commit f9d5f06f613580d5e4ea0e06ec346f359d913c99 Author: openpowerwtf <52765606+openpowerwtf@users.noreply.ggithub.com> Date: Fri Jul 1 09:53:24 2022 -0500 init diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..f16d075 --- /dev/null +++ b/LICENSE @@ -0,0 +1,19 @@ +© IBM Corp. 2021 +Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +repository except in compliance with the License as modified. +You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 + +Modified Terms: + + 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of + the work of authorship in physical form. + +Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +"AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +governing permissions and limitations under the License. + +Brief explanation of modifications: + +Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +it unambiguously permits a user to make and use the physical chip. + diff --git a/custom/readme.md b/custom/readme.md new file mode 100644 index 0000000..e69de29 diff --git a/doc/8T-SRAM Publication.pdf b/doc/8T-SRAM Publication.pdf new file mode 100644 index 0000000..9512bfb Binary files /dev/null and b/doc/8T-SRAM Publication.pdf differ diff --git a/doc/Limited_switch_dynamic_logic_circuits_for_high-speed_low-power_circuit_design.pdf b/doc/Limited_switch_dynamic_logic_circuits_for_high-speed_low-power_circuit_design.pdf new file mode 100644 index 0000000..9570d3b Binary files /dev/null and b/doc/Limited_switch_dynamic_logic_circuits_for_high-speed_low-power_circuit_design.pdf differ diff --git a/doc/Open Toy-SRAM test chip 062021.pdf b/doc/Open Toy-SRAM test chip 062021.pdf new file mode 100644 index 0000000..59a1f3f Binary files /dev/null and b/doc/Open Toy-SRAM test chip 062021.pdf differ diff --git a/doc/Practical Strategies for Power-Efficient Computing Technologies.pdf b/doc/Practical Strategies for Power-Efficient Computing Technologies.pdf new file mode 100644 index 0000000..65f864d Binary files /dev/null and b/doc/Practical Strategies for Power-Efficient Computing Technologies.pdf differ diff --git a/doc/Toy-sram 062021.pdf b/doc/Toy-sram 062021.pdf new file mode 100644 index 0000000..6daa3c3 Binary files /dev/null and b/doc/Toy-sram 062021.pdf differ diff --git a/doc/cells.md b/doc/cells.md new file mode 100644 index 0000000..ebdc9c2 --- /dev/null +++ b/doc/cells.md @@ -0,0 +1,44 @@ +## Cells needed for the Skywater test site + +We need to produce the necessary +* Schematic +* Layout +* Logic and timing models for + + + +### Low level cells + +1) 10T SRAM + a. WWL, RWL0, , RWL1 + b. (WBL WBL_B), RBL0, RBL1 + +2) Local eval (NAND2 with 2 precharged inputs) + + a. PC_Left, PC_Right, In_Left, In_Right -> Q (output) + +3) LSDL state-holding latch (Latch with 2 dynamic inputs forming an 'Or') + + a. In_Left, In_Right, CLK -> Q (output) + + +### Mid level cell + +Partially decode 2R1W 64Rx24 bit array). (Includes early/late output latch) + +Inputs: + +1) Clock*A0,Clock*~A0 +2) ~A1*~A2,~A1,*~A2, A1*~A2,A1*~A2, +3) A3 ,~A3 +4) ~A4*~A5,~A4,*~A5, A14*~A5,A4*~A5, +5) DataIn0..DI23 +6) Early and late Clock for LSDL state holding latch. + +Outputs: + +1) DataOut00..DO023 +2) DO10..DO123 +3) DO20..DO223 +4) DO30..DO323 + diff --git a/doc/cells.txt b/doc/cells.txt new file mode 100644 index 0000000..b4fc047 --- /dev/null +++ b/doc/cells.txt @@ -0,0 +1,42 @@ +## Cells needed for the Skywater test site + +We need to produce the necessary +* Schematic +* Layout +* Logic and timing models for + +### Low level cells + +1) 10T SRAM + a. WWL, RWL0, , RWL1 + b. (WBL WBL_B), RBL0, RBL1 + +2) Local eval (NAND2 with 2 precharged inputs) + + a. PC_Left, PC_Right, In_Left, In_Right -> Q (output) + +3) LSDL state-holding latch (Latch with 2 dynamic inputs forming an 'Or') + + a. In_Left, In_Right, CLK -> Q (output) + + +### Mid level cell + +Partially decode 2R1W 64Rx24 bit array). (Includes early/late output latch) + +Inputs: + +1) Clock*A0,Clock*~A0 +2) ~A1*~A2,~A1,*~A2, A1*~A2,A1*~A2, +3) A3 ,~A3 +4) ~A4*~A5,~A4,*~A5, A14*~A5,A4*~A5, +5) DataIn0..DI23 +6) Early and late Clock for LSDL state holding latch. + +Outputs: + +1) DataOut00..DO023 +2) DO10..DO123 +3) DO20..DO223 +4) DO30..DO323 + diff --git a/doc/img/bob_64x64.jpg b/doc/img/bob_64x64.jpg new file mode 100644 index 0000000..a026e86 Binary files /dev/null and b/doc/img/bob_64x64.jpg differ diff --git a/doc/readme.md b/doc/readme.md new file mode 100644 index 0000000..1c8d024 --- /dev/null +++ b/doc/readme.md @@ -0,0 +1,25 @@ +# General Notes + +## SDR/DDR + +* logical wrappers instantiate hard array +* SDR: use multiple hard array instances to add ports +* DDR: use early/late pulses to double read/write ports + +### DDR Implementation + +* strobes are generated from clk based on configurable delay parameters + +## Test site arrays + +* 2R1W, SDR - this is the sdr hard array and simple logical wrapper using single clock +* 4R2W, DDR - this is the ddr hard array and double-rate logical wrapper generating early/late pulses + +### Configuration options + +* SDR clock frequency (external to logical array) +* DDR clock frequency (external to logical array) + +* SDR Pulse Control +* DDR Pulse Control + diff --git a/doc/slide1.png b/doc/slide1.png new file mode 100644 index 0000000..1775c38 Binary files /dev/null and b/doc/slide1.png differ diff --git a/doc/slide2.png b/doc/slide2.png new file mode 100644 index 0000000..c57a470 Binary files /dev/null and b/doc/slide2.png differ diff --git a/doc/slide3.png b/doc/slide3.png new file mode 100644 index 0000000..cd4f59d Binary files /dev/null and b/doc/slide3.png differ diff --git a/readme.md b/readme.md new file mode 100644 index 0000000..f0eece4 --- /dev/null +++ b/readme.md @@ -0,0 +1,41 @@ + + +# ToySRAM + +## A test site for a high-specific-bandwidth memory design + +* We make high-specific-bandwidth multiport memories child’s play +* We make 10T SRAM a first-class citizen, and use pumping and replication for high frequency and additional ports + +![toy-sram](doc/slide2.png) + +## Description + +The goal is to demonstrate specific bandwidth results from 90nm to 2nm, and use +the basic design to grow as many ports as necessary through replication, to +produce more efficient processors and accelerators with *less circuit-design effort*. + +What is specific bandwidth? + +* It measures the read and write bandwidth per unit area +* Bandwidth per unit area is an analog to specific gravity, which is mass per unit volume +* It's more encompassing than bit density, which drives complexity to improve bandwidth + +Why does Toy-SRAM do so well? + +* It's enhanced by having a 10T SRAM/2 read ports/1 write port +* It supports low-cost super-pipelining (2x+ the system frequency, without latch overhead) +* It enables energy-efficient ultralow-voltage operation by avoiding read disturb + +Specific bandwidth can be expressed with two metrics: + +* Technology dependent “X TB/(sec * mm 2 )” +* Technology independent “Y 1/(FO4 delay * PC PITCH * min horizontal metal pitch)” + + + +
+ +## Links + +* skywater-pdk.slack.com#toysram diff --git a/rtl/build/caravel/def/.mt b/rtl/build/caravel/def/.mt new file mode 100644 index 0000000..e69de29 diff --git a/rtl/build/caravel/doc/img/bob.jpg b/rtl/build/caravel/doc/img/bob.jpg new file mode 100644 index 0000000..5f41186 Binary files /dev/null and b/rtl/build/caravel/doc/img/bob.jpg differ diff --git a/rtl/build/caravel/gds/.mt b/rtl/build/caravel/gds/.mt new file mode 100644 index 0000000..e69de29 diff --git a/rtl/build/caravel/info.yaml b/rtl/build/caravel/info.yaml new file mode 100644 index 0000000..be4d278 --- /dev/null +++ b/rtl/build/caravel/info.yaml @@ -0,0 +1,20 @@ +--- +project: + description: "High-specific-bandwidth memory cell test site for 90nm" + foundry: "SkyWater" + git_url: "https://git.openpower.foundation/cores/toysram.git" + organization: "Open POWER" + organization_url: "http://openpowerfoundation.com" + owner: "Bob Montoya" + process: "SKY90" + project_name: "ToySRAM" + project_id: "00000000" + tags: + - "Open MPW" + - "Custom Cell" + - "Array" + category: "Custom Cell" + top_level_netlist: "verilog/gl/site.v" + user_level_netlist: "verilog/gl/user_project_wrapper.v" + version: "1.00" + cover_image: "docs/img/bob.jpg" \ No newline at end of file diff --git a/rtl/build/caravel/lef/.mt b/rtl/build/caravel/lef/.mt new file mode 100644 index 0000000..e69de29 diff --git a/rtl/build/caravel/mag/.mt b/rtl/build/caravel/mag/.mt new file mode 100644 index 0000000..e69de29 diff --git a/rtl/build/caravel/maglef/.mt b/rtl/build/caravel/maglef/.mt new file mode 100644 index 0000000..e69de29 diff --git a/rtl/build/caravel/openlane/.mt b/rtl/build/caravel/openlane/.mt new file mode 100644 index 0000000..e69de29 diff --git a/rtl/build/caravel/spi/lvs/.mt b/rtl/build/caravel/spi/lvs/.mt new file mode 100644 index 0000000..e69de29 diff --git a/rtl/build/caravel/verilog/dv/.mt b/rtl/build/caravel/verilog/dv/.mt new file mode 100644 index 0000000..e69de29 diff --git a/rtl/build/caravel/verilog/rtl/.mt b/rtl/build/caravel/verilog/rtl/.mt new file mode 100644 index 0000000..e69de29 diff --git a/rtl/build/caravel/verilog/rtl/user_project_wrapper.v b/rtl/build/caravel/verilog/rtl/user_project_wrapper.v new file mode 100644 index 0000000..230d05a --- /dev/null +++ b/rtl/build/caravel/verilog/rtl/user_project_wrapper.v @@ -0,0 +1,77 @@ +// SPDX-FileCopyrightText: 2020 Efabless Corporation +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// SPDX-License-Identifier: Apache-2.0 + +`default_nettype none +/* + *------------------------------------------------------------- + * + * user_project_wrapper + * + * This wrapper enumerates all of the pins available to the + * user for the user project. + * + * An example user project is provided in this wrapper. The + * example should be removed and replaced with the actual + * user project. + * + *------------------------------------------------------------- + */ + +module user_project_wrapper #( + parameter BITS = 32 +)( +`ifdef USE_POWER_PINS + inout vdda1, // User area 1 3.3V supply + inout vdda2, // User area 2 3.3V supply + inout vssa1, // User area 1 analog ground + inout vssa2, // User area 2 analog ground + inout vccd1, // User area 1 1.8V supply + inout vccd2, // User area 2 1.8v supply + inout vssd1, // User area 1 digital ground + inout vssd2, // User area 2 digital ground +`endif + + // Wishbone Slave ports (WB MI A) + input wb_clk_i, + input wb_rst_i, + input wbs_stb_i, + input wbs_cyc_i, + input wbs_we_i, + input [3:0] wbs_sel_i, + input [31:0] wbs_dat_i, + input [31:0] wbs_adr_i, + output wbs_ack_o, + output [31:0] wbs_dat_o, + + // Logic Analyzer Signals + input [127:0] la_data_in, + output [127:0] la_data_out, + input [127:0] la_oen, + + // IOs + input [`MPRJ_IO_PADS-1:0] io_in, + output [`MPRJ_IO_PADS-1:0] io_out, + output [`MPRJ_IO_PADS-1:0] io_oeb, + + // Analog (direct connection to GPIO pad---use with caution) + // Note that analog I/O is not available on the 7 lowest-numbered + // GPIO pads, and so the analog_io indexing is offset from the + // GPIO indexing by 7. + inout [`MPRJ_IO_PADS-8:0] analog_io, + + // Independent clock (on independent integer divider) + input user_clock2 +); +endmodule // user_project_wrapper \ No newline at end of file diff --git a/rtl/build/old/config_test_sdr_2r1w_64x72_top.mk b/rtl/build/old/config_test_sdr_2r1w_64x72_top.mk new file mode 100644 index 0000000..dff2a85 --- /dev/null +++ b/rtl/build/old/config_test_sdr_2r1w_64x72_top.mk @@ -0,0 +1,29 @@ +# design and tech + +unit = test_sdr_2r1w_64x72_top + +# top directory +export DESIGN_TOP = array +# unit directory (log, objects, reports, results) +export DESIGN_NICKNAME = array_$(unit) +# macro +export DESIGN_NAME = $(unit) +#tech +export PLATFORM = sky130hd + +# sources +export VERILOG_FILES = $(sort $(wildcard ./designs/$(PLATFORM)/$(DESIGN_TOP)/src/verilog/work/test_sdr_2r1w_64x72_top.v)) +export SDC_FILE = ./designs/$(PLATFORM)/$(DESIGN_TOP)/constraint_$(unit).sdc + +$(info Source files:) +$(info $(VERILOG_FILES)) +$(info ..................................................) + +# parms +export PLACE_DENSITY ?= 0.50 +export ABC_CLOCK_PERIOD_IN_PS ?= 10 + +# must be multiples of placement site (0.46 x 2.72) +export DIE_AREA = 0 0 3011.160 4022.880 +export CORE_AREA = 5.520 10.880 3005.640 4012.000 + diff --git a/rtl/build/old/constraint_test_sdr_2r1w_64x72_top.sdc b/rtl/build/old/constraint_test_sdr_2r1w_64x72_top.sdc new file mode 100644 index 0000000..cd85bd3 --- /dev/null +++ b/rtl/build/old/constraint_test_sdr_2r1w_64x72_top.sdc @@ -0,0 +1,20 @@ +set clk_name clock +set clk_period 10 +set input_delay_value 1 +set output_delay_value 1 + +# define clock +# nclk[0]: clk +# nclk[1]: reset +# nclk[2]: clk2x (fpga) +# nclk[3]: clk4x (fpga) + +#set clkPort [lindex [get_ports $clk_name] 0] ;#wtf IS SELECTING 0 ALWAYS CORRECT??? tritoncts doesnt like this +set clkPort [get_ports $clk_name] +create_clock $clkPort -name clock -period $clk_period + +# apply clock to ins and outs +set clk_index [lsearch [all_inputs] $clkPort] +set all_inputs_wo_clk [lreplace [all_inputs] $clk_index $clk_index] +set_input_delay $input_delay_value -clock [get_clocks clk] $all_inputs_wo_clk +set_output_delay $output_delay_value -clock [get_clocks clk] [all_outputs] diff --git a/rtl/readme.md b/rtl/readme.md new file mode 100644 index 0000000..a0d8a8d --- /dev/null +++ b/rtl/readme.md @@ -0,0 +1,22 @@ +## Logical Array Wrapper + +* verilog is in rtl/src/array +* parameter determines sim vs tech during rtl compile + +## Physical Array + +* custom cell(s) to be designed +* custom/ + +## Test Site + +* verilog is in rtl/src/site +* supporting logic for test and debug through available Caravel connections + +## Verification + +* rtl/sim/coco + +## Caravel + +* to be incorporated into https://github.com/efabless/caravel flow as a user project area diff --git a/rtl/sim/coco/.gitignore b/rtl/sim/coco/.gitignore new file mode 100644 index 0000000..ddc872f --- /dev/null +++ b/rtl/sim/coco/.gitignore @@ -0,0 +1,4 @@ +__pycache__/ +sim_build/ +build_32x32/ +build_site/ diff --git a/rtl/sim/coco/Makefile_sdr_32x32 b/rtl/sim/coco/Makefile_sdr_32x32 new file mode 100644 index 0000000..57ba037 --- /dev/null +++ b/rtl/sim/coco/Makefile_sdr_32x32 @@ -0,0 +1,46 @@ +# sdr ra +# make -f Makefile.icarus build # rebuild and sim and fst +# make -f Makefile.icarus run # sim and fst +# make -f Makefile.icarus # sim + +#COCOTB_LOG_LEVEL=DEBUG +#GPI_EXTRA=vpi + +#COCOTB_RESOLVE_X = ZEROS # VALUE_ERROR ZEROS ONES RANDOM + +SIM_BUILD ?= build_32x32 +SIM ?= icarus + +# options +#COCOTB_HDL_TIMEUNIT ?= 1ns +#COCOTB_HDL_TIMEPRECISION ?= 1ps +#COCOTB_RESOLVE_X = VALUE_ERROR # ZEROS ONES RANDOM + +# icarus + +VERILOG_ROOT = src + +COMPILE_ARGS = -I$(VERILOG_ROOT) -y$(VERILOG_ROOT) + +# other options + +# rtl +TOPLEVEL_LANG = verilog +# top-level to enable trace, etc. +VERILOG_SOURCES = ./test_ra_sdr_32x32.v +TOPLEVEL = test_ra_sdr_32x32 + +# python test +MODULE = tb +TESTCASE = tb_32x32 + +# cocotb make rules +include $(shell cocotb-config --makefiles)/Makefile.sim + +build: clean sim fst + +run: sim fst + +fst: + vcd2fst test_ra_sdr_32x32.vcd test_ra_sdr_32x32.fst + #rm test_ra_sdr_32x32.vcd diff --git a/rtl/sim/coco/Makefile_sdr_64x72 b/rtl/sim/coco/Makefile_sdr_64x72 new file mode 100644 index 0000000..beceb9f --- /dev/null +++ b/rtl/sim/coco/Makefile_sdr_64x72 @@ -0,0 +1,46 @@ +# sdr ra +# make -f Makefile.icarus build # rebuild and sim and fst +# make -f Makefile.icarus run # sim and fst +# make -f Makefile.icarus # sim + +#COCOTB_LOG_LEVEL=DEBUG +#GPI_EXTRA=vpi + +#COCOTB_RESOLVE_X = ZEROS # VALUE_ERROR ZEROS ONES RANDOM + +#SIM_BUILD ?= build +SIM ?= icarus + +# options +#COCOTB_HDL_TIMEUNIT ?= 1ns +#COCOTB_HDL_TIMEPRECISION ?= 1ps +#COCOTB_RESOLVE_X = VALUE_ERROR # ZEROS ONES RANDOM + +# icarus + +VERILOG_ROOT = src + +COMPILE_ARGS = -I$(VERILOG_ROOT) -y$(VERILOG_ROOT) + +# other options + +# rtl +TOPLEVEL_LANG = verilog +# top-level to enable trace, etc. +VERILOG_SOURCES = ./test_ra_sdr_64x72.v +TOPLEVEL = test_ra_sdr_64x72 + +# python test +MODULE = tb +TESTCASE = tb + +# cocotb make rules +include $(shell cocotb-config --makefiles)/Makefile.sim + +build: clean sim fst + +run: sim fst + +fst: + vcd2fst test_ra_sdr_64x72.vcd test_ra_sdr_64x72.fst + rm test_ra_sdr_64x72.vcd diff --git a/rtl/sim/coco/Makefile_site b/rtl/sim/coco/Makefile_site new file mode 100644 index 0000000..7b8647a --- /dev/null +++ b/rtl/sim/coco/Makefile_site @@ -0,0 +1,46 @@ +# sdr ra +# make -f Makefile.icarus build # rebuild and sim and fst +# make -f Makefile.icarus run # sim and fst +# make -f Makefile.icarus # sim + +#COCOTB_LOG_LEVEL=DEBUG +#GPI_EXTRA=vpi + +#COCOTB_RESOLVE_X = ZEROS # VALUE_ERROR ZEROS ONES RANDOM + +SIM_BUILD ?= build_site +SIM ?= icarus + +# options +#COCOTB_HDL_TIMEUNIT ?= 1ns +#COCOTB_HDL_TIMEPRECISION ?= 1ps +#COCOTB_RESOLVE_X = VALUE_ERROR # ZEROS ONES RANDOM + +# icarus + +VERILOG_ROOT = src + +COMPILE_ARGS = -I$(VERILOG_ROOT)/../site -I$(VERILOG_ROOT)/../array -y$(VERILOG_ROOT)/../site -y$(VERILOG_ROOT)/../array + +# other options + +# rtl +TOPLEVEL_LANG = verilog +# top-level to enable trace, etc. +VERILOG_SOURCES = ./test_site.v +TOPLEVEL = test_site + +# python test +MODULE = tb +TESTCASE = tb_site + +# cocotb make rules +include $(shell cocotb-config --makefiles)/Makefile.sim + +build: clean sim fst + +run: sim fst + +fst: + vcd2fst test_site.vcd test_site.fst + rm test_site.vcd diff --git a/rtl/sim/coco/OPEnv.py b/rtl/sim/coco/OPEnv.py new file mode 100644 index 0000000..d1f7a23 --- /dev/null +++ b/rtl/sim/coco/OPEnv.py @@ -0,0 +1,117 @@ +# OP Environment + +import cocotb +from cocotb.triggers import Timer +from cocotb.handle import Force +from cocotb.handle import Release + +from dotmap import DotMap + +# ------------------------------------------------------------------------------------------------ +# Classes + +class Sim(DotMap): + + def msg(self, m): + self.dut._log.info(f'[{self.cycle:08d}] {m}') #wtf do multiline if /n in m + + def __init__(self, dut, cfg=None): + super().__init__() + self.dut = dut + # defaults + self.memFiles = [] #wtf cmdline parm + self.threads = 0 + self.resetCycle = 10 + self.hbCycles = 100 + self.clk2x = True + self.clk4x = False + self.resetAddr = 0xFFFFFFFC + self.resetOp = 0x48000002 + self.maxCycles = 150 + self.memFiles = None + self.config = DotMap() + self.config.core = DotMap({ + 'creditsLd': 1, + 'creditsSt': 1, + 'creditsLdStSingle': False + }) + self.config.a2l2 = DotMap({ + 'badAddr': [('E0','E0', 'IRW')] + }) + # json + if cfg is not None: + pass + + # runtime + self.cycle = 0 + self.ok = True + self.fail = None + self.done = False + + if self.threads is None: + try: + v = dut.an_ac_pm_thread_stop[1].value + self.threads = 2 + except: + self.threads = 1 + self.msg(f'Set threads={self.threads}.') + +class TransQ(DotMap): + def __init__(self): + super().__init__() + +class Memory(DotMap): + + def __init__(self, sim, default=0, logStores=True): + super().__init__() + self.sim = sim + self.data = {} + self.le = False + self.default = default # default word data for unloaded + self.logStores = logStores + + def loadFile(self, filename, format='ascii', addr=0, le=0): + # format # binary, ascii, ascii w/addr + # le: reverse bytes + try: + if format == 'ascii': + with open(filename, 'r') as f: + lines = f.readlines() + for line in lines: + self.data[addr] = int(line, 16) # key is int + addr += 4 + elif format == 'binary': + pass + elif format == 'addrdata': + pass + except Exception as e: + self.sim.msg(f'Error reading {filename}:\n{e}') + raise IOError + + # word-aligned byte address + def read(self, addr): + try: + addr = addr + 0 + except: + addr = int(addr, 16) + if addr in self.data: + return self.data[addr] + else: + return self.default + + # word-aligned byte address + data + def write(self, addr, data): + try: + addr = addr + 0 + except: + addr = int(addr, 16) + try: + data = data + 0 + except: + data = int(data, 16) + if self.logStores: + if addr not in self.data: + self.sim.msg(f'Mem Update: @{addr:08X} XXXXXXXX->{data:08X}') + else: + self.sim.msg(f'Mem Update: @{addr:08X} {self.data[addr]:08X}->{data:08X}') + self.data[addr] = data diff --git a/rtl/sim/coco/build.txt b/rtl/sim/coco/build.txt new file mode 100644 index 0000000..4c1abeb --- /dev/null +++ b/rtl/sim/coco/build.txt @@ -0,0 +1,20423 @@ +make -f Makefile.sdr results.xml +make[1]: Entering directory '/home/wtf/projects/toysram-opf/rtl/sim/coco' +mkdir -p sim_build +/usr/local/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s test_ra_sdr_64x72 -Isrc -ysrc -f sim_build/cmds.f -g2012 ./test_ra_sdr_64x72.v +MODULE=tb TESTCASE= TOPLEVEL=test_ra_sdr_64x72 TOPLEVEL_LANG=verilog \ + /usr/local/bin/vvp -M /home/wtf/.local/lib/python3.8/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp + -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:76 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter + -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:99 in gpi_print_registered_impl VPI registered + 0.00ns INFO Running on Icarus Verilog version 12.0 (devel) + 0.00ns INFO Running tests with cocotb v1.7.0.dev0 from /home/wtf/.local/lib/python3.8/site-packages/cocotb + 0.00ns INFO Seeding Python random module with 1653599340 + 0.00ns INFO Found test tb.tb + 0.00ns INFO running tb (1/0) + ToySRAM array test + 0.00ns INFO [00000000] Set threads=1. + 0.00ns INFO [00000001] [00000001] Resetting... + 9.00ns INFO [00000010] [00000010] Releasing reset. + 25.00ns INFO [00000027] Initializing array... + 25.00ns INFO [00000027] Port=0 WR @00=005555555555555500 + 26.00ns INFO [00000028] Port=0 WR @01=015555555555555501 + 27.00ns INFO [00000029] Port=0 WR @02=025555555555555502 + 28.00ns INFO [00000030] Port=0 WR @03=035555555555555503 + 29.00ns INFO [00000031] Port=0 WR @04=045555555555555504 + 30.00ns INFO [00000032] Port=0 WR @05=055555555555555505 + 31.00ns INFO [00000033] Port=0 WR @06=065555555555555506 + 32.00ns INFO [00000034] Port=0 WR @07=075555555555555507 + 33.00ns INFO [00000035] Port=0 WR @08=085555555555555508 + 34.00ns INFO [00000036] Port=0 WR @09=095555555555555509 + 35.00ns INFO [00000037] Port=0 WR @0A=0A555555555555550A + 36.00ns INFO [00000038] Port=0 WR @0B=0B555555555555550B + 37.00ns INFO [00000039] Port=0 WR @0C=0C555555555555550C + 38.00ns INFO [00000040] Port=0 WR @0D=0D555555555555550D + 39.00ns INFO [00000041] Port=0 WR @0E=0E555555555555550E + 40.00ns INFO [00000042] Port=0 WR @0F=0F555555555555550F + 41.00ns INFO [00000043] Port=0 WR @10=105555555555555510 + 42.00ns INFO [00000044] Port=0 WR @11=115555555555555511 + 43.00ns INFO [00000045] Port=0 WR @12=125555555555555512 + 44.00ns INFO [00000046] Port=0 WR @13=135555555555555513 + 45.00ns INFO [00000047] Port=0 WR @14=145555555555555514 + 46.00ns INFO [00000048] Port=0 WR @15=155555555555555515 + 47.00ns INFO [00000049] Port=0 WR @16=165555555555555516 + 48.00ns INFO [00000050] Port=0 WR @17=175555555555555517 + 49.00ns INFO [00000051] Port=0 WR @18=185555555555555518 + 50.00ns INFO [00000052] Port=0 WR @19=195555555555555519 + 51.00ns INFO [00000053] Port=0 WR @1A=1A555555555555551A + 52.00ns INFO [00000054] Port=0 WR @1B=1B555555555555551B + 53.00ns INFO [00000055] Port=0 WR @1C=1C555555555555551C + 54.00ns INFO [00000056] Port=0 WR @1D=1D555555555555551D + 55.00ns INFO [00000057] Port=0 WR @1E=1E555555555555551E + 56.00ns INFO [00000058] Port=0 WR @1F=1F555555555555551F + 57.00ns INFO [00000059] Port=0 WR @20=205555555555555520 + 58.00ns INFO [00000060] Port=0 WR @21=215555555555555521 + 59.00ns INFO [00000061] Port=0 WR @22=225555555555555522 + 60.00ns INFO [00000062] Port=0 WR @23=235555555555555523 + 61.00ns INFO [00000063] Port=0 WR @24=245555555555555524 + 62.00ns INFO [00000064] Port=0 WR @25=255555555555555525 + 63.00ns INFO [00000065] Port=0 WR @26=265555555555555526 + 64.00ns INFO [00000066] Port=0 WR @27=275555555555555527 + 65.00ns INFO [00000067] Port=0 WR @28=285555555555555528 + 66.00ns INFO [00000068] Port=0 WR @29=295555555555555529 + 67.00ns INFO [00000069] Port=0 WR @2A=2A555555555555552A + 68.00ns INFO [00000070] Port=0 WR @2B=2B555555555555552B + 69.00ns INFO [00000071] Port=0 WR @2C=2C555555555555552C + 70.00ns INFO [00000072] Port=0 WR @2D=2D555555555555552D + 71.00ns INFO [00000073] Port=0 WR @2E=2E555555555555552E + 72.00ns INFO [00000074] Port=0 WR @2F=2F555555555555552F + 73.00ns INFO [00000075] Port=0 WR @30=305555555555555530 + 74.00ns INFO [00000076] Port=0 WR @31=315555555555555531 + 75.00ns INFO [00000077] Port=0 WR @32=325555555555555532 + 76.00ns INFO [00000078] Port=0 WR @33=335555555555555533 + 77.00ns INFO [00000079] Port=0 WR @34=345555555555555534 + 78.00ns INFO [00000080] Port=0 WR @35=355555555555555535 + 79.00ns INFO [00000081] Port=0 WR @36=365555555555555536 + 80.00ns INFO [00000082] Port=0 WR @37=375555555555555537 + 81.00ns INFO [00000083] Port=0 WR @38=385555555555555538 + 82.00ns INFO [00000084] Port=0 WR @39=395555555555555539 + 83.00ns INFO [00000085] Port=0 WR @3A=3A555555555555553A + 84.00ns INFO [00000086] Port=0 WR @3B=3B555555555555553B + 85.00ns INFO [00000087] Port=0 WR @3C=3C555555555555553C + 86.00ns INFO [00000088] Port=0 WR @3D=3D555555555555553D + 87.00ns INFO [00000089] Port=0 WR @3E=3E555555555555553E + 88.00ns INFO [00000090] Port=0 WR @3F=3F555555555555553F + 89.00ns INFO [00000091] Running random commands... + 90.50ns INFO [00000092] Port=0 WR @07=E48EFA46E64C6E6A76 + 91.50ns INFO [00000093] Port=0 RD @03 + 93.50ns INFO [00000095] * RD COMPARE * port=0 adr=03 act=035555555555555503 exp=035555555555555503 + 93.50ns INFO [00000095] Port=0 WR @03=6FE35D0BD6635484A5 + 93.50ns INFO [00000095] Port=1 RD @01 + 94.50ns INFO [00000096] Port=0 WR @04=5F69016A6E54707104 + 95.50ns INFO [00000097] * RD COMPARE * port=1 adr=01 act=015555555555555501 exp=015555555555555501 + 96.50ns INFO [00000098] Port=0 WR @06=6F60EF8BE479DC6603 + 97.50ns INFO [00000099] Port=0 WR @07=36308B2240397BA1D9 + 97.50ns INFO [00000099] Port=0 RD @02 + 97.50ns INFO [00000099] Port=1 RD @02 + 98.00ns INFO [00000100] [00000100] ...tick... + 98.50ns INFO [00000100] Port=0 WR @03=C8D8CBDBC5228EF0D0 + 98.50ns INFO [00000100] Port=1 RD @00 + 99.50ns INFO [00000101] * RD COMPARE * port=0 adr=02 act=025555555555555502 exp=025555555555555502 + 99.50ns INFO [00000101] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502 + 99.50ns INFO [00000101] Port=0 WR @06=AEB3DFFDCC540CD59E + 99.50ns INFO [00000101] Port=0 RD @04 + 100.50ns INFO [00000102] * RD COMPARE * port=1 adr=00 act=005555555555555500 exp=005555555555555500 + 101.50ns INFO [00000103] * RD COMPARE * port=0 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104 + 101.50ns INFO [00000103] Port=0 WR @01=3B451442991A68B578 + 101.50ns INFO [00000103] Port=0 RD @04 + 102.50ns INFO [00000104] Port=0 WR @07=55690E53465EE840B3 + 102.50ns INFO [00000104] Port=1 RD @02 + 103.50ns INFO [00000105] * RD COMPARE * port=0 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104 + 103.50ns INFO [00000105] Port=0 RD @06 + 104.50ns INFO [00000106] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502 + 104.50ns INFO [00000106] Port=0 WR @07=4D3A68CB583D71870F + 105.50ns INFO [00000107] * RD COMPARE * port=0 adr=06 act=AEB3DFFDCC540CD59E exp=AEB3DFFDCC540CD59E + 106.50ns INFO [00000108] Port=1 RD @04 + 107.50ns INFO [00000109] Port=1 RD @07 + 108.50ns INFO [00000110] * RD COMPARE * port=1 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104 + 108.50ns INFO [00000110] Port=0 RD @00 + 108.50ns INFO [00000110] Port=1 RD @02 + 109.50ns INFO [00000111] * RD COMPARE * port=1 adr=07 act=4D3A68CB583D71870F exp=4D3A68CB583D71870F + 110.50ns INFO [00000112] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500 + 110.50ns INFO [00000112] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502 + 112.50ns INFO [00000114] Port=0 WR @03=2FE4993CE5D5DF69C7 + 113.50ns INFO [00000115] Port=0 RD @06 + 113.50ns INFO [00000115] Port=1 RD @04 + 114.50ns INFO [00000116] Port=0 WR @01=8668776E2392075B3B + 114.50ns INFO [00000116] Port=1 RD @02 + 115.50ns INFO [00000117] * RD COMPARE * port=0 adr=06 act=AEB3DFFDCC540CD59E exp=AEB3DFFDCC540CD59E + 115.50ns INFO [00000117] * RD COMPARE * port=1 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104 + 115.50ns INFO [00000117] Port=0 RD @05 + 115.50ns INFO [00000117] Port=1 RD @04 + 116.50ns INFO [00000118] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502 + 117.50ns INFO [00000119] * RD COMPARE * port=0 adr=05 act=055555555555555505 exp=055555555555555505 + 117.50ns INFO [00000119] * RD COMPARE * port=1 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104 + 117.50ns INFO [00000119] Port=1 RD @02 + 119.50ns INFO [00000121] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502 + 119.50ns INFO [00000121] Port=0 WR @05=C9AB5DC1716D6D5373 + 120.50ns INFO [00000122] Port=0 RD @07 + 121.50ns INFO [00000123] Port=0 WR @06=975CB4CFDF740857D0 + 121.50ns INFO [00000123] Port=0 RD @04 + 122.50ns INFO [00000124] * RD COMPARE * port=0 adr=07 act=4D3A68CB583D71870F exp=4D3A68CB583D71870F + 122.50ns INFO [00000124] Port=0 WR @06=8F487151D581B8CE25 + 122.50ns INFO [00000124] Port=0 RD @07 + 123.50ns INFO [00000125] * RD COMPARE * port=0 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104 + 123.50ns INFO [00000125] Port=0 WR @06=28850620016FE469AE + 124.50ns INFO [00000126] * RD COMPARE * port=0 adr=07 act=4D3A68CB583D71870F exp=4D3A68CB583D71870F + 125.50ns INFO [00000127] Port=1 RD @01 + 126.50ns INFO [00000128] Port=0 WR @04=954D1E757C94DE7307 + 126.50ns INFO [00000128] Port=0 RD @07 + 127.50ns INFO [00000129] * RD COMPARE * port=1 adr=01 act=8668776E2392075B3B exp=8668776E2392075B3B + 127.50ns INFO [00000129] Port=0 WR @01=159FB8F8D4E5F0C659 + 127.50ns INFO [00000129] Port=1 RD @06 + 128.50ns INFO [00000130] * RD COMPARE * port=0 adr=07 act=4D3A68CB583D71870F exp=4D3A68CB583D71870F + 129.50ns INFO [00000131] * RD COMPARE * port=1 adr=06 act=28850620016FE469AE exp=28850620016FE469AE + 130.50ns INFO [00000132] Port=0 RD @01 + 130.50ns INFO [00000132] Port=1 RD @05 + 131.50ns INFO [00000133] Port=0 WR @07=590F00CEDC8762A84E + 131.50ns INFO [00000133] Port=0 RD @04 + 132.50ns INFO [00000134] * RD COMPARE * port=0 adr=01 act=159FB8F8D4E5F0C659 exp=159FB8F8D4E5F0C659 + 132.50ns INFO [00000134] * RD COMPARE * port=1 adr=05 act=C9AB5DC1716D6D5373 exp=C9AB5DC1716D6D5373 + 132.50ns INFO [00000134] Port=0 WR @04=E3D3C588082DC31D59 + 132.50ns INFO [00000134] Port=0 RD @03 + 133.50ns INFO [00000135] * RD COMPARE * port=0 adr=04 act=954D1E757C94DE7307 exp=954D1E757C94DE7307 + 133.50ns INFO [00000135] Port=0 WR @04=147FB7AC3A7DC84DC8 + 133.50ns INFO [00000135] Port=0 RD @00 + 134.50ns INFO [00000136] * RD COMPARE * port=0 adr=03 act=2FE4993CE5D5DF69C7 exp=2FE4993CE5D5DF69C7 + 135.50ns INFO [00000137] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500 + 135.50ns INFO [00000137] Port=0 WR @06=DC675EFC4FC44E2077 + 137.50ns INFO [00000139] Port=1 RD @06 + 138.50ns INFO [00000140] Port=0 WR @01=02476B4BB63A985EE6 + 138.50ns INFO [00000140] Port=0 RD @00 + 139.50ns INFO [00000141] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077 + 139.50ns INFO [00000141] Port=0 WR @05=3D5A1629A9347DE146 + 139.50ns INFO [00000141] Port=0 RD @00 + 139.50ns INFO [00000141] Port=1 RD @03 + 140.50ns INFO [00000142] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500 + 140.50ns INFO [00000142] Port=0 WR @05=1ABEE36E2AE22D9776 + 140.50ns INFO [00000142] Port=0 RD @00 + 140.50ns INFO [00000142] Port=1 RD @04 + 141.50ns INFO [00000143] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500 + 141.50ns INFO [00000143] * RD COMPARE * port=1 adr=03 act=2FE4993CE5D5DF69C7 exp=2FE4993CE5D5DF69C7 + 141.50ns INFO [00000143] Port=0 RD @04 + 142.50ns INFO [00000144] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500 + 142.50ns INFO [00000144] * RD COMPARE * port=1 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8 + 143.50ns INFO [00000145] * RD COMPARE * port=0 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8 + 144.50ns INFO [00000146] Port=1 RD @04 + 146.50ns INFO [00000148] * RD COMPARE * port=1 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8 + 146.50ns INFO [00000148] Port=1 RD @03 + 148.50ns INFO [00000150] * RD COMPARE * port=1 adr=03 act=2FE4993CE5D5DF69C7 exp=2FE4993CE5D5DF69C7 + 148.50ns INFO [00000150] Port=0 RD @03 + 149.50ns INFO [00000151] Port=0 RD @04 + 150.50ns INFO [00000152] * RD COMPARE * port=0 adr=03 act=2FE4993CE5D5DF69C7 exp=2FE4993CE5D5DF69C7 + 150.50ns INFO [00000152] Port=0 WR @02=88F18B0AFF3EABE3B9 + 150.50ns INFO [00000152] Port=0 RD @00 + 151.50ns INFO [00000153] * RD COMPARE * port=0 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8 + 152.50ns INFO [00000154] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500 + 152.50ns INFO [00000154] Port=0 WR @05=381DE37159076F195E + 154.50ns INFO [00000156] Port=0 RD @01 + 154.50ns INFO [00000156] Port=1 RD @05 + 155.50ns INFO [00000157] Port=0 WR @05=FF8F0A00ABD52483DF + 155.50ns INFO [00000157] Port=0 RD @04 + 155.50ns INFO [00000157] Port=1 RD @02 + 156.50ns INFO [00000158] * RD COMPARE * port=0 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6 + 156.50ns INFO [00000158] * RD COMPARE * port=1 adr=05 act=381DE37159076F195E exp=381DE37159076F195E + 156.50ns INFO [00000158] Port=0 WR @07=D28307A9E64BE6D3E1 + 157.50ns INFO [00000159] * RD COMPARE * port=0 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8 + 157.50ns INFO [00000159] * RD COMPARE * port=1 adr=02 act=88F18B0AFF3EABE3B9 exp=88F18B0AFF3EABE3B9 + 157.50ns INFO [00000159] Port=0 WR @00=D38A6705DE38CFA704 + 157.50ns INFO [00000159] Port=1 RD @02 + 158.50ns INFO [00000160] Port=0 RD @04 + 159.50ns INFO [00000161] * RD COMPARE * port=1 adr=02 act=88F18B0AFF3EABE3B9 exp=88F18B0AFF3EABE3B9 + 159.50ns INFO [00000161] Port=0 WR @02=028D86CD8306F6501A + 160.50ns INFO [00000162] * RD COMPARE * port=0 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8 + 160.50ns INFO [00000162] Port=0 WR @00=129BB76A2B7ACA633D + 163.50ns INFO [00000165] Port=0 WR @04=E749D76EAF26325197 + 163.50ns INFO [00000165] Port=0 RD @00 + 164.50ns INFO [00000166] Port=0 WR @07=21B113DD6CA4A8DEFB + 164.50ns INFO [00000166] Port=1 RD @05 + 165.50ns INFO [00000167] * RD COMPARE * port=0 adr=00 act=129BB76A2B7ACA633D exp=129BB76A2B7ACA633D + 165.50ns INFO [00000167] Port=0 WR @00=F99877A8D91064B412 + 166.50ns INFO [00000168] * RD COMPARE * port=1 adr=05 act=FF8F0A00ABD52483DF exp=FF8F0A00ABD52483DF + 166.50ns INFO [00000168] Port=0 RD @07 + 166.50ns INFO [00000168] Port=1 RD @01 + 167.50ns INFO [00000169] Port=0 WR @02=098C5F48FEE0FAA08A + 167.50ns INFO [00000169] Port=0 RD @06 + 167.50ns INFO [00000169] Port=1 RD @07 + 168.50ns INFO [00000170] * RD COMPARE * port=0 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB + 168.50ns INFO [00000170] * RD COMPARE * port=1 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6 + 168.50ns INFO [00000170] Port=0 WR @03=5D1A055EF2F478728C + 168.50ns INFO [00000170] Port=1 RD @04 + 169.50ns INFO [00000171] * RD COMPARE * port=0 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077 + 169.50ns INFO [00000171] * RD COMPARE * port=1 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB + 169.50ns INFO [00000171] Port=0 WR @00=C892C7D33AC2C8BF67 + 169.50ns INFO [00000171] Port=1 RD @01 + 170.50ns INFO [00000172] * RD COMPARE * port=1 adr=04 act=E749D76EAF26325197 exp=E749D76EAF26325197 + 170.50ns INFO [00000172] Port=0 RD @07 + 171.50ns INFO [00000173] * RD COMPARE * port=1 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6 + 171.50ns INFO [00000173] Port=0 WR @02=0C1165AC3E2E4E73E9 + 172.50ns INFO [00000174] * RD COMPARE * port=0 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB + 172.50ns INFO [00000174] Port=0 WR @05=3106D328DDF41903F8 + 172.50ns INFO [00000174] Port=1 RD @07 + 173.50ns INFO [00000175] Port=0 RD @02 + 174.50ns INFO [00000176] * RD COMPARE * port=1 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB + 174.50ns INFO [00000176] Port=0 WR @04=0C9A2AD86BF05AF810 + 174.50ns INFO [00000176] Port=0 RD @00 + 174.50ns INFO [00000176] Port=1 RD @00 + 175.50ns INFO [00000177] * RD COMPARE * port=0 adr=02 act=0C1165AC3E2E4E73E9 exp=0C1165AC3E2E4E73E9 + 175.50ns INFO [00000177] Port=0 WR @03=4D5C620DE54C364503 + 176.50ns INFO [00000178] * RD COMPARE * port=0 adr=00 act=C892C7D33AC2C8BF67 exp=C892C7D33AC2C8BF67 + 176.50ns INFO [00000178] * RD COMPARE * port=1 adr=00 act=C892C7D33AC2C8BF67 exp=C892C7D33AC2C8BF67 + 176.50ns INFO [00000178] Port=1 RD @01 + 177.50ns INFO [00000179] Port=0 WR @02=EC056B80ED524B370B + 177.50ns INFO [00000179] Port=0 RD @07 + 178.50ns INFO [00000180] * RD COMPARE * port=1 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6 + 178.50ns INFO [00000180] Port=1 RD @03 + 179.50ns INFO [00000181] * RD COMPARE * port=0 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB + 180.50ns INFO [00000182] * RD COMPARE * port=1 adr=03 act=4D5C620DE54C364503 exp=4D5C620DE54C364503 + 180.50ns INFO [00000182] Port=0 WR @04=9BBB8DA53C75D4937C + 180.50ns INFO [00000182] Port=0 RD @07 + 180.50ns INFO [00000182] Port=1 RD @06 + 181.50ns INFO [00000183] Port=0 RD @00 + 181.50ns INFO [00000183] Port=1 RD @01 + 182.50ns INFO [00000184] * RD COMPARE * port=0 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB + 182.50ns INFO [00000184] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077 + 183.50ns INFO [00000185] * RD COMPARE * port=0 adr=00 act=C892C7D33AC2C8BF67 exp=C892C7D33AC2C8BF67 + 183.50ns INFO [00000185] * RD COMPARE * port=1 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6 + 183.50ns INFO [00000185] Port=0 WR @04=74B5690DFC8C4620C4 + 183.50ns INFO [00000185] Port=1 RD @07 + 184.50ns INFO [00000186] Port=0 RD @02 + 185.50ns INFO [00000187] * RD COMPARE * port=1 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB + 185.50ns INFO [00000187] Port=1 RD @06 + 186.50ns INFO [00000188] * RD COMPARE * port=0 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B + 186.50ns INFO [00000188] Port=0 WR @00=DCD1F7EA164C837345 + 186.50ns INFO [00000188] Port=0 RD @05 + 186.50ns INFO [00000188] Port=1 RD @06 + 187.50ns INFO [00000189] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077 + 188.50ns INFO [00000190] * RD COMPARE * port=0 adr=05 act=3106D328DDF41903F8 exp=3106D328DDF41903F8 + 188.50ns INFO [00000190] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077 + 188.50ns INFO [00000190] Port=0 RD @05 + 190.50ns INFO [00000192] * RD COMPARE * port=0 adr=05 act=3106D328DDF41903F8 exp=3106D328DDF41903F8 + 191.50ns INFO [00000193] Port=0 WR @03=BAB19A202938562B94 + 193.50ns INFO [00000195] Port=1 RD @05 + 194.50ns INFO [00000196] Port=0 WR @07=124AE427347BB74A1B + 194.50ns INFO [00000196] Port=0 RD @03 + 194.50ns INFO [00000196] Port=1 RD @01 + 195.50ns INFO [00000197] * RD COMPARE * port=1 adr=05 act=3106D328DDF41903F8 exp=3106D328DDF41903F8 + 196.50ns INFO [00000198] * RD COMPARE * port=0 adr=03 act=BAB19A202938562B94 exp=BAB19A202938562B94 + 196.50ns INFO [00000198] * RD COMPARE * port=1 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6 + 196.50ns INFO [00000198] Port=0 RD @07 + 197.50ns INFO [00000199] Port=0 RD @07 + 197.50ns INFO [00000199] Port=1 RD @04 + 198.00ns INFO [00000200] [00000200] ...tick... + 198.50ns INFO [00000200] * RD COMPARE * port=0 adr=07 act=124AE427347BB74A1B exp=124AE427347BB74A1B + 198.50ns INFO [00000200] Port=1 RD @07 + 199.50ns INFO [00000201] * RD COMPARE * port=0 adr=07 act=124AE427347BB74A1B exp=124AE427347BB74A1B + 199.50ns INFO [00000201] * RD COMPARE * port=1 adr=04 act=74B5690DFC8C4620C4 exp=74B5690DFC8C4620C4 + 199.50ns INFO [00000201] Port=0 WR @00=E999C5EE5A7757EA6D + 200.50ns INFO [00000202] * RD COMPARE * port=1 adr=07 act=124AE427347BB74A1B exp=124AE427347BB74A1B + 200.50ns INFO [00000202] Port=0 WR @00=996A7F9C6F943F8810 + 200.50ns INFO [00000202] Port=1 RD @02 + 202.50ns INFO [00000204] * RD COMPARE * port=1 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B + 202.50ns INFO [00000204] Port=1 RD @02 + 203.50ns INFO [00000205] Port=0 WR @00=DFA086CB929304CE58 + 203.50ns INFO [00000205] Port=0 RD @07 + 203.50ns INFO [00000205] Port=1 RD @06 + 204.50ns INFO [00000206] * RD COMPARE * port=1 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B + 204.50ns INFO [00000206] Port=1 RD @00 + 205.50ns INFO [00000207] * RD COMPARE * port=0 adr=07 act=124AE427347BB74A1B exp=124AE427347BB74A1B + 205.50ns INFO [00000207] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077 + 205.50ns INFO [00000207] Port=0 WR @05=3C94D384855D2AF89A + 205.50ns INFO [00000207] Port=0 RD @04 + 205.50ns INFO [00000207] Port=1 RD @06 + 206.50ns INFO [00000208] * RD COMPARE * port=1 adr=00 act=DFA086CB929304CE58 exp=DFA086CB929304CE58 + 206.50ns INFO [00000208] Port=0 WR @01=BA7DF2CDAC4B9AB467 + 207.50ns INFO [00000209] * RD COMPARE * port=0 adr=04 act=74B5690DFC8C4620C4 exp=74B5690DFC8C4620C4 + 207.50ns INFO [00000209] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077 + 208.50ns INFO [00000210] Port=0 RD @04 + 208.50ns INFO [00000210] Port=1 RD @05 + 209.50ns INFO [00000211] Port=0 RD @00 + 210.50ns INFO [00000212] * RD COMPARE * port=0 adr=04 act=74B5690DFC8C4620C4 exp=74B5690DFC8C4620C4 + 210.50ns INFO [00000212] * RD COMPARE * port=1 adr=05 act=3C94D384855D2AF89A exp=3C94D384855D2AF89A + 211.50ns INFO [00000213] * RD COMPARE * port=0 adr=00 act=DFA086CB929304CE58 exp=DFA086CB929304CE58 + 211.50ns INFO [00000213] Port=0 WR @07=42C98C85B23F3E0687 + 211.50ns INFO [00000213] Port=0 RD @00 + 212.50ns INFO [00000214] Port=0 WR @04=EAA7BFE1528030FDF9 + 213.50ns INFO [00000215] * RD COMPARE * port=0 adr=00 act=DFA086CB929304CE58 exp=DFA086CB929304CE58 + 213.50ns INFO [00000215] Port=1 RD @03 + 214.50ns INFO [00000216] Port=0 WR @01=79231EA9EEC64222F6 + 214.50ns INFO [00000216] Port=0 RD @05 + 214.50ns INFO [00000216] Port=1 RD @07 + 215.50ns INFO [00000217] * RD COMPARE * port=1 adr=03 act=BAB19A202938562B94 exp=BAB19A202938562B94 + 215.50ns INFO [00000217] Port=0 WR @05=EB467062D4D97EE8CE + 216.50ns INFO [00000218] * RD COMPARE * port=0 adr=05 act=3C94D384855D2AF89A exp=3C94D384855D2AF89A + 216.50ns INFO [00000218] * RD COMPARE * port=1 adr=07 act=42C98C85B23F3E0687 exp=42C98C85B23F3E0687 + 216.50ns INFO [00000218] Port=0 WR @01=A0ACD46C86527FF8C8 + 216.50ns INFO [00000218] Port=0 RD @03 + 217.50ns INFO [00000219] Port=0 WR @00=CB604305057D6152AC + 217.50ns INFO [00000219] Port=0 RD @02 + 218.50ns INFO [00000220] * RD COMPARE * port=0 adr=03 act=BAB19A202938562B94 exp=BAB19A202938562B94 + 218.50ns INFO [00000220] Port=0 WR @07=135CD55AFB9910DEC9 + 218.50ns INFO [00000220] Port=1 RD @06 + 219.50ns INFO [00000221] * RD COMPARE * port=0 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B + 219.50ns INFO [00000221] Port=0 WR @06=CE87BCBFE0489AFFA2 + 220.50ns INFO [00000222] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077 + 221.50ns INFO [00000223] Port=0 RD @00 + 221.50ns INFO [00000223] Port=1 RD @01 + 222.50ns INFO [00000224] Port=1 RD @02 + 223.50ns INFO [00000225] * RD COMPARE * port=0 adr=00 act=CB604305057D6152AC exp=CB604305057D6152AC + 223.50ns INFO [00000225] * RD COMPARE * port=1 adr=01 act=A0ACD46C86527FF8C8 exp=A0ACD46C86527FF8C8 + 223.50ns INFO [00000225] Port=0 RD @06 + 223.50ns INFO [00000225] Port=1 RD @07 + 224.50ns INFO [00000226] * RD COMPARE * port=1 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B + 224.50ns INFO [00000226] Port=0 RD @06 + 225.50ns INFO [00000227] * RD COMPARE * port=0 adr=06 act=CE87BCBFE0489AFFA2 exp=CE87BCBFE0489AFFA2 + 225.50ns INFO [00000227] * RD COMPARE * port=1 adr=07 act=135CD55AFB9910DEC9 exp=135CD55AFB9910DEC9 + 225.50ns INFO [00000227] Port=0 WR @00=2AE06E0BA5FFC6546B + 226.50ns INFO [00000228] * RD COMPARE * port=0 adr=06 act=CE87BCBFE0489AFFA2 exp=CE87BCBFE0489AFFA2 + 226.50ns INFO [00000228] Port=0 WR @06=99B7E498370A6256CF + 226.50ns INFO [00000228] Port=0 RD @01 + 227.50ns INFO [00000229] Port=0 RD @02 + 228.50ns INFO [00000230] * RD COMPARE * port=0 adr=01 act=A0ACD46C86527FF8C8 exp=A0ACD46C86527FF8C8 + 228.50ns INFO [00000230] Port=0 WR @02=B0B1A2F490899B5487 + 229.50ns INFO [00000231] * RD COMPARE * port=0 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B + 229.50ns INFO [00000231] Port=0 WR @05=3BCA63E553806EC4CB + 229.50ns INFO [00000231] Port=0 RD @00 + 230.50ns INFO [00000232] Port=1 RD @03 + 231.50ns INFO [00000233] * RD COMPARE * port=0 adr=00 act=2AE06E0BA5FFC6546B exp=2AE06E0BA5FFC6546B + 231.50ns INFO [00000233] Port=0 WR @04=AFE0A7C3DAFAFC1671 + 231.50ns INFO [00000233] Port=1 RD @02 + 232.50ns INFO [00000234] * RD COMPARE * port=1 adr=03 act=BAB19A202938562B94 exp=BAB19A202938562B94 + 232.50ns INFO [00000234] Port=0 RD @07 + 232.50ns INFO [00000234] Port=1 RD @04 + 233.50ns INFO [00000235] * RD COMPARE * port=1 adr=02 act=B0B1A2F490899B5487 exp=B0B1A2F490899B5487 + 233.50ns INFO [00000235] Port=0 WR @07=365A221E227F8587CB + 233.50ns INFO [00000235] Port=1 RD @05 + 234.50ns INFO [00000236] * RD COMPARE * port=0 adr=07 act=135CD55AFB9910DEC9 exp=135CD55AFB9910DEC9 + 234.50ns INFO [00000236] * RD COMPARE * port=1 adr=04 act=AFE0A7C3DAFAFC1671 exp=AFE0A7C3DAFAFC1671 + 235.50ns INFO [00000237] * RD COMPARE * port=1 adr=05 act=3BCA63E553806EC4CB exp=3BCA63E553806EC4CB + 236.50ns INFO [00000238] Port=0 WR @06=E286BF232D19E6F594 + 237.50ns INFO [00000239] Port=0 RD @00 + 237.50ns INFO [00000239] Port=1 RD @06 + 238.50ns INFO [00000240] Port=0 WR @04=EB0930668DBAA190C1 + 238.50ns INFO [00000240] Port=0 RD @06 + 238.50ns INFO [00000240] Port=1 RD @01 + 239.50ns INFO [00000241] * RD COMPARE * port=0 adr=00 act=2AE06E0BA5FFC6546B exp=2AE06E0BA5FFC6546B + 239.50ns INFO [00000241] * RD COMPARE * port=1 adr=06 act=E286BF232D19E6F594 exp=E286BF232D19E6F594 + 239.50ns INFO [00000241] Port=0 WR @07=484445550B1E04FD3E + 240.50ns INFO [00000242] * RD COMPARE * port=0 adr=06 act=E286BF232D19E6F594 exp=E286BF232D19E6F594 + 240.50ns INFO [00000242] * RD COMPARE * port=1 adr=01 act=A0ACD46C86527FF8C8 exp=A0ACD46C86527FF8C8 + 240.50ns INFO [00000242] Port=0 RD @05 + 241.50ns INFO [00000243] Port=0 WR @01=3209B40ECCBA3950A9 + 241.50ns INFO [00000243] Port=1 RD @07 + 242.50ns INFO [00000244] * RD COMPARE * port=0 adr=05 act=3BCA63E553806EC4CB exp=3BCA63E553806EC4CB + 242.50ns INFO [00000244] Port=1 RD @01 + 243.50ns INFO [00000245] * RD COMPARE * port=1 adr=07 act=484445550B1E04FD3E exp=484445550B1E04FD3E + 243.50ns INFO [00000245] Port=1 RD @06 + 244.50ns INFO [00000246] * RD COMPARE * port=1 adr=01 act=3209B40ECCBA3950A9 exp=3209B40ECCBA3950A9 + 244.50ns INFO [00000246] Port=0 WR @05=971641561C0BFCDA24 + 244.50ns INFO [00000246] Port=0 RD @01 + 245.50ns INFO [00000247] * RD COMPARE * port=1 adr=06 act=E286BF232D19E6F594 exp=E286BF232D19E6F594 + 245.50ns INFO [00000247] Port=0 RD @06 + 245.50ns INFO [00000247] Port=1 RD @03 + 246.50ns INFO [00000248] * RD COMPARE * port=0 adr=01 act=3209B40ECCBA3950A9 exp=3209B40ECCBA3950A9 + 246.50ns INFO [00000248] Port=0 WR @03=1BA2BE809C1A237442 + 247.50ns INFO [00000249] * RD COMPARE * port=0 adr=06 act=E286BF232D19E6F594 exp=E286BF232D19E6F594 + 247.50ns INFO [00000249] * RD COMPARE * port=1 adr=03 act=BAB19A202938562B94 exp=BAB19A202938562B94 + 247.50ns INFO [00000249] Port=0 RD @04 + 248.50ns INFO [00000250] Port=1 RD @00 + 249.50ns INFO [00000251] * RD COMPARE * port=0 adr=04 act=EB0930668DBAA190C1 exp=EB0930668DBAA190C1 + 249.50ns INFO [00000251] Port=0 RD @07 + 249.50ns INFO [00000251] Port=1 RD @02 + 250.50ns INFO [00000252] * RD COMPARE * port=1 adr=00 act=2AE06E0BA5FFC6546B exp=2AE06E0BA5FFC6546B + 250.50ns INFO [00000252] Port=0 RD @06 + 251.50ns INFO [00000253] * RD COMPARE * port=0 adr=07 act=484445550B1E04FD3E exp=484445550B1E04FD3E + 251.50ns INFO [00000253] * RD COMPARE * port=1 adr=02 act=B0B1A2F490899B5487 exp=B0B1A2F490899B5487 + 251.50ns INFO [00000253] Port=0 RD @07 + 251.50ns INFO [00000253] Port=1 RD @02 + 252.50ns INFO [00000254] * RD COMPARE * port=0 adr=06 act=E286BF232D19E6F594 exp=E286BF232D19E6F594 + 252.50ns INFO [00000254] Port=0 WR @06=B1EF1EBD849AB434D0 + 253.50ns INFO [00000255] * RD COMPARE * port=0 adr=07 act=484445550B1E04FD3E exp=484445550B1E04FD3E + 253.50ns INFO [00000255] * RD COMPARE * port=1 adr=02 act=B0B1A2F490899B5487 exp=B0B1A2F490899B5487 + 253.50ns INFO [00000255] Port=0 WR @03=1534298E6866986AD6 + 253.50ns INFO [00000255] Port=1 RD @05 + 254.50ns INFO [00000256] Port=0 RD @04 + 255.50ns INFO [00000257] * RD COMPARE * port=1 adr=05 act=971641561C0BFCDA24 exp=971641561C0BFCDA24 + 255.50ns INFO [00000257] Port=0 RD @02 + 255.50ns INFO [00000257] Port=1 RD @07 + 256.50ns INFO [00000258] * RD COMPARE * port=0 adr=04 act=EB0930668DBAA190C1 exp=EB0930668DBAA190C1 + 256.50ns INFO [00000258] Port=0 WR @04=A2F9265AA520A88100 + 256.50ns INFO [00000258] Port=0 RD @00 + 257.50ns INFO [00000259] * RD COMPARE * port=0 adr=02 act=B0B1A2F490899B5487 exp=B0B1A2F490899B5487 + 257.50ns INFO [00000259] * RD COMPARE * port=1 adr=07 act=484445550B1E04FD3E exp=484445550B1E04FD3E + 257.50ns INFO [00000259] Port=0 WR @03=13B58CD1E0B71EF047 + 258.50ns INFO [00000260] * RD COMPARE * port=0 adr=00 act=2AE06E0BA5FFC6546B exp=2AE06E0BA5FFC6546B + 258.50ns INFO [00000260] Port=0 WR @06=E7DBF1D630E2B3FF94 + 259.50ns INFO [00000261] Port=0 WR @07=E81ED3CF24DF093C30 + 260.50ns INFO [00000262] Port=0 WR @04=C58CA497407FD6B88D + 260.50ns INFO [00000262] Port=0 RD @01 + 261.50ns INFO [00000263] Port=1 RD @00 + 262.50ns INFO [00000264] * RD COMPARE * port=0 adr=01 act=3209B40ECCBA3950A9 exp=3209B40ECCBA3950A9 + 262.50ns INFO [00000264] Port=0 WR @02=6B95FBEDA2ACB9653D + 262.50ns INFO [00000264] Port=1 RD @07 + 263.50ns INFO [00000265] * RD COMPARE * port=1 adr=00 act=2AE06E0BA5FFC6546B exp=2AE06E0BA5FFC6546B + 264.50ns INFO [00000266] * RD COMPARE * port=1 adr=07 act=E81ED3CF24DF093C30 exp=E81ED3CF24DF093C30 + 265.50ns INFO [00000267] Port=1 RD @02 + 266.50ns INFO [00000268] Port=0 WR @00=34CC94A04752DF681B + 267.50ns INFO [00000269] * RD COMPARE * port=1 adr=02 act=6B95FBEDA2ACB9653D exp=6B95FBEDA2ACB9653D + 267.50ns INFO [00000269] Port=0 WR @02=30B2A12DED4A8EE602 + 269.50ns INFO [00000271] Port=0 WR @02=4DAA82CC287C58979A + 269.50ns INFO [00000271] Port=0 RD @01 + 269.50ns INFO [00000271] Port=1 RD @00 + 270.50ns INFO [00000272] Port=0 WR @01=A0E803A00DDFE35612 + 270.50ns INFO [00000272] Port=0 RD @05 + 270.50ns INFO [00000272] Port=1 RD @02 + 271.50ns INFO [00000273] * RD COMPARE * port=0 adr=01 act=3209B40ECCBA3950A9 exp=3209B40ECCBA3950A9 + 271.50ns INFO [00000273] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B + 271.50ns INFO [00000273] Port=1 RD @03 + 272.50ns INFO [00000274] * RD COMPARE * port=0 adr=05 act=971641561C0BFCDA24 exp=971641561C0BFCDA24 + 272.50ns INFO [00000274] * RD COMPARE * port=1 adr=02 act=4DAA82CC287C58979A exp=4DAA82CC287C58979A + 272.50ns INFO [00000274] Port=0 RD @04 + 273.50ns INFO [00000275] * RD COMPARE * port=1 adr=03 act=13B58CD1E0B71EF047 exp=13B58CD1E0B71EF047 + 274.50ns INFO [00000276] * RD COMPARE * port=0 adr=04 act=C58CA497407FD6B88D exp=C58CA497407FD6B88D + 275.50ns INFO [00000277] Port=0 RD @01 + 275.50ns INFO [00000277] Port=1 RD @07 + 276.50ns INFO [00000278] Port=1 RD @00 + 277.50ns INFO [00000279] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 277.50ns INFO [00000279] * RD COMPARE * port=1 adr=07 act=E81ED3CF24DF093C30 exp=E81ED3CF24DF093C30 + 277.50ns INFO [00000279] Port=0 RD @07 + 278.50ns INFO [00000280] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B + 278.50ns INFO [00000280] Port=0 RD @00 + 279.50ns INFO [00000281] * RD COMPARE * port=0 adr=07 act=E81ED3CF24DF093C30 exp=E81ED3CF24DF093C30 + 280.50ns INFO [00000282] * RD COMPARE * port=0 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B + 281.50ns INFO [00000283] Port=0 WR @06=486EB5D081678511DA + 281.50ns INFO [00000283] Port=1 RD @03 + 282.50ns INFO [00000284] Port=0 RD @06 + 282.50ns INFO [00000284] Port=1 RD @01 + 283.50ns INFO [00000285] * RD COMPARE * port=1 adr=03 act=13B58CD1E0B71EF047 exp=13B58CD1E0B71EF047 + 283.50ns INFO [00000285] Port=0 WR @02=BCD86DDF384A9DD24B + 283.50ns INFO [00000285] Port=0 RD @05 + 283.50ns INFO [00000285] Port=1 RD @07 + 284.50ns INFO [00000286] * RD COMPARE * port=0 adr=06 act=486EB5D081678511DA exp=486EB5D081678511DA + 284.50ns INFO [00000286] * RD COMPARE * port=1 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 284.50ns INFO [00000286] Port=0 WR @07=32D0A4EE29EB9355DE + 284.50ns INFO [00000286] Port=0 RD @06 + 285.50ns INFO [00000287] * RD COMPARE * port=0 adr=05 act=971641561C0BFCDA24 exp=971641561C0BFCDA24 + 285.50ns INFO [00000287] * RD COMPARE * port=1 adr=07 act=E81ED3CF24DF093C30 exp=E81ED3CF24DF093C30 + 285.50ns INFO [00000287] Port=0 WR @02=3DFC75ADEE1CE0240C + 285.50ns INFO [00000287] Port=1 RD @01 + 286.50ns INFO [00000288] * RD COMPARE * port=0 adr=06 act=486EB5D081678511DA exp=486EB5D081678511DA + 286.50ns INFO [00000288] Port=0 WR @04=9FF1EB37C38C5F1B7B + 287.50ns INFO [00000289] * RD COMPARE * port=1 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 287.50ns INFO [00000289] Port=0 RD @07 + 288.50ns INFO [00000290] Port=0 RD @06 + 288.50ns INFO [00000290] Port=1 RD @00 + 289.50ns INFO [00000291] * RD COMPARE * port=0 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE + 289.50ns INFO [00000291] Port=1 RD @05 + 290.50ns INFO [00000292] * RD COMPARE * port=0 adr=06 act=486EB5D081678511DA exp=486EB5D081678511DA + 290.50ns INFO [00000292] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B + 291.50ns INFO [00000293] * RD COMPARE * port=1 adr=05 act=971641561C0BFCDA24 exp=971641561C0BFCDA24 + 291.50ns INFO [00000293] Port=1 RD @00 + 292.50ns INFO [00000294] Port=1 RD @00 + 293.50ns INFO [00000295] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B + 293.50ns INFO [00000295] Port=0 WR @03=EFAA8071110151850D + 294.50ns INFO [00000296] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B + 294.50ns INFO [00000296] Port=1 RD @04 + 295.50ns INFO [00000297] Port=0 RD @02 + 296.50ns INFO [00000298] * RD COMPARE * port=1 adr=04 act=9FF1EB37C38C5F1B7B exp=9FF1EB37C38C5F1B7B + 296.50ns INFO [00000298] Port=0 RD @01 + 297.50ns INFO [00000299] * RD COMPARE * port=0 adr=02 act=3DFC75ADEE1CE0240C exp=3DFC75ADEE1CE0240C + 297.50ns INFO [00000299] Port=0 RD @01 + 297.50ns INFO [00000299] Port=1 RD @06 + 298.00ns INFO [00000300] [00000300] ...tick... + 298.50ns INFO [00000300] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 299.50ns INFO [00000301] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 299.50ns INFO [00000301] * RD COMPARE * port=1 adr=06 act=486EB5D081678511DA exp=486EB5D081678511DA + 299.50ns INFO [00000301] Port=0 WR @02=385B4CA501452BE6B2 + 299.50ns INFO [00000301] Port=1 RD @03 + 300.50ns INFO [00000302] Port=0 WR @06=1B5DCF9927F917969B + 300.50ns INFO [00000302] Port=0 RD @07 + 301.50ns INFO [00000303] * RD COMPARE * port=1 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 301.50ns INFO [00000303] Port=0 RD @01 + 302.50ns INFO [00000304] * RD COMPARE * port=0 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE + 302.50ns INFO [00000304] Port=0 WR @05=35F9B3182E578DDFA8 + 302.50ns INFO [00000304] Port=1 RD @07 + 303.50ns INFO [00000305] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 303.50ns INFO [00000305] Port=1 RD @02 + 304.50ns INFO [00000306] * RD COMPARE * port=1 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE + 304.50ns INFO [00000306] Port=1 RD @05 + 305.50ns INFO [00000307] * RD COMPARE * port=1 adr=02 act=385B4CA501452BE6B2 exp=385B4CA501452BE6B2 + 305.50ns INFO [00000307] Port=0 RD @03 + 305.50ns INFO [00000307] Port=1 RD @07 + 306.50ns INFO [00000308] * RD COMPARE * port=1 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8 + 306.50ns INFO [00000308] Port=0 WR @06=BFC6E904B0B88CF86D + 306.50ns INFO [00000308] Port=1 RD @02 + 307.50ns INFO [00000309] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 307.50ns INFO [00000309] * RD COMPARE * port=1 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE + 307.50ns INFO [00000309] Port=0 WR @02=CAA264C815AEAAC334 + 307.50ns INFO [00000309] Port=0 RD @05 + 308.50ns INFO [00000310] * RD COMPARE * port=1 adr=02 act=385B4CA501452BE6B2 exp=385B4CA501452BE6B2 + 308.50ns INFO [00000310] Port=0 RD @02 + 308.50ns INFO [00000310] Port=1 RD @00 + 309.50ns INFO [00000311] * RD COMPARE * port=0 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8 + 309.50ns INFO [00000311] Port=0 WR @00=D41841025FD28DF6D2 + 310.50ns INFO [00000312] * RD COMPARE * port=0 adr=02 act=CAA264C815AEAAC334 exp=CAA264C815AEAAC334 + 310.50ns INFO [00000312] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B + 310.50ns INFO [00000312] Port=0 WR @04=868C4F636B0DC9A39D + 310.50ns INFO [00000312] Port=0 RD @01 + 310.50ns INFO [00000312] Port=1 RD @05 + 311.50ns INFO [00000313] Port=0 WR @02=BB5B9D2EBF4C943DBE + 311.50ns INFO [00000313] Port=1 RD @01 + 312.50ns INFO [00000314] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 312.50ns INFO [00000314] * RD COMPARE * port=1 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8 + 312.50ns INFO [00000314] Port=0 RD @02 + 313.50ns INFO [00000315] * RD COMPARE * port=1 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 313.50ns INFO [00000315] Port=0 WR @00=EBC528D526F5C1FEE1 + 313.50ns INFO [00000315] Port=0 RD @01 + 314.50ns INFO [00000316] * RD COMPARE * port=0 adr=02 act=BB5B9D2EBF4C943DBE exp=BB5B9D2EBF4C943DBE + 315.50ns INFO [00000317] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 315.50ns INFO [00000317] Port=1 RD @00 + 316.50ns INFO [00000318] Port=0 RD @03 + 316.50ns INFO [00000318] Port=1 RD @01 + 317.50ns INFO [00000319] * RD COMPARE * port=1 adr=00 act=EBC528D526F5C1FEE1 exp=EBC528D526F5C1FEE1 + 317.50ns INFO [00000319] Port=0 RD @01 + 318.50ns INFO [00000320] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 318.50ns INFO [00000320] * RD COMPARE * port=1 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 319.50ns INFO [00000321] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 320.50ns INFO [00000322] Port=0 WR @02=EA069C680DC1348400 + 320.50ns INFO [00000322] Port=1 RD @05 + 321.50ns INFO [00000323] Port=1 RD @04 + 322.50ns INFO [00000324] * RD COMPARE * port=1 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8 + 322.50ns INFO [00000324] Port=0 WR @00=8EA50388F7595FFB6B + 322.50ns INFO [00000324] Port=0 RD @01 + 322.50ns INFO [00000324] Port=1 RD @05 + 323.50ns INFO [00000325] * RD COMPARE * port=1 adr=04 act=868C4F636B0DC9A39D exp=868C4F636B0DC9A39D + 323.50ns INFO [00000325] Port=0 WR @02=3E00EF5E04DD419CB9 + 324.50ns INFO [00000326] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 324.50ns INFO [00000326] * RD COMPARE * port=1 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8 + 324.50ns INFO [00000326] Port=1 RD @05 + 325.50ns INFO [00000327] Port=0 WR @04=3047905F9A4577169F + 325.50ns INFO [00000327] Port=0 RD @00 + 325.50ns INFO [00000327] Port=1 RD @02 + 326.50ns INFO [00000328] * RD COMPARE * port=1 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8 + 326.50ns INFO [00000328] Port=1 RD @03 + 327.50ns INFO [00000329] * RD COMPARE * port=0 adr=00 act=8EA50388F7595FFB6B exp=8EA50388F7595FFB6B + 327.50ns INFO [00000329] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9 + 327.50ns INFO [00000329] Port=1 RD @02 + 328.50ns INFO [00000330] * RD COMPARE * port=1 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 328.50ns INFO [00000330] Port=0 RD @04 + 328.50ns INFO [00000330] Port=1 RD @07 + 329.50ns INFO [00000331] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9 + 330.50ns INFO [00000332] * RD COMPARE * port=0 adr=04 act=3047905F9A4577169F exp=3047905F9A4577169F + 330.50ns INFO [00000332] * RD COMPARE * port=1 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE + 330.50ns INFO [00000332] Port=0 RD @07 + 331.50ns INFO [00000333] Port=1 RD @01 + 332.50ns INFO [00000334] * RD COMPARE * port=0 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE + 332.50ns INFO [00000334] Port=0 RD @04 + 333.50ns INFO [00000335] * RD COMPARE * port=1 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612 + 333.50ns INFO [00000335] Port=0 WR @00=5DDA564D4F4C03ACE6 + 333.50ns INFO [00000335] Port=0 RD @05 + 333.50ns INFO [00000335] Port=1 RD @06 + 334.50ns INFO [00000336] * RD COMPARE * port=0 adr=04 act=3047905F9A4577169F exp=3047905F9A4577169F + 335.50ns INFO [00000337] * RD COMPARE * port=0 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8 + 335.50ns INFO [00000337] * RD COMPARE * port=1 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D + 337.50ns INFO [00000339] Port=0 WR @01=E27E13410C7CFE20ED + 338.50ns INFO [00000340] Port=0 WR @05=24CA6DB5779D2C42BA + 338.50ns INFO [00000340] Port=0 RD @01 + 339.50ns INFO [00000341] Port=0 WR @07=8381DA2C26C3F30D27 + 339.50ns INFO [00000341] Port=1 RD @02 + 340.50ns INFO [00000342] * RD COMPARE * port=0 adr=01 act=E27E13410C7CFE20ED exp=E27E13410C7CFE20ED + 340.50ns INFO [00000342] Port=0 WR @01=6FB96105E671630892 + 340.50ns INFO [00000342] Port=0 RD @04 + 341.50ns INFO [00000343] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9 + 341.50ns INFO [00000343] Port=0 WR @05=6033BC42DB24488222 + 341.50ns INFO [00000343] Port=0 RD @06 + 342.50ns INFO [00000344] * RD COMPARE * port=0 adr=04 act=3047905F9A4577169F exp=3047905F9A4577169F + 342.50ns INFO [00000344] Port=1 RD @00 + 343.50ns INFO [00000345] * RD COMPARE * port=0 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D + 344.50ns INFO [00000346] * RD COMPARE * port=1 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6 + 344.50ns INFO [00000346] Port=0 WR @07=4DB7C2512900AF347D + 344.50ns INFO [00000346] Port=1 RD @02 + 345.50ns INFO [00000347] Port=0 RD @04 + 345.50ns INFO [00000347] Port=1 RD @05 + 346.50ns INFO [00000348] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9 + 346.50ns INFO [00000348] Port=0 RD @04 + 347.50ns INFO [00000349] * RD COMPARE * port=0 adr=04 act=3047905F9A4577169F exp=3047905F9A4577169F + 347.50ns INFO [00000349] * RD COMPARE * port=1 adr=05 act=6033BC42DB24488222 exp=6033BC42DB24488222 + 347.50ns INFO [00000349] Port=1 RD @00 + 348.50ns INFO [00000350] * RD COMPARE * port=0 adr=04 act=3047905F9A4577169F exp=3047905F9A4577169F + 348.50ns INFO [00000350] Port=0 WR @01=32B17B9FCE444B8972 + 349.50ns INFO [00000351] * RD COMPARE * port=1 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6 + 349.50ns INFO [00000351] Port=1 RD @07 + 350.50ns INFO [00000352] Port=0 RD @02 + 351.50ns INFO [00000353] * RD COMPARE * port=1 adr=07 act=4DB7C2512900AF347D exp=4DB7C2512900AF347D + 352.50ns INFO [00000354] * RD COMPARE * port=0 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9 + 352.50ns INFO [00000354] Port=0 WR @04=505E57F17179E4D327 + 352.50ns INFO [00000354] Port=0 RD @03 + 352.50ns INFO [00000354] Port=1 RD @00 + 353.50ns INFO [00000355] Port=0 WR @01=B96B91173181FEC176 + 354.50ns INFO [00000356] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 354.50ns INFO [00000356] * RD COMPARE * port=1 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6 + 354.50ns INFO [00000356] Port=0 WR @05=CA4A223D851175B20C + 355.50ns INFO [00000357] Port=1 RD @05 + 357.50ns INFO [00000359] * RD COMPARE * port=1 adr=05 act=CA4A223D851175B20C exp=CA4A223D851175B20C + 357.50ns INFO [00000359] Port=0 WR @04=4CDA73B57EF8D4029E + 358.50ns INFO [00000360] Port=0 RD @05 + 358.50ns INFO [00000360] Port=1 RD @02 + 360.50ns INFO [00000362] * RD COMPARE * port=0 adr=05 act=CA4A223D851175B20C exp=CA4A223D851175B20C + 360.50ns INFO [00000362] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9 + 360.50ns INFO [00000362] Port=0 WR @01=C0ABA2EA6356BDAF03 + 361.50ns INFO [00000363] Port=0 RD @05 + 362.50ns INFO [00000364] Port=0 WR @01=BAC2FEC39E9D401845 + 362.50ns INFO [00000364] Port=0 RD @02 + 362.50ns INFO [00000364] Port=1 RD @06 + 363.50ns INFO [00000365] * RD COMPARE * port=0 adr=05 act=CA4A223D851175B20C exp=CA4A223D851175B20C + 363.50ns INFO [00000365] Port=1 RD @05 + 364.50ns INFO [00000366] * RD COMPARE * port=0 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9 + 364.50ns INFO [00000366] * RD COMPARE * port=1 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D + 364.50ns INFO [00000366] Port=0 WR @07=DC4566881CB2FCA4A6 + 364.50ns INFO [00000366] Port=0 RD @06 + 364.50ns INFO [00000366] Port=1 RD @03 + 365.50ns INFO [00000367] * RD COMPARE * port=1 adr=05 act=CA4A223D851175B20C exp=CA4A223D851175B20C + 365.50ns INFO [00000367] Port=1 RD @03 + 366.50ns INFO [00000368] * RD COMPARE * port=0 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D + 366.50ns INFO [00000368] * RD COMPARE * port=1 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 366.50ns INFO [00000368] Port=0 RD @01 + 366.50ns INFO [00000368] Port=1 RD @07 + 367.50ns INFO [00000369] * RD COMPARE * port=1 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 367.50ns INFO [00000369] Port=1 RD @02 + 368.50ns INFO [00000370] * RD COMPARE * port=0 adr=01 act=BAC2FEC39E9D401845 exp=BAC2FEC39E9D401845 + 368.50ns INFO [00000370] * RD COMPARE * port=1 adr=07 act=DC4566881CB2FCA4A6 exp=DC4566881CB2FCA4A6 + 368.50ns INFO [00000370] Port=0 WR @01=7A0F88C81C1CC57C02 + 368.50ns INFO [00000370] Port=0 RD @06 + 369.50ns INFO [00000371] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9 + 369.50ns INFO [00000371] Port=0 WR @07=B33E7A6347EE44F843 + 369.50ns INFO [00000371] Port=0 RD @00 + 369.50ns INFO [00000371] Port=1 RD @03 + 370.50ns INFO [00000372] * RD COMPARE * port=0 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D + 370.50ns INFO [00000372] Port=0 WR @07=7B1C75D3F6A544128B + 370.50ns INFO [00000372] Port=0 RD @06 + 370.50ns INFO [00000372] Port=1 RD @01 + 371.50ns INFO [00000373] * RD COMPARE * port=0 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6 + 371.50ns INFO [00000373] * RD COMPARE * port=1 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 371.50ns INFO [00000373] Port=0 WR @05=32FFCB359ABD0F28D0 + 371.50ns INFO [00000373] Port=0 RD @02 + 372.50ns INFO [00000374] * RD COMPARE * port=0 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D + 372.50ns INFO [00000374] * RD COMPARE * port=1 adr=01 act=7A0F88C81C1CC57C02 exp=7A0F88C81C1CC57C02 + 373.50ns INFO [00000375] * RD COMPARE * port=0 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9 + 373.50ns INFO [00000375] Port=0 RD @03 + 374.50ns INFO [00000376] Port=0 RD @02 + 374.50ns INFO [00000376] Port=1 RD @04 + 375.50ns INFO [00000377] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 375.50ns INFO [00000377] Port=0 WR @02=7D6E883BF318BEB363 + 376.50ns INFO [00000378] * RD COMPARE * port=0 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9 + 376.50ns INFO [00000378] * RD COMPARE * port=1 adr=04 act=4CDA73B57EF8D4029E exp=4CDA73B57EF8D4029E + 377.50ns INFO [00000379] Port=0 WR @02=7D733158C38574FF6A + 377.50ns INFO [00000379] Port=0 RD @03 + 377.50ns INFO [00000379] Port=1 RD @00 + 378.50ns INFO [00000380] Port=1 RD @05 + 379.50ns INFO [00000381] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 379.50ns INFO [00000381] * RD COMPARE * port=1 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6 + 379.50ns INFO [00000381] Port=0 WR @01=66B30C7AD71111600B + 379.50ns INFO [00000381] Port=1 RD @04 + 380.50ns INFO [00000382] * RD COMPARE * port=1 adr=05 act=32FFCB359ABD0F28D0 exp=32FFCB359ABD0F28D0 + 380.50ns INFO [00000382] Port=0 RD @03 + 381.50ns INFO [00000383] * RD COMPARE * port=1 adr=04 act=4CDA73B57EF8D4029E exp=4CDA73B57EF8D4029E + 381.50ns INFO [00000383] Port=0 WR @05=85AE6865AE133DF072 + 381.50ns INFO [00000383] Port=0 RD @03 + 382.50ns INFO [00000384] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 383.50ns INFO [00000385] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D + 383.50ns INFO [00000385] Port=0 WR @01=F4AC099519740B1A00 + 384.50ns INFO [00000386] Port=0 WR @03=70CA80A5E89591A661 + 385.50ns INFO [00000387] Port=1 RD @03 + 386.50ns INFO [00000388] Port=0 RD @00 + 387.50ns INFO [00000389] * RD COMPARE * port=1 adr=03 act=70CA80A5E89591A661 exp=70CA80A5E89591A661 + 387.50ns INFO [00000389] Port=1 RD @05 + 388.50ns INFO [00000390] * RD COMPARE * port=0 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6 + 388.50ns INFO [00000390] Port=0 WR @07=86C08B321976C4BFB6 + 388.50ns INFO [00000390] Port=0 RD @01 + 389.50ns INFO [00000391] * RD COMPARE * port=1 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072 + 389.50ns INFO [00000391] Port=0 WR @02=A9C31445807D8914B3 + 389.50ns INFO [00000391] Port=0 RD @04 + 390.50ns INFO [00000392] * RD COMPARE * port=0 adr=01 act=F4AC099519740B1A00 exp=F4AC099519740B1A00 + 391.50ns INFO [00000393] * RD COMPARE * port=0 adr=04 act=4CDA73B57EF8D4029E exp=4CDA73B57EF8D4029E + 391.50ns INFO [00000393] Port=0 WR @03=A2DCBBF01BC7E1ED7B + 391.50ns INFO [00000393] Port=0 RD @05 + 393.50ns INFO [00000395] * RD COMPARE * port=0 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072 + 394.50ns INFO [00000396] Port=0 RD @00 + 394.50ns INFO [00000396] Port=1 RD @04 + 395.50ns INFO [00000397] Port=0 WR @06=7292D9A5343559BC7F + 395.50ns INFO [00000397] Port=0 RD @03 + 396.50ns INFO [00000398] * RD COMPARE * port=0 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6 + 396.50ns INFO [00000398] * RD COMPARE * port=1 adr=04 act=4CDA73B57EF8D4029E exp=4CDA73B57EF8D4029E + 396.50ns INFO [00000398] Port=0 WR @00=7E5721207C5E867F0C + 397.50ns INFO [00000399] * RD COMPARE * port=0 adr=03 act=A2DCBBF01BC7E1ED7B exp=A2DCBBF01BC7E1ED7B + 397.50ns INFO [00000399] Port=0 WR @03=A1700D56728674E2EF + 397.50ns INFO [00000399] Port=1 RD @00 + 398.00ns INFO [00000400] [00000400] ...tick... + 398.50ns INFO [00000400] Port=1 RD @07 + 399.50ns INFO [00000401] * RD COMPARE * port=1 adr=00 act=7E5721207C5E867F0C exp=7E5721207C5E867F0C + 400.50ns INFO [00000402] * RD COMPARE * port=1 adr=07 act=86C08B321976C4BFB6 exp=86C08B321976C4BFB6 + 400.50ns INFO [00000402] Port=0 WR @07=B19DC49D66D2DCC7F5 + 400.50ns INFO [00000402] Port=0 RD @01 + 400.50ns INFO [00000402] Port=1 RD @05 + 402.50ns INFO [00000404] * RD COMPARE * port=0 adr=01 act=F4AC099519740B1A00 exp=F4AC099519740B1A00 + 402.50ns INFO [00000404] * RD COMPARE * port=1 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072 + 402.50ns INFO [00000404] Port=1 RD @03 + 404.50ns INFO [00000406] * RD COMPARE * port=1 adr=03 act=A1700D56728674E2EF exp=A1700D56728674E2EF + 406.50ns INFO [00000408] Port=0 RD @05 + 406.50ns INFO [00000408] Port=1 RD @06 + 408.50ns INFO [00000410] * RD COMPARE * port=0 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072 + 408.50ns INFO [00000410] * RD COMPARE * port=1 adr=06 act=7292D9A5343559BC7F exp=7292D9A5343559BC7F + 408.50ns INFO [00000410] Port=0 WR @04=AC2653BB79AADE622D + 410.50ns INFO [00000412] Port=0 WR @06=BDC2CB3297BEACCE28 + 410.50ns INFO [00000412] Port=0 RD @07 + 411.50ns INFO [00000413] Port=0 RD @01 + 411.50ns INFO [00000413] Port=1 RD @06 + 412.50ns INFO [00000414] * RD COMPARE * port=0 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5 + 412.50ns INFO [00000414] Port=0 RD @00 + 412.50ns INFO [00000414] Port=1 RD @07 + 413.50ns INFO [00000415] * RD COMPARE * port=0 adr=01 act=F4AC099519740B1A00 exp=F4AC099519740B1A00 + 413.50ns INFO [00000415] * RD COMPARE * port=1 adr=06 act=BDC2CB3297BEACCE28 exp=BDC2CB3297BEACCE28 + 413.50ns INFO [00000415] Port=0 WR @03=AEE33F199E3A7D162A + 413.50ns INFO [00000415] Port=1 RD @02 + 414.50ns INFO [00000416] * RD COMPARE * port=0 adr=00 act=7E5721207C5E867F0C exp=7E5721207C5E867F0C + 414.50ns INFO [00000416] * RD COMPARE * port=1 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5 + 415.50ns INFO [00000417] * RD COMPARE * port=1 adr=02 act=A9C31445807D8914B3 exp=A9C31445807D8914B3 + 415.50ns INFO [00000417] Port=0 RD @04 + 417.50ns INFO [00000419] * RD COMPARE * port=0 adr=04 act=AC2653BB79AADE622D exp=AC2653BB79AADE622D + 418.50ns INFO [00000420] Port=0 WR @02=033FE2FA811837B05E + 419.50ns INFO [00000421] Port=0 RD @07 + 420.50ns INFO [00000422] Port=0 WR @06=AAB06651F286040551 + 420.50ns INFO [00000422] Port=0 RD @00 + 420.50ns INFO [00000422] Port=1 RD @07 + 421.50ns INFO [00000423] * RD COMPARE * port=0 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5 + 421.50ns INFO [00000423] Port=0 WR @00=24C2516F8D525B145A + 421.50ns INFO [00000423] Port=0 RD @05 + 421.50ns INFO [00000423] Port=1 RD @05 + 422.50ns INFO [00000424] * RD COMPARE * port=0 adr=00 act=7E5721207C5E867F0C exp=7E5721207C5E867F0C + 422.50ns INFO [00000424] * RD COMPARE * port=1 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5 + 422.50ns INFO [00000424] Port=0 WR @01=3E06BC64F5E926AC9A + 422.50ns INFO [00000424] Port=0 RD @00 + 422.50ns INFO [00000424] Port=1 RD @06 + 423.50ns INFO [00000425] * RD COMPARE * port=0 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072 + 423.50ns INFO [00000425] * RD COMPARE * port=1 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072 + 423.50ns INFO [00000425] Port=1 RD @03 + 424.50ns INFO [00000426] * RD COMPARE * port=0 adr=00 act=24C2516F8D525B145A exp=24C2516F8D525B145A + 424.50ns INFO [00000426] * RD COMPARE * port=1 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551 + 424.50ns INFO [00000426] Port=0 WR @02=EFF2F0C3F74C74E213 + 424.50ns INFO [00000426] Port=1 RD @05 + 425.50ns INFO [00000427] * RD COMPARE * port=1 adr=03 act=AEE33F199E3A7D162A exp=AEE33F199E3A7D162A + 425.50ns INFO [00000427] Port=0 WR @05=84D9BE538ABF4CC566 + 425.50ns INFO [00000427] Port=1 RD @04 + 426.50ns INFO [00000428] * RD COMPARE * port=1 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072 + 427.50ns INFO [00000429] * RD COMPARE * port=1 adr=04 act=AC2653BB79AADE622D exp=AC2653BB79AADE622D + 428.50ns INFO [00000430] Port=0 WR @03=977C8A0AF8FE241874 + 429.50ns INFO [00000431] Port=0 WR @03=4F853070EA971630A9 + 429.50ns INFO [00000431] Port=1 RD @05 + 430.50ns INFO [00000432] Port=0 WR @01=E9B5CB8FB5BBF1C9D2 + 431.50ns INFO [00000433] * RD COMPARE * port=1 adr=05 act=84D9BE538ABF4CC566 exp=84D9BE538ABF4CC566 + 435.50ns INFO [00000437] Port=1 RD @06 + 436.50ns INFO [00000438] Port=1 RD @06 + 437.50ns INFO [00000439] * RD COMPARE * port=1 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551 + 437.50ns INFO [00000439] Port=0 WR @05=5A35BE9A6A42CC09DC + 437.50ns INFO [00000439] Port=1 RD @03 + 438.50ns INFO [00000440] * RD COMPARE * port=1 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551 + 438.50ns INFO [00000440] Port=0 WR @04=687C47368AFBFD4CA3 + 439.50ns INFO [00000441] * RD COMPARE * port=1 adr=03 act=4F853070EA971630A9 exp=4F853070EA971630A9 + 439.50ns INFO [00000441] Port=0 WR @05=3D3416870D45A95D83 + 439.50ns INFO [00000441] Port=0 RD @00 + 440.50ns INFO [00000442] Port=0 RD @01 + 441.50ns INFO [00000443] * RD COMPARE * port=0 adr=00 act=24C2516F8D525B145A exp=24C2516F8D525B145A + 441.50ns INFO [00000443] Port=0 WR @04=0D6647DF1A160E8A25 + 441.50ns INFO [00000443] Port=1 RD @02 + 442.50ns INFO [00000444] * RD COMPARE * port=0 adr=01 act=E9B5CB8FB5BBF1C9D2 exp=E9B5CB8FB5BBF1C9D2 + 442.50ns INFO [00000444] Port=0 WR @04=E993D9B74A94513275 + 442.50ns INFO [00000444] Port=0 RD @01 + 443.50ns INFO [00000445] * RD COMPARE * port=1 adr=02 act=EFF2F0C3F74C74E213 exp=EFF2F0C3F74C74E213 + 443.50ns INFO [00000445] Port=0 RD @02 + 443.50ns INFO [00000445] Port=1 RD @00 + 444.50ns INFO [00000446] * RD COMPARE * port=0 adr=01 act=E9B5CB8FB5BBF1C9D2 exp=E9B5CB8FB5BBF1C9D2 + 444.50ns INFO [00000446] Port=0 RD @06 + 444.50ns INFO [00000446] Port=1 RD @07 + 445.50ns INFO [00000447] * RD COMPARE * port=0 adr=02 act=EFF2F0C3F74C74E213 exp=EFF2F0C3F74C74E213 + 445.50ns INFO [00000447] * RD COMPARE * port=1 adr=00 act=24C2516F8D525B145A exp=24C2516F8D525B145A + 445.50ns INFO [00000447] Port=0 WR @02=79732B267F05EB3933 + 445.50ns INFO [00000447] Port=0 RD @06 + 445.50ns INFO [00000447] Port=1 RD @04 + 446.50ns INFO [00000448] * RD COMPARE * port=0 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551 + 446.50ns INFO [00000448] * RD COMPARE * port=1 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5 + 446.50ns INFO [00000448] Port=0 WR @01=66600D7B5AA0325AE5 + 446.50ns INFO [00000448] Port=0 RD @00 + 447.50ns INFO [00000449] * RD COMPARE * port=0 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551 + 447.50ns INFO [00000449] * RD COMPARE * port=1 adr=04 act=E993D9B74A94513275 exp=E993D9B74A94513275 + 447.50ns INFO [00000449] Port=1 RD @00 + 448.50ns INFO [00000450] * RD COMPARE * port=0 adr=00 act=24C2516F8D525B145A exp=24C2516F8D525B145A + 448.50ns INFO [00000450] Port=0 WR @03=8F67285CD58686D9A0 + 448.50ns INFO [00000450] Port=0 RD @07 + 449.50ns INFO [00000451] * RD COMPARE * port=1 adr=00 act=24C2516F8D525B145A exp=24C2516F8D525B145A + 449.50ns INFO [00000451] Port=0 WR @03=A52B302AE974905322 + 449.50ns INFO [00000451] Port=1 RD @05 + 450.50ns INFO [00000452] * RD COMPARE * port=0 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5 + 451.50ns INFO [00000453] * RD COMPARE * port=1 adr=05 act=3D3416870D45A95D83 exp=3D3416870D45A95D83 + 451.50ns INFO [00000453] Port=0 RD @04 + 451.50ns INFO [00000453] Port=1 RD @03 + 452.50ns INFO [00000454] Port=0 WR @00=D3D94226409D3D9600 + 452.50ns INFO [00000454] Port=1 RD @04 + 453.50ns INFO [00000455] * RD COMPARE * port=0 adr=04 act=E993D9B74A94513275 exp=E993D9B74A94513275 + 453.50ns INFO [00000455] * RD COMPARE * port=1 adr=03 act=A52B302AE974905322 exp=A52B302AE974905322 + 453.50ns INFO [00000455] Port=0 WR @05=49B0FB355BE83C6D10 + 453.50ns INFO [00000455] Port=0 RD @02 + 453.50ns INFO [00000455] Port=1 RD @06 + 454.50ns INFO [00000456] * RD COMPARE * port=1 adr=04 act=E993D9B74A94513275 exp=E993D9B74A94513275 + 454.50ns INFO [00000456] Port=1 RD @01 + 455.50ns INFO [00000457] * RD COMPARE * port=0 adr=02 act=79732B267F05EB3933 exp=79732B267F05EB3933 + 455.50ns INFO [00000457] * RD COMPARE * port=1 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551 + 455.50ns INFO [00000457] Port=1 RD @01 + 456.50ns INFO [00000458] * RD COMPARE * port=1 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5 + 456.50ns INFO [00000458] Port=0 WR @05=F9C795AF7A40727689 + 456.50ns INFO [00000458] Port=0 RD @01 + 457.50ns INFO [00000459] * RD COMPARE * port=1 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5 + 457.50ns INFO [00000459] Port=0 RD @05 + 457.50ns INFO [00000459] Port=1 RD @03 + 458.50ns INFO [00000460] * RD COMPARE * port=0 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5 + 458.50ns INFO [00000460] Port=0 RD @04 + 458.50ns INFO [00000460] Port=1 RD @06 + 459.50ns INFO [00000461] * RD COMPARE * port=0 adr=05 act=F9C795AF7A40727689 exp=F9C795AF7A40727689 + 459.50ns INFO [00000461] * RD COMPARE * port=1 adr=03 act=A52B302AE974905322 exp=A52B302AE974905322 + 459.50ns INFO [00000461] Port=0 WR @06=F365AFD6870A796C05 + 459.50ns INFO [00000461] Port=1 RD @02 + 460.50ns INFO [00000462] * RD COMPARE * port=0 adr=04 act=E993D9B74A94513275 exp=E993D9B74A94513275 + 460.50ns INFO [00000462] * RD COMPARE * port=1 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551 + 460.50ns INFO [00000462] Port=0 RD @04 + 460.50ns INFO [00000462] Port=1 RD @07 + 461.50ns INFO [00000463] * RD COMPARE * port=1 adr=02 act=79732B267F05EB3933 exp=79732B267F05EB3933 + 461.50ns INFO [00000463] Port=0 RD @01 + 462.50ns INFO [00000464] * RD COMPARE * port=0 adr=04 act=E993D9B74A94513275 exp=E993D9B74A94513275 + 462.50ns INFO [00000464] * RD COMPARE * port=1 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5 + 462.50ns INFO [00000464] Port=0 RD @06 + 462.50ns INFO [00000464] Port=1 RD @05 + 463.50ns INFO [00000465] * RD COMPARE * port=0 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5 + 463.50ns INFO [00000465] Port=0 RD @01 + 464.50ns INFO [00000466] * RD COMPARE * port=0 adr=06 act=F365AFD6870A796C05 exp=F365AFD6870A796C05 + 464.50ns INFO [00000466] * RD COMPARE * port=1 adr=05 act=F9C795AF7A40727689 exp=F9C795AF7A40727689 + 465.50ns INFO [00000467] * RD COMPARE * port=0 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5 + 465.50ns INFO [00000467] Port=0 RD @07 + 466.50ns INFO [00000468] Port=0 WR @00=8455CEE5F02CC3E9BD + 466.50ns INFO [00000468] Port=0 RD @07 + 466.50ns INFO [00000468] Port=1 RD @01 + 467.50ns INFO [00000469] * RD COMPARE * port=0 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5 + 467.50ns INFO [00000469] Port=0 RD @02 + 468.50ns INFO [00000470] * RD COMPARE * port=0 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5 + 468.50ns INFO [00000470] * RD COMPARE * port=1 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5 + 468.50ns INFO [00000470] Port=0 WR @02=6634E50617B799812B + 468.50ns INFO [00000470] Port=0 RD @05 + 469.50ns INFO [00000471] * RD COMPARE * port=0 adr=02 act=79732B267F05EB3933 exp=79732B267F05EB3933 + 469.50ns INFO [00000471] Port=0 WR @04=007B29DA01CC4A8943 + 470.50ns INFO [00000472] * RD COMPARE * port=0 adr=05 act=F9C795AF7A40727689 exp=F9C795AF7A40727689 + 471.50ns INFO [00000473] Port=0 WR @00=5CCF27A68804F84D41 + 471.50ns INFO [00000473] Port=1 RD @01 + 472.50ns INFO [00000474] Port=1 RD @06 + 473.50ns INFO [00000475] * RD COMPARE * port=1 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5 + 473.50ns INFO [00000475] Port=0 WR @04=8F9924CE946520C045 + 473.50ns INFO [00000475] Port=0 RD @01 + 473.50ns INFO [00000475] Port=1 RD @07 + 474.50ns INFO [00000476] * RD COMPARE * port=1 adr=06 act=F365AFD6870A796C05 exp=F365AFD6870A796C05 + 474.50ns INFO [00000476] Port=0 WR @05=AFFAE77AA5D2E384FD + 474.50ns INFO [00000476] Port=0 RD @03 + 475.50ns INFO [00000477] * RD COMPARE * port=0 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5 + 475.50ns INFO [00000477] * RD COMPARE * port=1 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5 + 475.50ns INFO [00000477] Port=0 WR @00=506FFE63480DA89D03 + 475.50ns INFO [00000477] Port=0 RD @05 + 476.50ns INFO [00000478] * RD COMPARE * port=0 adr=03 act=A52B302AE974905322 exp=A52B302AE974905322 + 476.50ns INFO [00000478] Port=0 WR @04=45BE8584F2796076EB + 477.50ns INFO [00000479] * RD COMPARE * port=0 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD + 478.50ns INFO [00000480] Port=0 RD @00 + 479.50ns INFO [00000481] Port=0 WR @07=25EE2EEC340BD8D9BF + 479.50ns INFO [00000481] Port=0 RD @01 + 480.50ns INFO [00000482] * RD COMPARE * port=0 adr=00 act=506FFE63480DA89D03 exp=506FFE63480DA89D03 + 480.50ns INFO [00000482] Port=0 WR @00=509AEAE68D2FAAA970 + 480.50ns INFO [00000482] Port=0 RD @07 + 481.50ns INFO [00000483] * RD COMPARE * port=0 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5 + 482.50ns INFO [00000484] * RD COMPARE * port=0 adr=07 act=25EE2EEC340BD8D9BF exp=25EE2EEC340BD8D9BF + 483.50ns INFO [00000485] Port=0 RD @04 + 484.50ns INFO [00000486] Port=0 WR @03=1DDE061FA6CA1D3AA2 + 484.50ns INFO [00000486] Port=0 RD @06 + 485.50ns INFO [00000487] * RD COMPARE * port=0 adr=04 act=45BE8584F2796076EB exp=45BE8584F2796076EB + 485.50ns INFO [00000487] Port=0 WR @00=613462730C01AEF1D7 + 485.50ns INFO [00000487] Port=0 RD @05 + 485.50ns INFO [00000487] Port=1 RD @07 + 486.50ns INFO [00000488] * RD COMPARE * port=0 adr=06 act=F365AFD6870A796C05 exp=F365AFD6870A796C05 + 486.50ns INFO [00000488] Port=0 RD @00 + 487.50ns INFO [00000489] * RD COMPARE * port=0 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD + 487.50ns INFO [00000489] * RD COMPARE * port=1 adr=07 act=25EE2EEC340BD8D9BF exp=25EE2EEC340BD8D9BF + 487.50ns INFO [00000489] Port=0 WR @02=A71C8697891A0EDD07 + 488.50ns INFO [00000490] * RD COMPARE * port=0 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7 + 489.50ns INFO [00000491] Port=0 RD @03 + 491.50ns INFO [00000493] * RD COMPARE * port=0 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2 + 491.50ns INFO [00000493] Port=0 RD @05 + 492.50ns INFO [00000494] Port=0 RD @03 + 492.50ns INFO [00000494] Port=1 RD @02 + 493.50ns INFO [00000495] * RD COMPARE * port=0 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD + 494.50ns INFO [00000496] * RD COMPARE * port=0 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2 + 494.50ns INFO [00000496] * RD COMPARE * port=1 adr=02 act=A71C8697891A0EDD07 exp=A71C8697891A0EDD07 + 494.50ns INFO [00000496] Port=1 RD @05 + 495.50ns INFO [00000497] Port=0 WR @01=CF799332BA069D85DD + 495.50ns INFO [00000497] Port=1 RD @07 + 496.50ns INFO [00000498] * RD COMPARE * port=1 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD + 496.50ns INFO [00000498] Port=0 RD @06 + 497.50ns INFO [00000499] * RD COMPARE * port=1 adr=07 act=25EE2EEC340BD8D9BF exp=25EE2EEC340BD8D9BF + 497.50ns INFO [00000499] Port=0 WR @06=0BFEF499A2CF4E0260 + 497.50ns INFO [00000499] Port=0 RD @03 + 497.50ns INFO [00000499] Port=1 RD @00 + 498.00ns INFO [00000500] [00000500] ...tick... + 498.50ns INFO [00000500] * RD COMPARE * port=0 adr=06 act=F365AFD6870A796C05 exp=F365AFD6870A796C05 + 498.50ns INFO [00000500] Port=0 RD @06 + 499.50ns INFO [00000501] * RD COMPARE * port=0 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2 + 499.50ns INFO [00000501] * RD COMPARE * port=1 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7 + 499.50ns INFO [00000501] Port=0 RD @03 + 499.50ns INFO [00000501] Port=1 RD @03 + 500.50ns INFO [00000502] * RD COMPARE * port=0 adr=06 act=0BFEF499A2CF4E0260 exp=0BFEF499A2CF4E0260 + 500.50ns INFO [00000502] Port=1 RD @01 + 501.50ns INFO [00000503] * RD COMPARE * port=0 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2 + 501.50ns INFO [00000503] * RD COMPARE * port=1 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2 + 501.50ns INFO [00000503] Port=0 RD @03 + 501.50ns INFO [00000503] Port=1 RD @05 + 502.50ns INFO [00000504] * RD COMPARE * port=1 adr=01 act=CF799332BA069D85DD exp=CF799332BA069D85DD + 502.50ns INFO [00000504] Port=1 RD @02 + 503.50ns INFO [00000505] * RD COMPARE * port=0 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2 + 503.50ns INFO [00000505] * RD COMPARE * port=1 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD + 503.50ns INFO [00000505] Port=1 RD @04 + 504.50ns INFO [00000506] * RD COMPARE * port=1 adr=02 act=A71C8697891A0EDD07 exp=A71C8697891A0EDD07 + 504.50ns INFO [00000506] Port=0 WR @06=BEE48DF5E754231624 + 504.50ns INFO [00000506] Port=1 RD @05 + 505.50ns INFO [00000507] * RD COMPARE * port=1 adr=04 act=45BE8584F2796076EB exp=45BE8584F2796076EB + 506.50ns INFO [00000508] * RD COMPARE * port=1 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD + 506.50ns INFO [00000508] Port=0 WR @02=327990B6D7AE116C39 + 506.50ns INFO [00000508] Port=1 RD @06 + 508.50ns INFO [00000510] * RD COMPARE * port=1 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624 + 508.50ns INFO [00000510] Port=1 RD @06 + 509.50ns INFO [00000511] Port=0 WR @04=641515F75CDEDA546D + 509.50ns INFO [00000511] Port=1 RD @06 + 510.50ns INFO [00000512] * RD COMPARE * port=1 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624 + 510.50ns INFO [00000512] Port=0 WR @07=F6203335E208C77888 + 510.50ns INFO [00000512] Port=0 RD @00 + 511.50ns INFO [00000513] * RD COMPARE * port=1 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624 + 511.50ns INFO [00000513] Port=0 WR @01=206A198E392DC642DD + 511.50ns INFO [00000513] Port=1 RD @04 + 512.50ns INFO [00000514] * RD COMPARE * port=0 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7 + 512.50ns INFO [00000514] Port=1 RD @01 + 513.50ns INFO [00000515] * RD COMPARE * port=1 adr=04 act=641515F75CDEDA546D exp=641515F75CDEDA546D + 513.50ns INFO [00000515] Port=0 WR @05=CE45AB5550128D33E8 + 513.50ns INFO [00000515] Port=1 RD @03 + 514.50ns INFO [00000516] * RD COMPARE * port=1 adr=01 act=206A198E392DC642DD exp=206A198E392DC642DD + 514.50ns INFO [00000516] Port=0 WR @02=5A58D67AF5AB6A9921 + 515.50ns INFO [00000517] * RD COMPARE * port=1 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2 + 515.50ns INFO [00000517] Port=0 WR @02=15A91A2FBD76FE2459 + 518.50ns INFO [00000520] Port=1 RD @00 + 519.50ns INFO [00000521] Port=0 RD @04 + 520.50ns INFO [00000522] * RD COMPARE * port=1 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7 + 520.50ns INFO [00000522] Port=0 WR @02=474FC71870A71049A3 + 520.50ns INFO [00000522] Port=0 RD @06 + 520.50ns INFO [00000522] Port=1 RD @00 + 521.50ns INFO [00000523] * RD COMPARE * port=0 adr=04 act=641515F75CDEDA546D exp=641515F75CDEDA546D + 521.50ns INFO [00000523] Port=1 RD @06 + 522.50ns INFO [00000524] * RD COMPARE * port=0 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624 + 522.50ns INFO [00000524] * RD COMPARE * port=1 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7 + 523.50ns INFO [00000525] * RD COMPARE * port=1 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624 + 523.50ns INFO [00000525] Port=0 WR @01=5703B7AEADF4172B4E + 523.50ns INFO [00000525] Port=1 RD @03 + 524.50ns INFO [00000526] Port=0 WR @03=FFE7761B7D80E7A156 + 524.50ns INFO [00000526] Port=1 RD @00 + 525.50ns INFO [00000527] * RD COMPARE * port=1 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2 + 525.50ns INFO [00000527] Port=0 RD @07 + 525.50ns INFO [00000527] Port=1 RD @04 + 526.50ns INFO [00000528] * RD COMPARE * port=1 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7 + 526.50ns INFO [00000528] Port=0 WR @04=9ADC8D342A075E57A9 + 527.50ns INFO [00000529] * RD COMPARE * port=0 adr=07 act=F6203335E208C77888 exp=F6203335E208C77888 + 527.50ns INFO [00000529] * RD COMPARE * port=1 adr=04 act=641515F75CDEDA546D exp=641515F75CDEDA546D + 527.50ns INFO [00000529] Port=0 RD @07 + 528.50ns INFO [00000530] Port=0 RD @06 + 528.50ns INFO [00000530] Port=1 RD @00 + 529.50ns INFO [00000531] * RD COMPARE * port=0 adr=07 act=F6203335E208C77888 exp=F6203335E208C77888 + 529.50ns INFO [00000531] Port=0 WR @06=7100A112D734C9CF2C + 529.50ns INFO [00000531] Port=1 RD @07 + 530.50ns INFO [00000532] * RD COMPARE * port=0 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624 + 530.50ns INFO [00000532] * RD COMPARE * port=1 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7 + 530.50ns INFO [00000532] Port=0 RD @00 + 530.50ns INFO [00000532] Port=1 RD @04 + 531.50ns INFO [00000533] * RD COMPARE * port=1 adr=07 act=F6203335E208C77888 exp=F6203335E208C77888 + 531.50ns INFO [00000533] Port=0 WR @00=BD3B82167C5FB474D3 + 531.50ns INFO [00000533] Port=0 RD @04 + 532.50ns INFO [00000534] * RD COMPARE * port=0 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7 + 532.50ns INFO [00000534] * RD COMPARE * port=1 adr=04 act=9ADC8D342A075E57A9 exp=9ADC8D342A075E57A9 + 532.50ns INFO [00000534] Port=0 WR @03=1524B19AB01ACF8BB5 + 533.50ns INFO [00000535] * RD COMPARE * port=0 adr=04 act=9ADC8D342A075E57A9 exp=9ADC8D342A075E57A9 + 533.50ns INFO [00000535] Port=0 WR @01=9D1CD29E4067F2F67D + 533.50ns INFO [00000535] Port=0 RD @06 + 533.50ns INFO [00000535] Port=1 RD @03 + 534.50ns INFO [00000536] Port=0 WR @01=90C0D077F4C9C925D0 + 534.50ns INFO [00000536] Port=1 RD @06 + 535.50ns INFO [00000537] * RD COMPARE * port=0 adr=06 act=7100A112D734C9CF2C exp=7100A112D734C9CF2C + 535.50ns INFO [00000537] * RD COMPARE * port=1 adr=03 act=1524B19AB01ACF8BB5 exp=1524B19AB01ACF8BB5 + 535.50ns INFO [00000537] Port=0 WR @04=1722D695B4E7021AE2 + 535.50ns INFO [00000537] Port=1 RD @06 + 536.50ns INFO [00000538] * RD COMPARE * port=1 adr=06 act=7100A112D734C9CF2C exp=7100A112D734C9CF2C + 537.50ns INFO [00000539] * RD COMPARE * port=1 adr=06 act=7100A112D734C9CF2C exp=7100A112D734C9CF2C + 537.50ns INFO [00000539] Port=0 RD @05 + 538.50ns INFO [00000540] Port=0 RD @05 + 539.50ns INFO [00000541] * RD COMPARE * port=0 adr=05 act=CE45AB5550128D33E8 exp=CE45AB5550128D33E8 + 539.50ns INFO [00000541] Port=0 WR @01=26C85978C25BFCBDCF + 540.50ns INFO [00000542] * RD COMPARE * port=0 adr=05 act=CE45AB5550128D33E8 exp=CE45AB5550128D33E8 + 541.50ns INFO [00000543] Port=0 RD @04 + 542.50ns INFO [00000544] Port=0 RD @04 + 542.50ns INFO [00000544] Port=1 RD @01 + 543.50ns INFO [00000545] * RD COMPARE * port=0 adr=04 act=1722D695B4E7021AE2 exp=1722D695B4E7021AE2 + 543.50ns INFO [00000545] Port=1 RD @07 + 544.50ns INFO [00000546] * RD COMPARE * port=0 adr=04 act=1722D695B4E7021AE2 exp=1722D695B4E7021AE2 + 544.50ns INFO [00000546] * RD COMPARE * port=1 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF + 544.50ns INFO [00000546] Port=0 WR @05=4D7209593D8BE95BB3 + 544.50ns INFO [00000546] Port=0 RD @03 + 545.50ns INFO [00000547] * RD COMPARE * port=1 adr=07 act=F6203335E208C77888 exp=F6203335E208C77888 + 545.50ns INFO [00000547] Port=0 RD @02 + 546.50ns INFO [00000548] * RD COMPARE * port=0 adr=03 act=1524B19AB01ACF8BB5 exp=1524B19AB01ACF8BB5 + 546.50ns INFO [00000548] Port=0 WR @00=D644A835B5590CF5FC + 546.50ns INFO [00000548] Port=1 RD @06 + 547.50ns INFO [00000549] * RD COMPARE * port=0 adr=02 act=474FC71870A71049A3 exp=474FC71870A71049A3 + 547.50ns INFO [00000549] Port=0 RD @05 + 547.50ns INFO [00000549] Port=1 RD @00 + 548.50ns INFO [00000550] * RD COMPARE * port=1 adr=06 act=7100A112D734C9CF2C exp=7100A112D734C9CF2C + 548.50ns INFO [00000550] Port=0 WR @02=C7E609532768BDD1BF + 548.50ns INFO [00000550] Port=0 RD @06 + 549.50ns INFO [00000551] * RD COMPARE * port=0 adr=05 act=4D7209593D8BE95BB3 exp=4D7209593D8BE95BB3 + 549.50ns INFO [00000551] * RD COMPARE * port=1 adr=00 act=D644A835B5590CF5FC exp=D644A835B5590CF5FC + 549.50ns INFO [00000551] Port=0 WR @06=BBFA61D4058301CF45 + 550.50ns INFO [00000552] * RD COMPARE * port=0 adr=06 act=7100A112D734C9CF2C exp=7100A112D734C9CF2C + 550.50ns INFO [00000552] Port=0 WR @06=FB206E9EAF5A473652 + 551.50ns INFO [00000553] Port=0 WR @03=4E274DE2CCA4D1D8B1 + 551.50ns INFO [00000553] Port=0 RD @04 + 553.50ns INFO [00000555] * RD COMPARE * port=0 adr=04 act=1722D695B4E7021AE2 exp=1722D695B4E7021AE2 + 553.50ns INFO [00000555] Port=0 WR @07=4C743D4CFF7C581065 + 553.50ns INFO [00000555] Port=1 RD @06 + 554.50ns INFO [00000556] Port=0 RD @06 + 554.50ns INFO [00000556] Port=1 RD @01 + 555.50ns INFO [00000557] * RD COMPARE * port=1 adr=06 act=FB206E9EAF5A473652 exp=FB206E9EAF5A473652 + 555.50ns INFO [00000557] Port=0 RD @02 + 555.50ns INFO [00000557] Port=1 RD @03 + 556.50ns INFO [00000558] * RD COMPARE * port=0 adr=06 act=FB206E9EAF5A473652 exp=FB206E9EAF5A473652 + 556.50ns INFO [00000558] * RD COMPARE * port=1 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF + 557.50ns INFO [00000559] * RD COMPARE * port=0 adr=02 act=C7E609532768BDD1BF exp=C7E609532768BDD1BF + 557.50ns INFO [00000559] * RD COMPARE * port=1 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1 + 557.50ns INFO [00000559] Port=0 WR @04=868B89E056F1E557FE + 559.50ns INFO [00000561] Port=0 RD @05 + 560.50ns INFO [00000562] Port=1 RD @02 + 561.50ns INFO [00000563] * RD COMPARE * port=0 adr=05 act=4D7209593D8BE95BB3 exp=4D7209593D8BE95BB3 + 561.50ns INFO [00000563] Port=0 RD @00 + 562.50ns INFO [00000564] * RD COMPARE * port=1 adr=02 act=C7E609532768BDD1BF exp=C7E609532768BDD1BF + 563.50ns INFO [00000565] * RD COMPARE * port=0 adr=00 act=D644A835B5590CF5FC exp=D644A835B5590CF5FC + 563.50ns INFO [00000565] Port=0 WR @05=DEC6C9F80DEE12AA5A + 564.50ns INFO [00000566] Port=0 RD @06 + 564.50ns INFO [00000566] Port=1 RD @01 + 566.50ns INFO [00000568] * RD COMPARE * port=0 adr=06 act=FB206E9EAF5A473652 exp=FB206E9EAF5A473652 + 566.50ns INFO [00000568] * RD COMPARE * port=1 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF + 566.50ns INFO [00000568] Port=0 WR @04=C0FA6A9FAF09ED7455 + 568.50ns INFO [00000570] Port=0 WR @05=8CF37079DB2D5C8910 + 568.50ns INFO [00000570] Port=1 RD @02 + 570.50ns INFO [00000572] * RD COMPARE * port=1 adr=02 act=C7E609532768BDD1BF exp=C7E609532768BDD1BF + 570.50ns INFO [00000572] Port=0 RD @03 + 571.50ns INFO [00000573] Port=0 WR @02=A7091E800BCBA6A916 + 571.50ns INFO [00000573] Port=1 RD @07 + 572.50ns INFO [00000574] * RD COMPARE * port=0 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1 + 572.50ns INFO [00000574] Port=0 RD @05 + 573.50ns INFO [00000575] * RD COMPARE * port=1 adr=07 act=4C743D4CFF7C581065 exp=4C743D4CFF7C581065 + 573.50ns INFO [00000575] Port=0 RD @07 + 574.50ns INFO [00000576] * RD COMPARE * port=0 adr=05 act=8CF37079DB2D5C8910 exp=8CF37079DB2D5C8910 + 575.50ns INFO [00000577] * RD COMPARE * port=0 adr=07 act=4C743D4CFF7C581065 exp=4C743D4CFF7C581065 + 575.50ns INFO [00000577] Port=1 RD @03 + 577.50ns INFO [00000579] * RD COMPARE * port=1 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1 + 577.50ns INFO [00000579] Port=0 WR @07=5A86621C3888F0CFD2 + 578.50ns INFO [00000580] Port=1 RD @05 + 579.50ns INFO [00000581] Port=0 RD @04 + 579.50ns INFO [00000581] Port=1 RD @07 + 580.50ns INFO [00000582] * RD COMPARE * port=1 adr=05 act=8CF37079DB2D5C8910 exp=8CF37079DB2D5C8910 + 580.50ns INFO [00000582] Port=0 RD @03 + 581.50ns INFO [00000583] * RD COMPARE * port=0 adr=04 act=C0FA6A9FAF09ED7455 exp=C0FA6A9FAF09ED7455 + 581.50ns INFO [00000583] * RD COMPARE * port=1 adr=07 act=5A86621C3888F0CFD2 exp=5A86621C3888F0CFD2 + 581.50ns INFO [00000583] Port=1 RD @02 + 582.50ns INFO [00000584] * RD COMPARE * port=0 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1 + 582.50ns INFO [00000584] Port=0 WR @00=21FBC0BAE8DA51BC5F + 583.50ns INFO [00000585] * RD COMPARE * port=1 adr=02 act=A7091E800BCBA6A916 exp=A7091E800BCBA6A916 + 584.50ns INFO [00000586] Port=0 RD @06 + 585.50ns INFO [00000587] Port=1 RD @00 + 586.50ns INFO [00000588] * RD COMPARE * port=0 adr=06 act=FB206E9EAF5A473652 exp=FB206E9EAF5A473652 + 587.50ns INFO [00000589] * RD COMPARE * port=1 adr=00 act=21FBC0BAE8DA51BC5F exp=21FBC0BAE8DA51BC5F + 587.50ns INFO [00000589] Port=0 WR @05=A1BC6854E44FBC853C + 587.50ns INFO [00000589] Port=1 RD @00 + 589.50ns INFO [00000591] * RD COMPARE * port=1 adr=00 act=21FBC0BAE8DA51BC5F exp=21FBC0BAE8DA51BC5F + 589.50ns INFO [00000591] Port=0 RD @04 + 589.50ns INFO [00000591] Port=1 RD @00 + 590.50ns INFO [00000592] Port=0 WR @00=FA36B844FB7C685619 + 591.50ns INFO [00000593] * RD COMPARE * port=0 adr=04 act=C0FA6A9FAF09ED7455 exp=C0FA6A9FAF09ED7455 + 591.50ns INFO [00000593] * RD COMPARE * port=1 adr=00 act=21FBC0BAE8DA51BC5F exp=21FBC0BAE8DA51BC5F + 591.50ns INFO [00000593] Port=1 RD @01 + 592.50ns INFO [00000594] Port=0 RD @07 + 593.50ns INFO [00000595] * RD COMPARE * port=1 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF + 593.50ns INFO [00000595] Port=1 RD @04 + 594.50ns INFO [00000596] * RD COMPARE * port=0 adr=07 act=5A86621C3888F0CFD2 exp=5A86621C3888F0CFD2 + 595.50ns INFO [00000597] * RD COMPARE * port=1 adr=04 act=C0FA6A9FAF09ED7455 exp=C0FA6A9FAF09ED7455 + 595.50ns INFO [00000597] Port=1 RD @07 + 596.50ns INFO [00000598] Port=0 WR @02=DF29B3D5580B0E866B + 597.50ns INFO [00000599] * RD COMPARE * port=1 adr=07 act=5A86621C3888F0CFD2 exp=5A86621C3888F0CFD2 + 597.50ns INFO [00000599] Port=0 WR @06=AA68C8C5D63296D789 + 598.00ns INFO [00000600] [00000600] ...tick... + 600.50ns INFO [00000602] Port=0 RD @04 + 601.50ns INFO [00000603] Port=1 RD @06 + 602.50ns INFO [00000604] * RD COMPARE * port=0 adr=04 act=C0FA6A9FAF09ED7455 exp=C0FA6A9FAF09ED7455 + 602.50ns INFO [00000604] Port=0 WR @02=13D29E965DA7B20A71 + 602.50ns INFO [00000604] Port=0 RD @04 + 603.50ns INFO [00000605] * RD COMPARE * port=1 adr=06 act=AA68C8C5D63296D789 exp=AA68C8C5D63296D789 + 603.50ns INFO [00000605] Port=0 WR @00=A6459A67E19D02F1B9 + 603.50ns INFO [00000605] Port=1 RD @07 + 604.50ns INFO [00000606] * RD COMPARE * port=0 adr=04 act=C0FA6A9FAF09ED7455 exp=C0FA6A9FAF09ED7455 + 605.50ns INFO [00000607] * RD COMPARE * port=1 adr=07 act=5A86621C3888F0CFD2 exp=5A86621C3888F0CFD2 + 607.50ns INFO [00000609] Port=0 WR @06=748B14C90D2A93E83F + 608.50ns INFO [00000610] Port=0 WR @04=AA6DBEDC5855202BA5 + 608.50ns INFO [00000610] Port=0 RD @05 + 609.50ns INFO [00000611] Port=0 WR @04=386D552CFFA3A07DE2 + 610.50ns INFO [00000612] * RD COMPARE * port=0 adr=05 act=A1BC6854E44FBC853C exp=A1BC6854E44FBC853C + 610.50ns INFO [00000612] Port=1 RD @01 + 611.50ns INFO [00000613] Port=0 RD @00 + 612.50ns INFO [00000614] * RD COMPARE * port=1 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF + 613.50ns INFO [00000615] * RD COMPARE * port=0 adr=00 act=A6459A67E19D02F1B9 exp=A6459A67E19D02F1B9 + 613.50ns INFO [00000615] Port=0 RD @02 + 613.50ns INFO [00000615] Port=1 RD @02 + 614.50ns INFO [00000616] Port=0 RD @01 + 614.50ns INFO [00000616] Port=1 RD @06 + 615.50ns INFO [00000617] * RD COMPARE * port=0 adr=02 act=13D29E965DA7B20A71 exp=13D29E965DA7B20A71 + 615.50ns INFO [00000617] * RD COMPARE * port=1 adr=02 act=13D29E965DA7B20A71 exp=13D29E965DA7B20A71 + 615.50ns INFO [00000617] Port=0 WR @07=3FB51202773BBE0147 + 615.50ns INFO [00000617] Port=0 RD @06 + 616.50ns INFO [00000618] * RD COMPARE * port=0 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF + 616.50ns INFO [00000618] * RD COMPARE * port=1 adr=06 act=748B14C90D2A93E83F exp=748B14C90D2A93E83F + 617.50ns INFO [00000619] * RD COMPARE * port=0 adr=06 act=748B14C90D2A93E83F exp=748B14C90D2A93E83F + 617.50ns INFO [00000619] Port=0 WR @01=E516FDCA7D5A19E7D0 + 617.50ns INFO [00000619] Port=0 RD @05 + 617.50ns INFO [00000619] Port=1 RD @00 + 619.50ns INFO [00000621] * RD COMPARE * port=0 adr=05 act=A1BC6854E44FBC853C exp=A1BC6854E44FBC853C + 619.50ns INFO [00000621] * RD COMPARE * port=1 adr=00 act=A6459A67E19D02F1B9 exp=A6459A67E19D02F1B9 + 619.50ns INFO [00000621] Port=0 RD @04 + 619.50ns INFO [00000621] Port=1 RD @04 + 620.50ns INFO [00000622] Port=0 WR @00=2AE3B176762DE0E30C + 620.50ns INFO [00000622] Port=0 RD @01 + 621.50ns INFO [00000623] * RD COMPARE * port=0 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2 + 621.50ns INFO [00000623] * RD COMPARE * port=1 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2 + 622.50ns INFO [00000624] * RD COMPARE * port=0 adr=01 act=E516FDCA7D5A19E7D0 exp=E516FDCA7D5A19E7D0 + 624.50ns INFO [00000626] Port=0 WR @01=6E0F616B2635200C6F + 626.50ns INFO [00000628] Port=1 RD @04 + 627.50ns INFO [00000629] Port=0 WR @01=D29106DD5257C10160 + 627.50ns INFO [00000629] Port=1 RD @05 + 628.50ns INFO [00000630] * RD COMPARE * port=1 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2 + 628.50ns INFO [00000630] Port=0 RD @00 + 629.50ns INFO [00000631] * RD COMPARE * port=1 adr=05 act=A1BC6854E44FBC853C exp=A1BC6854E44FBC853C + 629.50ns INFO [00000631] Port=0 RD @04 + 630.50ns INFO [00000632] * RD COMPARE * port=0 adr=00 act=2AE3B176762DE0E30C exp=2AE3B176762DE0E30C + 630.50ns INFO [00000632] Port=0 RD @00 + 630.50ns INFO [00000632] Port=1 RD @07 + 631.50ns INFO [00000633] * RD COMPARE * port=0 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2 + 631.50ns INFO [00000633] Port=0 WR @06=1403489622F48CFC69 + 631.50ns INFO [00000633] Port=1 RD @01 + 632.50ns INFO [00000634] * RD COMPARE * port=0 adr=00 act=2AE3B176762DE0E30C exp=2AE3B176762DE0E30C + 632.50ns INFO [00000634] * RD COMPARE * port=1 adr=07 act=3FB51202773BBE0147 exp=3FB51202773BBE0147 + 632.50ns INFO [00000634] Port=0 RD @03 + 632.50ns INFO [00000634] Port=1 RD @05 + 633.50ns INFO [00000635] * RD COMPARE * port=1 adr=01 act=D29106DD5257C10160 exp=D29106DD5257C10160 + 633.50ns INFO [00000635] Port=0 RD @04 + 634.50ns INFO [00000636] * RD COMPARE * port=0 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1 + 634.50ns INFO [00000636] * RD COMPARE * port=1 adr=05 act=A1BC6854E44FBC853C exp=A1BC6854E44FBC853C + 634.50ns INFO [00000636] Port=1 RD @05 + 635.50ns INFO [00000637] * RD COMPARE * port=0 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2 + 635.50ns INFO [00000637] Port=0 RD @04 + 636.50ns INFO [00000638] * RD COMPARE * port=1 adr=05 act=A1BC6854E44FBC853C exp=A1BC6854E44FBC853C + 636.50ns INFO [00000638] Port=0 WR @04=000B78232D0BB62257 + 636.50ns INFO [00000638] Port=0 RD @02 + 637.50ns INFO [00000639] * RD COMPARE * port=0 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2 + 637.50ns INFO [00000639] Port=0 WR @04=CFACB59C5EE5D661A9 + 638.50ns INFO [00000640] * RD COMPARE * port=0 adr=02 act=13D29E965DA7B20A71 exp=13D29E965DA7B20A71 + 638.50ns INFO [00000640] Port=0 RD @06 + 639.50ns INFO [00000641] Port=0 WR @05=4E8B2CE0DACC18616A + 640.50ns INFO [00000642] * RD COMPARE * port=0 adr=06 act=1403489622F48CFC69 exp=1403489622F48CFC69 + 641.50ns INFO [00000643] Port=0 WR @00=240E0D11A61B7600D9 + 641.50ns INFO [00000643] Port=0 RD @04 + 641.50ns INFO [00000643] Port=1 RD @03 + 643.50ns INFO [00000645] * RD COMPARE * port=0 adr=04 act=CFACB59C5EE5D661A9 exp=CFACB59C5EE5D661A9 + 643.50ns INFO [00000645] * RD COMPARE * port=1 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1 + 643.50ns INFO [00000645] Port=1 RD @01 + 645.50ns INFO [00000647] * RD COMPARE * port=1 adr=01 act=D29106DD5257C10160 exp=D29106DD5257C10160 + 646.50ns INFO [00000648] Port=0 RD @07 + 647.50ns INFO [00000649] Port=0 WR @05=10F2A0C52C88FD22EE + 647.50ns INFO [00000649] Port=1 RD @07 + 648.50ns INFO [00000650] * RD COMPARE * port=0 adr=07 act=3FB51202773BBE0147 exp=3FB51202773BBE0147 + 648.50ns INFO [00000650] Port=1 RD @05 + 649.50ns INFO [00000651] * RD COMPARE * port=1 adr=07 act=3FB51202773BBE0147 exp=3FB51202773BBE0147 + 650.50ns INFO [00000652] * RD COMPARE * port=1 adr=05 act=10F2A0C52C88FD22EE exp=10F2A0C52C88FD22EE + 650.50ns INFO [00000652] Port=0 WR @01=19FA1D8C857FCA8796 + 652.50ns INFO [00000654] Port=1 RD @03 + 653.50ns INFO [00000655] Port=0 RD @04 + 654.50ns INFO [00000656] * RD COMPARE * port=1 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1 + 654.50ns INFO [00000656] Port=0 WR @04=BFE09F00B8CA574800 + 654.50ns INFO [00000656] Port=1 RD @07 + 655.50ns INFO [00000657] * RD COMPARE * port=0 adr=04 act=CFACB59C5EE5D661A9 exp=CFACB59C5EE5D661A9 + 656.50ns INFO [00000658] * RD COMPARE * port=1 adr=07 act=3FB51202773BBE0147 exp=3FB51202773BBE0147 + 656.50ns INFO [00000658] Port=0 WR @03=7581F20E76B876FB12 + 657.50ns INFO [00000659] Port=0 WR @05=18181AFD6A3F60860A + 657.50ns INFO [00000659] Port=1 RD @01 + 658.50ns INFO [00000660] Port=0 RD @07 + 658.50ns INFO [00000660] Port=1 RD @02 + 659.50ns INFO [00000661] * RD COMPARE * port=1 adr=01 act=19FA1D8C857FCA8796 exp=19FA1D8C857FCA8796 + 659.50ns INFO [00000661] Port=0 RD @03 + 660.50ns INFO [00000662] * RD COMPARE * port=0 adr=07 act=3FB51202773BBE0147 exp=3FB51202773BBE0147 + 660.50ns INFO [00000662] * RD COMPARE * port=1 adr=02 act=13D29E965DA7B20A71 exp=13D29E965DA7B20A71 + 660.50ns INFO [00000662] Port=0 WR @03=274BF6DBF381274D60 + 661.50ns INFO [00000663] * RD COMPARE * port=0 adr=03 act=7581F20E76B876FB12 exp=7581F20E76B876FB12 + 661.50ns INFO [00000663] Port=0 WR @06=B63C55FECDFC6AA3F6 + 661.50ns INFO [00000663] Port=0 RD @05 + 662.50ns INFO [00000664] Port=0 WR @02=E2B5F285BB4A943B13 + 663.50ns INFO [00000665] * RD COMPARE * port=0 adr=05 act=18181AFD6A3F60860A exp=18181AFD6A3F60860A + 663.50ns INFO [00000665] Port=1 RD @03 + 664.50ns INFO [00000666] Port=0 WR @05=8DDD3F486FB766136A + 665.50ns INFO [00000667] * RD COMPARE * port=1 adr=03 act=274BF6DBF381274D60 exp=274BF6DBF381274D60 + 666.50ns INFO [00000668] Port=0 WR @00=B5B98DFA62661E21EC + 666.50ns INFO [00000668] Port=0 RD @06 + 667.50ns INFO [00000669] Port=0 WR @00=73760FB2EF571BC105 + 668.50ns INFO [00000670] * RD COMPARE * port=0 adr=06 act=B63C55FECDFC6AA3F6 exp=B63C55FECDFC6AA3F6 + 668.50ns INFO [00000670] Port=0 WR @04=F376F4B4574FE3118B + 668.50ns INFO [00000670] Port=0 RD @06 + 669.50ns INFO [00000671] Port=0 RD @01 + 670.50ns INFO [00000672] * RD COMPARE * port=0 adr=06 act=B63C55FECDFC6AA3F6 exp=B63C55FECDFC6AA3F6 + 670.50ns INFO [00000672] Port=0 WR @05=43D6B83C85C074BF33 + 671.50ns INFO [00000673] * RD COMPARE * port=0 adr=01 act=19FA1D8C857FCA8796 exp=19FA1D8C857FCA8796 + 671.50ns INFO [00000673] Port=0 WR @05=908FC4269765A12C2C + 672.50ns INFO [00000674] Port=0 RD @04 + 674.50ns INFO [00000676] * RD COMPARE * port=0 adr=04 act=F376F4B4574FE3118B exp=F376F4B4574FE3118B + 674.50ns INFO [00000676] Port=0 WR @00=C2C62D45699D63621B + 674.50ns INFO [00000676] Port=0 RD @03 + 674.50ns INFO [00000676] Port=1 RD @05 + 675.50ns INFO [00000677] Port=0 WR @04=2CB439681C902931E7 + 675.50ns INFO [00000677] Port=1 RD @01 + 676.50ns INFO [00000678] * RD COMPARE * port=0 adr=03 act=274BF6DBF381274D60 exp=274BF6DBF381274D60 + 676.50ns INFO [00000678] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C + 676.50ns INFO [00000678] Port=0 WR @02=BCA438942A17774882 + 676.50ns INFO [00000678] Port=0 RD @03 + 676.50ns INFO [00000678] Port=1 RD @06 + 677.50ns INFO [00000679] * RD COMPARE * port=1 adr=01 act=19FA1D8C857FCA8796 exp=19FA1D8C857FCA8796 + 677.50ns INFO [00000679] Port=0 WR @07=7E2B890087D83AF35C + 678.50ns INFO [00000680] * RD COMPARE * port=0 adr=03 act=274BF6DBF381274D60 exp=274BF6DBF381274D60 + 678.50ns INFO [00000680] * RD COMPARE * port=1 adr=06 act=B63C55FECDFC6AA3F6 exp=B63C55FECDFC6AA3F6 + 678.50ns INFO [00000680] Port=0 WR @06=50C6F09C268FFFF8EB + 678.50ns INFO [00000680] Port=0 RD @01 + 678.50ns INFO [00000680] Port=1 RD @03 + 679.50ns INFO [00000681] Port=0 RD @04 + 679.50ns INFO [00000681] Port=1 RD @05 + 680.50ns INFO [00000682] * RD COMPARE * port=0 adr=01 act=19FA1D8C857FCA8796 exp=19FA1D8C857FCA8796 + 680.50ns INFO [00000682] * RD COMPARE * port=1 adr=03 act=274BF6DBF381274D60 exp=274BF6DBF381274D60 + 680.50ns INFO [00000682] Port=0 RD @02 + 680.50ns INFO [00000682] Port=1 RD @00 + 681.50ns INFO [00000683] * RD COMPARE * port=0 adr=04 act=2CB439681C902931E7 exp=2CB439681C902931E7 + 681.50ns INFO [00000683] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C + 682.50ns INFO [00000684] * RD COMPARE * port=0 adr=02 act=BCA438942A17774882 exp=BCA438942A17774882 + 682.50ns INFO [00000684] * RD COMPARE * port=1 adr=00 act=C2C62D45699D63621B exp=C2C62D45699D63621B + 682.50ns INFO [00000684] Port=1 RD @06 + 683.50ns INFO [00000685] Port=0 RD @01 + 683.50ns INFO [00000685] Port=1 RD @05 + 684.50ns INFO [00000686] * RD COMPARE * port=1 adr=06 act=50C6F09C268FFFF8EB exp=50C6F09C268FFFF8EB + 684.50ns INFO [00000686] Port=1 RD @02 + 685.50ns INFO [00000687] * RD COMPARE * port=0 adr=01 act=19FA1D8C857FCA8796 exp=19FA1D8C857FCA8796 + 685.50ns INFO [00000687] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C + 685.50ns INFO [00000687] Port=0 RD @04 + 686.50ns INFO [00000688] * RD COMPARE * port=1 adr=02 act=BCA438942A17774882 exp=BCA438942A17774882 + 687.50ns INFO [00000689] * RD COMPARE * port=0 adr=04 act=2CB439681C902931E7 exp=2CB439681C902931E7 + 687.50ns INFO [00000689] Port=0 RD @02 + 689.50ns INFO [00000691] * RD COMPARE * port=0 adr=02 act=BCA438942A17774882 exp=BCA438942A17774882 + 690.50ns INFO [00000692] Port=1 RD @05 + 691.50ns INFO [00000693] Port=0 WR @07=09680784416CC73FE0 + 692.50ns INFO [00000694] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C + 693.50ns INFO [00000695] Port=0 WR @01=EAA60C48F21CDB19A8 + 693.50ns INFO [00000695] Port=1 RD @05 + 694.50ns INFO [00000696] Port=1 RD @03 + 695.50ns INFO [00000697] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C + 696.50ns INFO [00000698] * RD COMPARE * port=1 adr=03 act=274BF6DBF381274D60 exp=274BF6DBF381274D60 + 696.50ns INFO [00000698] Port=0 RD @06 + 697.50ns INFO [00000699] Port=0 RD @04 + 698.00ns INFO [00000700] [00000700] ...tick... + 698.50ns INFO [00000700] * RD COMPARE * port=0 adr=06 act=50C6F09C268FFFF8EB exp=50C6F09C268FFFF8EB + 698.50ns INFO [00000700] Port=1 RD @04 + 699.50ns INFO [00000701] * RD COMPARE * port=0 adr=04 act=2CB439681C902931E7 exp=2CB439681C902931E7 + 699.50ns INFO [00000701] Port=1 RD @01 + 700.50ns INFO [00000702] * RD COMPARE * port=1 adr=04 act=2CB439681C902931E7 exp=2CB439681C902931E7 + 700.50ns INFO [00000702] Port=1 RD @05 + 701.50ns INFO [00000703] * RD COMPARE * port=1 adr=01 act=EAA60C48F21CDB19A8 exp=EAA60C48F21CDB19A8 + 701.50ns INFO [00000703] Port=0 WR @01=1716541502CB7F938B + 701.50ns INFO [00000703] Port=0 RD @06 + 701.50ns INFO [00000703] Port=1 RD @02 + 702.50ns INFO [00000704] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C + 702.50ns INFO [00000704] Port=0 WR @02=6E4E8F12FECAC381AD + 702.50ns INFO [00000704] Port=1 RD @06 + 703.50ns INFO [00000705] * RD COMPARE * port=0 adr=06 act=50C6F09C268FFFF8EB exp=50C6F09C268FFFF8EB + 703.50ns INFO [00000705] * RD COMPARE * port=1 adr=02 act=BCA438942A17774882 exp=BCA438942A17774882 + 703.50ns INFO [00000705] Port=0 WR @01=C1C745FFD8818B8655 + 704.50ns INFO [00000706] * RD COMPARE * port=1 adr=06 act=50C6F09C268FFFF8EB exp=50C6F09C268FFFF8EB + 704.50ns INFO [00000706] Port=0 WR @03=1950FB58DB3B154B6D + 704.50ns INFO [00000706] Port=0 RD @00 + 705.50ns INFO [00000707] Port=0 WR @07=5287BD416FC038EE90 + 705.50ns INFO [00000707] Port=0 RD @01 + 706.50ns INFO [00000708] * RD COMPARE * port=0 adr=00 act=C2C62D45699D63621B exp=C2C62D45699D63621B + 706.50ns INFO [00000708] Port=0 RD @01 + 706.50ns INFO [00000708] Port=1 RD @03 + 707.50ns INFO [00000709] * RD COMPARE * port=0 adr=01 act=C1C745FFD8818B8655 exp=C1C745FFD8818B8655 + 707.50ns INFO [00000709] Port=0 WR @05=3852C95DCBDD25F6E5 + 707.50ns INFO [00000709] Port=0 RD @00 + 707.50ns INFO [00000709] Port=1 RD @07 + 708.50ns INFO [00000710] * RD COMPARE * port=0 adr=01 act=C1C745FFD8818B8655 exp=C1C745FFD8818B8655 + 708.50ns INFO [00000710] * RD COMPARE * port=1 adr=03 act=1950FB58DB3B154B6D exp=1950FB58DB3B154B6D + 708.50ns INFO [00000710] Port=0 RD @07 + 708.50ns INFO [00000710] Port=1 RD @05 + 709.50ns INFO [00000711] * RD COMPARE * port=0 adr=00 act=C2C62D45699D63621B exp=C2C62D45699D63621B + 709.50ns INFO [00000711] * RD COMPARE * port=1 adr=07 act=5287BD416FC038EE90 exp=5287BD416FC038EE90 + 709.50ns INFO [00000711] Port=0 RD @01 + 710.50ns INFO [00000712] * RD COMPARE * port=0 adr=07 act=5287BD416FC038EE90 exp=5287BD416FC038EE90 + 710.50ns INFO [00000712] * RD COMPARE * port=1 adr=05 act=3852C95DCBDD25F6E5 exp=3852C95DCBDD25F6E5 + 710.50ns INFO [00000712] Port=0 WR @04=E565170B012BBA52CE + 710.50ns INFO [00000712] Port=0 RD @00 + 711.50ns INFO [00000713] * RD COMPARE * port=0 adr=01 act=C1C745FFD8818B8655 exp=C1C745FFD8818B8655 + 712.50ns INFO [00000714] * RD COMPARE * port=0 adr=00 act=C2C62D45699D63621B exp=C2C62D45699D63621B + 713.50ns INFO [00000715] Port=1 RD @05 + 714.50ns INFO [00000716] Port=0 WR @06=04793BA9BAA6E4CEAD + 714.50ns INFO [00000716] Port=0 RD @07 + 714.50ns INFO [00000716] Port=1 RD @01 + 715.50ns INFO [00000717] * RD COMPARE * port=1 adr=05 act=3852C95DCBDD25F6E5 exp=3852C95DCBDD25F6E5 + 716.50ns INFO [00000718] * RD COMPARE * port=0 adr=07 act=5287BD416FC038EE90 exp=5287BD416FC038EE90 + 716.50ns INFO [00000718] * RD COMPARE * port=1 adr=01 act=C1C745FFD8818B8655 exp=C1C745FFD8818B8655 + 716.50ns INFO [00000718] Port=1 RD @06 + 717.50ns INFO [00000719] Port=0 WR @01=34ED7BC71AA997CE18 + 717.50ns INFO [00000719] Port=1 RD @05 + 718.50ns INFO [00000720] * RD COMPARE * port=1 adr=06 act=04793BA9BAA6E4CEAD exp=04793BA9BAA6E4CEAD + 718.50ns INFO [00000720] Port=0 WR @02=0736BC3F15028C14B3 + 719.50ns INFO [00000721] * RD COMPARE * port=1 adr=05 act=3852C95DCBDD25F6E5 exp=3852C95DCBDD25F6E5 + 722.50ns INFO [00000724] Port=0 RD @02 + 723.50ns INFO [00000725] Port=0 RD @02 + 724.50ns INFO [00000726] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3 + 724.50ns INFO [00000726] Port=0 RD @04 + 725.50ns INFO [00000727] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3 + 725.50ns INFO [00000727] Port=0 WR @01=48A013D86523EE0FE2 + 725.50ns INFO [00000727] Port=1 RD @04 + 726.50ns INFO [00000728] * RD COMPARE * port=0 adr=04 act=E565170B012BBA52CE exp=E565170B012BBA52CE + 726.50ns INFO [00000728] Port=0 RD @01 + 726.50ns INFO [00000728] Port=1 RD @00 + 727.50ns INFO [00000729] * RD COMPARE * port=1 adr=04 act=E565170B012BBA52CE exp=E565170B012BBA52CE + 727.50ns INFO [00000729] Port=0 WR @01=7E1489AA3CFD1B0EC2 + 727.50ns INFO [00000729] Port=1 RD @07 + 728.50ns INFO [00000730] * RD COMPARE * port=0 adr=01 act=48A013D86523EE0FE2 exp=48A013D86523EE0FE2 + 728.50ns INFO [00000730] * RD COMPARE * port=1 adr=00 act=C2C62D45699D63621B exp=C2C62D45699D63621B + 728.50ns INFO [00000730] Port=0 WR @06=F7C1CEEC3FF133A1B0 + 728.50ns INFO [00000730] Port=1 RD @02 + 729.50ns INFO [00000731] * RD COMPARE * port=1 adr=07 act=5287BD416FC038EE90 exp=5287BD416FC038EE90 + 729.50ns INFO [00000731] Port=0 WR @07=618B06749FDC7A4669 + 729.50ns INFO [00000731] Port=1 RD @03 + 730.50ns INFO [00000732] * RD COMPARE * port=1 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3 + 730.50ns INFO [00000732] Port=0 WR @01=F1B7234CCF2212362C + 731.50ns INFO [00000733] * RD COMPARE * port=1 adr=03 act=1950FB58DB3B154B6D exp=1950FB58DB3B154B6D + 731.50ns INFO [00000733] Port=0 RD @04 + 731.50ns INFO [00000733] Port=1 RD @05 + 732.50ns INFO [00000734] Port=0 RD @02 + 733.50ns INFO [00000735] * RD COMPARE * port=0 adr=04 act=E565170B012BBA52CE exp=E565170B012BBA52CE + 733.50ns INFO [00000735] * RD COMPARE * port=1 adr=05 act=3852C95DCBDD25F6E5 exp=3852C95DCBDD25F6E5 + 733.50ns INFO [00000735] Port=0 WR @04=B59B52541E59C8097E + 733.50ns INFO [00000735] Port=1 RD @03 + 734.50ns INFO [00000736] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3 + 734.50ns INFO [00000736] Port=1 RD @04 + 735.50ns INFO [00000737] * RD COMPARE * port=1 adr=03 act=1950FB58DB3B154B6D exp=1950FB58DB3B154B6D + 735.50ns INFO [00000737] Port=1 RD @04 + 736.50ns INFO [00000738] * RD COMPARE * port=1 adr=04 act=B59B52541E59C8097E exp=B59B52541E59C8097E + 736.50ns INFO [00000738] Port=0 RD @02 + 737.50ns INFO [00000739] * RD COMPARE * port=1 adr=04 act=B59B52541E59C8097E exp=B59B52541E59C8097E + 738.50ns INFO [00000740] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3 + 738.50ns INFO [00000740] Port=0 RD @02 + 738.50ns INFO [00000740] Port=1 RD @01 + 740.50ns INFO [00000742] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3 + 740.50ns INFO [00000742] * RD COMPARE * port=1 adr=01 act=F1B7234CCF2212362C exp=F1B7234CCF2212362C + 740.50ns INFO [00000742] Port=0 WR @07=A670BDFF55E41167EB + 740.50ns INFO [00000742] Port=1 RD @06 + 742.50ns INFO [00000744] * RD COMPARE * port=1 adr=06 act=F7C1CEEC3FF133A1B0 exp=F7C1CEEC3FF133A1B0 + 742.50ns INFO [00000744] Port=0 WR @03=6E68AD163CFC71FA99 + 743.50ns INFO [00000745] Port=0 WR @04=66F7B9D9973505C62C + 744.50ns INFO [00000746] Port=0 RD @06 + 745.50ns INFO [00000747] Port=0 WR @07=D066A26106A9D2D25C + 746.50ns INFO [00000748] * RD COMPARE * port=0 adr=06 act=F7C1CEEC3FF133A1B0 exp=F7C1CEEC3FF133A1B0 + 746.50ns INFO [00000748] Port=0 WR @05=8BB00CE400B740C9A5 + 746.50ns INFO [00000748] Port=0 RD @02 + 747.50ns INFO [00000749] Port=0 WR @01=B4784FB9C7BFC9F209 + 748.50ns INFO [00000750] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3 + 748.50ns INFO [00000750] Port=0 WR @00=64FC8174325D57BE92 + 748.50ns INFO [00000750] Port=0 RD @04 + 748.50ns INFO [00000750] Port=1 RD @06 + 749.50ns INFO [00000751] Port=0 RD @04 + 749.50ns INFO [00000751] Port=1 RD @06 + 750.50ns INFO [00000752] * RD COMPARE * port=0 adr=04 act=66F7B9D9973505C62C exp=66F7B9D9973505C62C + 750.50ns INFO [00000752] * RD COMPARE * port=1 adr=06 act=F7C1CEEC3FF133A1B0 exp=F7C1CEEC3FF133A1B0 + 750.50ns INFO [00000752] Port=0 WR @04=F60842258E67441CDD + 750.50ns INFO [00000752] Port=0 RD @05 + 751.50ns INFO [00000753] * RD COMPARE * port=0 adr=04 act=66F7B9D9973505C62C exp=66F7B9D9973505C62C + 751.50ns INFO [00000753] * RD COMPARE * port=1 adr=06 act=F7C1CEEC3FF133A1B0 exp=F7C1CEEC3FF133A1B0 + 751.50ns INFO [00000753] Port=0 RD @01 + 752.50ns INFO [00000754] * RD COMPARE * port=0 adr=05 act=8BB00CE400B740C9A5 exp=8BB00CE400B740C9A5 + 752.50ns INFO [00000754] Port=0 WR @06=8AD2EF2FD0A948A6DA + 752.50ns INFO [00000754] Port=1 RD @03 + 753.50ns INFO [00000755] * RD COMPARE * port=0 adr=01 act=B4784FB9C7BFC9F209 exp=B4784FB9C7BFC9F209 + 753.50ns INFO [00000755] Port=0 WR @03=CAE544B5CC1C13E970 + 753.50ns INFO [00000755] Port=0 RD @01 + 754.50ns INFO [00000756] * RD COMPARE * port=1 adr=03 act=6E68AD163CFC71FA99 exp=6E68AD163CFC71FA99 + 754.50ns INFO [00000756] Port=0 WR @07=97422DD409C6C7713A + 755.50ns INFO [00000757] * RD COMPARE * port=0 adr=01 act=B4784FB9C7BFC9F209 exp=B4784FB9C7BFC9F209 + 755.50ns INFO [00000757] Port=0 RD @02 + 756.50ns INFO [00000758] Port=0 WR @02=38F0B42401B701F51C + 757.50ns INFO [00000759] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3 + 757.50ns INFO [00000759] Port=0 WR @01=1A4071E7FECAD1DDFA + 758.50ns INFO [00000760] Port=0 WR @05=8860B0995B995DDCD7 + 759.50ns INFO [00000761] Port=0 WR @01=DFBD7324E37F9C5745 + 761.50ns INFO [00000763] Port=1 RD @06 + 763.50ns INFO [00000765] * RD COMPARE * port=1 adr=06 act=8AD2EF2FD0A948A6DA exp=8AD2EF2FD0A948A6DA + 763.50ns INFO [00000765] Port=0 RD @06 + 765.50ns INFO [00000767] * RD COMPARE * port=0 adr=06 act=8AD2EF2FD0A948A6DA exp=8AD2EF2FD0A948A6DA + 766.50ns INFO [00000768] Port=0 WR @04=7A9EC31F8B36AE5046 + 766.50ns INFO [00000768] Port=0 RD @07 + 766.50ns INFO [00000768] Port=1 RD @00 + 767.50ns INFO [00000769] Port=0 WR @01=91DB3D3CF79126C971 + 767.50ns INFO [00000769] Port=0 RD @07 + 768.50ns INFO [00000770] * RD COMPARE * port=0 adr=07 act=97422DD409C6C7713A exp=97422DD409C6C7713A + 768.50ns INFO [00000770] * RD COMPARE * port=1 adr=00 act=64FC8174325D57BE92 exp=64FC8174325D57BE92 + 768.50ns INFO [00000770] Port=0 WR @07=735F884EDBD9AAACE8 + 768.50ns INFO [00000770] Port=0 RD @02 + 769.50ns INFO [00000771] * RD COMPARE * port=0 adr=07 act=97422DD409C6C7713A exp=97422DD409C6C7713A + 769.50ns INFO [00000771] Port=0 RD @05 + 769.50ns INFO [00000771] Port=1 RD @06 + 770.50ns INFO [00000772] * RD COMPARE * port=0 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C + 770.50ns INFO [00000772] Port=0 RD @00 + 771.50ns INFO [00000773] * RD COMPARE * port=0 adr=05 act=8860B0995B995DDCD7 exp=8860B0995B995DDCD7 + 771.50ns INFO [00000773] * RD COMPARE * port=1 adr=06 act=8AD2EF2FD0A948A6DA exp=8AD2EF2FD0A948A6DA + 771.50ns INFO [00000773] Port=0 WR @03=62B2D207A81D4E7AC6 + 772.50ns INFO [00000774] * RD COMPARE * port=0 adr=00 act=64FC8174325D57BE92 exp=64FC8174325D57BE92 + 772.50ns INFO [00000774] Port=0 WR @00=DDB83F7C6D32501DB1 + 772.50ns INFO [00000774] Port=0 RD @03 + 773.50ns INFO [00000775] Port=1 RD @04 + 774.50ns INFO [00000776] * RD COMPARE * port=0 adr=03 act=62B2D207A81D4E7AC6 exp=62B2D207A81D4E7AC6 + 774.50ns INFO [00000776] Port=0 WR @00=A49B71AA528B4EE06B + 774.50ns INFO [00000776] Port=1 RD @01 + 775.50ns INFO [00000777] * RD COMPARE * port=1 adr=04 act=7A9EC31F8B36AE5046 exp=7A9EC31F8B36AE5046 + 775.50ns INFO [00000777] Port=0 WR @04=588FD2EA8CAE93AD75 + 775.50ns INFO [00000777] Port=0 RD @02 + 776.50ns INFO [00000778] * RD COMPARE * port=1 adr=01 act=91DB3D3CF79126C971 exp=91DB3D3CF79126C971 + 776.50ns INFO [00000778] Port=0 RD @02 + 777.50ns INFO [00000779] * RD COMPARE * port=0 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C + 777.50ns INFO [00000779] Port=0 WR @06=400B1480CFBDA4E324 + 777.50ns INFO [00000779] Port=1 RD @05 + 778.50ns INFO [00000780] * RD COMPARE * port=0 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C + 779.50ns INFO [00000781] * RD COMPARE * port=1 adr=05 act=8860B0995B995DDCD7 exp=8860B0995B995DDCD7 + 779.50ns INFO [00000781] Port=0 RD @03 + 779.50ns INFO [00000781] Port=1 RD @02 + 780.50ns INFO [00000782] Port=0 RD @06 + 781.50ns INFO [00000783] * RD COMPARE * port=0 adr=03 act=62B2D207A81D4E7AC6 exp=62B2D207A81D4E7AC6 + 781.50ns INFO [00000783] * RD COMPARE * port=1 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C + 781.50ns INFO [00000783] Port=0 RD @00 + 782.50ns INFO [00000784] * RD COMPARE * port=0 adr=06 act=400B1480CFBDA4E324 exp=400B1480CFBDA4E324 + 782.50ns INFO [00000784] Port=1 RD @00 + 783.50ns INFO [00000785] * RD COMPARE * port=0 adr=00 act=A49B71AA528B4EE06B exp=A49B71AA528B4EE06B + 783.50ns INFO [00000785] Port=1 RD @04 + 784.50ns INFO [00000786] * RD COMPARE * port=1 adr=00 act=A49B71AA528B4EE06B exp=A49B71AA528B4EE06B + 784.50ns INFO [00000786] Port=0 WR @00=55FB6F8A0CA1E30AEA + 785.50ns INFO [00000787] * RD COMPARE * port=1 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75 + 785.50ns INFO [00000787] Port=0 WR @07=802A1270C1409A1489 + 785.50ns INFO [00000787] Port=0 RD @05 + 786.50ns INFO [00000788] Port=0 WR @00=5C332A46BC4788A420 + 787.50ns INFO [00000789] * RD COMPARE * port=0 adr=05 act=8860B0995B995DDCD7 exp=8860B0995B995DDCD7 + 787.50ns INFO [00000789] Port=0 WR @00=4CCF654246B6A60DA3 + 787.50ns INFO [00000789] Port=0 RD @02 + 787.50ns INFO [00000789] Port=1 RD @02 + 788.50ns INFO [00000790] Port=0 WR @05=D4B07DE0D24C4A042C + 788.50ns INFO [00000790] Port=1 RD @04 + 789.50ns INFO [00000791] * RD COMPARE * port=0 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C + 789.50ns INFO [00000791] * RD COMPARE * port=1 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C + 790.50ns INFO [00000792] * RD COMPARE * port=1 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75 + 790.50ns INFO [00000792] Port=0 WR @07=5B83D9A53D8F00C10D + 790.50ns INFO [00000792] Port=1 RD @05 + 792.50ns INFO [00000794] * RD COMPARE * port=1 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C + 793.50ns INFO [00000795] Port=0 RD @05 + 794.50ns INFO [00000796] Port=1 RD @03 + 795.50ns INFO [00000797] * RD COMPARE * port=0 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C + 795.50ns INFO [00000797] Port=0 WR @07=B63B9FB3452E3C72C2 + 795.50ns INFO [00000797] Port=0 RD @03 + 796.50ns INFO [00000798] * RD COMPARE * port=1 adr=03 act=62B2D207A81D4E7AC6 exp=62B2D207A81D4E7AC6 + 796.50ns INFO [00000798] Port=0 WR @01=441114B62B397BE9C8 + 797.50ns INFO [00000799] * RD COMPARE * port=0 adr=03 act=62B2D207A81D4E7AC6 exp=62B2D207A81D4E7AC6 + 798.00ns INFO [00000800] [00000800] ...tick... + 798.50ns INFO [00000800] Port=0 WR @07=E88BCE00D75FCD7BD3 + 799.50ns INFO [00000801] Port=0 WR @01=B78F7A4BE4C2EA6DD9 + 799.50ns INFO [00000801] Port=1 RD @04 + 800.50ns INFO [00000802] Port=0 WR @00=75E89C3473D2A491AC + 800.50ns INFO [00000802] Port=0 RD @01 + 800.50ns INFO [00000802] Port=1 RD @01 + 801.50ns INFO [00000803] * RD COMPARE * port=1 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75 + 801.50ns INFO [00000803] Port=0 WR @07=6FB7416AF3DA863F1D + 801.50ns INFO [00000803] Port=0 RD @05 + 801.50ns INFO [00000803] Port=1 RD @00 + 802.50ns INFO [00000804] * RD COMPARE * port=0 adr=01 act=B78F7A4BE4C2EA6DD9 exp=B78F7A4BE4C2EA6DD9 + 802.50ns INFO [00000804] * RD COMPARE * port=1 adr=01 act=B78F7A4BE4C2EA6DD9 exp=B78F7A4BE4C2EA6DD9 + 802.50ns INFO [00000804] Port=0 WR @03=5A838D8920557D94F8 + 802.50ns INFO [00000804] Port=0 RD @01 + 803.50ns INFO [00000805] * RD COMPARE * port=0 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C + 803.50ns INFO [00000805] * RD COMPARE * port=1 adr=00 act=75E89C3473D2A491AC exp=75E89C3473D2A491AC + 803.50ns INFO [00000805] Port=0 WR @07=1A42FD6857D7C48721 + 803.50ns INFO [00000805] Port=0 RD @04 + 804.50ns INFO [00000806] * RD COMPARE * port=0 adr=01 act=B78F7A4BE4C2EA6DD9 exp=B78F7A4BE4C2EA6DD9 + 804.50ns INFO [00000806] Port=0 WR @03=6D68D17FF01BA91628 + 804.50ns INFO [00000806] Port=0 RD @04 + 804.50ns INFO [00000806] Port=1 RD @04 + 805.50ns INFO [00000807] * RD COMPARE * port=0 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75 + 805.50ns INFO [00000807] Port=0 WR @02=DE171B3CAD44B3D6A3 + 806.50ns INFO [00000808] * RD COMPARE * port=0 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75 + 806.50ns INFO [00000808] * RD COMPARE * port=1 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75 + 806.50ns INFO [00000808] Port=0 WR @03=4599FCC144FAD4686E + 806.50ns INFO [00000808] Port=1 RD @07 + 807.50ns INFO [00000809] Port=0 WR @07=8270F02C0657267EFA + 807.50ns INFO [00000809] Port=1 RD @02 + 808.50ns INFO [00000810] * RD COMPARE * port=1 adr=07 act=1A42FD6857D7C48721 exp=1A42FD6857D7C48721 + 808.50ns INFO [00000810] Port=0 RD @00 + 809.50ns INFO [00000811] * RD COMPARE * port=1 adr=02 act=DE171B3CAD44B3D6A3 exp=DE171B3CAD44B3D6A3 + 809.50ns INFO [00000811] Port=0 RD @04 + 809.50ns INFO [00000811] Port=1 RD @01 + 810.50ns INFO [00000812] * RD COMPARE * port=0 adr=00 act=75E89C3473D2A491AC exp=75E89C3473D2A491AC + 810.50ns INFO [00000812] Port=0 WR @04=C924FF9BD96FBD89C9 + 810.50ns INFO [00000812] Port=0 RD @00 + 810.50ns INFO [00000812] Port=1 RD @05 + 811.50ns INFO [00000813] * RD COMPARE * port=0 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75 + 811.50ns INFO [00000813] * RD COMPARE * port=1 adr=01 act=B78F7A4BE4C2EA6DD9 exp=B78F7A4BE4C2EA6DD9 + 812.50ns INFO [00000814] * RD COMPARE * port=0 adr=00 act=75E89C3473D2A491AC exp=75E89C3473D2A491AC + 812.50ns INFO [00000814] * RD COMPARE * port=1 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C + 812.50ns INFO [00000814] Port=0 RD @06 + 814.50ns INFO [00000816] * RD COMPARE * port=0 adr=06 act=400B1480CFBDA4E324 exp=400B1480CFBDA4E324 + 814.50ns INFO [00000816] Port=0 RD @03 + 814.50ns INFO [00000816] Port=1 RD @04 + 815.50ns INFO [00000817] Port=0 RD @03 + 816.50ns INFO [00000818] * RD COMPARE * port=0 adr=03 act=4599FCC144FAD4686E exp=4599FCC144FAD4686E + 816.50ns INFO [00000818] * RD COMPARE * port=1 adr=04 act=C924FF9BD96FBD89C9 exp=C924FF9BD96FBD89C9 + 816.50ns INFO [00000818] Port=0 RD @07 + 817.50ns INFO [00000819] * RD COMPARE * port=0 adr=03 act=4599FCC144FAD4686E exp=4599FCC144FAD4686E + 818.50ns INFO [00000820] * RD COMPARE * port=0 adr=07 act=8270F02C0657267EFA exp=8270F02C0657267EFA + 818.50ns INFO [00000820] Port=0 WR @01=7BBF0824604B43B301 + 818.50ns INFO [00000820] Port=1 RD @00 + 819.50ns INFO [00000821] Port=0 WR @00=78B20C29975D9634F5 + 820.50ns INFO [00000822] * RD COMPARE * port=1 adr=00 act=75E89C3473D2A491AC exp=75E89C3473D2A491AC + 820.50ns INFO [00000822] Port=0 WR @03=400FB81BCF16FFA4C3 + 820.50ns INFO [00000822] Port=1 RD @02 + 822.50ns INFO [00000824] * RD COMPARE * port=1 adr=02 act=DE171B3CAD44B3D6A3 exp=DE171B3CAD44B3D6A3 + 822.50ns INFO [00000824] Port=0 WR @06=6320C5C7EFADE2C288 + 822.50ns INFO [00000824] Port=0 RD @05 + 823.50ns INFO [00000825] Port=0 WR @00=E00EF68970FD1A0F5F + 823.50ns INFO [00000825] Port=1 RD @01 + 824.50ns INFO [00000826] * RD COMPARE * port=0 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C + 824.50ns INFO [00000826] Port=0 WR @01=95D76ACB9D7FE62393 + 824.50ns INFO [00000826] Port=1 RD @04 + 825.50ns INFO [00000827] * RD COMPARE * port=1 adr=01 act=7BBF0824604B43B301 exp=7BBF0824604B43B301 + 825.50ns INFO [00000827] Port=0 WR @04=F59790BCAF13F34073 + 826.50ns INFO [00000828] * RD COMPARE * port=1 adr=04 act=C924FF9BD96FBD89C9 exp=C924FF9BD96FBD89C9 + 826.50ns INFO [00000828] Port=0 WR @00=0C5D3C112B00E3E19F + 826.50ns INFO [00000828] Port=0 RD @06 + 827.50ns INFO [00000829] Port=0 RD @00 + 828.50ns INFO [00000830] * RD COMPARE * port=0 adr=06 act=6320C5C7EFADE2C288 exp=6320C5C7EFADE2C288 + 828.50ns INFO [00000830] Port=0 RD @01 + 829.50ns INFO [00000831] * RD COMPARE * port=0 adr=00 act=0C5D3C112B00E3E19F exp=0C5D3C112B00E3E19F + 829.50ns INFO [00000831] Port=0 RD @02 + 829.50ns INFO [00000831] Port=1 RD @05 + 830.50ns INFO [00000832] * RD COMPARE * port=0 adr=01 act=95D76ACB9D7FE62393 exp=95D76ACB9D7FE62393 + 831.50ns INFO [00000833] * RD COMPARE * port=0 adr=02 act=DE171B3CAD44B3D6A3 exp=DE171B3CAD44B3D6A3 + 831.50ns INFO [00000833] * RD COMPARE * port=1 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C + 831.50ns INFO [00000833] Port=0 WR @00=8981D05B744C476848 + 831.50ns INFO [00000833] Port=0 RD @07 + 832.50ns INFO [00000834] Port=0 RD @04 + 832.50ns INFO [00000834] Port=1 RD @03 + 833.50ns INFO [00000835] * RD COMPARE * port=0 adr=07 act=8270F02C0657267EFA exp=8270F02C0657267EFA + 834.50ns INFO [00000836] * RD COMPARE * port=0 adr=04 act=F59790BCAF13F34073 exp=F59790BCAF13F34073 + 834.50ns INFO [00000836] * RD COMPARE * port=1 adr=03 act=400FB81BCF16FFA4C3 exp=400FB81BCF16FFA4C3 + 834.50ns INFO [00000836] Port=0 WR @01=A93EB0FEC49EFBBB03 + 834.50ns INFO [00000836] Port=1 RD @04 + 835.50ns INFO [00000837] Port=1 RD @01 + 836.50ns INFO [00000838] * RD COMPARE * port=1 adr=04 act=F59790BCAF13F34073 exp=F59790BCAF13F34073 + 836.50ns INFO [00000838] Port=0 RD @05 + 836.50ns INFO [00000838] Port=1 RD @04 + 837.50ns INFO [00000839] * RD COMPARE * port=1 adr=01 act=A93EB0FEC49EFBBB03 exp=A93EB0FEC49EFBBB03 + 837.50ns INFO [00000839] Port=0 WR @00=BEB3B0076190D0FB08 + 838.50ns INFO [00000840] * RD COMPARE * port=0 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C + 838.50ns INFO [00000840] * RD COMPARE * port=1 adr=04 act=F59790BCAF13F34073 exp=F59790BCAF13F34073 + 838.50ns INFO [00000840] Port=0 WR @05=1344A7CAAAF47F3CAD + 839.50ns INFO [00000841] Port=0 WR @04=7B2807048A59210553 + 839.50ns INFO [00000841] Port=1 RD @05 + 841.50ns INFO [00000843] * RD COMPARE * port=1 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD + 841.50ns INFO [00000843] Port=1 RD @07 + 842.50ns INFO [00000844] Port=0 WR @01=0D480750644EFAA6CC + 843.50ns INFO [00000845] * RD COMPARE * port=1 adr=07 act=8270F02C0657267EFA exp=8270F02C0657267EFA + 843.50ns INFO [00000845] Port=0 RD @05 + 843.50ns INFO [00000845] Port=1 RD @05 + 844.50ns INFO [00000846] Port=1 RD @02 + 845.50ns INFO [00000847] * RD COMPARE * port=0 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD + 845.50ns INFO [00000847] * RD COMPARE * port=1 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD + 845.50ns INFO [00000847] Port=0 RD @05 + 845.50ns INFO [00000847] Port=1 RD @07 + 846.50ns INFO [00000848] * RD COMPARE * port=1 adr=02 act=DE171B3CAD44B3D6A3 exp=DE171B3CAD44B3D6A3 + 846.50ns INFO [00000848] Port=0 WR @01=E009ED28E5BCA4CFF8 + 846.50ns INFO [00000848] Port=0 RD @06 + 847.50ns INFO [00000849] * RD COMPARE * port=0 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD + 847.50ns INFO [00000849] * RD COMPARE * port=1 adr=07 act=8270F02C0657267EFA exp=8270F02C0657267EFA + 847.50ns INFO [00000849] Port=0 WR @03=EBA47903EFA3DF52C2 + 848.50ns INFO [00000850] * RD COMPARE * port=0 adr=06 act=6320C5C7EFADE2C288 exp=6320C5C7EFADE2C288 + 848.50ns INFO [00000850] Port=0 WR @02=F7B145A17AECB9BB4D + 848.50ns INFO [00000850] Port=0 RD @07 + 849.50ns INFO [00000851] Port=0 RD @04 + 850.50ns INFO [00000852] * RD COMPARE * port=0 adr=07 act=8270F02C0657267EFA exp=8270F02C0657267EFA + 851.50ns INFO [00000853] * RD COMPARE * port=0 adr=04 act=7B2807048A59210553 exp=7B2807048A59210553 + 851.50ns INFO [00000853] Port=0 WR @01=200E031B5D3192B4FD + 853.50ns INFO [00000855] Port=0 WR @06=770A8E4FFE0D9CAE8D + 853.50ns INFO [00000855] Port=1 RD @00 + 855.50ns INFO [00000857] * RD COMPARE * port=1 adr=00 act=BEB3B0076190D0FB08 exp=BEB3B0076190D0FB08 + 855.50ns INFO [00000857] Port=0 WR @07=3F7816F579BAF52AE8 + 855.50ns INFO [00000857] Port=0 RD @06 + 856.50ns INFO [00000858] Port=0 RD @04 + 857.50ns INFO [00000859] * RD COMPARE * port=0 adr=06 act=770A8E4FFE0D9CAE8D exp=770A8E4FFE0D9CAE8D + 857.50ns INFO [00000859] Port=0 RD @03 + 858.50ns INFO [00000860] * RD COMPARE * port=0 adr=04 act=7B2807048A59210553 exp=7B2807048A59210553 + 858.50ns INFO [00000860] Port=0 WR @00=A6651116AB6FE9033D + 859.50ns INFO [00000861] * RD COMPARE * port=0 adr=03 act=EBA47903EFA3DF52C2 exp=EBA47903EFA3DF52C2 + 859.50ns INFO [00000861] Port=1 RD @04 + 860.50ns INFO [00000862] Port=0 RD @02 + 861.50ns INFO [00000863] * RD COMPARE * port=1 adr=04 act=7B2807048A59210553 exp=7B2807048A59210553 + 861.50ns INFO [00000863] Port=1 RD @07 + 862.50ns INFO [00000864] * RD COMPARE * port=0 adr=02 act=F7B145A17AECB9BB4D exp=F7B145A17AECB9BB4D + 863.50ns INFO [00000865] * RD COMPARE * port=1 adr=07 act=3F7816F579BAF52AE8 exp=3F7816F579BAF52AE8 + 863.50ns INFO [00000865] Port=0 RD @06 + 865.50ns INFO [00000867] * RD COMPARE * port=0 adr=06 act=770A8E4FFE0D9CAE8D exp=770A8E4FFE0D9CAE8D + 866.50ns INFO [00000868] Port=0 WR @06=B0E01BC1FE89CAD6EF + 868.50ns INFO [00000870] Port=1 RD @04 + 869.50ns INFO [00000871] Port=0 WR @00=DC71AD4877F06A53C3 + 870.50ns INFO [00000872] * RD COMPARE * port=1 adr=04 act=7B2807048A59210553 exp=7B2807048A59210553 + 870.50ns INFO [00000872] Port=0 RD @07 + 870.50ns INFO [00000872] Port=1 RD @07 + 872.50ns INFO [00000874] * RD COMPARE * port=0 adr=07 act=3F7816F579BAF52AE8 exp=3F7816F579BAF52AE8 + 872.50ns INFO [00000874] * RD COMPARE * port=1 adr=07 act=3F7816F579BAF52AE8 exp=3F7816F579BAF52AE8 + 872.50ns INFO [00000874] Port=0 RD @07 + 873.50ns INFO [00000875] Port=1 RD @06 + 874.50ns INFO [00000876] * RD COMPARE * port=0 adr=07 act=3F7816F579BAF52AE8 exp=3F7816F579BAF52AE8 + 874.50ns INFO [00000876] Port=0 WR @04=331742F2C0E8DE95C5 + 875.50ns INFO [00000877] * RD COMPARE * port=1 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF + 875.50ns INFO [00000877] Port=0 RD @06 + 876.50ns INFO [00000878] Port=0 WR @07=7730B30B2682E6E606 + 876.50ns INFO [00000878] Port=1 RD @00 + 877.50ns INFO [00000879] * RD COMPARE * port=0 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF + 877.50ns INFO [00000879] Port=0 RD @05 + 878.50ns INFO [00000880] * RD COMPARE * port=1 adr=00 act=DC71AD4877F06A53C3 exp=DC71AD4877F06A53C3 + 878.50ns INFO [00000880] Port=0 RD @01 + 879.50ns INFO [00000881] * RD COMPARE * port=0 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD + 879.50ns INFO [00000881] Port=0 RD @01 + 879.50ns INFO [00000881] Port=1 RD @03 + 880.50ns INFO [00000882] * RD COMPARE * port=0 adr=01 act=200E031B5D3192B4FD exp=200E031B5D3192B4FD + 880.50ns INFO [00000882] Port=0 WR @00=E10779972069ABCC03 + 880.50ns INFO [00000882] Port=0 RD @06 + 880.50ns INFO [00000882] Port=1 RD @06 + 881.50ns INFO [00000883] * RD COMPARE * port=0 adr=01 act=200E031B5D3192B4FD exp=200E031B5D3192B4FD + 881.50ns INFO [00000883] * RD COMPARE * port=1 adr=03 act=EBA47903EFA3DF52C2 exp=EBA47903EFA3DF52C2 + 882.50ns INFO [00000884] * RD COMPARE * port=0 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF + 882.50ns INFO [00000884] * RD COMPARE * port=1 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF + 882.50ns INFO [00000884] Port=0 WR @01=A9F82D1BA59B83E065 + 883.50ns INFO [00000885] Port=0 WR @07=E703E38EBA61B17678 + 884.50ns INFO [00000886] Port=1 RD @06 + 885.50ns INFO [00000887] Port=0 RD @05 + 886.50ns INFO [00000888] * RD COMPARE * port=1 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF + 886.50ns INFO [00000888] Port=0 RD @00 + 886.50ns INFO [00000888] Port=1 RD @05 + 887.50ns INFO [00000889] * RD COMPARE * port=0 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD + 887.50ns INFO [00000889] Port=0 RD @02 + 887.50ns INFO [00000889] Port=1 RD @04 + 888.50ns INFO [00000890] * RD COMPARE * port=0 adr=00 act=E10779972069ABCC03 exp=E10779972069ABCC03 + 888.50ns INFO [00000890] * RD COMPARE * port=1 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD + 889.50ns INFO [00000891] * RD COMPARE * port=0 adr=02 act=F7B145A17AECB9BB4D exp=F7B145A17AECB9BB4D + 889.50ns INFO [00000891] * RD COMPARE * port=1 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5 + 889.50ns INFO [00000891] Port=1 RD @01 + 890.50ns INFO [00000892] Port=1 RD @00 + 891.50ns INFO [00000893] * RD COMPARE * port=1 adr=01 act=A9F82D1BA59B83E065 exp=A9F82D1BA59B83E065 + 891.50ns INFO [00000893] Port=0 WR @03=F6E6C1012E6348858C + 891.50ns INFO [00000893] Port=1 RD @04 + 892.50ns INFO [00000894] * RD COMPARE * port=1 adr=00 act=E10779972069ABCC03 exp=E10779972069ABCC03 + 893.50ns INFO [00000895] * RD COMPARE * port=1 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5 + 893.50ns INFO [00000895] Port=0 RD @03 + 895.50ns INFO [00000897] * RD COMPARE * port=0 adr=03 act=F6E6C1012E6348858C exp=F6E6C1012E6348858C + 895.50ns INFO [00000897] Port=0 RD @01 + 897.50ns INFO [00000899] * RD COMPARE * port=0 adr=01 act=A9F82D1BA59B83E065 exp=A9F82D1BA59B83E065 + 898.00ns INFO [00000900] [00000900] ...tick... + 899.50ns INFO [00000901] Port=0 WR @02=CEF05C3B45CEA9EE93 + 899.50ns INFO [00000901] Port=1 RD @04 + 900.50ns INFO [00000902] Port=0 RD @02 + 900.50ns INFO [00000902] Port=1 RD @01 + 901.50ns INFO [00000903] * RD COMPARE * port=1 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5 + 901.50ns INFO [00000903] Port=1 RD @00 + 902.50ns INFO [00000904] * RD COMPARE * port=0 adr=02 act=CEF05C3B45CEA9EE93 exp=CEF05C3B45CEA9EE93 + 902.50ns INFO [00000904] * RD COMPARE * port=1 adr=01 act=A9F82D1BA59B83E065 exp=A9F82D1BA59B83E065 + 902.50ns INFO [00000904] Port=0 WR @02=E83EF2930C7431F363 + 902.50ns INFO [00000904] Port=1 RD @06 + 903.50ns INFO [00000905] * RD COMPARE * port=1 adr=00 act=E10779972069ABCC03 exp=E10779972069ABCC03 + 903.50ns INFO [00000905] Port=0 WR @01=D740693C79CA221CCD + 903.50ns INFO [00000905] Port=0 RD @06 + 903.50ns INFO [00000905] Port=1 RD @03 + 904.50ns INFO [00000906] * RD COMPARE * port=1 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF + 904.50ns INFO [00000906] Port=0 WR @06=0AB97432F569126F41 + 905.50ns INFO [00000907] * RD COMPARE * port=0 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF + 905.50ns INFO [00000907] * RD COMPARE * port=1 adr=03 act=F6E6C1012E6348858C exp=F6E6C1012E6348858C + 905.50ns INFO [00000907] Port=0 WR @00=8ACEE3661623860DDD + 905.50ns INFO [00000907] Port=0 RD @03 + 906.50ns INFO [00000908] Port=1 RD @07 + 907.50ns INFO [00000909] * RD COMPARE * port=0 adr=03 act=F6E6C1012E6348858C exp=F6E6C1012E6348858C + 907.50ns INFO [00000909] Port=0 RD @02 + 908.50ns INFO [00000910] * RD COMPARE * port=1 adr=07 act=E703E38EBA61B17678 exp=E703E38EBA61B17678 + 908.50ns INFO [00000910] Port=0 RD @04 + 909.50ns INFO [00000911] * RD COMPARE * port=0 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363 + 909.50ns INFO [00000911] Port=0 WR @06=7A9A979ABD625AFD92 + 909.50ns INFO [00000911] Port=1 RD @00 + 910.50ns INFO [00000912] * RD COMPARE * port=0 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5 + 910.50ns INFO [00000912] Port=0 WR @03=2D86629886886DA490 + 910.50ns INFO [00000912] Port=1 RD @04 + 911.50ns INFO [00000913] * RD COMPARE * port=1 adr=00 act=8ACEE3661623860DDD exp=8ACEE3661623860DDD + 912.50ns INFO [00000914] * RD COMPARE * port=1 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5 + 912.50ns INFO [00000914] Port=0 WR @06=A352460953BF2C3ECA + 913.50ns INFO [00000915] Port=1 RD @01 + 914.50ns INFO [00000916] Port=0 WR @01=54ECA569D4B76D5B57 + 915.50ns INFO [00000917] * RD COMPARE * port=1 adr=01 act=D740693C79CA221CCD exp=D740693C79CA221CCD + 915.50ns INFO [00000917] Port=0 RD @01 + 917.50ns INFO [00000919] * RD COMPARE * port=0 adr=01 act=54ECA569D4B76D5B57 exp=54ECA569D4B76D5B57 + 917.50ns INFO [00000919] Port=0 RD @04 + 917.50ns INFO [00000919] Port=1 RD @02 + 918.50ns INFO [00000920] Port=1 RD @03 + 919.50ns INFO [00000921] * RD COMPARE * port=0 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5 + 919.50ns INFO [00000921] * RD COMPARE * port=1 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363 + 920.50ns INFO [00000922] * RD COMPARE * port=1 adr=03 act=2D86629886886DA490 exp=2D86629886886DA490 + 920.50ns INFO [00000922] Port=0 RD @01 + 922.50ns INFO [00000924] * RD COMPARE * port=0 adr=01 act=54ECA569D4B76D5B57 exp=54ECA569D4B76D5B57 + 922.50ns INFO [00000924] Port=0 RD @01 + 922.50ns INFO [00000924] Port=1 RD @02 + 923.50ns INFO [00000925] Port=0 RD @02 + 923.50ns INFO [00000925] Port=1 RD @02 + 924.50ns INFO [00000926] * RD COMPARE * port=0 adr=01 act=54ECA569D4B76D5B57 exp=54ECA569D4B76D5B57 + 924.50ns INFO [00000926] * RD COMPARE * port=1 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363 + 925.50ns INFO [00000927] * RD COMPARE * port=0 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363 + 925.50ns INFO [00000927] * RD COMPARE * port=1 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363 + 926.50ns INFO [00000928] Port=0 WR @03=5C0C31429FAB01D5D8 + 926.50ns INFO [00000928] Port=0 RD @02 + 927.50ns INFO [00000929] Port=0 WR @07=A884BB491C530ED0FB + 927.50ns INFO [00000929] Port=1 RD @03 + 928.50ns INFO [00000930] * RD COMPARE * port=0 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363 + 928.50ns INFO [00000930] Port=1 RD @00 + 929.50ns INFO [00000931] * RD COMPARE * port=1 adr=03 act=5C0C31429FAB01D5D8 exp=5C0C31429FAB01D5D8 + 929.50ns INFO [00000931] Port=0 WR @03=983A905678D9CD886B + 930.50ns INFO [00000932] * RD COMPARE * port=1 adr=00 act=8ACEE3661623860DDD exp=8ACEE3661623860DDD + 930.50ns INFO [00000932] Port=1 RD @07 + 931.50ns INFO [00000933] Port=0 RD @00 + 932.50ns INFO [00000934] * RD COMPARE * port=1 adr=07 act=A884BB491C530ED0FB exp=A884BB491C530ED0FB + 933.50ns INFO [00000935] * RD COMPARE * port=0 adr=00 act=8ACEE3661623860DDD exp=8ACEE3661623860DDD + 933.50ns INFO [00000935] Port=0 WR @00=CF9A2B0A553D8DC103 + 933.50ns INFO [00000935] Port=1 RD @02 + 934.50ns INFO [00000936] Port=0 WR @06=EF923C7ECD545A6C54 + 935.50ns INFO [00000937] * RD COMPARE * port=1 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363 + 935.50ns INFO [00000937] Port=0 WR @02=C138A66AF2019C629B + 938.50ns INFO [00000940] Port=0 WR @06=480B115D0D3989C887 + 939.50ns INFO [00000941] Port=0 WR @02=1C64C15E052608F6A3 + 940.50ns INFO [00000942] Port=0 WR @02=FB928CFABDEED25842 + 940.50ns INFO [00000942] Port=0 RD @04 + 940.50ns INFO [00000942] Port=1 RD @01 + 941.50ns INFO [00000943] Port=0 WR @06=AB9820579F8E87E717 + 941.50ns INFO [00000943] Port=0 RD @04 + 941.50ns INFO [00000943] Port=1 RD @03 + 942.50ns INFO [00000944] * RD COMPARE * port=0 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5 + 942.50ns INFO [00000944] * RD COMPARE * port=1 adr=01 act=54ECA569D4B76D5B57 exp=54ECA569D4B76D5B57 + 942.50ns INFO [00000944] Port=0 WR @02=104EAF25886B91A946 + 943.50ns INFO [00000945] * RD COMPARE * port=0 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5 + 943.50ns INFO [00000945] * RD COMPARE * port=1 adr=03 act=983A905678D9CD886B exp=983A905678D9CD886B + 943.50ns INFO [00000945] Port=0 WR @05=ECF860A148E9C9DDC2 + 943.50ns INFO [00000945] Port=0 RD @02 + 943.50ns INFO [00000945] Port=1 RD @04 + 945.50ns INFO [00000947] * RD COMPARE * port=0 adr=02 act=104EAF25886B91A946 exp=104EAF25886B91A946 + 945.50ns INFO [00000947] * RD COMPARE * port=1 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5 + 945.50ns INFO [00000947] Port=0 RD @02 + 945.50ns INFO [00000947] Port=1 RD @06 + 946.50ns INFO [00000948] Port=0 WR @01=9985FD46BCBD813EB9 + 946.50ns INFO [00000948] Port=1 RD @02 + 947.50ns INFO [00000949] * RD COMPARE * port=0 adr=02 act=104EAF25886B91A946 exp=104EAF25886B91A946 + 947.50ns INFO [00000949] * RD COMPARE * port=1 adr=06 act=AB9820579F8E87E717 exp=AB9820579F8E87E717 + 947.50ns INFO [00000949] Port=0 WR @07=B35E8AE9D33F2F71B3 + 947.50ns INFO [00000949] Port=0 RD @05 + 948.50ns INFO [00000950] * RD COMPARE * port=1 adr=02 act=104EAF25886B91A946 exp=104EAF25886B91A946 + 948.50ns INFO [00000950] Port=0 WR @02=5FE5D280B2554A1E0F + 948.50ns INFO [00000950] Port=0 RD @01 + 948.50ns INFO [00000950] Port=1 RD @00 + 949.50ns INFO [00000951] * RD COMPARE * port=0 adr=05 act=ECF860A148E9C9DDC2 exp=ECF860A148E9C9DDC2 + 949.50ns INFO [00000951] Port=0 WR @01=30073DE02360624D19 + 949.50ns INFO [00000951] Port=0 RD @00 + 950.50ns INFO [00000952] * RD COMPARE * port=0 adr=01 act=9985FD46BCBD813EB9 exp=9985FD46BCBD813EB9 + 950.50ns INFO [00000952] * RD COMPARE * port=1 adr=00 act=CF9A2B0A553D8DC103 exp=CF9A2B0A553D8DC103 + 950.50ns INFO [00000952] Port=0 WR @03=623E3C14CF668AE323 + 950.50ns INFO [00000952] Port=0 RD @02 + 950.50ns INFO [00000952] Port=1 RD @00 + 951.50ns INFO [00000953] * RD COMPARE * port=0 adr=00 act=CF9A2B0A553D8DC103 exp=CF9A2B0A553D8DC103 + 952.50ns INFO [00000954] * RD COMPARE * port=0 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F + 952.50ns INFO [00000954] * RD COMPARE * port=1 adr=00 act=CF9A2B0A553D8DC103 exp=CF9A2B0A553D8DC103 + 952.50ns INFO [00000954] Port=0 WR @00=E757D511D2F4AF6290 + 952.50ns INFO [00000954] Port=0 RD @07 + 952.50ns INFO [00000954] Port=1 RD @07 + 953.50ns INFO [00000955] Port=0 RD @00 + 954.50ns INFO [00000956] * RD COMPARE * port=0 adr=07 act=B35E8AE9D33F2F71B3 exp=B35E8AE9D33F2F71B3 + 954.50ns INFO [00000956] * RD COMPARE * port=1 adr=07 act=B35E8AE9D33F2F71B3 exp=B35E8AE9D33F2F71B3 + 955.50ns INFO [00000957] * RD COMPARE * port=0 adr=00 act=E757D511D2F4AF6290 exp=E757D511D2F4AF6290 + 955.50ns INFO [00000957] Port=0 RD @04 + 955.50ns INFO [00000957] Port=1 RD @03 + 956.50ns INFO [00000958] Port=0 WR @03=EFBE520BFDCBD174F5 + 956.50ns INFO [00000958] Port=0 RD @00 + 957.50ns INFO [00000959] * RD COMPARE * port=0 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5 + 957.50ns INFO [00000959] * RD COMPARE * port=1 adr=03 act=623E3C14CF668AE323 exp=623E3C14CF668AE323 + 958.50ns INFO [00000960] * RD COMPARE * port=0 adr=00 act=E757D511D2F4AF6290 exp=E757D511D2F4AF6290 + 959.50ns INFO [00000961] Port=0 WR @00=F01E2E34EA1C99FDF5 + 959.50ns INFO [00000961] Port=0 RD @01 + 960.50ns INFO [00000962] Port=0 RD @02 + 961.50ns INFO [00000963] * RD COMPARE * port=0 adr=01 act=30073DE02360624D19 exp=30073DE02360624D19 + 961.50ns INFO [00000963] Port=0 WR @04=B5BC47BB59042CCFEF + 961.50ns INFO [00000963] Port=0 RD @02 + 962.50ns INFO [00000964] * RD COMPARE * port=0 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F + 962.50ns INFO [00000964] Port=0 RD @00 + 963.50ns INFO [00000965] * RD COMPARE * port=0 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F + 963.50ns INFO [00000965] Port=0 WR @07=6A8A7CD418C1CFFFAA + 963.50ns INFO [00000965] Port=1 RD @01 + 964.50ns INFO [00000966] * RD COMPARE * port=0 adr=00 act=F01E2E34EA1C99FDF5 exp=F01E2E34EA1C99FDF5 + 965.50ns INFO [00000967] * RD COMPARE * port=1 adr=01 act=30073DE02360624D19 exp=30073DE02360624D19 + 965.50ns INFO [00000967] Port=0 WR @07=A4BBD517A64D9220B9 + 965.50ns INFO [00000967] Port=1 RD @02 + 966.50ns INFO [00000968] Port=0 WR @05=0A45B7ED86E8B509D8 + 967.50ns INFO [00000969] * RD COMPARE * port=1 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F + 968.50ns INFO [00000970] Port=0 RD @02 + 968.50ns INFO [00000970] Port=1 RD @05 + 969.50ns INFO [00000971] Port=0 WR @01=0FDB38369E62812088 + 969.50ns INFO [00000971] Port=1 RD @02 + 970.50ns INFO [00000972] * RD COMPARE * port=0 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F + 970.50ns INFO [00000972] * RD COMPARE * port=1 adr=05 act=0A45B7ED86E8B509D8 exp=0A45B7ED86E8B509D8 + 970.50ns INFO [00000972] Port=0 WR @00=C01B88979CE7616D19 + 970.50ns INFO [00000972] Port=0 RD @01 + 970.50ns INFO [00000972] Port=1 RD @04 + 971.50ns INFO [00000973] * RD COMPARE * port=1 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F + 971.50ns INFO [00000973] Port=0 WR @00=C3BB2746B3FA5576F0 + 971.50ns INFO [00000973] Port=0 RD @01 + 972.50ns INFO [00000974] * RD COMPARE * port=0 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088 + 972.50ns INFO [00000974] * RD COMPARE * port=1 adr=04 act=B5BC47BB59042CCFEF exp=B5BC47BB59042CCFEF + 972.50ns INFO [00000974] Port=0 RD @00 + 972.50ns INFO [00000974] Port=1 RD @01 + 973.50ns INFO [00000975] * RD COMPARE * port=0 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088 + 974.50ns INFO [00000976] * RD COMPARE * port=0 adr=00 act=C3BB2746B3FA5576F0 exp=C3BB2746B3FA5576F0 + 974.50ns INFO [00000976] * RD COMPARE * port=1 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088 + 974.50ns INFO [00000976] Port=0 RD @03 + 974.50ns INFO [00000976] Port=1 RD @06 + 975.50ns INFO [00000977] Port=0 WR @00=D75023146EFCB55147 + 975.50ns INFO [00000977] Port=0 RD @05 + 976.50ns INFO [00000978] * RD COMPARE * port=0 adr=03 act=EFBE520BFDCBD174F5 exp=EFBE520BFDCBD174F5 + 976.50ns INFO [00000978] * RD COMPARE * port=1 adr=06 act=AB9820579F8E87E717 exp=AB9820579F8E87E717 + 976.50ns INFO [00000978] Port=1 RD @03 + 977.50ns INFO [00000979] * RD COMPARE * port=0 adr=05 act=0A45B7ED86E8B509D8 exp=0A45B7ED86E8B509D8 + 977.50ns INFO [00000979] Port=0 RD @00 + 978.50ns INFO [00000980] * RD COMPARE * port=1 adr=03 act=EFBE520BFDCBD174F5 exp=EFBE520BFDCBD174F5 + 979.50ns INFO [00000981] * RD COMPARE * port=0 adr=00 act=D75023146EFCB55147 exp=D75023146EFCB55147 + 979.50ns INFO [00000981] Port=0 WR @06=3C0E5D4E79195ED116 + 979.50ns INFO [00000981] Port=0 RD @01 + 979.50ns INFO [00000981] Port=1 RD @07 + 980.50ns INFO [00000982] Port=0 WR @02=C98355A1A8CBEE8171 + 981.50ns INFO [00000983] * RD COMPARE * port=0 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088 + 981.50ns INFO [00000983] * RD COMPARE * port=1 adr=07 act=A4BBD517A64D9220B9 exp=A4BBD517A64D9220B9 + 981.50ns INFO [00000983] Port=1 RD @03 + 983.50ns INFO [00000985] * RD COMPARE * port=1 adr=03 act=EFBE520BFDCBD174F5 exp=EFBE520BFDCBD174F5 + 983.50ns INFO [00000985] Port=0 WR @00=7436CB08361F07F44A + 983.50ns INFO [00000985] Port=1 RD @07 + 984.50ns INFO [00000986] Port=0 RD @03 + 985.50ns INFO [00000987] * RD COMPARE * port=1 adr=07 act=A4BBD517A64D9220B9 exp=A4BBD517A64D9220B9 + 985.50ns INFO [00000987] Port=0 WR @03=314F4AEC615661954D + 985.50ns INFO [00000987] Port=0 RD @05 + 985.50ns INFO [00000987] Port=1 RD @04 + 986.50ns INFO [00000988] * RD COMPARE * port=0 adr=03 act=EFBE520BFDCBD174F5 exp=EFBE520BFDCBD174F5 + 986.50ns INFO [00000988] Port=1 RD @06 + 987.50ns INFO [00000989] * RD COMPARE * port=0 adr=05 act=0A45B7ED86E8B509D8 exp=0A45B7ED86E8B509D8 + 987.50ns INFO [00000989] * RD COMPARE * port=1 adr=04 act=B5BC47BB59042CCFEF exp=B5BC47BB59042CCFEF + 988.50ns INFO [00000990] * RD COMPARE * port=1 adr=06 act=3C0E5D4E79195ED116 exp=3C0E5D4E79195ED116 + 988.50ns INFO [00000990] Port=0 WR @03=08C0C08FEEEB5E5397 + 988.50ns INFO [00000990] Port=1 RD @02 + 989.50ns INFO [00000991] Port=0 WR @04=E062DBB5552C12F4A8 + 989.50ns INFO [00000991] Port=1 RD @02 + 990.50ns INFO [00000992] * RD COMPARE * port=1 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171 + 990.50ns INFO [00000992] Port=0 RD @03 + 991.50ns INFO [00000993] * RD COMPARE * port=1 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171 + 991.50ns INFO [00000993] Port=1 RD @06 + 992.50ns INFO [00000994] * RD COMPARE * port=0 adr=03 act=08C0C08FEEEB5E5397 exp=08C0C08FEEEB5E5397 + 992.50ns INFO [00000994] Port=0 RD @01 + 992.50ns INFO [00000994] Port=1 RD @01 + 993.50ns INFO [00000995] * RD COMPARE * port=1 adr=06 act=3C0E5D4E79195ED116 exp=3C0E5D4E79195ED116 + 993.50ns INFO [00000995] Port=0 WR @00=F99E44F30BF39C7F07 + 993.50ns INFO [00000995] Port=0 RD @03 + 994.50ns INFO [00000996] * RD COMPARE * port=0 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088 + 994.50ns INFO [00000996] * RD COMPARE * port=1 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088 + 994.50ns INFO [00000996] Port=0 RD @05 + 994.50ns INFO [00000996] Port=1 RD @00 + 995.50ns INFO [00000997] * RD COMPARE * port=0 adr=03 act=08C0C08FEEEB5E5397 exp=08C0C08FEEEB5E5397 + 995.50ns INFO [00000997] Port=0 WR @06=F50F0A3BB08CFFE954 + 995.50ns INFO [00000997] Port=0 RD @00 + 995.50ns INFO [00000997] Port=1 RD @05 + 996.50ns INFO [00000998] * RD COMPARE * port=0 adr=05 act=0A45B7ED86E8B509D8 exp=0A45B7ED86E8B509D8 + 996.50ns INFO [00000998] * RD COMPARE * port=1 adr=00 act=F99E44F30BF39C7F07 exp=F99E44F30BF39C7F07 + 996.50ns INFO [00000998] Port=1 RD @02 + 997.50ns INFO [00000999] * RD COMPARE * port=0 adr=00 act=F99E44F30BF39C7F07 exp=F99E44F30BF39C7F07 + 997.50ns INFO [00000999] * RD COMPARE * port=1 adr=05 act=0A45B7ED86E8B509D8 exp=0A45B7ED86E8B509D8 + 997.50ns INFO [00000999] Port=0 WR @05=460303F0FE62769B7F + 997.50ns INFO [00000999] Port=0 RD @02 + 998.00ns INFO [00001000] [00001000] ...tick... + 998.50ns INFO [00001000] * RD COMPARE * port=1 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171 + 998.50ns INFO [00001000] Port=0 RD @02 + 999.50ns INFO [00001001] * RD COMPARE * port=0 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171 + 999.50ns INFO [00001001] Port=0 WR @04=AB044F88C3AC20484B + 999.50ns INFO [00001001] Port=0 RD @06 + 1000.50ns INFO [00001002] * RD COMPARE * port=0 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171 + 1000.50ns INFO [00001002] Port=1 RD @03 + 1001.50ns INFO [00001003] * RD COMPARE * port=0 adr=06 act=F50F0A3BB08CFFE954 exp=F50F0A3BB08CFFE954 + 1001.50ns INFO [00001003] Port=0 WR @01=18D8589125B0374EA1 + 1001.50ns INFO [00001003] Port=1 RD @03 + 1002.50ns INFO [00001004] * RD COMPARE * port=1 adr=03 act=08C0C08FEEEB5E5397 exp=08C0C08FEEEB5E5397 + 1002.50ns INFO [00001004] Port=0 WR @01=36C6D6A53741916036 + 1003.50ns INFO [00001005] * RD COMPARE * port=1 adr=03 act=08C0C08FEEEB5E5397 exp=08C0C08FEEEB5E5397 + 1003.50ns INFO [00001005] Port=1 RD @01 + 1004.50ns INFO [00001006] Port=0 WR @03=DF3E1516947C90DFEC + 1005.50ns INFO [00001007] * RD COMPARE * port=1 adr=01 act=36C6D6A53741916036 exp=36C6D6A53741916036 + 1005.50ns INFO [00001007] Port=0 WR @03=5394141F47F36CF9D3 + 1005.50ns INFO [00001007] Port=1 RD @05 + 1006.50ns INFO [00001008] Port=0 WR @01=54D1DF3BA0AED95861 + 1006.50ns INFO [00001008] Port=0 RD @03 + 1006.50ns INFO [00001008] Port=1 RD @02 + 1007.50ns INFO [00001009] * RD COMPARE * port=1 adr=05 act=460303F0FE62769B7F exp=460303F0FE62769B7F + 1007.50ns INFO [00001009] Port=0 RD @00 + 1007.50ns INFO [00001009] Port=1 RD @01 + 1008.50ns INFO [00001010] * RD COMPARE * port=0 adr=03 act=5394141F47F36CF9D3 exp=5394141F47F36CF9D3 + 1008.50ns INFO [00001010] * RD COMPARE * port=1 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171 + 1008.50ns INFO [00001010] Port=0 RD @05 + 1009.50ns INFO [00001011] * RD COMPARE * port=0 adr=00 act=F99E44F30BF39C7F07 exp=F99E44F30BF39C7F07 + 1009.50ns INFO [00001011] * RD COMPARE * port=1 adr=01 act=54D1DF3BA0AED95861 exp=54D1DF3BA0AED95861 + 1009.50ns INFO [00001011] Port=1 RD @03 + 1010.50ns INFO [00001012] * RD COMPARE * port=0 adr=05 act=460303F0FE62769B7F exp=460303F0FE62769B7F + 1010.50ns INFO [00001012] Port=0 RD @07 + 1011.50ns INFO [00001013] * RD COMPARE * port=1 adr=03 act=5394141F47F36CF9D3 exp=5394141F47F36CF9D3 + 1011.50ns INFO [00001013] Port=0 RD @00 + 1012.50ns INFO [00001014] * RD COMPARE * port=0 adr=07 act=A4BBD517A64D9220B9 exp=A4BBD517A64D9220B9 + 1013.50ns INFO [00001015] * RD COMPARE * port=0 adr=00 act=F99E44F30BF39C7F07 exp=F99E44F30BF39C7F07 + 1013.50ns INFO [00001015] Port=0 RD @07 + 1014.50ns INFO [00001016] Port=0 WR @02=E2021521542E132CE2 + 1015.50ns INFO [00001017] * RD COMPARE * port=0 adr=07 act=A4BBD517A64D9220B9 exp=A4BBD517A64D9220B9 + 1015.50ns INFO [00001017] Port=0 WR @07=1794B8D48A57BE53FD + 1015.50ns INFO [00001017] Port=0 RD @05 + 1016.50ns INFO [00001018] Port=0 RD @03 + 1016.50ns INFO [00001018] Port=1 RD @01 + 1017.50ns INFO [00001019] * RD COMPARE * port=0 adr=05 act=460303F0FE62769B7F exp=460303F0FE62769B7F + 1017.50ns INFO [00001019] Port=0 WR @06=17108B86912C624D82 + 1017.50ns INFO [00001019] Port=0 RD @04 + 1017.50ns INFO [00001019] Port=1 RD @07 + 1018.50ns INFO [00001020] * RD COMPARE * port=0 adr=03 act=5394141F47F36CF9D3 exp=5394141F47F36CF9D3 + 1018.50ns INFO [00001020] * RD COMPARE * port=1 adr=01 act=54D1DF3BA0AED95861 exp=54D1DF3BA0AED95861 + 1018.50ns INFO [00001020] Port=0 WR @03=3EC9B8E2F9B24B03D2 + 1018.50ns INFO [00001020] Port=1 RD @07 + 1019.50ns INFO [00001021] * RD COMPARE * port=0 adr=04 act=AB044F88C3AC20484B exp=AB044F88C3AC20484B + 1019.50ns INFO [00001021] * RD COMPARE * port=1 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD + 1019.50ns INFO [00001021] Port=0 RD @02 + 1019.50ns INFO [00001021] Port=1 RD @01 + 1020.50ns INFO [00001022] * RD COMPARE * port=1 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD + 1021.50ns INFO [00001023] * RD COMPARE * port=0 adr=02 act=E2021521542E132CE2 exp=E2021521542E132CE2 + 1021.50ns INFO [00001023] * RD COMPARE * port=1 adr=01 act=54D1DF3BA0AED95861 exp=54D1DF3BA0AED95861 + 1021.50ns INFO [00001023] Port=0 RD @07 + 1022.50ns INFO [00001024] Port=1 RD @01 + 1023.50ns INFO [00001025] * RD COMPARE * port=0 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD + 1023.50ns INFO [00001025] Port=1 RD @07 + 1024.50ns INFO [00001026] * RD COMPARE * port=1 adr=01 act=54D1DF3BA0AED95861 exp=54D1DF3BA0AED95861 + 1024.50ns INFO [00001026] Port=0 WR @04=53685A05BCA9D9D5EF + 1024.50ns INFO [00001026] Port=0 RD @01 + 1024.50ns INFO [00001026] Port=1 RD @05 + 1025.50ns INFO [00001027] * RD COMPARE * port=1 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD + 1026.50ns INFO [00001028] * RD COMPARE * port=0 adr=01 act=54D1DF3BA0AED95861 exp=54D1DF3BA0AED95861 + 1026.50ns INFO [00001028] * RD COMPARE * port=1 adr=05 act=460303F0FE62769B7F exp=460303F0FE62769B7F + 1026.50ns INFO [00001028] Port=0 RD @07 + 1027.50ns INFO [00001029] Port=0 WR @02=C96FE177B9E5BD4BF7 + 1027.50ns INFO [00001029] Port=0 RD @04 + 1027.50ns INFO [00001029] Port=1 RD @00 + 1028.50ns INFO [00001030] * RD COMPARE * port=0 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD + 1028.50ns INFO [00001030] Port=0 WR @06=BEB4BB6B7399EB3EB2 + 1029.50ns INFO [00001031] * RD COMPARE * port=0 adr=04 act=53685A05BCA9D9D5EF exp=53685A05BCA9D9D5EF + 1029.50ns INFO [00001031] * RD COMPARE * port=1 adr=00 act=F99E44F30BF39C7F07 exp=F99E44F30BF39C7F07 + 1029.50ns INFO [00001031] Port=0 WR @00=4FE045B6EDA20146A8 + 1029.50ns INFO [00001031] Port=1 RD @07 + 1030.50ns INFO [00001032] Port=1 RD @06 + 1031.50ns INFO [00001033] * RD COMPARE * port=1 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD + 1032.50ns INFO [00001034] * RD COMPARE * port=1 adr=06 act=BEB4BB6B7399EB3EB2 exp=BEB4BB6B7399EB3EB2 + 1032.50ns INFO [00001034] Port=0 WR @04=97D31CE2C93804CCBC + 1033.50ns INFO [00001035] Port=0 WR @01=1552B09F72824D3BD0 + 1033.50ns INFO [00001035] Port=0 RD @02 + 1033.50ns INFO [00001035] Port=1 RD @06 + 1034.50ns INFO [00001036] Port=0 WR @05=2E3598BAA916958611 + 1034.50ns INFO [00001036] Port=0 RD @02 + 1035.50ns INFO [00001037] * RD COMPARE * port=0 adr=02 act=C96FE177B9E5BD4BF7 exp=C96FE177B9E5BD4BF7 + 1035.50ns INFO [00001037] * RD COMPARE * port=1 adr=06 act=BEB4BB6B7399EB3EB2 exp=BEB4BB6B7399EB3EB2 + 1035.50ns INFO [00001037] Port=0 RD @00 + 1036.50ns INFO [00001038] * RD COMPARE * port=0 adr=02 act=C96FE177B9E5BD4BF7 exp=C96FE177B9E5BD4BF7 + 1036.50ns INFO [00001038] Port=0 RD @05 + 1036.50ns INFO [00001038] Port=1 RD @06 + 1037.50ns INFO [00001039] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1037.50ns INFO [00001039] Port=1 RD @04 + 1038.50ns INFO [00001040] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1038.50ns INFO [00001040] * RD COMPARE * port=1 adr=06 act=BEB4BB6B7399EB3EB2 exp=BEB4BB6B7399EB3EB2 + 1038.50ns INFO [00001040] Port=0 RD @01 + 1038.50ns INFO [00001040] Port=1 RD @05 + 1039.50ns INFO [00001041] * RD COMPARE * port=1 adr=04 act=97D31CE2C93804CCBC exp=97D31CE2C93804CCBC + 1039.50ns INFO [00001041] Port=0 WR @06=4CCF8300AE2EA716EB + 1039.50ns INFO [00001041] Port=0 RD @05 + 1040.50ns INFO [00001042] * RD COMPARE * port=0 adr=01 act=1552B09F72824D3BD0 exp=1552B09F72824D3BD0 + 1040.50ns INFO [00001042] * RD COMPARE * port=1 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1041.50ns INFO [00001043] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1043.50ns INFO [00001045] Port=0 RD @05 + 1045.50ns INFO [00001047] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1046.50ns INFO [00001048] Port=0 WR @01=0BC82D1111CA534878 + 1046.50ns INFO [00001048] Port=0 RD @02 + 1046.50ns INFO [00001048] Port=1 RD @02 + 1048.50ns INFO [00001050] * RD COMPARE * port=0 adr=02 act=C96FE177B9E5BD4BF7 exp=C96FE177B9E5BD4BF7 + 1048.50ns INFO [00001050] * RD COMPARE * port=1 adr=02 act=C96FE177B9E5BD4BF7 exp=C96FE177B9E5BD4BF7 + 1048.50ns INFO [00001050] Port=0 RD @05 + 1050.50ns INFO [00001052] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1050.50ns INFO [00001052] Port=0 WR @04=E9CBEC47BBBA722241 + 1050.50ns INFO [00001052] Port=0 RD @00 + 1050.50ns INFO [00001052] Port=1 RD @03 + 1051.50ns INFO [00001053] Port=0 WR @07=B40BF7E2835C01F882 + 1052.50ns INFO [00001054] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1052.50ns INFO [00001054] * RD COMPARE * port=1 adr=03 act=3EC9B8E2F9B24B03D2 exp=3EC9B8E2F9B24B03D2 + 1053.50ns INFO [00001055] Port=1 RD @07 + 1054.50ns INFO [00001056] Port=0 WR @07=7AE65C4DE26B082741 + 1054.50ns INFO [00001056] Port=1 RD @00 + 1055.50ns INFO [00001057] * RD COMPARE * port=1 adr=07 act=B40BF7E2835C01F882 exp=B40BF7E2835C01F882 + 1056.50ns INFO [00001058] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1056.50ns INFO [00001058] Port=0 RD @03 + 1057.50ns INFO [00001059] Port=0 WR @03=A818190FE8998A556A + 1057.50ns INFO [00001059] Port=0 RD @05 + 1058.50ns INFO [00001060] * RD COMPARE * port=0 adr=03 act=3EC9B8E2F9B24B03D2 exp=3EC9B8E2F9B24B03D2 + 1058.50ns INFO [00001060] Port=1 RD @01 + 1059.50ns INFO [00001061] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1059.50ns INFO [00001061] Port=0 RD @07 + 1059.50ns INFO [00001061] Port=1 RD @03 + 1060.50ns INFO [00001062] * RD COMPARE * port=1 adr=01 act=0BC82D1111CA534878 exp=0BC82D1111CA534878 + 1060.50ns INFO [00001062] Port=1 RD @00 + 1061.50ns INFO [00001063] * RD COMPARE * port=0 adr=07 act=7AE65C4DE26B082741 exp=7AE65C4DE26B082741 + 1061.50ns INFO [00001063] * RD COMPARE * port=1 adr=03 act=A818190FE8998A556A exp=A818190FE8998A556A + 1061.50ns INFO [00001063] Port=0 WR @07=5BF70FD3EF1D01313E + 1061.50ns INFO [00001063] Port=1 RD @02 + 1062.50ns INFO [00001064] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1063.50ns INFO [00001065] * RD COMPARE * port=1 adr=02 act=C96FE177B9E5BD4BF7 exp=C96FE177B9E5BD4BF7 + 1064.50ns INFO [00001066] Port=0 WR @03=3E142F58CCE8CE30E0 + 1065.50ns INFO [00001067] Port=0 RD @00 + 1065.50ns INFO [00001067] Port=1 RD @05 + 1066.50ns INFO [00001068] Port=0 RD @05 + 1067.50ns INFO [00001069] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1067.50ns INFO [00001069] * RD COMPARE * port=1 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1067.50ns INFO [00001069] Port=0 WR @04=84AF158E61586816DE + 1067.50ns INFO [00001069] Port=0 RD @05 + 1067.50ns INFO [00001069] Port=1 RD @01 + 1068.50ns INFO [00001070] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1068.50ns INFO [00001070] Port=0 WR @04=51DF8BB6C06D808545 + 1069.50ns INFO [00001071] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1069.50ns INFO [00001071] * RD COMPARE * port=1 adr=01 act=0BC82D1111CA534878 exp=0BC82D1111CA534878 + 1069.50ns INFO [00001071] Port=1 RD @00 + 1070.50ns INFO [00001072] Port=1 RD @00 + 1071.50ns INFO [00001073] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1072.50ns INFO [00001074] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1072.50ns INFO [00001074] Port=0 WR @07=884E3FF1B77772053C + 1072.50ns INFO [00001074] Port=0 RD @05 + 1072.50ns INFO [00001074] Port=1 RD @04 + 1073.50ns INFO [00001075] Port=1 RD @03 + 1074.50ns INFO [00001076] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1074.50ns INFO [00001076] * RD COMPARE * port=1 adr=04 act=51DF8BB6C06D808545 exp=51DF8BB6C06D808545 + 1074.50ns INFO [00001076] Port=0 WR @07=67C67762E8B8FB07A4 + 1074.50ns INFO [00001076] Port=1 RD @00 + 1075.50ns INFO [00001077] * RD COMPARE * port=1 adr=03 act=3E142F58CCE8CE30E0 exp=3E142F58CCE8CE30E0 + 1075.50ns INFO [00001077] Port=1 RD @00 + 1076.50ns INFO [00001078] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1076.50ns INFO [00001078] Port=0 WR @04=087FC64347BD9AA9F6 + 1076.50ns INFO [00001078] Port=0 RD @06 + 1077.50ns INFO [00001079] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1077.50ns INFO [00001079] Port=0 RD @03 + 1077.50ns INFO [00001079] Port=1 RD @05 + 1078.50ns INFO [00001080] * RD COMPARE * port=0 adr=06 act=4CCF8300AE2EA716EB exp=4CCF8300AE2EA716EB + 1079.50ns INFO [00001081] * RD COMPARE * port=0 adr=03 act=3E142F58CCE8CE30E0 exp=3E142F58CCE8CE30E0 + 1079.50ns INFO [00001081] * RD COMPARE * port=1 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1079.50ns INFO [00001081] Port=1 RD @01 + 1081.50ns INFO [00001083] * RD COMPARE * port=1 adr=01 act=0BC82D1111CA534878 exp=0BC82D1111CA534878 + 1081.50ns INFO [00001083] Port=0 WR @01=1466AF9E23C47D38B5 + 1081.50ns INFO [00001083] Port=0 RD @00 + 1081.50ns INFO [00001083] Port=1 RD @05 + 1083.50ns INFO [00001085] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1083.50ns INFO [00001085] * RD COMPARE * port=1 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1083.50ns INFO [00001085] Port=0 WR @04=9D6A2AB247FB998D4D + 1083.50ns INFO [00001085] Port=1 RD @01 + 1084.50ns INFO [00001086] Port=0 RD @00 + 1085.50ns INFO [00001087] * RD COMPARE * port=1 adr=01 act=1466AF9E23C47D38B5 exp=1466AF9E23C47D38B5 + 1086.50ns INFO [00001088] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1086.50ns INFO [00001088] Port=0 RD @03 + 1086.50ns INFO [00001088] Port=1 RD @07 + 1087.50ns INFO [00001089] Port=0 WR @07=3CEE766C5A7094F650 + 1088.50ns INFO [00001090] * RD COMPARE * port=0 adr=03 act=3E142F58CCE8CE30E0 exp=3E142F58CCE8CE30E0 + 1088.50ns INFO [00001090] * RD COMPARE * port=1 adr=07 act=67C67762E8B8FB07A4 exp=67C67762E8B8FB07A4 + 1088.50ns INFO [00001090] Port=0 WR @04=47696074E857BB0598 + 1088.50ns INFO [00001090] Port=0 RD @05 + 1089.50ns INFO [00001091] Port=0 WR @01=CD420ACCC98D89FDD7 + 1089.50ns INFO [00001091] Port=0 RD @07 + 1090.50ns INFO [00001092] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611 + 1090.50ns INFO [00001092] Port=0 RD @07 + 1091.50ns INFO [00001093] * RD COMPARE * port=0 adr=07 act=3CEE766C5A7094F650 exp=3CEE766C5A7094F650 + 1091.50ns INFO [00001093] Port=0 WR @06=00B1A240E2B5B9175C + 1091.50ns INFO [00001093] Port=0 RD @03 + 1092.50ns INFO [00001094] * RD COMPARE * port=0 adr=07 act=3CEE766C5A7094F650 exp=3CEE766C5A7094F650 + 1092.50ns INFO [00001094] Port=0 RD @01 + 1093.50ns INFO [00001095] * RD COMPARE * port=0 adr=03 act=3E142F58CCE8CE30E0 exp=3E142F58CCE8CE30E0 + 1093.50ns INFO [00001095] Port=0 WR @06=755DBD106667EE90CE + 1094.50ns INFO [00001096] * RD COMPARE * port=0 adr=01 act=CD420ACCC98D89FDD7 exp=CD420ACCC98D89FDD7 + 1094.50ns INFO [00001096] Port=0 WR @03=16A960069CFF36E9C8 + 1094.50ns INFO [00001096] Port=0 RD @07 + 1095.50ns INFO [00001097] Port=0 RD @00 + 1096.50ns INFO [00001098] * RD COMPARE * port=0 adr=07 act=3CEE766C5A7094F650 exp=3CEE766C5A7094F650 + 1096.50ns INFO [00001098] Port=0 WR @07=84FFF771C4C8A05666 + 1096.50ns INFO [00001098] Port=0 RD @06 + 1097.50ns INFO [00001099] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1098.00ns INFO [00001100] [00001100] ...tick... + 1098.50ns INFO [00001100] * RD COMPARE * port=0 adr=06 act=755DBD106667EE90CE exp=755DBD106667EE90CE + 1098.50ns INFO [00001100] Port=0 RD @07 + 1098.50ns INFO [00001100] Port=1 RD @07 + 1099.50ns INFO [00001101] Port=1 RD @01 + 1100.50ns INFO [00001102] * RD COMPARE * port=0 adr=07 act=84FFF771C4C8A05666 exp=84FFF771C4C8A05666 + 1100.50ns INFO [00001102] * RD COMPARE * port=1 adr=07 act=84FFF771C4C8A05666 exp=84FFF771C4C8A05666 + 1101.50ns INFO [00001103] * RD COMPARE * port=1 adr=01 act=CD420ACCC98D89FDD7 exp=CD420ACCC98D89FDD7 + 1101.50ns INFO [00001103] Port=0 WR @05=26B2EBFA41661D4010 + 1101.50ns INFO [00001103] Port=1 RD @03 + 1103.50ns INFO [00001105] * RD COMPARE * port=1 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8 + 1103.50ns INFO [00001105] Port=0 RD @04 + 1105.50ns INFO [00001107] * RD COMPARE * port=0 adr=04 act=47696074E857BB0598 exp=47696074E857BB0598 + 1105.50ns INFO [00001107] Port=0 RD @03 + 1106.50ns INFO [00001108] Port=0 WR @02=308C76C418D59B0C41 + 1106.50ns INFO [00001108] Port=0 RD @06 + 1107.50ns INFO [00001109] * RD COMPARE * port=0 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8 + 1107.50ns INFO [00001109] Port=0 WR @05=0CB3E4E0CDFD5C0A96 + 1108.50ns INFO [00001110] * RD COMPARE * port=0 adr=06 act=755DBD106667EE90CE exp=755DBD106667EE90CE + 1109.50ns INFO [00001111] Port=0 RD @04 + 1109.50ns INFO [00001111] Port=1 RD @05 + 1110.50ns INFO [00001112] Port=0 RD @01 + 1111.50ns INFO [00001113] * RD COMPARE * port=0 adr=04 act=47696074E857BB0598 exp=47696074E857BB0598 + 1111.50ns INFO [00001113] * RD COMPARE * port=1 adr=05 act=0CB3E4E0CDFD5C0A96 exp=0CB3E4E0CDFD5C0A96 + 1111.50ns INFO [00001113] Port=0 RD @06 + 1111.50ns INFO [00001113] Port=1 RD @02 + 1112.50ns INFO [00001114] * RD COMPARE * port=0 adr=01 act=CD420ACCC98D89FDD7 exp=CD420ACCC98D89FDD7 + 1112.50ns INFO [00001114] Port=0 WR @02=A627BE9C3D0B0C2067 + 1113.50ns INFO [00001115] * RD COMPARE * port=0 adr=06 act=755DBD106667EE90CE exp=755DBD106667EE90CE + 1113.50ns INFO [00001115] * RD COMPARE * port=1 adr=02 act=308C76C418D59B0C41 exp=308C76C418D59B0C41 + 1113.50ns INFO [00001115] Port=0 RD @00 + 1113.50ns INFO [00001115] Port=1 RD @02 + 1114.50ns INFO [00001116] Port=0 RD @01 + 1114.50ns INFO [00001116] Port=1 RD @04 + 1115.50ns INFO [00001117] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8 + 1115.50ns INFO [00001117] * RD COMPARE * port=1 adr=02 act=A627BE9C3D0B0C2067 exp=A627BE9C3D0B0C2067 + 1115.50ns INFO [00001117] Port=0 WR @06=34DF019BC1A9BCD86C + 1116.50ns INFO [00001118] * RD COMPARE * port=0 adr=01 act=CD420ACCC98D89FDD7 exp=CD420ACCC98D89FDD7 + 1116.50ns INFO [00001118] * RD COMPARE * port=1 adr=04 act=47696074E857BB0598 exp=47696074E857BB0598 + 1116.50ns INFO [00001118] Port=0 WR @00=CA9CE92E488DBEC386 + 1117.50ns INFO [00001119] Port=0 RD @02 + 1118.50ns INFO [00001120] Port=0 WR @00=00764261782E3D4D32 + 1118.50ns INFO [00001120] Port=0 RD @05 + 1119.50ns INFO [00001121] * RD COMPARE * port=0 adr=02 act=A627BE9C3D0B0C2067 exp=A627BE9C3D0B0C2067 + 1119.50ns INFO [00001121] Port=1 RD @01 + 1120.50ns INFO [00001122] * RD COMPARE * port=0 adr=05 act=0CB3E4E0CDFD5C0A96 exp=0CB3E4E0CDFD5C0A96 + 1120.50ns INFO [00001122] Port=1 RD @00 + 1121.50ns INFO [00001123] * RD COMPARE * port=1 adr=01 act=CD420ACCC98D89FDD7 exp=CD420ACCC98D89FDD7 + 1121.50ns INFO [00001123] Port=0 WR @00=DB353D486448DD0256 + 1121.50ns INFO [00001123] Port=1 RD @03 + 1122.50ns INFO [00001124] * RD COMPARE * port=1 adr=00 act=00764261782E3D4D32 exp=00764261782E3D4D32 + 1122.50ns INFO [00001124] Port=0 WR @01=8DB6274648BB639AAE + 1122.50ns INFO [00001124] Port=1 RD @02 + 1123.50ns INFO [00001125] * RD COMPARE * port=1 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8 + 1124.50ns INFO [00001126] * RD COMPARE * port=1 adr=02 act=A627BE9C3D0B0C2067 exp=A627BE9C3D0B0C2067 + 1124.50ns INFO [00001126] Port=0 RD @07 + 1125.50ns INFO [00001127] Port=0 WR @06=1F61F38D4D4D44DD5C + 1125.50ns INFO [00001127] Port=1 RD @01 + 1126.50ns INFO [00001128] * RD COMPARE * port=0 adr=07 act=84FFF771C4C8A05666 exp=84FFF771C4C8A05666 + 1126.50ns INFO [00001128] Port=1 RD @03 + 1127.50ns INFO [00001129] * RD COMPARE * port=1 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE + 1127.50ns INFO [00001129] Port=1 RD @06 + 1128.50ns INFO [00001130] * RD COMPARE * port=1 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8 + 1128.50ns INFO [00001130] Port=0 WR @04=4B7DC5309DFE5E30F5 + 1129.50ns INFO [00001131] * RD COMPARE * port=1 adr=06 act=1F61F38D4D4D44DD5C exp=1F61F38D4D4D44DD5C + 1130.50ns INFO [00001132] Port=0 RD @01 + 1131.50ns INFO [00001133] Port=0 RD @05 + 1132.50ns INFO [00001134] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE + 1132.50ns INFO [00001134] Port=0 WR @06=2BAAFD1D99544FBE9F + 1132.50ns INFO [00001134] Port=0 RD @04 + 1133.50ns INFO [00001135] * RD COMPARE * port=0 adr=05 act=0CB3E4E0CDFD5C0A96 exp=0CB3E4E0CDFD5C0A96 + 1133.50ns INFO [00001135] Port=0 WR @02=037DF5F9A5567E9B14 + 1133.50ns INFO [00001135] Port=1 RD @07 + 1134.50ns INFO [00001136] * RD COMPARE * port=0 adr=04 act=4B7DC5309DFE5E30F5 exp=4B7DC5309DFE5E30F5 + 1134.50ns INFO [00001136] Port=1 RD @02 + 1135.50ns INFO [00001137] * RD COMPARE * port=1 adr=07 act=84FFF771C4C8A05666 exp=84FFF771C4C8A05666 + 1136.50ns INFO [00001138] * RD COMPARE * port=1 adr=02 act=037DF5F9A5567E9B14 exp=037DF5F9A5567E9B14 + 1136.50ns INFO [00001138] Port=0 RD @03 + 1137.50ns INFO [00001139] Port=0 WR @02=B42046602ED1FCF96C + 1138.50ns INFO [00001140] * RD COMPARE * port=0 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8 + 1138.50ns INFO [00001140] Port=0 WR @04=325FDD0CB9C9C2D90C + 1138.50ns INFO [00001140] Port=0 RD @05 + 1138.50ns INFO [00001140] Port=1 RD @02 + 1140.50ns INFO [00001142] * RD COMPARE * port=0 adr=05 act=0CB3E4E0CDFD5C0A96 exp=0CB3E4E0CDFD5C0A96 + 1140.50ns INFO [00001142] * RD COMPARE * port=1 adr=02 act=B42046602ED1FCF96C exp=B42046602ED1FCF96C + 1141.50ns INFO [00001143] Port=0 WR @05=29FDC6A1954B516A2A + 1142.50ns INFO [00001144] Port=0 RD @07 + 1142.50ns INFO [00001144] Port=1 RD @05 + 1143.50ns INFO [00001145] Port=0 WR @06=0CFD6B4BFDC249CDB0 + 1144.50ns INFO [00001146] * RD COMPARE * port=0 adr=07 act=84FFF771C4C8A05666 exp=84FFF771C4C8A05666 + 1144.50ns INFO [00001146] * RD COMPARE * port=1 adr=05 act=29FDC6A1954B516A2A exp=29FDC6A1954B516A2A + 1145.50ns INFO [00001147] Port=0 WR @07=D45504AEF06CA8DCF1 + 1146.50ns INFO [00001148] Port=0 RD @05 + 1147.50ns INFO [00001149] Port=0 WR @06=C135ABD49D22905B13 + 1147.50ns INFO [00001149] Port=0 RD @01 + 1147.50ns INFO [00001149] Port=1 RD @07 + 1148.50ns INFO [00001150] * RD COMPARE * port=0 adr=05 act=29FDC6A1954B516A2A exp=29FDC6A1954B516A2A + 1149.50ns INFO [00001151] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE + 1149.50ns INFO [00001151] * RD COMPARE * port=1 adr=07 act=D45504AEF06CA8DCF1 exp=D45504AEF06CA8DCF1 + 1149.50ns INFO [00001151] Port=0 WR @00=32AD5CE7835736408E + 1149.50ns INFO [00001151] Port=0 RD @05 + 1151.50ns INFO [00001153] * RD COMPARE * port=0 adr=05 act=29FDC6A1954B516A2A exp=29FDC6A1954B516A2A + 1151.50ns INFO [00001153] Port=0 WR @05=2E0B89FCE3F67F9512 + 1151.50ns INFO [00001153] Port=0 RD @04 + 1151.50ns INFO [00001153] Port=1 RD @00 + 1152.50ns INFO [00001154] Port=0 RD @01 + 1153.50ns INFO [00001155] * RD COMPARE * port=0 adr=04 act=325FDD0CB9C9C2D90C exp=325FDD0CB9C9C2D90C + 1153.50ns INFO [00001155] * RD COMPARE * port=1 adr=00 act=32AD5CE7835736408E exp=32AD5CE7835736408E + 1153.50ns INFO [00001155] Port=0 WR @00=915AA7F0829B830C95 + 1153.50ns INFO [00001155] Port=1 RD @07 + 1154.50ns INFO [00001156] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE + 1154.50ns INFO [00001156] Port=0 RD @03 + 1154.50ns INFO [00001156] Port=1 RD @04 + 1155.50ns INFO [00001157] * RD COMPARE * port=1 adr=07 act=D45504AEF06CA8DCF1 exp=D45504AEF06CA8DCF1 + 1155.50ns INFO [00001157] Port=0 RD @01 + 1156.50ns INFO [00001158] * RD COMPARE * port=0 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8 + 1156.50ns INFO [00001158] * RD COMPARE * port=1 adr=04 act=325FDD0CB9C9C2D90C exp=325FDD0CB9C9C2D90C + 1156.50ns INFO [00001158] Port=0 RD @04 + 1157.50ns INFO [00001159] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE + 1157.50ns INFO [00001159] Port=1 RD @00 + 1158.50ns INFO [00001160] * RD COMPARE * port=0 adr=04 act=325FDD0CB9C9C2D90C exp=325FDD0CB9C9C2D90C + 1158.50ns INFO [00001160] Port=0 RD @06 + 1158.50ns INFO [00001160] Port=1 RD @00 + 1159.50ns INFO [00001161] * RD COMPARE * port=1 adr=00 act=915AA7F0829B830C95 exp=915AA7F0829B830C95 + 1159.50ns INFO [00001161] Port=0 WR @05=1C9D00EEF8FE956EF0 + 1160.50ns INFO [00001162] * RD COMPARE * port=0 adr=06 act=C135ABD49D22905B13 exp=C135ABD49D22905B13 + 1160.50ns INFO [00001162] * RD COMPARE * port=1 adr=00 act=915AA7F0829B830C95 exp=915AA7F0829B830C95 + 1161.50ns INFO [00001163] Port=0 RD @04 + 1161.50ns INFO [00001163] Port=1 RD @02 + 1162.50ns INFO [00001164] Port=0 WR @03=43461F5FA0EDAD472E + 1163.50ns INFO [00001165] * RD COMPARE * port=0 adr=04 act=325FDD0CB9C9C2D90C exp=325FDD0CB9C9C2D90C + 1163.50ns INFO [00001165] * RD COMPARE * port=1 adr=02 act=B42046602ED1FCF96C exp=B42046602ED1FCF96C + 1163.50ns INFO [00001165] Port=0 WR @00=4D30F86D757263A2CD + 1163.50ns INFO [00001165] Port=0 RD @04 + 1165.50ns INFO [00001167] * RD COMPARE * port=0 adr=04 act=325FDD0CB9C9C2D90C exp=325FDD0CB9C9C2D90C + 1166.50ns INFO [00001168] Port=0 WR @04=AA795847AB7AE7BE0C + 1166.50ns INFO [00001168] Port=0 RD @01 + 1167.50ns INFO [00001169] Port=0 WR @07=BBF887F5C2BE08B5A7 + 1167.50ns INFO [00001169] Port=0 RD @06 + 1167.50ns INFO [00001169] Port=1 RD @06 + 1168.50ns INFO [00001170] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE + 1169.50ns INFO [00001171] * RD COMPARE * port=0 adr=06 act=C135ABD49D22905B13 exp=C135ABD49D22905B13 + 1169.50ns INFO [00001171] * RD COMPARE * port=1 adr=06 act=C135ABD49D22905B13 exp=C135ABD49D22905B13 + 1169.50ns INFO [00001171] Port=0 RD @04 + 1170.50ns INFO [00001172] Port=0 WR @05=6F1E43839F40C758B9 + 1170.50ns INFO [00001172] Port=0 RD @06 + 1171.50ns INFO [00001173] * RD COMPARE * port=0 adr=04 act=AA795847AB7AE7BE0C exp=AA795847AB7AE7BE0C + 1171.50ns INFO [00001173] Port=0 RD @05 + 1172.50ns INFO [00001174] * RD COMPARE * port=0 adr=06 act=C135ABD49D22905B13 exp=C135ABD49D22905B13 + 1172.50ns INFO [00001174] Port=0 RD @05 + 1172.50ns INFO [00001174] Port=1 RD @00 + 1173.50ns INFO [00001175] * RD COMPARE * port=0 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9 + 1174.50ns INFO [00001176] * RD COMPARE * port=0 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9 + 1174.50ns INFO [00001176] * RD COMPARE * port=1 adr=00 act=4D30F86D757263A2CD exp=4D30F86D757263A2CD + 1175.50ns INFO [00001177] Port=1 RD @02 + 1176.50ns INFO [00001178] Port=0 RD @00 + 1176.50ns INFO [00001178] Port=1 RD @07 + 1177.50ns INFO [00001179] * RD COMPARE * port=1 adr=02 act=B42046602ED1FCF96C exp=B42046602ED1FCF96C + 1177.50ns INFO [00001179] Port=0 RD @04 + 1178.50ns INFO [00001180] * RD COMPARE * port=0 adr=00 act=4D30F86D757263A2CD exp=4D30F86D757263A2CD + 1178.50ns INFO [00001180] * RD COMPARE * port=1 adr=07 act=BBF887F5C2BE08B5A7 exp=BBF887F5C2BE08B5A7 + 1179.50ns INFO [00001181] * RD COMPARE * port=0 adr=04 act=AA795847AB7AE7BE0C exp=AA795847AB7AE7BE0C + 1179.50ns INFO [00001181] Port=0 RD @05 + 1179.50ns INFO [00001181] Port=1 RD @07 + 1180.50ns INFO [00001182] Port=0 RD @04 + 1181.50ns INFO [00001183] * RD COMPARE * port=0 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9 + 1181.50ns INFO [00001183] * RD COMPARE * port=1 adr=07 act=BBF887F5C2BE08B5A7 exp=BBF887F5C2BE08B5A7 + 1181.50ns INFO [00001183] Port=0 RD @05 + 1182.50ns INFO [00001184] * RD COMPARE * port=0 adr=04 act=AA795847AB7AE7BE0C exp=AA795847AB7AE7BE0C + 1183.50ns INFO [00001185] * RD COMPARE * port=0 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9 + 1183.50ns INFO [00001185] Port=0 RD @00 + 1184.50ns INFO [00001186] Port=0 WR @06=10CC40568A69988080 + 1184.50ns INFO [00001186] Port=0 RD @01 + 1185.50ns INFO [00001187] * RD COMPARE * port=0 adr=00 act=4D30F86D757263A2CD exp=4D30F86D757263A2CD + 1185.50ns INFO [00001187] Port=0 RD @05 + 1186.50ns INFO [00001188] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE + 1186.50ns INFO [00001188] Port=1 RD @04 + 1187.50ns INFO [00001189] * RD COMPARE * port=0 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9 + 1187.50ns INFO [00001189] Port=1 RD @01 + 1188.50ns INFO [00001190] * RD COMPARE * port=1 adr=04 act=AA795847AB7AE7BE0C exp=AA795847AB7AE7BE0C + 1188.50ns INFO [00001190] Port=0 RD @06 + 1189.50ns INFO [00001191] * RD COMPARE * port=1 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE + 1190.50ns INFO [00001192] * RD COMPARE * port=0 adr=06 act=10CC40568A69988080 exp=10CC40568A69988080 + 1190.50ns INFO [00001192] Port=0 RD @04 + 1191.50ns INFO [00001193] Port=1 RD @05 + 1192.50ns INFO [00001194] * RD COMPARE * port=0 adr=04 act=AA795847AB7AE7BE0C exp=AA795847AB7AE7BE0C + 1192.50ns INFO [00001194] Port=0 WR @07=6C84BF9D221DB31F7D + 1192.50ns INFO [00001194] Port=0 RD @06 + 1193.50ns INFO [00001195] * RD COMPARE * port=1 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9 + 1193.50ns INFO [00001195] Port=0 RD @00 + 1193.50ns INFO [00001195] Port=1 RD @07 + 1194.50ns INFO [00001196] * RD COMPARE * port=0 adr=06 act=10CC40568A69988080 exp=10CC40568A69988080 + 1194.50ns INFO [00001196] Port=1 RD @07 + 1195.50ns INFO [00001197] * RD COMPARE * port=0 adr=00 act=4D30F86D757263A2CD exp=4D30F86D757263A2CD + 1195.50ns INFO [00001197] * RD COMPARE * port=1 adr=07 act=6C84BF9D221DB31F7D exp=6C84BF9D221DB31F7D + 1195.50ns INFO [00001197] Port=1 RD @02 + 1196.50ns INFO [00001198] * RD COMPARE * port=1 adr=07 act=6C84BF9D221DB31F7D exp=6C84BF9D221DB31F7D + 1196.50ns INFO [00001198] Port=0 WR @05=427DDDC2B76AE15CE3 + 1196.50ns INFO [00001198] Port=0 RD @06 + 1196.50ns INFO [00001198] Port=1 RD @00 + 1197.50ns INFO [00001199] * RD COMPARE * port=1 adr=02 act=B42046602ED1FCF96C exp=B42046602ED1FCF96C + 1197.50ns INFO [00001199] Port=0 WR @01=D914FA2C34FE224B3B + 1198.00ns INFO [00001200] [00001200] ...tick... + 1198.50ns INFO [00001200] * RD COMPARE * port=0 adr=06 act=10CC40568A69988080 exp=10CC40568A69988080 + 1198.50ns INFO [00001200] * RD COMPARE * port=1 adr=00 act=4D30F86D757263A2CD exp=4D30F86D757263A2CD + 1198.50ns INFO [00001200] Port=1 RD @01 + 1199.50ns INFO [00001201] Port=0 WR @03=1532E87BEBB4B6CC18 + 1199.50ns INFO [00001201] Port=0 RD @07 + 1200.50ns INFO [00001202] * RD COMPARE * port=1 adr=01 act=D914FA2C34FE224B3B exp=D914FA2C34FE224B3B + 1200.50ns INFO [00001202] Port=0 WR @06=A89ACA819E1A59D141 + 1200.50ns INFO [00001202] Port=0 RD @07 + 1201.50ns INFO [00001203] * RD COMPARE * port=0 adr=07 act=6C84BF9D221DB31F7D exp=6C84BF9D221DB31F7D + 1201.50ns INFO [00001203] Port=0 RD @03 + 1202.50ns INFO [00001204] * RD COMPARE * port=0 adr=07 act=6C84BF9D221DB31F7D exp=6C84BF9D221DB31F7D + 1202.50ns INFO [00001204] Port=0 WR @02=E595D83668391D3D69 + 1202.50ns INFO [00001204] Port=0 RD @01 + 1203.50ns INFO [00001205] * RD COMPARE * port=0 adr=03 act=1532E87BEBB4B6CC18 exp=1532E87BEBB4B6CC18 + 1203.50ns INFO [00001205] Port=0 WR @04=CE594EE8729CEF2F90 + 1204.50ns INFO [00001206] * RD COMPARE * port=0 adr=01 act=D914FA2C34FE224B3B exp=D914FA2C34FE224B3B + 1204.50ns INFO [00001206] Port=0 WR @07=C284325B581FBC836E + 1204.50ns INFO [00001206] Port=1 RD @05 + 1205.50ns INFO [00001207] Port=0 RD @07 + 1206.50ns INFO [00001208] * RD COMPARE * port=1 adr=05 act=427DDDC2B76AE15CE3 exp=427DDDC2B76AE15CE3 + 1207.50ns INFO [00001209] * RD COMPARE * port=0 adr=07 act=C284325B581FBC836E exp=C284325B581FBC836E + 1207.50ns INFO [00001209] Port=0 RD @02 + 1208.50ns INFO [00001210] Port=1 RD @07 + 1209.50ns INFO [00001211] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1209.50ns INFO [00001211] Port=1 RD @02 + 1210.50ns INFO [00001212] * RD COMPARE * port=1 adr=07 act=C284325B581FBC836E exp=C284325B581FBC836E + 1210.50ns INFO [00001212] Port=0 WR @00=49B7EFF494D6C09E06 + 1210.50ns INFO [00001212] Port=0 RD @02 + 1210.50ns INFO [00001212] Port=1 RD @03 + 1211.50ns INFO [00001213] * RD COMPARE * port=1 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1211.50ns INFO [00001213] Port=0 WR @06=0BD141612B0A3AF5D7 + 1212.50ns INFO [00001214] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1212.50ns INFO [00001214] * RD COMPARE * port=1 adr=03 act=1532E87BEBB4B6CC18 exp=1532E87BEBB4B6CC18 + 1212.50ns INFO [00001214] Port=1 RD @03 + 1213.50ns INFO [00001215] Port=1 RD @07 + 1214.50ns INFO [00001216] * RD COMPARE * port=1 adr=03 act=1532E87BEBB4B6CC18 exp=1532E87BEBB4B6CC18 + 1215.50ns INFO [00001217] * RD COMPARE * port=1 adr=07 act=C284325B581FBC836E exp=C284325B581FBC836E + 1215.50ns INFO [00001217] Port=1 RD @03 + 1216.50ns INFO [00001218] Port=1 RD @00 + 1217.50ns INFO [00001219] * RD COMPARE * port=1 adr=03 act=1532E87BEBB4B6CC18 exp=1532E87BEBB4B6CC18 + 1217.50ns INFO [00001219] Port=1 RD @05 + 1218.50ns INFO [00001220] * RD COMPARE * port=1 adr=00 act=49B7EFF494D6C09E06 exp=49B7EFF494D6C09E06 + 1218.50ns INFO [00001220] Port=0 WR @00=F22866A2847E368F36 + 1218.50ns INFO [00001220] Port=0 RD @06 + 1218.50ns INFO [00001220] Port=1 RD @03 + 1219.50ns INFO [00001221] * RD COMPARE * port=1 adr=05 act=427DDDC2B76AE15CE3 exp=427DDDC2B76AE15CE3 + 1219.50ns INFO [00001221] Port=0 WR @04=B7763C3309FC850050 + 1220.50ns INFO [00001222] * RD COMPARE * port=0 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7 + 1220.50ns INFO [00001222] * RD COMPARE * port=1 adr=03 act=1532E87BEBB4B6CC18 exp=1532E87BEBB4B6CC18 + 1220.50ns INFO [00001222] Port=0 RD @04 + 1221.50ns INFO [00001223] Port=0 WR @00=9CFF1A5A6CEA624654 + 1221.50ns INFO [00001223] Port=1 RD @06 + 1222.50ns INFO [00001224] * RD COMPARE * port=0 adr=04 act=B7763C3309FC850050 exp=B7763C3309FC850050 + 1223.50ns INFO [00001225] * RD COMPARE * port=1 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7 + 1223.50ns INFO [00001225] Port=1 RD @02 + 1224.50ns INFO [00001226] Port=0 WR @01=B2D512DE05A9CA5345 + 1224.50ns INFO [00001226] Port=0 RD @05 + 1224.50ns INFO [00001226] Port=1 RD @04 + 1225.50ns INFO [00001227] * RD COMPARE * port=1 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1225.50ns INFO [00001227] Port=0 RD @07 + 1225.50ns INFO [00001227] Port=1 RD @06 + 1226.50ns INFO [00001228] * RD COMPARE * port=0 adr=05 act=427DDDC2B76AE15CE3 exp=427DDDC2B76AE15CE3 + 1226.50ns INFO [00001228] * RD COMPARE * port=1 adr=04 act=B7763C3309FC850050 exp=B7763C3309FC850050 + 1226.50ns INFO [00001228] Port=0 RD @04 + 1227.50ns INFO [00001229] * RD COMPARE * port=0 adr=07 act=C284325B581FBC836E exp=C284325B581FBC836E + 1227.50ns INFO [00001229] * RD COMPARE * port=1 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7 + 1227.50ns INFO [00001229] Port=1 RD @05 + 1228.50ns INFO [00001230] * RD COMPARE * port=0 adr=04 act=B7763C3309FC850050 exp=B7763C3309FC850050 + 1228.50ns INFO [00001230] Port=1 RD @04 + 1229.50ns INFO [00001231] * RD COMPARE * port=1 adr=05 act=427DDDC2B76AE15CE3 exp=427DDDC2B76AE15CE3 + 1229.50ns INFO [00001231] Port=0 RD @00 + 1230.50ns INFO [00001232] * RD COMPARE * port=1 adr=04 act=B7763C3309FC850050 exp=B7763C3309FC850050 + 1230.50ns INFO [00001232] Port=0 WR @03=50C88F7AF695B1FA45 + 1230.50ns INFO [00001232] Port=0 RD @06 + 1230.50ns INFO [00001232] Port=1 RD @06 + 1231.50ns INFO [00001233] * RD COMPARE * port=0 adr=00 act=9CFF1A5A6CEA624654 exp=9CFF1A5A6CEA624654 + 1231.50ns INFO [00001233] Port=0 WR @04=5C93A89E70C18296F9 + 1231.50ns INFO [00001233] Port=0 RD @05 + 1232.50ns INFO [00001234] * RD COMPARE * port=0 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7 + 1232.50ns INFO [00001234] * RD COMPARE * port=1 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7 + 1233.50ns INFO [00001235] * RD COMPARE * port=0 adr=05 act=427DDDC2B76AE15CE3 exp=427DDDC2B76AE15CE3 + 1233.50ns INFO [00001235] Port=0 WR @05=EA61398AB045036277 + 1233.50ns INFO [00001235] Port=1 RD @06 + 1235.50ns INFO [00001237] * RD COMPARE * port=1 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7 + 1235.50ns INFO [00001237] Port=0 RD @07 + 1236.50ns INFO [00001238] Port=0 WR @06=93A07B4DD6FF170128 + 1237.50ns INFO [00001239] * RD COMPARE * port=0 adr=07 act=C284325B581FBC836E exp=C284325B581FBC836E + 1237.50ns INFO [00001239] Port=0 WR @07=D521D66AEFE08C62B1 + 1237.50ns INFO [00001239] Port=1 RD @03 + 1238.50ns INFO [00001240] Port=0 RD @03 + 1239.50ns INFO [00001241] * RD COMPARE * port=1 adr=03 act=50C88F7AF695B1FA45 exp=50C88F7AF695B1FA45 + 1239.50ns INFO [00001241] Port=1 RD @00 + 1240.50ns INFO [00001242] * RD COMPARE * port=0 adr=03 act=50C88F7AF695B1FA45 exp=50C88F7AF695B1FA45 + 1240.50ns INFO [00001242] Port=0 WR @03=53981940A4AF35426C + 1240.50ns INFO [00001242] Port=0 RD @01 + 1241.50ns INFO [00001243] * RD COMPARE * port=1 adr=00 act=9CFF1A5A6CEA624654 exp=9CFF1A5A6CEA624654 + 1241.50ns INFO [00001243] Port=0 WR @06=D0C49DFA7233C3276A + 1241.50ns INFO [00001243] Port=0 RD @05 + 1242.50ns INFO [00001244] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345 + 1242.50ns INFO [00001244] Port=0 WR @03=64C5408E88CAFA64B3 + 1243.50ns INFO [00001245] * RD COMPARE * port=0 adr=05 act=EA61398AB045036277 exp=EA61398AB045036277 + 1243.50ns INFO [00001245] Port=1 RD @03 + 1244.50ns INFO [00001246] Port=0 RD @01 + 1244.50ns INFO [00001246] Port=1 RD @06 + 1245.50ns INFO [00001247] * RD COMPARE * port=1 adr=03 act=64C5408E88CAFA64B3 exp=64C5408E88CAFA64B3 + 1246.50ns INFO [00001248] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345 + 1246.50ns INFO [00001248] * RD COMPARE * port=1 adr=06 act=D0C49DFA7233C3276A exp=D0C49DFA7233C3276A + 1247.50ns INFO [00001249] Port=0 RD @04 + 1247.50ns INFO [00001249] Port=1 RD @07 + 1248.50ns INFO [00001250] Port=0 RD @05 + 1249.50ns INFO [00001251] * RD COMPARE * port=0 adr=04 act=5C93A89E70C18296F9 exp=5C93A89E70C18296F9 + 1249.50ns INFO [00001251] * RD COMPARE * port=1 adr=07 act=D521D66AEFE08C62B1 exp=D521D66AEFE08C62B1 + 1249.50ns INFO [00001251] Port=0 RD @01 + 1250.50ns INFO [00001252] * RD COMPARE * port=0 adr=05 act=EA61398AB045036277 exp=EA61398AB045036277 + 1250.50ns INFO [00001252] Port=0 RD @07 + 1251.50ns INFO [00001253] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345 + 1251.50ns INFO [00001253] Port=0 WR @07=E5E50A0BBD79B0D9FD + 1251.50ns INFO [00001253] Port=0 RD @02 + 1252.50ns INFO [00001254] * RD COMPARE * port=0 adr=07 act=D521D66AEFE08C62B1 exp=D521D66AEFE08C62B1 + 1252.50ns INFO [00001254] Port=0 RD @04 + 1253.50ns INFO [00001255] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1253.50ns INFO [00001255] Port=0 RD @02 + 1253.50ns INFO [00001255] Port=1 RD @06 + 1254.50ns INFO [00001256] * RD COMPARE * port=0 adr=04 act=5C93A89E70C18296F9 exp=5C93A89E70C18296F9 + 1254.50ns INFO [00001256] Port=0 RD @05 + 1254.50ns INFO [00001256] Port=1 RD @01 + 1255.50ns INFO [00001257] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1255.50ns INFO [00001257] * RD COMPARE * port=1 adr=06 act=D0C49DFA7233C3276A exp=D0C49DFA7233C3276A + 1255.50ns INFO [00001257] Port=0 RD @04 + 1256.50ns INFO [00001258] * RD COMPARE * port=0 adr=05 act=EA61398AB045036277 exp=EA61398AB045036277 + 1256.50ns INFO [00001258] * RD COMPARE * port=1 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345 + 1256.50ns INFO [00001258] Port=0 WR @05=933DE324223355D9A2 + 1257.50ns INFO [00001259] * RD COMPARE * port=0 adr=04 act=5C93A89E70C18296F9 exp=5C93A89E70C18296F9 + 1258.50ns INFO [00001260] Port=0 RD @06 + 1258.50ns INFO [00001260] Port=1 RD @05 + 1259.50ns INFO [00001261] Port=0 WR @05=4B26F5E43A100B0E6F + 1260.50ns INFO [00001262] * RD COMPARE * port=0 adr=06 act=D0C49DFA7233C3276A exp=D0C49DFA7233C3276A + 1260.50ns INFO [00001262] * RD COMPARE * port=1 adr=05 act=933DE324223355D9A2 exp=933DE324223355D9A2 + 1260.50ns INFO [00001262] Port=0 RD @05 + 1260.50ns INFO [00001262] Port=1 RD @04 + 1261.50ns INFO [00001263] Port=0 RD @02 + 1262.50ns INFO [00001264] * RD COMPARE * port=0 adr=05 act=4B26F5E43A100B0E6F exp=4B26F5E43A100B0E6F + 1262.50ns INFO [00001264] * RD COMPARE * port=1 adr=04 act=5C93A89E70C18296F9 exp=5C93A89E70C18296F9 + 1262.50ns INFO [00001264] Port=0 WR @04=D5BE17DC06FBA33363 + 1263.50ns INFO [00001265] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1264.50ns INFO [00001266] Port=1 RD @02 + 1265.50ns INFO [00001267] Port=0 WR @06=97212DF7DFF6910E28 + 1266.50ns INFO [00001268] * RD COMPARE * port=1 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1266.50ns INFO [00001268] Port=0 WR @00=54D2F0AAAE7AC65CF6 + 1267.50ns INFO [00001269] Port=0 WR @04=5EF72B2B9AF1F38761 + 1267.50ns INFO [00001269] Port=0 RD @02 + 1268.50ns INFO [00001270] Port=0 WR @03=58B6BF57E8971F89A2 + 1268.50ns INFO [00001270] Port=1 RD @02 + 1269.50ns INFO [00001271] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1269.50ns INFO [00001271] Port=1 RD @04 + 1270.50ns INFO [00001272] * RD COMPARE * port=1 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1270.50ns INFO [00001272] Port=0 RD @02 + 1271.50ns INFO [00001273] * RD COMPARE * port=1 adr=04 act=5EF72B2B9AF1F38761 exp=5EF72B2B9AF1F38761 + 1271.50ns INFO [00001273] Port=0 RD @02 + 1272.50ns INFO [00001274] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1273.50ns INFO [00001275] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1273.50ns INFO [00001275] Port=0 WR @00=1C2733AC6B40674552 + 1273.50ns INFO [00001275] Port=0 RD @07 + 1274.50ns INFO [00001276] Port=0 WR @04=514F3B62A8187BDFFA + 1275.50ns INFO [00001277] * RD COMPARE * port=0 adr=07 act=E5E50A0BBD79B0D9FD exp=E5E50A0BBD79B0D9FD + 1275.50ns INFO [00001277] Port=1 RD @04 + 1276.50ns INFO [00001278] Port=0 WR @04=7E8414CA35FE2A0FCA + 1277.50ns INFO [00001279] * RD COMPARE * port=1 adr=04 act=514F3B62A8187BDFFA exp=514F3B62A8187BDFFA + 1278.50ns INFO [00001280] Port=0 WR @04=956F303C9A03CD0FDF + 1278.50ns INFO [00001280] Port=0 RD @02 + 1279.50ns INFO [00001281] Port=0 RD @07 + 1280.50ns INFO [00001282] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1281.50ns INFO [00001283] * RD COMPARE * port=0 adr=07 act=E5E50A0BBD79B0D9FD exp=E5E50A0BBD79B0D9FD + 1282.50ns INFO [00001284] Port=0 WR @07=5C5EDE88F36244DFC6 + 1282.50ns INFO [00001284] Port=0 RD @02 + 1282.50ns INFO [00001284] Port=1 RD @00 + 1283.50ns INFO [00001285] Port=0 RD @05 + 1284.50ns INFO [00001286] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1284.50ns INFO [00001286] * RD COMPARE * port=1 adr=00 act=1C2733AC6B40674552 exp=1C2733AC6B40674552 + 1284.50ns INFO [00001286] Port=1 RD @07 + 1285.50ns INFO [00001287] * RD COMPARE * port=0 adr=05 act=4B26F5E43A100B0E6F exp=4B26F5E43A100B0E6F + 1285.50ns INFO [00001287] Port=0 RD @07 + 1285.50ns INFO [00001287] Port=1 RD @04 + 1286.50ns INFO [00001288] * RD COMPARE * port=1 adr=07 act=5C5EDE88F36244DFC6 exp=5C5EDE88F36244DFC6 + 1286.50ns INFO [00001288] Port=0 WR @06=A6C0A5B08DC3FDC42A + 1286.50ns INFO [00001288] Port=0 RD @05 + 1286.50ns INFO [00001288] Port=1 RD @05 + 1287.50ns INFO [00001289] * RD COMPARE * port=0 adr=07 act=5C5EDE88F36244DFC6 exp=5C5EDE88F36244DFC6 + 1287.50ns INFO [00001289] * RD COMPARE * port=1 adr=04 act=956F303C9A03CD0FDF exp=956F303C9A03CD0FDF + 1288.50ns INFO [00001290] * RD COMPARE * port=0 adr=05 act=4B26F5E43A100B0E6F exp=4B26F5E43A100B0E6F + 1288.50ns INFO [00001290] * RD COMPARE * port=1 adr=05 act=4B26F5E43A100B0E6F exp=4B26F5E43A100B0E6F + 1288.50ns INFO [00001290] Port=0 WR @04=42013B2EB42B549DE2 + 1288.50ns INFO [00001290] Port=0 RD @07 + 1289.50ns INFO [00001291] Port=0 WR @00=5CDEA6833D8BB02864 + 1289.50ns INFO [00001291] Port=0 RD @06 + 1290.50ns INFO [00001292] * RD COMPARE * port=0 adr=07 act=5C5EDE88F36244DFC6 exp=5C5EDE88F36244DFC6 + 1290.50ns INFO [00001292] Port=0 WR @05=206114028930B79059 + 1291.50ns INFO [00001293] * RD COMPARE * port=0 adr=06 act=A6C0A5B08DC3FDC42A exp=A6C0A5B08DC3FDC42A + 1291.50ns INFO [00001293] Port=0 WR @07=B26812E420D7188C6A + 1291.50ns INFO [00001293] Port=0 RD @02 + 1291.50ns INFO [00001293] Port=1 RD @01 + 1292.50ns INFO [00001294] Port=0 WR @03=6972D9813BDEF838DE + 1293.50ns INFO [00001295] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1293.50ns INFO [00001295] * RD COMPARE * port=1 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345 + 1293.50ns INFO [00001295] Port=0 RD @01 + 1294.50ns INFO [00001296] Port=0 RD @01 + 1295.50ns INFO [00001297] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345 + 1296.50ns INFO [00001298] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345 + 1296.50ns INFO [00001298] Port=0 WR @03=255C1A840C9BA5856D + 1296.50ns INFO [00001298] Port=0 RD @07 + 1296.50ns INFO [00001298] Port=1 RD @01 + 1297.50ns INFO [00001299] Port=0 RD @06 + 1297.50ns INFO [00001299] Port=1 RD @05 + 1298.00ns INFO [00001300] [00001300] ...tick... + 1298.50ns INFO [00001300] * RD COMPARE * port=0 adr=07 act=B26812E420D7188C6A exp=B26812E420D7188C6A + 1298.50ns INFO [00001300] * RD COMPARE * port=1 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345 + 1298.50ns INFO [00001300] Port=0 WR @03=D16EF91500651AE54F + 1298.50ns INFO [00001300] Port=1 RD @00 + 1299.50ns INFO [00001301] * RD COMPARE * port=0 adr=06 act=A6C0A5B08DC3FDC42A exp=A6C0A5B08DC3FDC42A + 1299.50ns INFO [00001301] * RD COMPARE * port=1 adr=05 act=206114028930B79059 exp=206114028930B79059 + 1300.50ns INFO [00001302] * RD COMPARE * port=1 adr=00 act=5CDEA6833D8BB02864 exp=5CDEA6833D8BB02864 + 1300.50ns INFO [00001302] Port=0 WR @03=CC4C7048497BCDF63B + 1300.50ns INFO [00001302] Port=1 RD @05 + 1301.50ns INFO [00001303] Port=0 WR @05=4977E5F5D157DD3F37 + 1301.50ns INFO [00001303] Port=1 RD @02 + 1302.50ns INFO [00001304] * RD COMPARE * port=1 adr=05 act=206114028930B79059 exp=206114028930B79059 + 1302.50ns INFO [00001304] Port=0 WR @03=49AA651808C160F626 + 1303.50ns INFO [00001305] * RD COMPARE * port=1 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69 + 1303.50ns INFO [00001305] Port=0 RD @05 + 1303.50ns INFO [00001305] Port=1 RD @01 + 1305.50ns INFO [00001307] * RD COMPARE * port=0 adr=05 act=4977E5F5D157DD3F37 exp=4977E5F5D157DD3F37 + 1305.50ns INFO [00001307] * RD COMPARE * port=1 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345 + 1306.50ns INFO [00001308] Port=0 RD @03 + 1308.50ns INFO [00001310] * RD COMPARE * port=0 adr=03 act=49AA651808C160F626 exp=49AA651808C160F626 + 1308.50ns INFO [00001310] Port=1 RD @07 + 1309.50ns INFO [00001311] Port=0 RD @05 + 1310.50ns INFO [00001312] * RD COMPARE * port=1 adr=07 act=B26812E420D7188C6A exp=B26812E420D7188C6A + 1310.50ns INFO [00001312] Port=0 WR @02=97B976E2D7ED8EC914 + 1310.50ns INFO [00001312] Port=0 RD @01 + 1311.50ns INFO [00001313] * RD COMPARE * port=0 adr=05 act=4977E5F5D157DD3F37 exp=4977E5F5D157DD3F37 + 1312.50ns INFO [00001314] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345 + 1312.50ns INFO [00001314] Port=0 RD @06 + 1313.50ns INFO [00001315] Port=1 RD @04 + 1314.50ns INFO [00001316] * RD COMPARE * port=0 adr=06 act=A6C0A5B08DC3FDC42A exp=A6C0A5B08DC3FDC42A + 1314.50ns INFO [00001316] Port=0 WR @01=9F8AE091FBFA8CF522 + 1315.50ns INFO [00001317] * RD COMPARE * port=1 adr=04 act=42013B2EB42B549DE2 exp=42013B2EB42B549DE2 + 1315.50ns INFO [00001317] Port=0 RD @00 + 1315.50ns INFO [00001317] Port=1 RD @07 + 1316.50ns INFO [00001318] Port=0 WR @07=5167CA98FB2427AC99 + 1317.50ns INFO [00001319] * RD COMPARE * port=0 adr=00 act=5CDEA6833D8BB02864 exp=5CDEA6833D8BB02864 + 1317.50ns INFO [00001319] * RD COMPARE * port=1 adr=07 act=B26812E420D7188C6A exp=B26812E420D7188C6A + 1317.50ns INFO [00001319] Port=0 WR @01=5DB79D7A398C508431 + 1317.50ns INFO [00001319] Port=1 RD @03 + 1318.50ns INFO [00001320] Port=1 RD @03 + 1319.50ns INFO [00001321] * RD COMPARE * port=1 adr=03 act=49AA651808C160F626 exp=49AA651808C160F626 + 1320.50ns INFO [00001322] * RD COMPARE * port=1 adr=03 act=49AA651808C160F626 exp=49AA651808C160F626 + 1320.50ns INFO [00001322] Port=0 RD @00 + 1320.50ns INFO [00001322] Port=1 RD @00 + 1321.50ns INFO [00001323] Port=0 RD @02 + 1322.50ns INFO [00001324] * RD COMPARE * port=0 adr=00 act=5CDEA6833D8BB02864 exp=5CDEA6833D8BB02864 + 1322.50ns INFO [00001324] * RD COMPARE * port=1 adr=00 act=5CDEA6833D8BB02864 exp=5CDEA6833D8BB02864 + 1322.50ns INFO [00001324] Port=0 RD @04 + 1322.50ns INFO [00001324] Port=1 RD @02 + 1323.50ns INFO [00001325] * RD COMPARE * port=0 adr=02 act=97B976E2D7ED8EC914 exp=97B976E2D7ED8EC914 + 1324.50ns INFO [00001326] * RD COMPARE * port=0 adr=04 act=42013B2EB42B549DE2 exp=42013B2EB42B549DE2 + 1324.50ns INFO [00001326] * RD COMPARE * port=1 adr=02 act=97B976E2D7ED8EC914 exp=97B976E2D7ED8EC914 + 1324.50ns INFO [00001326] Port=0 WR @06=A60A3D688A1923AF06 + 1325.50ns INFO [00001327] Port=1 RD @05 + 1326.50ns INFO [00001328] Port=0 RD @02 + 1327.50ns INFO [00001329] * RD COMPARE * port=1 adr=05 act=4977E5F5D157DD3F37 exp=4977E5F5D157DD3F37 + 1327.50ns INFO [00001329] Port=0 WR @05=9883E73C92E6DE5854 + 1328.50ns INFO [00001330] * RD COMPARE * port=0 adr=02 act=97B976E2D7ED8EC914 exp=97B976E2D7ED8EC914 + 1328.50ns INFO [00001330] Port=0 WR @05=492ACD977854ED629E + 1330.50ns INFO [00001332] Port=0 WR @02=4A35C0F3486D9BC544 + 1331.50ns INFO [00001333] Port=0 WR @01=716866D93A8D37075E + 1331.50ns INFO [00001333] Port=0 RD @04 + 1333.50ns INFO [00001335] * RD COMPARE * port=0 adr=04 act=42013B2EB42B549DE2 exp=42013B2EB42B549DE2 + 1333.50ns INFO [00001335] Port=0 WR @02=1E5EBD20739DDF1B00 + 1333.50ns INFO [00001335] Port=0 RD @04 + 1335.50ns INFO [00001337] * RD COMPARE * port=0 adr=04 act=42013B2EB42B549DE2 exp=42013B2EB42B549DE2 + 1335.50ns INFO [00001337] Port=0 WR @04=6163D14D7D2F1F2054 + 1335.50ns INFO [00001337] Port=0 RD @01 + 1335.50ns INFO [00001337] Port=1 RD @06 + 1336.50ns INFO [00001338] Port=0 RD @04 + 1336.50ns INFO [00001338] Port=1 RD @02 + 1337.50ns INFO [00001339] * RD COMPARE * port=0 adr=01 act=716866D93A8D37075E exp=716866D93A8D37075E + 1337.50ns INFO [00001339] * RD COMPARE * port=1 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1337.50ns INFO [00001339] Port=0 WR @00=95AC9287AF96B6A571 + 1337.50ns INFO [00001339] Port=0 RD @06 + 1337.50ns INFO [00001339] Port=1 RD @03 + 1338.50ns INFO [00001340] * RD COMPARE * port=0 adr=04 act=6163D14D7D2F1F2054 exp=6163D14D7D2F1F2054 + 1338.50ns INFO [00001340] * RD COMPARE * port=1 adr=02 act=1E5EBD20739DDF1B00 exp=1E5EBD20739DDF1B00 + 1338.50ns INFO [00001340] Port=0 RD @05 + 1339.50ns INFO [00001341] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1339.50ns INFO [00001341] * RD COMPARE * port=1 adr=03 act=49AA651808C160F626 exp=49AA651808C160F626 + 1340.50ns INFO [00001342] * RD COMPARE * port=0 adr=05 act=492ACD977854ED629E exp=492ACD977854ED629E + 1340.50ns INFO [00001342] Port=1 RD @03 + 1341.50ns INFO [00001343] Port=0 WR @02=C760FEF8894A0C3C6B + 1341.50ns INFO [00001343] Port=0 RD @01 + 1341.50ns INFO [00001343] Port=1 RD @06 + 1342.50ns INFO [00001344] * RD COMPARE * port=1 adr=03 act=49AA651808C160F626 exp=49AA651808C160F626 + 1342.50ns INFO [00001344] Port=0 WR @07=97F92EF5E8AC1FAE89 + 1342.50ns INFO [00001344] Port=1 RD @00 + 1343.50ns INFO [00001345] * RD COMPARE * port=0 adr=01 act=716866D93A8D37075E exp=716866D93A8D37075E + 1343.50ns INFO [00001345] * RD COMPARE * port=1 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1343.50ns INFO [00001345] Port=1 RD @07 + 1344.50ns INFO [00001346] * RD COMPARE * port=1 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571 + 1344.50ns INFO [00001346] Port=0 RD @06 + 1345.50ns INFO [00001347] * RD COMPARE * port=1 adr=07 act=97F92EF5E8AC1FAE89 exp=97F92EF5E8AC1FAE89 + 1345.50ns INFO [00001347] Port=0 RD @06 + 1346.50ns INFO [00001348] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1346.50ns INFO [00001348] Port=0 WR @07=7745808712ADB68A84 + 1346.50ns INFO [00001348] Port=1 RD @02 + 1347.50ns INFO [00001349] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1348.50ns INFO [00001350] * RD COMPARE * port=1 adr=02 act=C760FEF8894A0C3C6B exp=C760FEF8894A0C3C6B + 1348.50ns INFO [00001350] Port=0 WR @03=4EDBCB1F6633E76121 + 1348.50ns INFO [00001350] Port=1 RD @00 + 1349.50ns INFO [00001351] Port=1 RD @04 + 1350.50ns INFO [00001352] * RD COMPARE * port=1 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571 + 1350.50ns INFO [00001352] Port=0 WR @02=4139A7AFC73DB88873 + 1350.50ns INFO [00001352] Port=1 RD @03 + 1351.50ns INFO [00001353] * RD COMPARE * port=1 adr=04 act=6163D14D7D2F1F2054 exp=6163D14D7D2F1F2054 + 1351.50ns INFO [00001353] Port=1 RD @00 + 1352.50ns INFO [00001354] * RD COMPARE * port=1 adr=03 act=4EDBCB1F6633E76121 exp=4EDBCB1F6633E76121 + 1352.50ns INFO [00001354] Port=0 RD @06 + 1352.50ns INFO [00001354] Port=1 RD @04 + 1353.50ns INFO [00001355] * RD COMPARE * port=1 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571 + 1353.50ns INFO [00001355] Port=1 RD @02 + 1354.50ns INFO [00001356] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1354.50ns INFO [00001356] * RD COMPARE * port=1 adr=04 act=6163D14D7D2F1F2054 exp=6163D14D7D2F1F2054 + 1354.50ns INFO [00001356] Port=0 WR @03=07DBBB92CF0174CE92 + 1354.50ns INFO [00001356] Port=1 RD @06 + 1355.50ns INFO [00001357] * RD COMPARE * port=1 adr=02 act=4139A7AFC73DB88873 exp=4139A7AFC73DB88873 + 1355.50ns INFO [00001357] Port=1 RD @04 + 1356.50ns INFO [00001358] * RD COMPARE * port=1 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1356.50ns INFO [00001358] Port=0 WR @02=5CBB2D4160E8D46360 + 1356.50ns INFO [00001358] Port=0 RD @06 + 1357.50ns INFO [00001359] * RD COMPARE * port=1 adr=04 act=6163D14D7D2F1F2054 exp=6163D14D7D2F1F2054 + 1357.50ns INFO [00001359] Port=1 RD @03 + 1358.50ns INFO [00001360] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1358.50ns INFO [00001360] Port=0 RD @01 + 1359.50ns INFO [00001361] * RD COMPARE * port=1 adr=03 act=07DBBB92CF0174CE92 exp=07DBBB92CF0174CE92 + 1359.50ns INFO [00001361] Port=1 RD @00 + 1360.50ns INFO [00001362] * RD COMPARE * port=0 adr=01 act=716866D93A8D37075E exp=716866D93A8D37075E + 1360.50ns INFO [00001362] Port=0 RD @06 + 1360.50ns INFO [00001362] Port=1 RD @06 + 1361.50ns INFO [00001363] * RD COMPARE * port=1 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571 + 1361.50ns INFO [00001363] Port=0 RD @06 + 1362.50ns INFO [00001364] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1362.50ns INFO [00001364] * RD COMPARE * port=1 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1362.50ns INFO [00001364] Port=0 RD @01 + 1362.50ns INFO [00001364] Port=1 RD @03 + 1363.50ns INFO [00001365] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1363.50ns INFO [00001365] Port=1 RD @03 + 1364.50ns INFO [00001366] * RD COMPARE * port=0 adr=01 act=716866D93A8D37075E exp=716866D93A8D37075E + 1364.50ns INFO [00001366] * RD COMPARE * port=1 adr=03 act=07DBBB92CF0174CE92 exp=07DBBB92CF0174CE92 + 1364.50ns INFO [00001366] Port=1 RD @05 + 1365.50ns INFO [00001367] * RD COMPARE * port=1 adr=03 act=07DBBB92CF0174CE92 exp=07DBBB92CF0174CE92 + 1365.50ns INFO [00001367] Port=0 WR @03=34B21A11B48E3CB5ED + 1365.50ns INFO [00001367] Port=0 RD @00 + 1366.50ns INFO [00001368] * RD COMPARE * port=1 adr=05 act=492ACD977854ED629E exp=492ACD977854ED629E + 1366.50ns INFO [00001368] Port=0 RD @00 + 1367.50ns INFO [00001369] * RD COMPARE * port=0 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571 + 1367.50ns INFO [00001369] Port=1 RD @07 + 1368.50ns INFO [00001370] * RD COMPARE * port=0 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571 + 1369.50ns INFO [00001371] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1369.50ns INFO [00001371] Port=0 WR @02=CC23CD56CF47FD7D83 + 1369.50ns INFO [00001371] Port=1 RD @03 + 1370.50ns INFO [00001372] Port=0 RD @02 + 1371.50ns INFO [00001373] * RD COMPARE * port=1 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED + 1371.50ns INFO [00001373] Port=0 WR @00=935AE0BF74876FA10D + 1371.50ns INFO [00001373] Port=1 RD @06 + 1372.50ns INFO [00001374] * RD COMPARE * port=0 adr=02 act=CC23CD56CF47FD7D83 exp=CC23CD56CF47FD7D83 + 1372.50ns INFO [00001374] Port=1 RD @03 + 1373.50ns INFO [00001375] * RD COMPARE * port=1 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06 + 1373.50ns INFO [00001375] Port=0 RD @00 + 1374.50ns INFO [00001376] * RD COMPARE * port=1 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED + 1374.50ns INFO [00001376] Port=0 RD @00 + 1374.50ns INFO [00001376] Port=1 RD @02 + 1375.50ns INFO [00001377] * RD COMPARE * port=0 adr=00 act=935AE0BF74876FA10D exp=935AE0BF74876FA10D + 1375.50ns INFO [00001377] Port=0 WR @06=B36B616C3C4A32134D + 1376.50ns INFO [00001378] * RD COMPARE * port=0 adr=00 act=935AE0BF74876FA10D exp=935AE0BF74876FA10D + 1376.50ns INFO [00001378] * RD COMPARE * port=1 adr=02 act=CC23CD56CF47FD7D83 exp=CC23CD56CF47FD7D83 + 1376.50ns INFO [00001378] Port=1 RD @06 + 1377.50ns INFO [00001379] Port=0 WR @04=F2ADB04C24BE1842E2 + 1377.50ns INFO [00001379] Port=0 RD @02 + 1378.50ns INFO [00001380] * RD COMPARE * port=1 adr=06 act=B36B616C3C4A32134D exp=B36B616C3C4A32134D + 1379.50ns INFO [00001381] * RD COMPARE * port=0 adr=02 act=CC23CD56CF47FD7D83 exp=CC23CD56CF47FD7D83 + 1380.50ns INFO [00001382] Port=0 RD @07 + 1380.50ns INFO [00001382] Port=1 RD @06 + 1381.50ns INFO [00001383] Port=0 WR @01=E76F939D48870956E0 + 1381.50ns INFO [00001383] Port=1 RD @00 + 1382.50ns INFO [00001384] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1382.50ns INFO [00001384] * RD COMPARE * port=1 adr=06 act=B36B616C3C4A32134D exp=B36B616C3C4A32134D + 1382.50ns INFO [00001384] Port=1 RD @02 + 1383.50ns INFO [00001385] * RD COMPARE * port=1 adr=00 act=935AE0BF74876FA10D exp=935AE0BF74876FA10D + 1383.50ns INFO [00001385] Port=1 RD @05 + 1384.50ns INFO [00001386] * RD COMPARE * port=1 adr=02 act=CC23CD56CF47FD7D83 exp=CC23CD56CF47FD7D83 + 1385.50ns INFO [00001387] * RD COMPARE * port=1 adr=05 act=492ACD977854ED629E exp=492ACD977854ED629E + 1385.50ns INFO [00001387] Port=0 WR @02=262413163CBD3C6990 + 1385.50ns INFO [00001387] Port=0 RD @03 + 1385.50ns INFO [00001387] Port=1 RD @03 + 1386.50ns INFO [00001388] Port=0 WR @06=2F2C02D4EAC9E79743 + 1386.50ns INFO [00001388] Port=0 RD @04 + 1386.50ns INFO [00001388] Port=1 RD @05 + 1387.50ns INFO [00001389] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED + 1387.50ns INFO [00001389] * RD COMPARE * port=1 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED + 1388.50ns INFO [00001390] * RD COMPARE * port=0 adr=04 act=F2ADB04C24BE1842E2 exp=F2ADB04C24BE1842E2 + 1388.50ns INFO [00001390] * RD COMPARE * port=1 adr=05 act=492ACD977854ED629E exp=492ACD977854ED629E + 1388.50ns INFO [00001390] Port=0 RD @06 + 1388.50ns INFO [00001390] Port=1 RD @07 + 1389.50ns INFO [00001391] Port=0 RD @06 + 1390.50ns INFO [00001392] * RD COMPARE * port=0 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743 + 1390.50ns INFO [00001392] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1391.50ns INFO [00001393] * RD COMPARE * port=0 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743 + 1391.50ns INFO [00001393] Port=0 RD @07 + 1392.50ns INFO [00001394] Port=0 WR @05=4BB0DD34DB3623CBB2 + 1392.50ns INFO [00001394] Port=1 RD @04 + 1393.50ns INFO [00001395] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1393.50ns INFO [00001395] Port=0 RD @05 + 1394.50ns INFO [00001396] * RD COMPARE * port=1 adr=04 act=F2ADB04C24BE1842E2 exp=F2ADB04C24BE1842E2 + 1394.50ns INFO [00001396] Port=0 WR @02=0DE2E3386066F3407B + 1395.50ns INFO [00001397] * RD COMPARE * port=0 adr=05 act=4BB0DD34DB3623CBB2 exp=4BB0DD34DB3623CBB2 + 1395.50ns INFO [00001397] Port=1 RD @01 + 1396.50ns INFO [00001398] Port=0 RD @06 + 1397.50ns INFO [00001399] * RD COMPARE * port=1 adr=01 act=E76F939D48870956E0 exp=E76F939D48870956E0 + 1397.50ns INFO [00001399] Port=0 RD @02 + 1398.00ns INFO [00001400] [00001400] ...tick... + 1398.50ns INFO [00001400] * RD COMPARE * port=0 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743 + 1398.50ns INFO [00001400] Port=1 RD @07 + 1399.50ns INFO [00001401] * RD COMPARE * port=0 adr=02 act=0DE2E3386066F3407B exp=0DE2E3386066F3407B + 1399.50ns INFO [00001401] Port=0 RD @03 + 1399.50ns INFO [00001401] Port=1 RD @00 + 1400.50ns INFO [00001402] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1400.50ns INFO [00001402] Port=1 RD @06 + 1401.50ns INFO [00001403] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED + 1401.50ns INFO [00001403] * RD COMPARE * port=1 adr=00 act=935AE0BF74876FA10D exp=935AE0BF74876FA10D + 1401.50ns INFO [00001403] Port=0 WR @00=2605E6BE28019F98C4 + 1401.50ns INFO [00001403] Port=0 RD @05 + 1401.50ns INFO [00001403] Port=1 RD @06 + 1402.50ns INFO [00001404] * RD COMPARE * port=1 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743 + 1402.50ns INFO [00001404] Port=0 WR @01=61BFD1DD5D3F57D93A + 1402.50ns INFO [00001404] Port=1 RD @06 + 1403.50ns INFO [00001405] * RD COMPARE * port=0 adr=05 act=4BB0DD34DB3623CBB2 exp=4BB0DD34DB3623CBB2 + 1403.50ns INFO [00001405] * RD COMPARE * port=1 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743 + 1403.50ns INFO [00001405] Port=0 WR @01=C81AA7AA7BA7CAC5DA + 1403.50ns INFO [00001405] Port=0 RD @03 + 1404.50ns INFO [00001406] * RD COMPARE * port=1 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743 + 1404.50ns INFO [00001406] Port=0 WR @05=6ED2041C325A0E8788 + 1404.50ns INFO [00001406] Port=0 RD @07 + 1405.50ns INFO [00001407] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED + 1406.50ns INFO [00001408] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1406.50ns INFO [00001408] Port=0 RD @03 + 1406.50ns INFO [00001408] Port=1 RD @00 + 1407.50ns INFO [00001409] Port=0 WR @04=1A9D84C09D9872D6B3 + 1408.50ns INFO [00001410] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED + 1408.50ns INFO [00001410] * RD COMPARE * port=1 adr=00 act=2605E6BE28019F98C4 exp=2605E6BE28019F98C4 + 1408.50ns INFO [00001410] Port=0 WR @01=0A9B9897566D888FD2 + 1408.50ns INFO [00001410] Port=1 RD @05 + 1409.50ns INFO [00001411] Port=0 RD @04 + 1410.50ns INFO [00001412] * RD COMPARE * port=1 adr=05 act=6ED2041C325A0E8788 exp=6ED2041C325A0E8788 + 1411.50ns INFO [00001413] * RD COMPARE * port=0 adr=04 act=1A9D84C09D9872D6B3 exp=1A9D84C09D9872D6B3 + 1411.50ns INFO [00001413] Port=0 RD @06 + 1411.50ns INFO [00001413] Port=1 RD @04 + 1412.50ns INFO [00001414] Port=1 RD @07 + 1413.50ns INFO [00001415] * RD COMPARE * port=0 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743 + 1413.50ns INFO [00001415] * RD COMPARE * port=1 adr=04 act=1A9D84C09D9872D6B3 exp=1A9D84C09D9872D6B3 + 1413.50ns INFO [00001415] Port=0 WR @00=0C054F258A1A081B92 + 1414.50ns INFO [00001416] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1414.50ns INFO [00001416] Port=0 WR @00=3F447D4AE9ECE3F025 + 1414.50ns INFO [00001416] Port=0 RD @01 + 1416.50ns INFO [00001418] * RD COMPARE * port=0 adr=01 act=0A9B9897566D888FD2 exp=0A9B9897566D888FD2 + 1416.50ns INFO [00001418] Port=0 WR @00=E1CA90B43CA2B6FA4F + 1416.50ns INFO [00001418] Port=1 RD @03 + 1417.50ns INFO [00001419] Port=0 WR @04=5AAD4A047A873AEDF9 + 1418.50ns INFO [00001420] * RD COMPARE * port=1 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED + 1419.50ns INFO [00001421] Port=0 RD @07 + 1419.50ns INFO [00001421] Port=1 RD @05 + 1420.50ns INFO [00001422] Port=0 WR @02=90D9FD860E2C65E479 + 1420.50ns INFO [00001422] Port=0 RD @07 + 1421.50ns INFO [00001423] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1421.50ns INFO [00001423] * RD COMPARE * port=1 adr=05 act=6ED2041C325A0E8788 exp=6ED2041C325A0E8788 + 1421.50ns INFO [00001423] Port=0 WR @05=237C9E70CCDC1A4432 + 1422.50ns INFO [00001424] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1422.50ns INFO [00001424] Port=0 RD @03 + 1424.50ns INFO [00001426] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED + 1424.50ns INFO [00001426] Port=1 RD @07 + 1425.50ns INFO [00001427] Port=0 RD @05 + 1425.50ns INFO [00001427] Port=1 RD @06 + 1426.50ns INFO [00001428] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1426.50ns INFO [00001428] Port=0 WR @01=1FAE2C8CAA142E4448 + 1426.50ns INFO [00001428] Port=0 RD @02 + 1427.50ns INFO [00001429] * RD COMPARE * port=0 adr=05 act=237C9E70CCDC1A4432 exp=237C9E70CCDC1A4432 + 1427.50ns INFO [00001429] * RD COMPARE * port=1 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743 + 1427.50ns INFO [00001429] Port=0 RD @03 + 1428.50ns INFO [00001430] * RD COMPARE * port=0 adr=02 act=90D9FD860E2C65E479 exp=90D9FD860E2C65E479 + 1428.50ns INFO [00001430] Port=0 WR @04=E9EFAFA34C65B868D2 + 1429.50ns INFO [00001431] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED + 1429.50ns INFO [00001431] Port=0 WR @05=FF5CA06144893F1E17 + 1429.50ns INFO [00001431] Port=0 RD @01 + 1430.50ns INFO [00001432] Port=0 RD @01 + 1430.50ns INFO [00001432] Port=1 RD @06 + 1431.50ns INFO [00001433] * RD COMPARE * port=0 adr=01 act=1FAE2C8CAA142E4448 exp=1FAE2C8CAA142E4448 + 1431.50ns INFO [00001433] Port=0 RD @06 + 1432.50ns INFO [00001434] * RD COMPARE * port=0 adr=01 act=1FAE2C8CAA142E4448 exp=1FAE2C8CAA142E4448 + 1432.50ns INFO [00001434] * RD COMPARE * port=1 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743 + 1432.50ns INFO [00001434] Port=0 WR @06=3107705E61C927F1C1 + 1433.50ns INFO [00001435] * RD COMPARE * port=0 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743 + 1433.50ns INFO [00001435] Port=0 WR @00=2C00C303541BDA4165 + 1433.50ns INFO [00001435] Port=0 RD @05 + 1434.50ns INFO [00001436] Port=0 RD @04 + 1434.50ns INFO [00001436] Port=1 RD @07 + 1435.50ns INFO [00001437] * RD COMPARE * port=0 adr=05 act=FF5CA06144893F1E17 exp=FF5CA06144893F1E17 + 1435.50ns INFO [00001437] Port=0 WR @00=6719FC8A1405B07F94 + 1435.50ns INFO [00001437] Port=1 RD @07 + 1436.50ns INFO [00001438] * RD COMPARE * port=0 adr=04 act=E9EFAFA34C65B868D2 exp=E9EFAFA34C65B868D2 + 1436.50ns INFO [00001438] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1436.50ns INFO [00001438] Port=0 WR @06=44D6F777A767CAD489 + 1437.50ns INFO [00001439] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1437.50ns INFO [00001439] Port=0 WR @03=D05FEDFBCF35767861 + 1438.50ns INFO [00001440] Port=0 WR @02=1D39E4B68F907A43F6 + 1438.50ns INFO [00001440] Port=1 RD @00 + 1439.50ns INFO [00001441] Port=0 WR @04=071FD7D1F7977140C8 + 1440.50ns INFO [00001442] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94 + 1440.50ns INFO [00001442] Port=0 WR @02=F60C44AE81AF110B20 + 1440.50ns INFO [00001442] Port=0 RD @07 + 1441.50ns INFO [00001443] Port=1 RD @07 + 1442.50ns INFO [00001444] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1442.50ns INFO [00001444] Port=0 WR @04=A639AA7EBE5FEC20F3 + 1442.50ns INFO [00001444] Port=0 RD @01 + 1442.50ns INFO [00001444] Port=1 RD @02 + 1443.50ns INFO [00001445] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84 + 1443.50ns INFO [00001445] Port=0 WR @02=45C65916F3F6AAB35B + 1443.50ns INFO [00001445] Port=1 RD @03 + 1444.50ns INFO [00001446] * RD COMPARE * port=0 adr=01 act=1FAE2C8CAA142E4448 exp=1FAE2C8CAA142E4448 + 1444.50ns INFO [00001446] * RD COMPARE * port=1 adr=02 act=F60C44AE81AF110B20 exp=F60C44AE81AF110B20 + 1444.50ns INFO [00001446] Port=1 RD @00 + 1445.50ns INFO [00001447] * RD COMPARE * port=1 adr=03 act=D05FEDFBCF35767861 exp=D05FEDFBCF35767861 + 1446.50ns INFO [00001448] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94 + 1446.50ns INFO [00001448] Port=0 RD @05 + 1447.50ns INFO [00001449] Port=0 RD @01 + 1448.50ns INFO [00001450] * RD COMPARE * port=0 adr=05 act=FF5CA06144893F1E17 exp=FF5CA06144893F1E17 + 1448.50ns INFO [00001450] Port=0 WR @07=A46432A05A5C453D73 + 1448.50ns INFO [00001450] Port=1 RD @01 + 1449.50ns INFO [00001451] * RD COMPARE * port=0 adr=01 act=1FAE2C8CAA142E4448 exp=1FAE2C8CAA142E4448 + 1449.50ns INFO [00001451] Port=0 WR @03=CBB780BEE1A4F63327 + 1449.50ns INFO [00001451] Port=0 RD @07 + 1449.50ns INFO [00001451] Port=1 RD @06 + 1450.50ns INFO [00001452] * RD COMPARE * port=1 adr=01 act=1FAE2C8CAA142E4448 exp=1FAE2C8CAA142E4448 + 1450.50ns INFO [00001452] Port=0 RD @06 + 1450.50ns INFO [00001452] Port=1 RD @05 + 1451.50ns INFO [00001453] * RD COMPARE * port=0 adr=07 act=A46432A05A5C453D73 exp=A46432A05A5C453D73 + 1451.50ns INFO [00001453] * RD COMPARE * port=1 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489 + 1452.50ns INFO [00001454] * RD COMPARE * port=0 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489 + 1452.50ns INFO [00001454] * RD COMPARE * port=1 adr=05 act=FF5CA06144893F1E17 exp=FF5CA06144893F1E17 + 1453.50ns INFO [00001455] Port=0 WR @03=15D9D3383626B8C371 + 1453.50ns INFO [00001455] Port=0 RD @02 + 1453.50ns INFO [00001455] Port=1 RD @00 + 1454.50ns INFO [00001456] Port=0 RD @04 + 1454.50ns INFO [00001456] Port=1 RD @04 + 1455.50ns INFO [00001457] * RD COMPARE * port=0 adr=02 act=45C65916F3F6AAB35B exp=45C65916F3F6AAB35B + 1455.50ns INFO [00001457] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94 + 1455.50ns INFO [00001457] Port=0 WR @05=22D78949FF19EA8B5D + 1456.50ns INFO [00001458] * RD COMPARE * port=0 adr=04 act=A639AA7EBE5FEC20F3 exp=A639AA7EBE5FEC20F3 + 1456.50ns INFO [00001458] * RD COMPARE * port=1 adr=04 act=A639AA7EBE5FEC20F3 exp=A639AA7EBE5FEC20F3 + 1456.50ns INFO [00001458] Port=0 WR @01=49C16C0CC3ADEFB47F + 1456.50ns INFO [00001458] Port=0 RD @03 + 1456.50ns INFO [00001458] Port=1 RD @04 + 1457.50ns INFO [00001459] Port=0 WR @03=1CCB67CD804FA78940 + 1458.50ns INFO [00001460] * RD COMPARE * port=0 adr=03 act=15D9D3383626B8C371 exp=15D9D3383626B8C371 + 1458.50ns INFO [00001460] * RD COMPARE * port=1 adr=04 act=A639AA7EBE5FEC20F3 exp=A639AA7EBE5FEC20F3 + 1459.50ns INFO [00001461] Port=1 RD @00 + 1460.50ns INFO [00001462] Port=0 WR @05=54F435F10B8917CF44 + 1461.50ns INFO [00001463] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94 + 1461.50ns INFO [00001463] Port=1 RD @02 + 1462.50ns INFO [00001464] Port=0 WR @01=F51B5491B6CC284108 + 1462.50ns INFO [00001464] Port=0 RD @06 + 1462.50ns INFO [00001464] Port=1 RD @06 + 1463.50ns INFO [00001465] * RD COMPARE * port=1 adr=02 act=45C65916F3F6AAB35B exp=45C65916F3F6AAB35B + 1463.50ns INFO [00001465] Port=1 RD @06 + 1464.50ns INFO [00001466] * RD COMPARE * port=0 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489 + 1464.50ns INFO [00001466] * RD COMPARE * port=1 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489 + 1464.50ns INFO [00001466] Port=0 RD @00 + 1464.50ns INFO [00001466] Port=1 RD @02 + 1465.50ns INFO [00001467] * RD COMPARE * port=1 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489 + 1466.50ns INFO [00001468] * RD COMPARE * port=0 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94 + 1466.50ns INFO [00001468] * RD COMPARE * port=1 adr=02 act=45C65916F3F6AAB35B exp=45C65916F3F6AAB35B + 1466.50ns INFO [00001468] Port=1 RD @07 + 1467.50ns INFO [00001469] Port=0 WR @04=1EC0C4FC5225C1646C + 1468.50ns INFO [00001470] * RD COMPARE * port=1 adr=07 act=A46432A05A5C453D73 exp=A46432A05A5C453D73 + 1468.50ns INFO [00001470] Port=0 WR @03=6C9F9BB011B570A329 + 1472.50ns INFO [00001474] Port=1 RD @07 + 1473.50ns INFO [00001475] Port=0 RD @04 + 1473.50ns INFO [00001475] Port=1 RD @07 + 1474.50ns INFO [00001476] * RD COMPARE * port=1 adr=07 act=A46432A05A5C453D73 exp=A46432A05A5C453D73 + 1474.50ns INFO [00001476] Port=0 WR @07=C2DBB557F77C19982F + 1474.50ns INFO [00001476] Port=0 RD @04 + 1474.50ns INFO [00001476] Port=1 RD @02 + 1475.50ns INFO [00001477] * RD COMPARE * port=0 adr=04 act=1EC0C4FC5225C1646C exp=1EC0C4FC5225C1646C + 1475.50ns INFO [00001477] * RD COMPARE * port=1 adr=07 act=A46432A05A5C453D73 exp=A46432A05A5C453D73 + 1475.50ns INFO [00001477] Port=0 WR @02=75FFF93898B406E8FA + 1475.50ns INFO [00001477] Port=0 RD @01 + 1475.50ns INFO [00001477] Port=1 RD @00 + 1476.50ns INFO [00001478] * RD COMPARE * port=0 adr=04 act=1EC0C4FC5225C1646C exp=1EC0C4FC5225C1646C + 1476.50ns INFO [00001478] * RD COMPARE * port=1 adr=02 act=45C65916F3F6AAB35B exp=45C65916F3F6AAB35B + 1476.50ns INFO [00001478] Port=0 WR @05=8E1B0911FCB174B982 + 1476.50ns INFO [00001478] Port=0 RD @06 + 1477.50ns INFO [00001479] * RD COMPARE * port=0 adr=01 act=F51B5491B6CC284108 exp=F51B5491B6CC284108 + 1477.50ns INFO [00001479] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94 + 1477.50ns INFO [00001479] Port=0 WR @06=C96B4FE17954A7B18E + 1478.50ns INFO [00001480] * RD COMPARE * port=0 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489 + 1478.50ns INFO [00001480] Port=0 WR @06=FB3AAC64C3DBD98789 + 1478.50ns INFO [00001480] Port=0 RD @03 + 1480.50ns INFO [00001482] * RD COMPARE * port=0 adr=03 act=6C9F9BB011B570A329 exp=6C9F9BB011B570A329 + 1481.50ns INFO [00001483] Port=0 RD @07 + 1482.50ns INFO [00001484] Port=0 WR @05=66C876B13127A7485F + 1482.50ns INFO [00001484] Port=0 RD @00 + 1483.50ns INFO [00001485] * RD COMPARE * port=0 adr=07 act=C2DBB557F77C19982F exp=C2DBB557F77C19982F + 1483.50ns INFO [00001485] Port=0 WR @04=5C050B24012E09B6FB + 1483.50ns INFO [00001485] Port=0 RD @05 + 1483.50ns INFO [00001485] Port=1 RD @05 + 1484.50ns INFO [00001486] * RD COMPARE * port=0 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94 + 1484.50ns INFO [00001486] Port=1 RD @07 + 1485.50ns INFO [00001487] * RD COMPARE * port=0 adr=05 act=66C876B13127A7485F exp=66C876B13127A7485F + 1485.50ns INFO [00001487] * RD COMPARE * port=1 adr=05 act=66C876B13127A7485F exp=66C876B13127A7485F + 1485.50ns INFO [00001487] Port=0 RD @06 + 1486.50ns INFO [00001488] * RD COMPARE * port=1 adr=07 act=C2DBB557F77C19982F exp=C2DBB557F77C19982F + 1487.50ns INFO [00001489] * RD COMPARE * port=0 adr=06 act=FB3AAC64C3DBD98789 exp=FB3AAC64C3DBD98789 + 1487.50ns INFO [00001489] Port=0 WR @02=8265898D8F99D0E8A0 + 1487.50ns INFO [00001489] Port=1 RD @05 + 1488.50ns INFO [00001490] Port=0 WR @04=2956E63DF52D45EE3E + 1489.50ns INFO [00001491] * RD COMPARE * port=1 adr=05 act=66C876B13127A7485F exp=66C876B13127A7485F + 1490.50ns INFO [00001492] Port=0 WR @07=751F3BEF86AC5B5A46 + 1490.50ns INFO [00001492] Port=1 RD @06 + 1492.50ns INFO [00001494] * RD COMPARE * port=1 adr=06 act=FB3AAC64C3DBD98789 exp=FB3AAC64C3DBD98789 + 1492.50ns INFO [00001494] Port=0 RD @01 + 1492.50ns INFO [00001494] Port=1 RD @01 + 1493.50ns INFO [00001495] Port=0 WR @06=EC56120F057AB6B739 + 1493.50ns INFO [00001495] Port=1 RD @07 + 1494.50ns INFO [00001496] * RD COMPARE * port=0 adr=01 act=F51B5491B6CC284108 exp=F51B5491B6CC284108 + 1494.50ns INFO [00001496] * RD COMPARE * port=1 adr=01 act=F51B5491B6CC284108 exp=F51B5491B6CC284108 + 1494.50ns INFO [00001496] Port=0 WR @04=271985C5F6B36053B0 + 1494.50ns INFO [00001496] Port=1 RD @01 + 1495.50ns INFO [00001497] * RD COMPARE * port=1 adr=07 act=751F3BEF86AC5B5A46 exp=751F3BEF86AC5B5A46 + 1496.50ns INFO [00001498] * RD COMPARE * port=1 adr=01 act=F51B5491B6CC284108 exp=F51B5491B6CC284108 + 1497.50ns INFO [00001499] Port=0 WR @01=F5A0A6E1D005C90963 + 1497.50ns INFO [00001499] Port=0 RD @06 + 1497.50ns INFO [00001499] Port=1 RD @05 + 1498.00ns INFO [00001500] [00001500] ...tick... + 1498.50ns INFO [00001500] Port=0 RD @07 + 1499.50ns INFO [00001501] * RD COMPARE * port=0 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739 + 1499.50ns INFO [00001501] * RD COMPARE * port=1 adr=05 act=66C876B13127A7485F exp=66C876B13127A7485F + 1499.50ns INFO [00001501] Port=0 WR @01=914D5E852EE803812E + 1499.50ns INFO [00001501] Port=0 RD @07 + 1499.50ns INFO [00001501] Port=1 RD @06 + 1500.50ns INFO [00001502] * RD COMPARE * port=0 adr=07 act=751F3BEF86AC5B5A46 exp=751F3BEF86AC5B5A46 + 1500.50ns INFO [00001502] Port=1 RD @06 + 1501.50ns INFO [00001503] * RD COMPARE * port=0 adr=07 act=751F3BEF86AC5B5A46 exp=751F3BEF86AC5B5A46 + 1501.50ns INFO [00001503] * RD COMPARE * port=1 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739 + 1501.50ns INFO [00001503] Port=0 RD @02 + 1502.50ns INFO [00001504] * RD COMPARE * port=1 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739 + 1503.50ns INFO [00001505] * RD COMPARE * port=0 adr=02 act=8265898D8F99D0E8A0 exp=8265898D8F99D0E8A0 + 1504.50ns INFO [00001506] Port=0 WR @03=4D3BC51DF34453E94A + 1504.50ns INFO [00001506] Port=0 RD @00 + 1506.50ns INFO [00001508] * RD COMPARE * port=0 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94 + 1507.50ns INFO [00001509] Port=0 WR @05=AAD28244E4E5385182 + 1507.50ns INFO [00001509] Port=0 RD @07 + 1508.50ns INFO [00001510] Port=0 WR @03=E22A3F1CB7D3608E33 + 1508.50ns INFO [00001510] Port=0 RD @04 + 1508.50ns INFO [00001510] Port=1 RD @00 + 1509.50ns INFO [00001511] * RD COMPARE * port=0 adr=07 act=751F3BEF86AC5B5A46 exp=751F3BEF86AC5B5A46 + 1509.50ns INFO [00001511] Port=0 RD @00 + 1509.50ns INFO [00001511] Port=1 RD @01 + 1510.50ns INFO [00001512] * RD COMPARE * port=0 adr=04 act=271985C5F6B36053B0 exp=271985C5F6B36053B0 + 1510.50ns INFO [00001512] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94 + 1510.50ns INFO [00001512] Port=0 WR @02=D7DC78AE27C4EED361 + 1510.50ns INFO [00001512] Port=0 RD @04 + 1511.50ns INFO [00001513] * RD COMPARE * port=0 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94 + 1511.50ns INFO [00001513] * RD COMPARE * port=1 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E + 1511.50ns INFO [00001513] Port=0 WR @05=2B20EEFA691459623B + 1511.50ns INFO [00001513] Port=0 RD @03 + 1512.50ns INFO [00001514] * RD COMPARE * port=0 adr=04 act=271985C5F6B36053B0 exp=271985C5F6B36053B0 + 1512.50ns INFO [00001514] Port=0 RD @01 + 1513.50ns INFO [00001515] * RD COMPARE * port=0 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33 + 1513.50ns INFO [00001515] Port=0 WR @00=EF0DA128A71F16CE9C + 1514.50ns INFO [00001516] * RD COMPARE * port=0 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E + 1514.50ns INFO [00001516] Port=0 RD @00 + 1515.50ns INFO [00001517] Port=0 RD @03 + 1515.50ns INFO [00001517] Port=1 RD @07 + 1516.50ns INFO [00001518] * RD COMPARE * port=0 adr=00 act=EF0DA128A71F16CE9C exp=EF0DA128A71F16CE9C + 1517.50ns INFO [00001519] * RD COMPARE * port=0 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33 + 1517.50ns INFO [00001519] * RD COMPARE * port=1 adr=07 act=751F3BEF86AC5B5A46 exp=751F3BEF86AC5B5A46 + 1517.50ns INFO [00001519] Port=0 WR @07=99B2637FE3174A6ABA + 1517.50ns INFO [00001519] Port=0 RD @01 + 1518.50ns INFO [00001520] Port=1 RD @05 + 1519.50ns INFO [00001521] * RD COMPARE * port=0 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E + 1519.50ns INFO [00001521] Port=0 RD @01 + 1520.50ns INFO [00001522] * RD COMPARE * port=1 adr=05 act=2B20EEFA691459623B exp=2B20EEFA691459623B + 1520.50ns INFO [00001522] Port=0 RD @04 + 1521.50ns INFO [00001523] * RD COMPARE * port=0 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E + 1521.50ns INFO [00001523] Port=0 RD @07 + 1522.50ns INFO [00001524] * RD COMPARE * port=0 adr=04 act=271985C5F6B36053B0 exp=271985C5F6B36053B0 + 1522.50ns INFO [00001524] Port=0 RD @05 + 1523.50ns INFO [00001525] * RD COMPARE * port=0 adr=07 act=99B2637FE3174A6ABA exp=99B2637FE3174A6ABA + 1523.50ns INFO [00001525] Port=0 RD @04 + 1524.50ns INFO [00001526] * RD COMPARE * port=0 adr=05 act=2B20EEFA691459623B exp=2B20EEFA691459623B + 1524.50ns INFO [00001526] Port=0 WR @00=F13AEE1985C4F17BA3 + 1524.50ns INFO [00001526] Port=1 RD @02 + 1525.50ns INFO [00001527] * RD COMPARE * port=0 adr=04 act=271985C5F6B36053B0 exp=271985C5F6B36053B0 + 1526.50ns INFO [00001528] * RD COMPARE * port=1 adr=02 act=D7DC78AE27C4EED361 exp=D7DC78AE27C4EED361 + 1527.50ns INFO [00001529] Port=0 WR @04=CE0D0E9BB09F520758 + 1527.50ns INFO [00001529] Port=0 RD @06 + 1527.50ns INFO [00001529] Port=1 RD @03 + 1528.50ns INFO [00001530] Port=0 RD @03 + 1529.50ns INFO [00001531] * RD COMPARE * port=0 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739 + 1529.50ns INFO [00001531] * RD COMPARE * port=1 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33 + 1529.50ns INFO [00001531] Port=1 RD @03 + 1530.50ns INFO [00001532] * RD COMPARE * port=0 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33 + 1530.50ns INFO [00001532] Port=1 RD @00 + 1531.50ns INFO [00001533] * RD COMPARE * port=1 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33 + 1531.50ns INFO [00001533] Port=1 RD @03 + 1532.50ns INFO [00001534] * RD COMPARE * port=1 adr=00 act=F13AEE1985C4F17BA3 exp=F13AEE1985C4F17BA3 + 1533.50ns INFO [00001535] * RD COMPARE * port=1 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33 + 1533.50ns INFO [00001535] Port=0 WR @05=47A0F1025E4231C9C3 + 1534.50ns INFO [00001536] Port=0 WR @07=EFCFD828C0106BF30D + 1534.50ns INFO [00001536] Port=1 RD @01 + 1535.50ns INFO [00001537] Port=0 RD @07 + 1535.50ns INFO [00001537] Port=1 RD @01 + 1536.50ns INFO [00001538] * RD COMPARE * port=1 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E + 1536.50ns INFO [00001538] Port=0 WR @01=5DDB80755546F2CC73 + 1537.50ns INFO [00001539] * RD COMPARE * port=0 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D + 1537.50ns INFO [00001539] * RD COMPARE * port=1 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E + 1537.50ns INFO [00001539] Port=0 RD @07 + 1538.50ns INFO [00001540] Port=0 RD @00 + 1538.50ns INFO [00001540] Port=1 RD @04 + 1539.50ns INFO [00001541] * RD COMPARE * port=0 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D + 1539.50ns INFO [00001541] Port=0 WR @02=069D13BACF39A0170C + 1540.50ns INFO [00001542] * RD COMPARE * port=0 adr=00 act=F13AEE1985C4F17BA3 exp=F13AEE1985C4F17BA3 + 1540.50ns INFO [00001542] * RD COMPARE * port=1 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758 + 1540.50ns INFO [00001542] Port=0 WR @00=4AABF792CBBF7E93BD + 1540.50ns INFO [00001542] Port=0 RD @01 + 1542.50ns INFO [00001544] * RD COMPARE * port=0 adr=01 act=5DDB80755546F2CC73 exp=5DDB80755546F2CC73 + 1543.50ns INFO [00001545] Port=1 RD @05 + 1544.50ns INFO [00001546] Port=0 WR @02=634B403C44B28BB687 + 1544.50ns INFO [00001546] Port=0 RD @04 + 1544.50ns INFO [00001546] Port=1 RD @07 + 1545.50ns INFO [00001547] * RD COMPARE * port=1 adr=05 act=47A0F1025E4231C9C3 exp=47A0F1025E4231C9C3 + 1546.50ns INFO [00001548] * RD COMPARE * port=0 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758 + 1546.50ns INFO [00001548] * RD COMPARE * port=1 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D + 1546.50ns INFO [00001548] Port=0 RD @07 + 1546.50ns INFO [00001548] Port=1 RD @05 + 1547.50ns INFO [00001549] Port=1 RD @06 + 1548.50ns INFO [00001550] * RD COMPARE * port=0 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D + 1548.50ns INFO [00001550] * RD COMPARE * port=1 adr=05 act=47A0F1025E4231C9C3 exp=47A0F1025E4231C9C3 + 1548.50ns INFO [00001550] Port=0 WR @00=D8B28319F44A56F2FA + 1549.50ns INFO [00001551] * RD COMPARE * port=1 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739 + 1549.50ns INFO [00001551] Port=0 WR @00=445780DE3AE9C849E1 + 1550.50ns INFO [00001552] Port=0 RD @06 + 1551.50ns INFO [00001553] Port=0 WR @05=7DBC61D40264912C09 + 1551.50ns INFO [00001553] Port=0 RD @03 + 1551.50ns INFO [00001553] Port=1 RD @02 + 1552.50ns INFO [00001554] * RD COMPARE * port=0 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739 + 1552.50ns INFO [00001554] Port=1 RD @01 + 1553.50ns INFO [00001555] * RD COMPARE * port=0 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33 + 1553.50ns INFO [00001555] * RD COMPARE * port=1 adr=02 act=634B403C44B28BB687 exp=634B403C44B28BB687 + 1553.50ns INFO [00001555] Port=0 RD @06 + 1553.50ns INFO [00001555] Port=1 RD @04 + 1554.50ns INFO [00001556] * RD COMPARE * port=1 adr=01 act=5DDB80755546F2CC73 exp=5DDB80755546F2CC73 + 1554.50ns INFO [00001556] Port=0 WR @06=0CD51CB6F1018D1ED3 + 1555.50ns INFO [00001557] * RD COMPARE * port=0 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739 + 1555.50ns INFO [00001557] * RD COMPARE * port=1 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758 + 1556.50ns INFO [00001558] Port=1 RD @05 + 1557.50ns INFO [00001559] Port=0 RD @06 + 1558.50ns INFO [00001560] * RD COMPARE * port=1 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09 + 1558.50ns INFO [00001560] Port=1 RD @04 + 1559.50ns INFO [00001561] * RD COMPARE * port=0 adr=06 act=0CD51CB6F1018D1ED3 exp=0CD51CB6F1018D1ED3 + 1560.50ns INFO [00001562] * RD COMPARE * port=1 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758 + 1562.50ns INFO [00001564] Port=1 RD @01 + 1564.50ns INFO [00001566] * RD COMPARE * port=1 adr=01 act=5DDB80755546F2CC73 exp=5DDB80755546F2CC73 + 1564.50ns INFO [00001566] Port=0 RD @04 + 1564.50ns INFO [00001566] Port=1 RD @05 + 1565.50ns INFO [00001567] Port=0 WR @02=5E727043917D35DC4D + 1565.50ns INFO [00001567] Port=0 RD @00 + 1566.50ns INFO [00001568] * RD COMPARE * port=0 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758 + 1566.50ns INFO [00001568] * RD COMPARE * port=1 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09 + 1566.50ns INFO [00001568] Port=0 RD @01 + 1566.50ns INFO [00001568] Port=1 RD @07 + 1567.50ns INFO [00001569] * RD COMPARE * port=0 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1 + 1567.50ns INFO [00001569] Port=0 WR @01=206BBCB4C120BAF660 + 1567.50ns INFO [00001569] Port=0 RD @04 + 1567.50ns INFO [00001569] Port=1 RD @07 + 1568.50ns INFO [00001570] * RD COMPARE * port=0 adr=01 act=5DDB80755546F2CC73 exp=5DDB80755546F2CC73 + 1568.50ns INFO [00001570] * RD COMPARE * port=1 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D + 1568.50ns INFO [00001570] Port=0 WR @06=9B5B55BEEFF71292EE + 1569.50ns INFO [00001571] * RD COMPARE * port=0 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758 + 1569.50ns INFO [00001571] * RD COMPARE * port=1 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D + 1569.50ns INFO [00001571] Port=0 RD @02 + 1570.50ns INFO [00001572] Port=1 RD @01 + 1571.50ns INFO [00001573] * RD COMPARE * port=0 adr=02 act=5E727043917D35DC4D exp=5E727043917D35DC4D + 1571.50ns INFO [00001573] Port=0 WR @04=D0D8C2EBB05DC6B860 + 1571.50ns INFO [00001573] Port=1 RD @02 + 1572.50ns INFO [00001574] * RD COMPARE * port=1 adr=01 act=206BBCB4C120BAF660 exp=206BBCB4C120BAF660 + 1573.50ns INFO [00001575] * RD COMPARE * port=1 adr=02 act=5E727043917D35DC4D exp=5E727043917D35DC4D + 1574.50ns INFO [00001576] Port=0 WR @02=8D5357C8FAF984ABD9 + 1574.50ns INFO [00001576] Port=0 RD @01 + 1575.50ns INFO [00001577] Port=0 WR @04=77610ABD64EBFE706C + 1575.50ns INFO [00001577] Port=1 RD @00 + 1576.50ns INFO [00001578] * RD COMPARE * port=0 adr=01 act=206BBCB4C120BAF660 exp=206BBCB4C120BAF660 + 1577.50ns INFO [00001579] * RD COMPARE * port=1 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1 + 1577.50ns INFO [00001579] Port=0 WR @02=7C58F6505830906E79 + 1577.50ns INFO [00001579] Port=0 RD @01 + 1577.50ns INFO [00001579] Port=1 RD @07 + 1578.50ns INFO [00001580] Port=0 RD @00 + 1579.50ns INFO [00001581] * RD COMPARE * port=0 adr=01 act=206BBCB4C120BAF660 exp=206BBCB4C120BAF660 + 1579.50ns INFO [00001581] * RD COMPARE * port=1 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D + 1580.50ns INFO [00001582] * RD COMPARE * port=0 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1 + 1580.50ns INFO [00001582] Port=0 WR @07=58E46DEA772817F9B3 + 1580.50ns INFO [00001582] Port=0 RD @05 + 1581.50ns INFO [00001583] Port=0 WR @03=5C2684B85FBD67E754 + 1582.50ns INFO [00001584] * RD COMPARE * port=0 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09 + 1583.50ns INFO [00001585] Port=1 RD @04 + 1584.50ns INFO [00001586] Port=0 RD @01 + 1585.50ns INFO [00001587] * RD COMPARE * port=1 adr=04 act=77610ABD64EBFE706C exp=77610ABD64EBFE706C + 1585.50ns INFO [00001587] Port=1 RD @05 + 1586.50ns INFO [00001588] * RD COMPARE * port=0 adr=01 act=206BBCB4C120BAF660 exp=206BBCB4C120BAF660 + 1586.50ns INFO [00001588] Port=0 WR @04=886C463FF367FE1C6F + 1586.50ns INFO [00001588] Port=0 RD @01 + 1587.50ns INFO [00001589] * RD COMPARE * port=1 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09 + 1587.50ns INFO [00001589] Port=0 WR @02=4019AA77F16E9CE4B6 + 1588.50ns INFO [00001590] * RD COMPARE * port=0 adr=01 act=206BBCB4C120BAF660 exp=206BBCB4C120BAF660 + 1588.50ns INFO [00001590] Port=0 WR @07=62449925C32EB748D9 + 1588.50ns INFO [00001590] Port=0 RD @03 + 1588.50ns INFO [00001590] Port=1 RD @00 + 1589.50ns INFO [00001591] Port=0 RD @05 + 1590.50ns INFO [00001592] * RD COMPARE * port=0 adr=03 act=5C2684B85FBD67E754 exp=5C2684B85FBD67E754 + 1590.50ns INFO [00001592] * RD COMPARE * port=1 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1 + 1591.50ns INFO [00001593] * RD COMPARE * port=0 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09 + 1591.50ns INFO [00001593] Port=1 RD @02 + 1592.50ns INFO [00001594] Port=0 WR @01=E7B4483D9B66349FC7 + 1592.50ns INFO [00001594] Port=1 RD @00 + 1593.50ns INFO [00001595] * RD COMPARE * port=1 adr=02 act=4019AA77F16E9CE4B6 exp=4019AA77F16E9CE4B6 + 1594.50ns INFO [00001596] * RD COMPARE * port=1 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1 + 1594.50ns INFO [00001596] Port=0 WR @07=BE8BEB6BD9291854FF + 1594.50ns INFO [00001596] Port=0 RD @03 + 1596.50ns INFO [00001598] * RD COMPARE * port=0 adr=03 act=5C2684B85FBD67E754 exp=5C2684B85FBD67E754 + 1596.50ns INFO [00001598] Port=0 WR @02=FE8F71EC6997D78EDB + 1597.50ns INFO [00001599] Port=0 RD @01 + 1597.50ns INFO [00001599] Port=1 RD @07 + 1598.00ns INFO [00001600] [00001600] ...tick... + 1598.50ns INFO [00001600] Port=0 WR @02=9053A4B180DAB23F46 + 1599.50ns INFO [00001601] * RD COMPARE * port=0 adr=01 act=E7B4483D9B66349FC7 exp=E7B4483D9B66349FC7 + 1599.50ns INFO [00001601] * RD COMPARE * port=1 adr=07 act=BE8BEB6BD9291854FF exp=BE8BEB6BD9291854FF + 1599.50ns INFO [00001601] Port=0 RD @00 + 1599.50ns INFO [00001601] Port=1 RD @03 + 1600.50ns INFO [00001602] Port=0 RD @03 + 1600.50ns INFO [00001602] Port=1 RD @04 + 1601.50ns INFO [00001603] * RD COMPARE * port=0 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1 + 1601.50ns INFO [00001603] * RD COMPARE * port=1 adr=03 act=5C2684B85FBD67E754 exp=5C2684B85FBD67E754 + 1601.50ns INFO [00001603] Port=0 RD @04 + 1601.50ns INFO [00001603] Port=1 RD @03 + 1602.50ns INFO [00001604] * RD COMPARE * port=0 adr=03 act=5C2684B85FBD67E754 exp=5C2684B85FBD67E754 + 1602.50ns INFO [00001604] * RD COMPARE * port=1 adr=04 act=886C463FF367FE1C6F exp=886C463FF367FE1C6F + 1603.50ns INFO [00001605] * RD COMPARE * port=0 adr=04 act=886C463FF367FE1C6F exp=886C463FF367FE1C6F + 1603.50ns INFO [00001605] * RD COMPARE * port=1 adr=03 act=5C2684B85FBD67E754 exp=5C2684B85FBD67E754 + 1603.50ns INFO [00001605] Port=0 RD @05 + 1604.50ns INFO [00001606] Port=0 RD @06 + 1605.50ns INFO [00001607] * RD COMPARE * port=0 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09 + 1605.50ns INFO [00001607] Port=0 WR @04=2D8796C5C34060A052 + 1606.50ns INFO [00001608] * RD COMPARE * port=0 adr=06 act=9B5B55BEEFF71292EE exp=9B5B55BEEFF71292EE + 1606.50ns INFO [00001608] Port=0 WR @00=D4A8FF72F5FBD9B2C3 + 1607.50ns INFO [00001609] Port=0 WR @05=9D2BFCFC7F0CB92DA2 + 1607.50ns INFO [00001609] Port=0 RD @01 + 1609.50ns INFO [00001611] * RD COMPARE * port=0 adr=01 act=E7B4483D9B66349FC7 exp=E7B4483D9B66349FC7 + 1609.50ns INFO [00001611] Port=0 WR @01=726A16D6AD0A543FB2 + 1609.50ns INFO [00001611] Port=0 RD @05 + 1609.50ns INFO [00001611] Port=1 RD @05 + 1611.50ns INFO [00001613] * RD COMPARE * port=0 adr=05 act=9D2BFCFC7F0CB92DA2 exp=9D2BFCFC7F0CB92DA2 + 1611.50ns INFO [00001613] * RD COMPARE * port=1 adr=05 act=9D2BFCFC7F0CB92DA2 exp=9D2BFCFC7F0CB92DA2 + 1611.50ns INFO [00001613] Port=0 WR @07=5023BFE7C83DF8EB76 + 1612.50ns INFO [00001614] Port=0 WR @00=9D246B6CA3A3294BEE + 1612.50ns INFO [00001614] Port=0 RD @07 + 1614.50ns INFO [00001616] * RD COMPARE * port=0 adr=07 act=5023BFE7C83DF8EB76 exp=5023BFE7C83DF8EB76 + 1614.50ns INFO [00001616] Port=0 RD @07 + 1615.50ns INFO [00001617] Port=0 WR @06=086EE77E378F211A41 + 1615.50ns INFO [00001617] Port=1 RD @02 + 1616.50ns INFO [00001618] * RD COMPARE * port=0 adr=07 act=5023BFE7C83DF8EB76 exp=5023BFE7C83DF8EB76 + 1616.50ns INFO [00001618] Port=0 WR @00=A0AD90ED03B68CD0DC + 1617.50ns INFO [00001619] * RD COMPARE * port=1 adr=02 act=9053A4B180DAB23F46 exp=9053A4B180DAB23F46 + 1617.50ns INFO [00001619] Port=1 RD @02 + 1619.50ns INFO [00001621] * RD COMPARE * port=1 adr=02 act=9053A4B180DAB23F46 exp=9053A4B180DAB23F46 + 1619.50ns INFO [00001621] Port=0 WR @06=4DAA113D50F56C7A3E + 1620.50ns INFO [00001622] Port=0 WR @00=61395509C5342CB27D + 1620.50ns INFO [00001622] Port=0 RD @06 + 1621.50ns INFO [00001623] Port=1 RD @06 + 1622.50ns INFO [00001624] * RD COMPARE * port=0 adr=06 act=4DAA113D50F56C7A3E exp=4DAA113D50F56C7A3E + 1622.50ns INFO [00001624] Port=0 WR @05=F9CBFB908469A7A548 + 1623.50ns INFO [00001625] * RD COMPARE * port=1 adr=06 act=4DAA113D50F56C7A3E exp=4DAA113D50F56C7A3E + 1623.50ns INFO [00001625] Port=0 WR @02=1D5E0E0493B05FCE82 + 1623.50ns INFO [00001625] Port=0 RD @04 + 1624.50ns INFO [00001626] Port=0 WR @03=2BBAEF350D5340F521 + 1624.50ns INFO [00001626] Port=0 RD @06 + 1624.50ns INFO [00001626] Port=1 RD @05 + 1625.50ns INFO [00001627] * RD COMPARE * port=0 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052 + 1625.50ns INFO [00001627] Port=0 RD @02 + 1626.50ns INFO [00001628] * RD COMPARE * port=0 adr=06 act=4DAA113D50F56C7A3E exp=4DAA113D50F56C7A3E + 1626.50ns INFO [00001628] * RD COMPARE * port=1 adr=05 act=F9CBFB908469A7A548 exp=F9CBFB908469A7A548 + 1626.50ns INFO [00001628] Port=1 RD @06 + 1627.50ns INFO [00001629] * RD COMPARE * port=0 adr=02 act=1D5E0E0493B05FCE82 exp=1D5E0E0493B05FCE82 + 1627.50ns INFO [00001629] Port=0 RD @04 + 1628.50ns INFO [00001630] * RD COMPARE * port=1 adr=06 act=4DAA113D50F56C7A3E exp=4DAA113D50F56C7A3E + 1628.50ns INFO [00001630] Port=0 RD @01 + 1629.50ns INFO [00001631] * RD COMPARE * port=0 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052 + 1629.50ns INFO [00001631] Port=0 WR @03=08E9D1DBC252A9C2A0 + 1629.50ns INFO [00001631] Port=0 RD @07 + 1630.50ns INFO [00001632] * RD COMPARE * port=0 adr=01 act=726A16D6AD0A543FB2 exp=726A16D6AD0A543FB2 + 1630.50ns INFO [00001632] Port=0 WR @03=61F106CE36F1BBB058 + 1631.50ns INFO [00001633] * RD COMPARE * port=0 adr=07 act=5023BFE7C83DF8EB76 exp=5023BFE7C83DF8EB76 + 1631.50ns INFO [00001633] Port=0 WR @01=FEA16738C52C8C26E7 + 1631.50ns INFO [00001633] Port=1 RD @07 + 1633.50ns INFO [00001635] * RD COMPARE * port=1 adr=07 act=5023BFE7C83DF8EB76 exp=5023BFE7C83DF8EB76 + 1633.50ns INFO [00001635] Port=0 WR @06=394C2605C78F484BD1 + 1633.50ns INFO [00001635] Port=1 RD @07 + 1635.50ns INFO [00001637] * RD COMPARE * port=1 adr=07 act=5023BFE7C83DF8EB76 exp=5023BFE7C83DF8EB76 + 1635.50ns INFO [00001637] Port=0 WR @03=C220F4B921230811D4 + 1635.50ns INFO [00001637] Port=1 RD @05 + 1636.50ns INFO [00001638] Port=0 RD @06 + 1637.50ns INFO [00001639] * RD COMPARE * port=1 adr=05 act=F9CBFB908469A7A548 exp=F9CBFB908469A7A548 + 1637.50ns INFO [00001639] Port=0 RD @04 + 1638.50ns INFO [00001640] * RD COMPARE * port=0 adr=06 act=394C2605C78F484BD1 exp=394C2605C78F484BD1 + 1638.50ns INFO [00001640] Port=0 WR @05=311674209284486216 + 1638.50ns INFO [00001640] Port=0 RD @01 + 1639.50ns INFO [00001641] * RD COMPARE * port=0 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052 + 1639.50ns INFO [00001641] Port=0 RD @04 + 1640.50ns INFO [00001642] * RD COMPARE * port=0 adr=01 act=FEA16738C52C8C26E7 exp=FEA16738C52C8C26E7 + 1641.50ns INFO [00001643] * RD COMPARE * port=0 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052 + 1641.50ns INFO [00001643] Port=0 WR @06=319FB53A1B6C5CFA7A + 1642.50ns INFO [00001644] Port=0 RD @05 + 1643.50ns INFO [00001645] Port=0 RD @02 + 1644.50ns INFO [00001646] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216 + 1645.50ns INFO [00001647] * RD COMPARE * port=0 adr=02 act=1D5E0E0493B05FCE82 exp=1D5E0E0493B05FCE82 + 1647.50ns INFO [00001649] Port=0 RD @04 + 1647.50ns INFO [00001649] Port=1 RD @03 + 1649.50ns INFO [00001651] * RD COMPARE * port=0 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052 + 1649.50ns INFO [00001651] * RD COMPARE * port=1 adr=03 act=C220F4B921230811D4 exp=C220F4B921230811D4 + 1650.50ns INFO [00001652] Port=0 WR @00=CB3B8169A021BD7578 + 1650.50ns INFO [00001652] Port=1 RD @01 + 1652.50ns INFO [00001654] * RD COMPARE * port=1 adr=01 act=FEA16738C52C8C26E7 exp=FEA16738C52C8C26E7 + 1652.50ns INFO [00001654] Port=1 RD @00 + 1653.50ns INFO [00001655] Port=1 RD @04 + 1654.50ns INFO [00001656] * RD COMPARE * port=1 adr=00 act=CB3B8169A021BD7578 exp=CB3B8169A021BD7578 + 1655.50ns INFO [00001657] * RD COMPARE * port=1 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052 + 1655.50ns INFO [00001657] Port=0 WR @07=3A08E89D0F5B44E70A + 1656.50ns INFO [00001658] Port=0 WR @07=7A05EA71A03CBF7853 + 1656.50ns INFO [00001658] Port=0 RD @02 + 1657.50ns INFO [00001659] Port=0 WR @04=46FE6640457F0568BD + 1657.50ns INFO [00001659] Port=1 RD @02 + 1658.50ns INFO [00001660] * RD COMPARE * port=0 adr=02 act=1D5E0E0493B05FCE82 exp=1D5E0E0493B05FCE82 + 1658.50ns INFO [00001660] Port=1 RD @01 + 1659.50ns INFO [00001661] * RD COMPARE * port=1 adr=02 act=1D5E0E0493B05FCE82 exp=1D5E0E0493B05FCE82 + 1659.50ns INFO [00001661] Port=0 WR @00=ACC4FDC17E4E0141D8 + 1659.50ns INFO [00001661] Port=0 RD @01 + 1659.50ns INFO [00001661] Port=1 RD @01 + 1660.50ns INFO [00001662] * RD COMPARE * port=1 adr=01 act=FEA16738C52C8C26E7 exp=FEA16738C52C8C26E7 + 1660.50ns INFO [00001662] Port=0 WR @04=561CFB0A01D504CC57 + 1660.50ns INFO [00001662] Port=0 RD @07 + 1661.50ns INFO [00001663] * RD COMPARE * port=0 adr=01 act=FEA16738C52C8C26E7 exp=FEA16738C52C8C26E7 + 1661.50ns INFO [00001663] * RD COMPARE * port=1 adr=01 act=FEA16738C52C8C26E7 exp=FEA16738C52C8C26E7 + 1661.50ns INFO [00001663] Port=0 WR @01=6E6DD92960D8515929 + 1662.50ns INFO [00001664] * RD COMPARE * port=0 adr=07 act=7A05EA71A03CBF7853 exp=7A05EA71A03CBF7853 + 1663.50ns INFO [00001665] Port=0 WR @07=B26D28C0C8A6DDFF6C + 1663.50ns INFO [00001665] Port=1 RD @04 + 1664.50ns INFO [00001666] Port=0 WR @02=674170D1E5FEE9F1C7 + 1664.50ns INFO [00001666] Port=0 RD @06 + 1665.50ns INFO [00001667] * RD COMPARE * port=1 adr=04 act=561CFB0A01D504CC57 exp=561CFB0A01D504CC57 + 1665.50ns INFO [00001667] Port=1 RD @04 + 1666.50ns INFO [00001668] * RD COMPARE * port=0 adr=06 act=319FB53A1B6C5CFA7A exp=319FB53A1B6C5CFA7A + 1666.50ns INFO [00001668] Port=0 WR @03=777651A58BA922AFA3 + 1666.50ns INFO [00001668] Port=0 RD @05 + 1666.50ns INFO [00001668] Port=1 RD @02 + 1667.50ns INFO [00001669] * RD COMPARE * port=1 adr=04 act=561CFB0A01D504CC57 exp=561CFB0A01D504CC57 + 1668.50ns INFO [00001670] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216 + 1668.50ns INFO [00001670] * RD COMPARE * port=1 adr=02 act=674170D1E5FEE9F1C7 exp=674170D1E5FEE9F1C7 + 1668.50ns INFO [00001670] Port=0 WR @07=12D0A7FF0990C996D3 + 1668.50ns INFO [00001670] Port=1 RD @01 + 1669.50ns INFO [00001671] Port=0 RD @04 + 1670.50ns INFO [00001672] * RD COMPARE * port=1 adr=01 act=6E6DD92960D8515929 exp=6E6DD92960D8515929 + 1670.50ns INFO [00001672] Port=0 RD @05 + 1671.50ns INFO [00001673] * RD COMPARE * port=0 adr=04 act=561CFB0A01D504CC57 exp=561CFB0A01D504CC57 + 1672.50ns INFO [00001674] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216 + 1672.50ns INFO [00001674] Port=1 RD @05 + 1673.50ns INFO [00001675] Port=0 RD @07 + 1674.50ns INFO [00001676] * RD COMPARE * port=1 adr=05 act=311674209284486216 exp=311674209284486216 + 1674.50ns INFO [00001676] Port=0 WR @00=7269DE791E3BBA61DF + 1675.50ns INFO [00001677] * RD COMPARE * port=0 adr=07 act=12D0A7FF0990C996D3 exp=12D0A7FF0990C996D3 + 1675.50ns INFO [00001677] Port=0 RD @01 + 1675.50ns INFO [00001677] Port=1 RD @05 + 1676.50ns INFO [00001678] Port=0 WR @06=7410CDAA3956293D13 + 1677.50ns INFO [00001679] * RD COMPARE * port=0 adr=01 act=6E6DD92960D8515929 exp=6E6DD92960D8515929 + 1677.50ns INFO [00001679] * RD COMPARE * port=1 adr=05 act=311674209284486216 exp=311674209284486216 + 1677.50ns INFO [00001679] Port=0 WR @02=1C311B63B6ABA8F687 + 1677.50ns INFO [00001679] Port=0 RD @07 + 1677.50ns INFO [00001679] Port=1 RD @06 + 1679.50ns INFO [00001681] * RD COMPARE * port=0 adr=07 act=12D0A7FF0990C996D3 exp=12D0A7FF0990C996D3 + 1679.50ns INFO [00001681] * RD COMPARE * port=1 adr=06 act=7410CDAA3956293D13 exp=7410CDAA3956293D13 + 1679.50ns INFO [00001681] Port=0 WR @00=BF998A4611B16D416C + 1679.50ns INFO [00001681] Port=0 RD @02 + 1679.50ns INFO [00001681] Port=1 RD @06 + 1680.50ns INFO [00001682] Port=0 RD @03 + 1681.50ns INFO [00001683] * RD COMPARE * port=0 adr=02 act=1C311B63B6ABA8F687 exp=1C311B63B6ABA8F687 + 1681.50ns INFO [00001683] * RD COMPARE * port=1 adr=06 act=7410CDAA3956293D13 exp=7410CDAA3956293D13 + 1681.50ns INFO [00001683] Port=0 WR @06=239C8D7C15B698093A + 1681.50ns INFO [00001683] Port=0 RD @01 + 1682.50ns INFO [00001684] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3 + 1682.50ns INFO [00001684] Port=1 RD @00 + 1683.50ns INFO [00001685] * RD COMPARE * port=0 adr=01 act=6E6DD92960D8515929 exp=6E6DD92960D8515929 + 1683.50ns INFO [00001685] Port=0 RD @05 + 1684.50ns INFO [00001686] * RD COMPARE * port=1 adr=00 act=BF998A4611B16D416C exp=BF998A4611B16D416C + 1685.50ns INFO [00001687] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216 + 1685.50ns INFO [00001687] Port=0 WR @00=D67AFFC03DE6CF978A + 1685.50ns INFO [00001687] Port=0 RD @05 + 1686.50ns INFO [00001688] Port=0 WR @04=58BAF6BD104F4308D4 + 1687.50ns INFO [00001689] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216 + 1687.50ns INFO [00001689] Port=0 RD @03 + 1687.50ns INFO [00001689] Port=1 RD @02 + 1688.50ns INFO [00001690] Port=0 WR @01=8D627BC772A003A9A8 + 1689.50ns INFO [00001691] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3 + 1689.50ns INFO [00001691] * RD COMPARE * port=1 adr=02 act=1C311B63B6ABA8F687 exp=1C311B63B6ABA8F687 + 1689.50ns INFO [00001691] Port=0 RD @01 + 1689.50ns INFO [00001691] Port=1 RD @02 + 1690.50ns INFO [00001692] Port=0 RD @03 + 1690.50ns INFO [00001692] Port=1 RD @03 + 1691.50ns INFO [00001693] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1691.50ns INFO [00001693] * RD COMPARE * port=1 adr=02 act=1C311B63B6ABA8F687 exp=1C311B63B6ABA8F687 + 1691.50ns INFO [00001693] Port=0 RD @01 + 1691.50ns INFO [00001693] Port=1 RD @04 + 1692.50ns INFO [00001694] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3 + 1692.50ns INFO [00001694] * RD COMPARE * port=1 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3 + 1692.50ns INFO [00001694] Port=0 WR @06=5F771842B79B1E6137 + 1692.50ns INFO [00001694] Port=0 RD @00 + 1693.50ns INFO [00001695] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1693.50ns INFO [00001695] * RD COMPARE * port=1 adr=04 act=58BAF6BD104F4308D4 exp=58BAF6BD104F4308D4 + 1694.50ns INFO [00001696] * RD COMPARE * port=0 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A + 1694.50ns INFO [00001696] Port=1 RD @07 + 1696.50ns INFO [00001698] * RD COMPARE * port=1 adr=07 act=12D0A7FF0990C996D3 exp=12D0A7FF0990C996D3 + 1697.50ns INFO [00001699] Port=1 RD @06 + 1698.00ns INFO [00001700] [00001700] ...tick... + 1698.50ns INFO [00001700] Port=0 WR @02=E4CC18F7FFC7CBC352 + 1698.50ns INFO [00001700] Port=0 RD @03 + 1698.50ns INFO [00001700] Port=1 RD @05 + 1699.50ns INFO [00001701] * RD COMPARE * port=1 adr=06 act=5F771842B79B1E6137 exp=5F771842B79B1E6137 + 1699.50ns INFO [00001701] Port=1 RD @05 + 1700.50ns INFO [00001702] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3 + 1700.50ns INFO [00001702] * RD COMPARE * port=1 adr=05 act=311674209284486216 exp=311674209284486216 + 1700.50ns INFO [00001702] Port=1 RD @00 + 1701.50ns INFO [00001703] * RD COMPARE * port=1 adr=05 act=311674209284486216 exp=311674209284486216 + 1702.50ns INFO [00001704] * RD COMPARE * port=1 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A + 1702.50ns INFO [00001704] Port=0 WR @02=F206CA19E1CB1E8543 + 1703.50ns INFO [00001705] Port=0 RD @06 + 1704.50ns INFO [00001706] Port=0 WR @06=8721C61CE2172773D4 + 1705.50ns INFO [00001707] * RD COMPARE * port=0 adr=06 act=5F771842B79B1E6137 exp=5F771842B79B1E6137 + 1706.50ns INFO [00001708] Port=0 RD @05 + 1706.50ns INFO [00001708] Port=1 RD @06 + 1707.50ns INFO [00001709] Port=0 RD @06 + 1708.50ns INFO [00001710] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216 + 1708.50ns INFO [00001710] * RD COMPARE * port=1 adr=06 act=8721C61CE2172773D4 exp=8721C61CE2172773D4 + 1708.50ns INFO [00001710] Port=1 RD @01 + 1709.50ns INFO [00001711] * RD COMPARE * port=0 adr=06 act=8721C61CE2172773D4 exp=8721C61CE2172773D4 + 1709.50ns INFO [00001711] Port=0 WR @06=6903FD224B28DDC171 + 1710.50ns INFO [00001712] * RD COMPARE * port=1 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1710.50ns INFO [00001712] Port=1 RD @02 + 1711.50ns INFO [00001713] Port=0 WR @06=D54ADEB51064296B9E + 1711.50ns INFO [00001713] Port=0 RD @04 + 1712.50ns INFO [00001714] * RD COMPARE * port=1 adr=02 act=F206CA19E1CB1E8543 exp=F206CA19E1CB1E8543 + 1712.50ns INFO [00001714] Port=0 WR @07=239E890D1DCDD4B8A4 + 1713.50ns INFO [00001715] * RD COMPARE * port=0 adr=04 act=58BAF6BD104F4308D4 exp=58BAF6BD104F4308D4 + 1713.50ns INFO [00001715] Port=0 WR @06=F5760FE118C80128FE + 1713.50ns INFO [00001715] Port=1 RD @04 + 1714.50ns INFO [00001716] Port=0 RD @00 + 1714.50ns INFO [00001716] Port=1 RD @00 + 1715.50ns INFO [00001717] * RD COMPARE * port=1 adr=04 act=58BAF6BD104F4308D4 exp=58BAF6BD104F4308D4 + 1715.50ns INFO [00001717] Port=0 WR @02=AFB29E932134260FC5 + 1715.50ns INFO [00001717] Port=1 RD @03 + 1716.50ns INFO [00001718] * RD COMPARE * port=0 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A + 1716.50ns INFO [00001718] * RD COMPARE * port=1 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A + 1716.50ns INFO [00001718] Port=0 RD @01 + 1717.50ns INFO [00001719] * RD COMPARE * port=1 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3 + 1717.50ns INFO [00001719] Port=1 RD @04 + 1718.50ns INFO [00001720] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1718.50ns INFO [00001720] Port=0 RD @04 + 1719.50ns INFO [00001721] * RD COMPARE * port=1 adr=04 act=58BAF6BD104F4308D4 exp=58BAF6BD104F4308D4 + 1719.50ns INFO [00001721] Port=0 WR @04=880BE54F68734F9D7B + 1719.50ns INFO [00001721] Port=0 RD @00 + 1720.50ns INFO [00001722] * RD COMPARE * port=0 adr=04 act=58BAF6BD104F4308D4 exp=58BAF6BD104F4308D4 + 1721.50ns INFO [00001723] * RD COMPARE * port=0 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A + 1721.50ns INFO [00001723] Port=0 RD @02 + 1722.50ns INFO [00001724] Port=0 WR @02=C4D15157C44BFA4B09 + 1723.50ns INFO [00001725] * RD COMPARE * port=0 adr=02 act=AFB29E932134260FC5 exp=AFB29E932134260FC5 + 1723.50ns INFO [00001725] Port=0 RD @01 + 1724.50ns INFO [00001726] Port=1 RD @07 + 1725.50ns INFO [00001727] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1725.50ns INFO [00001727] Port=0 WR @07=F07DC34E3A46A1D3D5 + 1726.50ns INFO [00001728] * RD COMPARE * port=1 adr=07 act=239E890D1DCDD4B8A4 exp=239E890D1DCDD4B8A4 + 1726.50ns INFO [00001728] Port=0 RD @04 + 1727.50ns INFO [00001729] Port=0 RD @00 + 1728.50ns INFO [00001730] * RD COMPARE * port=0 adr=04 act=880BE54F68734F9D7B exp=880BE54F68734F9D7B + 1728.50ns INFO [00001730] Port=0 WR @07=22BF8F48C28892DD06 + 1729.50ns INFO [00001731] * RD COMPARE * port=0 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A + 1729.50ns INFO [00001731] Port=0 WR @05=819F7F149FD62974A8 + 1729.50ns INFO [00001731] Port=0 RD @01 + 1731.50ns INFO [00001733] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1731.50ns INFO [00001733] Port=1 RD @05 + 1732.50ns INFO [00001734] Port=0 RD @05 + 1732.50ns INFO [00001734] Port=1 RD @06 + 1733.50ns INFO [00001735] * RD COMPARE * port=1 adr=05 act=819F7F149FD62974A8 exp=819F7F149FD62974A8 + 1733.50ns INFO [00001735] Port=0 RD @04 + 1734.50ns INFO [00001736] * RD COMPARE * port=0 adr=05 act=819F7F149FD62974A8 exp=819F7F149FD62974A8 + 1734.50ns INFO [00001736] * RD COMPARE * port=1 adr=06 act=F5760FE118C80128FE exp=F5760FE118C80128FE + 1734.50ns INFO [00001736] Port=0 RD @07 + 1735.50ns INFO [00001737] * RD COMPARE * port=0 adr=04 act=880BE54F68734F9D7B exp=880BE54F68734F9D7B + 1735.50ns INFO [00001737] Port=1 RD @00 + 1736.50ns INFO [00001738] * RD COMPARE * port=0 adr=07 act=22BF8F48C28892DD06 exp=22BF8F48C28892DD06 + 1736.50ns INFO [00001738] Port=0 WR @00=E7ACC82B3B7B4288EB + 1736.50ns INFO [00001738] Port=0 RD @04 + 1737.50ns INFO [00001739] * RD COMPARE * port=1 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A + 1737.50ns INFO [00001739] Port=0 WR @04=34C322AD5385425A19 + 1738.50ns INFO [00001740] * RD COMPARE * port=0 adr=04 act=880BE54F68734F9D7B exp=880BE54F68734F9D7B + 1738.50ns INFO [00001740] Port=0 WR @05=14BC2E87191DF7F834 + 1739.50ns INFO [00001741] Port=1 RD @02 + 1740.50ns INFO [00001742] Port=0 RD @01 + 1741.50ns INFO [00001743] * RD COMPARE * port=1 adr=02 act=C4D15157C44BFA4B09 exp=C4D15157C44BFA4B09 + 1741.50ns INFO [00001743] Port=1 RD @05 + 1742.50ns INFO [00001744] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1742.50ns INFO [00001744] Port=0 WR @05=CAFB05CE91BDD976D9 + 1742.50ns INFO [00001744] Port=0 RD @07 + 1743.50ns INFO [00001745] * RD COMPARE * port=1 adr=05 act=14BC2E87191DF7F834 exp=14BC2E87191DF7F834 + 1743.50ns INFO [00001745] Port=1 RD @07 + 1744.50ns INFO [00001746] * RD COMPARE * port=0 adr=07 act=22BF8F48C28892DD06 exp=22BF8F48C28892DD06 + 1745.50ns INFO [00001747] * RD COMPARE * port=1 adr=07 act=22BF8F48C28892DD06 exp=22BF8F48C28892DD06 + 1745.50ns INFO [00001747] Port=0 RD @02 + 1746.50ns INFO [00001748] Port=0 WR @07=DF7A34A14D88006ED0 + 1746.50ns INFO [00001748] Port=1 RD @03 + 1747.50ns INFO [00001749] * RD COMPARE * port=0 adr=02 act=C4D15157C44BFA4B09 exp=C4D15157C44BFA4B09 + 1747.50ns INFO [00001749] Port=1 RD @00 + 1748.50ns INFO [00001750] * RD COMPARE * port=1 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3 + 1748.50ns INFO [00001750] Port=0 RD @07 + 1749.50ns INFO [00001751] * RD COMPARE * port=1 adr=00 act=E7ACC82B3B7B4288EB exp=E7ACC82B3B7B4288EB + 1750.50ns INFO [00001752] * RD COMPARE * port=0 adr=07 act=DF7A34A14D88006ED0 exp=DF7A34A14D88006ED0 + 1751.50ns INFO [00001753] Port=0 RD @04 + 1751.50ns INFO [00001753] Port=1 RD @06 + 1752.50ns INFO [00001754] Port=0 RD @01 + 1753.50ns INFO [00001755] * RD COMPARE * port=0 adr=04 act=34C322AD5385425A19 exp=34C322AD5385425A19 + 1753.50ns INFO [00001755] * RD COMPARE * port=1 adr=06 act=F5760FE118C80128FE exp=F5760FE118C80128FE + 1753.50ns INFO [00001755] Port=0 WR @02=A668B6FF1B48C0DC1F + 1753.50ns INFO [00001755] Port=0 RD @05 + 1754.50ns INFO [00001756] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1754.50ns INFO [00001756] Port=0 WR @04=055879ABB5C1918D80 + 1754.50ns INFO [00001756] Port=0 RD @01 + 1755.50ns INFO [00001757] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1755.50ns INFO [00001757] Port=0 WR @00=6D97446FE7AA88AC90 + 1756.50ns INFO [00001758] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1757.50ns INFO [00001759] Port=0 WR @06=3279E5D1F6D28328B3 + 1757.50ns INFO [00001759] Port=0 RD @03 + 1758.50ns INFO [00001760] Port=0 RD @07 + 1759.50ns INFO [00001761] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3 + 1760.50ns INFO [00001762] * RD COMPARE * port=0 adr=07 act=DF7A34A14D88006ED0 exp=DF7A34A14D88006ED0 + 1760.50ns INFO [00001762] Port=1 RD @01 + 1761.50ns INFO [00001763] Port=0 RD @00 + 1762.50ns INFO [00001764] * RD COMPARE * port=1 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1762.50ns INFO [00001764] Port=0 WR @02=276D60E4892D411DCE + 1763.50ns INFO [00001765] * RD COMPARE * port=0 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90 + 1763.50ns INFO [00001765] Port=0 RD @01 + 1765.50ns INFO [00001767] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8 + 1765.50ns INFO [00001767] Port=0 RD @06 + 1766.50ns INFO [00001768] Port=0 RD @05 + 1766.50ns INFO [00001768] Port=1 RD @04 + 1767.50ns INFO [00001769] * RD COMPARE * port=0 adr=06 act=3279E5D1F6D28328B3 exp=3279E5D1F6D28328B3 + 1767.50ns INFO [00001769] Port=0 RD @03 + 1768.50ns INFO [00001770] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1768.50ns INFO [00001770] * RD COMPARE * port=1 adr=04 act=055879ABB5C1918D80 exp=055879ABB5C1918D80 + 1768.50ns INFO [00001770] Port=0 WR @01=EA48689A0962898855 + 1769.50ns INFO [00001771] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3 + 1769.50ns INFO [00001771] Port=1 RD @00 + 1771.50ns INFO [00001773] * RD COMPARE * port=1 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90 + 1771.50ns INFO [00001773] Port=1 RD @06 + 1772.50ns INFO [00001774] Port=1 RD @05 + 1773.50ns INFO [00001775] * RD COMPARE * port=1 adr=06 act=3279E5D1F6D28328B3 exp=3279E5D1F6D28328B3 + 1773.50ns INFO [00001775] Port=0 WR @03=B08E7C2C93BA7E1718 + 1773.50ns INFO [00001775] Port=0 RD @00 + 1774.50ns INFO [00001776] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1774.50ns INFO [00001776] Port=0 WR @07=79D6599A31A2AA9AB3 + 1775.50ns INFO [00001777] * RD COMPARE * port=0 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90 + 1775.50ns INFO [00001777] Port=1 RD @00 + 1777.50ns INFO [00001779] * RD COMPARE * port=1 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90 + 1777.50ns INFO [00001779] Port=0 RD @04 + 1777.50ns INFO [00001779] Port=1 RD @07 + 1779.50ns INFO [00001781] * RD COMPARE * port=0 adr=04 act=055879ABB5C1918D80 exp=055879ABB5C1918D80 + 1779.50ns INFO [00001781] * RD COMPARE * port=1 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3 + 1781.50ns INFO [00001783] Port=0 RD @02 + 1781.50ns INFO [00001783] Port=1 RD @06 + 1783.50ns INFO [00001785] * RD COMPARE * port=0 adr=02 act=276D60E4892D411DCE exp=276D60E4892D411DCE + 1783.50ns INFO [00001785] * RD COMPARE * port=1 adr=06 act=3279E5D1F6D28328B3 exp=3279E5D1F6D28328B3 + 1783.50ns INFO [00001785] Port=0 WR @04=936A097CA6EA69AA4A + 1783.50ns INFO [00001785] Port=0 RD @03 + 1783.50ns INFO [00001785] Port=1 RD @01 + 1784.50ns INFO [00001786] Port=1 RD @06 + 1785.50ns INFO [00001787] * RD COMPARE * port=0 adr=03 act=B08E7C2C93BA7E1718 exp=B08E7C2C93BA7E1718 + 1785.50ns INFO [00001787] * RD COMPARE * port=1 adr=01 act=EA48689A0962898855 exp=EA48689A0962898855 + 1785.50ns INFO [00001787] Port=0 RD @06 + 1786.50ns INFO [00001788] * RD COMPARE * port=1 adr=06 act=3279E5D1F6D28328B3 exp=3279E5D1F6D28328B3 + 1787.50ns INFO [00001789] * RD COMPARE * port=0 adr=06 act=3279E5D1F6D28328B3 exp=3279E5D1F6D28328B3 + 1787.50ns INFO [00001789] Port=0 WR @02=F764BF1A509EC75B7F + 1787.50ns INFO [00001789] Port=1 RD @07 + 1788.50ns INFO [00001790] Port=0 WR @06=504F34205D67A50AA3 + 1788.50ns INFO [00001790] Port=1 RD @01 + 1789.50ns INFO [00001791] * RD COMPARE * port=1 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3 + 1789.50ns INFO [00001791] Port=0 WR @03=2E5B837F7B439E8D33 + 1790.50ns INFO [00001792] * RD COMPARE * port=1 adr=01 act=EA48689A0962898855 exp=EA48689A0962898855 + 1790.50ns INFO [00001792] Port=0 RD @04 + 1790.50ns INFO [00001792] Port=1 RD @02 + 1791.50ns INFO [00001793] Port=0 WR @01=61E4A28F6AA8B8F5F1 + 1791.50ns INFO [00001793] Port=1 RD @04 + 1792.50ns INFO [00001794] * RD COMPARE * port=0 adr=04 act=936A097CA6EA69AA4A exp=936A097CA6EA69AA4A + 1792.50ns INFO [00001794] * RD COMPARE * port=1 adr=02 act=F764BF1A509EC75B7F exp=F764BF1A509EC75B7F + 1793.50ns INFO [00001795] * RD COMPARE * port=1 adr=04 act=936A097CA6EA69AA4A exp=936A097CA6EA69AA4A + 1793.50ns INFO [00001795] Port=0 WR @01=0927ED3595FEA603B2 + 1793.50ns INFO [00001795] Port=0 RD @07 + 1794.50ns INFO [00001796] Port=0 WR @04=750C5FFC0644013DF5 + 1795.50ns INFO [00001797] * RD COMPARE * port=0 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3 + 1795.50ns INFO [00001797] Port=0 WR @03=3341A2A883D1CB9C82 + 1796.50ns INFO [00001798] Port=0 WR @01=9408049A365B9F09C2 + 1797.50ns INFO [00001799] Port=0 RD @05 + 1797.50ns INFO [00001799] Port=1 RD @03 + 1798.00ns INFO [00001800] [00001800] ...tick... + 1798.50ns INFO [00001800] Port=0 WR @02=2F9953FAD323ABFC78 + 1798.50ns INFO [00001800] Port=1 RD @01 + 1799.50ns INFO [00001801] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1799.50ns INFO [00001801] * RD COMPARE * port=1 adr=03 act=3341A2A883D1CB9C82 exp=3341A2A883D1CB9C82 + 1799.50ns INFO [00001801] Port=0 WR @02=DAE7F77FF05D43DA0C + 1799.50ns INFO [00001801] Port=1 RD @04 + 1800.50ns INFO [00001802] * RD COMPARE * port=1 adr=01 act=9408049A365B9F09C2 exp=9408049A365B9F09C2 + 1800.50ns INFO [00001802] Port=0 RD @05 + 1801.50ns INFO [00001803] * RD COMPARE * port=1 adr=04 act=750C5FFC0644013DF5 exp=750C5FFC0644013DF5 + 1801.50ns INFO [00001803] Port=0 WR @01=DBFDA2085C6FB698DF + 1802.50ns INFO [00001804] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1802.50ns INFO [00001804] Port=0 RD @06 + 1804.50ns INFO [00001806] * RD COMPARE * port=0 adr=06 act=504F34205D67A50AA3 exp=504F34205D67A50AA3 + 1805.50ns INFO [00001807] Port=0 WR @02=9C994BC3CAD8E659A5 + 1805.50ns INFO [00001807] Port=0 RD @05 + 1805.50ns INFO [00001807] Port=1 RD @05 + 1806.50ns INFO [00001808] Port=0 RD @07 + 1806.50ns INFO [00001808] Port=1 RD @02 + 1807.50ns INFO [00001809] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1807.50ns INFO [00001809] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1807.50ns INFO [00001809] Port=0 RD @04 + 1808.50ns INFO [00001810] * RD COMPARE * port=0 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3 + 1808.50ns INFO [00001810] * RD COMPARE * port=1 adr=02 act=9C994BC3CAD8E659A5 exp=9C994BC3CAD8E659A5 + 1808.50ns INFO [00001810] Port=0 RD @06 + 1809.50ns INFO [00001811] * RD COMPARE * port=0 adr=04 act=750C5FFC0644013DF5 exp=750C5FFC0644013DF5 + 1809.50ns INFO [00001811] Port=0 WR @02=5F49083F3C60603CC6 + 1809.50ns INFO [00001811] Port=1 RD @05 + 1810.50ns INFO [00001812] * RD COMPARE * port=0 adr=06 act=504F34205D67A50AA3 exp=504F34205D67A50AA3 + 1810.50ns INFO [00001812] Port=0 WR @03=10AD69A779E80CFFCD + 1810.50ns INFO [00001812] Port=1 RD @04 + 1811.50ns INFO [00001813] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1811.50ns INFO [00001813] Port=0 RD @06 + 1812.50ns INFO [00001814] * RD COMPARE * port=1 adr=04 act=750C5FFC0644013DF5 exp=750C5FFC0644013DF5 + 1812.50ns INFO [00001814] Port=0 WR @06=12890963FD276B155D + 1812.50ns INFO [00001814] Port=0 RD @00 + 1812.50ns INFO [00001814] Port=1 RD @05 + 1813.50ns INFO [00001815] * RD COMPARE * port=0 adr=06 act=504F34205D67A50AA3 exp=504F34205D67A50AA3 + 1813.50ns INFO [00001815] Port=0 WR @04=7A1628CC2E7DA184B0 + 1813.50ns INFO [00001815] Port=0 RD @00 + 1813.50ns INFO [00001815] Port=1 RD @03 + 1814.50ns INFO [00001816] * RD COMPARE * port=0 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90 + 1814.50ns INFO [00001816] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1815.50ns INFO [00001817] * RD COMPARE * port=0 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90 + 1815.50ns INFO [00001817] * RD COMPARE * port=1 adr=03 act=10AD69A779E80CFFCD exp=10AD69A779E80CFFCD + 1815.50ns INFO [00001817] Port=0 WR @03=9BEE6BB04B94C3381E + 1815.50ns INFO [00001817] Port=1 RD @04 + 1817.50ns INFO [00001819] * RD COMPARE * port=1 adr=04 act=7A1628CC2E7DA184B0 exp=7A1628CC2E7DA184B0 + 1817.50ns INFO [00001819] Port=0 RD @07 + 1819.50ns INFO [00001821] * RD COMPARE * port=0 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3 + 1819.50ns INFO [00001821] Port=0 WR @02=144C91E46599040AE1 + 1819.50ns INFO [00001821] Port=1 RD @07 + 1820.50ns INFO [00001822] Port=0 RD @05 + 1821.50ns INFO [00001823] * RD COMPARE * port=1 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3 + 1821.50ns INFO [00001823] Port=0 RD @02 + 1822.50ns INFO [00001824] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1822.50ns INFO [00001824] Port=0 WR @00=DCEDF79BC9FDCFA1B7 + 1822.50ns INFO [00001824] Port=0 RD @03 + 1822.50ns INFO [00001824] Port=1 RD @06 + 1823.50ns INFO [00001825] * RD COMPARE * port=0 adr=02 act=144C91E46599040AE1 exp=144C91E46599040AE1 + 1824.50ns INFO [00001826] * RD COMPARE * port=0 adr=03 act=9BEE6BB04B94C3381E exp=9BEE6BB04B94C3381E + 1824.50ns INFO [00001826] * RD COMPARE * port=1 adr=06 act=12890963FD276B155D exp=12890963FD276B155D + 1824.50ns INFO [00001826] Port=0 RD @05 + 1825.50ns INFO [00001827] Port=0 RD @02 + 1826.50ns INFO [00001828] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1827.50ns INFO [00001829] * RD COMPARE * port=0 adr=02 act=144C91E46599040AE1 exp=144C91E46599040AE1 + 1827.50ns INFO [00001829] Port=0 WR @00=E6D6AAC34E2C0D5600 + 1827.50ns INFO [00001829] Port=1 RD @02 + 1828.50ns INFO [00001830] Port=0 WR @07=0D1D44DFDCEC79ABD8 + 1828.50ns INFO [00001830] Port=0 RD @01 + 1828.50ns INFO [00001830] Port=1 RD @02 + 1829.50ns INFO [00001831] * RD COMPARE * port=1 adr=02 act=144C91E46599040AE1 exp=144C91E46599040AE1 + 1829.50ns INFO [00001831] Port=0 RD @00 + 1830.50ns INFO [00001832] * RD COMPARE * port=0 adr=01 act=DBFDA2085C6FB698DF exp=DBFDA2085C6FB698DF + 1830.50ns INFO [00001832] * RD COMPARE * port=1 adr=02 act=144C91E46599040AE1 exp=144C91E46599040AE1 + 1830.50ns INFO [00001832] Port=0 RD @02 + 1831.50ns INFO [00001833] * RD COMPARE * port=0 adr=00 act=E6D6AAC34E2C0D5600 exp=E6D6AAC34E2C0D5600 + 1831.50ns INFO [00001833] Port=1 RD @00 + 1832.50ns INFO [00001834] * RD COMPARE * port=0 adr=02 act=144C91E46599040AE1 exp=144C91E46599040AE1 + 1832.50ns INFO [00001834] Port=0 WR @06=88A20F051CEF881AA8 + 1833.50ns INFO [00001835] * RD COMPARE * port=1 adr=00 act=E6D6AAC34E2C0D5600 exp=E6D6AAC34E2C0D5600 + 1833.50ns INFO [00001835] Port=0 WR @07=F62EDE01576425C1D1 + 1833.50ns INFO [00001835] Port=0 RD @05 + 1834.50ns INFO [00001836] Port=1 RD @05 + 1835.50ns INFO [00001837] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1835.50ns INFO [00001837] Port=0 WR @00=E392A5FC73F9F7DF96 + 1836.50ns INFO [00001838] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1836.50ns INFO [00001838] Port=0 RD @00 + 1837.50ns INFO [00001839] Port=0 WR @02=C78647934F11D4262B + 1837.50ns INFO [00001839] Port=0 RD @06 + 1838.50ns INFO [00001840] * RD COMPARE * port=0 adr=00 act=E392A5FC73F9F7DF96 exp=E392A5FC73F9F7DF96 + 1838.50ns INFO [00001840] Port=0 WR @04=DF0A18519DA9FB0DF9 + 1839.50ns INFO [00001841] * RD COMPARE * port=0 adr=06 act=88A20F051CEF881AA8 exp=88A20F051CEF881AA8 + 1839.50ns INFO [00001841] Port=0 WR @00=28578FED65DCABC5EB + 1839.50ns INFO [00001841] Port=0 RD @04 + 1839.50ns INFO [00001841] Port=1 RD @04 + 1840.50ns INFO [00001842] Port=0 WR @03=8023FFBC23CB2548F1 + 1841.50ns INFO [00001843] * RD COMPARE * port=0 adr=04 act=DF0A18519DA9FB0DF9 exp=DF0A18519DA9FB0DF9 + 1841.50ns INFO [00001843] * RD COMPARE * port=1 adr=04 act=DF0A18519DA9FB0DF9 exp=DF0A18519DA9FB0DF9 + 1841.50ns INFO [00001843] Port=1 RD @04 + 1843.50ns INFO [00001845] * RD COMPARE * port=1 adr=04 act=DF0A18519DA9FB0DF9 exp=DF0A18519DA9FB0DF9 + 1844.50ns INFO [00001846] Port=1 RD @01 + 1845.50ns INFO [00001847] Port=1 RD @03 + 1846.50ns INFO [00001848] * RD COMPARE * port=1 adr=01 act=DBFDA2085C6FB698DF exp=DBFDA2085C6FB698DF + 1846.50ns INFO [00001848] Port=0 WR @04=253CE1CC98F6253E1D + 1847.50ns INFO [00001849] * RD COMPARE * port=1 adr=03 act=8023FFBC23CB2548F1 exp=8023FFBC23CB2548F1 + 1847.50ns INFO [00001849] Port=0 WR @01=69A4BF7F5FA94F5DA1 + 1847.50ns INFO [00001849] Port=0 RD @05 + 1848.50ns INFO [00001850] Port=0 RD @06 + 1849.50ns INFO [00001851] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1850.50ns INFO [00001852] * RD COMPARE * port=0 adr=06 act=88A20F051CEF881AA8 exp=88A20F051CEF881AA8 + 1852.50ns INFO [00001854] Port=1 RD @06 + 1853.50ns INFO [00001855] Port=1 RD @06 + 1854.50ns INFO [00001856] * RD COMPARE * port=1 adr=06 act=88A20F051CEF881AA8 exp=88A20F051CEF881AA8 + 1854.50ns INFO [00001856] Port=1 RD @03 + 1855.50ns INFO [00001857] * RD COMPARE * port=1 adr=06 act=88A20F051CEF881AA8 exp=88A20F051CEF881AA8 + 1855.50ns INFO [00001857] Port=0 WR @07=4D675A26313BDB5110 + 1856.50ns INFO [00001858] * RD COMPARE * port=1 adr=03 act=8023FFBC23CB2548F1 exp=8023FFBC23CB2548F1 + 1856.50ns INFO [00001858] Port=0 WR @00=5B4B94D41C71AA17C3 + 1856.50ns INFO [00001858] Port=1 RD @03 + 1857.50ns INFO [00001859] Port=0 RD @04 + 1857.50ns INFO [00001859] Port=1 RD @04 + 1858.50ns INFO [00001860] * RD COMPARE * port=1 adr=03 act=8023FFBC23CB2548F1 exp=8023FFBC23CB2548F1 + 1859.50ns INFO [00001861] * RD COMPARE * port=0 adr=04 act=253CE1CC98F6253E1D exp=253CE1CC98F6253E1D + 1859.50ns INFO [00001861] * RD COMPARE * port=1 adr=04 act=253CE1CC98F6253E1D exp=253CE1CC98F6253E1D + 1859.50ns INFO [00001861] Port=1 RD @06 + 1860.50ns INFO [00001862] Port=0 WR @06=0AB75AA8E8156C7BDE + 1860.50ns INFO [00001862] Port=0 RD @03 + 1861.50ns INFO [00001863] * RD COMPARE * port=1 adr=06 act=88A20F051CEF881AA8 exp=88A20F051CEF881AA8 + 1861.50ns INFO [00001863] Port=1 RD @06 + 1862.50ns INFO [00001864] * RD COMPARE * port=0 adr=03 act=8023FFBC23CB2548F1 exp=8023FFBC23CB2548F1 + 1862.50ns INFO [00001864] Port=0 WR @06=6AFF5FE6275274016D + 1862.50ns INFO [00001864] Port=1 RD @04 + 1863.50ns INFO [00001865] * RD COMPARE * port=1 adr=06 act=0AB75AA8E8156C7BDE exp=0AB75AA8E8156C7BDE + 1863.50ns INFO [00001865] Port=1 RD @00 + 1864.50ns INFO [00001866] * RD COMPARE * port=1 adr=04 act=253CE1CC98F6253E1D exp=253CE1CC98F6253E1D + 1864.50ns INFO [00001866] Port=1 RD @05 + 1865.50ns INFO [00001867] * RD COMPARE * port=1 adr=00 act=5B4B94D41C71AA17C3 exp=5B4B94D41C71AA17C3 + 1865.50ns INFO [00001867] Port=0 WR @04=083A23D1D8C08747DC + 1865.50ns INFO [00001867] Port=0 RD @05 + 1865.50ns INFO [00001867] Port=1 RD @05 + 1866.50ns INFO [00001868] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1866.50ns INFO [00001868] Port=0 WR @03=2A172D49A1095019B7 + 1867.50ns INFO [00001869] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1867.50ns INFO [00001869] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1868.50ns INFO [00001870] Port=0 RD @05 + 1868.50ns INFO [00001870] Port=1 RD @02 + 1869.50ns INFO [00001871] Port=0 WR @04=E9F99882C05434EC83 + 1870.50ns INFO [00001872] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9 + 1870.50ns INFO [00001872] * RD COMPARE * port=1 adr=02 act=C78647934F11D4262B exp=C78647934F11D4262B + 1870.50ns INFO [00001872] Port=1 RD @06 + 1871.50ns INFO [00001873] Port=1 RD @06 + 1872.50ns INFO [00001874] * RD COMPARE * port=1 adr=06 act=6AFF5FE6275274016D exp=6AFF5FE6275274016D + 1872.50ns INFO [00001874] Port=0 RD @06 + 1872.50ns INFO [00001874] Port=1 RD @04 + 1873.50ns INFO [00001875] * RD COMPARE * port=1 adr=06 act=6AFF5FE6275274016D exp=6AFF5FE6275274016D + 1873.50ns INFO [00001875] Port=0 RD @02 + 1874.50ns INFO [00001876] * RD COMPARE * port=0 adr=06 act=6AFF5FE6275274016D exp=6AFF5FE6275274016D + 1874.50ns INFO [00001876] * RD COMPARE * port=1 adr=04 act=E9F99882C05434EC83 exp=E9F99882C05434EC83 + 1874.50ns INFO [00001876] Port=0 RD @04 + 1874.50ns INFO [00001876] Port=1 RD @01 + 1875.50ns INFO [00001877] * RD COMPARE * port=0 adr=02 act=C78647934F11D4262B exp=C78647934F11D4262B + 1875.50ns INFO [00001877] Port=1 RD @01 + 1876.50ns INFO [00001878] * RD COMPARE * port=0 adr=04 act=E9F99882C05434EC83 exp=E9F99882C05434EC83 + 1876.50ns INFO [00001878] * RD COMPARE * port=1 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1 + 1876.50ns INFO [00001878] Port=1 RD @01 + 1877.50ns INFO [00001879] * RD COMPARE * port=1 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1 + 1877.50ns INFO [00001879] Port=1 RD @04 + 1878.50ns INFO [00001880] * RD COMPARE * port=1 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1 + 1879.50ns INFO [00001881] * RD COMPARE * port=1 adr=04 act=E9F99882C05434EC83 exp=E9F99882C05434EC83 + 1880.50ns INFO [00001882] Port=0 WR @07=F80A14CEB519894BD2 + 1882.50ns INFO [00001884] Port=0 WR @05=F023F091EA3BEC72FE + 1882.50ns INFO [00001884] Port=0 RD @03 + 1883.50ns INFO [00001885] Port=0 RD @02 + 1884.50ns INFO [00001886] * RD COMPARE * port=0 adr=03 act=2A172D49A1095019B7 exp=2A172D49A1095019B7 + 1884.50ns INFO [00001886] Port=1 RD @06 + 1885.50ns INFO [00001887] * RD COMPARE * port=0 adr=02 act=C78647934F11D4262B exp=C78647934F11D4262B + 1886.50ns INFO [00001888] * RD COMPARE * port=1 adr=06 act=6AFF5FE6275274016D exp=6AFF5FE6275274016D + 1886.50ns INFO [00001888] Port=0 WR @06=672FBD5694505B4C4F + 1886.50ns INFO [00001888] Port=1 RD @00 + 1888.50ns INFO [00001890] * RD COMPARE * port=1 adr=00 act=5B4B94D41C71AA17C3 exp=5B4B94D41C71AA17C3 + 1888.50ns INFO [00001890] Port=1 RD @01 + 1889.50ns INFO [00001891] Port=0 WR @00=7E8F4FABBB9AB95D65 + 1889.50ns INFO [00001891] Port=1 RD @04 + 1890.50ns INFO [00001892] * RD COMPARE * port=1 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1 + 1890.50ns INFO [00001892] Port=0 WR @03=796D934300E442BE0B + 1891.50ns INFO [00001893] * RD COMPARE * port=1 adr=04 act=E9F99882C05434EC83 exp=E9F99882C05434EC83 + 1894.50ns INFO [00001896] Port=1 RD @06 + 1895.50ns INFO [00001897] Port=0 WR @00=3DBC91BBAF8434BCEF + 1895.50ns INFO [00001897] Port=1 RD @05 + 1896.50ns INFO [00001898] * RD COMPARE * port=1 adr=06 act=672FBD5694505B4C4F exp=672FBD5694505B4C4F + 1896.50ns INFO [00001898] Port=0 WR @04=35F7C2DD95544F1726 + 1897.50ns INFO [00001899] * RD COMPARE * port=1 adr=05 act=F023F091EA3BEC72FE exp=F023F091EA3BEC72FE + 1898.00ns INFO [00001900] [00001900] ...tick... + 1898.50ns INFO [00001900] Port=1 RD @01 + 1899.50ns INFO [00001901] Port=0 RD @00 + 1900.50ns INFO [00001902] * RD COMPARE * port=1 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1 + 1900.50ns INFO [00001902] Port=1 RD @05 + 1901.50ns INFO [00001903] * RD COMPARE * port=0 adr=00 act=3DBC91BBAF8434BCEF exp=3DBC91BBAF8434BCEF + 1901.50ns INFO [00001903] Port=0 WR @00=63CED6D51A4611F633 + 1902.50ns INFO [00001904] * RD COMPARE * port=1 adr=05 act=F023F091EA3BEC72FE exp=F023F091EA3BEC72FE + 1902.50ns INFO [00001904] Port=0 WR @02=D96DD97AA36F2AF0DF + 1902.50ns INFO [00001904] Port=1 RD @00 + 1903.50ns INFO [00001905] Port=0 RD @01 + 1903.50ns INFO [00001905] Port=1 RD @04 + 1904.50ns INFO [00001906] * RD COMPARE * port=1 adr=00 act=63CED6D51A4611F633 exp=63CED6D51A4611F633 + 1904.50ns INFO [00001906] Port=1 RD @06 + 1905.50ns INFO [00001907] * RD COMPARE * port=0 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1 + 1905.50ns INFO [00001907] * RD COMPARE * port=1 adr=04 act=35F7C2DD95544F1726 exp=35F7C2DD95544F1726 + 1905.50ns INFO [00001907] Port=0 WR @06=752333D6825FED28A9 + 1905.50ns INFO [00001907] Port=0 RD @05 + 1906.50ns INFO [00001908] * RD COMPARE * port=1 adr=06 act=672FBD5694505B4C4F exp=672FBD5694505B4C4F + 1906.50ns INFO [00001908] Port=0 RD @04 + 1907.50ns INFO [00001909] * RD COMPARE * port=0 adr=05 act=F023F091EA3BEC72FE exp=F023F091EA3BEC72FE + 1908.50ns INFO [00001910] * RD COMPARE * port=0 adr=04 act=35F7C2DD95544F1726 exp=35F7C2DD95544F1726 + 1908.50ns INFO [00001910] Port=0 RD @04 + 1909.50ns INFO [00001911] Port=0 RD @04 + 1909.50ns INFO [00001911] Port=1 RD @05 + 1910.50ns INFO [00001912] * RD COMPARE * port=0 adr=04 act=35F7C2DD95544F1726 exp=35F7C2DD95544F1726 + 1910.50ns INFO [00001912] Port=0 WR @01=67C966550024B90EEE + 1910.50ns INFO [00001912] Port=0 RD @03 + 1910.50ns INFO [00001912] Port=1 RD @05 + 1911.50ns INFO [00001913] * RD COMPARE * port=0 adr=04 act=35F7C2DD95544F1726 exp=35F7C2DD95544F1726 + 1911.50ns INFO [00001913] * RD COMPARE * port=1 adr=05 act=F023F091EA3BEC72FE exp=F023F091EA3BEC72FE + 1911.50ns INFO [00001913] Port=0 WR @07=E7E53DFDC83BB2BF68 + 1912.50ns INFO [00001914] * RD COMPARE * port=0 adr=03 act=796D934300E442BE0B exp=796D934300E442BE0B + 1912.50ns INFO [00001914] * RD COMPARE * port=1 adr=05 act=F023F091EA3BEC72FE exp=F023F091EA3BEC72FE + 1912.50ns INFO [00001914] Port=0 WR @03=53B0D7E1A7CAC6E713 + 1912.50ns INFO [00001914] Port=0 RD @07 + 1912.50ns INFO [00001914] Port=1 RD @00 + 1913.50ns INFO [00001915] Port=0 RD @04 + 1914.50ns INFO [00001916] * RD COMPARE * port=0 adr=07 act=E7E53DFDC83BB2BF68 exp=E7E53DFDC83BB2BF68 + 1914.50ns INFO [00001916] * RD COMPARE * port=1 adr=00 act=63CED6D51A4611F633 exp=63CED6D51A4611F633 + 1914.50ns INFO [00001916] Port=0 WR @04=D9C4582041C68C5C0A + 1915.50ns INFO [00001917] * RD COMPARE * port=0 adr=04 act=35F7C2DD95544F1726 exp=35F7C2DD95544F1726 + 1915.50ns INFO [00001917] Port=0 WR @05=2FF232436EEBA3BF8A + 1915.50ns INFO [00001917] Port=0 RD @01 + 1915.50ns INFO [00001917] Port=1 RD @01 + 1916.50ns INFO [00001918] Port=1 RD @04 + 1917.50ns INFO [00001919] * RD COMPARE * port=0 adr=01 act=67C966550024B90EEE exp=67C966550024B90EEE + 1917.50ns INFO [00001919] * RD COMPARE * port=1 adr=01 act=67C966550024B90EEE exp=67C966550024B90EEE + 1918.50ns INFO [00001920] * RD COMPARE * port=1 adr=04 act=D9C4582041C68C5C0A exp=D9C4582041C68C5C0A + 1918.50ns INFO [00001920] Port=0 WR @07=AF31851F5FC27E6C92 + 1918.50ns INFO [00001920] Port=1 RD @04 + 1919.50ns INFO [00001921] Port=0 WR @01=9A88C667E6B8D9F1C9 + 1919.50ns INFO [00001921] Port=1 RD @04 + 1920.50ns INFO [00001922] * RD COMPARE * port=1 adr=04 act=D9C4582041C68C5C0A exp=D9C4582041C68C5C0A + 1921.50ns INFO [00001923] * RD COMPARE * port=1 adr=04 act=D9C4582041C68C5C0A exp=D9C4582041C68C5C0A + 1921.50ns INFO [00001923] Port=0 WR @03=304609580366409709 + 1921.50ns INFO [00001923] Port=0 RD @07 + 1921.50ns INFO [00001923] Port=1 RD @04 + 1922.50ns INFO [00001924] Port=1 RD @02 + 1923.50ns INFO [00001925] * RD COMPARE * port=0 adr=07 act=AF31851F5FC27E6C92 exp=AF31851F5FC27E6C92 + 1923.50ns INFO [00001925] * RD COMPARE * port=1 adr=04 act=D9C4582041C68C5C0A exp=D9C4582041C68C5C0A + 1923.50ns INFO [00001925] Port=0 RD @04 + 1923.50ns INFO [00001925] Port=1 RD @06 + 1924.50ns INFO [00001926] * RD COMPARE * port=1 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF + 1924.50ns INFO [00001926] Port=0 WR @01=A2197E3A5F5AAB5EC3 + 1924.50ns INFO [00001926] Port=0 RD @06 + 1925.50ns INFO [00001927] * RD COMPARE * port=0 adr=04 act=D9C4582041C68C5C0A exp=D9C4582041C68C5C0A + 1925.50ns INFO [00001927] * RD COMPARE * port=1 adr=06 act=752333D6825FED28A9 exp=752333D6825FED28A9 + 1925.50ns INFO [00001927] Port=0 WR @01=6422BC5538EB559615 + 1925.50ns INFO [00001927] Port=1 RD @05 + 1926.50ns INFO [00001928] * RD COMPARE * port=0 adr=06 act=752333D6825FED28A9 exp=752333D6825FED28A9 + 1926.50ns INFO [00001928] Port=0 RD @02 + 1926.50ns INFO [00001928] Port=1 RD @06 + 1927.50ns INFO [00001929] * RD COMPARE * port=1 adr=05 act=2FF232436EEBA3BF8A exp=2FF232436EEBA3BF8A + 1927.50ns INFO [00001929] Port=1 RD @01 + 1928.50ns INFO [00001930] * RD COMPARE * port=0 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF + 1928.50ns INFO [00001930] * RD COMPARE * port=1 adr=06 act=752333D6825FED28A9 exp=752333D6825FED28A9 + 1928.50ns INFO [00001930] Port=0 RD @01 + 1929.50ns INFO [00001931] * RD COMPARE * port=1 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615 + 1929.50ns INFO [00001931] Port=0 WR @04=F064AF39BF15B9BE0F + 1930.50ns INFO [00001932] * RD COMPARE * port=0 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615 + 1930.50ns INFO [00001932] Port=1 RD @03 + 1931.50ns INFO [00001933] Port=1 RD @05 + 1932.50ns INFO [00001934] * RD COMPARE * port=1 adr=03 act=304609580366409709 exp=304609580366409709 + 1933.50ns INFO [00001935] * RD COMPARE * port=1 adr=05 act=2FF232436EEBA3BF8A exp=2FF232436EEBA3BF8A + 1934.50ns INFO [00001936] Port=0 RD @05 + 1934.50ns INFO [00001936] Port=1 RD @01 + 1935.50ns INFO [00001937] Port=0 WR @05=BB3290F2D42CA7D603 + 1935.50ns INFO [00001937] Port=1 RD @02 + 1936.50ns INFO [00001938] * RD COMPARE * port=0 adr=05 act=2FF232436EEBA3BF8A exp=2FF232436EEBA3BF8A + 1936.50ns INFO [00001938] * RD COMPARE * port=1 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615 + 1936.50ns INFO [00001938] Port=0 RD @07 + 1936.50ns INFO [00001938] Port=1 RD @02 + 1937.50ns INFO [00001939] * RD COMPARE * port=1 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF + 1937.50ns INFO [00001939] Port=0 WR @07=AD6E95B91CA783655B + 1938.50ns INFO [00001940] * RD COMPARE * port=0 adr=07 act=AF31851F5FC27E6C92 exp=AF31851F5FC27E6C92 + 1938.50ns INFO [00001940] * RD COMPARE * port=1 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF + 1938.50ns INFO [00001940] Port=1 RD @06 + 1940.50ns INFO [00001942] * RD COMPARE * port=1 adr=06 act=752333D6825FED28A9 exp=752333D6825FED28A9 + 1940.50ns INFO [00001942] Port=0 RD @07 + 1940.50ns INFO [00001942] Port=1 RD @00 + 1941.50ns INFO [00001943] Port=0 RD @06 + 1942.50ns INFO [00001944] * RD COMPARE * port=0 adr=07 act=AD6E95B91CA783655B exp=AD6E95B91CA783655B + 1942.50ns INFO [00001944] * RD COMPARE * port=1 adr=00 act=63CED6D51A4611F633 exp=63CED6D51A4611F633 + 1942.50ns INFO [00001944] Port=0 WR @05=C6243F6F29999257B4 + 1943.50ns INFO [00001945] * RD COMPARE * port=0 adr=06 act=752333D6825FED28A9 exp=752333D6825FED28A9 + 1943.50ns INFO [00001945] Port=1 RD @02 + 1944.50ns INFO [00001946] Port=1 RD @03 + 1945.50ns INFO [00001947] * RD COMPARE * port=1 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF + 1945.50ns INFO [00001947] Port=0 WR @06=CE831957A91EC4E3E7 + 1945.50ns INFO [00001947] Port=1 RD @01 + 1946.50ns INFO [00001948] * RD COMPARE * port=1 adr=03 act=304609580366409709 exp=304609580366409709 + 1947.50ns INFO [00001949] * RD COMPARE * port=1 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615 + 1947.50ns INFO [00001949] Port=0 RD @06 + 1948.50ns INFO [00001950] Port=0 RD @06 + 1949.50ns INFO [00001951] * RD COMPARE * port=0 adr=06 act=CE831957A91EC4E3E7 exp=CE831957A91EC4E3E7 + 1949.50ns INFO [00001951] Port=0 WR @07=4BCA9A7D0DBB2F1888 + 1950.50ns INFO [00001952] * RD COMPARE * port=0 adr=06 act=CE831957A91EC4E3E7 exp=CE831957A91EC4E3E7 + 1953.50ns INFO [00001955] Port=1 RD @07 + 1954.50ns INFO [00001956] Port=0 WR @07=99A39DBF98632B8975 + 1954.50ns INFO [00001956] Port=0 RD @02 + 1955.50ns INFO [00001957] * RD COMPARE * port=1 adr=07 act=4BCA9A7D0DBB2F1888 exp=4BCA9A7D0DBB2F1888 + 1955.50ns INFO [00001957] Port=0 WR @03=1C30598D36A1C83E21 + 1955.50ns INFO [00001957] Port=0 RD @01 + 1956.50ns INFO [00001958] * RD COMPARE * port=0 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF + 1957.50ns INFO [00001959] * RD COMPARE * port=0 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615 + 1957.50ns INFO [00001959] Port=0 WR @00=B8724C1FD044A26A0F + 1957.50ns INFO [00001959] Port=1 RD @05 + 1958.50ns INFO [00001960] Port=0 WR @02=641DB272671FD350F7 + 1959.50ns INFO [00001961] * RD COMPARE * port=1 adr=05 act=C6243F6F29999257B4 exp=C6243F6F29999257B4 + 1959.50ns INFO [00001961] Port=0 WR @03=AC00533B679BF4C05C + 1959.50ns INFO [00001961] Port=0 RD @01 + 1961.50ns INFO [00001963] * RD COMPARE * port=0 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615 + 1962.50ns INFO [00001964] Port=0 WR @06=952D8F040807FC7ADD + 1963.50ns INFO [00001965] Port=0 RD @01 + 1963.50ns INFO [00001965] Port=1 RD @02 + 1965.50ns INFO [00001967] * RD COMPARE * port=0 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615 + 1965.50ns INFO [00001967] * RD COMPARE * port=1 adr=02 act=641DB272671FD350F7 exp=641DB272671FD350F7 + 1965.50ns INFO [00001967] Port=1 RD @04 + 1966.50ns INFO [00001968] Port=0 WR @02=510042895379901521 + 1966.50ns INFO [00001968] Port=0 RD @03 + 1966.50ns INFO [00001968] Port=1 RD @07 + 1967.50ns INFO [00001969] * RD COMPARE * port=1 adr=04 act=F064AF39BF15B9BE0F exp=F064AF39BF15B9BE0F + 1967.50ns INFO [00001969] Port=0 WR @06=48DF582CAE650686EB + 1968.50ns INFO [00001970] * RD COMPARE * port=0 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C + 1968.50ns INFO [00001970] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975 + 1969.50ns INFO [00001971] Port=0 RD @01 + 1969.50ns INFO [00001971] Port=1 RD @07 + 1970.50ns INFO [00001972] Port=0 WR @05=6703A4ED5244F7FEB4 + 1971.50ns INFO [00001973] * RD COMPARE * port=0 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615 + 1971.50ns INFO [00001973] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975 + 1971.50ns INFO [00001973] Port=0 WR @06=B64E8E6DFAF0F7087B + 1972.50ns INFO [00001974] Port=0 WR @01=CA650045D9FDC072F8 + 1972.50ns INFO [00001974] Port=0 RD @05 + 1973.50ns INFO [00001975] Port=1 RD @07 + 1974.50ns INFO [00001976] * RD COMPARE * port=0 adr=05 act=6703A4ED5244F7FEB4 exp=6703A4ED5244F7FEB4 + 1974.50ns INFO [00001976] Port=0 RD @05 + 1975.50ns INFO [00001977] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975 + 1975.50ns INFO [00001977] Port=0 RD @07 + 1975.50ns INFO [00001977] Port=1 RD @07 + 1976.50ns INFO [00001978] * RD COMPARE * port=0 adr=05 act=6703A4ED5244F7FEB4 exp=6703A4ED5244F7FEB4 + 1976.50ns INFO [00001978] Port=1 RD @00 + 1977.50ns INFO [00001979] * RD COMPARE * port=0 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975 + 1977.50ns INFO [00001979] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975 + 1978.50ns INFO [00001980] * RD COMPARE * port=1 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F + 1978.50ns INFO [00001980] Port=0 WR @05=F8828A6F6ED2DF5206 + 1979.50ns INFO [00001981] Port=1 RD @01 + 1980.50ns INFO [00001982] Port=0 RD @03 + 1981.50ns INFO [00001983] * RD COMPARE * port=1 adr=01 act=CA650045D9FDC072F8 exp=CA650045D9FDC072F8 + 1982.50ns INFO [00001984] * RD COMPARE * port=0 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C + 1982.50ns INFO [00001984] Port=0 WR @02=0A4173AFD86D02CECC + 1982.50ns INFO [00001984] Port=0 RD @07 + 1982.50ns INFO [00001984] Port=1 RD @05 + 1983.50ns INFO [00001985] Port=0 RD @04 + 1984.50ns INFO [00001986] * RD COMPARE * port=0 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975 + 1984.50ns INFO [00001986] * RD COMPARE * port=1 adr=05 act=F8828A6F6ED2DF5206 exp=F8828A6F6ED2DF5206 + 1984.50ns INFO [00001986] Port=0 WR @02=DE6F04C07D70DF91DF + 1984.50ns INFO [00001986] Port=1 RD @07 + 1985.50ns INFO [00001987] * RD COMPARE * port=0 adr=04 act=F064AF39BF15B9BE0F exp=F064AF39BF15B9BE0F + 1985.50ns INFO [00001987] Port=0 RD @03 + 1986.50ns INFO [00001988] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975 + 1986.50ns INFO [00001988] Port=1 RD @04 + 1987.50ns INFO [00001989] * RD COMPARE * port=0 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C + 1987.50ns INFO [00001989] Port=0 RD @02 + 1987.50ns INFO [00001989] Port=1 RD @01 + 1988.50ns INFO [00001990] * RD COMPARE * port=1 adr=04 act=F064AF39BF15B9BE0F exp=F064AF39BF15B9BE0F + 1988.50ns INFO [00001990] Port=0 RD @00 + 1989.50ns INFO [00001991] * RD COMPARE * port=0 adr=02 act=DE6F04C07D70DF91DF exp=DE6F04C07D70DF91DF + 1989.50ns INFO [00001991] * RD COMPARE * port=1 adr=01 act=CA650045D9FDC072F8 exp=CA650045D9FDC072F8 + 1989.50ns INFO [00001991] Port=0 RD @06 + 1989.50ns INFO [00001991] Port=1 RD @03 + 1990.50ns INFO [00001992] * RD COMPARE * port=0 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F + 1990.50ns INFO [00001992] Port=0 RD @04 + 1990.50ns INFO [00001992] Port=1 RD @06 + 1991.50ns INFO [00001993] * RD COMPARE * port=0 adr=06 act=B64E8E6DFAF0F7087B exp=B64E8E6DFAF0F7087B + 1991.50ns INFO [00001993] * RD COMPARE * port=1 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C + 1992.50ns INFO [00001994] * RD COMPARE * port=0 adr=04 act=F064AF39BF15B9BE0F exp=F064AF39BF15B9BE0F + 1992.50ns INFO [00001994] * RD COMPARE * port=1 adr=06 act=B64E8E6DFAF0F7087B exp=B64E8E6DFAF0F7087B + 1992.50ns INFO [00001994] Port=1 RD @03 + 1994.50ns INFO [00001996] * RD COMPARE * port=1 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C + 1995.50ns INFO [00001997] Port=0 WR @01=CB0B2F3743DF3FA9F4 + 1995.50ns INFO [00001997] Port=1 RD @06 + 1996.50ns INFO [00001998] Port=0 WR @05=A7987493EF62F2E36C + 1997.50ns INFO [00001999] * RD COMPARE * port=1 adr=06 act=B64E8E6DFAF0F7087B exp=B64E8E6DFAF0F7087B + 1998.00ns INFO [00002000] [00002000] ...tick... + 2000.50ns INFO [00002002] Port=0 WR @02=DE98613635D4F1A892 + 2000.50ns INFO [00002002] Port=1 RD @03 + 2002.50ns INFO [00002004] * RD COMPARE * port=1 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C + 2002.50ns INFO [00002004] Port=0 WR @04=EA30F73680C3046516 + 2002.50ns INFO [00002004] Port=0 RD @02 + 2002.50ns INFO [00002004] Port=1 RD @05 + 2003.50ns INFO [00002005] Port=0 WR @06=B72E0C5FB64995C905 + 2003.50ns INFO [00002005] Port=0 RD @00 + 2003.50ns INFO [00002005] Port=1 RD @07 + 2004.50ns INFO [00002006] * RD COMPARE * port=0 adr=02 act=DE98613635D4F1A892 exp=DE98613635D4F1A892 + 2004.50ns INFO [00002006] * RD COMPARE * port=1 adr=05 act=A7987493EF62F2E36C exp=A7987493EF62F2E36C + 2005.50ns INFO [00002007] * RD COMPARE * port=0 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F + 2005.50ns INFO [00002007] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975 + 2005.50ns INFO [00002007] Port=0 RD @05 + 2006.50ns INFO [00002008] Port=1 RD @00 + 2007.50ns INFO [00002009] * RD COMPARE * port=0 adr=05 act=A7987493EF62F2E36C exp=A7987493EF62F2E36C + 2007.50ns INFO [00002009] Port=0 WR @07=495092F13426A142BC + 2007.50ns INFO [00002009] Port=0 RD @04 + 2008.50ns INFO [00002010] * RD COMPARE * port=1 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F + 2008.50ns INFO [00002010] Port=0 WR @06=275BF6586FA09ABEC6 + 2009.50ns INFO [00002011] * RD COMPARE * port=0 adr=04 act=EA30F73680C3046516 exp=EA30F73680C3046516 + 2010.50ns INFO [00002012] Port=0 RD @06 + 2011.50ns INFO [00002013] Port=1 RD @04 + 2012.50ns INFO [00002014] * RD COMPARE * port=0 adr=06 act=275BF6586FA09ABEC6 exp=275BF6586FA09ABEC6 + 2012.50ns INFO [00002014] Port=0 WR @01=420EED10A338935A58 + 2012.50ns INFO [00002014] Port=0 RD @05 + 2012.50ns INFO [00002014] Port=1 RD @05 + 2013.50ns INFO [00002015] * RD COMPARE * port=1 adr=04 act=EA30F73680C3046516 exp=EA30F73680C3046516 + 2014.50ns INFO [00002016] * RD COMPARE * port=0 adr=05 act=A7987493EF62F2E36C exp=A7987493EF62F2E36C + 2014.50ns INFO [00002016] * RD COMPARE * port=1 adr=05 act=A7987493EF62F2E36C exp=A7987493EF62F2E36C + 2014.50ns INFO [00002016] Port=0 RD @05 + 2014.50ns INFO [00002016] Port=1 RD @02 + 2015.50ns INFO [00002017] Port=1 RD @04 + 2016.50ns INFO [00002018] * RD COMPARE * port=0 adr=05 act=A7987493EF62F2E36C exp=A7987493EF62F2E36C + 2016.50ns INFO [00002018] * RD COMPARE * port=1 adr=02 act=DE98613635D4F1A892 exp=DE98613635D4F1A892 + 2016.50ns INFO [00002018] Port=0 WR @04=8D95E249DF5ED5436D + 2017.50ns INFO [00002019] * RD COMPARE * port=1 adr=04 act=EA30F73680C3046516 exp=EA30F73680C3046516 + 2017.50ns INFO [00002019] Port=1 RD @06 + 2018.50ns INFO [00002020] Port=0 WR @06=CD57BCE9E35344FDC4 + 2018.50ns INFO [00002020] Port=0 RD @00 + 2019.50ns INFO [00002021] * RD COMPARE * port=1 adr=06 act=275BF6586FA09ABEC6 exp=275BF6586FA09ABEC6 + 2019.50ns INFO [00002021] Port=0 WR @05=34B6DA982F75D3AE92 + 2020.50ns INFO [00002022] * RD COMPARE * port=0 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F + 2020.50ns INFO [00002022] Port=0 RD @00 + 2020.50ns INFO [00002022] Port=1 RD @05 + 2021.50ns INFO [00002023] Port=0 WR @00=61E480E7064A5142C0 + 2022.50ns INFO [00002024] * RD COMPARE * port=0 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F + 2022.50ns INFO [00002024] * RD COMPARE * port=1 adr=05 act=34B6DA982F75D3AE92 exp=34B6DA982F75D3AE92 + 2022.50ns INFO [00002024] Port=0 WR @01=5DE48F3FCBBD9068A3 + 2022.50ns INFO [00002024] Port=1 RD @06 + 2023.50ns INFO [00002025] Port=0 WR @05=13DAD703A5EAC9777A + 2023.50ns INFO [00002025] Port=1 RD @04 + 2024.50ns INFO [00002026] * RD COMPARE * port=1 adr=06 act=CD57BCE9E35344FDC4 exp=CD57BCE9E35344FDC4 + 2024.50ns INFO [00002026] Port=0 RD @05 + 2025.50ns INFO [00002027] * RD COMPARE * port=1 adr=04 act=8D95E249DF5ED5436D exp=8D95E249DF5ED5436D + 2025.50ns INFO [00002027] Port=0 WR @06=124215B578A3FC33E8 + 2026.50ns INFO [00002028] * RD COMPARE * port=0 adr=05 act=13DAD703A5EAC9777A exp=13DAD703A5EAC9777A + 2026.50ns INFO [00002028] Port=0 WR @00=F451DA161099D256B5 + 2026.50ns INFO [00002028] Port=0 RD @03 + 2027.50ns INFO [00002029] Port=0 WR @03=330036AEB45BEF77B9 + 2027.50ns INFO [00002029] Port=0 RD @01 + 2028.50ns INFO [00002030] * RD COMPARE * port=0 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C + 2028.50ns INFO [00002030] Port=1 RD @06 + 2029.50ns INFO [00002031] * RD COMPARE * port=0 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3 + 2029.50ns INFO [00002031] Port=0 WR @05=5B1AA54DE37F99B457 + 2029.50ns INFO [00002031] Port=0 RD @03 + 2030.50ns INFO [00002032] * RD COMPARE * port=1 adr=06 act=124215B578A3FC33E8 exp=124215B578A3FC33E8 + 2030.50ns INFO [00002032] Port=1 RD @04 + 2031.50ns INFO [00002033] * RD COMPARE * port=0 adr=03 act=330036AEB45BEF77B9 exp=330036AEB45BEF77B9 + 2031.50ns INFO [00002033] Port=1 RD @02 + 2032.50ns INFO [00002034] * RD COMPARE * port=1 adr=04 act=8D95E249DF5ED5436D exp=8D95E249DF5ED5436D + 2032.50ns INFO [00002034] Port=1 RD @03 + 2033.50ns INFO [00002035] * RD COMPARE * port=1 adr=02 act=DE98613635D4F1A892 exp=DE98613635D4F1A892 + 2033.50ns INFO [00002035] Port=0 WR @00=C65274A1E7183F5196 + 2034.50ns INFO [00002036] * RD COMPARE * port=1 adr=03 act=330036AEB45BEF77B9 exp=330036AEB45BEF77B9 + 2034.50ns INFO [00002036] Port=0 WR @02=6C0087EA566379DD98 + 2034.50ns INFO [00002036] Port=0 RD @06 + 2035.50ns INFO [00002037] Port=0 WR @02=E27D57928A214B6986 + 2035.50ns INFO [00002037] Port=1 RD @00 + 2036.50ns INFO [00002038] * RD COMPARE * port=0 adr=06 act=124215B578A3FC33E8 exp=124215B578A3FC33E8 + 2036.50ns INFO [00002038] Port=0 WR @04=C6541A2A3715E14F63 + 2036.50ns INFO [00002038] Port=1 RD @07 + 2037.50ns INFO [00002039] * RD COMPARE * port=1 adr=00 act=C65274A1E7183F5196 exp=C65274A1E7183F5196 + 2037.50ns INFO [00002039] Port=0 WR @05=92B754C65C0E5C227C + 2038.50ns INFO [00002040] * RD COMPARE * port=1 adr=07 act=495092F13426A142BC exp=495092F13426A142BC + 2038.50ns INFO [00002040] Port=1 RD @07 + 2039.50ns INFO [00002041] Port=0 RD @01 + 2039.50ns INFO [00002041] Port=1 RD @01 + 2040.50ns INFO [00002042] * RD COMPARE * port=1 adr=07 act=495092F13426A142BC exp=495092F13426A142BC + 2040.50ns INFO [00002042] Port=0 WR @02=44EE4F946DB9E3A26D + 2040.50ns INFO [00002042] Port=0 RD @00 + 2040.50ns INFO [00002042] Port=1 RD @06 + 2041.50ns INFO [00002043] * RD COMPARE * port=0 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3 + 2041.50ns INFO [00002043] * RD COMPARE * port=1 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3 + 2042.50ns INFO [00002044] * RD COMPARE * port=0 adr=00 act=C65274A1E7183F5196 exp=C65274A1E7183F5196 + 2042.50ns INFO [00002044] * RD COMPARE * port=1 adr=06 act=124215B578A3FC33E8 exp=124215B578A3FC33E8 + 2043.50ns INFO [00002045] Port=0 RD @02 + 2044.50ns INFO [00002046] Port=1 RD @02 + 2045.50ns INFO [00002047] * RD COMPARE * port=0 adr=02 act=44EE4F946DB9E3A26D exp=44EE4F946DB9E3A26D + 2045.50ns INFO [00002047] Port=0 WR @02=0E2D1DC47470FCE76A + 2046.50ns INFO [00002048] * RD COMPARE * port=1 adr=02 act=44EE4F946DB9E3A26D exp=44EE4F946DB9E3A26D + 2046.50ns INFO [00002048] Port=0 RD @03 + 2048.50ns INFO [00002050] * RD COMPARE * port=0 adr=03 act=330036AEB45BEF77B9 exp=330036AEB45BEF77B9 + 2048.50ns INFO [00002050] Port=0 WR @02=B30E0BDD81418A021F + 2048.50ns INFO [00002050] Port=0 RD @05 + 2048.50ns INFO [00002050] Port=1 RD @00 + 2050.50ns INFO [00002052] * RD COMPARE * port=0 adr=05 act=92B754C65C0E5C227C exp=92B754C65C0E5C227C + 2050.50ns INFO [00002052] * RD COMPARE * port=1 adr=00 act=C65274A1E7183F5196 exp=C65274A1E7183F5196 + 2050.50ns INFO [00002052] Port=1 RD @03 + 2051.50ns INFO [00002053] Port=0 WR @00=CDC9E11D65A9C45521 + 2051.50ns INFO [00002053] Port=0 RD @02 + 2052.50ns INFO [00002054] * RD COMPARE * port=1 adr=03 act=330036AEB45BEF77B9 exp=330036AEB45BEF77B9 + 2052.50ns INFO [00002054] Port=1 RD @01 + 2053.50ns INFO [00002055] * RD COMPARE * port=0 adr=02 act=B30E0BDD81418A021F exp=B30E0BDD81418A021F + 2053.50ns INFO [00002055] Port=0 RD @07 + 2053.50ns INFO [00002055] Port=1 RD @00 + 2054.50ns INFO [00002056] * RD COMPARE * port=1 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3 + 2055.50ns INFO [00002057] * RD COMPARE * port=0 adr=07 act=495092F13426A142BC exp=495092F13426A142BC + 2055.50ns INFO [00002057] * RD COMPARE * port=1 adr=00 act=CDC9E11D65A9C45521 exp=CDC9E11D65A9C45521 + 2055.50ns INFO [00002057] Port=0 RD @00 + 2057.50ns INFO [00002059] * RD COMPARE * port=0 adr=00 act=CDC9E11D65A9C45521 exp=CDC9E11D65A9C45521 + 2057.50ns INFO [00002059] Port=0 WR @03=421A84724A6331673C + 2058.50ns INFO [00002060] Port=0 RD @06 + 2060.50ns INFO [00002062] * RD COMPARE * port=0 adr=06 act=124215B578A3FC33E8 exp=124215B578A3FC33E8 + 2060.50ns INFO [00002062] Port=1 RD @00 + 2061.50ns INFO [00002063] Port=0 WR @03=2E28339BED040BCB51 + 2062.50ns INFO [00002064] * RD COMPARE * port=1 adr=00 act=CDC9E11D65A9C45521 exp=CDC9E11D65A9C45521 + 2062.50ns INFO [00002064] Port=0 RD @01 + 2062.50ns INFO [00002064] Port=1 RD @05 + 2063.50ns INFO [00002065] Port=0 WR @05=5443412BBC37340A44 + 2063.50ns INFO [00002065] Port=1 RD @06 + 2064.50ns INFO [00002066] * RD COMPARE * port=0 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3 + 2064.50ns INFO [00002066] * RD COMPARE * port=1 adr=05 act=92B754C65C0E5C227C exp=92B754C65C0E5C227C + 2064.50ns INFO [00002066] Port=0 WR @05=9C6828EE448E948587 + 2064.50ns INFO [00002066] Port=0 RD @07 + 2065.50ns INFO [00002067] * RD COMPARE * port=1 adr=06 act=124215B578A3FC33E8 exp=124215B578A3FC33E8 + 2065.50ns INFO [00002067] Port=1 RD @02 + 2066.50ns INFO [00002068] * RD COMPARE * port=0 adr=07 act=495092F13426A142BC exp=495092F13426A142BC + 2067.50ns INFO [00002069] * RD COMPARE * port=1 adr=02 act=B30E0BDD81418A021F exp=B30E0BDD81418A021F + 2067.50ns INFO [00002069] Port=0 WR @04=BB4CDB1EF1116E93A4 + 2067.50ns INFO [00002069] Port=0 RD @05 + 2069.50ns INFO [00002071] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587 + 2069.50ns INFO [00002071] Port=0 RD @01 + 2070.50ns INFO [00002072] Port=1 RD @02 + 2071.50ns INFO [00002073] * RD COMPARE * port=0 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3 + 2071.50ns INFO [00002073] Port=0 WR @07=63E6DC17A26074C123 + 2072.50ns INFO [00002074] * RD COMPARE * port=1 adr=02 act=B30E0BDD81418A021F exp=B30E0BDD81418A021F + 2072.50ns INFO [00002074] Port=0 RD @01 + 2073.50ns INFO [00002075] Port=0 WR @03=4DC66174B8EFB59DCF + 2073.50ns INFO [00002075] Port=1 RD @02 + 2074.50ns INFO [00002076] * RD COMPARE * port=0 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3 + 2075.50ns INFO [00002077] * RD COMPARE * port=1 adr=02 act=B30E0BDD81418A021F exp=B30E0BDD81418A021F + 2075.50ns INFO [00002077] Port=0 WR @01=78925F4C431E81AE11 + 2075.50ns INFO [00002077] Port=1 RD @05 + 2077.50ns INFO [00002079] * RD COMPARE * port=1 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587 + 2077.50ns INFO [00002079] Port=0 WR @06=A4DBEB32FF46ED3E4D + 2077.50ns INFO [00002079] Port=0 RD @04 + 2078.50ns INFO [00002080] Port=0 WR @00=322170E275BF9715CA + 2078.50ns INFO [00002080] Port=0 RD @03 + 2078.50ns INFO [00002080] Port=1 RD @02 + 2079.50ns INFO [00002081] * RD COMPARE * port=0 adr=04 act=BB4CDB1EF1116E93A4 exp=BB4CDB1EF1116E93A4 + 2080.50ns INFO [00002082] * RD COMPARE * port=0 adr=03 act=4DC66174B8EFB59DCF exp=4DC66174B8EFB59DCF + 2080.50ns INFO [00002082] * RD COMPARE * port=1 adr=02 act=B30E0BDD81418A021F exp=B30E0BDD81418A021F + 2081.50ns INFO [00002083] Port=0 WR @04=CEA892D0A61A673DE9 + 2081.50ns INFO [00002083] Port=0 RD @07 + 2082.50ns INFO [00002084] Port=1 RD @04 + 2083.50ns INFO [00002085] * RD COMPARE * port=0 adr=07 act=63E6DC17A26074C123 exp=63E6DC17A26074C123 + 2083.50ns INFO [00002085] Port=0 WR @01=16C9A65FD422A463D7 + 2083.50ns INFO [00002085] Port=1 RD @04 + 2084.50ns INFO [00002086] * RD COMPARE * port=1 adr=04 act=CEA892D0A61A673DE9 exp=CEA892D0A61A673DE9 + 2085.50ns INFO [00002087] * RD COMPARE * port=1 adr=04 act=CEA892D0A61A673DE9 exp=CEA892D0A61A673DE9 + 2085.50ns INFO [00002087] Port=1 RD @04 + 2086.50ns INFO [00002088] Port=0 WR @02=6FC9E63C471A40B0FE + 2086.50ns INFO [00002088] Port=0 RD @03 + 2086.50ns INFO [00002088] Port=1 RD @07 + 2087.50ns INFO [00002089] * RD COMPARE * port=1 adr=04 act=CEA892D0A61A673DE9 exp=CEA892D0A61A673DE9 + 2087.50ns INFO [00002089] Port=0 WR @07=BFC3E56C502D4BAD03 + 2087.50ns INFO [00002089] Port=0 RD @04 + 2088.50ns INFO [00002090] * RD COMPARE * port=0 adr=03 act=4DC66174B8EFB59DCF exp=4DC66174B8EFB59DCF + 2088.50ns INFO [00002090] * RD COMPARE * port=1 adr=07 act=63E6DC17A26074C123 exp=63E6DC17A26074C123 + 2088.50ns INFO [00002090] Port=0 WR @06=AFE70C6E75493FE2E6 + 2088.50ns INFO [00002090] Port=0 RD @03 + 2088.50ns INFO [00002090] Port=1 RD @01 + 2089.50ns INFO [00002091] * RD COMPARE * port=0 adr=04 act=CEA892D0A61A673DE9 exp=CEA892D0A61A673DE9 + 2089.50ns INFO [00002091] Port=0 WR @06=4E9832FF482EA5A8B9 + 2090.50ns INFO [00002092] * RD COMPARE * port=0 adr=03 act=4DC66174B8EFB59DCF exp=4DC66174B8EFB59DCF + 2090.50ns INFO [00002092] * RD COMPARE * port=1 adr=01 act=16C9A65FD422A463D7 exp=16C9A65FD422A463D7 + 2090.50ns INFO [00002092] Port=0 RD @00 + 2091.50ns INFO [00002093] Port=0 WR @04=EB0907D12AD817E89A + 2091.50ns INFO [00002093] Port=0 RD @07 + 2091.50ns INFO [00002093] Port=1 RD @01 + 2092.50ns INFO [00002094] * RD COMPARE * port=0 adr=00 act=322170E275BF9715CA exp=322170E275BF9715CA + 2092.50ns INFO [00002094] Port=0 RD @01 + 2093.50ns INFO [00002095] * RD COMPARE * port=0 adr=07 act=BFC3E56C502D4BAD03 exp=BFC3E56C502D4BAD03 + 2093.50ns INFO [00002095] * RD COMPARE * port=1 adr=01 act=16C9A65FD422A463D7 exp=16C9A65FD422A463D7 + 2093.50ns INFO [00002095] Port=0 RD @01 + 2094.50ns INFO [00002096] * RD COMPARE * port=0 adr=01 act=16C9A65FD422A463D7 exp=16C9A65FD422A463D7 + 2094.50ns INFO [00002096] Port=0 WR @06=4FEA15EB479D946536 + 2094.50ns INFO [00002096] Port=0 RD @04 + 2095.50ns INFO [00002097] * RD COMPARE * port=0 adr=01 act=16C9A65FD422A463D7 exp=16C9A65FD422A463D7 + 2095.50ns INFO [00002097] Port=0 RD @04 + 2096.50ns INFO [00002098] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A + 2096.50ns INFO [00002098] Port=0 WR @03=462639F30CFC09C657 + 2096.50ns INFO [00002098] Port=1 RD @05 + 2097.50ns INFO [00002099] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A + 2098.00ns INFO [00002100] [00002100] ...tick... + 2098.50ns INFO [00002100] * RD COMPARE * port=1 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587 + 2098.50ns INFO [00002100] Port=0 WR @07=4AD6EAB631FD59B11E + 2100.50ns INFO [00002102] Port=0 WR @02=2493AAB1B4F1DD484D + 2100.50ns INFO [00002102] Port=1 RD @04 + 2101.50ns INFO [00002103] Port=0 RD @04 + 2102.50ns INFO [00002104] * RD COMPARE * port=1 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A + 2102.50ns INFO [00002104] Port=0 RD @05 + 2102.50ns INFO [00002104] Port=1 RD @06 + 2103.50ns INFO [00002105] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A + 2103.50ns INFO [00002105] Port=1 RD @03 + 2104.50ns INFO [00002106] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587 + 2104.50ns INFO [00002106] * RD COMPARE * port=1 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536 + 2104.50ns INFO [00002106] Port=0 RD @05 + 2105.50ns INFO [00002107] * RD COMPARE * port=1 adr=03 act=462639F30CFC09C657 exp=462639F30CFC09C657 + 2105.50ns INFO [00002107] Port=0 RD @02 + 2105.50ns INFO [00002107] Port=1 RD @00 + 2106.50ns INFO [00002108] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587 + 2106.50ns INFO [00002108] Port=1 RD @02 + 2107.50ns INFO [00002109] * RD COMPARE * port=0 adr=02 act=2493AAB1B4F1DD484D exp=2493AAB1B4F1DD484D + 2107.50ns INFO [00002109] * RD COMPARE * port=1 adr=00 act=322170E275BF9715CA exp=322170E275BF9715CA + 2107.50ns INFO [00002109] Port=0 WR @00=71025D64E6BE8755E1 + 2107.50ns INFO [00002109] Port=0 RD @05 + 2108.50ns INFO [00002110] * RD COMPARE * port=1 adr=02 act=2493AAB1B4F1DD484D exp=2493AAB1B4F1DD484D + 2109.50ns INFO [00002111] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587 + 2109.50ns INFO [00002111] Port=0 RD @06 + 2110.50ns INFO [00002112] Port=0 WR @02=E60ADF5B8E04325958 + 2110.50ns INFO [00002112] Port=0 RD @05 + 2111.50ns INFO [00002113] * RD COMPARE * port=0 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536 + 2111.50ns INFO [00002113] Port=0 WR @03=B045DFFD13915503FF + 2111.50ns INFO [00002113] Port=0 RD @04 + 2111.50ns INFO [00002113] Port=1 RD @02 + 2112.50ns INFO [00002114] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587 + 2112.50ns INFO [00002114] Port=1 RD @03 + 2113.50ns INFO [00002115] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A + 2113.50ns INFO [00002115] * RD COMPARE * port=1 adr=02 act=E60ADF5B8E04325958 exp=E60ADF5B8E04325958 + 2113.50ns INFO [00002115] Port=0 WR @07=A84FD5394CCE82237E + 2113.50ns INFO [00002115] Port=0 RD @05 + 2114.50ns INFO [00002116] * RD COMPARE * port=1 adr=03 act=B045DFFD13915503FF exp=B045DFFD13915503FF + 2114.50ns INFO [00002116] Port=0 RD @04 + 2115.50ns INFO [00002117] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587 + 2115.50ns INFO [00002117] Port=0 WR @02=3E46AE63D4063F3C23 + 2115.50ns INFO [00002117] Port=0 RD @00 + 2116.50ns INFO [00002118] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A + 2116.50ns INFO [00002118] Port=0 WR @01=AA79348425BF2931F6 + 2116.50ns INFO [00002118] Port=1 RD @02 + 2117.50ns INFO [00002119] * RD COMPARE * port=0 adr=00 act=71025D64E6BE8755E1 exp=71025D64E6BE8755E1 + 2117.50ns INFO [00002119] Port=0 RD @00 + 2117.50ns INFO [00002119] Port=1 RD @02 + 2118.50ns INFO [00002120] * RD COMPARE * port=1 adr=02 act=3E46AE63D4063F3C23 exp=3E46AE63D4063F3C23 + 2118.50ns INFO [00002120] Port=0 RD @05 + 2118.50ns INFO [00002120] Port=1 RD @07 + 2119.50ns INFO [00002121] * RD COMPARE * port=0 adr=00 act=71025D64E6BE8755E1 exp=71025D64E6BE8755E1 + 2119.50ns INFO [00002121] * RD COMPARE * port=1 adr=02 act=3E46AE63D4063F3C23 exp=3E46AE63D4063F3C23 + 2119.50ns INFO [00002121] Port=0 WR @05=29488D398817C64079 + 2119.50ns INFO [00002121] Port=1 RD @02 + 2120.50ns INFO [00002122] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587 + 2120.50ns INFO [00002122] * RD COMPARE * port=1 adr=07 act=A84FD5394CCE82237E exp=A84FD5394CCE82237E + 2120.50ns INFO [00002122] Port=0 WR @07=3E8516DB21BCE24566 + 2120.50ns INFO [00002122] Port=0 RD @01 + 2120.50ns INFO [00002122] Port=1 RD @06 + 2121.50ns INFO [00002123] * RD COMPARE * port=1 adr=02 act=3E46AE63D4063F3C23 exp=3E46AE63D4063F3C23 + 2121.50ns INFO [00002123] Port=0 RD @05 + 2122.50ns INFO [00002124] * RD COMPARE * port=0 adr=01 act=AA79348425BF2931F6 exp=AA79348425BF2931F6 + 2122.50ns INFO [00002124] * RD COMPARE * port=1 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536 + 2122.50ns INFO [00002124] Port=0 WR @01=3D1B0214D4A50AC2C7 + 2122.50ns INFO [00002124] Port=0 RD @05 + 2123.50ns INFO [00002125] * RD COMPARE * port=0 adr=05 act=29488D398817C64079 exp=29488D398817C64079 + 2124.50ns INFO [00002126] * RD COMPARE * port=0 adr=05 act=29488D398817C64079 exp=29488D398817C64079 + 2125.50ns INFO [00002127] Port=0 RD @05 + 2126.50ns INFO [00002128] Port=0 WR @05=5058C2DACBFDF973DC + 2126.50ns INFO [00002128] Port=0 RD @02 + 2126.50ns INFO [00002128] Port=1 RD @00 + 2127.50ns INFO [00002129] * RD COMPARE * port=0 adr=05 act=29488D398817C64079 exp=29488D398817C64079 + 2127.50ns INFO [00002129] Port=0 RD @01 + 2127.50ns INFO [00002129] Port=1 RD @03 + 2128.50ns INFO [00002130] * RD COMPARE * port=0 adr=02 act=3E46AE63D4063F3C23 exp=3E46AE63D4063F3C23 + 2128.50ns INFO [00002130] * RD COMPARE * port=1 adr=00 act=71025D64E6BE8755E1 exp=71025D64E6BE8755E1 + 2128.50ns INFO [00002130] Port=1 RD @03 + 2129.50ns INFO [00002131] * RD COMPARE * port=0 adr=01 act=3D1B0214D4A50AC2C7 exp=3D1B0214D4A50AC2C7 + 2129.50ns INFO [00002131] * RD COMPARE * port=1 adr=03 act=B045DFFD13915503FF exp=B045DFFD13915503FF + 2129.50ns INFO [00002131] Port=0 RD @04 + 2129.50ns INFO [00002131] Port=1 RD @01 + 2130.50ns INFO [00002132] * RD COMPARE * port=1 adr=03 act=B045DFFD13915503FF exp=B045DFFD13915503FF + 2130.50ns INFO [00002132] Port=0 WR @03=E02338E71B1FAE8E7E + 2131.50ns INFO [00002133] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A + 2131.50ns INFO [00002133] * RD COMPARE * port=1 adr=01 act=3D1B0214D4A50AC2C7 exp=3D1B0214D4A50AC2C7 + 2132.50ns INFO [00002134] Port=0 WR @01=D61A5071B52B0E12EB + 2132.50ns INFO [00002134] Port=0 RD @06 + 2132.50ns INFO [00002134] Port=1 RD @04 + 2134.50ns INFO [00002136] * RD COMPARE * port=0 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536 + 2134.50ns INFO [00002136] * RD COMPARE * port=1 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A + 2138.50ns INFO [00002140] Port=0 WR @02=B2D754C5C4F632FA58 + 2140.50ns INFO [00002142] Port=0 WR @05=ED0B007FBE3774D4D2 + 2140.50ns INFO [00002142] Port=0 RD @00 + 2141.50ns INFO [00002143] Port=0 WR @00=6CB8BE65C46707BE2B + 2141.50ns INFO [00002143] Port=1 RD @05 + 2142.50ns INFO [00002144] * RD COMPARE * port=0 adr=00 act=71025D64E6BE8755E1 exp=71025D64E6BE8755E1 + 2142.50ns INFO [00002144] Port=1 RD @05 + 2143.50ns INFO [00002145] * RD COMPARE * port=1 adr=05 act=ED0B007FBE3774D4D2 exp=ED0B007FBE3774D4D2 + 2143.50ns INFO [00002145] Port=0 RD @07 + 2144.50ns INFO [00002146] * RD COMPARE * port=1 adr=05 act=ED0B007FBE3774D4D2 exp=ED0B007FBE3774D4D2 + 2144.50ns INFO [00002146] Port=1 RD @05 + 2145.50ns INFO [00002147] * RD COMPARE * port=0 adr=07 act=3E8516DB21BCE24566 exp=3E8516DB21BCE24566 + 2145.50ns INFO [00002147] Port=0 WR @04=66366D5131A3C15410 + 2145.50ns INFO [00002147] Port=1 RD @01 + 2146.50ns INFO [00002148] * RD COMPARE * port=1 adr=05 act=ED0B007FBE3774D4D2 exp=ED0B007FBE3774D4D2 + 2147.50ns INFO [00002149] * RD COMPARE * port=1 adr=01 act=D61A5071B52B0E12EB exp=D61A5071B52B0E12EB + 2148.50ns INFO [00002150] Port=0 WR @00=86BD56B33453E5C2E0 + 2148.50ns INFO [00002150] Port=0 RD @07 + 2148.50ns INFO [00002150] Port=1 RD @04 + 2149.50ns INFO [00002151] Port=0 RD @01 + 2150.50ns INFO [00002152] * RD COMPARE * port=0 adr=07 act=3E8516DB21BCE24566 exp=3E8516DB21BCE24566 + 2150.50ns INFO [00002152] * RD COMPARE * port=1 adr=04 act=66366D5131A3C15410 exp=66366D5131A3C15410 + 2151.50ns INFO [00002153] * RD COMPARE * port=0 adr=01 act=D61A5071B52B0E12EB exp=D61A5071B52B0E12EB + 2152.50ns INFO [00002154] Port=0 WR @00=214261ED40A2B6CB32 + 2153.50ns INFO [00002155] Port=0 RD @06 + 2154.50ns INFO [00002156] Port=0 RD @05 + 2155.50ns INFO [00002157] * RD COMPARE * port=0 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536 + 2155.50ns INFO [00002157] Port=1 RD @03 + 2156.50ns INFO [00002158] * RD COMPARE * port=0 adr=05 act=ED0B007FBE3774D4D2 exp=ED0B007FBE3774D4D2 + 2156.50ns INFO [00002158] Port=0 WR @04=50B5EF0CCF01C98282 + 2156.50ns INFO [00002158] Port=0 RD @02 + 2157.50ns INFO [00002159] * RD COMPARE * port=1 adr=03 act=E02338E71B1FAE8E7E exp=E02338E71B1FAE8E7E + 2158.50ns INFO [00002160] * RD COMPARE * port=0 adr=02 act=B2D754C5C4F632FA58 exp=B2D754C5C4F632FA58 + 2158.50ns INFO [00002160] Port=0 RD @00 + 2158.50ns INFO [00002160] Port=1 RD @02 + 2159.50ns INFO [00002161] Port=0 WR @04=E43FA86D14C4A46DD4 + 2160.50ns INFO [00002162] * RD COMPARE * port=0 adr=00 act=214261ED40A2B6CB32 exp=214261ED40A2B6CB32 + 2160.50ns INFO [00002162] * RD COMPARE * port=1 adr=02 act=B2D754C5C4F632FA58 exp=B2D754C5C4F632FA58 + 2160.50ns INFO [00002162] Port=0 WR @05=B0A7222C1B0B70C004 + 2161.50ns INFO [00002163] Port=0 RD @03 + 2162.50ns INFO [00002164] Port=0 WR @02=0D094625DA5E8A9F71 + 2163.50ns INFO [00002165] * RD COMPARE * port=0 adr=03 act=E02338E71B1FAE8E7E exp=E02338E71B1FAE8E7E + 2163.50ns INFO [00002165] Port=1 RD @02 + 2164.50ns INFO [00002166] Port=0 WR @07=76B3A4A2D027CF6571 + 2164.50ns INFO [00002166] Port=0 RD @04 + 2165.50ns INFO [00002167] * RD COMPARE * port=1 adr=02 act=0D094625DA5E8A9F71 exp=0D094625DA5E8A9F71 + 2165.50ns INFO [00002167] Port=0 WR @03=8C81000AADC7D3291E + 2165.50ns INFO [00002167] Port=1 RD @06 + 2166.50ns INFO [00002168] * RD COMPARE * port=0 adr=04 act=E43FA86D14C4A46DD4 exp=E43FA86D14C4A46DD4 + 2166.50ns INFO [00002168] Port=1 RD @03 + 2167.50ns INFO [00002169] * RD COMPARE * port=1 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536 + 2167.50ns INFO [00002169] Port=1 RD @02 + 2168.50ns INFO [00002170] * RD COMPARE * port=1 adr=03 act=8C81000AADC7D3291E exp=8C81000AADC7D3291E + 2168.50ns INFO [00002170] Port=0 WR @05=429C70D9171EFBD61A + 2168.50ns INFO [00002170] Port=1 RD @03 + 2169.50ns INFO [00002171] * RD COMPARE * port=1 adr=02 act=0D094625DA5E8A9F71 exp=0D094625DA5E8A9F71 + 2170.50ns INFO [00002172] * RD COMPARE * port=1 adr=03 act=8C81000AADC7D3291E exp=8C81000AADC7D3291E + 2171.50ns INFO [00002173] Port=0 WR @06=7CE70F056E79EBEDE2 + 2171.50ns INFO [00002173] Port=0 RD @02 + 2172.50ns INFO [00002174] Port=0 WR @02=DE1A3903C5287F3401 + 2173.50ns INFO [00002175] * RD COMPARE * port=0 adr=02 act=0D094625DA5E8A9F71 exp=0D094625DA5E8A9F71 + 2173.50ns INFO [00002175] Port=0 WR @03=5CB16D79AF1FDD6D28 + 2173.50ns INFO [00002175] Port=0 RD @05 + 2173.50ns INFO [00002175] Port=1 RD @07 + 2174.50ns INFO [00002176] Port=0 WR @01=4C79FF07FBB1875141 + 2174.50ns INFO [00002176] Port=0 RD @07 + 2175.50ns INFO [00002177] * RD COMPARE * port=0 adr=05 act=429C70D9171EFBD61A exp=429C70D9171EFBD61A + 2175.50ns INFO [00002177] * RD COMPARE * port=1 adr=07 act=76B3A4A2D027CF6571 exp=76B3A4A2D027CF6571 + 2175.50ns INFO [00002177] Port=1 RD @06 + 2176.50ns INFO [00002178] * RD COMPARE * port=0 adr=07 act=76B3A4A2D027CF6571 exp=76B3A4A2D027CF6571 + 2177.50ns INFO [00002179] * RD COMPARE * port=1 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2 + 2178.50ns INFO [00002180] Port=0 WR @02=8627EAEFF6A0C30DFF + 2178.50ns INFO [00002180] Port=0 RD @01 + 2180.50ns INFO [00002182] * RD COMPARE * port=0 adr=01 act=4C79FF07FBB1875141 exp=4C79FF07FBB1875141 + 2180.50ns INFO [00002182] Port=0 WR @04=6BFB08B40F7D0996F6 + 2180.50ns INFO [00002182] Port=0 RD @03 + 2180.50ns INFO [00002182] Port=1 RD @00 + 2182.50ns INFO [00002184] * RD COMPARE * port=0 adr=03 act=5CB16D79AF1FDD6D28 exp=5CB16D79AF1FDD6D28 + 2182.50ns INFO [00002184] * RD COMPARE * port=1 adr=00 act=214261ED40A2B6CB32 exp=214261ED40A2B6CB32 + 2182.50ns INFO [00002184] Port=0 WR @02=9C6DFED24498777903 + 2182.50ns INFO [00002184] Port=0 RD @00 + 2184.50ns INFO [00002186] * RD COMPARE * port=0 adr=00 act=214261ED40A2B6CB32 exp=214261ED40A2B6CB32 + 2184.50ns INFO [00002186] Port=0 RD @01 + 2184.50ns INFO [00002186] Port=1 RD @01 + 2185.50ns INFO [00002187] Port=0 WR @05=D1512F0440145BDC8C + 2185.50ns INFO [00002187] Port=0 RD @03 + 2185.50ns INFO [00002187] Port=1 RD @03 + 2186.50ns INFO [00002188] * RD COMPARE * port=0 adr=01 act=4C79FF07FBB1875141 exp=4C79FF07FBB1875141 + 2186.50ns INFO [00002188] * RD COMPARE * port=1 adr=01 act=4C79FF07FBB1875141 exp=4C79FF07FBB1875141 + 2187.50ns INFO [00002189] * RD COMPARE * port=0 adr=03 act=5CB16D79AF1FDD6D28 exp=5CB16D79AF1FDD6D28 + 2187.50ns INFO [00002189] * RD COMPARE * port=1 adr=03 act=5CB16D79AF1FDD6D28 exp=5CB16D79AF1FDD6D28 + 2187.50ns INFO [00002189] Port=1 RD @01 + 2188.50ns INFO [00002190] Port=0 WR @01=7441EDC17A6D395558 + 2188.50ns INFO [00002190] Port=0 RD @04 + 2188.50ns INFO [00002190] Port=1 RD @04 + 2189.50ns INFO [00002191] * RD COMPARE * port=1 adr=01 act=4C79FF07FBB1875141 exp=4C79FF07FBB1875141 + 2189.50ns INFO [00002191] Port=0 RD @06 + 2190.50ns INFO [00002192] * RD COMPARE * port=0 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6 + 2190.50ns INFO [00002192] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6 + 2190.50ns INFO [00002192] Port=0 WR @05=B326BB2B1F38985408 + 2190.50ns INFO [00002192] Port=1 RD @03 + 2191.50ns INFO [00002193] * RD COMPARE * port=0 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2 + 2191.50ns INFO [00002193] Port=0 WR @05=9351286F7E867E69AE + 2191.50ns INFO [00002193] Port=1 RD @04 + 2192.50ns INFO [00002194] * RD COMPARE * port=1 adr=03 act=5CB16D79AF1FDD6D28 exp=5CB16D79AF1FDD6D28 + 2192.50ns INFO [00002194] Port=0 RD @05 + 2192.50ns INFO [00002194] Port=1 RD @02 + 2193.50ns INFO [00002195] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6 + 2193.50ns INFO [00002195] Port=0 RD @07 + 2193.50ns INFO [00002195] Port=1 RD @00 + 2194.50ns INFO [00002196] * RD COMPARE * port=0 adr=05 act=9351286F7E867E69AE exp=9351286F7E867E69AE + 2194.50ns INFO [00002196] * RD COMPARE * port=1 adr=02 act=9C6DFED24498777903 exp=9C6DFED24498777903 + 2194.50ns INFO [00002196] Port=0 RD @01 + 2195.50ns INFO [00002197] * RD COMPARE * port=0 adr=07 act=76B3A4A2D027CF6571 exp=76B3A4A2D027CF6571 + 2195.50ns INFO [00002197] * RD COMPARE * port=1 adr=00 act=214261ED40A2B6CB32 exp=214261ED40A2B6CB32 + 2196.50ns INFO [00002198] * RD COMPARE * port=0 adr=01 act=7441EDC17A6D395558 exp=7441EDC17A6D395558 + 2196.50ns INFO [00002198] Port=0 RD @05 + 2196.50ns INFO [00002198] Port=1 RD @04 + 2197.50ns INFO [00002199] Port=0 RD @06 + 2198.00ns INFO [00002200] [00002200] ...tick... + 2198.50ns INFO [00002200] * RD COMPARE * port=0 adr=05 act=9351286F7E867E69AE exp=9351286F7E867E69AE + 2198.50ns INFO [00002200] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6 + 2198.50ns INFO [00002200] Port=0 WR @07=1E3A668994C4AF2D51 + 2198.50ns INFO [00002200] Port=0 RD @05 + 2198.50ns INFO [00002200] Port=1 RD @02 + 2199.50ns INFO [00002201] * RD COMPARE * port=0 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2 + 2199.50ns INFO [00002201] Port=0 WR @03=B0347F65F3586EF838 + 2200.50ns INFO [00002202] * RD COMPARE * port=0 adr=05 act=9351286F7E867E69AE exp=9351286F7E867E69AE + 2200.50ns INFO [00002202] * RD COMPARE * port=1 adr=02 act=9C6DFED24498777903 exp=9C6DFED24498777903 + 2200.50ns INFO [00002202] Port=0 WR @07=6FDC587220FA07FE94 + 2200.50ns INFO [00002202] Port=1 RD @06 + 2201.50ns INFO [00002203] Port=1 RD @05 + 2202.50ns INFO [00002204] * RD COMPARE * port=1 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2 + 2202.50ns INFO [00002204] Port=0 RD @01 + 2203.50ns INFO [00002205] * RD COMPARE * port=1 adr=05 act=9351286F7E867E69AE exp=9351286F7E867E69AE + 2203.50ns INFO [00002205] Port=0 RD @02 + 2204.50ns INFO [00002206] * RD COMPARE * port=0 adr=01 act=7441EDC17A6D395558 exp=7441EDC17A6D395558 + 2205.50ns INFO [00002207] * RD COMPARE * port=0 adr=02 act=9C6DFED24498777903 exp=9C6DFED24498777903 + 2207.50ns INFO [00002209] Port=0 WR @01=7BCE67A89633E3E51B + 2207.50ns INFO [00002209] Port=1 RD @04 + 2208.50ns INFO [00002210] Port=0 RD @04 + 2208.50ns INFO [00002210] Port=1 RD @00 + 2209.50ns INFO [00002211] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6 + 2209.50ns INFO [00002211] Port=0 WR @01=FEF916A858A7024414 + 2209.50ns INFO [00002211] Port=0 RD @03 + 2210.50ns INFO [00002212] * RD COMPARE * port=0 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6 + 2210.50ns INFO [00002212] * RD COMPARE * port=1 adr=00 act=214261ED40A2B6CB32 exp=214261ED40A2B6CB32 + 2211.50ns INFO [00002213] * RD COMPARE * port=0 adr=03 act=B0347F65F3586EF838 exp=B0347F65F3586EF838 + 2211.50ns INFO [00002213] Port=0 WR @00=CEE9F58F7EB1C83744 + 2212.50ns INFO [00002214] Port=0 WR @02=AE53404C12201415F3 + 2213.50ns INFO [00002215] Port=0 RD @07 + 2213.50ns INFO [00002215] Port=1 RD @00 + 2214.50ns INFO [00002216] Port=0 RD @07 + 2215.50ns INFO [00002217] * RD COMPARE * port=0 adr=07 act=6FDC587220FA07FE94 exp=6FDC587220FA07FE94 + 2215.50ns INFO [00002217] * RD COMPARE * port=1 adr=00 act=CEE9F58F7EB1C83744 exp=CEE9F58F7EB1C83744 + 2216.50ns INFO [00002218] * RD COMPARE * port=0 adr=07 act=6FDC587220FA07FE94 exp=6FDC587220FA07FE94 + 2217.50ns INFO [00002219] Port=0 WR @03=87785FCD0FC492A6BB + 2218.50ns INFO [00002220] Port=0 RD @02 + 2219.50ns INFO [00002221] Port=0 WR @07=0409493951F814F6F0 + 2219.50ns INFO [00002221] Port=1 RD @04 + 2220.50ns INFO [00002222] * RD COMPARE * port=0 adr=02 act=AE53404C12201415F3 exp=AE53404C12201415F3 + 2220.50ns INFO [00002222] Port=0 WR @00=596356FE47828087A9 + 2220.50ns INFO [00002222] Port=1 RD @01 + 2221.50ns INFO [00002223] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6 + 2221.50ns INFO [00002223] Port=0 WR @07=FB5CDFC24F6E3C4DA4 + 2221.50ns INFO [00002223] Port=0 RD @04 + 2222.50ns INFO [00002224] * RD COMPARE * port=1 adr=01 act=FEF916A858A7024414 exp=FEF916A858A7024414 + 2222.50ns INFO [00002224] Port=0 WR @00=F2FF2ED4508F0DB962 + 2222.50ns INFO [00002224] Port=0 RD @04 + 2222.50ns INFO [00002224] Port=1 RD @05 + 2223.50ns INFO [00002225] * RD COMPARE * port=0 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6 + 2223.50ns INFO [00002225] Port=0 WR @07=6D8ADB413D559E61CE + 2223.50ns INFO [00002225] Port=1 RD @04 + 2224.50ns INFO [00002226] * RD COMPARE * port=0 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6 + 2224.50ns INFO [00002226] * RD COMPARE * port=1 adr=05 act=9351286F7E867E69AE exp=9351286F7E867E69AE + 2224.50ns INFO [00002226] Port=1 RD @03 + 2225.50ns INFO [00002227] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6 + 2225.50ns INFO [00002227] Port=0 WR @00=1A2C28DA2C6F59E5B5 + 2226.50ns INFO [00002228] * RD COMPARE * port=1 adr=03 act=87785FCD0FC492A6BB exp=87785FCD0FC492A6BB + 2227.50ns INFO [00002229] Port=0 WR @05=1883A52B9480FFC294 + 2228.50ns INFO [00002230] Port=0 WR @04=BB36170D6EC477D4BD + 2228.50ns INFO [00002230] Port=0 RD @00 + 2229.50ns INFO [00002231] Port=0 WR @02=273F20DBD5237409FD + 2230.50ns INFO [00002232] * RD COMPARE * port=0 adr=00 act=1A2C28DA2C6F59E5B5 exp=1A2C28DA2C6F59E5B5 + 2230.50ns INFO [00002232] Port=1 RD @06 + 2231.50ns INFO [00002233] Port=0 RD @05 + 2231.50ns INFO [00002233] Port=1 RD @01 + 2232.50ns INFO [00002234] * RD COMPARE * port=1 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2 + 2233.50ns INFO [00002235] * RD COMPARE * port=0 adr=05 act=1883A52B9480FFC294 exp=1883A52B9480FFC294 + 2233.50ns INFO [00002235] * RD COMPARE * port=1 adr=01 act=FEF916A858A7024414 exp=FEF916A858A7024414 + 2235.50ns INFO [00002237] Port=1 RD @05 + 2237.50ns INFO [00002239] * RD COMPARE * port=1 adr=05 act=1883A52B9480FFC294 exp=1883A52B9480FFC294 + 2237.50ns INFO [00002239] Port=0 RD @04 + 2238.50ns INFO [00002240] Port=0 WR @04=4A01178F42F4D414EE + 2238.50ns INFO [00002240] Port=1 RD @06 + 2239.50ns INFO [00002241] * RD COMPARE * port=0 adr=04 act=BB36170D6EC477D4BD exp=BB36170D6EC477D4BD + 2239.50ns INFO [00002241] Port=1 RD @03 + 2240.50ns INFO [00002242] * RD COMPARE * port=1 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2 + 2240.50ns INFO [00002242] Port=0 WR @06=E40FFA685CD6C965B2 + 2241.50ns INFO [00002243] * RD COMPARE * port=1 adr=03 act=87785FCD0FC492A6BB exp=87785FCD0FC492A6BB + 2241.50ns INFO [00002243] Port=0 RD @01 + 2241.50ns INFO [00002243] Port=1 RD @05 + 2242.50ns INFO [00002244] Port=1 RD @03 + 2243.50ns INFO [00002245] * RD COMPARE * port=0 adr=01 act=FEF916A858A7024414 exp=FEF916A858A7024414 + 2243.50ns INFO [00002245] * RD COMPARE * port=1 adr=05 act=1883A52B9480FFC294 exp=1883A52B9480FFC294 + 2243.50ns INFO [00002245] Port=0 WR @05=BDF807BC29E923256E + 2243.50ns INFO [00002245] Port=1 RD @07 + 2244.50ns INFO [00002246] * RD COMPARE * port=1 adr=03 act=87785FCD0FC492A6BB exp=87785FCD0FC492A6BB + 2245.50ns INFO [00002247] * RD COMPARE * port=1 adr=07 act=6D8ADB413D559E61CE exp=6D8ADB413D559E61CE + 2246.50ns INFO [00002248] Port=0 WR @07=7F02EB13F777409DD7 + 2246.50ns INFO [00002248] Port=1 RD @03 + 2247.50ns INFO [00002249] Port=1 RD @07 + 2248.50ns INFO [00002250] * RD COMPARE * port=1 adr=03 act=87785FCD0FC492A6BB exp=87785FCD0FC492A6BB + 2248.50ns INFO [00002250] Port=0 RD @03 + 2248.50ns INFO [00002250] Port=1 RD @04 + 2249.50ns INFO [00002251] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7 + 2249.50ns INFO [00002251] Port=0 WR @03=58F90C6B8FADFA8FA6 + 2250.50ns INFO [00002252] * RD COMPARE * port=0 adr=03 act=87785FCD0FC492A6BB exp=87785FCD0FC492A6BB + 2250.50ns INFO [00002252] * RD COMPARE * port=1 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE + 2250.50ns INFO [00002252] Port=0 RD @04 + 2250.50ns INFO [00002252] Port=1 RD @02 + 2251.50ns INFO [00002253] Port=0 WR @00=F3B65E52D14A15E62F + 2251.50ns INFO [00002253] Port=1 RD @07 + 2252.50ns INFO [00002254] * RD COMPARE * port=0 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE + 2252.50ns INFO [00002254] * RD COMPARE * port=1 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD + 2252.50ns INFO [00002254] Port=0 WR @01=89939CC42618A2CA5A + 2252.50ns INFO [00002254] Port=1 RD @03 + 2253.50ns INFO [00002255] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7 + 2253.50ns INFO [00002255] Port=0 WR @01=2F8211BAEA9A4AEF09 + 2253.50ns INFO [00002255] Port=1 RD @04 + 2254.50ns INFO [00002256] * RD COMPARE * port=1 adr=03 act=58F90C6B8FADFA8FA6 exp=58F90C6B8FADFA8FA6 + 2254.50ns INFO [00002256] Port=0 WR @01=8828E31A7F47277D17 + 2254.50ns INFO [00002256] Port=1 RD @05 + 2255.50ns INFO [00002257] * RD COMPARE * port=1 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE + 2255.50ns INFO [00002257] Port=0 WR @01=66271DFD43A8BF83BC + 2255.50ns INFO [00002257] Port=0 RD @03 + 2256.50ns INFO [00002258] * RD COMPARE * port=1 adr=05 act=BDF807BC29E923256E exp=BDF807BC29E923256E + 2257.50ns INFO [00002259] * RD COMPARE * port=0 adr=03 act=58F90C6B8FADFA8FA6 exp=58F90C6B8FADFA8FA6 + 2259.50ns INFO [00002261] Port=0 RD @03 + 2259.50ns INFO [00002261] Port=1 RD @02 + 2260.50ns INFO [00002262] Port=0 WR @06=4DD4FC1D7559CB0855 + 2260.50ns INFO [00002262] Port=0 RD @07 + 2260.50ns INFO [00002262] Port=1 RD @02 + 2261.50ns INFO [00002263] * RD COMPARE * port=0 adr=03 act=58F90C6B8FADFA8FA6 exp=58F90C6B8FADFA8FA6 + 2261.50ns INFO [00002263] * RD COMPARE * port=1 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD + 2261.50ns INFO [00002263] Port=0 WR @00=57E554751D2618E5E7 + 2261.50ns INFO [00002263] Port=1 RD @02 + 2262.50ns INFO [00002264] * RD COMPARE * port=0 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7 + 2262.50ns INFO [00002264] * RD COMPARE * port=1 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD + 2262.50ns INFO [00002264] Port=0 RD @02 + 2263.50ns INFO [00002265] * RD COMPARE * port=1 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD + 2263.50ns INFO [00002265] Port=0 RD @04 + 2263.50ns INFO [00002265] Port=1 RD @05 + 2264.50ns INFO [00002266] * RD COMPARE * port=0 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD + 2264.50ns INFO [00002266] Port=0 RD @00 + 2265.50ns INFO [00002267] * RD COMPARE * port=0 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE + 2265.50ns INFO [00002267] * RD COMPARE * port=1 adr=05 act=BDF807BC29E923256E exp=BDF807BC29E923256E + 2265.50ns INFO [00002267] Port=0 RD @06 + 2265.50ns INFO [00002267] Port=1 RD @03 + 2266.50ns INFO [00002268] * RD COMPARE * port=0 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7 + 2267.50ns INFO [00002269] * RD COMPARE * port=0 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855 + 2267.50ns INFO [00002269] * RD COMPARE * port=1 adr=03 act=58F90C6B8FADFA8FA6 exp=58F90C6B8FADFA8FA6 + 2267.50ns INFO [00002269] Port=1 RD @06 + 2268.50ns INFO [00002270] Port=0 WR @03=3BBBF8E27EDA3A6F7A + 2268.50ns INFO [00002270] Port=1 RD @00 + 2269.50ns INFO [00002271] * RD COMPARE * port=1 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855 + 2270.50ns INFO [00002272] * RD COMPARE * port=1 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7 + 2270.50ns INFO [00002272] Port=0 RD @04 + 2272.50ns INFO [00002274] * RD COMPARE * port=0 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE + 2272.50ns INFO [00002274] Port=0 RD @00 + 2272.50ns INFO [00002274] Port=1 RD @03 + 2273.50ns INFO [00002275] Port=0 RD @01 + 2274.50ns INFO [00002276] * RD COMPARE * port=0 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7 + 2274.50ns INFO [00002276] * RD COMPARE * port=1 adr=03 act=3BBBF8E27EDA3A6F7A exp=3BBBF8E27EDA3A6F7A + 2274.50ns INFO [00002276] Port=1 RD @04 + 2275.50ns INFO [00002277] * RD COMPARE * port=0 adr=01 act=66271DFD43A8BF83BC exp=66271DFD43A8BF83BC + 2275.50ns INFO [00002277] Port=0 RD @01 + 2275.50ns INFO [00002277] Port=1 RD @01 + 2276.50ns INFO [00002278] * RD COMPARE * port=1 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE + 2276.50ns INFO [00002278] Port=1 RD @07 + 2277.50ns INFO [00002279] * RD COMPARE * port=0 adr=01 act=66271DFD43A8BF83BC exp=66271DFD43A8BF83BC + 2277.50ns INFO [00002279] * RD COMPARE * port=1 adr=01 act=66271DFD43A8BF83BC exp=66271DFD43A8BF83BC + 2277.50ns INFO [00002279] Port=0 RD @01 + 2278.50ns INFO [00002280] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7 + 2279.50ns INFO [00002281] * RD COMPARE * port=0 adr=01 act=66271DFD43A8BF83BC exp=66271DFD43A8BF83BC + 2279.50ns INFO [00002281] Port=1 RD @07 + 2281.50ns INFO [00002283] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7 + 2281.50ns INFO [00002283] Port=0 WR @01=D75FC40E51F91384B5 + 2282.50ns INFO [00002284] Port=0 RD @06 + 2282.50ns INFO [00002284] Port=1 RD @00 + 2283.50ns INFO [00002285] Port=1 RD @05 + 2284.50ns INFO [00002286] * RD COMPARE * port=0 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855 + 2284.50ns INFO [00002286] * RD COMPARE * port=1 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7 + 2284.50ns INFO [00002286] Port=0 WR @04=161B66062258635AE7 + 2284.50ns INFO [00002286] Port=0 RD @07 + 2284.50ns INFO [00002286] Port=1 RD @07 + 2285.50ns INFO [00002287] * RD COMPARE * port=1 adr=05 act=BDF807BC29E923256E exp=BDF807BC29E923256E + 2286.50ns INFO [00002288] * RD COMPARE * port=0 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7 + 2286.50ns INFO [00002288] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7 + 2286.50ns INFO [00002288] Port=0 RD @01 + 2288.50ns INFO [00002290] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2289.50ns INFO [00002291] Port=1 RD @07 + 2290.50ns INFO [00002292] Port=1 RD @00 + 2291.50ns INFO [00002293] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7 + 2291.50ns INFO [00002293] Port=0 WR @04=61F11C783355471BBC + 2291.50ns INFO [00002293] Port=1 RD @05 + 2292.50ns INFO [00002294] * RD COMPARE * port=1 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7 + 2292.50ns INFO [00002294] Port=0 WR @03=46976544FC99FD262F + 2292.50ns INFO [00002294] Port=0 RD @06 + 2292.50ns INFO [00002294] Port=1 RD @04 + 2293.50ns INFO [00002295] * RD COMPARE * port=1 adr=05 act=BDF807BC29E923256E exp=BDF807BC29E923256E + 2293.50ns INFO [00002295] Port=0 RD @01 + 2293.50ns INFO [00002295] Port=1 RD @06 + 2294.50ns INFO [00002296] * RD COMPARE * port=0 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855 + 2294.50ns INFO [00002296] * RD COMPARE * port=1 adr=04 act=61F11C783355471BBC exp=61F11C783355471BBC + 2294.50ns INFO [00002296] Port=0 RD @00 + 2294.50ns INFO [00002296] Port=1 RD @06 + 2295.50ns INFO [00002297] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2295.50ns INFO [00002297] * RD COMPARE * port=1 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855 + 2295.50ns INFO [00002297] Port=0 WR @05=57E14E7C0450BEE996 + 2295.50ns INFO [00002297] Port=0 RD @04 + 2296.50ns INFO [00002298] * RD COMPARE * port=0 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7 + 2296.50ns INFO [00002298] * RD COMPARE * port=1 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855 + 2296.50ns INFO [00002298] Port=0 WR @00=89CD6051B9472F9963 + 2296.50ns INFO [00002298] Port=0 RD @01 + 2296.50ns INFO [00002298] Port=1 RD @01 + 2297.50ns INFO [00002299] * RD COMPARE * port=0 adr=04 act=61F11C783355471BBC exp=61F11C783355471BBC + 2297.50ns INFO [00002299] Port=0 RD @05 + 2298.00ns INFO [00002300] [00002300] ...tick... + 2298.50ns INFO [00002300] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2298.50ns INFO [00002300] * RD COMPARE * port=1 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2298.50ns INFO [00002300] Port=0 WR @00=96630BE3DB8772D15E + 2299.50ns INFO [00002301] * RD COMPARE * port=0 adr=05 act=57E14E7C0450BEE996 exp=57E14E7C0450BEE996 + 2300.50ns INFO [00002302] Port=0 WR @05=E6AA40FBF74F4ABB13 + 2300.50ns INFO [00002302] Port=0 RD @07 + 2301.50ns INFO [00002303] Port=0 WR @00=2A89605877012BE86E + 2301.50ns INFO [00002303] Port=0 RD @01 + 2302.50ns INFO [00002304] * RD COMPARE * port=0 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7 + 2302.50ns INFO [00002304] Port=1 RD @02 + 2303.50ns INFO [00002305] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2303.50ns INFO [00002305] Port=0 RD @03 + 2304.50ns INFO [00002306] * RD COMPARE * port=1 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD + 2305.50ns INFO [00002307] * RD COMPARE * port=0 adr=03 act=46976544FC99FD262F exp=46976544FC99FD262F + 2305.50ns INFO [00002307] Port=0 WR @00=1372E2D63638EC809E + 2305.50ns INFO [00002307] Port=0 RD @03 + 2305.50ns INFO [00002307] Port=1 RD @06 + 2307.50ns INFO [00002309] * RD COMPARE * port=0 adr=03 act=46976544FC99FD262F exp=46976544FC99FD262F + 2307.50ns INFO [00002309] * RD COMPARE * port=1 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855 + 2307.50ns INFO [00002309] Port=0 WR @07=884843346A9247F306 + 2307.50ns INFO [00002309] Port=0 RD @03 + 2308.50ns INFO [00002310] Port=0 WR @04=D60A2D853EDFA8C112 + 2309.50ns INFO [00002311] * RD COMPARE * port=0 adr=03 act=46976544FC99FD262F exp=46976544FC99FD262F + 2309.50ns INFO [00002311] Port=1 RD @07 + 2310.50ns INFO [00002312] Port=0 WR @00=BBC2025F9AACD51596 + 2311.50ns INFO [00002313] * RD COMPARE * port=1 adr=07 act=884843346A9247F306 exp=884843346A9247F306 + 2311.50ns INFO [00002313] Port=1 RD @05 + 2312.50ns INFO [00002314] Port=0 RD @07 + 2312.50ns INFO [00002314] Port=1 RD @01 + 2313.50ns INFO [00002315] * RD COMPARE * port=1 adr=05 act=E6AA40FBF74F4ABB13 exp=E6AA40FBF74F4ABB13 + 2313.50ns INFO [00002315] Port=1 RD @01 + 2314.50ns INFO [00002316] * RD COMPARE * port=0 adr=07 act=884843346A9247F306 exp=884843346A9247F306 + 2314.50ns INFO [00002316] * RD COMPARE * port=1 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2315.50ns INFO [00002317] * RD COMPARE * port=1 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2315.50ns INFO [00002317] Port=0 RD @04 + 2317.50ns INFO [00002319] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2317.50ns INFO [00002319] Port=0 WR @05=675895F356F4B0AFCB + 2317.50ns INFO [00002319] Port=0 RD @01 + 2318.50ns INFO [00002320] Port=1 RD @06 + 2319.50ns INFO [00002321] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2319.50ns INFO [00002321] Port=0 RD @01 + 2320.50ns INFO [00002322] * RD COMPARE * port=1 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855 + 2320.50ns INFO [00002322] Port=0 RD @02 + 2321.50ns INFO [00002323] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2321.50ns INFO [00002323] Port=0 WR @03=EEC1D32A06ACC791C4 + 2322.50ns INFO [00002324] * RD COMPARE * port=0 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD + 2322.50ns INFO [00002324] Port=0 RD @07 + 2322.50ns INFO [00002324] Port=1 RD @07 + 2323.50ns INFO [00002325] Port=0 RD @00 + 2323.50ns INFO [00002325] Port=1 RD @00 + 2324.50ns INFO [00002326] * RD COMPARE * port=0 adr=07 act=884843346A9247F306 exp=884843346A9247F306 + 2324.50ns INFO [00002326] * RD COMPARE * port=1 adr=07 act=884843346A9247F306 exp=884843346A9247F306 + 2324.50ns INFO [00002326] Port=0 WR @06=AF644D54DE2D199D60 + 2325.50ns INFO [00002327] * RD COMPARE * port=0 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596 + 2325.50ns INFO [00002327] * RD COMPARE * port=1 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596 + 2325.50ns INFO [00002327] Port=0 WR @02=D3CB4D73B5E629A636 + 2325.50ns INFO [00002327] Port=0 RD @03 + 2325.50ns INFO [00002327] Port=1 RD @06 + 2326.50ns INFO [00002328] Port=0 RD @04 + 2326.50ns INFO [00002328] Port=1 RD @07 + 2327.50ns INFO [00002329] * RD COMPARE * port=0 adr=03 act=EEC1D32A06ACC791C4 exp=EEC1D32A06ACC791C4 + 2327.50ns INFO [00002329] * RD COMPARE * port=1 adr=06 act=AF644D54DE2D199D60 exp=AF644D54DE2D199D60 + 2327.50ns INFO [00002329] Port=0 RD @00 + 2327.50ns INFO [00002329] Port=1 RD @07 + 2328.50ns INFO [00002330] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2328.50ns INFO [00002330] * RD COMPARE * port=1 adr=07 act=884843346A9247F306 exp=884843346A9247F306 + 2328.50ns INFO [00002330] Port=0 RD @04 + 2329.50ns INFO [00002331] * RD COMPARE * port=0 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596 + 2329.50ns INFO [00002331] * RD COMPARE * port=1 adr=07 act=884843346A9247F306 exp=884843346A9247F306 + 2329.50ns INFO [00002331] Port=0 RD @02 + 2329.50ns INFO [00002331] Port=1 RD @00 + 2330.50ns INFO [00002332] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2330.50ns INFO [00002332] Port=0 RD @04 + 2331.50ns INFO [00002333] * RD COMPARE * port=0 adr=02 act=D3CB4D73B5E629A636 exp=D3CB4D73B5E629A636 + 2331.50ns INFO [00002333] * RD COMPARE * port=1 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596 + 2331.50ns INFO [00002333] Port=0 RD @05 + 2331.50ns INFO [00002333] Port=1 RD @01 + 2332.50ns INFO [00002334] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2332.50ns INFO [00002334] Port=0 WR @06=C8F252B393208D34C8 + 2333.50ns INFO [00002335] * RD COMPARE * port=0 adr=05 act=675895F356F4B0AFCB exp=675895F356F4B0AFCB + 2333.50ns INFO [00002335] * RD COMPARE * port=1 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2333.50ns INFO [00002335] Port=0 WR @07=2A0045ED9D6313BBE0 + 2333.50ns INFO [00002335] Port=0 RD @06 + 2333.50ns INFO [00002335] Port=1 RD @04 + 2334.50ns INFO [00002336] Port=0 WR @07=BB93146F331D6F56E9 + 2335.50ns INFO [00002337] * RD COMPARE * port=0 adr=06 act=C8F252B393208D34C8 exp=C8F252B393208D34C8 + 2335.50ns INFO [00002337] * RD COMPARE * port=1 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2336.50ns INFO [00002338] Port=1 RD @03 + 2338.50ns INFO [00002340] * RD COMPARE * port=1 adr=03 act=EEC1D32A06ACC791C4 exp=EEC1D32A06ACC791C4 + 2338.50ns INFO [00002340] Port=0 RD @06 + 2339.50ns INFO [00002341] Port=1 RD @07 + 2340.50ns INFO [00002342] * RD COMPARE * port=0 adr=06 act=C8F252B393208D34C8 exp=C8F252B393208D34C8 + 2340.50ns INFO [00002342] Port=1 RD @06 + 2341.50ns INFO [00002343] * RD COMPARE * port=1 adr=07 act=BB93146F331D6F56E9 exp=BB93146F331D6F56E9 + 2342.50ns INFO [00002344] * RD COMPARE * port=1 adr=06 act=C8F252B393208D34C8 exp=C8F252B393208D34C8 + 2342.50ns INFO [00002344] Port=0 WR @03=4B31649826B14AF17F + 2342.50ns INFO [00002344] Port=0 RD @01 + 2343.50ns INFO [00002345] Port=0 RD @01 + 2344.50ns INFO [00002346] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2344.50ns INFO [00002346] Port=0 WR @02=2A37A94C3532C91C2D + 2344.50ns INFO [00002346] Port=1 RD @05 + 2345.50ns INFO [00002347] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5 + 2345.50ns INFO [00002347] Port=0 WR @01=C517D0EFD98B95278D + 2345.50ns INFO [00002347] Port=1 RD @07 + 2346.50ns INFO [00002348] * RD COMPARE * port=1 adr=05 act=675895F356F4B0AFCB exp=675895F356F4B0AFCB + 2347.50ns INFO [00002349] * RD COMPARE * port=1 adr=07 act=BB93146F331D6F56E9 exp=BB93146F331D6F56E9 + 2347.50ns INFO [00002349] Port=0 WR @01=DD9C795A449CED2F45 + 2347.50ns INFO [00002349] Port=0 RD @05 + 2348.50ns INFO [00002350] Port=0 WR @05=F41EF7C47E23F17F9B + 2349.50ns INFO [00002351] * RD COMPARE * port=0 adr=05 act=675895F356F4B0AFCB exp=675895F356F4B0AFCB + 2349.50ns INFO [00002351] Port=0 WR @02=6182D50B279B7569D2 + 2350.50ns INFO [00002352] Port=1 RD @05 + 2351.50ns INFO [00002353] Port=0 RD @00 + 2351.50ns INFO [00002353] Port=1 RD @05 + 2352.50ns INFO [00002354] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2352.50ns INFO [00002354] Port=0 RD @06 + 2352.50ns INFO [00002354] Port=1 RD @02 + 2353.50ns INFO [00002355] * RD COMPARE * port=0 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596 + 2353.50ns INFO [00002355] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2353.50ns INFO [00002355] Port=0 WR @07=2063B5BE5CD5DB9F28 + 2353.50ns INFO [00002355] Port=0 RD @00 + 2354.50ns INFO [00002356] * RD COMPARE * port=0 adr=06 act=C8F252B393208D34C8 exp=C8F252B393208D34C8 + 2354.50ns INFO [00002356] * RD COMPARE * port=1 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2 + 2354.50ns INFO [00002356] Port=0 WR @01=2E1704578A94627EA0 + 2354.50ns INFO [00002356] Port=0 RD @04 + 2355.50ns INFO [00002357] * RD COMPARE * port=0 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596 + 2355.50ns INFO [00002357] Port=0 RD @01 + 2356.50ns INFO [00002358] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2356.50ns INFO [00002358] Port=0 WR @07=15440CC8747F2657F8 + 2356.50ns INFO [00002358] Port=1 RD @00 + 2357.50ns INFO [00002359] * RD COMPARE * port=0 adr=01 act=2E1704578A94627EA0 exp=2E1704578A94627EA0 + 2358.50ns INFO [00002360] * RD COMPARE * port=1 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596 + 2359.50ns INFO [00002361] Port=0 WR @06=F9C1049527896BF610 + 2359.50ns INFO [00002361] Port=0 RD @02 + 2360.50ns INFO [00002362] Port=0 WR @06=D6A08BE23A0AF20A73 + 2360.50ns INFO [00002362] Port=0 RD @03 + 2361.50ns INFO [00002363] * RD COMPARE * port=0 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2 + 2362.50ns INFO [00002364] * RD COMPARE * port=0 adr=03 act=4B31649826B14AF17F exp=4B31649826B14AF17F + 2362.50ns INFO [00002364] Port=0 RD @07 + 2364.50ns INFO [00002366] * RD COMPARE * port=0 adr=07 act=15440CC8747F2657F8 exp=15440CC8747F2657F8 + 2364.50ns INFO [00002366] Port=0 RD @04 + 2364.50ns INFO [00002366] Port=1 RD @05 + 2365.50ns INFO [00002367] Port=1 RD @05 + 2366.50ns INFO [00002368] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2366.50ns INFO [00002368] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2366.50ns INFO [00002368] Port=0 RD @02 + 2366.50ns INFO [00002368] Port=1 RD @03 + 2367.50ns INFO [00002369] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2367.50ns INFO [00002369] Port=0 RD @02 + 2368.50ns INFO [00002370] * RD COMPARE * port=0 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2 + 2368.50ns INFO [00002370] * RD COMPARE * port=1 adr=03 act=4B31649826B14AF17F exp=4B31649826B14AF17F + 2368.50ns INFO [00002370] Port=1 RD @02 + 2369.50ns INFO [00002371] * RD COMPARE * port=0 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2 + 2369.50ns INFO [00002371] Port=1 RD @02 + 2370.50ns INFO [00002372] * RD COMPARE * port=1 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2 + 2370.50ns INFO [00002372] Port=0 WR @01=60E0883DD19F56CA1D + 2371.50ns INFO [00002373] * RD COMPARE * port=1 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2 + 2371.50ns INFO [00002373] Port=0 RD @03 + 2371.50ns INFO [00002373] Port=1 RD @00 + 2372.50ns INFO [00002374] Port=0 WR @00=F283AF6EB28EE7429C + 2373.50ns INFO [00002375] * RD COMPARE * port=0 adr=03 act=4B31649826B14AF17F exp=4B31649826B14AF17F + 2373.50ns INFO [00002375] * RD COMPARE * port=1 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596 + 2373.50ns INFO [00002375] Port=0 RD @06 + 2374.50ns INFO [00002376] Port=0 RD @05 + 2375.50ns INFO [00002377] * RD COMPARE * port=0 adr=06 act=D6A08BE23A0AF20A73 exp=D6A08BE23A0AF20A73 + 2376.50ns INFO [00002378] * RD COMPARE * port=0 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2376.50ns INFO [00002378] Port=0 WR @06=0ACE12CC77438ECD07 + 2376.50ns INFO [00002378] Port=0 RD @04 + 2376.50ns INFO [00002378] Port=1 RD @07 + 2378.50ns INFO [00002380] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2378.50ns INFO [00002380] * RD COMPARE * port=1 adr=07 act=15440CC8747F2657F8 exp=15440CC8747F2657F8 + 2379.50ns INFO [00002381] Port=0 WR @03=64043F8DB640F25E21 + 2379.50ns INFO [00002381] Port=0 RD @00 + 2379.50ns INFO [00002381] Port=1 RD @01 + 2380.50ns INFO [00002382] Port=0 WR @07=59D8A72AE827B2FDB0 + 2380.50ns INFO [00002382] Port=1 RD @04 + 2381.50ns INFO [00002383] * RD COMPARE * port=0 adr=00 act=F283AF6EB28EE7429C exp=F283AF6EB28EE7429C + 2381.50ns INFO [00002383] * RD COMPARE * port=1 adr=01 act=60E0883DD19F56CA1D exp=60E0883DD19F56CA1D + 2381.50ns INFO [00002383] Port=0 RD @07 + 2382.50ns INFO [00002384] * RD COMPARE * port=1 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2383.50ns INFO [00002385] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2383.50ns INFO [00002385] Port=0 WR @02=628A965B6A9A0A03E9 + 2385.50ns INFO [00002387] Port=0 RD @04 + 2387.50ns INFO [00002389] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2387.50ns INFO [00002389] Port=0 RD @04 + 2387.50ns INFO [00002389] Port=1 RD @07 + 2388.50ns INFO [00002390] Port=0 WR @00=DCC2132B3213309E21 + 2388.50ns INFO [00002390] Port=1 RD @02 + 2389.50ns INFO [00002391] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2389.50ns INFO [00002391] * RD COMPARE * port=1 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2389.50ns INFO [00002391] Port=0 WR @00=D332D05E0AC8BB7123 + 2389.50ns INFO [00002391] Port=1 RD @02 + 2390.50ns INFO [00002392] * RD COMPARE * port=1 adr=02 act=628A965B6A9A0A03E9 exp=628A965B6A9A0A03E9 + 2390.50ns INFO [00002392] Port=1 RD @02 + 2391.50ns INFO [00002393] * RD COMPARE * port=1 adr=02 act=628A965B6A9A0A03E9 exp=628A965B6A9A0A03E9 + 2392.50ns INFO [00002394] * RD COMPARE * port=1 adr=02 act=628A965B6A9A0A03E9 exp=628A965B6A9A0A03E9 + 2392.50ns INFO [00002394] Port=0 WR @03=F179201D42B7987958 + 2392.50ns INFO [00002394] Port=1 RD @06 + 2393.50ns INFO [00002395] Port=0 WR @06=A39A356CF3A5CB28D8 + 2393.50ns INFO [00002395] Port=1 RD @05 + 2394.50ns INFO [00002396] * RD COMPARE * port=1 adr=06 act=0ACE12CC77438ECD07 exp=0ACE12CC77438ECD07 + 2394.50ns INFO [00002396] Port=0 RD @07 + 2395.50ns INFO [00002397] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2395.50ns INFO [00002397] Port=0 WR @00=9D66C95B80B605CEF3 + 2395.50ns INFO [00002397] Port=1 RD @05 + 2396.50ns INFO [00002398] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2396.50ns INFO [00002398] Port=0 WR @06=B57AF61BC952E6C94A + 2396.50ns INFO [00002398] Port=1 RD @03 + 2397.50ns INFO [00002399] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2397.50ns INFO [00002399] Port=0 WR @03=584925A71C35C3E9B6 + 2397.50ns INFO [00002399] Port=0 RD @00 + 2397.50ns INFO [00002399] Port=1 RD @04 + 2398.00ns INFO [00002400] [00002400] ...tick... + 2398.50ns INFO [00002400] * RD COMPARE * port=1 adr=03 act=F179201D42B7987958 exp=F179201D42B7987958 + 2398.50ns INFO [00002400] Port=0 RD @04 + 2398.50ns INFO [00002400] Port=1 RD @07 + 2399.50ns INFO [00002401] * RD COMPARE * port=0 adr=00 act=9D66C95B80B605CEF3 exp=9D66C95B80B605CEF3 + 2399.50ns INFO [00002401] * RD COMPARE * port=1 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2399.50ns INFO [00002401] Port=1 RD @07 + 2400.50ns INFO [00002402] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112 + 2400.50ns INFO [00002402] * RD COMPARE * port=1 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2400.50ns INFO [00002402] Port=0 WR @04=EEBBBE09125A319C2B + 2401.50ns INFO [00002403] * RD COMPARE * port=1 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2401.50ns INFO [00002403] Port=1 RD @04 + 2402.50ns INFO [00002404] Port=0 WR @00=B1DD6F3FC2F20CDFAA + 2402.50ns INFO [00002404] Port=0 RD @05 + 2403.50ns INFO [00002405] * RD COMPARE * port=1 adr=04 act=EEBBBE09125A319C2B exp=EEBBBE09125A319C2B + 2404.50ns INFO [00002406] * RD COMPARE * port=0 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2404.50ns INFO [00002406] Port=1 RD @03 + 2405.50ns INFO [00002407] Port=0 RD @01 + 2406.50ns INFO [00002408] * RD COMPARE * port=1 adr=03 act=584925A71C35C3E9B6 exp=584925A71C35C3E9B6 + 2406.50ns INFO [00002408] Port=0 RD @03 + 2407.50ns INFO [00002409] * RD COMPARE * port=0 adr=01 act=60E0883DD19F56CA1D exp=60E0883DD19F56CA1D + 2407.50ns INFO [00002409] Port=1 RD @07 + 2408.50ns INFO [00002410] * RD COMPARE * port=0 adr=03 act=584925A71C35C3E9B6 exp=584925A71C35C3E9B6 + 2408.50ns INFO [00002410] Port=0 WR @06=6A5E09B022E131D42C + 2408.50ns INFO [00002410] Port=0 RD @05 + 2408.50ns INFO [00002410] Port=1 RD @05 + 2409.50ns INFO [00002411] * RD COMPARE * port=1 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2409.50ns INFO [00002411] Port=0 RD @04 + 2409.50ns INFO [00002411] Port=1 RD @03 + 2410.50ns INFO [00002412] * RD COMPARE * port=0 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2410.50ns INFO [00002412] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2410.50ns INFO [00002412] Port=0 WR @00=53FBEF43EBD7360655 + 2410.50ns INFO [00002412] Port=0 RD @04 + 2410.50ns INFO [00002412] Port=1 RD @05 + 2411.50ns INFO [00002413] * RD COMPARE * port=0 adr=04 act=EEBBBE09125A319C2B exp=EEBBBE09125A319C2B + 2411.50ns INFO [00002413] * RD COMPARE * port=1 adr=03 act=584925A71C35C3E9B6 exp=584925A71C35C3E9B6 + 2411.50ns INFO [00002413] Port=0 RD @00 + 2412.50ns INFO [00002414] * RD COMPARE * port=0 adr=04 act=EEBBBE09125A319C2B exp=EEBBBE09125A319C2B + 2412.50ns INFO [00002414] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2412.50ns INFO [00002414] Port=0 RD @00 + 2412.50ns INFO [00002414] Port=1 RD @07 + 2413.50ns INFO [00002415] * RD COMPARE * port=0 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655 + 2413.50ns INFO [00002415] Port=0 RD @03 + 2414.50ns INFO [00002416] * RD COMPARE * port=0 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655 + 2414.50ns INFO [00002416] * RD COMPARE * port=1 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2414.50ns INFO [00002416] Port=0 RD @07 + 2414.50ns INFO [00002416] Port=1 RD @02 + 2415.50ns INFO [00002417] * RD COMPARE * port=0 adr=03 act=584925A71C35C3E9B6 exp=584925A71C35C3E9B6 + 2415.50ns INFO [00002417] Port=0 RD @04 + 2415.50ns INFO [00002417] Port=1 RD @02 + 2416.50ns INFO [00002418] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2416.50ns INFO [00002418] * RD COMPARE * port=1 adr=02 act=628A965B6A9A0A03E9 exp=628A965B6A9A0A03E9 + 2417.50ns INFO [00002419] * RD COMPARE * port=0 adr=04 act=EEBBBE09125A319C2B exp=EEBBBE09125A319C2B + 2417.50ns INFO [00002419] * RD COMPARE * port=1 adr=02 act=628A965B6A9A0A03E9 exp=628A965B6A9A0A03E9 + 2417.50ns INFO [00002419] Port=0 WR @03=3E6E337ABAAF3A1B40 + 2417.50ns INFO [00002419] Port=0 RD @00 + 2418.50ns INFO [00002420] Port=0 WR @06=FB0F89F5C7130E08BB + 2419.50ns INFO [00002421] * RD COMPARE * port=0 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655 + 2419.50ns INFO [00002421] Port=0 WR @02=4DD3F98ED26C1404CC + 2419.50ns INFO [00002421] Port=0 RD @03 + 2421.50ns INFO [00002423] * RD COMPARE * port=0 adr=03 act=3E6E337ABAAF3A1B40 exp=3E6E337ABAAF3A1B40 + 2421.50ns INFO [00002423] Port=0 WR @06=5A301BB5A2FE4D0DA8 + 2421.50ns INFO [00002423] Port=0 RD @01 + 2422.50ns INFO [00002424] Port=1 RD @01 + 2423.50ns INFO [00002425] * RD COMPARE * port=0 adr=01 act=60E0883DD19F56CA1D exp=60E0883DD19F56CA1D + 2423.50ns INFO [00002425] Port=0 WR @06=F31AB22A5BEED743D5 + 2424.50ns INFO [00002426] * RD COMPARE * port=1 adr=01 act=60E0883DD19F56CA1D exp=60E0883DD19F56CA1D + 2424.50ns INFO [00002426] Port=0 RD @01 + 2425.50ns INFO [00002427] Port=0 RD @00 + 2425.50ns INFO [00002427] Port=1 RD @00 + 2426.50ns INFO [00002428] * RD COMPARE * port=0 adr=01 act=60E0883DD19F56CA1D exp=60E0883DD19F56CA1D + 2427.50ns INFO [00002429] * RD COMPARE * port=0 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655 + 2427.50ns INFO [00002429] * RD COMPARE * port=1 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655 + 2427.50ns INFO [00002429] Port=0 RD @02 + 2429.50ns INFO [00002431] * RD COMPARE * port=0 adr=02 act=4DD3F98ED26C1404CC exp=4DD3F98ED26C1404CC + 2429.50ns INFO [00002431] Port=1 RD @03 + 2430.50ns INFO [00002432] Port=0 RD @05 + 2430.50ns INFO [00002432] Port=1 RD @03 + 2431.50ns INFO [00002433] * RD COMPARE * port=1 adr=03 act=3E6E337ABAAF3A1B40 exp=3E6E337ABAAF3A1B40 + 2431.50ns INFO [00002433] Port=0 WR @04=7D77D38C22126BFB1D + 2432.50ns INFO [00002434] * RD COMPARE * port=0 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2432.50ns INFO [00002434] * RD COMPARE * port=1 adr=03 act=3E6E337ABAAF3A1B40 exp=3E6E337ABAAF3A1B40 + 2432.50ns INFO [00002434] Port=0 RD @00 + 2432.50ns INFO [00002434] Port=1 RD @02 + 2433.50ns INFO [00002435] Port=0 WR @02=87B8FA425B0623D116 + 2433.50ns INFO [00002435] Port=0 RD @07 + 2433.50ns INFO [00002435] Port=1 RD @05 + 2434.50ns INFO [00002436] * RD COMPARE * port=0 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655 + 2434.50ns INFO [00002436] * RD COMPARE * port=1 adr=02 act=4DD3F98ED26C1404CC exp=4DD3F98ED26C1404CC + 2434.50ns INFO [00002436] Port=0 WR @05=AFB016750E53C9BB16 + 2435.50ns INFO [00002437] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2435.50ns INFO [00002437] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B + 2435.50ns INFO [00002437] Port=0 RD @06 + 2435.50ns INFO [00002437] Port=1 RD @05 + 2436.50ns INFO [00002438] Port=1 RD @02 + 2437.50ns INFO [00002439] * RD COMPARE * port=0 adr=06 act=F31AB22A5BEED743D5 exp=F31AB22A5BEED743D5 + 2437.50ns INFO [00002439] * RD COMPARE * port=1 adr=05 act=AFB016750E53C9BB16 exp=AFB016750E53C9BB16 + 2437.50ns INFO [00002439] Port=1 RD @00 + 2438.50ns INFO [00002440] * RD COMPARE * port=1 adr=02 act=87B8FA425B0623D116 exp=87B8FA425B0623D116 + 2438.50ns INFO [00002440] Port=0 WR @05=3EEAFDE7825379F7AD + 2439.50ns INFO [00002441] * RD COMPARE * port=1 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655 + 2439.50ns INFO [00002441] Port=0 WR @00=FE430EB4F76E567AE0 + 2441.50ns INFO [00002443] Port=0 WR @05=B9EF1A9B2C82DA4FD9 + 2442.50ns INFO [00002444] Port=0 WR @06=E4AA68279A2EAA7FC6 + 2444.50ns INFO [00002446] Port=0 WR @00=50F0EC7D6310C1A7C2 + 2444.50ns INFO [00002446] Port=1 RD @05 + 2445.50ns INFO [00002447] Port=0 WR @01=CC4A19E10769C597DF + 2446.50ns INFO [00002448] * RD COMPARE * port=1 adr=05 act=B9EF1A9B2C82DA4FD9 exp=B9EF1A9B2C82DA4FD9 + 2447.50ns INFO [00002449] Port=0 RD @07 + 2448.50ns INFO [00002450] Port=0 RD @07 + 2448.50ns INFO [00002450] Port=1 RD @03 + 2449.50ns INFO [00002451] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2450.50ns INFO [00002452] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0 + 2450.50ns INFO [00002452] * RD COMPARE * port=1 adr=03 act=3E6E337ABAAF3A1B40 exp=3E6E337ABAAF3A1B40 + 2450.50ns INFO [00002452] Port=0 WR @03=FDF63F274F0C16EBFC + 2451.50ns INFO [00002453] Port=0 RD @06 + 2453.50ns INFO [00002455] * RD COMPARE * port=0 adr=06 act=E4AA68279A2EAA7FC6 exp=E4AA68279A2EAA7FC6 + 2453.50ns INFO [00002455] Port=0 WR @04=38A095F226BEBBD870 + 2454.50ns INFO [00002456] Port=0 WR @03=EFC7D54023B49A339D + 2455.50ns INFO [00002457] Port=0 RD @05 + 2457.50ns INFO [00002459] * RD COMPARE * port=0 adr=05 act=B9EF1A9B2C82DA4FD9 exp=B9EF1A9B2C82DA4FD9 + 2457.50ns INFO [00002459] Port=0 WR @01=21699DADA4CF4BEA5E + 2458.50ns INFO [00002460] Port=0 RD @03 + 2458.50ns INFO [00002460] Port=1 RD @02 + 2459.50ns INFO [00002461] Port=0 WR @07=C61B040D548A96BC0C + 2459.50ns INFO [00002461] Port=0 RD @05 + 2460.50ns INFO [00002462] * RD COMPARE * port=0 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D + 2460.50ns INFO [00002462] * RD COMPARE * port=1 adr=02 act=87B8FA425B0623D116 exp=87B8FA425B0623D116 + 2460.50ns INFO [00002462] Port=0 WR @06=3891548DFE781114CC + 2461.50ns INFO [00002463] * RD COMPARE * port=0 adr=05 act=B9EF1A9B2C82DA4FD9 exp=B9EF1A9B2C82DA4FD9 + 2461.50ns INFO [00002463] Port=0 RD @05 + 2461.50ns INFO [00002463] Port=1 RD @04 + 2462.50ns INFO [00002464] Port=0 WR @06=A4BED37736A4ECCDAD + 2462.50ns INFO [00002464] Port=0 RD @04 + 2463.50ns INFO [00002465] * RD COMPARE * port=0 adr=05 act=B9EF1A9B2C82DA4FD9 exp=B9EF1A9B2C82DA4FD9 + 2463.50ns INFO [00002465] * RD COMPARE * port=1 adr=04 act=38A095F226BEBBD870 exp=38A095F226BEBBD870 + 2464.50ns INFO [00002466] * RD COMPARE * port=0 adr=04 act=38A095F226BEBBD870 exp=38A095F226BEBBD870 + 2464.50ns INFO [00002466] Port=0 RD @03 + 2466.50ns INFO [00002468] * RD COMPARE * port=0 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D + 2466.50ns INFO [00002468] Port=0 WR @04=0DD52BA4115FB8FEF8 + 2468.50ns INFO [00002470] Port=0 RD @04 + 2468.50ns INFO [00002470] Port=1 RD @04 + 2469.50ns INFO [00002471] Port=0 WR @02=B2BDED3ABAD0D0BE96 + 2470.50ns INFO [00002472] * RD COMPARE * port=0 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8 + 2470.50ns INFO [00002472] * RD COMPARE * port=1 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8 + 2470.50ns INFO [00002472] Port=0 RD @01 + 2470.50ns INFO [00002472] Port=1 RD @04 + 2471.50ns INFO [00002473] Port=0 WR @00=337E9DA9B2689EA63D + 2471.50ns INFO [00002473] Port=0 RD @07 + 2472.50ns INFO [00002474] * RD COMPARE * port=0 adr=01 act=21699DADA4CF4BEA5E exp=21699DADA4CF4BEA5E + 2472.50ns INFO [00002474] * RD COMPARE * port=1 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8 + 2472.50ns INFO [00002474] Port=1 RD @06 + 2473.50ns INFO [00002475] * RD COMPARE * port=0 adr=07 act=C61B040D548A96BC0C exp=C61B040D548A96BC0C + 2473.50ns INFO [00002475] Port=0 WR @00=A86BEBACD468D7E58E + 2474.50ns INFO [00002476] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD + 2474.50ns INFO [00002476] Port=0 RD @02 + 2475.50ns INFO [00002477] Port=0 RD @02 + 2476.50ns INFO [00002478] * RD COMPARE * port=0 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96 + 2476.50ns INFO [00002478] Port=1 RD @06 + 2477.50ns INFO [00002479] * RD COMPARE * port=0 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96 + 2477.50ns INFO [00002479] Port=1 RD @05 + 2478.50ns INFO [00002480] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD + 2478.50ns INFO [00002480] Port=0 WR @05=E824C3E04A111E97F7 + 2478.50ns INFO [00002480] Port=1 RD @02 + 2479.50ns INFO [00002481] * RD COMPARE * port=1 adr=05 act=B9EF1A9B2C82DA4FD9 exp=B9EF1A9B2C82DA4FD9 + 2479.50ns INFO [00002481] Port=0 RD @03 + 2480.50ns INFO [00002482] * RD COMPARE * port=1 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96 + 2480.50ns INFO [00002482] Port=0 WR @00=3FE24DAC75DC3EE665 + 2480.50ns INFO [00002482] Port=1 RD @02 + 2481.50ns INFO [00002483] * RD COMPARE * port=0 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D + 2481.50ns INFO [00002483] Port=0 RD @04 + 2481.50ns INFO [00002483] Port=1 RD @05 + 2482.50ns INFO [00002484] * RD COMPARE * port=1 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96 + 2482.50ns INFO [00002484] Port=1 RD @06 + 2483.50ns INFO [00002485] * RD COMPARE * port=0 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8 + 2483.50ns INFO [00002485] * RD COMPARE * port=1 adr=05 act=E824C3E04A111E97F7 exp=E824C3E04A111E97F7 + 2483.50ns INFO [00002485] Port=1 RD @06 + 2484.50ns INFO [00002486] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD + 2484.50ns INFO [00002486] Port=0 WR @01=E71F2270C6CA779500 + 2484.50ns INFO [00002486] Port=1 RD @06 + 2485.50ns INFO [00002487] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD + 2486.50ns INFO [00002488] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD + 2486.50ns INFO [00002488] Port=1 RD @07 + 2487.50ns INFO [00002489] Port=0 WR @00=9FA5295489C382E467 + 2487.50ns INFO [00002489] Port=1 RD @06 + 2488.50ns INFO [00002490] * RD COMPARE * port=1 adr=07 act=C61B040D548A96BC0C exp=C61B040D548A96BC0C + 2489.50ns INFO [00002491] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD + 2489.50ns INFO [00002491] Port=0 WR @07=27ABD94A121905EDFE + 2490.50ns INFO [00002492] Port=0 RD @03 + 2490.50ns INFO [00002492] Port=1 RD @03 + 2491.50ns INFO [00002493] Port=1 RD @03 + 2492.50ns INFO [00002494] * RD COMPARE * port=0 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D + 2492.50ns INFO [00002494] * RD COMPARE * port=1 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D + 2492.50ns INFO [00002494] Port=0 WR @07=B1F187AA54A8339F67 + 2492.50ns INFO [00002494] Port=0 RD @02 + 2492.50ns INFO [00002494] Port=1 RD @04 + 2493.50ns INFO [00002495] * RD COMPARE * port=1 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D + 2494.50ns INFO [00002496] * RD COMPARE * port=0 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96 + 2494.50ns INFO [00002496] * RD COMPARE * port=1 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8 + 2494.50ns INFO [00002496] Port=0 RD @07 + 2494.50ns INFO [00002496] Port=1 RD @06 + 2495.50ns INFO [00002497] Port=0 WR @06=7C5CB3CEE3D7CAC766 + 2496.50ns INFO [00002498] * RD COMPARE * port=0 adr=07 act=B1F187AA54A8339F67 exp=B1F187AA54A8339F67 + 2496.50ns INFO [00002498] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD + 2497.50ns INFO [00002499] Port=1 RD @03 + 2498.00ns INFO [00002500] [00002500] ...tick... + 2498.50ns INFO [00002500] Port=0 WR @00=78B16295491E066C89 + 2498.50ns INFO [00002500] Port=0 RD @01 + 2499.50ns INFO [00002501] * RD COMPARE * port=1 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D + 2499.50ns INFO [00002501] Port=0 WR @01=700A96A3E9A47E0BF6 + 2500.50ns INFO [00002502] * RD COMPARE * port=0 adr=01 act=E71F2270C6CA779500 exp=E71F2270C6CA779500 + 2500.50ns INFO [00002502] Port=0 RD @05 + 2500.50ns INFO [00002502] Port=1 RD @00 + 2501.50ns INFO [00002503] Port=0 RD @00 + 2502.50ns INFO [00002504] * RD COMPARE * port=0 adr=05 act=E824C3E04A111E97F7 exp=E824C3E04A111E97F7 + 2502.50ns INFO [00002504] * RD COMPARE * port=1 adr=00 act=78B16295491E066C89 exp=78B16295491E066C89 + 2502.50ns INFO [00002504] Port=0 WR @00=8617967B34EECAF578 + 2502.50ns INFO [00002504] Port=1 RD @07 + 2503.50ns INFO [00002505] * RD COMPARE * port=0 adr=00 act=78B16295491E066C89 exp=78B16295491E066C89 + 2503.50ns INFO [00002505] Port=0 RD @07 + 2504.50ns INFO [00002506] * RD COMPARE * port=1 adr=07 act=B1F187AA54A8339F67 exp=B1F187AA54A8339F67 + 2504.50ns INFO [00002506] Port=0 RD @01 + 2505.50ns INFO [00002507] * RD COMPARE * port=0 adr=07 act=B1F187AA54A8339F67 exp=B1F187AA54A8339F67 + 2505.50ns INFO [00002507] Port=0 WR @07=4177EB89BDF003B824 + 2505.50ns INFO [00002507] Port=0 RD @06 + 2506.50ns INFO [00002508] * RD COMPARE * port=0 adr=01 act=700A96A3E9A47E0BF6 exp=700A96A3E9A47E0BF6 + 2506.50ns INFO [00002508] Port=1 RD @05 + 2507.50ns INFO [00002509] * RD COMPARE * port=0 adr=06 act=7C5CB3CEE3D7CAC766 exp=7C5CB3CEE3D7CAC766 + 2507.50ns INFO [00002509] Port=0 RD @03 + 2508.50ns INFO [00002510] * RD COMPARE * port=1 adr=05 act=E824C3E04A111E97F7 exp=E824C3E04A111E97F7 + 2509.50ns INFO [00002511] * RD COMPARE * port=0 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D + 2509.50ns INFO [00002511] Port=1 RD @03 + 2510.50ns INFO [00002512] Port=1 RD @03 + 2511.50ns INFO [00002513] * RD COMPARE * port=1 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D + 2511.50ns INFO [00002513] Port=0 WR @00=06B4EB1671BC17F323 + 2512.50ns INFO [00002514] * RD COMPARE * port=1 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D + 2512.50ns INFO [00002514] Port=0 WR @03=EFDD45B671BB643318 + 2514.50ns INFO [00002516] Port=0 RD @02 + 2515.50ns INFO [00002517] Port=1 RD @01 + 2516.50ns INFO [00002518] * RD COMPARE * port=0 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96 + 2516.50ns INFO [00002518] Port=0 RD @02 + 2517.50ns INFO [00002519] * RD COMPARE * port=1 adr=01 act=700A96A3E9A47E0BF6 exp=700A96A3E9A47E0BF6 + 2518.50ns INFO [00002520] * RD COMPARE * port=0 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96 + 2519.50ns INFO [00002521] Port=1 RD @01 + 2520.50ns INFO [00002522] Port=0 WR @02=A7D7F401538F1D5876 + 2520.50ns INFO [00002522] Port=0 RD @05 + 2520.50ns INFO [00002522] Port=1 RD @07 + 2521.50ns INFO [00002523] * RD COMPARE * port=1 adr=01 act=700A96A3E9A47E0BF6 exp=700A96A3E9A47E0BF6 + 2521.50ns INFO [00002523] Port=0 RD @00 + 2521.50ns INFO [00002523] Port=1 RD @00 + 2522.50ns INFO [00002524] * RD COMPARE * port=0 adr=05 act=E824C3E04A111E97F7 exp=E824C3E04A111E97F7 + 2522.50ns INFO [00002524] * RD COMPARE * port=1 adr=07 act=4177EB89BDF003B824 exp=4177EB89BDF003B824 + 2522.50ns INFO [00002524] Port=0 WR @03=9EDA97B9025207B4FA + 2523.50ns INFO [00002525] * RD COMPARE * port=0 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323 + 2523.50ns INFO [00002525] * RD COMPARE * port=1 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323 + 2523.50ns INFO [00002525] Port=1 RD @01 + 2524.50ns INFO [00002526] Port=0 WR @01=4DD4ACA45DEC83BB48 + 2524.50ns INFO [00002526] Port=0 RD @06 + 2524.50ns INFO [00002526] Port=1 RD @07 + 2525.50ns INFO [00002527] * RD COMPARE * port=1 adr=01 act=700A96A3E9A47E0BF6 exp=700A96A3E9A47E0BF6 + 2526.50ns INFO [00002528] * RD COMPARE * port=0 adr=06 act=7C5CB3CEE3D7CAC766 exp=7C5CB3CEE3D7CAC766 + 2526.50ns INFO [00002528] * RD COMPARE * port=1 adr=07 act=4177EB89BDF003B824 exp=4177EB89BDF003B824 + 2527.50ns INFO [00002529] Port=0 WR @03=0A2DB949716FF8E0F3 + 2527.50ns INFO [00002529] Port=0 RD @02 + 2528.50ns INFO [00002530] Port=0 RD @04 + 2529.50ns INFO [00002531] * RD COMPARE * port=0 adr=02 act=A7D7F401538F1D5876 exp=A7D7F401538F1D5876 + 2529.50ns INFO [00002531] Port=0 WR @01=E68FEE320F4BA064AD + 2530.50ns INFO [00002532] * RD COMPARE * port=0 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8 + 2531.50ns INFO [00002533] Port=0 WR @06=82E1090B6A96D163BE + 2531.50ns INFO [00002533] Port=1 RD @05 + 2532.50ns INFO [00002534] Port=0 WR @03=F36935B85583BE0B5E + 2533.50ns INFO [00002535] * RD COMPARE * port=1 adr=05 act=E824C3E04A111E97F7 exp=E824C3E04A111E97F7 + 2535.50ns INFO [00002537] Port=1 RD @06 + 2536.50ns INFO [00002538] Port=0 WR @05=026D00664768A774B0 + 2536.50ns INFO [00002538] Port=1 RD @03 + 2537.50ns INFO [00002539] * RD COMPARE * port=1 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE + 2537.50ns INFO [00002539] Port=0 WR @07=0425E580580787C1BD + 2538.50ns INFO [00002540] * RD COMPARE * port=1 adr=03 act=F36935B85583BE0B5E exp=F36935B85583BE0B5E + 2538.50ns INFO [00002540] Port=1 RD @00 + 2539.50ns INFO [00002541] Port=0 WR @03=FA9456E775EB7C514D + 2539.50ns INFO [00002541] Port=0 RD @06 + 2540.50ns INFO [00002542] * RD COMPARE * port=1 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323 + 2540.50ns INFO [00002542] Port=0 RD @07 + 2541.50ns INFO [00002543] * RD COMPARE * port=0 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE + 2542.50ns INFO [00002544] * RD COMPARE * port=0 adr=07 act=0425E580580787C1BD exp=0425E580580787C1BD + 2542.50ns INFO [00002544] Port=0 WR @02=5F87F301C1D9A845A1 + 2542.50ns INFO [00002544] Port=0 RD @07 + 2543.50ns INFO [00002545] Port=0 WR @05=E2BB955A595B17DD75 + 2544.50ns INFO [00002546] * RD COMPARE * port=0 adr=07 act=0425E580580787C1BD exp=0425E580580787C1BD + 2544.50ns INFO [00002546] Port=0 WR @02=DD417620E3220BA627 + 2544.50ns INFO [00002546] Port=0 RD @04 + 2545.50ns INFO [00002547] Port=1 RD @04 + 2546.50ns INFO [00002548] * RD COMPARE * port=0 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8 + 2546.50ns INFO [00002548] Port=0 WR @03=D05CB5B47F68E2AB93 + 2547.50ns INFO [00002549] * RD COMPARE * port=1 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8 + 2547.50ns INFO [00002549] Port=0 WR @03=2AE153FC6853A83CFE + 2547.50ns INFO [00002549] Port=0 RD @02 + 2549.50ns INFO [00002551] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2549.50ns INFO [00002551] Port=1 RD @04 + 2550.50ns INFO [00002552] Port=0 WR @03=B47A97039BBDE9E526 + 2550.50ns INFO [00002552] Port=0 RD @02 + 2551.50ns INFO [00002553] * RD COMPARE * port=1 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8 + 2551.50ns INFO [00002553] Port=0 RD @02 + 2551.50ns INFO [00002553] Port=1 RD @03 + 2552.50ns INFO [00002554] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2553.50ns INFO [00002555] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2553.50ns INFO [00002555] * RD COMPARE * port=1 adr=03 act=B47A97039BBDE9E526 exp=B47A97039BBDE9E526 + 2553.50ns INFO [00002555] Port=1 RD @05 + 2554.50ns INFO [00002556] Port=0 WR @05=80047ED63440AB05D7 + 2554.50ns INFO [00002556] Port=0 RD @02 + 2555.50ns INFO [00002557] * RD COMPARE * port=1 adr=05 act=E2BB955A595B17DD75 exp=E2BB955A595B17DD75 + 2555.50ns INFO [00002557] Port=0 WR @03=489E51F93415EA551A + 2555.50ns INFO [00002557] Port=0 RD @06 + 2556.50ns INFO [00002558] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2556.50ns INFO [00002558] Port=0 RD @06 + 2556.50ns INFO [00002558] Port=1 RD @07 + 2557.50ns INFO [00002559] * RD COMPARE * port=0 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE + 2557.50ns INFO [00002559] Port=1 RD @06 + 2558.50ns INFO [00002560] * RD COMPARE * port=0 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE + 2558.50ns INFO [00002560] * RD COMPARE * port=1 adr=07 act=0425E580580787C1BD exp=0425E580580787C1BD + 2559.50ns INFO [00002561] * RD COMPARE * port=1 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE + 2559.50ns INFO [00002561] Port=0 RD @01 + 2560.50ns INFO [00002562] Port=0 WR @07=A6476AD932E3F83A40 + 2560.50ns INFO [00002562] Port=1 RD @01 + 2561.50ns INFO [00002563] * RD COMPARE * port=0 adr=01 act=E68FEE320F4BA064AD exp=E68FEE320F4BA064AD + 2561.50ns INFO [00002563] Port=0 RD @00 + 2561.50ns INFO [00002563] Port=1 RD @05 + 2562.50ns INFO [00002564] * RD COMPARE * port=1 adr=01 act=E68FEE320F4BA064AD exp=E68FEE320F4BA064AD + 2562.50ns INFO [00002564] Port=0 RD @00 + 2563.50ns INFO [00002565] * RD COMPARE * port=0 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323 + 2563.50ns INFO [00002565] * RD COMPARE * port=1 adr=05 act=80047ED63440AB05D7 exp=80047ED63440AB05D7 + 2564.50ns INFO [00002566] * RD COMPARE * port=0 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323 + 2565.50ns INFO [00002567] Port=0 WR @04=5F6AD970B96F9A49A5 + 2565.50ns INFO [00002567] Port=0 RD @05 + 2565.50ns INFO [00002567] Port=1 RD @02 + 2566.50ns INFO [00002568] Port=0 RD @00 + 2566.50ns INFO [00002568] Port=1 RD @07 + 2567.50ns INFO [00002569] * RD COMPARE * port=0 adr=05 act=80047ED63440AB05D7 exp=80047ED63440AB05D7 + 2567.50ns INFO [00002569] * RD COMPARE * port=1 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2568.50ns INFO [00002570] * RD COMPARE * port=0 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323 + 2568.50ns INFO [00002570] * RD COMPARE * port=1 adr=07 act=A6476AD932E3F83A40 exp=A6476AD932E3F83A40 + 2568.50ns INFO [00002570] Port=0 WR @01=0872ACB40B9B8EC9E7 + 2568.50ns INFO [00002570] Port=1 RD @02 + 2569.50ns INFO [00002571] Port=0 WR @01=A8837C613AC719EB5C + 2569.50ns INFO [00002571] Port=0 RD @04 + 2570.50ns INFO [00002572] * RD COMPARE * port=1 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2571.50ns INFO [00002573] * RD COMPARE * port=0 adr=04 act=5F6AD970B96F9A49A5 exp=5F6AD970B96F9A49A5 + 2571.50ns INFO [00002573] Port=1 RD @04 + 2573.50ns INFO [00002575] * RD COMPARE * port=1 adr=04 act=5F6AD970B96F9A49A5 exp=5F6AD970B96F9A49A5 + 2573.50ns INFO [00002575] Port=0 WR @07=787382B4B45F2BE6E7 + 2573.50ns INFO [00002575] Port=1 RD @03 + 2574.50ns INFO [00002576] Port=0 WR @03=C9EE5060FC15373F14 + 2574.50ns INFO [00002576] Port=0 RD @04 + 2575.50ns INFO [00002577] * RD COMPARE * port=1 adr=03 act=489E51F93415EA551A exp=489E51F93415EA551A + 2575.50ns INFO [00002577] Port=1 RD @02 + 2576.50ns INFO [00002578] * RD COMPARE * port=0 adr=04 act=5F6AD970B96F9A49A5 exp=5F6AD970B96F9A49A5 + 2576.50ns INFO [00002578] Port=0 WR @03=AB804AAC33E6F4242A + 2576.50ns INFO [00002578] Port=0 RD @01 + 2577.50ns INFO [00002579] * RD COMPARE * port=1 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2577.50ns INFO [00002579] Port=0 RD @00 + 2578.50ns INFO [00002580] * RD COMPARE * port=0 adr=01 act=A8837C613AC719EB5C exp=A8837C613AC719EB5C + 2578.50ns INFO [00002580] Port=0 RD @06 + 2579.50ns INFO [00002581] * RD COMPARE * port=0 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323 + 2579.50ns INFO [00002581] Port=0 WR @04=F2ED597F89287A053E + 2580.50ns INFO [00002582] * RD COMPARE * port=0 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE + 2580.50ns INFO [00002582] Port=0 WR @00=51A1CFEAC1A7BD384A + 2580.50ns INFO [00002582] Port=0 RD @01 + 2580.50ns INFO [00002582] Port=1 RD @04 + 2581.50ns INFO [00002583] Port=1 RD @01 + 2582.50ns INFO [00002584] * RD COMPARE * port=0 adr=01 act=A8837C613AC719EB5C exp=A8837C613AC719EB5C + 2582.50ns INFO [00002584] * RD COMPARE * port=1 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E + 2582.50ns INFO [00002584] Port=0 WR @05=FA1F67C83E1CDDBC52 + 2582.50ns INFO [00002584] Port=0 RD @06 + 2583.50ns INFO [00002585] * RD COMPARE * port=1 adr=01 act=A8837C613AC719EB5C exp=A8837C613AC719EB5C + 2583.50ns INFO [00002585] Port=1 RD @05 + 2584.50ns INFO [00002586] * RD COMPARE * port=0 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE + 2584.50ns INFO [00002586] Port=0 RD @04 + 2584.50ns INFO [00002586] Port=1 RD @07 + 2585.50ns INFO [00002587] * RD COMPARE * port=1 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52 + 2585.50ns INFO [00002587] Port=1 RD @00 + 2586.50ns INFO [00002588] * RD COMPARE * port=0 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E + 2586.50ns INFO [00002588] * RD COMPARE * port=1 adr=07 act=787382B4B45F2BE6E7 exp=787382B4B45F2BE6E7 + 2586.50ns INFO [00002588] Port=0 RD @02 + 2586.50ns INFO [00002588] Port=1 RD @04 + 2587.50ns INFO [00002589] * RD COMPARE * port=1 adr=00 act=51A1CFEAC1A7BD384A exp=51A1CFEAC1A7BD384A + 2587.50ns INFO [00002589] Port=0 RD @05 + 2588.50ns INFO [00002590] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2588.50ns INFO [00002590] * RD COMPARE * port=1 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E + 2588.50ns INFO [00002590] Port=0 RD @05 + 2589.50ns INFO [00002591] * RD COMPARE * port=0 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52 + 2589.50ns INFO [00002591] Port=0 WR @06=0EEB91F2C15F634D30 + 2589.50ns INFO [00002591] Port=1 RD @02 + 2590.50ns INFO [00002592] * RD COMPARE * port=0 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52 + 2591.50ns INFO [00002593] * RD COMPARE * port=1 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2591.50ns INFO [00002593] Port=0 RD @06 + 2591.50ns INFO [00002593] Port=1 RD @01 + 2592.50ns INFO [00002594] Port=0 WR @07=279688ED1C11A60B3A + 2592.50ns INFO [00002594] Port=0 RD @03 + 2593.50ns INFO [00002595] * RD COMPARE * port=0 adr=06 act=0EEB91F2C15F634D30 exp=0EEB91F2C15F634D30 + 2593.50ns INFO [00002595] * RD COMPARE * port=1 adr=01 act=A8837C613AC719EB5C exp=A8837C613AC719EB5C + 2594.50ns INFO [00002596] * RD COMPARE * port=0 adr=03 act=AB804AAC33E6F4242A exp=AB804AAC33E6F4242A + 2594.50ns INFO [00002596] Port=0 WR @01=8A356727009B53DF4C + 2594.50ns INFO [00002596] Port=1 RD @05 + 2595.50ns INFO [00002597] Port=0 RD @05 + 2596.50ns INFO [00002598] * RD COMPARE * port=1 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52 + 2596.50ns INFO [00002598] Port=0 RD @05 + 2597.50ns INFO [00002599] * RD COMPARE * port=0 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52 + 2597.50ns INFO [00002599] Port=0 RD @04 + 2598.00ns INFO [00002600] [00002600] ...tick... + 2598.50ns INFO [00002600] * RD COMPARE * port=0 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52 + 2598.50ns INFO [00002600] Port=1 RD @07 + 2599.50ns INFO [00002601] * RD COMPARE * port=0 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E + 2599.50ns INFO [00002601] Port=0 WR @07=06F0071EEDC34A0099 + 2600.50ns INFO [00002602] * RD COMPARE * port=1 adr=07 act=279688ED1C11A60B3A exp=279688ED1C11A60B3A + 2600.50ns INFO [00002602] Port=0 WR @07=CA86FAA95B0016ACE5 + 2601.50ns INFO [00002603] Port=0 RD @05 + 2602.50ns INFO [00002604] Port=0 WR @06=F3FB809F9C7F30D8FA + 2603.50ns INFO [00002605] * RD COMPARE * port=0 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52 + 2603.50ns INFO [00002605] Port=0 RD @04 + 2603.50ns INFO [00002605] Port=1 RD @01 + 2605.50ns INFO [00002607] * RD COMPARE * port=0 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E + 2605.50ns INFO [00002607] * RD COMPARE * port=1 adr=01 act=8A356727009B53DF4C exp=8A356727009B53DF4C + 2605.50ns INFO [00002607] Port=0 WR @05=89E82E0C6B53BFDEF9 + 2605.50ns INFO [00002607] Port=1 RD @03 + 2606.50ns INFO [00002608] Port=0 WR @01=CB990A8E1914604A94 + 2606.50ns INFO [00002608] Port=0 RD @03 + 2607.50ns INFO [00002609] * RD COMPARE * port=1 adr=03 act=AB804AAC33E6F4242A exp=AB804AAC33E6F4242A + 2607.50ns INFO [00002609] Port=0 RD @07 + 2608.50ns INFO [00002610] * RD COMPARE * port=0 adr=03 act=AB804AAC33E6F4242A exp=AB804AAC33E6F4242A + 2608.50ns INFO [00002610] Port=0 WR @06=D393791E9FF9556014 + 2608.50ns INFO [00002610] Port=0 RD @02 + 2609.50ns INFO [00002611] * RD COMPARE * port=0 adr=07 act=CA86FAA95B0016ACE5 exp=CA86FAA95B0016ACE5 + 2610.50ns INFO [00002612] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2611.50ns INFO [00002613] Port=0 WR @00=384167C1F88B408FAA + 2611.50ns INFO [00002613] Port=0 RD @06 + 2613.50ns INFO [00002615] * RD COMPARE * port=0 adr=06 act=D393791E9FF9556014 exp=D393791E9FF9556014 + 2613.50ns INFO [00002615] Port=1 RD @04 + 2614.50ns INFO [00002616] Port=0 WR @07=4FF948017094D72651 + 2614.50ns INFO [00002616] Port=1 RD @00 + 2615.50ns INFO [00002617] * RD COMPARE * port=1 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E + 2615.50ns INFO [00002617] Port=0 WR @07=8BB8DF5C28B5AA3901 + 2616.50ns INFO [00002618] * RD COMPARE * port=1 adr=00 act=384167C1F88B408FAA exp=384167C1F88B408FAA + 2616.50ns INFO [00002618] Port=0 RD @07 + 2616.50ns INFO [00002618] Port=1 RD @07 + 2618.50ns INFO [00002620] * RD COMPARE * port=0 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901 + 2618.50ns INFO [00002620] * RD COMPARE * port=1 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901 + 2619.50ns INFO [00002621] Port=0 RD @04 + 2620.50ns INFO [00002622] Port=1 RD @07 + 2621.50ns INFO [00002623] * RD COMPARE * port=0 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E + 2621.50ns INFO [00002623] Port=0 RD @05 + 2621.50ns INFO [00002623] Port=1 RD @01 + 2622.50ns INFO [00002624] * RD COMPARE * port=1 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901 + 2622.50ns INFO [00002624] Port=0 RD @05 + 2623.50ns INFO [00002625] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2623.50ns INFO [00002625] * RD COMPARE * port=1 adr=01 act=CB990A8E1914604A94 exp=CB990A8E1914604A94 + 2623.50ns INFO [00002625] Port=1 RD @02 + 2624.50ns INFO [00002626] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2624.50ns INFO [00002626] Port=0 WR @04=2FD6D10E58AD050DBB + 2624.50ns INFO [00002626] Port=1 RD @01 + 2625.50ns INFO [00002627] * RD COMPARE * port=1 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627 + 2625.50ns INFO [00002627] Port=1 RD @07 + 2626.50ns INFO [00002628] * RD COMPARE * port=1 adr=01 act=CB990A8E1914604A94 exp=CB990A8E1914604A94 + 2626.50ns INFO [00002628] Port=0 WR @06=D8CF69B3FEB18EEB3E + 2626.50ns INFO [00002628] Port=0 RD @05 + 2626.50ns INFO [00002628] Port=1 RD @03 + 2627.50ns INFO [00002629] * RD COMPARE * port=1 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901 + 2627.50ns INFO [00002629] Port=0 WR @04=BF57D4B514BCD612C0 + 2627.50ns INFO [00002629] Port=1 RD @07 + 2628.50ns INFO [00002630] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2628.50ns INFO [00002630] * RD COMPARE * port=1 adr=03 act=AB804AAC33E6F4242A exp=AB804AAC33E6F4242A + 2629.50ns INFO [00002631] * RD COMPARE * port=1 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901 + 2630.50ns INFO [00002632] Port=0 WR @00=6E286205BBE9FA3F28 + 2630.50ns INFO [00002632] Port=0 RD @01 + 2631.50ns INFO [00002633] Port=0 RD @07 + 2631.50ns INFO [00002633] Port=1 RD @03 + 2632.50ns INFO [00002634] * RD COMPARE * port=0 adr=01 act=CB990A8E1914604A94 exp=CB990A8E1914604A94 + 2632.50ns INFO [00002634] Port=1 RD @05 + 2633.50ns INFO [00002635] * RD COMPARE * port=0 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901 + 2633.50ns INFO [00002635] * RD COMPARE * port=1 adr=03 act=AB804AAC33E6F4242A exp=AB804AAC33E6F4242A + 2634.50ns INFO [00002636] * RD COMPARE * port=1 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2634.50ns INFO [00002636] Port=0 WR @00=8C38EE6B7926132D2D + 2634.50ns INFO [00002636] Port=0 RD @07 + 2635.50ns INFO [00002637] Port=0 WR @01=EF8DBBAE361B176B33 + 2636.50ns INFO [00002638] * RD COMPARE * port=0 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901 + 2636.50ns INFO [00002638] Port=0 WR @00=AF0A627533CBE834EB + 2637.50ns INFO [00002639] Port=0 WR @02=760BC6BBE61CDE756F + 2638.50ns INFO [00002640] Port=0 RD @02 + 2638.50ns INFO [00002640] Port=1 RD @07 + 2639.50ns INFO [00002641] Port=0 RD @04 + 2640.50ns INFO [00002642] * RD COMPARE * port=0 adr=02 act=760BC6BBE61CDE756F exp=760BC6BBE61CDE756F + 2640.50ns INFO [00002642] * RD COMPARE * port=1 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901 + 2640.50ns INFO [00002642] Port=1 RD @04 + 2641.50ns INFO [00002643] * RD COMPARE * port=0 adr=04 act=BF57D4B514BCD612C0 exp=BF57D4B514BCD612C0 + 2641.50ns INFO [00002643] Port=0 RD @05 + 2641.50ns INFO [00002643] Port=1 RD @04 + 2642.50ns INFO [00002644] * RD COMPARE * port=1 adr=04 act=BF57D4B514BCD612C0 exp=BF57D4B514BCD612C0 + 2642.50ns INFO [00002644] Port=0 RD @02 + 2643.50ns INFO [00002645] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2643.50ns INFO [00002645] * RD COMPARE * port=1 adr=04 act=BF57D4B514BCD612C0 exp=BF57D4B514BCD612C0 + 2643.50ns INFO [00002645] Port=0 RD @04 + 2643.50ns INFO [00002645] Port=1 RD @01 + 2644.50ns INFO [00002646] * RD COMPARE * port=0 adr=02 act=760BC6BBE61CDE756F exp=760BC6BBE61CDE756F + 2645.50ns INFO [00002647] * RD COMPARE * port=0 adr=04 act=BF57D4B514BCD612C0 exp=BF57D4B514BCD612C0 + 2645.50ns INFO [00002647] * RD COMPARE * port=1 adr=01 act=EF8DBBAE361B176B33 exp=EF8DBBAE361B176B33 + 2645.50ns INFO [00002647] Port=0 WR @03=195C78BB9E210F2AA1 + 2645.50ns INFO [00002647] Port=1 RD @05 + 2646.50ns INFO [00002648] Port=0 RD @00 + 2646.50ns INFO [00002648] Port=1 RD @01 + 2647.50ns INFO [00002649] * RD COMPARE * port=1 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2647.50ns INFO [00002649] Port=1 RD @06 + 2648.50ns INFO [00002650] * RD COMPARE * port=0 adr=00 act=AF0A627533CBE834EB exp=AF0A627533CBE834EB + 2648.50ns INFO [00002650] * RD COMPARE * port=1 adr=01 act=EF8DBBAE361B176B33 exp=EF8DBBAE361B176B33 + 2648.50ns INFO [00002650] Port=0 RD @02 + 2648.50ns INFO [00002650] Port=1 RD @03 + 2649.50ns INFO [00002651] * RD COMPARE * port=1 adr=06 act=D8CF69B3FEB18EEB3E exp=D8CF69B3FEB18EEB3E + 2649.50ns INFO [00002651] Port=0 WR @07=59107B34C799EDA654 + 2649.50ns INFO [00002651] Port=1 RD @01 + 2650.50ns INFO [00002652] * RD COMPARE * port=0 adr=02 act=760BC6BBE61CDE756F exp=760BC6BBE61CDE756F + 2650.50ns INFO [00002652] * RD COMPARE * port=1 adr=03 act=195C78BB9E210F2AA1 exp=195C78BB9E210F2AA1 + 2650.50ns INFO [00002652] Port=1 RD @07 + 2651.50ns INFO [00002653] * RD COMPARE * port=1 adr=01 act=EF8DBBAE361B176B33 exp=EF8DBBAE361B176B33 + 2651.50ns INFO [00002653] Port=0 WR @06=0C72207CB718BDBBE5 + 2651.50ns INFO [00002653] Port=0 RD @03 + 2651.50ns INFO [00002653] Port=1 RD @05 + 2652.50ns INFO [00002654] * RD COMPARE * port=1 adr=07 act=59107B34C799EDA654 exp=59107B34C799EDA654 + 2652.50ns INFO [00002654] Port=0 RD @02 + 2653.50ns INFO [00002655] * RD COMPARE * port=0 adr=03 act=195C78BB9E210F2AA1 exp=195C78BB9E210F2AA1 + 2653.50ns INFO [00002655] * RD COMPARE * port=1 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2653.50ns INFO [00002655] Port=0 RD @04 + 2654.50ns INFO [00002656] * RD COMPARE * port=0 adr=02 act=760BC6BBE61CDE756F exp=760BC6BBE61CDE756F + 2654.50ns INFO [00002656] Port=0 WR @04=CCD2BB440FA164D1EF + 2654.50ns INFO [00002656] Port=0 RD @07 + 2654.50ns INFO [00002656] Port=1 RD @06 + 2655.50ns INFO [00002657] * RD COMPARE * port=0 adr=04 act=BF57D4B514BCD612C0 exp=BF57D4B514BCD612C0 + 2655.50ns INFO [00002657] Port=0 WR @06=E08F87836C5D702F68 + 2656.50ns INFO [00002658] * RD COMPARE * port=0 adr=07 act=59107B34C799EDA654 exp=59107B34C799EDA654 + 2656.50ns INFO [00002658] * RD COMPARE * port=1 adr=06 act=0C72207CB718BDBBE5 exp=0C72207CB718BDBBE5 + 2656.50ns INFO [00002658] Port=0 RD @06 + 2657.50ns INFO [00002659] Port=0 RD @06 + 2657.50ns INFO [00002659] Port=1 RD @06 + 2658.50ns INFO [00002660] * RD COMPARE * port=0 adr=06 act=E08F87836C5D702F68 exp=E08F87836C5D702F68 + 2658.50ns INFO [00002660] Port=1 RD @05 + 2659.50ns INFO [00002661] * RD COMPARE * port=0 adr=06 act=E08F87836C5D702F68 exp=E08F87836C5D702F68 + 2659.50ns INFO [00002661] * RD COMPARE * port=1 adr=06 act=E08F87836C5D702F68 exp=E08F87836C5D702F68 + 2659.50ns INFO [00002661] Port=0 WR @01=2301A968DFF833DA55 + 2660.50ns INFO [00002662] * RD COMPARE * port=1 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2661.50ns INFO [00002663] Port=1 RD @03 + 2662.50ns INFO [00002664] Port=0 RD @05 + 2662.50ns INFO [00002664] Port=1 RD @04 + 2663.50ns INFO [00002665] * RD COMPARE * port=1 adr=03 act=195C78BB9E210F2AA1 exp=195C78BB9E210F2AA1 + 2663.50ns INFO [00002665] Port=0 RD @07 + 2664.50ns INFO [00002666] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2664.50ns INFO [00002666] * RD COMPARE * port=1 adr=04 act=CCD2BB440FA164D1EF exp=CCD2BB440FA164D1EF + 2664.50ns INFO [00002666] Port=0 WR @07=0BDF6726CD757A052F + 2664.50ns INFO [00002666] Port=0 RD @02 + 2665.50ns INFO [00002667] * RD COMPARE * port=0 adr=07 act=59107B34C799EDA654 exp=59107B34C799EDA654 + 2665.50ns INFO [00002667] Port=0 WR @02=F5E4B2A8ADC6B72C59 + 2666.50ns INFO [00002668] * RD COMPARE * port=0 adr=02 act=760BC6BBE61CDE756F exp=760BC6BBE61CDE756F + 2667.50ns INFO [00002669] Port=0 WR @03=2E84F9AAB6906A73C7 + 2667.50ns INFO [00002669] Port=0 RD @07 + 2669.50ns INFO [00002671] * RD COMPARE * port=0 adr=07 act=0BDF6726CD757A052F exp=0BDF6726CD757A052F + 2670.50ns INFO [00002672] Port=0 RD @05 + 2670.50ns INFO [00002672] Port=1 RD @03 + 2671.50ns INFO [00002673] Port=0 WR @06=580D3D54655BD2F9F3 + 2671.50ns INFO [00002673] Port=0 RD @05 + 2672.50ns INFO [00002674] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2672.50ns INFO [00002674] * RD COMPARE * port=1 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7 + 2672.50ns INFO [00002674] Port=1 RD @07 + 2673.50ns INFO [00002675] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9 + 2673.50ns INFO [00002675] Port=0 RD @00 + 2673.50ns INFO [00002675] Port=1 RD @06 + 2674.50ns INFO [00002676] * RD COMPARE * port=1 adr=07 act=0BDF6726CD757A052F exp=0BDF6726CD757A052F + 2675.50ns INFO [00002677] * RD COMPARE * port=0 adr=00 act=AF0A627533CBE834EB exp=AF0A627533CBE834EB + 2675.50ns INFO [00002677] * RD COMPARE * port=1 adr=06 act=580D3D54655BD2F9F3 exp=580D3D54655BD2F9F3 + 2675.50ns INFO [00002677] Port=0 WR @05=76F51AA6044ABC016A + 2675.50ns INFO [00002677] Port=1 RD @01 + 2676.50ns INFO [00002678] Port=1 RD @04 + 2677.50ns INFO [00002679] * RD COMPARE * port=1 adr=01 act=2301A968DFF833DA55 exp=2301A968DFF833DA55 + 2677.50ns INFO [00002679] Port=1 RD @04 + 2678.50ns INFO [00002680] * RD COMPARE * port=1 adr=04 act=CCD2BB440FA164D1EF exp=CCD2BB440FA164D1EF + 2678.50ns INFO [00002680] Port=1 RD @06 + 2679.50ns INFO [00002681] * RD COMPARE * port=1 adr=04 act=CCD2BB440FA164D1EF exp=CCD2BB440FA164D1EF + 2679.50ns INFO [00002681] Port=0 RD @03 + 2680.50ns INFO [00002682] * RD COMPARE * port=1 adr=06 act=580D3D54655BD2F9F3 exp=580D3D54655BD2F9F3 + 2680.50ns INFO [00002682] Port=1 RD @07 + 2681.50ns INFO [00002683] * RD COMPARE * port=0 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7 + 2681.50ns INFO [00002683] Port=0 WR @04=F551AE163A8755A04A + 2681.50ns INFO [00002683] Port=0 RD @03 + 2682.50ns INFO [00002684] * RD COMPARE * port=1 adr=07 act=0BDF6726CD757A052F exp=0BDF6726CD757A052F + 2682.50ns INFO [00002684] Port=1 RD @03 + 2683.50ns INFO [00002685] * RD COMPARE * port=0 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7 + 2683.50ns INFO [00002685] Port=0 RD @06 + 2683.50ns INFO [00002685] Port=1 RD @05 + 2684.50ns INFO [00002686] * RD COMPARE * port=1 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7 + 2684.50ns INFO [00002686] Port=0 RD @02 + 2685.50ns INFO [00002687] * RD COMPARE * port=0 adr=06 act=580D3D54655BD2F9F3 exp=580D3D54655BD2F9F3 + 2685.50ns INFO [00002687] * RD COMPARE * port=1 adr=05 act=76F51AA6044ABC016A exp=76F51AA6044ABC016A + 2685.50ns INFO [00002687] Port=0 WR @01=C5C0C94D979621D46B + 2686.50ns INFO [00002688] * RD COMPARE * port=0 adr=02 act=F5E4B2A8ADC6B72C59 exp=F5E4B2A8ADC6B72C59 + 2687.50ns INFO [00002689] Port=1 RD @02 + 2689.50ns INFO [00002691] * RD COMPARE * port=1 adr=02 act=F5E4B2A8ADC6B72C59 exp=F5E4B2A8ADC6B72C59 + 2689.50ns INFO [00002691] Port=0 WR @07=595FBD7611091F87CB + 2689.50ns INFO [00002691] Port=1 RD @00 + 2691.50ns INFO [00002693] * RD COMPARE * port=1 adr=00 act=AF0A627533CBE834EB exp=AF0A627533CBE834EB + 2693.50ns INFO [00002695] Port=1 RD @03 + 2694.50ns INFO [00002696] Port=0 WR @04=8F8F5BA8674EE00BFF + 2694.50ns INFO [00002696] Port=1 RD @03 + 2695.50ns INFO [00002697] * RD COMPARE * port=1 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7 + 2695.50ns INFO [00002697] Port=0 WR @06=747EE706659DAD5167 + 2696.50ns INFO [00002698] * RD COMPARE * port=1 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7 + 2696.50ns INFO [00002698] Port=0 WR @05=FBC8AB7A525B64EB12 + 2696.50ns INFO [00002698] Port=1 RD @00 + 2698.00ns INFO [00002700] [00002700] ...tick... + 2698.50ns INFO [00002700] * RD COMPARE * port=1 adr=00 act=AF0A627533CBE834EB exp=AF0A627533CBE834EB + 2698.50ns INFO [00002700] Port=1 RD @01 + 2699.50ns INFO [00002701] Port=0 WR @03=511A7A5D9F597E825D + 2699.50ns INFO [00002701] Port=0 RD @02 + 2700.50ns INFO [00002702] * RD COMPARE * port=1 adr=01 act=C5C0C94D979621D46B exp=C5C0C94D979621D46B + 2700.50ns INFO [00002702] Port=0 WR @02=459546DE5349D396B7 + 2700.50ns INFO [00002702] Port=1 RD @06 + 2701.50ns INFO [00002703] * RD COMPARE * port=0 adr=02 act=F5E4B2A8ADC6B72C59 exp=F5E4B2A8ADC6B72C59 + 2701.50ns INFO [00002703] Port=0 WR @06=FBAD9AC62797877644 + 2701.50ns INFO [00002703] Port=0 RD @04 + 2702.50ns INFO [00002704] * RD COMPARE * port=1 adr=06 act=747EE706659DAD5167 exp=747EE706659DAD5167 + 2703.50ns INFO [00002705] * RD COMPARE * port=0 adr=04 act=8F8F5BA8674EE00BFF exp=8F8F5BA8674EE00BFF + 2703.50ns INFO [00002705] Port=1 RD @07 + 2704.50ns INFO [00002706] Port=1 RD @02 + 2705.50ns INFO [00002707] * RD COMPARE * port=1 adr=07 act=595FBD7611091F87CB exp=595FBD7611091F87CB + 2705.50ns INFO [00002707] Port=0 WR @04=D4DB822360AF6DA3B8 + 2705.50ns INFO [00002707] Port=1 RD @02 + 2706.50ns INFO [00002708] * RD COMPARE * port=1 adr=02 act=459546DE5349D396B7 exp=459546DE5349D396B7 + 2706.50ns INFO [00002708] Port=1 RD @02 + 2707.50ns INFO [00002709] * RD COMPARE * port=1 adr=02 act=459546DE5349D396B7 exp=459546DE5349D396B7 + 2708.50ns INFO [00002710] * RD COMPARE * port=1 adr=02 act=459546DE5349D396B7 exp=459546DE5349D396B7 + 2708.50ns INFO [00002710] Port=1 RD @05 + 2709.50ns INFO [00002711] Port=0 WR @01=66F21C547077BCC45A + 2709.50ns INFO [00002711] Port=0 RD @02 + 2709.50ns INFO [00002711] Port=1 RD @03 + 2710.50ns INFO [00002712] * RD COMPARE * port=1 adr=05 act=FBC8AB7A525B64EB12 exp=FBC8AB7A525B64EB12 + 2711.50ns INFO [00002713] * RD COMPARE * port=0 adr=02 act=459546DE5349D396B7 exp=459546DE5349D396B7 + 2711.50ns INFO [00002713] * RD COMPARE * port=1 adr=03 act=511A7A5D9F597E825D exp=511A7A5D9F597E825D + 2711.50ns INFO [00002713] Port=0 RD @01 + 2712.50ns INFO [00002714] Port=0 WR @04=C7F605879DE0B0A472 + 2713.50ns INFO [00002715] * RD COMPARE * port=0 adr=01 act=66F21C547077BCC45A exp=66F21C547077BCC45A + 2713.50ns INFO [00002715] Port=0 RD @05 + 2714.50ns INFO [00002716] Port=1 RD @02 + 2715.50ns INFO [00002717] * RD COMPARE * port=0 adr=05 act=FBC8AB7A525B64EB12 exp=FBC8AB7A525B64EB12 + 2716.50ns INFO [00002718] * RD COMPARE * port=1 adr=02 act=459546DE5349D396B7 exp=459546DE5349D396B7 + 2716.50ns INFO [00002718] Port=0 WR @03=B41DA0B009F87657C5 + 2716.50ns INFO [00002718] Port=0 RD @07 + 2718.50ns INFO [00002720] * RD COMPARE * port=0 adr=07 act=595FBD7611091F87CB exp=595FBD7611091F87CB + 2718.50ns INFO [00002720] Port=0 WR @00=C9AD360860B3A88489 + 2718.50ns INFO [00002720] Port=1 RD @01 + 2719.50ns INFO [00002721] Port=0 WR @05=8B1E53474E16B6D452 + 2719.50ns INFO [00002721] Port=0 RD @03 + 2719.50ns INFO [00002721] Port=1 RD @07 + 2720.50ns INFO [00002722] * RD COMPARE * port=1 adr=01 act=66F21C547077BCC45A exp=66F21C547077BCC45A + 2720.50ns INFO [00002722] Port=0 WR @03=5832FD5560A3C99154 + 2721.50ns INFO [00002723] * RD COMPARE * port=0 adr=03 act=B41DA0B009F87657C5 exp=B41DA0B009F87657C5 + 2721.50ns INFO [00002723] * RD COMPARE * port=1 adr=07 act=595FBD7611091F87CB exp=595FBD7611091F87CB + 2721.50ns INFO [00002723] Port=0 RD @01 + 2721.50ns INFO [00002723] Port=1 RD @07 + 2722.50ns INFO [00002724] Port=0 WR @01=78FD56905B5CBEA8CD + 2722.50ns INFO [00002724] Port=0 RD @03 + 2723.50ns INFO [00002725] * RD COMPARE * port=0 adr=01 act=66F21C547077BCC45A exp=66F21C547077BCC45A + 2723.50ns INFO [00002725] * RD COMPARE * port=1 adr=07 act=595FBD7611091F87CB exp=595FBD7611091F87CB + 2723.50ns INFO [00002725] Port=0 WR @04=CCCB99F6AE67F756B2 + 2723.50ns INFO [00002725] Port=1 RD @05 + 2724.50ns INFO [00002726] * RD COMPARE * port=0 adr=03 act=5832FD5560A3C99154 exp=5832FD5560A3C99154 + 2724.50ns INFO [00002726] Port=0 WR @01=FA745D151C03DBB97A + 2725.50ns INFO [00002727] * RD COMPARE * port=1 adr=05 act=8B1E53474E16B6D452 exp=8B1E53474E16B6D452 + 2725.50ns INFO [00002727] Port=0 WR @07=2AD3C27F52BDF74703 + 2726.50ns INFO [00002728] Port=0 RD @05 + 2726.50ns INFO [00002728] Port=1 RD @05 + 2727.50ns INFO [00002729] Port=0 WR @06=70555D77F5C1B9C763 + 2727.50ns INFO [00002729] Port=0 RD @04 + 2728.50ns INFO [00002730] * RD COMPARE * port=0 adr=05 act=8B1E53474E16B6D452 exp=8B1E53474E16B6D452 + 2728.50ns INFO [00002730] * RD COMPARE * port=1 adr=05 act=8B1E53474E16B6D452 exp=8B1E53474E16B6D452 + 2728.50ns INFO [00002730] Port=0 RD @03 + 2728.50ns INFO [00002730] Port=1 RD @04 + 2729.50ns INFO [00002731] * RD COMPARE * port=0 adr=04 act=CCCB99F6AE67F756B2 exp=CCCB99F6AE67F756B2 + 2729.50ns INFO [00002731] Port=0 WR @06=809FBA1D84B4EFAAE3 + 2730.50ns INFO [00002732] * RD COMPARE * port=0 adr=03 act=5832FD5560A3C99154 exp=5832FD5560A3C99154 + 2730.50ns INFO [00002732] * RD COMPARE * port=1 adr=04 act=CCCB99F6AE67F756B2 exp=CCCB99F6AE67F756B2 + 2730.50ns INFO [00002732] Port=1 RD @03 + 2731.50ns INFO [00002733] Port=0 RD @06 + 2732.50ns INFO [00002734] * RD COMPARE * port=1 adr=03 act=5832FD5560A3C99154 exp=5832FD5560A3C99154 + 2732.50ns INFO [00002734] Port=0 WR @05=DD5495108DAAB5D18E + 2732.50ns INFO [00002734] Port=0 RD @04 + 2732.50ns INFO [00002734] Port=1 RD @00 + 2733.50ns INFO [00002735] * RD COMPARE * port=0 adr=06 act=809FBA1D84B4EFAAE3 exp=809FBA1D84B4EFAAE3 + 2734.50ns INFO [00002736] * RD COMPARE * port=0 adr=04 act=CCCB99F6AE67F756B2 exp=CCCB99F6AE67F756B2 + 2734.50ns INFO [00002736] * RD COMPARE * port=1 adr=00 act=C9AD360860B3A88489 exp=C9AD360860B3A88489 + 2738.50ns INFO [00002740] Port=0 WR @03=BB69E3AB3CA2D980D9 + 2739.50ns INFO [00002741] Port=1 RD @01 + 2740.50ns INFO [00002742] Port=1 RD @04 + 2741.50ns INFO [00002743] * RD COMPARE * port=1 adr=01 act=FA745D151C03DBB97A exp=FA745D151C03DBB97A + 2741.50ns INFO [00002743] Port=0 WR @03=11183255611A5DAC0E + 2741.50ns INFO [00002743] Port=0 RD @05 + 2742.50ns INFO [00002744] * RD COMPARE * port=1 adr=04 act=CCCB99F6AE67F756B2 exp=CCCB99F6AE67F756B2 + 2742.50ns INFO [00002744] Port=0 RD @06 + 2743.50ns INFO [00002745] * RD COMPARE * port=0 adr=05 act=DD5495108DAAB5D18E exp=DD5495108DAAB5D18E + 2744.50ns INFO [00002746] * RD COMPARE * port=0 adr=06 act=809FBA1D84B4EFAAE3 exp=809FBA1D84B4EFAAE3 + 2744.50ns INFO [00002746] Port=0 RD @06 + 2745.50ns INFO [00002747] Port=0 WR @06=E9FB50DBB8A3F42256 + 2745.50ns INFO [00002747] Port=1 RD @07 + 2746.50ns INFO [00002748] * RD COMPARE * port=0 adr=06 act=809FBA1D84B4EFAAE3 exp=809FBA1D84B4EFAAE3 + 2746.50ns INFO [00002748] Port=0 RD @01 + 2747.50ns INFO [00002749] * RD COMPARE * port=1 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703 + 2747.50ns INFO [00002749] Port=0 WR @00=70C67172B8C3991540 + 2747.50ns INFO [00002749] Port=0 RD @07 + 2748.50ns INFO [00002750] * RD COMPARE * port=0 adr=01 act=FA745D151C03DBB97A exp=FA745D151C03DBB97A + 2748.50ns INFO [00002750] Port=0 RD @00 + 2748.50ns INFO [00002750] Port=1 RD @00 + 2749.50ns INFO [00002751] * RD COMPARE * port=0 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703 + 2750.50ns INFO [00002752] * RD COMPARE * port=0 adr=00 act=70C67172B8C3991540 exp=70C67172B8C3991540 + 2750.50ns INFO [00002752] * RD COMPARE * port=1 adr=00 act=70C67172B8C3991540 exp=70C67172B8C3991540 + 2750.50ns INFO [00002752] Port=0 RD @00 + 2750.50ns INFO [00002752] Port=1 RD @04 + 2752.50ns INFO [00002754] * RD COMPARE * port=0 adr=00 act=70C67172B8C3991540 exp=70C67172B8C3991540 + 2752.50ns INFO [00002754] * RD COMPARE * port=1 adr=04 act=CCCB99F6AE67F756B2 exp=CCCB99F6AE67F756B2 + 2752.50ns INFO [00002754] Port=0 RD @07 + 2753.50ns INFO [00002755] Port=0 RD @06 + 2754.50ns INFO [00002756] * RD COMPARE * port=0 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703 + 2754.50ns INFO [00002756] Port=0 WR @01=89F45550E093347E8A + 2754.50ns INFO [00002756] Port=0 RD @03 + 2754.50ns INFO [00002756] Port=1 RD @06 + 2755.50ns INFO [00002757] * RD COMPARE * port=0 adr=06 act=E9FB50DBB8A3F42256 exp=E9FB50DBB8A3F42256 + 2755.50ns INFO [00002757] Port=0 WR @06=3D020CBB814DA5BAF1 + 2755.50ns INFO [00002757] Port=1 RD @07 + 2756.50ns INFO [00002758] * RD COMPARE * port=0 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E + 2756.50ns INFO [00002758] * RD COMPARE * port=1 adr=06 act=E9FB50DBB8A3F42256 exp=E9FB50DBB8A3F42256 + 2757.50ns INFO [00002759] * RD COMPARE * port=1 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703 + 2757.50ns INFO [00002759] Port=0 WR @02=667C6C0A5D37A87C64 + 2757.50ns INFO [00002759] Port=0 RD @06 + 2758.50ns INFO [00002760] Port=0 WR @00=1CC7FACCEA592BACA9 + 2758.50ns INFO [00002760] Port=0 RD @03 + 2759.50ns INFO [00002761] * RD COMPARE * port=0 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1 + 2759.50ns INFO [00002761] Port=1 RD @06 + 2760.50ns INFO [00002762] * RD COMPARE * port=0 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E + 2760.50ns INFO [00002762] Port=0 RD @01 + 2760.50ns INFO [00002762] Port=1 RD @00 + 2761.50ns INFO [00002763] * RD COMPARE * port=1 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1 + 2761.50ns INFO [00002763] Port=1 RD @06 + 2762.50ns INFO [00002764] * RD COMPARE * port=0 adr=01 act=89F45550E093347E8A exp=89F45550E093347E8A + 2762.50ns INFO [00002764] * RD COMPARE * port=1 adr=00 act=1CC7FACCEA592BACA9 exp=1CC7FACCEA592BACA9 + 2762.50ns INFO [00002764] Port=0 WR @05=B82F13519CA82D7FEC + 2762.50ns INFO [00002764] Port=1 RD @02 + 2763.50ns INFO [00002765] * RD COMPARE * port=1 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1 + 2763.50ns INFO [00002765] Port=0 RD @02 + 2764.50ns INFO [00002766] * RD COMPARE * port=1 adr=02 act=667C6C0A5D37A87C64 exp=667C6C0A5D37A87C64 + 2765.50ns INFO [00002767] * RD COMPARE * port=0 adr=02 act=667C6C0A5D37A87C64 exp=667C6C0A5D37A87C64 + 2765.50ns INFO [00002767] Port=0 WR @02=66E1B9390DEFDA797A + 2765.50ns INFO [00002767] Port=0 RD @06 + 2766.50ns INFO [00002768] Port=0 WR @04=9D454E05B08CD7FED5 + 2766.50ns INFO [00002768] Port=1 RD @07 + 2767.50ns INFO [00002769] * RD COMPARE * port=0 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1 + 2767.50ns INFO [00002769] Port=0 RD @07 + 2768.50ns INFO [00002770] * RD COMPARE * port=1 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703 + 2768.50ns INFO [00002770] Port=0 RD @00 + 2768.50ns INFO [00002770] Port=1 RD @02 + 2769.50ns INFO [00002771] * RD COMPARE * port=0 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703 + 2769.50ns INFO [00002771] Port=0 WR @04=61BD0EEDFAA5251FA0 + 2770.50ns INFO [00002772] * RD COMPARE * port=0 adr=00 act=1CC7FACCEA592BACA9 exp=1CC7FACCEA592BACA9 + 2770.50ns INFO [00002772] * RD COMPARE * port=1 adr=02 act=66E1B9390DEFDA797A exp=66E1B9390DEFDA797A + 2770.50ns INFO [00002772] Port=0 RD @06 + 2770.50ns INFO [00002772] Port=1 RD @07 + 2771.50ns INFO [00002773] Port=0 WR @01=EF7ADF05A057F3DAA7 + 2771.50ns INFO [00002773] Port=0 RD @04 + 2771.50ns INFO [00002773] Port=1 RD @06 + 2772.50ns INFO [00002774] * RD COMPARE * port=0 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1 + 2772.50ns INFO [00002774] * RD COMPARE * port=1 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703 + 2773.50ns INFO [00002775] * RD COMPARE * port=0 adr=04 act=61BD0EEDFAA5251FA0 exp=61BD0EEDFAA5251FA0 + 2773.50ns INFO [00002775] * RD COMPARE * port=1 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1 + 2773.50ns INFO [00002775] Port=0 RD @06 + 2774.50ns INFO [00002776] Port=1 RD @06 + 2775.50ns INFO [00002777] * RD COMPARE * port=0 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1 + 2775.50ns INFO [00002777] Port=0 WR @06=FAB60B51375F882A75 + 2776.50ns INFO [00002778] * RD COMPARE * port=1 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1 + 2776.50ns INFO [00002778] Port=0 WR @07=48015F1B61819CEDA5 + 2776.50ns INFO [00002778] Port=0 RD @04 + 2778.50ns INFO [00002780] * RD COMPARE * port=0 adr=04 act=61BD0EEDFAA5251FA0 exp=61BD0EEDFAA5251FA0 + 2779.50ns INFO [00002781] Port=0 RD @06 + 2779.50ns INFO [00002781] Port=1 RD @00 + 2781.50ns INFO [00002783] * RD COMPARE * port=0 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75 + 2781.50ns INFO [00002783] * RD COMPARE * port=1 adr=00 act=1CC7FACCEA592BACA9 exp=1CC7FACCEA592BACA9 + 2781.50ns INFO [00002783] Port=0 WR @01=8969F117567B2551CA + 2781.50ns INFO [00002783] Port=1 RD @06 + 2782.50ns INFO [00002784] Port=0 RD @00 + 2782.50ns INFO [00002784] Port=1 RD @03 + 2783.50ns INFO [00002785] * RD COMPARE * port=1 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75 + 2783.50ns INFO [00002785] Port=1 RD @06 + 2784.50ns INFO [00002786] * RD COMPARE * port=0 adr=00 act=1CC7FACCEA592BACA9 exp=1CC7FACCEA592BACA9 + 2784.50ns INFO [00002786] * RD COMPARE * port=1 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E + 2784.50ns INFO [00002786] Port=0 RD @04 + 2785.50ns INFO [00002787] * RD COMPARE * port=1 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75 + 2786.50ns INFO [00002788] * RD COMPARE * port=0 adr=04 act=61BD0EEDFAA5251FA0 exp=61BD0EEDFAA5251FA0 + 2786.50ns INFO [00002788] Port=1 RD @06 + 2787.50ns INFO [00002789] Port=0 WR @07=C05447C923A6BCA790 + 2787.50ns INFO [00002789] Port=0 RD @03 + 2788.50ns INFO [00002790] * RD COMPARE * port=1 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75 + 2788.50ns INFO [00002790] Port=0 RD @00 + 2788.50ns INFO [00002790] Port=1 RD @03 + 2789.50ns INFO [00002791] * RD COMPARE * port=0 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E + 2789.50ns INFO [00002791] Port=0 WR @07=02D8AE7ED237655FB8 + 2790.50ns INFO [00002792] * RD COMPARE * port=0 adr=00 act=1CC7FACCEA592BACA9 exp=1CC7FACCEA592BACA9 + 2790.50ns INFO [00002792] * RD COMPARE * port=1 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E + 2791.50ns INFO [00002793] Port=0 WR @05=14D5C73B3BE46F274F + 2791.50ns INFO [00002793] Port=0 RD @04 + 2792.50ns INFO [00002794] Port=0 RD @01 + 2793.50ns INFO [00002795] * RD COMPARE * port=0 adr=04 act=61BD0EEDFAA5251FA0 exp=61BD0EEDFAA5251FA0 + 2793.50ns INFO [00002795] Port=0 WR @01=C0CDB7D035D34CB91B + 2793.50ns INFO [00002795] Port=1 RD @07 + 2794.50ns INFO [00002796] * RD COMPARE * port=0 adr=01 act=8969F117567B2551CA exp=8969F117567B2551CA + 2794.50ns INFO [00002796] Port=0 RD @04 + 2795.50ns INFO [00002797] * RD COMPARE * port=1 adr=07 act=02D8AE7ED237655FB8 exp=02D8AE7ED237655FB8 + 2795.50ns INFO [00002797] Port=0 WR @00=7BE2D2F97FE183DDA8 + 2795.50ns INFO [00002797] Port=0 RD @02 + 2796.50ns INFO [00002798] * RD COMPARE * port=0 adr=04 act=61BD0EEDFAA5251FA0 exp=61BD0EEDFAA5251FA0 + 2797.50ns INFO [00002799] * RD COMPARE * port=0 adr=02 act=66E1B9390DEFDA797A exp=66E1B9390DEFDA797A + 2798.00ns INFO [00002800] [00002800] ...tick... + 2798.50ns INFO [00002800] Port=0 WR @02=F0C1A31832C810EEFB + 2798.50ns INFO [00002800] Port=0 RD @00 + 2799.50ns INFO [00002801] Port=0 WR @04=AEF5270268A1594659 + 2800.50ns INFO [00002802] * RD COMPARE * port=0 adr=00 act=7BE2D2F97FE183DDA8 exp=7BE2D2F97FE183DDA8 + 2800.50ns INFO [00002802] Port=1 RD @03 + 2802.50ns INFO [00002804] * RD COMPARE * port=1 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E + 2802.50ns INFO [00002804] Port=0 WR @00=361B71803583B9D1D5 + 2802.50ns INFO [00002804] Port=0 RD @07 + 2802.50ns INFO [00002804] Port=1 RD @06 + 2803.50ns INFO [00002805] Port=0 RD @01 + 2803.50ns INFO [00002805] Port=1 RD @06 + 2804.50ns INFO [00002806] * RD COMPARE * port=0 adr=07 act=02D8AE7ED237655FB8 exp=02D8AE7ED237655FB8 + 2804.50ns INFO [00002806] * RD COMPARE * port=1 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75 + 2805.50ns INFO [00002807] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2805.50ns INFO [00002807] * RD COMPARE * port=1 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75 + 2805.50ns INFO [00002807] Port=0 WR @02=E9DB89FE7F5F033C3A + 2807.50ns INFO [00002809] Port=1 RD @04 + 2808.50ns INFO [00002810] Port=0 RD @03 + 2808.50ns INFO [00002810] Port=1 RD @02 + 2809.50ns INFO [00002811] * RD COMPARE * port=1 adr=04 act=AEF5270268A1594659 exp=AEF5270268A1594659 + 2810.50ns INFO [00002812] * RD COMPARE * port=0 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E + 2810.50ns INFO [00002812] * RD COMPARE * port=1 adr=02 act=E9DB89FE7F5F033C3A exp=E9DB89FE7F5F033C3A + 2810.50ns INFO [00002812] Port=1 RD @02 + 2811.50ns INFO [00002813] Port=0 WR @06=19A9AD4D73F1E5ED3F + 2812.50ns INFO [00002814] * RD COMPARE * port=1 adr=02 act=E9DB89FE7F5F033C3A exp=E9DB89FE7F5F033C3A + 2812.50ns INFO [00002814] Port=0 RD @04 + 2814.50ns INFO [00002816] * RD COMPARE * port=0 adr=04 act=AEF5270268A1594659 exp=AEF5270268A1594659 + 2814.50ns INFO [00002816] Port=1 RD @01 + 2815.50ns INFO [00002817] Port=0 RD @07 + 2816.50ns INFO [00002818] * RD COMPARE * port=1 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2816.50ns INFO [00002818] Port=0 WR @07=E14301DD91A8192CE8 + 2817.50ns INFO [00002819] * RD COMPARE * port=0 adr=07 act=02D8AE7ED237655FB8 exp=02D8AE7ED237655FB8 + 2817.50ns INFO [00002819] Port=0 WR @04=47A547AD43666907A9 + 2818.50ns INFO [00002820] Port=0 RD @04 + 2819.50ns INFO [00002821] Port=0 WR @07=D992B40248CB8C5513 + 2819.50ns INFO [00002821] Port=0 RD @03 + 2819.50ns INFO [00002821] Port=1 RD @03 + 2820.50ns INFO [00002822] * RD COMPARE * port=0 adr=04 act=47A547AD43666907A9 exp=47A547AD43666907A9 + 2821.50ns INFO [00002823] * RD COMPARE * port=0 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E + 2821.50ns INFO [00002823] * RD COMPARE * port=1 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E + 2821.50ns INFO [00002823] Port=0 RD @05 + 2822.50ns INFO [00002824] Port=0 WR @04=E79C43849ACFD3ED8D + 2822.50ns INFO [00002824] Port=1 RD @00 + 2823.50ns INFO [00002825] * RD COMPARE * port=0 adr=05 act=14D5C73B3BE46F274F exp=14D5C73B3BE46F274F + 2823.50ns INFO [00002825] Port=0 WR @05=6CAF160BB45F9E1E5C + 2824.50ns INFO [00002826] * RD COMPARE * port=1 adr=00 act=361B71803583B9D1D5 exp=361B71803583B9D1D5 + 2824.50ns INFO [00002826] Port=0 WR @03=9C26FB0B7F7F3F438D + 2825.50ns INFO [00002827] Port=0 WR @00=28D99452A0E81A9DCB + 2825.50ns INFO [00002827] Port=1 RD @01 + 2826.50ns INFO [00002828] Port=0 RD @05 + 2827.50ns INFO [00002829] * RD COMPARE * port=1 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2827.50ns INFO [00002829] Port=0 RD @00 + 2827.50ns INFO [00002829] Port=1 RD @02 + 2828.50ns INFO [00002830] * RD COMPARE * port=0 adr=05 act=6CAF160BB45F9E1E5C exp=6CAF160BB45F9E1E5C + 2828.50ns INFO [00002830] Port=0 WR @04=622862ADCC1C89AC97 + 2828.50ns INFO [00002830] Port=0 RD @06 + 2829.50ns INFO [00002831] * RD COMPARE * port=0 adr=00 act=28D99452A0E81A9DCB exp=28D99452A0E81A9DCB + 2829.50ns INFO [00002831] * RD COMPARE * port=1 adr=02 act=E9DB89FE7F5F033C3A exp=E9DB89FE7F5F033C3A + 2829.50ns INFO [00002831] Port=1 RD @00 + 2830.50ns INFO [00002832] * RD COMPARE * port=0 adr=06 act=19A9AD4D73F1E5ED3F exp=19A9AD4D73F1E5ED3F + 2830.50ns INFO [00002832] Port=0 WR @03=78253B2AF7999FAD19 + 2830.50ns INFO [00002832] Port=0 RD @06 + 2831.50ns INFO [00002833] * RD COMPARE * port=1 adr=00 act=28D99452A0E81A9DCB exp=28D99452A0E81A9DCB + 2831.50ns INFO [00002833] Port=0 RD @04 + 2832.50ns INFO [00002834] * RD COMPARE * port=0 adr=06 act=19A9AD4D73F1E5ED3F exp=19A9AD4D73F1E5ED3F + 2832.50ns INFO [00002834] Port=0 WR @02=C2442DC7C4E907F940 + 2832.50ns INFO [00002834] Port=0 RD @07 + 2832.50ns INFO [00002834] Port=1 RD @01 + 2833.50ns INFO [00002835] * RD COMPARE * port=0 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97 + 2833.50ns INFO [00002835] Port=0 WR @00=E6AA68EE8917B104E5 + 2834.50ns INFO [00002836] * RD COMPARE * port=0 adr=07 act=D992B40248CB8C5513 exp=D992B40248CB8C5513 + 2834.50ns INFO [00002836] * RD COMPARE * port=1 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2834.50ns INFO [00002836] Port=1 RD @00 + 2835.50ns INFO [00002837] Port=1 RD @04 + 2836.50ns INFO [00002838] * RD COMPARE * port=1 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5 + 2836.50ns INFO [00002838] Port=0 RD @05 + 2837.50ns INFO [00002839] * RD COMPARE * port=1 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97 + 2838.50ns INFO [00002840] * RD COMPARE * port=0 adr=05 act=6CAF160BB45F9E1E5C exp=6CAF160BB45F9E1E5C + 2838.50ns INFO [00002840] Port=0 WR @06=077A31A85BFBFE4476 + 2838.50ns INFO [00002840] Port=1 RD @02 + 2839.50ns INFO [00002841] Port=0 RD @00 + 2839.50ns INFO [00002841] Port=1 RD @01 + 2840.50ns INFO [00002842] * RD COMPARE * port=1 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940 + 2840.50ns INFO [00002842] Port=0 RD @06 + 2841.50ns INFO [00002843] * RD COMPARE * port=0 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5 + 2841.50ns INFO [00002843] * RD COMPARE * port=1 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2841.50ns INFO [00002843] Port=0 WR @05=FCE0C7E4B853775C50 + 2842.50ns INFO [00002844] * RD COMPARE * port=0 adr=06 act=077A31A85BFBFE4476 exp=077A31A85BFBFE4476 + 2842.50ns INFO [00002844] Port=0 RD @04 + 2842.50ns INFO [00002844] Port=1 RD @00 + 2843.50ns INFO [00002845] Port=1 RD @02 + 2844.50ns INFO [00002846] * RD COMPARE * port=0 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97 + 2844.50ns INFO [00002846] * RD COMPARE * port=1 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5 + 2844.50ns INFO [00002846] Port=0 WR @05=CAA3BA560501179953 + 2845.50ns INFO [00002847] * RD COMPARE * port=1 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940 + 2845.50ns INFO [00002847] Port=0 RD @02 + 2845.50ns INFO [00002847] Port=1 RD @00 + 2847.50ns INFO [00002849] * RD COMPARE * port=0 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940 + 2847.50ns INFO [00002849] * RD COMPARE * port=1 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5 + 2847.50ns INFO [00002849] Port=0 RD @01 + 2847.50ns INFO [00002849] Port=1 RD @02 + 2849.50ns INFO [00002851] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2849.50ns INFO [00002851] * RD COMPARE * port=1 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940 + 2849.50ns INFO [00002851] Port=0 WR @05=A85BDDC944901A2EBB + 2849.50ns INFO [00002851] Port=1 RD @07 + 2850.50ns INFO [00002852] Port=0 RD @00 + 2850.50ns INFO [00002852] Port=1 RD @07 + 2851.50ns INFO [00002853] * RD COMPARE * port=1 adr=07 act=D992B40248CB8C5513 exp=D992B40248CB8C5513 + 2851.50ns INFO [00002853] Port=0 WR @05=A439EDDE5688354F0B + 2851.50ns INFO [00002853] Port=0 RD @01 + 2851.50ns INFO [00002853] Port=1 RD @02 + 2852.50ns INFO [00002854] * RD COMPARE * port=0 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5 + 2852.50ns INFO [00002854] * RD COMPARE * port=1 adr=07 act=D992B40248CB8C5513 exp=D992B40248CB8C5513 + 2852.50ns INFO [00002854] Port=0 RD @06 + 2852.50ns INFO [00002854] Port=1 RD @02 + 2853.50ns INFO [00002855] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2853.50ns INFO [00002855] * RD COMPARE * port=1 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940 + 2853.50ns INFO [00002855] Port=0 WR @03=A9F6C095753DF69A7F + 2854.50ns INFO [00002856] * RD COMPARE * port=0 adr=06 act=077A31A85BFBFE4476 exp=077A31A85BFBFE4476 + 2854.50ns INFO [00002856] * RD COMPARE * port=1 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940 + 2854.50ns INFO [00002856] Port=0 WR @06=0C182E4F582C031099 + 2855.50ns INFO [00002857] Port=1 RD @05 + 2857.50ns INFO [00002859] * RD COMPARE * port=1 adr=05 act=A439EDDE5688354F0B exp=A439EDDE5688354F0B + 2857.50ns INFO [00002859] Port=0 RD @06 + 2858.50ns INFO [00002860] Port=1 RD @04 + 2859.50ns INFO [00002861] * RD COMPARE * port=0 adr=06 act=0C182E4F582C031099 exp=0C182E4F582C031099 + 2860.50ns INFO [00002862] * RD COMPARE * port=1 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97 + 2860.50ns INFO [00002862] Port=0 WR @02=D7D35ED47E9BC52946 + 2860.50ns INFO [00002862] Port=0 RD @01 + 2860.50ns INFO [00002862] Port=1 RD @06 + 2861.50ns INFO [00002863] Port=0 WR @02=C0B31605A38103B039 + 2862.50ns INFO [00002864] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2862.50ns INFO [00002864] * RD COMPARE * port=1 adr=06 act=0C182E4F582C031099 exp=0C182E4F582C031099 + 2862.50ns INFO [00002864] Port=0 WR @07=B94CF70DEDCB2868A8 + 2862.50ns INFO [00002864] Port=0 RD @02 + 2864.50ns INFO [00002866] * RD COMPARE * port=0 adr=02 act=C0B31605A38103B039 exp=C0B31605A38103B039 + 2864.50ns INFO [00002866] Port=1 RD @07 + 2865.50ns INFO [00002867] Port=0 WR @02=CAB7EF3969E6954C7B + 2865.50ns INFO [00002867] Port=0 RD @03 + 2865.50ns INFO [00002867] Port=1 RD @07 + 2866.50ns INFO [00002868] * RD COMPARE * port=1 adr=07 act=B94CF70DEDCB2868A8 exp=B94CF70DEDCB2868A8 + 2866.50ns INFO [00002868] Port=1 RD @04 + 2867.50ns INFO [00002869] * RD COMPARE * port=0 adr=03 act=A9F6C095753DF69A7F exp=A9F6C095753DF69A7F + 2867.50ns INFO [00002869] * RD COMPARE * port=1 adr=07 act=B94CF70DEDCB2868A8 exp=B94CF70DEDCB2868A8 + 2867.50ns INFO [00002869] Port=0 WR @05=DF0EA63AC7B7ADAC0C + 2868.50ns INFO [00002870] * RD COMPARE * port=1 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97 + 2868.50ns INFO [00002870] Port=1 RD @04 + 2869.50ns INFO [00002871] Port=0 RD @02 + 2869.50ns INFO [00002871] Port=1 RD @02 + 2870.50ns INFO [00002872] * RD COMPARE * port=1 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97 + 2870.50ns INFO [00002872] Port=1 RD @07 + 2871.50ns INFO [00002873] * RD COMPARE * port=0 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B + 2871.50ns INFO [00002873] * RD COMPARE * port=1 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B + 2871.50ns INFO [00002873] Port=0 RD @06 + 2872.50ns INFO [00002874] * RD COMPARE * port=1 adr=07 act=B94CF70DEDCB2868A8 exp=B94CF70DEDCB2868A8 + 2872.50ns INFO [00002874] Port=0 WR @05=4A8AFFEE94F728EDB2 + 2872.50ns INFO [00002874] Port=0 RD @00 + 2872.50ns INFO [00002874] Port=1 RD @03 + 2873.50ns INFO [00002875] * RD COMPARE * port=0 adr=06 act=0C182E4F582C031099 exp=0C182E4F582C031099 + 2874.50ns INFO [00002876] * RD COMPARE * port=0 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5 + 2874.50ns INFO [00002876] * RD COMPARE * port=1 adr=03 act=A9F6C095753DF69A7F exp=A9F6C095753DF69A7F + 2874.50ns INFO [00002876] Port=0 RD @01 + 2874.50ns INFO [00002876] Port=1 RD @01 + 2875.50ns INFO [00002877] Port=0 WR @07=3240554B02F1FCDD3E + 2875.50ns INFO [00002877] Port=0 RD @04 + 2876.50ns INFO [00002878] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2876.50ns INFO [00002878] * RD COMPARE * port=1 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2876.50ns INFO [00002878] Port=1 RD @07 + 2877.50ns INFO [00002879] * RD COMPARE * port=0 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97 + 2877.50ns INFO [00002879] Port=0 RD @07 + 2877.50ns INFO [00002879] Port=1 RD @05 + 2878.50ns INFO [00002880] * RD COMPARE * port=1 adr=07 act=3240554B02F1FCDD3E exp=3240554B02F1FCDD3E + 2878.50ns INFO [00002880] Port=0 WR @03=BF2159A6C96E0ADE87 + 2879.50ns INFO [00002881] * RD COMPARE * port=0 adr=07 act=3240554B02F1FCDD3E exp=3240554B02F1FCDD3E + 2879.50ns INFO [00002881] * RD COMPARE * port=1 adr=05 act=4A8AFFEE94F728EDB2 exp=4A8AFFEE94F728EDB2 + 2879.50ns INFO [00002881] Port=0 WR @07=A39F3D500B30244DF5 + 2879.50ns INFO [00002881] Port=1 RD @04 + 2880.50ns INFO [00002882] Port=0 WR @04=4AD7A11B4046143436 + 2880.50ns INFO [00002882] Port=0 RD @05 + 2880.50ns INFO [00002882] Port=1 RD @00 + 2881.50ns INFO [00002883] * RD COMPARE * port=1 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97 + 2882.50ns INFO [00002884] * RD COMPARE * port=0 adr=05 act=4A8AFFEE94F728EDB2 exp=4A8AFFEE94F728EDB2 + 2882.50ns INFO [00002884] * RD COMPARE * port=1 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5 + 2882.50ns INFO [00002884] Port=0 RD @02 + 2882.50ns INFO [00002884] Port=1 RD @06 + 2883.50ns INFO [00002885] Port=0 WR @00=73B6E4F548D2BD1B41 + 2884.50ns INFO [00002886] * RD COMPARE * port=0 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B + 2884.50ns INFO [00002886] * RD COMPARE * port=1 adr=06 act=0C182E4F582C031099 exp=0C182E4F582C031099 + 2884.50ns INFO [00002886] Port=0 WR @04=2B90E7DDD279543C46 + 2884.50ns INFO [00002886] Port=0 RD @01 + 2885.50ns INFO [00002887] Port=1 RD @04 + 2886.50ns INFO [00002888] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B + 2887.50ns INFO [00002889] * RD COMPARE * port=1 adr=04 act=2B90E7DDD279543C46 exp=2B90E7DDD279543C46 + 2887.50ns INFO [00002889] Port=0 RD @02 + 2888.50ns INFO [00002890] Port=1 RD @06 + 2889.50ns INFO [00002891] * RD COMPARE * port=0 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B + 2889.50ns INFO [00002891] Port=0 RD @04 + 2890.50ns INFO [00002892] * RD COMPARE * port=1 adr=06 act=0C182E4F582C031099 exp=0C182E4F582C031099 + 2890.50ns INFO [00002892] Port=0 WR @01=8D1C7A9CD5757F8B84 + 2890.50ns INFO [00002892] Port=1 RD @03 + 2891.50ns INFO [00002893] * RD COMPARE * port=0 adr=04 act=2B90E7DDD279543C46 exp=2B90E7DDD279543C46 + 2891.50ns INFO [00002893] Port=0 WR @06=BC071EE657E2CB8098 + 2891.50ns INFO [00002893] Port=1 RD @02 + 2892.50ns INFO [00002894] * RD COMPARE * port=1 adr=03 act=BF2159A6C96E0ADE87 exp=BF2159A6C96E0ADE87 + 2892.50ns INFO [00002894] Port=0 WR @00=830D6EA6C6C2A5E2FC + 2892.50ns INFO [00002894] Port=0 RD @01 + 2893.50ns INFO [00002895] * RD COMPARE * port=1 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B + 2893.50ns INFO [00002895] Port=0 RD @04 + 2894.50ns INFO [00002896] * RD COMPARE * port=0 adr=01 act=8D1C7A9CD5757F8B84 exp=8D1C7A9CD5757F8B84 + 2894.50ns INFO [00002896] Port=0 WR @05=0736D7ADAD19B25B28 + 2894.50ns INFO [00002896] Port=1 RD @03 + 2895.50ns INFO [00002897] * RD COMPARE * port=0 adr=04 act=2B90E7DDD279543C46 exp=2B90E7DDD279543C46 + 2895.50ns INFO [00002897] Port=0 WR @07=8D67CE9F2925F58C00 + 2896.50ns INFO [00002898] * RD COMPARE * port=1 adr=03 act=BF2159A6C96E0ADE87 exp=BF2159A6C96E0ADE87 + 2896.50ns INFO [00002898] Port=0 RD @02 + 2897.50ns INFO [00002899] Port=0 RD @06 + 2897.50ns INFO [00002899] Port=1 RD @00 + 2898.00ns INFO [00002900] [00002900] ...tick... + 2898.50ns INFO [00002900] * RD COMPARE * port=0 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B + 2898.50ns INFO [00002900] Port=1 RD @06 + 2899.50ns INFO [00002901] * RD COMPARE * port=0 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098 + 2899.50ns INFO [00002901] * RD COMPARE * port=1 adr=00 act=830D6EA6C6C2A5E2FC exp=830D6EA6C6C2A5E2FC + 2900.50ns INFO [00002902] * RD COMPARE * port=1 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098 + 2900.50ns INFO [00002902] Port=0 WR @04=AB5AA031611E0412B9 + 2900.50ns INFO [00002902] Port=0 RD @07 + 2901.50ns INFO [00002903] Port=0 WR @02=DC13106DC9F7711E40 + 2902.50ns INFO [00002904] * RD COMPARE * port=0 adr=07 act=8D67CE9F2925F58C00 exp=8D67CE9F2925F58C00 + 2902.50ns INFO [00002904] Port=1 RD @03 + 2903.50ns INFO [00002905] Port=0 RD @02 + 2903.50ns INFO [00002905] Port=1 RD @04 + 2904.50ns INFO [00002906] * RD COMPARE * port=1 adr=03 act=BF2159A6C96E0ADE87 exp=BF2159A6C96E0ADE87 + 2904.50ns INFO [00002906] Port=0 WR @05=7B90C5F360E63D0BCE + 2904.50ns INFO [00002906] Port=0 RD @02 + 2904.50ns INFO [00002906] Port=1 RD @06 + 2905.50ns INFO [00002907] * RD COMPARE * port=0 adr=02 act=DC13106DC9F7711E40 exp=DC13106DC9F7711E40 + 2905.50ns INFO [00002907] * RD COMPARE * port=1 adr=04 act=AB5AA031611E0412B9 exp=AB5AA031611E0412B9 + 2905.50ns INFO [00002907] Port=0 WR @02=1A145DAB40BCD9F5F6 + 2905.50ns INFO [00002907] Port=0 RD @05 + 2905.50ns INFO [00002907] Port=1 RD @06 + 2906.50ns INFO [00002908] * RD COMPARE * port=0 adr=02 act=DC13106DC9F7711E40 exp=DC13106DC9F7711E40 + 2906.50ns INFO [00002908] * RD COMPARE * port=1 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098 + 2906.50ns INFO [00002908] Port=0 WR @05=C4F4B60566AD1E54DF + 2906.50ns INFO [00002908] Port=1 RD @07 + 2907.50ns INFO [00002909] * RD COMPARE * port=0 adr=05 act=7B90C5F360E63D0BCE exp=7B90C5F360E63D0BCE + 2907.50ns INFO [00002909] * RD COMPARE * port=1 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098 + 2907.50ns INFO [00002909] Port=0 RD @06 + 2908.50ns INFO [00002910] * RD COMPARE * port=1 adr=07 act=8D67CE9F2925F58C00 exp=8D67CE9F2925F58C00 + 2908.50ns INFO [00002910] Port=0 WR @00=A358DB8F672F0CE9B7 + 2908.50ns INFO [00002910] Port=0 RD @04 + 2908.50ns INFO [00002910] Port=1 RD @03 + 2909.50ns INFO [00002911] * RD COMPARE * port=0 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098 + 2910.50ns INFO [00002912] * RD COMPARE * port=0 adr=04 act=AB5AA031611E0412B9 exp=AB5AA031611E0412B9 + 2910.50ns INFO [00002912] * RD COMPARE * port=1 adr=03 act=BF2159A6C96E0ADE87 exp=BF2159A6C96E0ADE87 + 2910.50ns INFO [00002912] Port=0 RD @06 + 2911.50ns INFO [00002913] Port=0 WR @00=FB8CE5FE19FEE2F13C + 2911.50ns INFO [00002913] Port=0 RD @07 + 2912.50ns INFO [00002914] * RD COMPARE * port=0 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098 + 2912.50ns INFO [00002914] Port=0 WR @00=A618EF8990E8219FCD + 2912.50ns INFO [00002914] Port=0 RD @02 + 2912.50ns INFO [00002914] Port=1 RD @02 + 2913.50ns INFO [00002915] * RD COMPARE * port=0 adr=07 act=8D67CE9F2925F58C00 exp=8D67CE9F2925F58C00 + 2913.50ns INFO [00002915] Port=0 WR @01=F93C3B80CE09CF7B58 + 2914.50ns INFO [00002916] * RD COMPARE * port=0 adr=02 act=1A145DAB40BCD9F5F6 exp=1A145DAB40BCD9F5F6 + 2914.50ns INFO [00002916] * RD COMPARE * port=1 adr=02 act=1A145DAB40BCD9F5F6 exp=1A145DAB40BCD9F5F6 + 2914.50ns INFO [00002916] Port=0 WR @02=E30809425436AE9A49 + 2916.50ns INFO [00002918] Port=0 WR @07=4407F2A6E513B2BA2E + 2916.50ns INFO [00002918] Port=1 RD @01 + 2917.50ns INFO [00002919] Port=0 WR @07=6406A0C4750985F7FF + 2917.50ns INFO [00002919] Port=1 RD @00 + 2918.50ns INFO [00002920] * RD COMPARE * port=1 adr=01 act=F93C3B80CE09CF7B58 exp=F93C3B80CE09CF7B58 + 2918.50ns INFO [00002920] Port=0 WR @06=F777945C800298A7E3 + 2918.50ns INFO [00002920] Port=0 RD @01 + 2918.50ns INFO [00002920] Port=1 RD @07 + 2919.50ns INFO [00002921] * RD COMPARE * port=1 adr=00 act=A618EF8990E8219FCD exp=A618EF8990E8219FCD + 2919.50ns INFO [00002921] Port=0 WR @02=D551127D3D24938A22 + 2920.50ns INFO [00002922] * RD COMPARE * port=0 adr=01 act=F93C3B80CE09CF7B58 exp=F93C3B80CE09CF7B58 + 2920.50ns INFO [00002922] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF + 2920.50ns INFO [00002922] Port=0 RD @07 + 2920.50ns INFO [00002922] Port=1 RD @04 + 2921.50ns INFO [00002923] Port=0 WR @04=0237E38048D19F98D5 + 2922.50ns INFO [00002924] * RD COMPARE * port=0 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF + 2922.50ns INFO [00002924] * RD COMPARE * port=1 adr=04 act=AB5AA031611E0412B9 exp=AB5AA031611E0412B9 + 2923.50ns INFO [00002925] Port=0 RD @02 + 2924.50ns INFO [00002926] Port=0 WR @03=5C85E1365AF5D7C403 + 2924.50ns INFO [00002926] Port=0 RD @06 + 2924.50ns INFO [00002926] Port=1 RD @01 + 2925.50ns INFO [00002927] * RD COMPARE * port=0 adr=02 act=D551127D3D24938A22 exp=D551127D3D24938A22 + 2926.50ns INFO [00002928] * RD COMPARE * port=0 adr=06 act=F777945C800298A7E3 exp=F777945C800298A7E3 + 2926.50ns INFO [00002928] * RD COMPARE * port=1 adr=01 act=F93C3B80CE09CF7B58 exp=F93C3B80CE09CF7B58 + 2928.50ns INFO [00002930] Port=0 WR @01=6F76D6284F207DAF7B + 2928.50ns INFO [00002930] Port=0 RD @06 + 2928.50ns INFO [00002930] Port=1 RD @06 + 2929.50ns INFO [00002931] Port=0 RD @07 + 2930.50ns INFO [00002932] * RD COMPARE * port=0 adr=06 act=F777945C800298A7E3 exp=F777945C800298A7E3 + 2930.50ns INFO [00002932] * RD COMPARE * port=1 adr=06 act=F777945C800298A7E3 exp=F777945C800298A7E3 + 2930.50ns INFO [00002932] Port=0 RD @00 + 2931.50ns INFO [00002933] * RD COMPARE * port=0 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF + 2932.50ns INFO [00002934] * RD COMPARE * port=0 adr=00 act=A618EF8990E8219FCD exp=A618EF8990E8219FCD + 2932.50ns INFO [00002934] Port=1 RD @01 + 2933.50ns INFO [00002935] Port=1 RD @01 + 2934.50ns INFO [00002936] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2934.50ns INFO [00002936] Port=0 WR @05=A76EFE70FEA6A9D9EE + 2934.50ns INFO [00002936] Port=0 RD @04 + 2935.50ns INFO [00002937] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2935.50ns INFO [00002937] Port=0 WR @05=8CFAD2507E2F56B0CB + 2935.50ns INFO [00002937] Port=1 RD @04 + 2936.50ns INFO [00002938] * RD COMPARE * port=0 adr=04 act=0237E38048D19F98D5 exp=0237E38048D19F98D5 + 2936.50ns INFO [00002938] Port=0 RD @07 + 2936.50ns INFO [00002938] Port=1 RD @02 + 2937.50ns INFO [00002939] * RD COMPARE * port=1 adr=04 act=0237E38048D19F98D5 exp=0237E38048D19F98D5 + 2938.50ns INFO [00002940] * RD COMPARE * port=0 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF + 2938.50ns INFO [00002940] * RD COMPARE * port=1 adr=02 act=D551127D3D24938A22 exp=D551127D3D24938A22 + 2939.50ns INFO [00002941] Port=0 WR @05=7FB974B92665078AFF + 2939.50ns INFO [00002941] Port=0 RD @06 + 2940.50ns INFO [00002942] Port=1 RD @03 + 2941.50ns INFO [00002943] * RD COMPARE * port=0 adr=06 act=F777945C800298A7E3 exp=F777945C800298A7E3 + 2941.50ns INFO [00002943] Port=0 RD @03 + 2942.50ns INFO [00002944] * RD COMPARE * port=1 adr=03 act=5C85E1365AF5D7C403 exp=5C85E1365AF5D7C403 + 2942.50ns INFO [00002944] Port=0 WR @02=FB8AFB480DA1452732 + 2942.50ns INFO [00002944] Port=1 RD @01 + 2943.50ns INFO [00002945] * RD COMPARE * port=0 adr=03 act=5C85E1365AF5D7C403 exp=5C85E1365AF5D7C403 + 2943.50ns INFO [00002945] Port=0 WR @00=23624ED17C74836880 + 2943.50ns INFO [00002945] Port=1 RD @07 + 2944.50ns INFO [00002946] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2944.50ns INFO [00002946] Port=1 RD @01 + 2945.50ns INFO [00002947] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF + 2945.50ns INFO [00002947] Port=0 RD @06 + 2945.50ns INFO [00002947] Port=1 RD @07 + 2946.50ns INFO [00002948] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2946.50ns INFO [00002948] Port=0 RD @02 + 2947.50ns INFO [00002949] * RD COMPARE * port=0 adr=06 act=F777945C800298A7E3 exp=F777945C800298A7E3 + 2947.50ns INFO [00002949] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF + 2947.50ns INFO [00002949] Port=0 WR @03=CA8D51A677EE298478 + 2947.50ns INFO [00002949] Port=1 RD @01 + 2948.50ns INFO [00002950] * RD COMPARE * port=0 adr=02 act=FB8AFB480DA1452732 exp=FB8AFB480DA1452732 + 2948.50ns INFO [00002950] Port=1 RD @00 + 2949.50ns INFO [00002951] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2949.50ns INFO [00002951] Port=0 WR @04=77F3D23432605ED857 + 2949.50ns INFO [00002951] Port=0 RD @02 + 2950.50ns INFO [00002952] * RD COMPARE * port=1 adr=00 act=23624ED17C74836880 exp=23624ED17C74836880 + 2951.50ns INFO [00002953] * RD COMPARE * port=0 adr=02 act=FB8AFB480DA1452732 exp=FB8AFB480DA1452732 + 2952.50ns INFO [00002954] Port=0 RD @00 + 2952.50ns INFO [00002954] Port=1 RD @01 + 2954.50ns INFO [00002956] * RD COMPARE * port=0 adr=00 act=23624ED17C74836880 exp=23624ED17C74836880 + 2954.50ns INFO [00002956] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2954.50ns INFO [00002956] Port=0 WR @03=374CC579900C7F3F98 + 2956.50ns INFO [00002958] Port=0 WR @06=022AE2083F11A72DB0 + 2956.50ns INFO [00002958] Port=0 RD @05 + 2956.50ns INFO [00002958] Port=1 RD @04 + 2957.50ns INFO [00002959] Port=0 RD @05 + 2958.50ns INFO [00002960] * RD COMPARE * port=0 adr=05 act=7FB974B92665078AFF exp=7FB974B92665078AFF + 2958.50ns INFO [00002960] * RD COMPARE * port=1 adr=04 act=77F3D23432605ED857 exp=77F3D23432605ED857 + 2958.50ns INFO [00002960] Port=0 WR @00=CE0406D59C8CBEB7AB + 2958.50ns INFO [00002960] Port=1 RD @07 + 2959.50ns INFO [00002961] * RD COMPARE * port=0 adr=05 act=7FB974B92665078AFF exp=7FB974B92665078AFF + 2960.50ns INFO [00002962] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF + 2960.50ns INFO [00002962] Port=0 WR @03=5C441AD011CE6C6462 + 2960.50ns INFO [00002962] Port=0 RD @04 + 2960.50ns INFO [00002962] Port=1 RD @02 + 2961.50ns INFO [00002963] Port=0 WR @06=91B7C58F3C0CB0A16D + 2961.50ns INFO [00002963] Port=1 RD @07 + 2962.50ns INFO [00002964] * RD COMPARE * port=0 adr=04 act=77F3D23432605ED857 exp=77F3D23432605ED857 + 2962.50ns INFO [00002964] * RD COMPARE * port=1 adr=02 act=FB8AFB480DA1452732 exp=FB8AFB480DA1452732 + 2962.50ns INFO [00002964] Port=0 WR @02=D64800A5B4B95418F8 + 2962.50ns INFO [00002964] Port=0 RD @03 + 2963.50ns INFO [00002965] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF + 2963.50ns INFO [00002965] Port=0 RD @01 + 2963.50ns INFO [00002965] Port=1 RD @07 + 2964.50ns INFO [00002966] * RD COMPARE * port=0 adr=03 act=5C441AD011CE6C6462 exp=5C441AD011CE6C6462 + 2965.50ns INFO [00002967] * RD COMPARE * port=0 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2965.50ns INFO [00002967] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF + 2965.50ns INFO [00002967] Port=0 RD @04 + 2966.50ns INFO [00002968] Port=0 RD @01 + 2966.50ns INFO [00002968] Port=1 RD @04 + 2967.50ns INFO [00002969] * RD COMPARE * port=0 adr=04 act=77F3D23432605ED857 exp=77F3D23432605ED857 + 2967.50ns INFO [00002969] Port=0 WR @04=C7A051AC9BC0E1C790 + 2967.50ns INFO [00002969] Port=1 RD @06 + 2968.50ns INFO [00002970] * RD COMPARE * port=0 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2968.50ns INFO [00002970] * RD COMPARE * port=1 adr=04 act=77F3D23432605ED857 exp=77F3D23432605ED857 + 2969.50ns INFO [00002971] * RD COMPARE * port=1 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D + 2970.50ns INFO [00002972] Port=0 WR @05=876167590F9E00510D + 2970.50ns INFO [00002972] Port=1 RD @06 + 2971.50ns INFO [00002973] Port=1 RD @05 + 2972.50ns INFO [00002974] * RD COMPARE * port=1 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D + 2973.50ns INFO [00002975] * RD COMPARE * port=1 adr=05 act=876167590F9E00510D exp=876167590F9E00510D + 2974.50ns INFO [00002976] Port=0 WR @03=90F1BE7CDCEEECD819 + 2976.50ns INFO [00002978] Port=0 WR @07=9B8CDA4B0FF03658B5 + 2976.50ns INFO [00002978] Port=0 RD @04 + 2977.50ns INFO [00002979] Port=0 WR @03=2B566E518359688C63 + 2977.50ns INFO [00002979] Port=0 RD @02 + 2978.50ns INFO [00002980] * RD COMPARE * port=0 adr=04 act=C7A051AC9BC0E1C790 exp=C7A051AC9BC0E1C790 + 2979.50ns INFO [00002981] * RD COMPARE * port=0 adr=02 act=D64800A5B4B95418F8 exp=D64800A5B4B95418F8 + 2979.50ns INFO [00002981] Port=0 WR @04=5F115C586C2C229A7B + 2979.50ns INFO [00002981] Port=1 RD @05 + 2981.50ns INFO [00002983] * RD COMPARE * port=1 adr=05 act=876167590F9E00510D exp=876167590F9E00510D + 2981.50ns INFO [00002983] Port=0 WR @00=31ABAB121C096492DB + 2981.50ns INFO [00002983] Port=0 RD @03 + 2981.50ns INFO [00002983] Port=1 RD @01 + 2982.50ns INFO [00002984] Port=0 WR @03=21359E3CE5807164C5 + 2983.50ns INFO [00002985] * RD COMPARE * port=0 adr=03 act=2B566E518359688C63 exp=2B566E518359688C63 + 2983.50ns INFO [00002985] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2983.50ns INFO [00002985] Port=0 WR @02=D882F70FD992C2BD6A + 2983.50ns INFO [00002985] Port=0 RD @06 + 2984.50ns INFO [00002986] Port=0 RD @02 + 2984.50ns INFO [00002986] Port=1 RD @01 + 2985.50ns INFO [00002987] * RD COMPARE * port=0 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D + 2985.50ns INFO [00002987] Port=1 RD @07 + 2986.50ns INFO [00002988] * RD COMPARE * port=0 adr=02 act=D882F70FD992C2BD6A exp=D882F70FD992C2BD6A + 2986.50ns INFO [00002988] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2986.50ns INFO [00002988] Port=0 WR @03=6F0D7A20974AFB6C1A + 2986.50ns INFO [00002988] Port=1 RD @00 + 2987.50ns INFO [00002989] * RD COMPARE * port=1 adr=07 act=9B8CDA4B0FF03658B5 exp=9B8CDA4B0FF03658B5 + 2987.50ns INFO [00002989] Port=1 RD @06 + 2988.50ns INFO [00002990] * RD COMPARE * port=1 adr=00 act=31ABAB121C096492DB exp=31ABAB121C096492DB + 2988.50ns INFO [00002990] Port=0 WR @05=C39B6B5C1836507178 + 2989.50ns INFO [00002991] * RD COMPARE * port=1 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D + 2989.50ns INFO [00002991] Port=1 RD @05 + 2990.50ns INFO [00002992] Port=0 RD @07 + 2991.50ns INFO [00002993] * RD COMPARE * port=1 adr=05 act=C39B6B5C1836507178 exp=C39B6B5C1836507178 + 2992.50ns INFO [00002994] * RD COMPARE * port=0 adr=07 act=9B8CDA4B0FF03658B5 exp=9B8CDA4B0FF03658B5 + 2992.50ns INFO [00002994] Port=0 RD @03 + 2992.50ns INFO [00002994] Port=1 RD @06 + 2993.50ns INFO [00002995] Port=0 WR @02=AE5D84E68D5A13A793 + 2993.50ns INFO [00002995] Port=0 RD @01 + 2993.50ns INFO [00002995] Port=1 RD @03 + 2994.50ns INFO [00002996] * RD COMPARE * port=0 adr=03 act=6F0D7A20974AFB6C1A exp=6F0D7A20974AFB6C1A + 2994.50ns INFO [00002996] * RD COMPARE * port=1 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D + 2994.50ns INFO [00002996] Port=0 RD @06 + 2994.50ns INFO [00002996] Port=1 RD @04 + 2995.50ns INFO [00002997] * RD COMPARE * port=0 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 2995.50ns INFO [00002997] * RD COMPARE * port=1 adr=03 act=6F0D7A20974AFB6C1A exp=6F0D7A20974AFB6C1A + 2995.50ns INFO [00002997] Port=0 RD @02 + 2995.50ns INFO [00002997] Port=1 RD @03 + 2996.50ns INFO [00002998] * RD COMPARE * port=0 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D + 2996.50ns INFO [00002998] * RD COMPARE * port=1 adr=04 act=5F115C586C2C229A7B exp=5F115C586C2C229A7B + 2996.50ns INFO [00002998] Port=0 RD @02 + 2997.50ns INFO [00002999] * RD COMPARE * port=0 adr=02 act=AE5D84E68D5A13A793 exp=AE5D84E68D5A13A793 + 2997.50ns INFO [00002999] * RD COMPARE * port=1 adr=03 act=6F0D7A20974AFB6C1A exp=6F0D7A20974AFB6C1A + 2997.50ns INFO [00002999] Port=0 WR @04=EEEFF11D17E540E4A4 + 2997.50ns INFO [00002999] Port=0 RD @06 + 2997.50ns INFO [00002999] Port=1 RD @07 + 2998.00ns INFO [00003000] [00003000] ...tick... + 2998.50ns INFO [00003000] * RD COMPARE * port=0 adr=02 act=AE5D84E68D5A13A793 exp=AE5D84E68D5A13A793 + 2998.50ns INFO [00003000] Port=0 RD @01 + 2999.50ns INFO [00003001] * RD COMPARE * port=0 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D + 2999.50ns INFO [00003001] * RD COMPARE * port=1 adr=07 act=9B8CDA4B0FF03658B5 exp=9B8CDA4B0FF03658B5 + 2999.50ns INFO [00003001] Port=0 WR @01=F112C0B4F5C5521DB5 + 2999.50ns INFO [00003001] Port=1 RD @05 + 3000.50ns INFO [00003002] * RD COMPARE * port=0 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B + 3000.50ns INFO [00003002] Port=0 WR @02=7656BCDFC761ED1657 + 3001.50ns INFO [00003003] * RD COMPARE * port=1 adr=05 act=C39B6B5C1836507178 exp=C39B6B5C1836507178 + 3001.50ns INFO [00003003] Port=0 WR @06=64F6514181825AD44F + 3002.50ns INFO [00003004] Port=0 WR @00=868BCD23BDEBE511D9 + 3003.50ns INFO [00003005] Port=0 RD @05 + 3003.50ns INFO [00003005] Port=1 RD @06 + 3004.50ns INFO [00003006] Port=0 RD @04 + 3005.50ns INFO [00003007] * RD COMPARE * port=0 adr=05 act=C39B6B5C1836507178 exp=C39B6B5C1836507178 + 3005.50ns INFO [00003007] * RD COMPARE * port=1 adr=06 act=64F6514181825AD44F exp=64F6514181825AD44F + 3005.50ns INFO [00003007] Port=0 WR @06=C502BC3228364AD391 + 3005.50ns INFO [00003007] Port=0 RD @01 + 3005.50ns INFO [00003007] Port=1 RD @07 + 3006.50ns INFO [00003008] * RD COMPARE * port=0 adr=04 act=EEEFF11D17E540E4A4 exp=EEEFF11D17E540E4A4 + 3006.50ns INFO [00003008] Port=0 WR @01=576F9B66AA87764C6B + 3006.50ns INFO [00003008] Port=1 RD @00 + 3007.50ns INFO [00003009] * RD COMPARE * port=0 adr=01 act=F112C0B4F5C5521DB5 exp=F112C0B4F5C5521DB5 + 3007.50ns INFO [00003009] * RD COMPARE * port=1 adr=07 act=9B8CDA4B0FF03658B5 exp=9B8CDA4B0FF03658B5 + 3007.50ns INFO [00003009] Port=0 WR @03=0853631545BD551E08 + 3007.50ns INFO [00003009] Port=0 RD @07 + 3008.50ns INFO [00003010] * RD COMPARE * port=1 adr=00 act=868BCD23BDEBE511D9 exp=868BCD23BDEBE511D9 + 3008.50ns INFO [00003010] Port=0 WR @07=78812A6AEDE0D28437 + 3009.50ns INFO [00003011] * RD COMPARE * port=0 adr=07 act=9B8CDA4B0FF03658B5 exp=9B8CDA4B0FF03658B5 + 3009.50ns INFO [00003011] Port=0 WR @06=B0642D989959DFB7A3 + 3010.50ns INFO [00003012] Port=0 RD @01 + 3010.50ns INFO [00003012] Port=1 RD @07 + 3011.50ns INFO [00003013] Port=0 WR @05=B80D96675CF3FB5752 + 3011.50ns INFO [00003013] Port=0 RD @01 + 3012.50ns INFO [00003014] * RD COMPARE * port=0 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B + 3012.50ns INFO [00003014] * RD COMPARE * port=1 adr=07 act=78812A6AEDE0D28437 exp=78812A6AEDE0D28437 + 3012.50ns INFO [00003014] Port=1 RD @04 + 3013.50ns INFO [00003015] * RD COMPARE * port=0 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B + 3013.50ns INFO [00003015] Port=0 WR @00=304DFF0E178126F2F1 + 3013.50ns INFO [00003015] Port=0 RD @03 + 3013.50ns INFO [00003015] Port=1 RD @01 + 3014.50ns INFO [00003016] * RD COMPARE * port=1 adr=04 act=EEEFF11D17E540E4A4 exp=EEEFF11D17E540E4A4 + 3014.50ns INFO [00003016] Port=0 WR @00=ADE630551CD8BD21BC + 3015.50ns INFO [00003017] * RD COMPARE * port=0 adr=03 act=0853631545BD551E08 exp=0853631545BD551E08 + 3015.50ns INFO [00003017] * RD COMPARE * port=1 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B + 3015.50ns INFO [00003017] Port=0 WR @03=9D5A891DFAD1C14FEA + 3015.50ns INFO [00003017] Port=0 RD @07 + 3015.50ns INFO [00003017] Port=1 RD @01 + 3016.50ns INFO [00003018] Port=0 RD @07 + 3016.50ns INFO [00003018] Port=1 RD @04 + 3017.50ns INFO [00003019] * RD COMPARE * port=0 adr=07 act=78812A6AEDE0D28437 exp=78812A6AEDE0D28437 + 3017.50ns INFO [00003019] * RD COMPARE * port=1 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B + 3018.50ns INFO [00003020] * RD COMPARE * port=0 adr=07 act=78812A6AEDE0D28437 exp=78812A6AEDE0D28437 + 3018.50ns INFO [00003020] * RD COMPARE * port=1 adr=04 act=EEEFF11D17E540E4A4 exp=EEEFF11D17E540E4A4 + 3018.50ns INFO [00003020] Port=0 WR @06=DC80C77C6A1FAD67B7 + 3018.50ns INFO [00003020] Port=0 RD @07 + 3018.50ns INFO [00003020] Port=1 RD @04 + 3019.50ns INFO [00003021] Port=0 WR @04=A4E574FF1CA440518D + 3020.50ns INFO [00003022] * RD COMPARE * port=0 adr=07 act=78812A6AEDE0D28437 exp=78812A6AEDE0D28437 + 3020.50ns INFO [00003022] * RD COMPARE * port=1 adr=04 act=EEEFF11D17E540E4A4 exp=EEEFF11D17E540E4A4 + 3021.50ns INFO [00003023] Port=0 RD @03 + 3021.50ns INFO [00003023] Port=1 RD @00 + 3022.50ns INFO [00003024] Port=0 WR @07=A98D93B019071F2D8E + 3023.50ns INFO [00003025] * RD COMPARE * port=0 adr=03 act=9D5A891DFAD1C14FEA exp=9D5A891DFAD1C14FEA + 3023.50ns INFO [00003025] * RD COMPARE * port=1 adr=00 act=ADE630551CD8BD21BC exp=ADE630551CD8BD21BC + 3023.50ns INFO [00003025] Port=0 WR @00=C34796D70C62AECC7C + 3023.50ns INFO [00003025] Port=0 RD @01 + 3025.50ns INFO [00003027] * RD COMPARE * port=0 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B + 3026.50ns INFO [00003028] Port=1 RD @06 + 3027.50ns INFO [00003029] Port=0 RD @01 + 3027.50ns INFO [00003029] Port=1 RD @00 + 3028.50ns INFO [00003030] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3029.50ns INFO [00003031] * RD COMPARE * port=0 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B + 3029.50ns INFO [00003031] * RD COMPARE * port=1 adr=00 act=C34796D70C62AECC7C exp=C34796D70C62AECC7C + 3029.50ns INFO [00003031] Port=1 RD @01 + 3030.50ns INFO [00003032] Port=0 WR @03=2EAB840A54DED275E8 + 3030.50ns INFO [00003032] Port=0 RD @02 + 3031.50ns INFO [00003033] * RD COMPARE * port=1 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B + 3031.50ns INFO [00003033] Port=0 WR @07=CC0E31C0694C5763E9 + 3032.50ns INFO [00003034] * RD COMPARE * port=0 adr=02 act=7656BCDFC761ED1657 exp=7656BCDFC761ED1657 + 3033.50ns INFO [00003035] Port=0 WR @00=5FC7FA452EE570C8EC + 3034.50ns INFO [00003036] Port=0 WR @00=9A61E6191D67F0FD10 + 3034.50ns INFO [00003036] Port=1 RD @04 + 3036.50ns INFO [00003038] * RD COMPARE * port=1 adr=04 act=A4E574FF1CA440518D exp=A4E574FF1CA440518D + 3036.50ns INFO [00003038] Port=0 RD @04 + 3038.50ns INFO [00003040] * RD COMPARE * port=0 adr=04 act=A4E574FF1CA440518D exp=A4E574FF1CA440518D + 3038.50ns INFO [00003040] Port=0 WR @07=6164FB23348E134A31 + 3038.50ns INFO [00003040] Port=0 RD @03 + 3038.50ns INFO [00003040] Port=1 RD @01 + 3039.50ns INFO [00003041] Port=0 WR @02=CEA4FA72C90A37972D + 3039.50ns INFO [00003041] Port=0 RD @06 + 3040.50ns INFO [00003042] * RD COMPARE * port=0 adr=03 act=2EAB840A54DED275E8 exp=2EAB840A54DED275E8 + 3040.50ns INFO [00003042] * RD COMPARE * port=1 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B + 3040.50ns INFO [00003042] Port=0 RD @07 + 3040.50ns INFO [00003042] Port=1 RD @00 + 3041.50ns INFO [00003043] * RD COMPARE * port=0 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3041.50ns INFO [00003043] Port=0 RD @04 + 3042.50ns INFO [00003044] * RD COMPARE * port=0 adr=07 act=6164FB23348E134A31 exp=6164FB23348E134A31 + 3042.50ns INFO [00003044] * RD COMPARE * port=1 adr=00 act=9A61E6191D67F0FD10 exp=9A61E6191D67F0FD10 + 3042.50ns INFO [00003044] Port=0 WR @01=FE9C7E5D28284FD91D + 3042.50ns INFO [00003044] Port=1 RD @03 + 3043.50ns INFO [00003045] * RD COMPARE * port=0 adr=04 act=A4E574FF1CA440518D exp=A4E574FF1CA440518D + 3043.50ns INFO [00003045] Port=0 RD @05 + 3044.50ns INFO [00003046] * RD COMPARE * port=1 adr=03 act=2EAB840A54DED275E8 exp=2EAB840A54DED275E8 + 3044.50ns INFO [00003046] Port=0 WR @04=1355AD18AE8D1EEA05 + 3044.50ns INFO [00003046] Port=0 RD @03 + 3044.50ns INFO [00003046] Port=1 RD @06 + 3045.50ns INFO [00003047] * RD COMPARE * port=0 adr=05 act=B80D96675CF3FB5752 exp=B80D96675CF3FB5752 + 3045.50ns INFO [00003047] Port=0 RD @06 + 3045.50ns INFO [00003047] Port=1 RD @04 + 3046.50ns INFO [00003048] * RD COMPARE * port=0 adr=03 act=2EAB840A54DED275E8 exp=2EAB840A54DED275E8 + 3046.50ns INFO [00003048] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3046.50ns INFO [00003048] Port=0 WR @03=1D5E7797939D4AC5B8 + 3047.50ns INFO [00003049] * RD COMPARE * port=0 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3047.50ns INFO [00003049] * RD COMPARE * port=1 adr=04 act=1355AD18AE8D1EEA05 exp=1355AD18AE8D1EEA05 + 3047.50ns INFO [00003049] Port=0 WR @02=19949B44000E1ABA9C + 3047.50ns INFO [00003049] Port=0 RD @00 + 3048.50ns INFO [00003050] Port=0 WR @04=62A055F112CA773ED1 + 3049.50ns INFO [00003051] * RD COMPARE * port=0 adr=00 act=9A61E6191D67F0FD10 exp=9A61E6191D67F0FD10 + 3050.50ns INFO [00003052] Port=0 WR @00=F19BE70D4689C77B36 + 3050.50ns INFO [00003052] Port=1 RD @06 + 3051.50ns INFO [00003053] Port=0 WR @01=FF4399F895891F6329 + 3051.50ns INFO [00003053] Port=1 RD @07 + 3052.50ns INFO [00003054] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3052.50ns INFO [00003054] Port=0 RD @01 + 3052.50ns INFO [00003054] Port=1 RD @04 + 3053.50ns INFO [00003055] * RD COMPARE * port=1 adr=07 act=6164FB23348E134A31 exp=6164FB23348E134A31 + 3053.50ns INFO [00003055] Port=0 WR @07=508A60AB92D7CB524F + 3054.50ns INFO [00003056] * RD COMPARE * port=0 adr=01 act=FF4399F895891F6329 exp=FF4399F895891F6329 + 3054.50ns INFO [00003056] * RD COMPARE * port=1 adr=04 act=62A055F112CA773ED1 exp=62A055F112CA773ED1 + 3055.50ns INFO [00003057] Port=0 WR @03=DF261523D82580F762 + 3056.50ns INFO [00003058] Port=0 RD @07 + 3057.50ns INFO [00003059] Port=0 WR @05=1DFE2D906F1F149569 + 3057.50ns INFO [00003059] Port=0 RD @07 + 3057.50ns INFO [00003059] Port=1 RD @02 + 3058.50ns INFO [00003060] * RD COMPARE * port=0 adr=07 act=508A60AB92D7CB524F exp=508A60AB92D7CB524F + 3058.50ns INFO [00003060] Port=0 WR @01=4FB6979F8E638C1E73 + 3058.50ns INFO [00003060] Port=0 RD @07 + 3058.50ns INFO [00003060] Port=1 RD @00 + 3059.50ns INFO [00003061] * RD COMPARE * port=0 adr=07 act=508A60AB92D7CB524F exp=508A60AB92D7CB524F + 3059.50ns INFO [00003061] * RD COMPARE * port=1 adr=02 act=19949B44000E1ABA9C exp=19949B44000E1ABA9C + 3059.50ns INFO [00003061] Port=0 RD @02 + 3059.50ns INFO [00003061] Port=1 RD @05 + 3060.50ns INFO [00003062] * RD COMPARE * port=0 adr=07 act=508A60AB92D7CB524F exp=508A60AB92D7CB524F + 3060.50ns INFO [00003062] * RD COMPARE * port=1 adr=00 act=F19BE70D4689C77B36 exp=F19BE70D4689C77B36 + 3060.50ns INFO [00003062] Port=0 RD @02 + 3060.50ns INFO [00003062] Port=1 RD @06 + 3061.50ns INFO [00003063] * RD COMPARE * port=0 adr=02 act=19949B44000E1ABA9C exp=19949B44000E1ABA9C + 3061.50ns INFO [00003063] * RD COMPARE * port=1 adr=05 act=1DFE2D906F1F149569 exp=1DFE2D906F1F149569 + 3061.50ns INFO [00003063] Port=0 WR @05=74898A0BE97EDF6DE2 + 3062.50ns INFO [00003064] * RD COMPARE * port=0 adr=02 act=19949B44000E1ABA9C exp=19949B44000E1ABA9C + 3062.50ns INFO [00003064] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3063.50ns INFO [00003065] Port=0 RD @05 + 3065.50ns INFO [00003067] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2 + 3065.50ns INFO [00003067] Port=0 WR @04=1DCB89DF0CA9A641F8 + 3067.50ns INFO [00003069] Port=0 WR @02=F5A0B54A1ADF366944 + 3067.50ns INFO [00003069] Port=1 RD @03 + 3069.50ns INFO [00003071] * RD COMPARE * port=1 adr=03 act=DF261523D82580F762 exp=DF261523D82580F762 + 3069.50ns INFO [00003071] Port=0 RD @07 + 3070.50ns INFO [00003072] Port=0 RD @00 + 3071.50ns INFO [00003073] * RD COMPARE * port=0 adr=07 act=508A60AB92D7CB524F exp=508A60AB92D7CB524F + 3071.50ns INFO [00003073] Port=0 WR @07=059ADD783331FBCFE4 + 3072.50ns INFO [00003074] * RD COMPARE * port=0 adr=00 act=F19BE70D4689C77B36 exp=F19BE70D4689C77B36 + 3073.50ns INFO [00003075] Port=0 RD @03 + 3074.50ns INFO [00003076] Port=0 WR @02=F63673A6EE2A1A7E68 + 3074.50ns INFO [00003076] Port=1 RD @06 + 3075.50ns INFO [00003077] * RD COMPARE * port=0 adr=03 act=DF261523D82580F762 exp=DF261523D82580F762 + 3076.50ns INFO [00003078] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3077.50ns INFO [00003079] Port=0 WR @02=07E75348114197583F + 3077.50ns INFO [00003079] Port=1 RD @04 + 3078.50ns INFO [00003080] Port=1 RD @03 + 3079.50ns INFO [00003081] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8 + 3080.50ns INFO [00003082] * RD COMPARE * port=1 adr=03 act=DF261523D82580F762 exp=DF261523D82580F762 + 3081.50ns INFO [00003083] Port=0 RD @01 + 3081.50ns INFO [00003083] Port=1 RD @00 + 3082.50ns INFO [00003084] Port=0 WR @02=F47FC5BC1F16723A8F + 3082.50ns INFO [00003084] Port=0 RD @06 + 3082.50ns INFO [00003084] Port=1 RD @04 + 3083.50ns INFO [00003085] * RD COMPARE * port=0 adr=01 act=4FB6979F8E638C1E73 exp=4FB6979F8E638C1E73 + 3083.50ns INFO [00003085] * RD COMPARE * port=1 adr=00 act=F19BE70D4689C77B36 exp=F19BE70D4689C77B36 + 3083.50ns INFO [00003085] Port=0 RD @05 + 3083.50ns INFO [00003085] Port=1 RD @00 + 3084.50ns INFO [00003086] * RD COMPARE * port=0 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3084.50ns INFO [00003086] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8 + 3084.50ns INFO [00003086] Port=0 WR @00=25B05321CAB0700F48 + 3084.50ns INFO [00003086] Port=0 RD @07 + 3084.50ns INFO [00003086] Port=1 RD @03 + 3085.50ns INFO [00003087] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2 + 3085.50ns INFO [00003087] * RD COMPARE * port=1 adr=00 act=F19BE70D4689C77B36 exp=F19BE70D4689C77B36 + 3085.50ns INFO [00003087] Port=0 RD @05 + 3085.50ns INFO [00003087] Port=1 RD @06 + 3086.50ns INFO [00003088] * RD COMPARE * port=0 adr=07 act=059ADD783331FBCFE4 exp=059ADD783331FBCFE4 + 3086.50ns INFO [00003088] * RD COMPARE * port=1 adr=03 act=DF261523D82580F762 exp=DF261523D82580F762 + 3086.50ns INFO [00003088] Port=0 RD @01 + 3087.50ns INFO [00003089] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2 + 3087.50ns INFO [00003089] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3088.50ns INFO [00003090] * RD COMPARE * port=0 adr=01 act=4FB6979F8E638C1E73 exp=4FB6979F8E638C1E73 + 3088.50ns INFO [00003090] Port=0 RD @02 + 3088.50ns INFO [00003090] Port=1 RD @02 + 3089.50ns INFO [00003091] Port=0 RD @05 + 3090.50ns INFO [00003092] * RD COMPARE * port=0 adr=02 act=F47FC5BC1F16723A8F exp=F47FC5BC1F16723A8F + 3090.50ns INFO [00003092] * RD COMPARE * port=1 adr=02 act=F47FC5BC1F16723A8F exp=F47FC5BC1F16723A8F + 3090.50ns INFO [00003092] Port=0 RD @02 + 3091.50ns INFO [00003093] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2 + 3091.50ns INFO [00003093] Port=1 RD @04 + 3092.50ns INFO [00003094] * RD COMPARE * port=0 adr=02 act=F47FC5BC1F16723A8F exp=F47FC5BC1F16723A8F + 3092.50ns INFO [00003094] Port=1 RD @01 + 3093.50ns INFO [00003095] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8 + 3094.50ns INFO [00003096] * RD COMPARE * port=1 adr=01 act=4FB6979F8E638C1E73 exp=4FB6979F8E638C1E73 + 3094.50ns INFO [00003096] Port=1 RD @03 + 3095.50ns INFO [00003097] Port=0 WR @03=B66E3D7321D5C1C20B + 3095.50ns INFO [00003097] Port=0 RD @05 + 3096.50ns INFO [00003098] * RD COMPARE * port=1 adr=03 act=DF261523D82580F762 exp=DF261523D82580F762 + 3097.50ns INFO [00003099] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2 + 3097.50ns INFO [00003099] Port=1 RD @06 + 3098.00ns INFO [00003100] [00003100] ...tick... + 3098.50ns INFO [00003100] Port=0 RD @04 + 3098.50ns INFO [00003100] Port=1 RD @00 + 3099.50ns INFO [00003101] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3099.50ns INFO [00003101] Port=0 WR @07=AF47BA16498B699FC8 + 3099.50ns INFO [00003101] Port=1 RD @04 + 3100.50ns INFO [00003102] * RD COMPARE * port=0 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8 + 3100.50ns INFO [00003102] * RD COMPARE * port=1 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48 + 3101.50ns INFO [00003103] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8 + 3101.50ns INFO [00003103] Port=0 RD @03 + 3101.50ns INFO [00003103] Port=1 RD @03 + 3102.50ns INFO [00003104] Port=0 WR @01=649912AED3C2095BD2 + 3102.50ns INFO [00003104] Port=1 RD @07 + 3103.50ns INFO [00003105] * RD COMPARE * port=0 adr=03 act=B66E3D7321D5C1C20B exp=B66E3D7321D5C1C20B + 3103.50ns INFO [00003105] * RD COMPARE * port=1 adr=03 act=B66E3D7321D5C1C20B exp=B66E3D7321D5C1C20B + 3103.50ns INFO [00003105] Port=0 RD @05 + 3104.50ns INFO [00003106] * RD COMPARE * port=1 adr=07 act=AF47BA16498B699FC8 exp=AF47BA16498B699FC8 + 3104.50ns INFO [00003106] Port=0 RD @04 + 3104.50ns INFO [00003106] Port=1 RD @00 + 3105.50ns INFO [00003107] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2 + 3105.50ns INFO [00003107] Port=0 WR @03=71614A26B399634CB0 + 3105.50ns INFO [00003107] Port=0 RD @00 + 3106.50ns INFO [00003108] * RD COMPARE * port=0 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8 + 3106.50ns INFO [00003108] * RD COMPARE * port=1 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48 + 3106.50ns INFO [00003108] Port=0 RD @07 + 3107.50ns INFO [00003109] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48 + 3107.50ns INFO [00003109] Port=1 RD @01 + 3108.50ns INFO [00003110] * RD COMPARE * port=0 adr=07 act=AF47BA16498B699FC8 exp=AF47BA16498B699FC8 + 3108.50ns INFO [00003110] Port=0 RD @05 + 3108.50ns INFO [00003110] Port=1 RD @01 + 3109.50ns INFO [00003111] * RD COMPARE * port=1 adr=01 act=649912AED3C2095BD2 exp=649912AED3C2095BD2 + 3110.50ns INFO [00003112] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2 + 3110.50ns INFO [00003112] * RD COMPARE * port=1 adr=01 act=649912AED3C2095BD2 exp=649912AED3C2095BD2 + 3110.50ns INFO [00003112] Port=0 WR @01=599FCACF7B79BEDB2D + 3110.50ns INFO [00003112] Port=1 RD @05 + 3111.50ns INFO [00003113] Port=1 RD @05 + 3112.50ns INFO [00003114] * RD COMPARE * port=1 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2 + 3112.50ns INFO [00003114] Port=0 RD @05 + 3113.50ns INFO [00003115] * RD COMPARE * port=1 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2 + 3113.50ns INFO [00003115] Port=1 RD @01 + 3114.50ns INFO [00003116] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2 + 3114.50ns INFO [00003116] Port=0 RD @06 + 3114.50ns INFO [00003116] Port=1 RD @04 + 3115.50ns INFO [00003117] * RD COMPARE * port=1 adr=01 act=599FCACF7B79BEDB2D exp=599FCACF7B79BEDB2D + 3116.50ns INFO [00003118] * RD COMPARE * port=0 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3116.50ns INFO [00003118] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8 + 3116.50ns INFO [00003118] Port=1 RD @07 + 3117.50ns INFO [00003119] Port=1 RD @06 + 3118.50ns INFO [00003120] * RD COMPARE * port=1 adr=07 act=AF47BA16498B699FC8 exp=AF47BA16498B699FC8 + 3118.50ns INFO [00003120] Port=0 WR @05=60D788BCDFE5DBB4D3 + 3119.50ns INFO [00003121] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7 + 3119.50ns INFO [00003121] Port=0 WR @01=E8D1120C627EA2472E + 3119.50ns INFO [00003121] Port=0 RD @00 + 3120.50ns INFO [00003122] Port=0 WR @06=E187D23120C510182B + 3120.50ns INFO [00003122] Port=1 RD @05 + 3121.50ns INFO [00003123] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48 + 3121.50ns INFO [00003123] Port=0 RD @07 + 3122.50ns INFO [00003124] * RD COMPARE * port=1 adr=05 act=60D788BCDFE5DBB4D3 exp=60D788BCDFE5DBB4D3 + 3123.50ns INFO [00003125] * RD COMPARE * port=0 adr=07 act=AF47BA16498B699FC8 exp=AF47BA16498B699FC8 + 3123.50ns INFO [00003125] Port=0 RD @00 + 3124.50ns INFO [00003126] Port=0 RD @00 + 3124.50ns INFO [00003126] Port=1 RD @04 + 3125.50ns INFO [00003127] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48 + 3125.50ns INFO [00003127] Port=0 RD @00 + 3126.50ns INFO [00003128] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48 + 3126.50ns INFO [00003128] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8 + 3126.50ns INFO [00003128] Port=0 WR @07=DA1E726ED69B3472FC + 3126.50ns INFO [00003128] Port=1 RD @03 + 3127.50ns INFO [00003129] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48 + 3127.50ns INFO [00003129] Port=1 RD @06 + 3128.50ns INFO [00003130] * RD COMPARE * port=1 adr=03 act=71614A26B399634CB0 exp=71614A26B399634CB0 + 3128.50ns INFO [00003130] Port=0 RD @06 + 3129.50ns INFO [00003131] * RD COMPARE * port=1 adr=06 act=E187D23120C510182B exp=E187D23120C510182B + 3129.50ns INFO [00003131] Port=0 WR @02=A57551D342D387E7A6 + 3129.50ns INFO [00003131] Port=1 RD @04 + 3130.50ns INFO [00003132] * RD COMPARE * port=0 adr=06 act=E187D23120C510182B exp=E187D23120C510182B + 3130.50ns INFO [00003132] Port=0 RD @01 + 3130.50ns INFO [00003132] Port=1 RD @03 + 3131.50ns INFO [00003133] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8 + 3131.50ns INFO [00003133] Port=0 WR @05=A12DD4290B82F823A6 + 3131.50ns INFO [00003133] Port=1 RD @02 + 3132.50ns INFO [00003134] * RD COMPARE * port=0 adr=01 act=E8D1120C627EA2472E exp=E8D1120C627EA2472E + 3132.50ns INFO [00003134] * RD COMPARE * port=1 adr=03 act=71614A26B399634CB0 exp=71614A26B399634CB0 + 3132.50ns INFO [00003134] Port=0 RD @03 + 3132.50ns INFO [00003134] Port=1 RD @02 + 3133.50ns INFO [00003135] * RD COMPARE * port=1 adr=02 act=A57551D342D387E7A6 exp=A57551D342D387E7A6 + 3133.50ns INFO [00003135] Port=1 RD @03 + 3134.50ns INFO [00003136] * RD COMPARE * port=0 adr=03 act=71614A26B399634CB0 exp=71614A26B399634CB0 + 3134.50ns INFO [00003136] * RD COMPARE * port=1 adr=02 act=A57551D342D387E7A6 exp=A57551D342D387E7A6 + 3135.50ns INFO [00003137] * RD COMPARE * port=1 adr=03 act=71614A26B399634CB0 exp=71614A26B399634CB0 + 3135.50ns INFO [00003137] Port=0 WR @03=8AE3B5042696EA7512 + 3135.50ns INFO [00003137] Port=0 RD @02 + 3136.50ns INFO [00003138] Port=0 WR @04=111D26146848449E28 + 3136.50ns INFO [00003138] Port=0 RD @02 + 3137.50ns INFO [00003139] * RD COMPARE * port=0 adr=02 act=A57551D342D387E7A6 exp=A57551D342D387E7A6 + 3137.50ns INFO [00003139] Port=0 RD @06 + 3138.50ns INFO [00003140] * RD COMPARE * port=0 adr=02 act=A57551D342D387E7A6 exp=A57551D342D387E7A6 + 3139.50ns INFO [00003141] * RD COMPARE * port=0 adr=06 act=E187D23120C510182B exp=E187D23120C510182B + 3140.50ns INFO [00003142] Port=0 RD @00 + 3140.50ns INFO [00003142] Port=1 RD @02 + 3141.50ns INFO [00003143] Port=1 RD @05 + 3142.50ns INFO [00003144] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48 + 3142.50ns INFO [00003144] * RD COMPARE * port=1 adr=02 act=A57551D342D387E7A6 exp=A57551D342D387E7A6 + 3142.50ns INFO [00003144] Port=0 WR @03=6D40F7607F2295E361 + 3142.50ns INFO [00003144] Port=0 RD @04 + 3143.50ns INFO [00003145] * RD COMPARE * port=1 adr=05 act=A12DD4290B82F823A6 exp=A12DD4290B82F823A6 + 3143.50ns INFO [00003145] Port=0 RD @06 + 3144.50ns INFO [00003146] * RD COMPARE * port=0 adr=04 act=111D26146848449E28 exp=111D26146848449E28 + 3144.50ns INFO [00003146] Port=0 WR @01=A92AFAD82795F66524 + 3144.50ns INFO [00003146] Port=0 RD @07 + 3144.50ns INFO [00003146] Port=1 RD @04 + 3145.50ns INFO [00003147] * RD COMPARE * port=0 adr=06 act=E187D23120C510182B exp=E187D23120C510182B + 3145.50ns INFO [00003147] Port=0 WR @00=F30B5EB29C405BF17D + 3145.50ns INFO [00003147] Port=0 RD @01 + 3146.50ns INFO [00003148] * RD COMPARE * port=0 adr=07 act=DA1E726ED69B3472FC exp=DA1E726ED69B3472FC + 3146.50ns INFO [00003148] * RD COMPARE * port=1 adr=04 act=111D26146848449E28 exp=111D26146848449E28 + 3146.50ns INFO [00003148] Port=0 WR @05=4DCD7F3EF5DB7A59F1 + 3147.50ns INFO [00003149] * RD COMPARE * port=0 adr=01 act=A92AFAD82795F66524 exp=A92AFAD82795F66524 + 3147.50ns INFO [00003149] Port=0 RD @03 + 3147.50ns INFO [00003149] Port=1 RD @03 + 3148.50ns INFO [00003150] Port=0 WR @02=4774ADFD5877479F5E + 3149.50ns INFO [00003151] * RD COMPARE * port=0 adr=03 act=6D40F7607F2295E361 exp=6D40F7607F2295E361 + 3149.50ns INFO [00003151] * RD COMPARE * port=1 adr=03 act=6D40F7607F2295E361 exp=6D40F7607F2295E361 + 3149.50ns INFO [00003151] Port=0 RD @01 + 3149.50ns INFO [00003151] Port=1 RD @03 + 3150.50ns INFO [00003152] Port=0 WR @03=6B1AF28A4EBC911B07 + 3150.50ns INFO [00003152] Port=0 RD @04 + 3151.50ns INFO [00003153] * RD COMPARE * port=0 adr=01 act=A92AFAD82795F66524 exp=A92AFAD82795F66524 + 3151.50ns INFO [00003153] * RD COMPARE * port=1 adr=03 act=6D40F7607F2295E361 exp=6D40F7607F2295E361 + 3151.50ns INFO [00003153] Port=0 WR @04=C4D65F223F34B177D2 + 3151.50ns INFO [00003153] Port=1 RD @03 + 3152.50ns INFO [00003154] * RD COMPARE * port=0 adr=04 act=111D26146848449E28 exp=111D26146848449E28 + 3152.50ns INFO [00003154] Port=1 RD @03 + 3153.50ns INFO [00003155] * RD COMPARE * port=1 adr=03 act=6B1AF28A4EBC911B07 exp=6B1AF28A4EBC911B07 + 3153.50ns INFO [00003155] Port=0 WR @00=1077C98CA26544A5B6 + 3154.50ns INFO [00003156] * RD COMPARE * port=1 adr=03 act=6B1AF28A4EBC911B07 exp=6B1AF28A4EBC911B07 + 3154.50ns INFO [00003156] Port=0 WR @01=596028F25BE13BEBE6 + 3154.50ns INFO [00003156] Port=0 RD @04 + 3155.50ns INFO [00003157] Port=0 WR @01=4EE4AA92DE802BFDE2 + 3156.50ns INFO [00003158] * RD COMPARE * port=0 adr=04 act=C4D65F223F34B177D2 exp=C4D65F223F34B177D2 + 3156.50ns INFO [00003158] Port=0 WR @04=62C3E5F5863C09F75F + 3157.50ns INFO [00003159] Port=0 RD @00 + 3158.50ns INFO [00003160] Port=0 WR @01=C827528EFE44D8E4C0 + 3158.50ns INFO [00003160] Port=1 RD @07 + 3159.50ns INFO [00003161] * RD COMPARE * port=0 adr=00 act=1077C98CA26544A5B6 exp=1077C98CA26544A5B6 + 3160.50ns INFO [00003162] * RD COMPARE * port=1 adr=07 act=DA1E726ED69B3472FC exp=DA1E726ED69B3472FC + 3160.50ns INFO [00003162] Port=0 WR @01=F586388A62615FA473 + 3161.50ns INFO [00003163] Port=0 WR @06=E1114E32FDA8A42FEF + 3161.50ns INFO [00003163] Port=1 RD @04 + 3162.50ns INFO [00003164] Port=1 RD @07 + 3163.50ns INFO [00003165] * RD COMPARE * port=1 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F + 3164.50ns INFO [00003166] * RD COMPARE * port=1 adr=07 act=DA1E726ED69B3472FC exp=DA1E726ED69B3472FC + 3165.50ns INFO [00003167] Port=1 RD @04 + 3166.50ns INFO [00003168] Port=0 RD @03 + 3167.50ns INFO [00003169] * RD COMPARE * port=1 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F + 3167.50ns INFO [00003169] Port=0 RD @04 + 3167.50ns INFO [00003169] Port=1 RD @01 + 3168.50ns INFO [00003170] * RD COMPARE * port=0 adr=03 act=6B1AF28A4EBC911B07 exp=6B1AF28A4EBC911B07 + 3168.50ns INFO [00003170] Port=1 RD @02 + 3169.50ns INFO [00003171] * RD COMPARE * port=0 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F + 3169.50ns INFO [00003171] * RD COMPARE * port=1 adr=01 act=F586388A62615FA473 exp=F586388A62615FA473 + 3169.50ns INFO [00003171] Port=0 RD @05 + 3170.50ns INFO [00003172] * RD COMPARE * port=1 adr=02 act=4774ADFD5877479F5E exp=4774ADFD5877479F5E + 3171.50ns INFO [00003173] * RD COMPARE * port=0 adr=05 act=4DCD7F3EF5DB7A59F1 exp=4DCD7F3EF5DB7A59F1 + 3171.50ns INFO [00003173] Port=0 RD @07 + 3171.50ns INFO [00003173] Port=1 RD @02 + 3172.50ns INFO [00003174] Port=0 WR @00=504A784C0204B6C0EE + 3173.50ns INFO [00003175] * RD COMPARE * port=0 adr=07 act=DA1E726ED69B3472FC exp=DA1E726ED69B3472FC + 3173.50ns INFO [00003175] * RD COMPARE * port=1 adr=02 act=4774ADFD5877479F5E exp=4774ADFD5877479F5E + 3173.50ns INFO [00003175] Port=0 RD @05 + 3174.50ns INFO [00003176] Port=1 RD @04 + 3175.50ns INFO [00003177] * RD COMPARE * port=0 adr=05 act=4DCD7F3EF5DB7A59F1 exp=4DCD7F3EF5DB7A59F1 + 3175.50ns INFO [00003177] Port=1 RD @02 + 3176.50ns INFO [00003178] * RD COMPARE * port=1 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F + 3176.50ns INFO [00003178] Port=0 WR @02=CC175994A562EC236A + 3177.50ns INFO [00003179] * RD COMPARE * port=1 adr=02 act=4774ADFD5877479F5E exp=4774ADFD5877479F5E + 3177.50ns INFO [00003179] Port=0 WR @01=F599465355399A87EF + 3178.50ns INFO [00003180] Port=0 WR @07=AA919BCAA7D48E3F26 + 3179.50ns INFO [00003181] Port=0 RD @03 + 3179.50ns INFO [00003181] Port=1 RD @03 + 3180.50ns INFO [00003182] Port=0 WR @07=BF255B1CBE84C491D1 + 3180.50ns INFO [00003182] Port=0 RD @06 + 3180.50ns INFO [00003182] Port=1 RD @06 + 3181.50ns INFO [00003183] * RD COMPARE * port=0 adr=03 act=6B1AF28A4EBC911B07 exp=6B1AF28A4EBC911B07 + 3181.50ns INFO [00003183] * RD COMPARE * port=1 adr=03 act=6B1AF28A4EBC911B07 exp=6B1AF28A4EBC911B07 + 3181.50ns INFO [00003183] Port=0 WR @00=9D611066A0E1B87FE6 + 3182.50ns INFO [00003184] * RD COMPARE * port=0 adr=06 act=E1114E32FDA8A42FEF exp=E1114E32FDA8A42FEF + 3182.50ns INFO [00003184] * RD COMPARE * port=1 adr=06 act=E1114E32FDA8A42FEF exp=E1114E32FDA8A42FEF + 3182.50ns INFO [00003184] Port=0 WR @06=C65C82D847BC728077 + 3182.50ns INFO [00003184] Port=1 RD @02 + 3184.50ns INFO [00003186] * RD COMPARE * port=1 adr=02 act=CC175994A562EC236A exp=CC175994A562EC236A + 3184.50ns INFO [00003186] Port=0 RD @01 + 3185.50ns INFO [00003187] Port=0 RD @07 + 3186.50ns INFO [00003188] * RD COMPARE * port=0 adr=01 act=F599465355399A87EF exp=F599465355399A87EF + 3186.50ns INFO [00003188] Port=0 RD @06 + 3187.50ns INFO [00003189] * RD COMPARE * port=0 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1 + 3187.50ns INFO [00003189] Port=0 WR @01=E7850AC740E7506BEA + 3187.50ns INFO [00003189] Port=0 RD @04 + 3187.50ns INFO [00003189] Port=1 RD @07 + 3188.50ns INFO [00003190] * RD COMPARE * port=0 adr=06 act=C65C82D847BC728077 exp=C65C82D847BC728077 + 3188.50ns INFO [00003190] Port=0 WR @03=80A8694E41BAEE76D7 + 3189.50ns INFO [00003191] * RD COMPARE * port=0 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F + 3189.50ns INFO [00003191] * RD COMPARE * port=1 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1 + 3190.50ns INFO [00003192] Port=0 WR @05=526C04523BEEF74F33 + 3190.50ns INFO [00003192] Port=1 RD @02 + 3191.50ns INFO [00003193] Port=0 WR @00=46292F0D3FAC985039 + 3191.50ns INFO [00003193] Port=0 RD @03 + 3192.50ns INFO [00003194] * RD COMPARE * port=1 adr=02 act=CC175994A562EC236A exp=CC175994A562EC236A + 3192.50ns INFO [00003194] Port=0 RD @07 + 3193.50ns INFO [00003195] * RD COMPARE * port=0 adr=03 act=80A8694E41BAEE76D7 exp=80A8694E41BAEE76D7 + 3193.50ns INFO [00003195] Port=0 WR @05=7FD1C2C0E8D041FBA6 + 3193.50ns INFO [00003195] Port=0 RD @01 + 3194.50ns INFO [00003196] * RD COMPARE * port=0 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1 + 3194.50ns INFO [00003196] Port=1 RD @07 + 3195.50ns INFO [00003197] * RD COMPARE * port=0 adr=01 act=E7850AC740E7506BEA exp=E7850AC740E7506BEA + 3196.50ns INFO [00003198] * RD COMPARE * port=1 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1 + 3197.50ns INFO [00003199] Port=1 RD @05 + 3198.00ns INFO [00003200] [00003200] ...tick... + 3198.50ns INFO [00003200] Port=0 RD @01 + 3198.50ns INFO [00003200] Port=1 RD @05 + 3199.50ns INFO [00003201] * RD COMPARE * port=1 adr=05 act=7FD1C2C0E8D041FBA6 exp=7FD1C2C0E8D041FBA6 + 3199.50ns INFO [00003201] Port=0 WR @02=1643B535F4C0578CEB + 3199.50ns INFO [00003201] Port=1 RD @00 + 3200.50ns INFO [00003202] * RD COMPARE * port=0 adr=01 act=E7850AC740E7506BEA exp=E7850AC740E7506BEA + 3200.50ns INFO [00003202] * RD COMPARE * port=1 adr=05 act=7FD1C2C0E8D041FBA6 exp=7FD1C2C0E8D041FBA6 + 3200.50ns INFO [00003202] Port=0 WR @02=3D72ADAF23699CB172 + 3200.50ns INFO [00003202] Port=0 RD @04 + 3201.50ns INFO [00003203] * RD COMPARE * port=1 adr=00 act=46292F0D3FAC985039 exp=46292F0D3FAC985039 + 3201.50ns INFO [00003203] Port=0 RD @07 + 3202.50ns INFO [00003204] * RD COMPARE * port=0 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F + 3202.50ns INFO [00003204] Port=0 WR @03=D030A0BEF1700DF428 + 3202.50ns INFO [00003204] Port=1 RD @06 + 3203.50ns INFO [00003205] * RD COMPARE * port=0 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1 + 3203.50ns INFO [00003205] Port=1 RD @01 + 3204.50ns INFO [00003206] * RD COMPARE * port=1 adr=06 act=C65C82D847BC728077 exp=C65C82D847BC728077 + 3204.50ns INFO [00003206] Port=0 RD @03 + 3205.50ns INFO [00003207] * RD COMPARE * port=1 adr=01 act=E7850AC740E7506BEA exp=E7850AC740E7506BEA + 3205.50ns INFO [00003207] Port=0 RD @01 + 3206.50ns INFO [00003208] * RD COMPARE * port=0 adr=03 act=D030A0BEF1700DF428 exp=D030A0BEF1700DF428 + 3206.50ns INFO [00003208] Port=0 WR @00=428BEEEA6F1BD88471 + 3207.50ns INFO [00003209] * RD COMPARE * port=0 adr=01 act=E7850AC740E7506BEA exp=E7850AC740E7506BEA + 3207.50ns INFO [00003209] Port=0 RD @00 + 3207.50ns INFO [00003209] Port=1 RD @02 + 3209.50ns INFO [00003211] * RD COMPARE * port=0 adr=00 act=428BEEEA6F1BD88471 exp=428BEEEA6F1BD88471 + 3209.50ns INFO [00003211] * RD COMPARE * port=1 adr=02 act=3D72ADAF23699CB172 exp=3D72ADAF23699CB172 + 3209.50ns INFO [00003211] Port=0 RD @01 + 3209.50ns INFO [00003211] Port=1 RD @04 + 3211.50ns INFO [00003213] * RD COMPARE * port=0 adr=01 act=E7850AC740E7506BEA exp=E7850AC740E7506BEA + 3211.50ns INFO [00003213] * RD COMPARE * port=1 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F + 3212.50ns INFO [00003214] Port=0 WR @02=D96FE8E8E2776553F1 + 3212.50ns INFO [00003214] Port=1 RD @07 + 3214.50ns INFO [00003216] * RD COMPARE * port=1 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1 + 3214.50ns INFO [00003216] Port=1 RD @06 + 3216.50ns INFO [00003218] * RD COMPARE * port=1 adr=06 act=C65C82D847BC728077 exp=C65C82D847BC728077 + 3216.50ns INFO [00003218] Port=0 WR @07=55927A97727BE2A4F4 + 3216.50ns INFO [00003218] Port=0 RD @03 + 3217.50ns INFO [00003219] Port=0 WR @04=9B2194D68F5CB00B3C + 3217.50ns INFO [00003219] Port=1 RD @05 + 3218.50ns INFO [00003220] * RD COMPARE * port=0 adr=03 act=D030A0BEF1700DF428 exp=D030A0BEF1700DF428 + 3218.50ns INFO [00003220] Port=0 WR @05=59D47387F03207B49E + 3218.50ns INFO [00003220] Port=0 RD @03 + 3218.50ns INFO [00003220] Port=1 RD @06 + 3219.50ns INFO [00003221] * RD COMPARE * port=1 adr=05 act=7FD1C2C0E8D041FBA6 exp=7FD1C2C0E8D041FBA6 + 3219.50ns INFO [00003221] Port=0 RD @05 + 3220.50ns INFO [00003222] * RD COMPARE * port=0 adr=03 act=D030A0BEF1700DF428 exp=D030A0BEF1700DF428 + 3220.50ns INFO [00003222] * RD COMPARE * port=1 adr=06 act=C65C82D847BC728077 exp=C65C82D847BC728077 + 3220.50ns INFO [00003222] Port=0 WR @00=FCD74DE0757FC449E9 + 3220.50ns INFO [00003222] Port=0 RD @03 + 3221.50ns INFO [00003223] * RD COMPARE * port=0 adr=05 act=59D47387F03207B49E exp=59D47387F03207B49E + 3221.50ns INFO [00003223] Port=0 WR @06=90A08F4E8507D35C95 + 3221.50ns INFO [00003223] Port=0 RD @00 + 3222.50ns INFO [00003224] * RD COMPARE * port=0 adr=03 act=D030A0BEF1700DF428 exp=D030A0BEF1700DF428 + 3223.50ns INFO [00003225] * RD COMPARE * port=0 adr=00 act=FCD74DE0757FC449E9 exp=FCD74DE0757FC449E9 + 3223.50ns INFO [00003225] Port=0 WR @01=A17E26830FAC46FFBD + 3223.50ns INFO [00003225] Port=0 RD @03 + 3224.50ns INFO [00003226] Port=0 WR @03=DD10A335C0911F98C2 + 3225.50ns INFO [00003227] * RD COMPARE * port=0 adr=03 act=D030A0BEF1700DF428 exp=D030A0BEF1700DF428 + 3225.50ns INFO [00003227] Port=0 WR @04=CDEF9B6CA6244EFB76 + 3225.50ns INFO [00003227] Port=0 RD @06 + 3226.50ns INFO [00003228] Port=0 WR @05=36A49C5F42207459AB + 3226.50ns INFO [00003228] Port=0 RD @07 + 3226.50ns INFO [00003228] Port=1 RD @06 + 3227.50ns INFO [00003229] * RD COMPARE * port=0 adr=06 act=90A08F4E8507D35C95 exp=90A08F4E8507D35C95 + 3227.50ns INFO [00003229] Port=0 WR @02=0C0CEB5A1694B4F24E + 3228.50ns INFO [00003230] * RD COMPARE * port=0 adr=07 act=55927A97727BE2A4F4 exp=55927A97727BE2A4F4 + 3228.50ns INFO [00003230] * RD COMPARE * port=1 adr=06 act=90A08F4E8507D35C95 exp=90A08F4E8507D35C95 + 3228.50ns INFO [00003230] Port=1 RD @03 + 3229.50ns INFO [00003231] Port=0 WR @02=B801A70444ECE109F9 + 3229.50ns INFO [00003231] Port=0 RD @03 + 3230.50ns INFO [00003232] * RD COMPARE * port=1 adr=03 act=DD10A335C0911F98C2 exp=DD10A335C0911F98C2 + 3230.50ns INFO [00003232] Port=0 WR @03=15C612B607E9953193 + 3230.50ns INFO [00003232] Port=1 RD @04 + 3231.50ns INFO [00003233] * RD COMPARE * port=0 adr=03 act=DD10A335C0911F98C2 exp=DD10A335C0911F98C2 + 3231.50ns INFO [00003233] Port=0 RD @05 + 3232.50ns INFO [00003234] * RD COMPARE * port=1 adr=04 act=CDEF9B6CA6244EFB76 exp=CDEF9B6CA6244EFB76 + 3232.50ns INFO [00003234] Port=1 RD @06 + 3233.50ns INFO [00003235] * RD COMPARE * port=0 adr=05 act=36A49C5F42207459AB exp=36A49C5F42207459AB + 3233.50ns INFO [00003235] Port=0 WR @06=0B8B5281F94E601569 + 3234.50ns INFO [00003236] * RD COMPARE * port=1 adr=06 act=90A08F4E8507D35C95 exp=90A08F4E8507D35C95 + 3234.50ns INFO [00003236] Port=0 WR @01=4798FDB97F66F73415 + 3234.50ns INFO [00003236] Port=0 RD @04 + 3235.50ns INFO [00003237] Port=0 WR @07=7575F0772A1A902913 + 3235.50ns INFO [00003237] Port=1 RD @03 + 3236.50ns INFO [00003238] * RD COMPARE * port=0 adr=04 act=CDEF9B6CA6244EFB76 exp=CDEF9B6CA6244EFB76 + 3236.50ns INFO [00003238] Port=1 RD @04 + 3237.50ns INFO [00003239] * RD COMPARE * port=1 adr=03 act=15C612B607E9953193 exp=15C612B607E9953193 + 3237.50ns INFO [00003239] Port=1 RD @02 + 3238.50ns INFO [00003240] * RD COMPARE * port=1 adr=04 act=CDEF9B6CA6244EFB76 exp=CDEF9B6CA6244EFB76 + 3238.50ns INFO [00003240] Port=0 WR @03=F86F58DBE8D4FC9A7A + 3238.50ns INFO [00003240] Port=0 RD @04 + 3238.50ns INFO [00003240] Port=1 RD @06 + 3239.50ns INFO [00003241] * RD COMPARE * port=1 adr=02 act=B801A70444ECE109F9 exp=B801A70444ECE109F9 + 3240.50ns INFO [00003242] * RD COMPARE * port=0 adr=04 act=CDEF9B6CA6244EFB76 exp=CDEF9B6CA6244EFB76 + 3240.50ns INFO [00003242] * RD COMPARE * port=1 adr=06 act=0B8B5281F94E601569 exp=0B8B5281F94E601569 + 3240.50ns INFO [00003242] Port=1 RD @01 + 3242.50ns INFO [00003244] * RD COMPARE * port=1 adr=01 act=4798FDB97F66F73415 exp=4798FDB97F66F73415 + 3242.50ns INFO [00003244] Port=1 RD @05 + 3243.50ns INFO [00003245] Port=0 RD @00 + 3244.50ns INFO [00003246] * RD COMPARE * port=1 adr=05 act=36A49C5F42207459AB exp=36A49C5F42207459AB + 3244.50ns INFO [00003246] Port=0 WR @02=7674AB4BA3DCFFDC04 + 3245.50ns INFO [00003247] * RD COMPARE * port=0 adr=00 act=FCD74DE0757FC449E9 exp=FCD74DE0757FC449E9 + 3245.50ns INFO [00003247] Port=0 WR @03=F74A053F395EAF0E4A + 3246.50ns INFO [00003248] Port=1 RD @07 + 3247.50ns INFO [00003249] Port=0 WR @06=33F669C725BEF4ADC7 + 3248.50ns INFO [00003250] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3248.50ns INFO [00003250] Port=1 RD @02 + 3249.50ns INFO [00003251] Port=0 RD @07 + 3250.50ns INFO [00003252] * RD COMPARE * port=1 adr=02 act=7674AB4BA3DCFFDC04 exp=7674AB4BA3DCFFDC04 + 3250.50ns INFO [00003252] Port=0 RD @00 + 3251.50ns INFO [00003253] * RD COMPARE * port=0 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3251.50ns INFO [00003253] Port=0 RD @07 + 3252.50ns INFO [00003254] * RD COMPARE * port=0 adr=00 act=FCD74DE0757FC449E9 exp=FCD74DE0757FC449E9 + 3252.50ns INFO [00003254] Port=0 RD @00 + 3253.50ns INFO [00003255] * RD COMPARE * port=0 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3254.50ns INFO [00003256] * RD COMPARE * port=0 adr=00 act=FCD74DE0757FC449E9 exp=FCD74DE0757FC449E9 + 3255.50ns INFO [00003257] Port=0 WR @01=62A65549BEB0775A35 + 3255.50ns INFO [00003257] Port=1 RD @07 + 3256.50ns INFO [00003258] Port=1 RD @02 + 3257.50ns INFO [00003259] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3257.50ns INFO [00003259] Port=1 RD @01 + 3258.50ns INFO [00003260] * RD COMPARE * port=1 adr=02 act=7674AB4BA3DCFFDC04 exp=7674AB4BA3DCFFDC04 + 3258.50ns INFO [00003260] Port=0 RD @03 + 3258.50ns INFO [00003260] Port=1 RD @06 + 3259.50ns INFO [00003261] * RD COMPARE * port=1 adr=01 act=62A65549BEB0775A35 exp=62A65549BEB0775A35 + 3259.50ns INFO [00003261] Port=1 RD @02 + 3260.50ns INFO [00003262] * RD COMPARE * port=0 adr=03 act=F74A053F395EAF0E4A exp=F74A053F395EAF0E4A + 3260.50ns INFO [00003262] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7 + 3261.50ns INFO [00003263] * RD COMPARE * port=1 adr=02 act=7674AB4BA3DCFFDC04 exp=7674AB4BA3DCFFDC04 + 3261.50ns INFO [00003263] Port=0 WR @00=4181ACDB10CE478D44 + 3261.50ns INFO [00003263] Port=1 RD @06 + 3262.50ns INFO [00003264] Port=0 RD @07 + 3262.50ns INFO [00003264] Port=1 RD @03 + 3263.50ns INFO [00003265] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7 + 3263.50ns INFO [00003265] Port=1 RD @02 + 3264.50ns INFO [00003266] * RD COMPARE * port=0 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3264.50ns INFO [00003266] * RD COMPARE * port=1 adr=03 act=F74A053F395EAF0E4A exp=F74A053F395EAF0E4A + 3265.50ns INFO [00003267] * RD COMPARE * port=1 adr=02 act=7674AB4BA3DCFFDC04 exp=7674AB4BA3DCFFDC04 + 3266.50ns INFO [00003268] Port=0 WR @01=40B97052E59AD3E55D + 3266.50ns INFO [00003268] Port=1 RD @06 + 3267.50ns INFO [00003269] Port=0 WR @00=1882DCBD8F4C048EBD + 3267.50ns INFO [00003269] Port=1 RD @06 + 3268.50ns INFO [00003270] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7 + 3268.50ns INFO [00003270] Port=0 RD @00 + 3268.50ns INFO [00003270] Port=1 RD @00 + 3269.50ns INFO [00003271] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7 + 3269.50ns INFO [00003271] Port=0 WR @04=9D7CC63FFC474C48AF + 3269.50ns INFO [00003271] Port=1 RD @06 + 3270.50ns INFO [00003272] * RD COMPARE * port=0 adr=00 act=1882DCBD8F4C048EBD exp=1882DCBD8F4C048EBD + 3270.50ns INFO [00003272] * RD COMPARE * port=1 adr=00 act=1882DCBD8F4C048EBD exp=1882DCBD8F4C048EBD + 3270.50ns INFO [00003272] Port=0 WR @02=BEF4BCB400331E16E6 + 3271.50ns INFO [00003273] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7 + 3271.50ns INFO [00003273] Port=0 RD @00 + 3271.50ns INFO [00003273] Port=1 RD @04 + 3272.50ns INFO [00003274] Port=0 RD @01 + 3272.50ns INFO [00003274] Port=1 RD @06 + 3273.50ns INFO [00003275] * RD COMPARE * port=0 adr=00 act=1882DCBD8F4C048EBD exp=1882DCBD8F4C048EBD + 3273.50ns INFO [00003275] * RD COMPARE * port=1 adr=04 act=9D7CC63FFC474C48AF exp=9D7CC63FFC474C48AF + 3273.50ns INFO [00003275] Port=0 WR @06=6DC80A281D90B61764 + 3274.50ns INFO [00003276] * RD COMPARE * port=0 adr=01 act=40B97052E59AD3E55D exp=40B97052E59AD3E55D + 3274.50ns INFO [00003276] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7 + 3274.50ns INFO [00003276] Port=1 RD @07 + 3275.50ns INFO [00003277] Port=0 WR @00=EA8C62046B8A5792E0 + 3275.50ns INFO [00003277] Port=1 RD @07 + 3276.50ns INFO [00003278] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3276.50ns INFO [00003278] Port=0 WR @02=D9368234BCF2D14649 + 3276.50ns INFO [00003278] Port=1 RD @00 + 3277.50ns INFO [00003279] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3277.50ns INFO [00003279] Port=0 WR @04=E769CC20CC95BF6401 + 3278.50ns INFO [00003280] * RD COMPARE * port=1 adr=00 act=EA8C62046B8A5792E0 exp=EA8C62046B8A5792E0 + 3278.50ns INFO [00003280] Port=0 RD @00 + 3279.50ns INFO [00003281] Port=0 WR @03=FF0B5EBC0870F6728E + 3280.50ns INFO [00003282] * RD COMPARE * port=0 adr=00 act=EA8C62046B8A5792E0 exp=EA8C62046B8A5792E0 + 3280.50ns INFO [00003282] Port=0 RD @07 + 3280.50ns INFO [00003282] Port=1 RD @00 + 3281.50ns INFO [00003283] Port=0 WR @00=C889BAD6464545F2B3 + 3282.50ns INFO [00003284] * RD COMPARE * port=0 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3282.50ns INFO [00003284] * RD COMPARE * port=1 adr=00 act=EA8C62046B8A5792E0 exp=EA8C62046B8A5792E0 + 3282.50ns INFO [00003284] Port=0 WR @01=FC2850225765FF5A22 + 3283.50ns INFO [00003285] Port=1 RD @04 + 3284.50ns INFO [00003286] Port=0 RD @01 + 3285.50ns INFO [00003287] * RD COMPARE * port=1 adr=04 act=E769CC20CC95BF6401 exp=E769CC20CC95BF6401 + 3285.50ns INFO [00003287] Port=1 RD @07 + 3286.50ns INFO [00003288] * RD COMPARE * port=0 adr=01 act=FC2850225765FF5A22 exp=FC2850225765FF5A22 + 3286.50ns INFO [00003288] Port=0 RD @00 + 3286.50ns INFO [00003288] Port=1 RD @03 + 3287.50ns INFO [00003289] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3287.50ns INFO [00003289] Port=1 RD @06 + 3288.50ns INFO [00003290] * RD COMPARE * port=0 adr=00 act=C889BAD6464545F2B3 exp=C889BAD6464545F2B3 + 3288.50ns INFO [00003290] * RD COMPARE * port=1 adr=03 act=FF0B5EBC0870F6728E exp=FF0B5EBC0870F6728E + 3288.50ns INFO [00003290] Port=0 WR @01=7B59E387703A8A6B7F + 3289.50ns INFO [00003291] * RD COMPARE * port=1 adr=06 act=6DC80A281D90B61764 exp=6DC80A281D90B61764 + 3289.50ns INFO [00003291] Port=0 WR @01=B958C8911C95E3F91B + 3290.50ns INFO [00003292] Port=0 WR @03=0BC7CC8AF85C660FE1 + 3290.50ns INFO [00003292] Port=0 RD @06 + 3291.50ns INFO [00003293] Port=1 RD @04 + 3292.50ns INFO [00003294] * RD COMPARE * port=0 adr=06 act=6DC80A281D90B61764 exp=6DC80A281D90B61764 + 3292.50ns INFO [00003294] Port=0 WR @03=5948C9E6FD9984F7AB + 3292.50ns INFO [00003294] Port=0 RD @06 + 3293.50ns INFO [00003295] * RD COMPARE * port=1 adr=04 act=E769CC20CC95BF6401 exp=E769CC20CC95BF6401 + 3293.50ns INFO [00003295] Port=0 WR @01=2A8CFB5526379A8E7E + 3294.50ns INFO [00003296] * RD COMPARE * port=0 adr=06 act=6DC80A281D90B61764 exp=6DC80A281D90B61764 + 3294.50ns INFO [00003296] Port=1 RD @02 + 3295.50ns INFO [00003297] Port=0 WR @02=3FD1CDBAF8BC331ED2 + 3295.50ns INFO [00003297] Port=0 RD @03 + 3296.50ns INFO [00003298] * RD COMPARE * port=1 adr=02 act=D9368234BCF2D14649 exp=D9368234BCF2D14649 + 3296.50ns INFO [00003298] Port=0 WR @06=8D025953C152BF312A + 3297.50ns INFO [00003299] * RD COMPARE * port=0 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB + 3297.50ns INFO [00003299] Port=0 WR @00=034831FFA2B4E9A816 + 3298.00ns INFO [00003300] [00003300] ...tick... + 3298.50ns INFO [00003300] Port=0 RD @06 + 3300.50ns INFO [00003302] * RD COMPARE * port=0 adr=06 act=8D025953C152BF312A exp=8D025953C152BF312A + 3300.50ns INFO [00003302] Port=0 RD @07 + 3301.50ns INFO [00003303] Port=0 WR @04=FF500323CE4CD94375 + 3301.50ns INFO [00003303] Port=0 RD @01 + 3302.50ns INFO [00003304] * RD COMPARE * port=0 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3302.50ns INFO [00003304] Port=0 WR @02=B2D72E15C871D2B4E7 + 3302.50ns INFO [00003304] Port=0 RD @01 + 3303.50ns INFO [00003305] * RD COMPARE * port=0 adr=01 act=2A8CFB5526379A8E7E exp=2A8CFB5526379A8E7E + 3303.50ns INFO [00003305] Port=0 RD @00 + 3303.50ns INFO [00003305] Port=1 RD @07 + 3304.50ns INFO [00003306] * RD COMPARE * port=0 adr=01 act=2A8CFB5526379A8E7E exp=2A8CFB5526379A8E7E + 3304.50ns INFO [00003306] Port=1 RD @05 + 3305.50ns INFO [00003307] * RD COMPARE * port=0 adr=00 act=034831FFA2B4E9A816 exp=034831FFA2B4E9A816 + 3305.50ns INFO [00003307] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3305.50ns INFO [00003307] Port=0 WR @06=E0BEFAF35C187421DE + 3306.50ns INFO [00003308] * RD COMPARE * port=1 adr=05 act=36A49C5F42207459AB exp=36A49C5F42207459AB + 3306.50ns INFO [00003308] Port=0 WR @06=6128E9D398932A0542 + 3306.50ns INFO [00003308] Port=1 RD @03 + 3307.50ns INFO [00003309] Port=0 WR @04=B5721C4B895DEF06C2 + 3308.50ns INFO [00003310] * RD COMPARE * port=1 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB + 3308.50ns INFO [00003310] Port=0 RD @03 + 3308.50ns INFO [00003310] Port=1 RD @04 + 3309.50ns INFO [00003311] Port=0 WR @06=2F32DA053F5923336B + 3309.50ns INFO [00003311] Port=0 RD @02 + 3310.50ns INFO [00003312] * RD COMPARE * port=0 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB + 3310.50ns INFO [00003312] * RD COMPARE * port=1 adr=04 act=B5721C4B895DEF06C2 exp=B5721C4B895DEF06C2 + 3310.50ns INFO [00003312] Port=1 RD @06 + 3311.50ns INFO [00003313] * RD COMPARE * port=0 adr=02 act=B2D72E15C871D2B4E7 exp=B2D72E15C871D2B4E7 + 3311.50ns INFO [00003313] Port=0 WR @05=7E687813F591BCFF9B + 3311.50ns INFO [00003313] Port=0 RD @03 + 3312.50ns INFO [00003314] * RD COMPARE * port=1 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B + 3312.50ns INFO [00003314] Port=0 RD @02 + 3313.50ns INFO [00003315] * RD COMPARE * port=0 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB + 3313.50ns INFO [00003315] Port=0 WR @05=22C23BB8EEC9847612 + 3314.50ns INFO [00003316] * RD COMPARE * port=0 adr=02 act=B2D72E15C871D2B4E7 exp=B2D72E15C871D2B4E7 + 3315.50ns INFO [00003317] Port=0 WR @04=B34F7F77C86FEFA4E4 + 3315.50ns INFO [00003317] Port=0 RD @02 + 3316.50ns INFO [00003318] Port=0 WR @00=65004CC5D364B29877 + 3316.50ns INFO [00003318] Port=0 RD @06 + 3317.50ns INFO [00003319] * RD COMPARE * port=0 adr=02 act=B2D72E15C871D2B4E7 exp=B2D72E15C871D2B4E7 + 3317.50ns INFO [00003319] Port=0 WR @00=76807D854D89C32493 + 3318.50ns INFO [00003320] * RD COMPARE * port=0 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B + 3318.50ns INFO [00003320] Port=0 RD @06 + 3318.50ns INFO [00003320] Port=1 RD @06 + 3320.50ns INFO [00003322] * RD COMPARE * port=0 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B + 3320.50ns INFO [00003322] * RD COMPARE * port=1 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B + 3320.50ns INFO [00003322] Port=0 WR @02=165F3FAF3E9288D3DE + 3320.50ns INFO [00003322] Port=1 RD @03 + 3321.50ns INFO [00003323] Port=1 RD @06 + 3322.50ns INFO [00003324] * RD COMPARE * port=1 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB + 3322.50ns INFO [00003324] Port=0 RD @02 + 3322.50ns INFO [00003324] Port=1 RD @07 + 3323.50ns INFO [00003325] * RD COMPARE * port=1 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B + 3323.50ns INFO [00003325] Port=0 WR @01=5F7D228EB8903B536F + 3324.50ns INFO [00003326] * RD COMPARE * port=0 adr=02 act=165F3FAF3E9288D3DE exp=165F3FAF3E9288D3DE + 3324.50ns INFO [00003326] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913 + 3324.50ns INFO [00003326] Port=0 WR @07=D1DCE28D518AA5759A + 3325.50ns INFO [00003327] Port=0 WR @04=C7C3BFE469BDB729CE + 3325.50ns INFO [00003327] Port=1 RD @06 + 3326.50ns INFO [00003328] Port=0 WR @07=61CA16848637503A26 + 3326.50ns INFO [00003328] Port=0 RD @05 + 3326.50ns INFO [00003328] Port=1 RD @05 + 3327.50ns INFO [00003329] * RD COMPARE * port=1 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B + 3327.50ns INFO [00003329] Port=0 RD @01 + 3327.50ns INFO [00003329] Port=1 RD @03 + 3328.50ns INFO [00003330] * RD COMPARE * port=0 adr=05 act=22C23BB8EEC9847612 exp=22C23BB8EEC9847612 + 3328.50ns INFO [00003330] * RD COMPARE * port=1 adr=05 act=22C23BB8EEC9847612 exp=22C23BB8EEC9847612 + 3328.50ns INFO [00003330] Port=0 WR @06=16134B36E85A8752FE + 3329.50ns INFO [00003331] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F + 3329.50ns INFO [00003331] * RD COMPARE * port=1 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB + 3329.50ns INFO [00003331] Port=1 RD @00 + 3330.50ns INFO [00003332] Port=0 WR @07=0AF1D512D9090E8DED + 3331.50ns INFO [00003333] * RD COMPARE * port=1 adr=00 act=76807D854D89C32493 exp=76807D854D89C32493 + 3331.50ns INFO [00003333] Port=0 WR @00=E5C43F38857FBFBCBA + 3331.50ns INFO [00003333] Port=0 RD @04 + 3332.50ns INFO [00003334] Port=0 RD @07 + 3332.50ns INFO [00003334] Port=1 RD @07 + 3333.50ns INFO [00003335] * RD COMPARE * port=0 adr=04 act=C7C3BFE469BDB729CE exp=C7C3BFE469BDB729CE + 3333.50ns INFO [00003335] Port=0 WR @03=9FE37611F9E30CC7C9 + 3333.50ns INFO [00003335] Port=0 RD @07 + 3333.50ns INFO [00003335] Port=1 RD @06 + 3334.50ns INFO [00003336] * RD COMPARE * port=0 adr=07 act=0AF1D512D9090E8DED exp=0AF1D512D9090E8DED + 3334.50ns INFO [00003336] * RD COMPARE * port=1 adr=07 act=0AF1D512D9090E8DED exp=0AF1D512D9090E8DED + 3334.50ns INFO [00003336] Port=0 WR @04=52D6485A631C9AA84C + 3334.50ns INFO [00003336] Port=1 RD @02 + 3335.50ns INFO [00003337] * RD COMPARE * port=0 adr=07 act=0AF1D512D9090E8DED exp=0AF1D512D9090E8DED + 3335.50ns INFO [00003337] * RD COMPARE * port=1 adr=06 act=16134B36E85A8752FE exp=16134B36E85A8752FE + 3335.50ns INFO [00003337] Port=0 WR @00=F1DC1AC86EC49F0842 + 3336.50ns INFO [00003338] * RD COMPARE * port=1 adr=02 act=165F3FAF3E9288D3DE exp=165F3FAF3E9288D3DE + 3336.50ns INFO [00003338] Port=0 WR @07=6BD948014497559B82 + 3336.50ns INFO [00003338] Port=0 RD @00 + 3337.50ns INFO [00003339] Port=0 WR @02=83D1DEC0DB1C7ED1C1 + 3337.50ns INFO [00003339] Port=0 RD @05 + 3337.50ns INFO [00003339] Port=1 RD @06 + 3338.50ns INFO [00003340] * RD COMPARE * port=0 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842 + 3338.50ns INFO [00003340] Port=0 WR @06=C69B034895B5FDBA01 + 3338.50ns INFO [00003340] Port=0 RD @00 + 3338.50ns INFO [00003340] Port=1 RD @05 + 3339.50ns INFO [00003341] * RD COMPARE * port=0 adr=05 act=22C23BB8EEC9847612 exp=22C23BB8EEC9847612 + 3339.50ns INFO [00003341] * RD COMPARE * port=1 adr=06 act=16134B36E85A8752FE exp=16134B36E85A8752FE + 3339.50ns INFO [00003341] Port=0 WR @04=65DCB42F2044B7313E + 3340.50ns INFO [00003342] * RD COMPARE * port=0 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842 + 3340.50ns INFO [00003342] * RD COMPARE * port=1 adr=05 act=22C23BB8EEC9847612 exp=22C23BB8EEC9847612 + 3341.50ns INFO [00003343] Port=0 WR @03=12B9D77937E8F8D22B + 3343.50ns INFO [00003345] Port=0 WR @04=2B6D6E37E5A9647DF2 + 3343.50ns INFO [00003345] Port=1 RD @00 + 3344.50ns INFO [00003346] Port=1 RD @03 + 3345.50ns INFO [00003347] * RD COMPARE * port=1 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842 + 3345.50ns INFO [00003347] Port=0 WR @05=F3F488D908BF2C1107 + 3345.50ns INFO [00003347] Port=0 RD @06 + 3346.50ns INFO [00003348] * RD COMPARE * port=1 adr=03 act=12B9D77937E8F8D22B exp=12B9D77937E8F8D22B + 3346.50ns INFO [00003348] Port=0 WR @02=00A46CF194C2CA9A41 + 3347.50ns INFO [00003349] * RD COMPARE * port=0 adr=06 act=C69B034895B5FDBA01 exp=C69B034895B5FDBA01 + 3347.50ns INFO [00003349] Port=0 WR @06=510B9960AC88BDE475 + 3347.50ns INFO [00003349] Port=0 RD @07 + 3349.50ns INFO [00003351] * RD COMPARE * port=0 adr=07 act=6BD948014497559B82 exp=6BD948014497559B82 + 3350.50ns INFO [00003352] Port=0 WR @07=A1F01A8FEA281836C4 + 3350.50ns INFO [00003352] Port=0 RD @00 + 3352.50ns INFO [00003354] * RD COMPARE * port=0 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842 + 3352.50ns INFO [00003354] Port=0 RD @06 + 3354.50ns INFO [00003356] * RD COMPARE * port=0 adr=06 act=510B9960AC88BDE475 exp=510B9960AC88BDE475 + 3354.50ns INFO [00003356] Port=0 RD @02 + 3354.50ns INFO [00003356] Port=1 RD @00 + 3355.50ns INFO [00003357] Port=1 RD @00 + 3356.50ns INFO [00003358] * RD COMPARE * port=0 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41 + 3356.50ns INFO [00003358] * RD COMPARE * port=1 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842 + 3357.50ns INFO [00003359] * RD COMPARE * port=1 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842 + 3357.50ns INFO [00003359] Port=0 WR @00=078A27BB44AB31FF2A + 3357.50ns INFO [00003359] Port=1 RD @01 + 3358.50ns INFO [00003360] Port=0 RD @07 + 3358.50ns INFO [00003360] Port=1 RD @00 + 3359.50ns INFO [00003361] * RD COMPARE * port=1 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F + 3359.50ns INFO [00003361] Port=0 RD @04 + 3359.50ns INFO [00003361] Port=1 RD @00 + 3360.50ns INFO [00003362] * RD COMPARE * port=0 adr=07 act=A1F01A8FEA281836C4 exp=A1F01A8FEA281836C4 + 3360.50ns INFO [00003362] * RD COMPARE * port=1 adr=00 act=078A27BB44AB31FF2A exp=078A27BB44AB31FF2A + 3360.50ns INFO [00003362] Port=0 WR @06=CF968DAABDEBC48851 + 3360.50ns INFO [00003362] Port=0 RD @01 + 3360.50ns INFO [00003362] Port=1 RD @01 + 3361.50ns INFO [00003363] * RD COMPARE * port=0 adr=04 act=2B6D6E37E5A9647DF2 exp=2B6D6E37E5A9647DF2 + 3361.50ns INFO [00003363] * RD COMPARE * port=1 adr=00 act=078A27BB44AB31FF2A exp=078A27BB44AB31FF2A + 3361.50ns INFO [00003363] Port=0 RD @01 + 3361.50ns INFO [00003363] Port=1 RD @06 + 3362.50ns INFO [00003364] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F + 3362.50ns INFO [00003364] * RD COMPARE * port=1 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F + 3363.50ns INFO [00003365] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F + 3363.50ns INFO [00003365] * RD COMPARE * port=1 adr=06 act=CF968DAABDEBC48851 exp=CF968DAABDEBC48851 + 3363.50ns INFO [00003365] Port=0 RD @01 + 3363.50ns INFO [00003365] Port=1 RD @01 + 3364.50ns INFO [00003366] Port=0 WR @07=BA84D758342DFB69B6 + 3365.50ns INFO [00003367] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F + 3365.50ns INFO [00003367] * RD COMPARE * port=1 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F + 3365.50ns INFO [00003367] Port=0 WR @07=EEE74468586F37BBE7 + 3366.50ns INFO [00003368] Port=0 WR @05=59D35DCB26BB9D7FB5 + 3367.50ns INFO [00003369] Port=0 WR @04=F4B853BF66E5A8DDA0 + 3367.50ns INFO [00003369] Port=0 RD @01 + 3368.50ns INFO [00003370] Port=0 WR @04=1A57AEC076A78A31D5 + 3369.50ns INFO [00003371] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F + 3369.50ns INFO [00003371] Port=1 RD @02 + 3370.50ns INFO [00003372] Port=0 RD @07 + 3370.50ns INFO [00003372] Port=1 RD @00 + 3371.50ns INFO [00003373] * RD COMPARE * port=1 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41 + 3371.50ns INFO [00003373] Port=0 WR @07=7ED50144CE4BC9E8EB + 3372.50ns INFO [00003374] * RD COMPARE * port=0 adr=07 act=EEE74468586F37BBE7 exp=EEE74468586F37BBE7 + 3372.50ns INFO [00003374] * RD COMPARE * port=1 adr=00 act=078A27BB44AB31FF2A exp=078A27BB44AB31FF2A + 3372.50ns INFO [00003374] Port=1 RD @05 + 3373.50ns INFO [00003375] Port=0 WR @04=16F243FDA87BB79F13 + 3374.50ns INFO [00003376] * RD COMPARE * port=1 adr=05 act=59D35DCB26BB9D7FB5 exp=59D35DCB26BB9D7FB5 + 3374.50ns INFO [00003376] Port=0 WR @00=9C7AE455FC51165B98 + 3374.50ns INFO [00003376] Port=1 RD @05 + 3375.50ns INFO [00003377] Port=0 WR @00=9B789F0C6B989CD012 + 3375.50ns INFO [00003377] Port=0 RD @01 + 3375.50ns INFO [00003377] Port=1 RD @02 + 3376.50ns INFO [00003378] * RD COMPARE * port=1 adr=05 act=59D35DCB26BB9D7FB5 exp=59D35DCB26BB9D7FB5 + 3376.50ns INFO [00003378] Port=0 WR @04=8BA58BE89981C1CC20 + 3376.50ns INFO [00003378] Port=1 RD @02 + 3377.50ns INFO [00003379] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F + 3377.50ns INFO [00003379] * RD COMPARE * port=1 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41 + 3377.50ns INFO [00003379] Port=0 WR @06=E698D62F2990F8B1CB + 3377.50ns INFO [00003379] Port=1 RD @00 + 3378.50ns INFO [00003380] * RD COMPARE * port=1 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41 + 3379.50ns INFO [00003381] * RD COMPARE * port=1 adr=00 act=9B789F0C6B989CD012 exp=9B789F0C6B989CD012 + 3379.50ns INFO [00003381] Port=0 RD @00 + 3379.50ns INFO [00003381] Port=1 RD @01 + 3380.50ns INFO [00003382] Port=0 WR @01=9E14C884078B09EB2D + 3380.50ns INFO [00003382] Port=0 RD @02 + 3381.50ns INFO [00003383] * RD COMPARE * port=0 adr=00 act=9B789F0C6B989CD012 exp=9B789F0C6B989CD012 + 3381.50ns INFO [00003383] * RD COMPARE * port=1 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F + 3381.50ns INFO [00003383] Port=1 RD @05 + 3382.50ns INFO [00003384] * RD COMPARE * port=0 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41 + 3382.50ns INFO [00003384] Port=0 RD @01 + 3383.50ns INFO [00003385] * RD COMPARE * port=1 adr=05 act=59D35DCB26BB9D7FB5 exp=59D35DCB26BB9D7FB5 + 3384.50ns INFO [00003386] * RD COMPARE * port=0 adr=01 act=9E14C884078B09EB2D exp=9E14C884078B09EB2D + 3384.50ns INFO [00003386] Port=0 RD @02 + 3384.50ns INFO [00003386] Port=1 RD @04 + 3385.50ns INFO [00003387] Port=0 WR @06=0E1F8C9657D2184090 + 3385.50ns INFO [00003387] Port=1 RD @07 + 3386.50ns INFO [00003388] * RD COMPARE * port=0 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41 + 3386.50ns INFO [00003388] * RD COMPARE * port=1 adr=04 act=8BA58BE89981C1CC20 exp=8BA58BE89981C1CC20 + 3386.50ns INFO [00003388] Port=0 RD @05 + 3386.50ns INFO [00003388] Port=1 RD @03 + 3387.50ns INFO [00003389] * RD COMPARE * port=1 adr=07 act=7ED50144CE4BC9E8EB exp=7ED50144CE4BC9E8EB + 3387.50ns INFO [00003389] Port=1 RD @03 + 3388.50ns INFO [00003390] * RD COMPARE * port=0 adr=05 act=59D35DCB26BB9D7FB5 exp=59D35DCB26BB9D7FB5 + 3388.50ns INFO [00003390] * RD COMPARE * port=1 adr=03 act=12B9D77937E8F8D22B exp=12B9D77937E8F8D22B + 3388.50ns INFO [00003390] Port=0 RD @02 + 3389.50ns INFO [00003391] * RD COMPARE * port=1 adr=03 act=12B9D77937E8F8D22B exp=12B9D77937E8F8D22B + 3389.50ns INFO [00003391] Port=0 RD @02 + 3389.50ns INFO [00003391] Port=1 RD @00 + 3390.50ns INFO [00003392] * RD COMPARE * port=0 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41 + 3391.50ns INFO [00003393] * RD COMPARE * port=0 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41 + 3391.50ns INFO [00003393] * RD COMPARE * port=1 adr=00 act=9B789F0C6B989CD012 exp=9B789F0C6B989CD012 + 3391.50ns INFO [00003393] Port=0 WR @06=8E6DC3439D42443525 + 3391.50ns INFO [00003393] Port=1 RD @03 + 3392.50ns INFO [00003394] Port=0 WR @00=61FF562DA0842B752A + 3392.50ns INFO [00003394] Port=1 RD @03 + 3393.50ns INFO [00003395] * RD COMPARE * port=1 adr=03 act=12B9D77937E8F8D22B exp=12B9D77937E8F8D22B + 3394.50ns INFO [00003396] * RD COMPARE * port=1 adr=03 act=12B9D77937E8F8D22B exp=12B9D77937E8F8D22B + 3394.50ns INFO [00003396] Port=0 RD @06 + 3395.50ns INFO [00003397] Port=0 WR @02=37C9FB4F17143684E2 + 3395.50ns INFO [00003397] Port=0 RD @04 + 3395.50ns INFO [00003397] Port=1 RD @06 + 3396.50ns INFO [00003398] * RD COMPARE * port=0 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525 + 3396.50ns INFO [00003398] Port=0 WR @03=1F92F0FEEF8E09F9B1 + 3396.50ns INFO [00003398] Port=0 RD @07 + 3397.50ns INFO [00003399] * RD COMPARE * port=0 adr=04 act=8BA58BE89981C1CC20 exp=8BA58BE89981C1CC20 + 3397.50ns INFO [00003399] * RD COMPARE * port=1 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525 + 3397.50ns INFO [00003399] Port=0 RD @02 + 3398.00ns INFO [00003400] [00003400] ...tick... + 3398.50ns INFO [00003400] * RD COMPARE * port=0 adr=07 act=7ED50144CE4BC9E8EB exp=7ED50144CE4BC9E8EB + 3398.50ns INFO [00003400] Port=1 RD @03 + 3399.50ns INFO [00003401] * RD COMPARE * port=0 adr=02 act=37C9FB4F17143684E2 exp=37C9FB4F17143684E2 + 3399.50ns INFO [00003401] Port=0 RD @05 + 3400.50ns INFO [00003402] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1 + 3400.50ns INFO [00003402] Port=0 WR @05=9320A8F3CA21D5B63E + 3400.50ns INFO [00003402] Port=0 RD @07 + 3401.50ns INFO [00003403] * RD COMPARE * port=0 adr=05 act=59D35DCB26BB9D7FB5 exp=59D35DCB26BB9D7FB5 + 3401.50ns INFO [00003403] Port=0 RD @00 + 3401.50ns INFO [00003403] Port=1 RD @03 + 3402.50ns INFO [00003404] * RD COMPARE * port=0 adr=07 act=7ED50144CE4BC9E8EB exp=7ED50144CE4BC9E8EB + 3402.50ns INFO [00003404] Port=0 RD @00 + 3403.50ns INFO [00003405] * RD COMPARE * port=0 adr=00 act=61FF562DA0842B752A exp=61FF562DA0842B752A + 3403.50ns INFO [00003405] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1 + 3403.50ns INFO [00003405] Port=0 WR @00=EF7035F3942794BBBD + 3403.50ns INFO [00003405] Port=0 RD @02 + 3403.50ns INFO [00003405] Port=1 RD @04 + 3404.50ns INFO [00003406] * RD COMPARE * port=0 adr=00 act=61FF562DA0842B752A exp=61FF562DA0842B752A + 3404.50ns INFO [00003406] Port=0 WR @07=3ACF7E342C1D9EBA63 + 3405.50ns INFO [00003407] * RD COMPARE * port=0 adr=02 act=37C9FB4F17143684E2 exp=37C9FB4F17143684E2 + 3405.50ns INFO [00003407] * RD COMPARE * port=1 adr=04 act=8BA58BE89981C1CC20 exp=8BA58BE89981C1CC20 + 3405.50ns INFO [00003407] Port=1 RD @05 + 3406.50ns INFO [00003408] Port=1 RD @00 + 3407.50ns INFO [00003409] * RD COMPARE * port=1 adr=05 act=9320A8F3CA21D5B63E exp=9320A8F3CA21D5B63E + 3408.50ns INFO [00003410] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3409.50ns INFO [00003411] Port=0 RD @02 + 3411.50ns INFO [00003413] * RD COMPARE * port=0 adr=02 act=37C9FB4F17143684E2 exp=37C9FB4F17143684E2 + 3411.50ns INFO [00003413] Port=1 RD @03 + 3412.50ns INFO [00003414] Port=0 RD @04 + 3413.50ns INFO [00003415] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1 + 3413.50ns INFO [00003415] Port=0 WR @04=9CC88537D0A92055E8 + 3413.50ns INFO [00003415] Port=0 RD @06 + 3414.50ns INFO [00003416] * RD COMPARE * port=0 adr=04 act=8BA58BE89981C1CC20 exp=8BA58BE89981C1CC20 + 3415.50ns INFO [00003417] * RD COMPARE * port=0 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525 + 3416.50ns INFO [00003418] Port=0 RD @01 + 3417.50ns INFO [00003419] Port=0 WR @07=A661E195D0F2C3A429 + 3417.50ns INFO [00003419] Port=0 RD @00 + 3418.50ns INFO [00003420] * RD COMPARE * port=0 adr=01 act=9E14C884078B09EB2D exp=9E14C884078B09EB2D + 3418.50ns INFO [00003420] Port=0 RD @00 + 3418.50ns INFO [00003420] Port=1 RD @02 + 3419.50ns INFO [00003421] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3419.50ns INFO [00003421] Port=0 WR @05=4C30C70C72B8CED7B0 + 3420.50ns INFO [00003422] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3420.50ns INFO [00003422] * RD COMPARE * port=1 adr=02 act=37C9FB4F17143684E2 exp=37C9FB4F17143684E2 + 3420.50ns INFO [00003422] Port=1 RD @03 + 3422.50ns INFO [00003424] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1 + 3422.50ns INFO [00003424] Port=0 RD @06 + 3423.50ns INFO [00003425] Port=1 RD @07 + 3424.50ns INFO [00003426] * RD COMPARE * port=0 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525 + 3424.50ns INFO [00003426] Port=1 RD @03 + 3425.50ns INFO [00003427] * RD COMPARE * port=1 adr=07 act=A661E195D0F2C3A429 exp=A661E195D0F2C3A429 + 3426.50ns INFO [00003428] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1 + 3426.50ns INFO [00003428] Port=0 WR @05=97E5B68B6118459F38 + 3426.50ns INFO [00003428] Port=0 RD @01 + 3426.50ns INFO [00003428] Port=1 RD @03 + 3427.50ns INFO [00003429] Port=0 WR @01=299972DEE3E820820C + 3428.50ns INFO [00003430] * RD COMPARE * port=0 adr=01 act=9E14C884078B09EB2D exp=9E14C884078B09EB2D + 3428.50ns INFO [00003430] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1 + 3429.50ns INFO [00003431] Port=0 RD @00 + 3429.50ns INFO [00003431] Port=1 RD @06 + 3430.50ns INFO [00003432] Port=0 WR @05=ADA510955F77C338FD + 3431.50ns INFO [00003433] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3431.50ns INFO [00003433] * RD COMPARE * port=1 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525 + 3431.50ns INFO [00003433] Port=0 RD @00 + 3432.50ns INFO [00003434] Port=0 WR @02=962F6AAE11F8F9492B + 3432.50ns INFO [00003434] Port=1 RD @01 + 3433.50ns INFO [00003435] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3433.50ns INFO [00003435] Port=0 RD @02 + 3433.50ns INFO [00003435] Port=1 RD @06 + 3434.50ns INFO [00003436] * RD COMPARE * port=1 adr=01 act=299972DEE3E820820C exp=299972DEE3E820820C + 3434.50ns INFO [00003436] Port=0 RD @01 + 3435.50ns INFO [00003437] * RD COMPARE * port=0 adr=02 act=962F6AAE11F8F9492B exp=962F6AAE11F8F9492B + 3435.50ns INFO [00003437] * RD COMPARE * port=1 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525 + 3436.50ns INFO [00003438] * RD COMPARE * port=0 adr=01 act=299972DEE3E820820C exp=299972DEE3E820820C + 3437.50ns INFO [00003439] Port=0 WR @07=197FC8D7C213AD0A44 + 3438.50ns INFO [00003440] Port=0 WR @06=EB4A8675DB7F95B8D1 + 3438.50ns INFO [00003440] Port=0 RD @03 + 3439.50ns INFO [00003441] Port=1 RD @06 + 3440.50ns INFO [00003442] * RD COMPARE * port=0 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1 + 3440.50ns INFO [00003442] Port=0 RD @04 + 3441.50ns INFO [00003443] * RD COMPARE * port=1 adr=06 act=EB4A8675DB7F95B8D1 exp=EB4A8675DB7F95B8D1 + 3441.50ns INFO [00003443] Port=1 RD @02 + 3442.50ns INFO [00003444] * RD COMPARE * port=0 adr=04 act=9CC88537D0A92055E8 exp=9CC88537D0A92055E8 + 3442.50ns INFO [00003444] Port=0 WR @04=327E2331FA26DE0C1C + 3442.50ns INFO [00003444] Port=1 RD @00 + 3443.50ns INFO [00003445] * RD COMPARE * port=1 adr=02 act=962F6AAE11F8F9492B exp=962F6AAE11F8F9492B + 3444.50ns INFO [00003446] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3444.50ns INFO [00003446] Port=0 WR @04=B6503823DDFDB571E6 + 3444.50ns INFO [00003446] Port=0 RD @00 + 3445.50ns INFO [00003447] Port=0 RD @05 + 3445.50ns INFO [00003447] Port=1 RD @07 + 3446.50ns INFO [00003448] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3446.50ns INFO [00003448] Port=0 WR @06=37B26E948816E569F8 + 3447.50ns INFO [00003449] * RD COMPARE * port=0 adr=05 act=ADA510955F77C338FD exp=ADA510955F77C338FD + 3447.50ns INFO [00003449] * RD COMPARE * port=1 adr=07 act=197FC8D7C213AD0A44 exp=197FC8D7C213AD0A44 + 3447.50ns INFO [00003449] Port=0 WR @02=507B40B437CF41553C + 3447.50ns INFO [00003449] Port=0 RD @03 + 3448.50ns INFO [00003450] Port=0 WR @07=CEF95169FB91439593 + 3449.50ns INFO [00003451] * RD COMPARE * port=0 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1 + 3450.50ns INFO [00003452] Port=0 WR @04=AB521F4BF29D4F7766 + 3450.50ns INFO [00003452] Port=1 RD @07 + 3451.50ns INFO [00003453] Port=0 WR @02=F1A21267ABF22A1D15 + 3451.50ns INFO [00003453] Port=0 RD @05 + 3451.50ns INFO [00003453] Port=1 RD @04 + 3452.50ns INFO [00003454] * RD COMPARE * port=1 adr=07 act=CEF95169FB91439593 exp=CEF95169FB91439593 + 3453.50ns INFO [00003455] * RD COMPARE * port=0 adr=05 act=ADA510955F77C338FD exp=ADA510955F77C338FD + 3453.50ns INFO [00003455] * RD COMPARE * port=1 adr=04 act=AB521F4BF29D4F7766 exp=AB521F4BF29D4F7766 + 3454.50ns INFO [00003456] Port=1 RD @02 + 3455.50ns INFO [00003457] Port=0 RD @02 + 3455.50ns INFO [00003457] Port=1 RD @06 + 3456.50ns INFO [00003458] * RD COMPARE * port=1 adr=02 act=F1A21267ABF22A1D15 exp=F1A21267ABF22A1D15 + 3457.50ns INFO [00003459] * RD COMPARE * port=0 adr=02 act=F1A21267ABF22A1D15 exp=F1A21267ABF22A1D15 + 3457.50ns INFO [00003459] * RD COMPARE * port=1 adr=06 act=37B26E948816E569F8 exp=37B26E948816E569F8 + 3457.50ns INFO [00003459] Port=0 WR @04=94F72486DFCF51D941 + 3458.50ns INFO [00003460] Port=0 RD @00 + 3458.50ns INFO [00003460] Port=1 RD @05 + 3459.50ns INFO [00003461] Port=0 WR @06=08A6A108446380A1B1 + 3460.50ns INFO [00003462] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3460.50ns INFO [00003462] * RD COMPARE * port=1 adr=05 act=ADA510955F77C338FD exp=ADA510955F77C338FD + 3460.50ns INFO [00003462] Port=1 RD @07 + 3462.50ns INFO [00003464] * RD COMPARE * port=1 adr=07 act=CEF95169FB91439593 exp=CEF95169FB91439593 + 3462.50ns INFO [00003464] Port=0 RD @00 + 3462.50ns INFO [00003464] Port=1 RD @00 + 3463.50ns INFO [00003465] Port=0 RD @06 + 3463.50ns INFO [00003465] Port=1 RD @00 + 3464.50ns INFO [00003466] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3464.50ns INFO [00003466] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3464.50ns INFO [00003466] Port=0 RD @03 + 3464.50ns INFO [00003466] Port=1 RD @06 + 3465.50ns INFO [00003467] * RD COMPARE * port=0 adr=06 act=08A6A108446380A1B1 exp=08A6A108446380A1B1 + 3465.50ns INFO [00003467] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3465.50ns INFO [00003467] Port=1 RD @06 + 3466.50ns INFO [00003468] * RD COMPARE * port=0 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1 + 3466.50ns INFO [00003468] * RD COMPARE * port=1 adr=06 act=08A6A108446380A1B1 exp=08A6A108446380A1B1 + 3466.50ns INFO [00003468] Port=0 WR @04=166EA1AC8725249089 + 3466.50ns INFO [00003468] Port=0 RD @00 + 3466.50ns INFO [00003468] Port=1 RD @03 + 3467.50ns INFO [00003469] * RD COMPARE * port=1 adr=06 act=08A6A108446380A1B1 exp=08A6A108446380A1B1 + 3467.50ns INFO [00003469] Port=0 RD @01 + 3468.50ns INFO [00003470] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3468.50ns INFO [00003470] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1 + 3469.50ns INFO [00003471] * RD COMPARE * port=0 adr=01 act=299972DEE3E820820C exp=299972DEE3E820820C + 3469.50ns INFO [00003471] Port=0 WR @03=ECD78E434B53DCEB5E + 3469.50ns INFO [00003471] Port=0 RD @06 + 3470.50ns INFO [00003472] Port=0 WR @06=912DA352213B21A73F + 3470.50ns INFO [00003472] Port=0 RD @04 + 3470.50ns INFO [00003472] Port=1 RD @07 + 3471.50ns INFO [00003473] * RD COMPARE * port=0 adr=06 act=08A6A108446380A1B1 exp=08A6A108446380A1B1 + 3472.50ns INFO [00003474] * RD COMPARE * port=0 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089 + 3472.50ns INFO [00003474] * RD COMPARE * port=1 adr=07 act=CEF95169FB91439593 exp=CEF95169FB91439593 + 3472.50ns INFO [00003474] Port=0 WR @01=E4346B12959E378419 + 3472.50ns INFO [00003474] Port=0 RD @06 + 3473.50ns INFO [00003475] Port=0 WR @05=9F428A0DC5466E0958 + 3473.50ns INFO [00003475] Port=1 RD @04 + 3474.50ns INFO [00003476] * RD COMPARE * port=0 adr=06 act=912DA352213B21A73F exp=912DA352213B21A73F + 3474.50ns INFO [00003476] Port=0 WR @05=B01292C61AD5D780A2 + 3475.50ns INFO [00003477] * RD COMPARE * port=1 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089 + 3476.50ns INFO [00003478] Port=0 RD @05 + 3477.50ns INFO [00003479] Port=0 WR @07=72387E79E1EFEE3FA0 + 3477.50ns INFO [00003479] Port=1 RD @06 + 3478.50ns INFO [00003480] * RD COMPARE * port=0 adr=05 act=B01292C61AD5D780A2 exp=B01292C61AD5D780A2 + 3478.50ns INFO [00003480] Port=0 WR @07=B34C28A7E914008E40 + 3478.50ns INFO [00003480] Port=1 RD @05 + 3479.50ns INFO [00003481] * RD COMPARE * port=1 adr=06 act=912DA352213B21A73F exp=912DA352213B21A73F + 3479.50ns INFO [00003481] Port=0 RD @04 + 3479.50ns INFO [00003481] Port=1 RD @04 + 3480.50ns INFO [00003482] * RD COMPARE * port=1 adr=05 act=B01292C61AD5D780A2 exp=B01292C61AD5D780A2 + 3480.50ns INFO [00003482] Port=0 WR @03=C7742F8FCDA2807143 + 3480.50ns INFO [00003482] Port=0 RD @06 + 3481.50ns INFO [00003483] * RD COMPARE * port=0 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089 + 3481.50ns INFO [00003483] * RD COMPARE * port=1 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089 + 3481.50ns INFO [00003483] Port=0 RD @00 + 3482.50ns INFO [00003484] * RD COMPARE * port=0 adr=06 act=912DA352213B21A73F exp=912DA352213B21A73F + 3482.50ns INFO [00003484] Port=0 RD @06 + 3483.50ns INFO [00003485] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3483.50ns INFO [00003485] Port=0 WR @07=6A78023619FF4A7059 + 3483.50ns INFO [00003485] Port=1 RD @05 + 3484.50ns INFO [00003486] * RD COMPARE * port=0 adr=06 act=912DA352213B21A73F exp=912DA352213B21A73F + 3484.50ns INFO [00003486] Port=0 WR @03=23DA06CA81832F47A1 + 3484.50ns INFO [00003486] Port=1 RD @04 + 3485.50ns INFO [00003487] * RD COMPARE * port=1 adr=05 act=B01292C61AD5D780A2 exp=B01292C61AD5D780A2 + 3486.50ns INFO [00003488] * RD COMPARE * port=1 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089 + 3486.50ns INFO [00003488] Port=0 WR @06=6BDEBB21FE625FC41D + 3486.50ns INFO [00003488] Port=0 RD @05 + 3486.50ns INFO [00003488] Port=1 RD @00 + 3487.50ns INFO [00003489] Port=0 RD @03 + 3488.50ns INFO [00003490] * RD COMPARE * port=0 adr=05 act=B01292C61AD5D780A2 exp=B01292C61AD5D780A2 + 3488.50ns INFO [00003490] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3488.50ns INFO [00003490] Port=0 WR @05=242F6D855AA95A0BB9 + 3489.50ns INFO [00003491] * RD COMPARE * port=0 adr=03 act=23DA06CA81832F47A1 exp=23DA06CA81832F47A1 + 3489.50ns INFO [00003491] Port=1 RD @05 + 3490.50ns INFO [00003492] Port=0 WR @03=60F80689D921C74F91 + 3491.50ns INFO [00003493] * RD COMPARE * port=1 adr=05 act=242F6D855AA95A0BB9 exp=242F6D855AA95A0BB9 + 3491.50ns INFO [00003493] Port=1 RD @05 + 3492.50ns INFO [00003494] Port=1 RD @00 + 3493.50ns INFO [00003495] * RD COMPARE * port=1 adr=05 act=242F6D855AA95A0BB9 exp=242F6D855AA95A0BB9 + 3493.50ns INFO [00003495] Port=0 RD @04 + 3494.50ns INFO [00003496] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3494.50ns INFO [00003496] Port=0 RD @01 + 3495.50ns INFO [00003497] * RD COMPARE * port=0 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089 + 3496.50ns INFO [00003498] * RD COMPARE * port=0 adr=01 act=E4346B12959E378419 exp=E4346B12959E378419 + 3496.50ns INFO [00003498] Port=0 WR @01=09692B2C3DBEE669EE + 3498.00ns INFO [00003500] [00003500] ...tick... + 3498.50ns INFO [00003500] Port=0 RD @01 + 3498.50ns INFO [00003500] Port=1 RD @00 + 3499.50ns INFO [00003501] Port=0 WR @07=1BBA1FD68DC50518CF + 3499.50ns INFO [00003501] Port=0 RD @02 + 3500.50ns INFO [00003502] * RD COMPARE * port=0 adr=01 act=09692B2C3DBEE669EE exp=09692B2C3DBEE669EE + 3500.50ns INFO [00003502] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3500.50ns INFO [00003502] Port=0 RD @02 + 3501.50ns INFO [00003503] * RD COMPARE * port=0 adr=02 act=F1A21267ABF22A1D15 exp=F1A21267ABF22A1D15 + 3501.50ns INFO [00003503] Port=0 WR @05=D12C098799F4631825 + 3501.50ns INFO [00003503] Port=0 RD @02 + 3501.50ns INFO [00003503] Port=1 RD @06 + 3502.50ns INFO [00003504] * RD COMPARE * port=0 adr=02 act=F1A21267ABF22A1D15 exp=F1A21267ABF22A1D15 + 3503.50ns INFO [00003505] * RD COMPARE * port=0 adr=02 act=F1A21267ABF22A1D15 exp=F1A21267ABF22A1D15 + 3503.50ns INFO [00003505] * RD COMPARE * port=1 adr=06 act=6BDEBB21FE625FC41D exp=6BDEBB21FE625FC41D + 3503.50ns INFO [00003505] Port=0 WR @04=4D9A635C8008C92824 + 3503.50ns INFO [00003505] Port=1 RD @03 + 3504.50ns INFO [00003506] Port=0 RD @06 + 3505.50ns INFO [00003507] * RD COMPARE * port=1 adr=03 act=60F80689D921C74F91 exp=60F80689D921C74F91 + 3505.50ns INFO [00003507] Port=0 WR @02=6538EBB6C16E0FB2B7 + 3506.50ns INFO [00003508] * RD COMPARE * port=0 adr=06 act=6BDEBB21FE625FC41D exp=6BDEBB21FE625FC41D + 3506.50ns INFO [00003508] Port=0 WR @03=9179530A2053E42E06 + 3506.50ns INFO [00003508] Port=1 RD @01 + 3507.50ns INFO [00003509] Port=0 RD @02 + 3508.50ns INFO [00003510] * RD COMPARE * port=1 adr=01 act=09692B2C3DBEE669EE exp=09692B2C3DBEE669EE + 3509.50ns INFO [00003511] * RD COMPARE * port=0 adr=02 act=6538EBB6C16E0FB2B7 exp=6538EBB6C16E0FB2B7 + 3509.50ns INFO [00003511] Port=0 RD @01 + 3511.50ns INFO [00003513] * RD COMPARE * port=0 adr=01 act=09692B2C3DBEE669EE exp=09692B2C3DBEE669EE + 3511.50ns INFO [00003513] Port=0 RD @07 + 3511.50ns INFO [00003513] Port=1 RD @07 + 3513.50ns INFO [00003515] * RD COMPARE * port=0 adr=07 act=1BBA1FD68DC50518CF exp=1BBA1FD68DC50518CF + 3513.50ns INFO [00003515] * RD COMPARE * port=1 adr=07 act=1BBA1FD68DC50518CF exp=1BBA1FD68DC50518CF + 3513.50ns INFO [00003515] Port=0 RD @03 + 3515.50ns INFO [00003517] * RD COMPARE * port=0 adr=03 act=9179530A2053E42E06 exp=9179530A2053E42E06 + 3515.50ns INFO [00003517] Port=0 RD @01 + 3516.50ns INFO [00003518] Port=0 WR @06=9E0C0574E849AC11D7 + 3516.50ns INFO [00003518] Port=1 RD @01 + 3517.50ns INFO [00003519] * RD COMPARE * port=0 adr=01 act=09692B2C3DBEE669EE exp=09692B2C3DBEE669EE + 3518.50ns INFO [00003520] * RD COMPARE * port=1 adr=01 act=09692B2C3DBEE669EE exp=09692B2C3DBEE669EE + 3518.50ns INFO [00003520] Port=1 RD @00 + 3520.50ns INFO [00003522] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3520.50ns INFO [00003522] Port=0 RD @00 + 3521.50ns INFO [00003523] Port=0 RD @07 + 3522.50ns INFO [00003524] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3522.50ns INFO [00003524] Port=0 WR @01=CA8CAC94DADB1AB48D + 3522.50ns INFO [00003524] Port=0 RD @00 + 3523.50ns INFO [00003525] * RD COMPARE * port=0 adr=07 act=1BBA1FD68DC50518CF exp=1BBA1FD68DC50518CF + 3523.50ns INFO [00003525] Port=0 RD @03 + 3524.50ns INFO [00003526] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3525.50ns INFO [00003527] * RD COMPARE * port=0 adr=03 act=9179530A2053E42E06 exp=9179530A2053E42E06 + 3525.50ns INFO [00003527] Port=1 RD @04 + 3526.50ns INFO [00003528] Port=0 WR @06=2A7E9DA75352E847EF + 3527.50ns INFO [00003529] * RD COMPARE * port=1 adr=04 act=4D9A635C8008C92824 exp=4D9A635C8008C92824 + 3527.50ns INFO [00003529] Port=0 WR @06=7E166838EF1A926B75 + 3527.50ns INFO [00003529] Port=0 RD @00 + 3527.50ns INFO [00003529] Port=1 RD @05 + 3528.50ns INFO [00003530] Port=0 WR @00=E2D1B5F5E190A76316 + 3529.50ns INFO [00003531] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD + 3529.50ns INFO [00003531] * RD COMPARE * port=1 adr=05 act=D12C098799F4631825 exp=D12C098799F4631825 + 3531.50ns INFO [00003533] Port=1 RD @04 + 3532.50ns INFO [00003534] Port=0 WR @07=F0588E44A743D8CFC4 + 3532.50ns INFO [00003534] Port=0 RD @04 + 3533.50ns INFO [00003535] * RD COMPARE * port=1 adr=04 act=4D9A635C8008C92824 exp=4D9A635C8008C92824 + 3533.50ns INFO [00003535] Port=0 WR @07=B862955FCA511A2D44 + 3533.50ns INFO [00003535] Port=0 RD @04 + 3534.50ns INFO [00003536] * RD COMPARE * port=0 adr=04 act=4D9A635C8008C92824 exp=4D9A635C8008C92824 + 3534.50ns INFO [00003536] Port=0 RD @04 + 3535.50ns INFO [00003537] * RD COMPARE * port=0 adr=04 act=4D9A635C8008C92824 exp=4D9A635C8008C92824 + 3536.50ns INFO [00003538] * RD COMPARE * port=0 adr=04 act=4D9A635C8008C92824 exp=4D9A635C8008C92824 + 3536.50ns INFO [00003538] Port=1 RD @02 + 3538.50ns INFO [00003540] * RD COMPARE * port=1 adr=02 act=6538EBB6C16E0FB2B7 exp=6538EBB6C16E0FB2B7 + 3538.50ns INFO [00003540] Port=0 WR @05=CB9B8D6C4325FFF338 + 3538.50ns INFO [00003540] Port=1 RD @00 + 3539.50ns INFO [00003541] Port=0 WR @07=B202A5F6978162778B + 3539.50ns INFO [00003541] Port=1 RD @01 + 3540.50ns INFO [00003542] * RD COMPARE * port=1 adr=00 act=E2D1B5F5E190A76316 exp=E2D1B5F5E190A76316 + 3540.50ns INFO [00003542] Port=0 WR @02=418B68F0BC3E7923BB + 3540.50ns INFO [00003542] Port=1 RD @07 + 3541.50ns INFO [00003543] * RD COMPARE * port=1 adr=01 act=CA8CAC94DADB1AB48D exp=CA8CAC94DADB1AB48D + 3542.50ns INFO [00003544] * RD COMPARE * port=1 adr=07 act=B202A5F6978162778B exp=B202A5F6978162778B + 3542.50ns INFO [00003544] Port=0 WR @03=1BC8B47FE2278A7B65 + 3542.50ns INFO [00003544] Port=0 RD @02 + 3543.50ns INFO [00003545] Port=1 RD @07 + 3544.50ns INFO [00003546] * RD COMPARE * port=0 adr=02 act=418B68F0BC3E7923BB exp=418B68F0BC3E7923BB + 3544.50ns INFO [00003546] Port=0 RD @03 + 3544.50ns INFO [00003546] Port=1 RD @01 + 3545.50ns INFO [00003547] * RD COMPARE * port=1 adr=07 act=B202A5F6978162778B exp=B202A5F6978162778B + 3546.50ns INFO [00003548] * RD COMPARE * port=0 adr=03 act=1BC8B47FE2278A7B65 exp=1BC8B47FE2278A7B65 + 3546.50ns INFO [00003548] * RD COMPARE * port=1 adr=01 act=CA8CAC94DADB1AB48D exp=CA8CAC94DADB1AB48D + 3546.50ns INFO [00003548] Port=0 WR @02=4F26165DE7C8C6A18F + 3546.50ns INFO [00003548] Port=1 RD @05 + 3547.50ns INFO [00003549] Port=1 RD @06 + 3548.50ns INFO [00003550] * RD COMPARE * port=1 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338 + 3548.50ns INFO [00003550] Port=0 WR @04=F0E697D987FBF31028 + 3548.50ns INFO [00003550] Port=1 RD @05 + 3549.50ns INFO [00003551] * RD COMPARE * port=1 adr=06 act=7E166838EF1A926B75 exp=7E166838EF1A926B75 + 3549.50ns INFO [00003551] Port=0 RD @00 + 3549.50ns INFO [00003551] Port=1 RD @02 + 3550.50ns INFO [00003552] * RD COMPARE * port=1 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338 + 3550.50ns INFO [00003552] Port=0 RD @05 + 3550.50ns INFO [00003552] Port=1 RD @00 + 3551.50ns INFO [00003553] * RD COMPARE * port=0 adr=00 act=E2D1B5F5E190A76316 exp=E2D1B5F5E190A76316 + 3551.50ns INFO [00003553] * RD COMPARE * port=1 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F + 3551.50ns INFO [00003553] Port=1 RD @06 + 3552.50ns INFO [00003554] * RD COMPARE * port=0 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338 + 3552.50ns INFO [00003554] * RD COMPARE * port=1 adr=00 act=E2D1B5F5E190A76316 exp=E2D1B5F5E190A76316 + 3552.50ns INFO [00003554] Port=0 WR @06=2EC51D3F2AC69C3EA6 + 3553.50ns INFO [00003555] * RD COMPARE * port=1 adr=06 act=7E166838EF1A926B75 exp=7E166838EF1A926B75 + 3553.50ns INFO [00003555] Port=1 RD @07 + 3554.50ns INFO [00003556] Port=0 RD @06 + 3554.50ns INFO [00003556] Port=1 RD @07 + 3555.50ns INFO [00003557] * RD COMPARE * port=1 adr=07 act=B202A5F6978162778B exp=B202A5F6978162778B + 3555.50ns INFO [00003557] Port=0 RD @06 + 3556.50ns INFO [00003558] * RD COMPARE * port=0 adr=06 act=2EC51D3F2AC69C3EA6 exp=2EC51D3F2AC69C3EA6 + 3556.50ns INFO [00003558] * RD COMPARE * port=1 adr=07 act=B202A5F6978162778B exp=B202A5F6978162778B + 3556.50ns INFO [00003558] Port=1 RD @01 + 3557.50ns INFO [00003559] * RD COMPARE * port=0 adr=06 act=2EC51D3F2AC69C3EA6 exp=2EC51D3F2AC69C3EA6 + 3557.50ns INFO [00003559] Port=0 RD @02 + 3558.50ns INFO [00003560] * RD COMPARE * port=1 adr=01 act=CA8CAC94DADB1AB48D exp=CA8CAC94DADB1AB48D + 3558.50ns INFO [00003560] Port=1 RD @00 + 3559.50ns INFO [00003561] * RD COMPARE * port=0 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F + 3560.50ns INFO [00003562] * RD COMPARE * port=1 adr=00 act=E2D1B5F5E190A76316 exp=E2D1B5F5E190A76316 + 3561.50ns INFO [00003563] Port=0 RD @06 + 3563.50ns INFO [00003565] * RD COMPARE * port=0 adr=06 act=2EC51D3F2AC69C3EA6 exp=2EC51D3F2AC69C3EA6 + 3563.50ns INFO [00003565] Port=0 WR @04=2417C9409BDB1D621B + 3563.50ns INFO [00003565] Port=1 RD @05 + 3565.50ns INFO [00003567] * RD COMPARE * port=1 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338 + 3566.50ns INFO [00003568] Port=0 RD @02 + 3567.50ns INFO [00003569] Port=0 WR @03=0B8BA91DCB8CB4BBF5 + 3568.50ns INFO [00003570] * RD COMPARE * port=0 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F + 3568.50ns INFO [00003570] Port=0 RD @05 + 3568.50ns INFO [00003570] Port=1 RD @02 + 3569.50ns INFO [00003571] Port=0 WR @06=29C7B4629539CF72A7 + 3569.50ns INFO [00003571] Port=1 RD @02 + 3570.50ns INFO [00003572] * RD COMPARE * port=0 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338 + 3570.50ns INFO [00003572] * RD COMPARE * port=1 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F + 3570.50ns INFO [00003572] Port=0 RD @05 + 3571.50ns INFO [00003573] * RD COMPARE * port=1 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F + 3571.50ns INFO [00003573] Port=1 RD @05 + 3572.50ns INFO [00003574] * RD COMPARE * port=0 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338 + 3572.50ns INFO [00003574] Port=1 RD @02 + 3573.50ns INFO [00003575] * RD COMPARE * port=1 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338 + 3573.50ns INFO [00003575] Port=0 WR @00=1CBC7C55B0F6C7FABF + 3573.50ns INFO [00003575] Port=1 RD @01 + 3574.50ns INFO [00003576] * RD COMPARE * port=1 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F + 3574.50ns INFO [00003576] Port=0 RD @04 + 3575.50ns INFO [00003577] * RD COMPARE * port=1 adr=01 act=CA8CAC94DADB1AB48D exp=CA8CAC94DADB1AB48D + 3576.50ns INFO [00003578] * RD COMPARE * port=0 adr=04 act=2417C9409BDB1D621B exp=2417C9409BDB1D621B + 3576.50ns INFO [00003578] Port=0 RD @03 + 3577.50ns INFO [00003579] Port=0 RD @05 + 3578.50ns INFO [00003580] * RD COMPARE * port=0 adr=03 act=0B8BA91DCB8CB4BBF5 exp=0B8BA91DCB8CB4BBF5 + 3579.50ns INFO [00003581] * RD COMPARE * port=0 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338 + 3579.50ns INFO [00003581] Port=0 RD @06 + 3579.50ns INFO [00003581] Port=1 RD @04 + 3581.50ns INFO [00003583] * RD COMPARE * port=0 adr=06 act=29C7B4629539CF72A7 exp=29C7B4629539CF72A7 + 3581.50ns INFO [00003583] * RD COMPARE * port=1 adr=04 act=2417C9409BDB1D621B exp=2417C9409BDB1D621B + 3582.50ns INFO [00003584] Port=0 WR @03=C1DC45E109111320EA + 3584.50ns INFO [00003586] Port=0 WR @04=B4741D1E54EA5DDE6A + 3585.50ns INFO [00003587] Port=0 WR @01=AB4BD14074FB376274 + 3586.50ns INFO [00003588] Port=0 WR @01=558E5FF020E065C080 + 3587.50ns INFO [00003589] Port=0 WR @00=CEA7D6627AA23152E9 + 3588.50ns INFO [00003590] Port=0 WR @01=1F9A82A41E464CFC86 + 3588.50ns INFO [00003590] Port=1 RD @04 + 3589.50ns INFO [00003591] Port=0 RD @03 + 3589.50ns INFO [00003591] Port=1 RD @01 + 3590.50ns INFO [00003592] * RD COMPARE * port=1 adr=04 act=B4741D1E54EA5DDE6A exp=B4741D1E54EA5DDE6A + 3591.50ns INFO [00003593] * RD COMPARE * port=0 adr=03 act=C1DC45E109111320EA exp=C1DC45E109111320EA + 3591.50ns INFO [00003593] * RD COMPARE * port=1 adr=01 act=1F9A82A41E464CFC86 exp=1F9A82A41E464CFC86 + 3591.50ns INFO [00003593] Port=1 RD @05 + 3592.50ns INFO [00003594] Port=0 WR @00=5DFDBBF49B29AE89EF + 3593.50ns INFO [00003595] * RD COMPARE * port=1 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338 + 3593.50ns INFO [00003595] Port=0 WR @01=3B88D9C3E241E24CE5 + 3594.50ns INFO [00003596] Port=0 RD @01 + 3595.50ns INFO [00003597] Port=0 WR @06=502077FFB85E03717E + 3596.50ns INFO [00003598] * RD COMPARE * port=0 adr=01 act=3B88D9C3E241E24CE5 exp=3B88D9C3E241E24CE5 + 3597.50ns INFO [00003599] Port=1 RD @02 + 3598.00ns INFO [00003600] [00003600] ...tick... + 3598.50ns INFO [00003600] Port=0 RD @04 + 3599.50ns INFO [00003601] * RD COMPARE * port=1 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F + 3599.50ns INFO [00003601] Port=0 WR @07=4F62F5E5AA3DE40B6E + 3600.50ns INFO [00003602] * RD COMPARE * port=0 adr=04 act=B4741D1E54EA5DDE6A exp=B4741D1E54EA5DDE6A + 3600.50ns INFO [00003602] Port=0 WR @03=F9E17E16296CEA432C + 3601.50ns INFO [00003603] Port=0 RD @04 + 3601.50ns INFO [00003603] Port=1 RD @01 + 3602.50ns INFO [00003604] Port=0 RD @03 + 3602.50ns INFO [00003604] Port=1 RD @06 + 3603.50ns INFO [00003605] * RD COMPARE * port=0 adr=04 act=B4741D1E54EA5DDE6A exp=B4741D1E54EA5DDE6A + 3603.50ns INFO [00003605] * RD COMPARE * port=1 adr=01 act=3B88D9C3E241E24CE5 exp=3B88D9C3E241E24CE5 + 3603.50ns INFO [00003605] Port=0 WR @03=26BE0794419A80BAA3 + 3604.50ns INFO [00003606] * RD COMPARE * port=0 adr=03 act=F9E17E16296CEA432C exp=F9E17E16296CEA432C + 3604.50ns INFO [00003606] * RD COMPARE * port=1 adr=06 act=502077FFB85E03717E exp=502077FFB85E03717E + 3604.50ns INFO [00003606] Port=0 WR @07=61A10E05C3457AC655 + 3605.50ns INFO [00003607] Port=0 WR @03=C15F6BB11C9DFB97F5 + 3606.50ns INFO [00003608] Port=0 WR @01=E80D8E973DC40FD8A7 + 3606.50ns INFO [00003608] Port=0 RD @07 + 3606.50ns INFO [00003608] Port=1 RD @00 + 3607.50ns INFO [00003609] Port=0 WR @05=1008FF250B10F11B9C + 3608.50ns INFO [00003610] * RD COMPARE * port=0 adr=07 act=61A10E05C3457AC655 exp=61A10E05C3457AC655 + 3608.50ns INFO [00003610] * RD COMPARE * port=1 adr=00 act=5DFDBBF49B29AE89EF exp=5DFDBBF49B29AE89EF + 3608.50ns INFO [00003610] Port=0 WR @02=3030F9A5186F5C1A05 + 3609.50ns INFO [00003611] Port=0 WR @06=9BEA3B09B161ABE0AE + 3610.50ns INFO [00003612] Port=1 RD @04 + 3612.50ns INFO [00003614] * RD COMPARE * port=1 adr=04 act=B4741D1E54EA5DDE6A exp=B4741D1E54EA5DDE6A + 3614.50ns INFO [00003616] Port=0 WR @06=ED0C7597D32C62E0BB + 3616.50ns INFO [00003618] Port=0 WR @02=A178E78152DA1A09A4 + 3616.50ns INFO [00003618] Port=0 RD @06 + 3616.50ns INFO [00003618] Port=1 RD @06 + 3617.50ns INFO [00003619] Port=1 RD @07 + 3618.50ns INFO [00003620] * RD COMPARE * port=0 adr=06 act=ED0C7597D32C62E0BB exp=ED0C7597D32C62E0BB + 3618.50ns INFO [00003620] * RD COMPARE * port=1 adr=06 act=ED0C7597D32C62E0BB exp=ED0C7597D32C62E0BB + 3619.50ns INFO [00003621] * RD COMPARE * port=1 adr=07 act=61A10E05C3457AC655 exp=61A10E05C3457AC655 + 3621.50ns INFO [00003623] Port=0 WR @00=B8CAEEBD5EE704E0A4 + 3623.50ns INFO [00003625] Port=0 WR @02=52F66956618743EE04 + 3623.50ns INFO [00003625] Port=0 RD @04 + 3623.50ns INFO [00003625] Port=1 RD @00 + 3624.50ns INFO [00003626] Port=1 RD @05 + 3625.50ns INFO [00003627] * RD COMPARE * port=0 adr=04 act=B4741D1E54EA5DDE6A exp=B4741D1E54EA5DDE6A + 3625.50ns INFO [00003627] * RD COMPARE * port=1 adr=00 act=B8CAEEBD5EE704E0A4 exp=B8CAEEBD5EE704E0A4 + 3625.50ns INFO [00003627] Port=0 WR @07=B7732853FFF0E736F8 + 3625.50ns INFO [00003627] Port=1 RD @01 + 3626.50ns INFO [00003628] * RD COMPARE * port=1 adr=05 act=1008FF250B10F11B9C exp=1008FF250B10F11B9C + 3626.50ns INFO [00003628] Port=0 WR @03=119C7A01CD44CE09CD + 3627.50ns INFO [00003629] * RD COMPARE * port=1 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7 + 3628.50ns INFO [00003630] Port=0 WR @03=04D6914F4557F370E5 + 3628.50ns INFO [00003630] Port=1 RD @07 + 3630.50ns INFO [00003632] * RD COMPARE * port=1 adr=07 act=B7732853FFF0E736F8 exp=B7732853FFF0E736F8 + 3632.50ns INFO [00003634] Port=1 RD @03 + 3633.50ns INFO [00003635] Port=0 WR @04=BD81A8A325FE6D1A35 + 3634.50ns INFO [00003636] * RD COMPARE * port=1 adr=03 act=04D6914F4557F370E5 exp=04D6914F4557F370E5 + 3634.50ns INFO [00003636] Port=0 WR @00=5A40B47F0157FD906F + 3634.50ns INFO [00003636] Port=1 RD @03 + 3635.50ns INFO [00003637] Port=0 WR @04=856E15E7BDBC75C100 + 3636.50ns INFO [00003638] * RD COMPARE * port=1 adr=03 act=04D6914F4557F370E5 exp=04D6914F4557F370E5 + 3636.50ns INFO [00003638] Port=0 WR @06=A2A8BF107E1B26B6EC + 3636.50ns INFO [00003638] Port=1 RD @02 + 3637.50ns INFO [00003639] Port=0 WR @00=44337111C2EC37CE3D + 3637.50ns INFO [00003639] Port=1 RD @06 + 3638.50ns INFO [00003640] * RD COMPARE * port=1 adr=02 act=52F66956618743EE04 exp=52F66956618743EE04 + 3638.50ns INFO [00003640] Port=0 WR @03=9299F403C6EDBC0E4D + 3639.50ns INFO [00003641] * RD COMPARE * port=1 adr=06 act=A2A8BF107E1B26B6EC exp=A2A8BF107E1B26B6EC + 3639.50ns INFO [00003641] Port=0 WR @07=F38EFAFEE6130AE2C4 + 3640.50ns INFO [00003642] Port=0 RD @07 + 3642.50ns INFO [00003644] * RD COMPARE * port=0 adr=07 act=F38EFAFEE6130AE2C4 exp=F38EFAFEE6130AE2C4 + 3645.50ns INFO [00003647] Port=0 WR @02=1ED4CE28881253F8E6 + 3645.50ns INFO [00003647] Port=0 RD @07 + 3646.50ns INFO [00003648] Port=0 RD @00 + 3647.50ns INFO [00003649] * RD COMPARE * port=0 adr=07 act=F38EFAFEE6130AE2C4 exp=F38EFAFEE6130AE2C4 + 3647.50ns INFO [00003649] Port=0 RD @06 + 3647.50ns INFO [00003649] Port=1 RD @07 + 3648.50ns INFO [00003650] * RD COMPARE * port=0 adr=00 act=44337111C2EC37CE3D exp=44337111C2EC37CE3D + 3648.50ns INFO [00003650] Port=0 WR @06=36C44B3F4CE586AE96 + 3648.50ns INFO [00003650] Port=0 RD @03 + 3648.50ns INFO [00003650] Port=1 RD @01 + 3649.50ns INFO [00003651] * RD COMPARE * port=0 adr=06 act=A2A8BF107E1B26B6EC exp=A2A8BF107E1B26B6EC + 3649.50ns INFO [00003651] * RD COMPARE * port=1 adr=07 act=F38EFAFEE6130AE2C4 exp=F38EFAFEE6130AE2C4 + 3649.50ns INFO [00003651] Port=0 RD @05 + 3650.50ns INFO [00003652] * RD COMPARE * port=0 adr=03 act=9299F403C6EDBC0E4D exp=9299F403C6EDBC0E4D + 3650.50ns INFO [00003652] * RD COMPARE * port=1 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7 + 3650.50ns INFO [00003652] Port=0 WR @05=3D9FA42F26BBE67456 + 3651.50ns INFO [00003653] * RD COMPARE * port=0 adr=05 act=1008FF250B10F11B9C exp=1008FF250B10F11B9C + 3652.50ns INFO [00003654] Port=0 WR @06=8DA155F478488C7FD4 + 3652.50ns INFO [00003654] Port=0 RD @03 + 3652.50ns INFO [00003654] Port=1 RD @05 + 3654.50ns INFO [00003656] * RD COMPARE * port=0 adr=03 act=9299F403C6EDBC0E4D exp=9299F403C6EDBC0E4D + 3654.50ns INFO [00003656] * RD COMPARE * port=1 adr=05 act=3D9FA42F26BBE67456 exp=3D9FA42F26BBE67456 + 3654.50ns INFO [00003656] Port=0 WR @07=3945A16CE5A7D0AE05 + 3656.50ns INFO [00003658] Port=0 WR @05=817E83EFD809E7E02A + 3657.50ns INFO [00003659] Port=0 WR @04=77D6E83EB3124F0106 + 3657.50ns INFO [00003659] Port=0 RD @07 + 3659.50ns INFO [00003661] * RD COMPARE * port=0 adr=07 act=3945A16CE5A7D0AE05 exp=3945A16CE5A7D0AE05 + 3659.50ns INFO [00003661] Port=0 RD @00 + 3660.50ns INFO [00003662] Port=1 RD @05 + 3661.50ns INFO [00003663] * RD COMPARE * port=0 adr=00 act=44337111C2EC37CE3D exp=44337111C2EC37CE3D + 3661.50ns INFO [00003663] Port=1 RD @01 + 3662.50ns INFO [00003664] * RD COMPARE * port=1 adr=05 act=817E83EFD809E7E02A exp=817E83EFD809E7E02A + 3663.50ns INFO [00003665] * RD COMPARE * port=1 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7 + 3663.50ns INFO [00003665] Port=0 RD @02 + 3663.50ns INFO [00003665] Port=1 RD @05 + 3664.50ns INFO [00003666] Port=0 WR @00=D7241EB4D4142D5805 + 3665.50ns INFO [00003667] * RD COMPARE * port=0 adr=02 act=1ED4CE28881253F8E6 exp=1ED4CE28881253F8E6 + 3665.50ns INFO [00003667] * RD COMPARE * port=1 adr=05 act=817E83EFD809E7E02A exp=817E83EFD809E7E02A + 3666.50ns INFO [00003668] Port=0 WR @07=BCA3ED380C1A9F7DE1 + 3668.50ns INFO [00003670] Port=0 RD @01 + 3668.50ns INFO [00003670] Port=1 RD @01 + 3669.50ns INFO [00003671] Port=1 RD @05 + 3670.50ns INFO [00003672] * RD COMPARE * port=0 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7 + 3670.50ns INFO [00003672] * RD COMPARE * port=1 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7 + 3670.50ns INFO [00003672] Port=0 RD @03 + 3671.50ns INFO [00003673] * RD COMPARE * port=1 adr=05 act=817E83EFD809E7E02A exp=817E83EFD809E7E02A + 3671.50ns INFO [00003673] Port=0 WR @03=01DB57C0B295CC1B1D + 3672.50ns INFO [00003674] * RD COMPARE * port=0 adr=03 act=9299F403C6EDBC0E4D exp=9299F403C6EDBC0E4D + 3672.50ns INFO [00003674] Port=0 RD @06 + 3673.50ns INFO [00003675] Port=1 RD @04 + 3674.50ns INFO [00003676] * RD COMPARE * port=0 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4 + 3674.50ns INFO [00003676] Port=0 WR @05=427593DDFD02423743 + 3674.50ns INFO [00003676] Port=0 RD @04 + 3675.50ns INFO [00003677] * RD COMPARE * port=1 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106 + 3676.50ns INFO [00003678] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106 + 3676.50ns INFO [00003678] Port=0 RD @06 + 3678.50ns INFO [00003680] * RD COMPARE * port=0 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4 + 3678.50ns INFO [00003680] Port=0 RD @04 + 3678.50ns INFO [00003680] Port=1 RD @02 + 3679.50ns INFO [00003681] Port=0 RD @03 + 3679.50ns INFO [00003681] Port=1 RD @02 + 3680.50ns INFO [00003682] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106 + 3680.50ns INFO [00003682] * RD COMPARE * port=1 adr=02 act=1ED4CE28881253F8E6 exp=1ED4CE28881253F8E6 + 3680.50ns INFO [00003682] Port=1 RD @02 + 3681.50ns INFO [00003683] * RD COMPARE * port=0 adr=03 act=01DB57C0B295CC1B1D exp=01DB57C0B295CC1B1D + 3681.50ns INFO [00003683] * RD COMPARE * port=1 adr=02 act=1ED4CE28881253F8E6 exp=1ED4CE28881253F8E6 + 3681.50ns INFO [00003683] Port=1 RD @06 + 3682.50ns INFO [00003684] * RD COMPARE * port=1 adr=02 act=1ED4CE28881253F8E6 exp=1ED4CE28881253F8E6 + 3682.50ns INFO [00003684] Port=1 RD @05 + 3683.50ns INFO [00003685] * RD COMPARE * port=1 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4 + 3684.50ns INFO [00003686] * RD COMPARE * port=1 adr=05 act=427593DDFD02423743 exp=427593DDFD02423743 + 3684.50ns INFO [00003686] Port=0 WR @07=A1C2C7016703941FFB + 3686.50ns INFO [00003688] Port=0 RD @04 + 3687.50ns INFO [00003689] Port=0 RD @07 + 3687.50ns INFO [00003689] Port=1 RD @01 + 3688.50ns INFO [00003690] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106 + 3689.50ns INFO [00003691] * RD COMPARE * port=0 adr=07 act=A1C2C7016703941FFB exp=A1C2C7016703941FFB + 3689.50ns INFO [00003691] * RD COMPARE * port=1 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7 + 3689.50ns INFO [00003691] Port=0 RD @05 + 3690.50ns INFO [00003692] Port=0 WR @01=6025F0E3EDBD0450C0 + 3690.50ns INFO [00003692] Port=0 RD @04 + 3691.50ns INFO [00003693] * RD COMPARE * port=0 adr=05 act=427593DDFD02423743 exp=427593DDFD02423743 + 3692.50ns INFO [00003694] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106 + 3694.50ns INFO [00003696] Port=0 RD @04 + 3696.50ns INFO [00003698] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106 + 3696.50ns INFO [00003698] Port=0 WR @07=14D8F818B2A7D2ED7F + 3698.00ns INFO [00003700] [00003700] ...tick... + 3699.50ns INFO [00003701] Port=0 WR @00=C10BE1AB431A170C12 + 3700.50ns INFO [00003702] Port=0 RD @06 + 3700.50ns INFO [00003702] Port=1 RD @07 + 3701.50ns INFO [00003703] Port=0 WR @01=57F55A8F8CC8C7D8E8 + 3701.50ns INFO [00003703] Port=1 RD @07 + 3702.50ns INFO [00003704] * RD COMPARE * port=0 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4 + 3702.50ns INFO [00003704] * RD COMPARE * port=1 adr=07 act=14D8F818B2A7D2ED7F exp=14D8F818B2A7D2ED7F + 3702.50ns INFO [00003704] Port=0 RD @07 + 3703.50ns INFO [00003705] * RD COMPARE * port=1 adr=07 act=14D8F818B2A7D2ED7F exp=14D8F818B2A7D2ED7F + 3703.50ns INFO [00003705] Port=0 RD @07 + 3703.50ns INFO [00003705] Port=1 RD @03 + 3704.50ns INFO [00003706] * RD COMPARE * port=0 adr=07 act=14D8F818B2A7D2ED7F exp=14D8F818B2A7D2ED7F + 3704.50ns INFO [00003706] Port=0 WR @03=C381AE78DC4E6F9C50 + 3704.50ns INFO [00003706] Port=0 RD @01 + 3704.50ns INFO [00003706] Port=1 RD @07 + 3705.50ns INFO [00003707] * RD COMPARE * port=0 adr=07 act=14D8F818B2A7D2ED7F exp=14D8F818B2A7D2ED7F + 3705.50ns INFO [00003707] * RD COMPARE * port=1 adr=03 act=01DB57C0B295CC1B1D exp=01DB57C0B295CC1B1D + 3705.50ns INFO [00003707] Port=0 WR @07=D9DCCF3E04B8AB3C08 + 3706.50ns INFO [00003708] * RD COMPARE * port=0 adr=01 act=57F55A8F8CC8C7D8E8 exp=57F55A8F8CC8C7D8E8 + 3706.50ns INFO [00003708] * RD COMPARE * port=1 adr=07 act=14D8F818B2A7D2ED7F exp=14D8F818B2A7D2ED7F + 3706.50ns INFO [00003708] Port=0 RD @06 + 3706.50ns INFO [00003708] Port=1 RD @03 + 3707.50ns INFO [00003709] Port=0 WR @05=1F1A90E53ACED41155 + 3707.50ns INFO [00003709] Port=0 RD @03 + 3707.50ns INFO [00003709] Port=1 RD @07 + 3708.50ns INFO [00003710] * RD COMPARE * port=0 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4 + 3708.50ns INFO [00003710] * RD COMPARE * port=1 adr=03 act=C381AE78DC4E6F9C50 exp=C381AE78DC4E6F9C50 + 3708.50ns INFO [00003710] Port=1 RD @04 + 3709.50ns INFO [00003711] * RD COMPARE * port=0 adr=03 act=C381AE78DC4E6F9C50 exp=C381AE78DC4E6F9C50 + 3709.50ns INFO [00003711] * RD COMPARE * port=1 adr=07 act=D9DCCF3E04B8AB3C08 exp=D9DCCF3E04B8AB3C08 + 3709.50ns INFO [00003711] Port=1 RD @00 + 3710.50ns INFO [00003712] * RD COMPARE * port=1 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106 + 3710.50ns INFO [00003712] Port=0 RD @05 + 3710.50ns INFO [00003712] Port=1 RD @05 + 3711.50ns INFO [00003713] * RD COMPARE * port=1 adr=00 act=C10BE1AB431A170C12 exp=C10BE1AB431A170C12 + 3712.50ns INFO [00003714] * RD COMPARE * port=0 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155 + 3712.50ns INFO [00003714] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155 + 3712.50ns INFO [00003714] Port=0 WR @00=EE3FDD2EFBC5080620 + 3713.50ns INFO [00003715] Port=0 RD @05 + 3713.50ns INFO [00003715] Port=1 RD @05 + 3714.50ns INFO [00003716] Port=1 RD @05 + 3715.50ns INFO [00003717] * RD COMPARE * port=0 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155 + 3715.50ns INFO [00003717] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155 + 3715.50ns INFO [00003717] Port=0 WR @07=EECB68486F321B4848 + 3715.50ns INFO [00003717] Port=0 RD @04 + 3716.50ns INFO [00003718] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155 + 3716.50ns INFO [00003718] Port=1 RD @06 + 3717.50ns INFO [00003719] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106 + 3718.50ns INFO [00003720] * RD COMPARE * port=1 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4 + 3718.50ns INFO [00003720] Port=0 RD @00 + 3719.50ns INFO [00003721] Port=0 RD @01 + 3720.50ns INFO [00003722] * RD COMPARE * port=0 adr=00 act=EE3FDD2EFBC5080620 exp=EE3FDD2EFBC5080620 + 3721.50ns INFO [00003723] * RD COMPARE * port=0 adr=01 act=57F55A8F8CC8C7D8E8 exp=57F55A8F8CC8C7D8E8 + 3721.50ns INFO [00003723] Port=0 WR @07=5BC8A4BDAD9B61A8C3 + 3721.50ns INFO [00003723] Port=0 RD @03 + 3721.50ns INFO [00003723] Port=1 RD @01 + 3722.50ns INFO [00003724] Port=1 RD @00 + 3723.50ns INFO [00003725] * RD COMPARE * port=0 adr=03 act=C381AE78DC4E6F9C50 exp=C381AE78DC4E6F9C50 + 3723.50ns INFO [00003725] * RD COMPARE * port=1 adr=01 act=57F55A8F8CC8C7D8E8 exp=57F55A8F8CC8C7D8E8 + 3723.50ns INFO [00003725] Port=0 WR @04=04A807029EA03FFDDD + 3724.50ns INFO [00003726] * RD COMPARE * port=1 adr=00 act=EE3FDD2EFBC5080620 exp=EE3FDD2EFBC5080620 + 3724.50ns INFO [00003726] Port=0 RD @07 + 3724.50ns INFO [00003726] Port=1 RD @02 + 3725.50ns INFO [00003727] Port=0 WR @06=269E1293EE959DCD62 + 3725.50ns INFO [00003727] Port=0 RD @03 + 3725.50ns INFO [00003727] Port=1 RD @05 + 3726.50ns INFO [00003728] * RD COMPARE * port=0 adr=07 act=5BC8A4BDAD9B61A8C3 exp=5BC8A4BDAD9B61A8C3 + 3726.50ns INFO [00003728] * RD COMPARE * port=1 adr=02 act=1ED4CE28881253F8E6 exp=1ED4CE28881253F8E6 + 3726.50ns INFO [00003728] Port=0 WR @03=8EC9B2EE281802FB24 + 3726.50ns INFO [00003728] Port=0 RD @00 + 3727.50ns INFO [00003729] * RD COMPARE * port=0 adr=03 act=C381AE78DC4E6F9C50 exp=C381AE78DC4E6F9C50 + 3727.50ns INFO [00003729] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155 + 3727.50ns INFO [00003729] Port=0 WR @02=B99B912C4029832718 + 3728.50ns INFO [00003730] * RD COMPARE * port=0 adr=00 act=EE3FDD2EFBC5080620 exp=EE3FDD2EFBC5080620 + 3728.50ns INFO [00003730] Port=0 WR @00=633FE9F4A388044555 + 3728.50ns INFO [00003730] Port=1 RD @05 + 3729.50ns INFO [00003731] Port=0 WR @02=2FDAD2977A75607FC2 + 3730.50ns INFO [00003732] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155 + 3730.50ns INFO [00003732] Port=0 RD @07 + 3731.50ns INFO [00003733] Port=1 RD @03 + 3732.50ns INFO [00003734] * RD COMPARE * port=0 adr=07 act=5BC8A4BDAD9B61A8C3 exp=5BC8A4BDAD9B61A8C3 + 3732.50ns INFO [00003734] Port=0 WR @06=98A441155D4D51642E + 3732.50ns INFO [00003734] Port=1 RD @00 + 3733.50ns INFO [00003735] * RD COMPARE * port=1 adr=03 act=8EC9B2EE281802FB24 exp=8EC9B2EE281802FB24 + 3733.50ns INFO [00003735] Port=0 RD @07 + 3734.50ns INFO [00003736] * RD COMPARE * port=1 adr=00 act=633FE9F4A388044555 exp=633FE9F4A388044555 + 3735.50ns INFO [00003737] * RD COMPARE * port=0 adr=07 act=5BC8A4BDAD9B61A8C3 exp=5BC8A4BDAD9B61A8C3 + 3735.50ns INFO [00003737] Port=0 WR @02=765D6EC4A04AA5C96A + 3736.50ns INFO [00003738] Port=0 WR @01=807BEEAF936B808590 + 3736.50ns INFO [00003738] Port=0 RD @00 + 3736.50ns INFO [00003738] Port=1 RD @04 + 3737.50ns INFO [00003739] Port=0 WR @01=06E8026AE6C0804522 + 3737.50ns INFO [00003739] Port=0 RD @04 + 3738.50ns INFO [00003740] * RD COMPARE * port=0 adr=00 act=633FE9F4A388044555 exp=633FE9F4A388044555 + 3738.50ns INFO [00003740] * RD COMPARE * port=1 adr=04 act=04A807029EA03FFDDD exp=04A807029EA03FFDDD + 3738.50ns INFO [00003740] Port=0 WR @06=E1695FBA4A7DEB22E9 + 3738.50ns INFO [00003740] Port=0 RD @01 + 3738.50ns INFO [00003740] Port=1 RD @05 + 3739.50ns INFO [00003741] * RD COMPARE * port=0 adr=04 act=04A807029EA03FFDDD exp=04A807029EA03FFDDD + 3739.50ns INFO [00003741] Port=0 RD @00 + 3740.50ns INFO [00003742] * RD COMPARE * port=0 adr=01 act=06E8026AE6C0804522 exp=06E8026AE6C0804522 + 3740.50ns INFO [00003742] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155 + 3740.50ns INFO [00003742] Port=0 WR @00=CA9E209E24CE4C9CA5 + 3741.50ns INFO [00003743] * RD COMPARE * port=0 adr=00 act=633FE9F4A388044555 exp=633FE9F4A388044555 + 3741.50ns INFO [00003743] Port=0 RD @03 + 3742.50ns INFO [00003744] Port=0 WR @02=732ACD27B2C693785A + 3743.50ns INFO [00003745] * RD COMPARE * port=0 adr=03 act=8EC9B2EE281802FB24 exp=8EC9B2EE281802FB24 + 3743.50ns INFO [00003745] Port=0 WR @05=8CF48E7F0468BDDFC3 + 3743.50ns INFO [00003745] Port=0 RD @04 + 3743.50ns INFO [00003745] Port=1 RD @03 + 3744.50ns INFO [00003746] Port=0 WR @07=5F95E930CBCF8562F7 + 3744.50ns INFO [00003746] Port=1 RD @01 + 3745.50ns INFO [00003747] * RD COMPARE * port=0 adr=04 act=04A807029EA03FFDDD exp=04A807029EA03FFDDD + 3745.50ns INFO [00003747] * RD COMPARE * port=1 adr=03 act=8EC9B2EE281802FB24 exp=8EC9B2EE281802FB24 + 3746.50ns INFO [00003748] * RD COMPARE * port=1 adr=01 act=06E8026AE6C0804522 exp=06E8026AE6C0804522 + 3746.50ns INFO [00003748] Port=0 WR @04=DA85E309260D0F3AEF + 3746.50ns INFO [00003748] Port=1 RD @01 + 3747.50ns INFO [00003749] Port=0 RD @04 + 3748.50ns INFO [00003750] * RD COMPARE * port=1 adr=01 act=06E8026AE6C0804522 exp=06E8026AE6C0804522 + 3748.50ns INFO [00003750] Port=0 WR @06=7AC217CEAB8113B99B + 3749.50ns INFO [00003751] * RD COMPARE * port=0 adr=04 act=DA85E309260D0F3AEF exp=DA85E309260D0F3AEF + 3749.50ns INFO [00003751] Port=0 WR @06=F4F415C539DEB34872 + 3749.50ns INFO [00003751] Port=1 RD @07 + 3750.50ns INFO [00003752] Port=0 WR @03=049A480C75C956C7D1 + 3751.50ns INFO [00003753] * RD COMPARE * port=1 adr=07 act=5F95E930CBCF8562F7 exp=5F95E930CBCF8562F7 + 3751.50ns INFO [00003753] Port=0 WR @01=EA0E98E5EE4C532B3B + 3752.50ns INFO [00003754] Port=0 RD @05 + 3754.50ns INFO [00003756] * RD COMPARE * port=0 adr=05 act=8CF48E7F0468BDDFC3 exp=8CF48E7F0468BDDFC3 + 3754.50ns INFO [00003756] Port=0 WR @07=C73F72760EC31682F8 + 3754.50ns INFO [00003756] Port=1 RD @06 + 3755.50ns INFO [00003757] Port=0 WR @04=11A88F01101A9CF96D + 3755.50ns INFO [00003757] Port=0 RD @05 + 3755.50ns INFO [00003757] Port=1 RD @01 + 3756.50ns INFO [00003758] * RD COMPARE * port=1 adr=06 act=F4F415C539DEB34872 exp=F4F415C539DEB34872 + 3757.50ns INFO [00003759] * RD COMPARE * port=0 adr=05 act=8CF48E7F0468BDDFC3 exp=8CF48E7F0468BDDFC3 + 3757.50ns INFO [00003759] * RD COMPARE * port=1 adr=01 act=EA0E98E5EE4C532B3B exp=EA0E98E5EE4C532B3B + 3757.50ns INFO [00003759] Port=0 WR @02=1397832FB2806FE310 + 3758.50ns INFO [00003760] Port=0 WR @03=DDAFB942F60EBBC582 + 3762.50ns INFO [00003764] Port=1 RD @03 + 3763.50ns INFO [00003765] Port=0 RD @02 + 3764.50ns INFO [00003766] * RD COMPARE * port=1 adr=03 act=DDAFB942F60EBBC582 exp=DDAFB942F60EBBC582 + 3765.50ns INFO [00003767] * RD COMPARE * port=0 adr=02 act=1397832FB2806FE310 exp=1397832FB2806FE310 + 3765.50ns INFO [00003767] Port=0 WR @04=807C5A47B21F2124E4 + 3765.50ns INFO [00003767] Port=0 RD @06 + 3766.50ns INFO [00003768] Port=0 RD @07 + 3767.50ns INFO [00003769] * RD COMPARE * port=0 adr=06 act=F4F415C539DEB34872 exp=F4F415C539DEB34872 + 3767.50ns INFO [00003769] Port=0 RD @02 + 3768.50ns INFO [00003770] * RD COMPARE * port=0 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8 + 3768.50ns INFO [00003770] Port=0 WR @03=40DFD36B9BA8ABBE7E + 3769.50ns INFO [00003771] * RD COMPARE * port=0 adr=02 act=1397832FB2806FE310 exp=1397832FB2806FE310 + 3771.50ns INFO [00003773] Port=0 RD @05 + 3772.50ns INFO [00003774] Port=0 RD @03 + 3772.50ns INFO [00003774] Port=1 RD @03 + 3773.50ns INFO [00003775] * RD COMPARE * port=0 adr=05 act=8CF48E7F0468BDDFC3 exp=8CF48E7F0468BDDFC3 + 3773.50ns INFO [00003775] Port=0 WR @02=D4812D15DB6ED76835 + 3774.50ns INFO [00003776] * RD COMPARE * port=0 adr=03 act=40DFD36B9BA8ABBE7E exp=40DFD36B9BA8ABBE7E + 3774.50ns INFO [00003776] * RD COMPARE * port=1 adr=03 act=40DFD36B9BA8ABBE7E exp=40DFD36B9BA8ABBE7E + 3774.50ns INFO [00003776] Port=1 RD @05 + 3775.50ns INFO [00003777] Port=0 RD @01 + 3775.50ns INFO [00003777] Port=1 RD @07 + 3776.50ns INFO [00003778] * RD COMPARE * port=1 adr=05 act=8CF48E7F0468BDDFC3 exp=8CF48E7F0468BDDFC3 + 3776.50ns INFO [00003778] Port=0 WR @01=316B6A4F0B135CF4A3 + 3777.50ns INFO [00003779] * RD COMPARE * port=0 adr=01 act=EA0E98E5EE4C532B3B exp=EA0E98E5EE4C532B3B + 3777.50ns INFO [00003779] * RD COMPARE * port=1 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8 + 3777.50ns INFO [00003779] Port=0 RD @04 + 3778.50ns INFO [00003780] Port=0 RD @02 + 3778.50ns INFO [00003780] Port=1 RD @04 + 3779.50ns INFO [00003781] * RD COMPARE * port=0 adr=04 act=807C5A47B21F2124E4 exp=807C5A47B21F2124E4 + 3779.50ns INFO [00003781] Port=0 WR @06=F830F6431BF547EEEE + 3779.50ns INFO [00003781] Port=1 RD @05 + 3780.50ns INFO [00003782] * RD COMPARE * port=0 adr=02 act=D4812D15DB6ED76835 exp=D4812D15DB6ED76835 + 3780.50ns INFO [00003782] * RD COMPARE * port=1 adr=04 act=807C5A47B21F2124E4 exp=807C5A47B21F2124E4 + 3780.50ns INFO [00003782] Port=0 RD @01 + 3781.50ns INFO [00003783] * RD COMPARE * port=1 adr=05 act=8CF48E7F0468BDDFC3 exp=8CF48E7F0468BDDFC3 + 3781.50ns INFO [00003783] Port=0 RD @06 + 3782.50ns INFO [00003784] * RD COMPARE * port=0 adr=01 act=316B6A4F0B135CF4A3 exp=316B6A4F0B135CF4A3 + 3782.50ns INFO [00003784] Port=0 RD @06 + 3783.50ns INFO [00003785] * RD COMPARE * port=0 adr=06 act=F830F6431BF547EEEE exp=F830F6431BF547EEEE + 3783.50ns INFO [00003785] Port=0 WR @05=ADC9ABB5EB34A99771 + 3784.50ns INFO [00003786] * RD COMPARE * port=0 adr=06 act=F830F6431BF547EEEE exp=F830F6431BF547EEEE + 3784.50ns INFO [00003786] Port=0 WR @03=C1260A951FBE56D775 + 3785.50ns INFO [00003787] Port=1 RD @06 + 3786.50ns INFO [00003788] Port=0 RD @07 + 3786.50ns INFO [00003788] Port=1 RD @02 + 3787.50ns INFO [00003789] * RD COMPARE * port=1 adr=06 act=F830F6431BF547EEEE exp=F830F6431BF547EEEE + 3787.50ns INFO [00003789] Port=0 WR @01=EF21005981CD9260C2 + 3787.50ns INFO [00003789] Port=0 RD @05 + 3788.50ns INFO [00003790] * RD COMPARE * port=0 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8 + 3788.50ns INFO [00003790] * RD COMPARE * port=1 adr=02 act=D4812D15DB6ED76835 exp=D4812D15DB6ED76835 + 3788.50ns INFO [00003790] Port=0 RD @07 + 3788.50ns INFO [00003790] Port=1 RD @05 + 3789.50ns INFO [00003791] * RD COMPARE * port=0 adr=05 act=ADC9ABB5EB34A99771 exp=ADC9ABB5EB34A99771 + 3789.50ns INFO [00003791] Port=0 WR @05=4394BA973A49A19273 + 3789.50ns INFO [00003791] Port=0 RD @04 + 3790.50ns INFO [00003792] * RD COMPARE * port=0 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8 + 3790.50ns INFO [00003792] * RD COMPARE * port=1 adr=05 act=ADC9ABB5EB34A99771 exp=ADC9ABB5EB34A99771 + 3790.50ns INFO [00003792] Port=0 WR @03=7AB5978C1C107890CD + 3790.50ns INFO [00003792] Port=0 RD @01 + 3791.50ns INFO [00003793] * RD COMPARE * port=0 adr=04 act=807C5A47B21F2124E4 exp=807C5A47B21F2124E4 + 3791.50ns INFO [00003793] Port=0 RD @04 + 3792.50ns INFO [00003794] * RD COMPARE * port=0 adr=01 act=EF21005981CD9260C2 exp=EF21005981CD9260C2 + 3793.50ns INFO [00003795] * RD COMPARE * port=0 adr=04 act=807C5A47B21F2124E4 exp=807C5A47B21F2124E4 + 3793.50ns INFO [00003795] Port=0 WR @01=D8ED9CD3EF6CCE5A71 + 3794.50ns INFO [00003796] Port=0 WR @00=5CA438E238D5AA5AEA + 3796.50ns INFO [00003798] Port=0 RD @07 + 3797.50ns INFO [00003799] Port=1 RD @03 + 3798.00ns INFO [00003800] [00003800] ...tick... + 3798.50ns INFO [00003800] * RD COMPARE * port=0 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8 + 3798.50ns INFO [00003800] Port=0 WR @04=F732390247187ED958 + 3798.50ns INFO [00003800] Port=0 RD @01 + 3798.50ns INFO [00003800] Port=1 RD @07 + 3799.50ns INFO [00003801] * RD COMPARE * port=1 adr=03 act=7AB5978C1C107890CD exp=7AB5978C1C107890CD + 3800.50ns INFO [00003802] * RD COMPARE * port=0 adr=01 act=D8ED9CD3EF6CCE5A71 exp=D8ED9CD3EF6CCE5A71 + 3800.50ns INFO [00003802] * RD COMPARE * port=1 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8 + 3800.50ns INFO [00003802] Port=0 WR @04=9FFA5B7370E019DF0F + 3801.50ns INFO [00003803] Port=0 WR @01=D07720EFE9F29E3D8A + 3803.50ns INFO [00003805] Port=0 RD @03 + 3803.50ns INFO [00003805] Port=1 RD @07 + 3805.50ns INFO [00003807] * RD COMPARE * port=0 adr=03 act=7AB5978C1C107890CD exp=7AB5978C1C107890CD + 3805.50ns INFO [00003807] * RD COMPARE * port=1 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8 + 3807.50ns INFO [00003809] Port=0 WR @06=E49B55A5AA0F470F42 + 3808.50ns INFO [00003810] Port=0 RD @05 + 3808.50ns INFO [00003810] Port=1 RD @01 + 3810.50ns INFO [00003812] * RD COMPARE * port=0 adr=05 act=4394BA973A49A19273 exp=4394BA973A49A19273 + 3810.50ns INFO [00003812] * RD COMPARE * port=1 adr=01 act=D07720EFE9F29E3D8A exp=D07720EFE9F29E3D8A + 3810.50ns INFO [00003812] Port=0 RD @03 + 3810.50ns INFO [00003812] Port=1 RD @04 + 3811.50ns INFO [00003813] Port=1 RD @03 + 3812.50ns INFO [00003814] * RD COMPARE * port=0 adr=03 act=7AB5978C1C107890CD exp=7AB5978C1C107890CD + 3812.50ns INFO [00003814] * RD COMPARE * port=1 adr=04 act=9FFA5B7370E019DF0F exp=9FFA5B7370E019DF0F + 3813.50ns INFO [00003815] * RD COMPARE * port=1 adr=03 act=7AB5978C1C107890CD exp=7AB5978C1C107890CD + 3814.50ns INFO [00003816] Port=0 WR @05=DD8EC3DCE9014841C7 + 3814.50ns INFO [00003816] Port=1 RD @02 + 3816.50ns INFO [00003818] * RD COMPARE * port=1 adr=02 act=D4812D15DB6ED76835 exp=D4812D15DB6ED76835 + 3816.50ns INFO [00003818] Port=0 WR @02=5E867E48FD661298B2 + 3816.50ns INFO [00003818] Port=1 RD @01 + 3817.50ns INFO [00003819] Port=0 WR @05=BCB24AB05431DE801F + 3818.50ns INFO [00003820] * RD COMPARE * port=1 adr=01 act=D07720EFE9F29E3D8A exp=D07720EFE9F29E3D8A + 3818.50ns INFO [00003820] Port=1 RD @04 + 3819.50ns INFO [00003821] Port=0 RD @04 + 3820.50ns INFO [00003822] * RD COMPARE * port=1 adr=04 act=9FFA5B7370E019DF0F exp=9FFA5B7370E019DF0F + 3820.50ns INFO [00003822] Port=0 WR @03=D35D278A9E382D13B4 + 3821.50ns INFO [00003823] * RD COMPARE * port=0 adr=04 act=9FFA5B7370E019DF0F exp=9FFA5B7370E019DF0F + 3821.50ns INFO [00003823] Port=0 RD @00 + 3822.50ns INFO [00003824] Port=0 WR @06=1D16AD1BA649C6931B + 3823.50ns INFO [00003825] * RD COMPARE * port=0 adr=00 act=5CA438E238D5AA5AEA exp=5CA438E238D5AA5AEA + 3823.50ns INFO [00003825] Port=1 RD @03 + 3824.50ns INFO [00003826] Port=1 RD @02 + 3825.50ns INFO [00003827] * RD COMPARE * port=1 adr=03 act=D35D278A9E382D13B4 exp=D35D278A9E382D13B4 + 3825.50ns INFO [00003827] Port=0 WR @01=0D50DB6778915F4A43 + 3825.50ns INFO [00003827] Port=0 RD @05 + 3826.50ns INFO [00003828] * RD COMPARE * port=1 adr=02 act=5E867E48FD661298B2 exp=5E867E48FD661298B2 + 3827.50ns INFO [00003829] * RD COMPARE * port=0 adr=05 act=BCB24AB05431DE801F exp=BCB24AB05431DE801F + 3827.50ns INFO [00003829] Port=1 RD @03 + 3828.50ns INFO [00003830] Port=0 RD @06 + 3829.50ns INFO [00003831] * RD COMPARE * port=1 adr=03 act=D35D278A9E382D13B4 exp=D35D278A9E382D13B4 + 3829.50ns INFO [00003831] Port=0 WR @03=666AE4F414A11498EF + 3830.50ns INFO [00003832] * RD COMPARE * port=0 adr=06 act=1D16AD1BA649C6931B exp=1D16AD1BA649C6931B + 3830.50ns INFO [00003832] Port=0 WR @05=CCB999345B3E1E0496 + 3830.50ns INFO [00003832] Port=0 RD @07 + 3832.50ns INFO [00003834] * RD COMPARE * port=0 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8 + 3832.50ns INFO [00003834] Port=0 WR @02=73FEFD17EDF81CC63A + 3836.50ns INFO [00003838] Port=0 WR @03=56BDEC4D9AF022CAF6 + 3837.50ns INFO [00003839] Port=0 WR @06=B94332CC923D6CA411 + 3837.50ns INFO [00003839] Port=1 RD @02 + 3838.50ns INFO [00003840] Port=0 RD @00 + 3838.50ns INFO [00003840] Port=1 RD @00 + 3839.50ns INFO [00003841] * RD COMPARE * port=1 adr=02 act=73FEFD17EDF81CC63A exp=73FEFD17EDF81CC63A + 3839.50ns INFO [00003841] Port=0 WR @04=624308D65804A1BFB4 + 3839.50ns INFO [00003841] Port=1 RD @06 + 3840.50ns INFO [00003842] * RD COMPARE * port=0 adr=00 act=5CA438E238D5AA5AEA exp=5CA438E238D5AA5AEA + 3840.50ns INFO [00003842] * RD COMPARE * port=1 adr=00 act=5CA438E238D5AA5AEA exp=5CA438E238D5AA5AEA + 3840.50ns INFO [00003842] Port=0 RD @02 + 3841.50ns INFO [00003843] * RD COMPARE * port=1 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411 + 3841.50ns INFO [00003843] Port=1 RD @03 + 3842.50ns INFO [00003844] * RD COMPARE * port=0 adr=02 act=73FEFD17EDF81CC63A exp=73FEFD17EDF81CC63A + 3842.50ns INFO [00003844] Port=0 WR @05=5D2BEE0CB69C079486 + 3842.50ns INFO [00003844] Port=0 RD @01 + 3842.50ns INFO [00003844] Port=1 RD @07 + 3843.50ns INFO [00003845] * RD COMPARE * port=1 adr=03 act=56BDEC4D9AF022CAF6 exp=56BDEC4D9AF022CAF6 + 3843.50ns INFO [00003845] Port=0 WR @07=08ECF70054223ED0E7 + 3843.50ns INFO [00003845] Port=1 RD @00 + 3844.50ns INFO [00003846] * RD COMPARE * port=0 adr=01 act=0D50DB6778915F4A43 exp=0D50DB6778915F4A43 + 3844.50ns INFO [00003846] * RD COMPARE * port=1 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8 + 3844.50ns INFO [00003846] Port=0 WR @05=63E734782D874494AD + 3845.50ns INFO [00003847] * RD COMPARE * port=1 adr=00 act=5CA438E238D5AA5AEA exp=5CA438E238D5AA5AEA + 3846.50ns INFO [00003848] Port=0 RD @01 + 3846.50ns INFO [00003848] Port=1 RD @01 + 3848.50ns INFO [00003850] * RD COMPARE * port=0 adr=01 act=0D50DB6778915F4A43 exp=0D50DB6778915F4A43 + 3848.50ns INFO [00003850] * RD COMPARE * port=1 adr=01 act=0D50DB6778915F4A43 exp=0D50DB6778915F4A43 + 3849.50ns INFO [00003851] Port=1 RD @03 + 3850.50ns INFO [00003852] Port=1 RD @07 + 3851.50ns INFO [00003853] * RD COMPARE * port=1 adr=03 act=56BDEC4D9AF022CAF6 exp=56BDEC4D9AF022CAF6 + 3851.50ns INFO [00003853] Port=0 WR @03=FB19D77A79999FA72A + 3851.50ns INFO [00003853] Port=1 RD @05 + 3852.50ns INFO [00003854] * RD COMPARE * port=1 adr=07 act=08ECF70054223ED0E7 exp=08ECF70054223ED0E7 + 3852.50ns INFO [00003854] Port=1 RD @04 + 3853.50ns INFO [00003855] * RD COMPARE * port=1 adr=05 act=63E734782D874494AD exp=63E734782D874494AD + 3853.50ns INFO [00003855] Port=0 RD @05 + 3854.50ns INFO [00003856] * RD COMPARE * port=1 adr=04 act=624308D65804A1BFB4 exp=624308D65804A1BFB4 + 3854.50ns INFO [00003856] Port=0 RD @02 + 3854.50ns INFO [00003856] Port=1 RD @04 + 3855.50ns INFO [00003857] * RD COMPARE * port=0 adr=05 act=63E734782D874494AD exp=63E734782D874494AD + 3855.50ns INFO [00003857] Port=1 RD @06 + 3856.50ns INFO [00003858] * RD COMPARE * port=0 adr=02 act=73FEFD17EDF81CC63A exp=73FEFD17EDF81CC63A + 3856.50ns INFO [00003858] * RD COMPARE * port=1 adr=04 act=624308D65804A1BFB4 exp=624308D65804A1BFB4 + 3857.50ns INFO [00003859] * RD COMPARE * port=1 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411 + 3857.50ns INFO [00003859] Port=1 RD @07 + 3858.50ns INFO [00003860] Port=0 WR @02=869253071817545DB8 + 3858.50ns INFO [00003860] Port=0 RD @06 + 3859.50ns INFO [00003861] * RD COMPARE * port=1 adr=07 act=08ECF70054223ED0E7 exp=08ECF70054223ED0E7 + 3860.50ns INFO [00003862] * RD COMPARE * port=0 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411 + 3861.50ns INFO [00003863] Port=0 WR @03=BDD333AAD56438F3C0 + 3862.50ns INFO [00003864] Port=0 WR @05=5A3AF039A655149F6F + 3863.50ns INFO [00003865] Port=0 WR @05=93DA3B8AF60993CB79 + 3863.50ns INFO [00003865] Port=1 RD @01 + 3865.50ns INFO [00003867] * RD COMPARE * port=1 adr=01 act=0D50DB6778915F4A43 exp=0D50DB6778915F4A43 + 3866.50ns INFO [00003868] Port=0 RD @03 + 3867.50ns INFO [00003869] Port=0 RD @02 + 3868.50ns INFO [00003870] * RD COMPARE * port=0 adr=03 act=BDD333AAD56438F3C0 exp=BDD333AAD56438F3C0 + 3868.50ns INFO [00003870] Port=0 WR @05=CB2D3C6269CEA03B47 + 3869.50ns INFO [00003871] * RD COMPARE * port=0 adr=02 act=869253071817545DB8 exp=869253071817545DB8 + 3869.50ns INFO [00003871] Port=0 RD @02 + 3871.50ns INFO [00003873] * RD COMPARE * port=0 adr=02 act=869253071817545DB8 exp=869253071817545DB8 + 3871.50ns INFO [00003873] Port=0 WR @05=84FE44C7A04F301723 + 3871.50ns INFO [00003873] Port=1 RD @06 + 3872.50ns INFO [00003874] Port=0 WR @03=499101D7A4E35A8623 + 3872.50ns INFO [00003874] Port=1 RD @07 + 3873.50ns INFO [00003875] * RD COMPARE * port=1 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411 + 3873.50ns INFO [00003875] Port=0 WR @00=75F51F02674AF80A62 + 3874.50ns INFO [00003876] * RD COMPARE * port=1 adr=07 act=08ECF70054223ED0E7 exp=08ECF70054223ED0E7 + 3875.50ns INFO [00003877] Port=0 WR @02=997959BA2C96144C40 + 3875.50ns INFO [00003877] Port=1 RD @06 + 3876.50ns INFO [00003878] Port=0 RD @05 + 3876.50ns INFO [00003878] Port=1 RD @02 + 3877.50ns INFO [00003879] * RD COMPARE * port=1 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411 + 3877.50ns INFO [00003879] Port=0 WR @02=032C7123DC93818DEB + 3877.50ns INFO [00003879] Port=0 RD @00 + 3878.50ns INFO [00003880] * RD COMPARE * port=0 adr=05 act=84FE44C7A04F301723 exp=84FE44C7A04F301723 + 3878.50ns INFO [00003880] * RD COMPARE * port=1 adr=02 act=997959BA2C96144C40 exp=997959BA2C96144C40 + 3878.50ns INFO [00003880] Port=0 RD @06 + 3878.50ns INFO [00003880] Port=1 RD @01 + 3879.50ns INFO [00003881] * RD COMPARE * port=0 adr=00 act=75F51F02674AF80A62 exp=75F51F02674AF80A62 + 3879.50ns INFO [00003881] Port=0 WR @01=76AC585DE24B8F0B37 + 3879.50ns INFO [00003881] Port=0 RD @00 + 3879.50ns INFO [00003881] Port=1 RD @03 + 3880.50ns INFO [00003882] * RD COMPARE * port=0 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411 + 3880.50ns INFO [00003882] * RD COMPARE * port=1 adr=01 act=0D50DB6778915F4A43 exp=0D50DB6778915F4A43 + 3880.50ns INFO [00003882] Port=1 RD @03 + 3881.50ns INFO [00003883] * RD COMPARE * port=0 adr=00 act=75F51F02674AF80A62 exp=75F51F02674AF80A62 + 3881.50ns INFO [00003883] * RD COMPARE * port=1 adr=03 act=499101D7A4E35A8623 exp=499101D7A4E35A8623 + 3882.50ns INFO [00003884] * RD COMPARE * port=1 adr=03 act=499101D7A4E35A8623 exp=499101D7A4E35A8623 + 3882.50ns INFO [00003884] Port=0 RD @07 + 3884.50ns INFO [00003886] * RD COMPARE * port=0 adr=07 act=08ECF70054223ED0E7 exp=08ECF70054223ED0E7 + 3884.50ns INFO [00003886] Port=0 WR @01=69FFB74C9BCD957E8D + 3884.50ns INFO [00003886] Port=0 RD @05 + 3886.50ns INFO [00003888] * RD COMPARE * port=0 adr=05 act=84FE44C7A04F301723 exp=84FE44C7A04F301723 + 3886.50ns INFO [00003888] Port=0 WR @01=9AFE18226D33D27EF3 + 3887.50ns INFO [00003889] Port=1 RD @02 + 3888.50ns INFO [00003890] Port=0 RD @02 + 3889.50ns INFO [00003891] * RD COMPARE * port=1 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB + 3889.50ns INFO [00003891] Port=0 RD @06 + 3890.50ns INFO [00003892] * RD COMPARE * port=0 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB + 3891.50ns INFO [00003893] * RD COMPARE * port=0 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411 + 3892.50ns INFO [00003894] Port=0 WR @01=BBF95A3A9392AA6314 + 3893.50ns INFO [00003895] Port=0 WR @07=3AF50D27DA921F0B72 + 3893.50ns INFO [00003895] Port=1 RD @06 + 3895.50ns INFO [00003897] * RD COMPARE * port=1 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411 + 3895.50ns INFO [00003897] Port=0 RD @00 + 3896.50ns INFO [00003898] Port=0 WR @00=52D607B26847BE60A3 + 3897.50ns INFO [00003899] * RD COMPARE * port=0 adr=00 act=75F51F02674AF80A62 exp=75F51F02674AF80A62 + 3898.00ns INFO [00003900] [00003900] ...tick... + 3898.50ns INFO [00003900] Port=1 RD @04 + 3899.50ns INFO [00003901] Port=0 RD @06 + 3900.50ns INFO [00003902] * RD COMPARE * port=1 adr=04 act=624308D65804A1BFB4 exp=624308D65804A1BFB4 + 3900.50ns INFO [00003902] Port=0 WR @07=1F38E59FE6AF6839E5 + 3900.50ns INFO [00003902] Port=0 RD @06 + 3900.50ns INFO [00003902] Port=1 RD @02 + 3901.50ns INFO [00003903] * RD COMPARE * port=0 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411 + 3901.50ns INFO [00003903] Port=0 WR @04=4841EF58FDC52502B0 + 3902.50ns INFO [00003904] * RD COMPARE * port=0 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411 + 3902.50ns INFO [00003904] * RD COMPARE * port=1 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB + 3902.50ns INFO [00003904] Port=0 WR @03=2082FD73FF7DF6A7B7 + 3903.50ns INFO [00003905] Port=0 RD @00 + 3904.50ns INFO [00003906] Port=0 WR @04=3CC5FCF2262A36BD58 + 3905.50ns INFO [00003907] * RD COMPARE * port=0 adr=00 act=52D607B26847BE60A3 exp=52D607B26847BE60A3 + 3905.50ns INFO [00003907] Port=0 WR @06=C568388376BA37AD29 + 3906.50ns INFO [00003908] Port=0 RD @05 + 3908.50ns INFO [00003910] * RD COMPARE * port=0 adr=05 act=84FE44C7A04F301723 exp=84FE44C7A04F301723 + 3908.50ns INFO [00003910] Port=0 RD @03 + 3908.50ns INFO [00003910] Port=1 RD @02 + 3909.50ns INFO [00003911] Port=0 WR @00=A3191E2FA7FA71D36B + 3909.50ns INFO [00003911] Port=1 RD @07 + 3910.50ns INFO [00003912] * RD COMPARE * port=0 adr=03 act=2082FD73FF7DF6A7B7 exp=2082FD73FF7DF6A7B7 + 3910.50ns INFO [00003912] * RD COMPARE * port=1 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB + 3911.50ns INFO [00003913] * RD COMPARE * port=1 adr=07 act=1F38E59FE6AF6839E5 exp=1F38E59FE6AF6839E5 + 3911.50ns INFO [00003913] Port=0 RD @02 + 3913.50ns INFO [00003915] * RD COMPARE * port=0 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB + 3913.50ns INFO [00003915] Port=0 WR @07=A5B85DA4161E795666 + 3913.50ns INFO [00003915] Port=1 RD @01 + 3914.50ns INFO [00003916] Port=0 WR @06=3C9B7DD8E2B77E0D29 + 3914.50ns INFO [00003916] Port=0 RD @01 + 3914.50ns INFO [00003916] Port=1 RD @04 + 3915.50ns INFO [00003917] * RD COMPARE * port=1 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314 + 3915.50ns INFO [00003917] Port=0 RD @07 + 3915.50ns INFO [00003917] Port=1 RD @03 + 3916.50ns INFO [00003918] * RD COMPARE * port=0 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314 + 3916.50ns INFO [00003918] * RD COMPARE * port=1 adr=04 act=3CC5FCF2262A36BD58 exp=3CC5FCF2262A36BD58 + 3917.50ns INFO [00003919] * RD COMPARE * port=0 adr=07 act=A5B85DA4161E795666 exp=A5B85DA4161E795666 + 3917.50ns INFO [00003919] * RD COMPARE * port=1 adr=03 act=2082FD73FF7DF6A7B7 exp=2082FD73FF7DF6A7B7 + 3917.50ns INFO [00003919] Port=0 RD @06 + 3917.50ns INFO [00003919] Port=1 RD @05 + 3918.50ns INFO [00003920] Port=0 WR @07=249A89F946261BD2B2 + 3919.50ns INFO [00003921] * RD COMPARE * port=0 adr=06 act=3C9B7DD8E2B77E0D29 exp=3C9B7DD8E2B77E0D29 + 3919.50ns INFO [00003921] * RD COMPARE * port=1 adr=05 act=84FE44C7A04F301723 exp=84FE44C7A04F301723 + 3919.50ns INFO [00003921] Port=0 WR @04=06D93A77B953C914FD + 3919.50ns INFO [00003921] Port=1 RD @05 + 3921.50ns INFO [00003923] * RD COMPARE * port=1 adr=05 act=84FE44C7A04F301723 exp=84FE44C7A04F301723 + 3921.50ns INFO [00003923] Port=0 WR @04=A29BA586F1BCB34BE2 + 3923.50ns INFO [00003925] Port=0 RD @03 + 3925.50ns INFO [00003927] * RD COMPARE * port=0 adr=03 act=2082FD73FF7DF6A7B7 exp=2082FD73FF7DF6A7B7 + 3925.50ns INFO [00003927] Port=0 RD @00 + 3926.50ns INFO [00003928] Port=0 RD @01 + 3926.50ns INFO [00003928] Port=1 RD @04 + 3927.50ns INFO [00003929] * RD COMPARE * port=0 adr=00 act=A3191E2FA7FA71D36B exp=A3191E2FA7FA71D36B + 3927.50ns INFO [00003929] Port=0 WR @04=31ED11427CAD659B5B + 3927.50ns INFO [00003929] Port=0 RD @06 + 3928.50ns INFO [00003930] * RD COMPARE * port=0 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314 + 3928.50ns INFO [00003930] * RD COMPARE * port=1 adr=04 act=A29BA586F1BCB34BE2 exp=A29BA586F1BCB34BE2 + 3928.50ns INFO [00003930] Port=0 WR @05=A21355ABB91FFEB27F + 3929.50ns INFO [00003931] * RD COMPARE * port=0 adr=06 act=3C9B7DD8E2B77E0D29 exp=3C9B7DD8E2B77E0D29 + 3929.50ns INFO [00003931] Port=1 RD @07 + 3930.50ns INFO [00003932] Port=0 RD @00 + 3930.50ns INFO [00003932] Port=1 RD @01 + 3931.50ns INFO [00003933] * RD COMPARE * port=1 adr=07 act=249A89F946261BD2B2 exp=249A89F946261BD2B2 + 3931.50ns INFO [00003933] Port=1 RD @02 + 3932.50ns INFO [00003934] * RD COMPARE * port=0 adr=00 act=A3191E2FA7FA71D36B exp=A3191E2FA7FA71D36B + 3932.50ns INFO [00003934] * RD COMPARE * port=1 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314 + 3932.50ns INFO [00003934] Port=0 WR @05=37DFEBA4D3F7C59D4C + 3932.50ns INFO [00003934] Port=0 RD @02 + 3933.50ns INFO [00003935] * RD COMPARE * port=1 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB + 3933.50ns INFO [00003935] Port=1 RD @00 + 3934.50ns INFO [00003936] * RD COMPARE * port=0 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB + 3934.50ns INFO [00003936] Port=0 WR @05=D0CDA62EB0AB278E12 + 3935.50ns INFO [00003937] * RD COMPARE * port=1 adr=00 act=A3191E2FA7FA71D36B exp=A3191E2FA7FA71D36B + 3935.50ns INFO [00003937] Port=0 WR @04=18474BBFEAD7E1D527 + 3935.50ns INFO [00003937] Port=0 RD @03 + 3936.50ns INFO [00003938] Port=0 WR @00=C1569A37EEC8722A96 + 3936.50ns INFO [00003938] Port=1 RD @07 + 3937.50ns INFO [00003939] * RD COMPARE * port=0 adr=03 act=2082FD73FF7DF6A7B7 exp=2082FD73FF7DF6A7B7 + 3938.50ns INFO [00003940] * RD COMPARE * port=1 adr=07 act=249A89F946261BD2B2 exp=249A89F946261BD2B2 + 3938.50ns INFO [00003940] Port=0 RD @00 + 3939.50ns INFO [00003941] Port=0 WR @07=DABF362F927993D878 + 3939.50ns INFO [00003941] Port=1 RD @06 + 3940.50ns INFO [00003942] * RD COMPARE * port=0 adr=00 act=C1569A37EEC8722A96 exp=C1569A37EEC8722A96 + 3940.50ns INFO [00003942] Port=1 RD @04 + 3941.50ns INFO [00003943] * RD COMPARE * port=1 adr=06 act=3C9B7DD8E2B77E0D29 exp=3C9B7DD8E2B77E0D29 + 3942.50ns INFO [00003944] * RD COMPARE * port=1 adr=04 act=18474BBFEAD7E1D527 exp=18474BBFEAD7E1D527 + 3942.50ns INFO [00003944] Port=0 RD @00 + 3943.50ns INFO [00003945] Port=0 WR @05=70971A0108779FE9E5 + 3944.50ns INFO [00003946] * RD COMPARE * port=0 adr=00 act=C1569A37EEC8722A96 exp=C1569A37EEC8722A96 + 3944.50ns INFO [00003946] Port=0 RD @02 + 3945.50ns INFO [00003947] Port=1 RD @01 + 3946.50ns INFO [00003948] * RD COMPARE * port=0 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB + 3946.50ns INFO [00003948] Port=0 WR @03=2ADCBCFDD7D3EBCA5E + 3947.50ns INFO [00003949] * RD COMPARE * port=1 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314 + 3947.50ns INFO [00003949] Port=0 WR @00=CB82D09B1B49E15668 + 3947.50ns INFO [00003949] Port=0 RD @03 + 3948.50ns INFO [00003950] Port=0 WR @05=267B9A95362D2028FD + 3949.50ns INFO [00003951] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E + 3949.50ns INFO [00003951] Port=0 WR @00=5415A41A85AC27352E + 3950.50ns INFO [00003952] Port=0 WR @00=99710AC2C96B14AAE5 + 3951.50ns INFO [00003953] Port=0 RD @04 + 3951.50ns INFO [00003953] Port=1 RD @00 + 3952.50ns INFO [00003954] Port=0 RD @01 + 3953.50ns INFO [00003955] * RD COMPARE * port=0 adr=04 act=18474BBFEAD7E1D527 exp=18474BBFEAD7E1D527 + 3953.50ns INFO [00003955] * RD COMPARE * port=1 adr=00 act=99710AC2C96B14AAE5 exp=99710AC2C96B14AAE5 + 3954.50ns INFO [00003956] * RD COMPARE * port=0 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314 + 3954.50ns INFO [00003956] Port=0 WR @06=9B9A537FC4ED9573D2 + 3954.50ns INFO [00003956] Port=0 RD @03 + 3955.50ns INFO [00003957] Port=0 WR @01=138FE3B4C9DDD2A5E7 + 3955.50ns INFO [00003957] Port=0 RD @06 + 3956.50ns INFO [00003958] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E + 3957.50ns INFO [00003959] * RD COMPARE * port=0 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2 + 3957.50ns INFO [00003959] Port=0 WR @04=F51B40AB6FE7DF4721 + 3957.50ns INFO [00003959] Port=0 RD @03 + 3957.50ns INFO [00003959] Port=1 RD @05 + 3959.50ns INFO [00003961] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E + 3959.50ns INFO [00003961] * RD COMPARE * port=1 adr=05 act=267B9A95362D2028FD exp=267B9A95362D2028FD + 3959.50ns INFO [00003961] Port=0 RD @07 + 3959.50ns INFO [00003961] Port=1 RD @02 + 3960.50ns INFO [00003962] Port=0 RD @07 + 3961.50ns INFO [00003963] * RD COMPARE * port=0 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878 + 3961.50ns INFO [00003963] * RD COMPARE * port=1 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB + 3961.50ns INFO [00003963] Port=0 RD @03 + 3962.50ns INFO [00003964] * RD COMPARE * port=0 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878 + 3962.50ns INFO [00003964] Port=0 WR @04=E0554543385B81C70B + 3962.50ns INFO [00003964] Port=0 RD @05 + 3963.50ns INFO [00003965] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E + 3963.50ns INFO [00003965] Port=0 WR @01=DF43F5C0E297E2D1E3 + 3964.50ns INFO [00003966] * RD COMPARE * port=0 adr=05 act=267B9A95362D2028FD exp=267B9A95362D2028FD + 3964.50ns INFO [00003966] Port=1 RD @07 + 3965.50ns INFO [00003967] Port=0 WR @04=4F1FFDB9A31BA3F4E2 + 3965.50ns INFO [00003967] Port=1 RD @06 + 3966.50ns INFO [00003968] * RD COMPARE * port=1 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878 + 3966.50ns INFO [00003968] Port=0 WR @04=429B936336FE590DDA + 3966.50ns INFO [00003968] Port=0 RD @03 + 3966.50ns INFO [00003968] Port=1 RD @07 + 3967.50ns INFO [00003969] * RD COMPARE * port=1 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2 + 3968.50ns INFO [00003970] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E + 3968.50ns INFO [00003970] * RD COMPARE * port=1 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878 + 3968.50ns INFO [00003970] Port=0 RD @00 + 3969.50ns INFO [00003971] Port=0 RD @03 + 3970.50ns INFO [00003972] * RD COMPARE * port=0 adr=00 act=99710AC2C96B14AAE5 exp=99710AC2C96B14AAE5 + 3971.50ns INFO [00003973] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E + 3971.50ns INFO [00003973] Port=1 RD @06 + 3972.50ns INFO [00003974] Port=0 RD @01 + 3973.50ns INFO [00003975] * RD COMPARE * port=1 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2 + 3973.50ns INFO [00003975] Port=0 RD @02 + 3974.50ns INFO [00003976] * RD COMPARE * port=0 adr=01 act=DF43F5C0E297E2D1E3 exp=DF43F5C0E297E2D1E3 + 3974.50ns INFO [00003976] Port=0 WR @00=C646C583DDAFD819E5 + 3975.50ns INFO [00003977] * RD COMPARE * port=0 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB + 3975.50ns INFO [00003977] Port=1 RD @05 + 3976.50ns INFO [00003978] Port=0 WR @03=DBEA87AD2DF632F6E4 + 3976.50ns INFO [00003978] Port=0 RD @04 + 3977.50ns INFO [00003979] * RD COMPARE * port=1 adr=05 act=267B9A95362D2028FD exp=267B9A95362D2028FD + 3977.50ns INFO [00003979] Port=1 RD @06 + 3978.50ns INFO [00003980] * RD COMPARE * port=0 adr=04 act=429B936336FE590DDA exp=429B936336FE590DDA + 3978.50ns INFO [00003980] Port=0 WR @01=134BEB21D73AFBB6C4 + 3978.50ns INFO [00003980] Port=0 RD @06 + 3979.50ns INFO [00003981] * RD COMPARE * port=1 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2 + 3980.50ns INFO [00003982] * RD COMPARE * port=0 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2 + 3981.50ns INFO [00003983] Port=0 WR @02=3D6E84BC0D61855249 + 3981.50ns INFO [00003983] Port=1 RD @07 + 3982.50ns INFO [00003984] Port=0 RD @00 + 3983.50ns INFO [00003985] * RD COMPARE * port=1 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878 + 3983.50ns INFO [00003985] Port=0 WR @05=50547D1DB558D3287F + 3983.50ns INFO [00003985] Port=0 RD @06 + 3983.50ns INFO [00003985] Port=1 RD @06 + 3984.50ns INFO [00003986] * RD COMPARE * port=0 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5 + 3984.50ns INFO [00003986] Port=0 RD @04 + 3984.50ns INFO [00003986] Port=1 RD @04 + 3985.50ns INFO [00003987] * RD COMPARE * port=0 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2 + 3985.50ns INFO [00003987] * RD COMPARE * port=1 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2 + 3985.50ns INFO [00003987] Port=0 WR @02=ED86084FFC398F66EB + 3985.50ns INFO [00003987] Port=0 RD @03 + 3986.50ns INFO [00003988] * RD COMPARE * port=0 adr=04 act=429B936336FE590DDA exp=429B936336FE590DDA + 3986.50ns INFO [00003988] * RD COMPARE * port=1 adr=04 act=429B936336FE590DDA exp=429B936336FE590DDA + 3986.50ns INFO [00003988] Port=0 RD @02 + 3987.50ns INFO [00003989] * RD COMPARE * port=0 adr=03 act=DBEA87AD2DF632F6E4 exp=DBEA87AD2DF632F6E4 + 3987.50ns INFO [00003989] Port=0 WR @04=CF645EBD3628C37590 + 3987.50ns INFO [00003989] Port=0 RD @02 + 3988.50ns INFO [00003990] * RD COMPARE * port=0 adr=02 act=ED86084FFC398F66EB exp=ED86084FFC398F66EB + 3988.50ns INFO [00003990] Port=0 WR @06=3EDFA5B6798D3CCF79 + 3988.50ns INFO [00003990] Port=0 RD @03 + 3989.50ns INFO [00003991] * RD COMPARE * port=0 adr=02 act=ED86084FFC398F66EB exp=ED86084FFC398F66EB + 3989.50ns INFO [00003991] Port=1 RD @02 + 3990.50ns INFO [00003992] * RD COMPARE * port=0 adr=03 act=DBEA87AD2DF632F6E4 exp=DBEA87AD2DF632F6E4 + 3990.50ns INFO [00003992] Port=0 WR @04=6930D56FA66AFBDCA6 + 3990.50ns INFO [00003992] Port=0 RD @01 + 3990.50ns INFO [00003992] Port=1 RD @07 + 3991.50ns INFO [00003993] * RD COMPARE * port=1 adr=02 act=ED86084FFC398F66EB exp=ED86084FFC398F66EB + 3991.50ns INFO [00003993] Port=0 WR @03=7B41E6BA8160817894 + 3991.50ns INFO [00003993] Port=0 RD @05 + 3991.50ns INFO [00003993] Port=1 RD @04 + 3992.50ns INFO [00003994] * RD COMPARE * port=0 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4 + 3992.50ns INFO [00003994] * RD COMPARE * port=1 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878 + 3992.50ns INFO [00003994] Port=0 RD @07 + 3993.50ns INFO [00003995] * RD COMPARE * port=0 adr=05 act=50547D1DB558D3287F exp=50547D1DB558D3287F + 3993.50ns INFO [00003995] * RD COMPARE * port=1 adr=04 act=6930D56FA66AFBDCA6 exp=6930D56FA66AFBDCA6 + 3994.50ns INFO [00003996] * RD COMPARE * port=0 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878 + 3995.50ns INFO [00003997] Port=1 RD @01 + 3997.50ns INFO [00003999] * RD COMPARE * port=1 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4 + 3997.50ns INFO [00003999] Port=0 WR @05=310954B08857E1A0FD + 3998.00ns INFO [00004000] [00004000] ...tick... + 3998.50ns INFO [00004000] Port=0 RD @06 + 3999.50ns INFO [00004001] Port=0 RD @00 + 3999.50ns INFO [00004001] Port=1 RD @00 + 4000.50ns INFO [00004002] * RD COMPARE * port=0 adr=06 act=3EDFA5B6798D3CCF79 exp=3EDFA5B6798D3CCF79 + 4000.50ns INFO [00004002] Port=1 RD @02 + 4001.50ns INFO [00004003] * RD COMPARE * port=0 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5 + 4001.50ns INFO [00004003] * RD COMPARE * port=1 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5 + 4001.50ns INFO [00004003] Port=0 WR @05=265C682F908696983C + 4001.50ns INFO [00004003] Port=0 RD @01 + 4002.50ns INFO [00004004] * RD COMPARE * port=1 adr=02 act=ED86084FFC398F66EB exp=ED86084FFC398F66EB + 4002.50ns INFO [00004004] Port=0 WR @05=3F763400FD3FD76B57 + 4003.50ns INFO [00004005] * RD COMPARE * port=0 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4 + 4003.50ns INFO [00004005] Port=1 RD @07 + 4004.50ns INFO [00004006] Port=0 RD @00 + 4004.50ns INFO [00004006] Port=1 RD @04 + 4005.50ns INFO [00004007] * RD COMPARE * port=1 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878 + 4005.50ns INFO [00004007] Port=0 WR @07=2926C02124704B5973 + 4005.50ns INFO [00004007] Port=1 RD @01 + 4006.50ns INFO [00004008] * RD COMPARE * port=0 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5 + 4006.50ns INFO [00004008] * RD COMPARE * port=1 adr=04 act=6930D56FA66AFBDCA6 exp=6930D56FA66AFBDCA6 + 4006.50ns INFO [00004008] Port=1 RD @04 + 4007.50ns INFO [00004009] * RD COMPARE * port=1 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4 + 4007.50ns INFO [00004009] Port=0 WR @03=96FB99E5138FAA507A + 4008.50ns INFO [00004010] * RD COMPARE * port=1 adr=04 act=6930D56FA66AFBDCA6 exp=6930D56FA66AFBDCA6 + 4008.50ns INFO [00004010] Port=0 WR @03=0832A58D5B8410F82D + 4009.50ns INFO [00004011] Port=0 WR @06=E3A84907AA7A389117 + 4009.50ns INFO [00004011] Port=1 RD @03 + 4011.50ns INFO [00004013] * RD COMPARE * port=1 adr=03 act=0832A58D5B8410F82D exp=0832A58D5B8410F82D + 4011.50ns INFO [00004013] Port=0 WR @07=DDC373A6AAF49BDE68 + 4011.50ns INFO [00004013] Port=1 RD @05 + 4012.50ns INFO [00004014] Port=0 RD @01 + 4013.50ns INFO [00004015] * RD COMPARE * port=1 adr=05 act=3F763400FD3FD76B57 exp=3F763400FD3FD76B57 + 4013.50ns INFO [00004015] Port=1 RD @05 + 4014.50ns INFO [00004016] * RD COMPARE * port=0 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4 + 4015.50ns INFO [00004017] * RD COMPARE * port=1 adr=05 act=3F763400FD3FD76B57 exp=3F763400FD3FD76B57 + 4015.50ns INFO [00004017] Port=0 RD @01 + 4016.50ns INFO [00004018] Port=1 RD @04 + 4017.50ns INFO [00004019] * RD COMPARE * port=0 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4 + 4017.50ns INFO [00004019] Port=0 RD @03 + 4017.50ns INFO [00004019] Port=1 RD @04 + 4018.50ns INFO [00004020] * RD COMPARE * port=1 adr=04 act=6930D56FA66AFBDCA6 exp=6930D56FA66AFBDCA6 + 4018.50ns INFO [00004020] Port=0 WR @03=3E91DED18E80891806 + 4018.50ns INFO [00004020] Port=0 RD @07 + 4018.50ns INFO [00004020] Port=1 RD @00 + 4019.50ns INFO [00004021] * RD COMPARE * port=0 adr=03 act=0832A58D5B8410F82D exp=0832A58D5B8410F82D + 4019.50ns INFO [00004021] * RD COMPARE * port=1 adr=04 act=6930D56FA66AFBDCA6 exp=6930D56FA66AFBDCA6 + 4019.50ns INFO [00004021] Port=0 WR @03=40ABAC8BC4176EA309 + 4019.50ns INFO [00004021] Port=0 RD @01 + 4019.50ns INFO [00004021] Port=1 RD @06 + 4020.50ns INFO [00004022] * RD COMPARE * port=0 adr=07 act=DDC373A6AAF49BDE68 exp=DDC373A6AAF49BDE68 + 4020.50ns INFO [00004022] * RD COMPARE * port=1 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5 + 4020.50ns INFO [00004022] Port=0 WR @07=50291BFDC24D1B2BAC + 4020.50ns INFO [00004022] Port=1 RD @05 + 4021.50ns INFO [00004023] * RD COMPARE * port=0 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4 + 4021.50ns INFO [00004023] * RD COMPARE * port=1 adr=06 act=E3A84907AA7A389117 exp=E3A84907AA7A389117 + 4021.50ns INFO [00004023] Port=1 RD @03 + 4022.50ns INFO [00004024] * RD COMPARE * port=1 adr=05 act=3F763400FD3FD76B57 exp=3F763400FD3FD76B57 + 4022.50ns INFO [00004024] Port=0 RD @03 + 4022.50ns INFO [00004024] Port=1 RD @06 + 4023.50ns INFO [00004025] * RD COMPARE * port=1 adr=03 act=40ABAC8BC4176EA309 exp=40ABAC8BC4176EA309 + 4023.50ns INFO [00004025] Port=0 WR @02=7BA902851B0948C990 + 4023.50ns INFO [00004025] Port=1 RD @05 + 4024.50ns INFO [00004026] * RD COMPARE * port=0 adr=03 act=40ABAC8BC4176EA309 exp=40ABAC8BC4176EA309 + 4024.50ns INFO [00004026] * RD COMPARE * port=1 adr=06 act=E3A84907AA7A389117 exp=E3A84907AA7A389117 + 4024.50ns INFO [00004026] Port=0 WR @05=699743F3635F714A28 + 4024.50ns INFO [00004026] Port=0 RD @07 + 4025.50ns INFO [00004027] * RD COMPARE * port=1 adr=05 act=3F763400FD3FD76B57 exp=3F763400FD3FD76B57 + 4026.50ns INFO [00004028] * RD COMPARE * port=0 adr=07 act=50291BFDC24D1B2BAC exp=50291BFDC24D1B2BAC + 4027.50ns INFO [00004029] Port=0 WR @05=85DA4DD3CDB375092C + 4027.50ns INFO [00004029] Port=1 RD @03 + 4028.50ns INFO [00004030] Port=0 WR @04=EA2DD9B4ABD88F9425 + 4028.50ns INFO [00004030] Port=1 RD @07 + 4029.50ns INFO [00004031] * RD COMPARE * port=1 adr=03 act=40ABAC8BC4176EA309 exp=40ABAC8BC4176EA309 + 4029.50ns INFO [00004031] Port=0 WR @05=397A8716CD5F31E8DA + 4030.50ns INFO [00004032] * RD COMPARE * port=1 adr=07 act=50291BFDC24D1B2BAC exp=50291BFDC24D1B2BAC + 4030.50ns INFO [00004032] Port=0 RD @04 + 4032.50ns INFO [00004034] * RD COMPARE * port=0 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425 + 4032.50ns INFO [00004034] Port=0 WR @03=2DD9309CD8D7DC80A7 + 4035.50ns INFO [00004037] Port=0 WR @01=AF3C50E0ADFCEA5B03 + 4035.50ns INFO [00004037] Port=1 RD @04 + 4036.50ns INFO [00004038] Port=0 WR @01=D1CA9B394024F5211F + 4036.50ns INFO [00004038] Port=1 RD @00 + 4037.50ns INFO [00004039] * RD COMPARE * port=1 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425 + 4038.50ns INFO [00004040] * RD COMPARE * port=1 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5 + 4038.50ns INFO [00004040] Port=0 RD @04 + 4038.50ns INFO [00004040] Port=1 RD @07 + 4039.50ns INFO [00004041] Port=0 WR @05=98749DB1C956E35EE0 + 4040.50ns INFO [00004042] * RD COMPARE * port=0 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425 + 4040.50ns INFO [00004042] * RD COMPARE * port=1 adr=07 act=50291BFDC24D1B2BAC exp=50291BFDC24D1B2BAC + 4040.50ns INFO [00004042] Port=0 RD @04 + 4040.50ns INFO [00004042] Port=1 RD @00 + 4042.50ns INFO [00004044] * RD COMPARE * port=0 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425 + 4042.50ns INFO [00004044] * RD COMPARE * port=1 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5 + 4042.50ns INFO [00004044] Port=1 RD @01 + 4043.50ns INFO [00004045] Port=1 RD @07 + 4044.50ns INFO [00004046] * RD COMPARE * port=1 adr=01 act=D1CA9B394024F5211F exp=D1CA9B394024F5211F + 4044.50ns INFO [00004046] Port=0 WR @02=515D17B5FBEF2B0677 + 4044.50ns INFO [00004046] Port=0 RD @06 + 4045.50ns INFO [00004047] * RD COMPARE * port=1 adr=07 act=50291BFDC24D1B2BAC exp=50291BFDC24D1B2BAC + 4046.50ns INFO [00004048] * RD COMPARE * port=0 adr=06 act=E3A84907AA7A389117 exp=E3A84907AA7A389117 + 4046.50ns INFO [00004048] Port=0 RD @05 + 4046.50ns INFO [00004048] Port=1 RD @02 + 4048.50ns INFO [00004050] * RD COMPARE * port=0 adr=05 act=98749DB1C956E35EE0 exp=98749DB1C956E35EE0 + 4048.50ns INFO [00004050] * RD COMPARE * port=1 adr=02 act=515D17B5FBEF2B0677 exp=515D17B5FBEF2B0677 + 4048.50ns INFO [00004050] Port=1 RD @06 + 4049.50ns INFO [00004051] Port=1 RD @05 + 4050.50ns INFO [00004052] * RD COMPARE * port=1 adr=06 act=E3A84907AA7A389117 exp=E3A84907AA7A389117 + 4051.50ns INFO [00004053] * RD COMPARE * port=1 adr=05 act=98749DB1C956E35EE0 exp=98749DB1C956E35EE0 + 4051.50ns INFO [00004053] Port=0 RD @00 + 4053.50ns INFO [00004055] * RD COMPARE * port=0 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5 + 4053.50ns INFO [00004055] Port=0 RD @03 + 4054.50ns INFO [00004056] Port=0 WR @00=BADA961CE14AACE717 + 4054.50ns INFO [00004056] Port=0 RD @07 + 4055.50ns INFO [00004057] * RD COMPARE * port=0 adr=03 act=2DD9309CD8D7DC80A7 exp=2DD9309CD8D7DC80A7 + 4056.50ns INFO [00004058] * RD COMPARE * port=0 adr=07 act=50291BFDC24D1B2BAC exp=50291BFDC24D1B2BAC + 4056.50ns INFO [00004058] Port=0 WR @07=C64DA0477DF44125E0 + 4059.50ns INFO [00004061] Port=0 RD @06 + 4060.50ns INFO [00004062] Port=0 RD @03 + 4060.50ns INFO [00004062] Port=1 RD @00 + 4061.50ns INFO [00004063] * RD COMPARE * port=0 adr=06 act=E3A84907AA7A389117 exp=E3A84907AA7A389117 + 4062.50ns INFO [00004064] * RD COMPARE * port=0 adr=03 act=2DD9309CD8D7DC80A7 exp=2DD9309CD8D7DC80A7 + 4062.50ns INFO [00004064] * RD COMPARE * port=1 adr=00 act=BADA961CE14AACE717 exp=BADA961CE14AACE717 + 4063.50ns INFO [00004065] Port=0 WR @06=46DF1A6ACAFE2CFD0C + 4064.50ns INFO [00004066] Port=0 WR @01=66464FDFB253BEC4C6 + 4064.50ns INFO [00004066] Port=1 RD @07 + 4066.50ns INFO [00004068] * RD COMPARE * port=1 adr=07 act=C64DA0477DF44125E0 exp=C64DA0477DF44125E0 + 4066.50ns INFO [00004068] Port=0 RD @04 + 4067.50ns INFO [00004069] Port=0 WR @01=F7B72ABA862BBBE33E + 4067.50ns INFO [00004069] Port=0 RD @04 + 4068.50ns INFO [00004070] * RD COMPARE * port=0 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425 + 4068.50ns INFO [00004070] Port=0 RD @00 + 4068.50ns INFO [00004070] Port=1 RD @07 + 4069.50ns INFO [00004071] * RD COMPARE * port=0 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425 + 4069.50ns INFO [00004071] Port=1 RD @06 + 4070.50ns INFO [00004072] * RD COMPARE * port=0 adr=00 act=BADA961CE14AACE717 exp=BADA961CE14AACE717 + 4070.50ns INFO [00004072] * RD COMPARE * port=1 adr=07 act=C64DA0477DF44125E0 exp=C64DA0477DF44125E0 + 4070.50ns INFO [00004072] Port=0 WR @04=71753D6C7D1FA0132A + 4070.50ns INFO [00004072] Port=0 RD @02 + 4070.50ns INFO [00004072] Port=1 RD @07 + 4071.50ns INFO [00004073] * RD COMPARE * port=1 adr=06 act=46DF1A6ACAFE2CFD0C exp=46DF1A6ACAFE2CFD0C + 4071.50ns INFO [00004073] Port=0 RD @04 + 4072.50ns INFO [00004074] * RD COMPARE * port=0 adr=02 act=515D17B5FBEF2B0677 exp=515D17B5FBEF2B0677 + 4072.50ns INFO [00004074] * RD COMPARE * port=1 adr=07 act=C64DA0477DF44125E0 exp=C64DA0477DF44125E0 + 4072.50ns INFO [00004074] Port=0 RD @04 + 4073.50ns INFO [00004075] * RD COMPARE * port=0 adr=04 act=71753D6C7D1FA0132A exp=71753D6C7D1FA0132A + 4074.50ns INFO [00004076] * RD COMPARE * port=0 adr=04 act=71753D6C7D1FA0132A exp=71753D6C7D1FA0132A + 4075.50ns INFO [00004077] Port=0 WR @00=B38DFD67DD254F619E + 4077.50ns INFO [00004079] Port=0 WR @06=FDDE3D177BEE58988B + 4078.50ns INFO [00004080] Port=0 WR @02=703258C4B576BCE7F2 + 4078.50ns INFO [00004080] Port=0 RD @00 + 4080.50ns INFO [00004082] * RD COMPARE * port=0 adr=00 act=B38DFD67DD254F619E exp=B38DFD67DD254F619E + 4080.50ns INFO [00004082] Port=0 RD @02 + 4081.50ns INFO [00004083] Port=1 RD @04 + 4082.50ns INFO [00004084] * RD COMPARE * port=0 adr=02 act=703258C4B576BCE7F2 exp=703258C4B576BCE7F2 + 4082.50ns INFO [00004084] Port=0 WR @07=E3E5CE11340D738273 + 4082.50ns INFO [00004084] Port=0 RD @00 + 4083.50ns INFO [00004085] * RD COMPARE * port=1 adr=04 act=71753D6C7D1FA0132A exp=71753D6C7D1FA0132A + 4083.50ns INFO [00004085] Port=0 WR @07=DC9B2A21BBC3AB582C + 4083.50ns INFO [00004085] Port=0 RD @00 + 4084.50ns INFO [00004086] * RD COMPARE * port=0 adr=00 act=B38DFD67DD254F619E exp=B38DFD67DD254F619E + 4084.50ns INFO [00004086] Port=0 RD @07 + 4084.50ns INFO [00004086] Port=1 RD @03 + 4085.50ns INFO [00004087] * RD COMPARE * port=0 adr=00 act=B38DFD67DD254F619E exp=B38DFD67DD254F619E + 4086.50ns INFO [00004088] * RD COMPARE * port=0 adr=07 act=DC9B2A21BBC3AB582C exp=DC9B2A21BBC3AB582C + 4086.50ns INFO [00004088] * RD COMPARE * port=1 adr=03 act=2DD9309CD8D7DC80A7 exp=2DD9309CD8D7DC80A7 + 4086.50ns INFO [00004088] Port=0 WR @07=8EDCDA0CEDEC55F115 + 4086.50ns INFO [00004088] Port=1 RD @02 + 4087.50ns INFO [00004089] Port=0 RD @07 + 4088.50ns INFO [00004090] * RD COMPARE * port=1 adr=02 act=703258C4B576BCE7F2 exp=703258C4B576BCE7F2 + 4088.50ns INFO [00004090] Port=0 WR @05=F36E4735A49B19A25A + 4089.50ns INFO [00004091] * RD COMPARE * port=0 adr=07 act=8EDCDA0CEDEC55F115 exp=8EDCDA0CEDEC55F115 + 4089.50ns INFO [00004091] Port=0 WR @00=EA72C4D0FAD1069634 + 4089.50ns INFO [00004091] Port=1 RD @04 + 4090.50ns INFO [00004092] Port=0 WR @02=44F30D30C66B3BEB56 + 4090.50ns INFO [00004092] Port=1 RD @06 + 4091.50ns INFO [00004093] * RD COMPARE * port=1 adr=04 act=71753D6C7D1FA0132A exp=71753D6C7D1FA0132A + 4091.50ns INFO [00004093] Port=1 RD @06 + 4092.50ns INFO [00004094] * RD COMPARE * port=1 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B + 4092.50ns INFO [00004094] Port=1 RD @07 + 4093.50ns INFO [00004095] * RD COMPARE * port=1 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B + 4093.50ns INFO [00004095] Port=0 RD @01 + 4094.50ns INFO [00004096] * RD COMPARE * port=1 adr=07 act=8EDCDA0CEDEC55F115 exp=8EDCDA0CEDEC55F115 + 4095.50ns INFO [00004097] * RD COMPARE * port=0 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E + 4096.50ns INFO [00004098] Port=0 RD @00 + 4096.50ns INFO [00004098] Port=1 RD @01 + 4098.00ns INFO [00004100] [00004100] ...tick... + 4098.50ns INFO [00004100] * RD COMPARE * port=0 adr=00 act=EA72C4D0FAD1069634 exp=EA72C4D0FAD1069634 + 4098.50ns INFO [00004100] * RD COMPARE * port=1 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E + 4098.50ns INFO [00004100] Port=0 WR @02=6ABA7D4D3795676D9B + 4099.50ns INFO [00004101] Port=0 WR @04=C8046BA8D134CC619A + 4099.50ns INFO [00004101] Port=0 RD @00 + 4100.50ns INFO [00004102] Port=0 RD @00 + 4101.50ns INFO [00004103] * RD COMPARE * port=0 adr=00 act=EA72C4D0FAD1069634 exp=EA72C4D0FAD1069634 + 4101.50ns INFO [00004103] Port=0 WR @05=568B56C173437399A2 + 4101.50ns INFO [00004103] Port=1 RD @07 + 4102.50ns INFO [00004104] * RD COMPARE * port=0 adr=00 act=EA72C4D0FAD1069634 exp=EA72C4D0FAD1069634 + 4103.50ns INFO [00004105] * RD COMPARE * port=1 adr=07 act=8EDCDA0CEDEC55F115 exp=8EDCDA0CEDEC55F115 + 4103.50ns INFO [00004105] Port=0 WR @00=5A294D2CE0DD566FC8 + 4103.50ns INFO [00004105] Port=0 RD @05 + 4104.50ns INFO [00004106] Port=0 WR @07=8BEDB7070CAE39C950 + 4104.50ns INFO [00004106] Port=0 RD @06 + 4105.50ns INFO [00004107] * RD COMPARE * port=0 adr=05 act=568B56C173437399A2 exp=568B56C173437399A2 + 4105.50ns INFO [00004107] Port=0 RD @01 + 4105.50ns INFO [00004107] Port=1 RD @01 + 4106.50ns INFO [00004108] * RD COMPARE * port=0 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B + 4106.50ns INFO [00004108] Port=0 RD @07 + 4107.50ns INFO [00004109] * RD COMPARE * port=0 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E + 4107.50ns INFO [00004109] * RD COMPARE * port=1 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E + 4107.50ns INFO [00004109] Port=1 RD @06 + 4108.50ns INFO [00004110] * RD COMPARE * port=0 adr=07 act=8BEDB7070CAE39C950 exp=8BEDB7070CAE39C950 + 4108.50ns INFO [00004110] Port=0 RD @00 + 4109.50ns INFO [00004111] * RD COMPARE * port=1 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B + 4109.50ns INFO [00004111] Port=0 RD @01 + 4110.50ns INFO [00004112] * RD COMPARE * port=0 adr=00 act=5A294D2CE0DD566FC8 exp=5A294D2CE0DD566FC8 + 4110.50ns INFO [00004112] Port=0 WR @05=E170645679093D628B + 4110.50ns INFO [00004112] Port=0 RD @03 + 4111.50ns INFO [00004113] * RD COMPARE * port=0 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E + 4112.50ns INFO [00004114] * RD COMPARE * port=0 adr=03 act=2DD9309CD8D7DC80A7 exp=2DD9309CD8D7DC80A7 + 4115.50ns INFO [00004117] Port=0 WR @02=E4E557B5316DDD17BB + 4116.50ns INFO [00004118] Port=0 RD @04 + 4117.50ns INFO [00004119] Port=0 WR @02=279928F13DC7028F9E + 4118.50ns INFO [00004120] * RD COMPARE * port=0 adr=04 act=C8046BA8D134CC619A exp=C8046BA8D134CC619A + 4118.50ns INFO [00004120] Port=0 WR @00=06CF29C8CD72FAED92 + 4118.50ns INFO [00004120] Port=1 RD @07 + 4119.50ns INFO [00004121] Port=0 WR @00=67EF6CAA1693890C2E + 4119.50ns INFO [00004121] Port=1 RD @06 + 4120.50ns INFO [00004122] * RD COMPARE * port=1 adr=07 act=8BEDB7070CAE39C950 exp=8BEDB7070CAE39C950 + 4120.50ns INFO [00004122] Port=0 RD @06 + 4121.50ns INFO [00004123] * RD COMPARE * port=1 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B + 4121.50ns INFO [00004123] Port=1 RD @01 + 4122.50ns INFO [00004124] * RD COMPARE * port=0 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B + 4122.50ns INFO [00004124] Port=0 RD @04 + 4123.50ns INFO [00004125] * RD COMPARE * port=1 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E + 4123.50ns INFO [00004125] Port=0 WR @07=5992D7CA939A48B938 + 4123.50ns INFO [00004125] Port=0 RD @04 + 4123.50ns INFO [00004125] Port=1 RD @03 + 4124.50ns INFO [00004126] * RD COMPARE * port=0 adr=04 act=C8046BA8D134CC619A exp=C8046BA8D134CC619A + 4125.50ns INFO [00004127] * RD COMPARE * port=0 adr=04 act=C8046BA8D134CC619A exp=C8046BA8D134CC619A + 4125.50ns INFO [00004127] * RD COMPARE * port=1 adr=03 act=2DD9309CD8D7DC80A7 exp=2DD9309CD8D7DC80A7 + 4125.50ns INFO [00004127] Port=0 RD @02 + 4125.50ns INFO [00004127] Port=1 RD @05 + 4126.50ns INFO [00004128] Port=0 WR @06=550E58DD51892ED55E + 4127.50ns INFO [00004129] * RD COMPARE * port=0 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E + 4127.50ns INFO [00004129] * RD COMPARE * port=1 adr=05 act=E170645679093D628B exp=E170645679093D628B + 4129.50ns INFO [00004131] Port=0 RD @05 + 4130.50ns INFO [00004132] Port=1 RD @01 + 4131.50ns INFO [00004133] * RD COMPARE * port=0 adr=05 act=E170645679093D628B exp=E170645679093D628B + 4131.50ns INFO [00004133] Port=0 WR @03=CFA86E0C82E96E1E32 + 4132.50ns INFO [00004134] * RD COMPARE * port=1 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E + 4132.50ns INFO [00004134] Port=1 RD @02 + 4134.50ns INFO [00004136] * RD COMPARE * port=1 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E + 4134.50ns INFO [00004136] Port=1 RD @03 + 4135.50ns INFO [00004137] Port=0 WR @05=D7C8CBC8529C75A03A + 4135.50ns INFO [00004137] Port=0 RD @00 + 4136.50ns INFO [00004138] * RD COMPARE * port=1 adr=03 act=CFA86E0C82E96E1E32 exp=CFA86E0C82E96E1E32 + 4136.50ns INFO [00004138] Port=0 WR @07=4FC0709E03B750EB3B + 4136.50ns INFO [00004138] Port=1 RD @06 + 4137.50ns INFO [00004139] * RD COMPARE * port=0 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E + 4138.50ns INFO [00004140] * RD COMPARE * port=1 adr=06 act=550E58DD51892ED55E exp=550E58DD51892ED55E + 4139.50ns INFO [00004141] Port=0 RD @02 + 4139.50ns INFO [00004141] Port=1 RD @00 + 4140.50ns INFO [00004142] Port=0 RD @00 + 4141.50ns INFO [00004143] * RD COMPARE * port=0 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E + 4141.50ns INFO [00004143] * RD COMPARE * port=1 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E + 4141.50ns INFO [00004143] Port=0 WR @01=9CE312F6A234BBC31E + 4141.50ns INFO [00004143] Port=0 RD @06 + 4142.50ns INFO [00004144] * RD COMPARE * port=0 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E + 4142.50ns INFO [00004144] Port=1 RD @00 + 4143.50ns INFO [00004145] * RD COMPARE * port=0 adr=06 act=550E58DD51892ED55E exp=550E58DD51892ED55E + 4143.50ns INFO [00004145] Port=1 RD @02 + 4144.50ns INFO [00004146] * RD COMPARE * port=1 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E + 4144.50ns INFO [00004146] Port=0 RD @02 + 4145.50ns INFO [00004147] * RD COMPARE * port=1 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E + 4145.50ns INFO [00004147] Port=0 WR @05=0006FAEFE5FFAE90D0 + 4145.50ns INFO [00004147] Port=1 RD @07 + 4146.50ns INFO [00004148] * RD COMPARE * port=0 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E + 4146.50ns INFO [00004148] Port=0 WR @01=4418E4652FB53689B5 + 4147.50ns INFO [00004149] * RD COMPARE * port=1 adr=07 act=4FC0709E03B750EB3B exp=4FC0709E03B750EB3B + 4147.50ns INFO [00004149] Port=0 RD @00 + 4147.50ns INFO [00004149] Port=1 RD @05 + 4148.50ns INFO [00004150] Port=0 WR @04=CDB7811F5D957D50BC + 4148.50ns INFO [00004150] Port=0 RD @05 + 4149.50ns INFO [00004151] * RD COMPARE * port=0 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E + 4149.50ns INFO [00004151] * RD COMPARE * port=1 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0 + 4149.50ns INFO [00004151] Port=1 RD @06 + 4150.50ns INFO [00004152] * RD COMPARE * port=0 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0 + 4151.50ns INFO [00004153] * RD COMPARE * port=1 adr=06 act=550E58DD51892ED55E exp=550E58DD51892ED55E + 4151.50ns INFO [00004153] Port=1 RD @07 + 4152.50ns INFO [00004154] Port=0 WR @01=327F0320EA6542D934 + 4152.50ns INFO [00004154] Port=0 RD @04 + 4153.50ns INFO [00004155] * RD COMPARE * port=1 adr=07 act=4FC0709E03B750EB3B exp=4FC0709E03B750EB3B + 4153.50ns INFO [00004155] Port=1 RD @04 + 4154.50ns INFO [00004156] * RD COMPARE * port=0 adr=04 act=CDB7811F5D957D50BC exp=CDB7811F5D957D50BC + 4155.50ns INFO [00004157] * RD COMPARE * port=1 adr=04 act=CDB7811F5D957D50BC exp=CDB7811F5D957D50BC + 4156.50ns INFO [00004158] Port=0 RD @05 + 4156.50ns INFO [00004158] Port=1 RD @00 + 4158.50ns INFO [00004160] * RD COMPARE * port=0 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0 + 4158.50ns INFO [00004160] * RD COMPARE * port=1 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E + 4158.50ns INFO [00004160] Port=0 WR @04=FFF0B042D8E6EB0E48 + 4158.50ns INFO [00004160] Port=1 RD @01 + 4160.50ns INFO [00004162] * RD COMPARE * port=1 adr=01 act=327F0320EA6542D934 exp=327F0320EA6542D934 + 4160.50ns INFO [00004162] Port=0 RD @00 + 4160.50ns INFO [00004162] Port=1 RD @04 + 4161.50ns INFO [00004163] Port=1 RD @02 + 4162.50ns INFO [00004164] * RD COMPARE * port=0 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E + 4162.50ns INFO [00004164] * RD COMPARE * port=1 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48 + 4162.50ns INFO [00004164] Port=1 RD @04 + 4163.50ns INFO [00004165] * RD COMPARE * port=1 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E + 4163.50ns INFO [00004165] Port=0 WR @06=15BE95517375AEB942 + 4164.50ns INFO [00004166] * RD COMPARE * port=1 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48 + 4164.50ns INFO [00004166] Port=1 RD @00 + 4166.50ns INFO [00004168] * RD COMPARE * port=1 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E + 4166.50ns INFO [00004168] Port=0 WR @03=1E3D32CA170B737741 + 4166.50ns INFO [00004168] Port=0 RD @01 + 4167.50ns INFO [00004169] Port=1 RD @03 + 4168.50ns INFO [00004170] * RD COMPARE * port=0 adr=01 act=327F0320EA6542D934 exp=327F0320EA6542D934 + 4168.50ns INFO [00004170] Port=0 RD @07 + 4169.50ns INFO [00004171] * RD COMPARE * port=1 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741 + 4169.50ns INFO [00004171] Port=0 RD @03 + 4169.50ns INFO [00004171] Port=1 RD @04 + 4170.50ns INFO [00004172] * RD COMPARE * port=0 adr=07 act=4FC0709E03B750EB3B exp=4FC0709E03B750EB3B + 4170.50ns INFO [00004172] Port=0 RD @04 + 4170.50ns INFO [00004172] Port=1 RD @01 + 4171.50ns INFO [00004173] * RD COMPARE * port=0 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741 + 4171.50ns INFO [00004173] * RD COMPARE * port=1 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48 + 4171.50ns INFO [00004173] Port=0 RD @02 + 4172.50ns INFO [00004174] * RD COMPARE * port=0 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48 + 4172.50ns INFO [00004174] * RD COMPARE * port=1 adr=01 act=327F0320EA6542D934 exp=327F0320EA6542D934 + 4172.50ns INFO [00004174] Port=1 RD @04 + 4173.50ns INFO [00004175] * RD COMPARE * port=0 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E + 4174.50ns INFO [00004176] * RD COMPARE * port=1 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48 + 4174.50ns INFO [00004176] Port=0 WR @01=3D833733F5C4CD3911 + 4174.50ns INFO [00004176] Port=1 RD @05 + 4175.50ns INFO [00004177] Port=0 RD @03 + 4175.50ns INFO [00004177] Port=1 RD @05 + 4176.50ns INFO [00004178] * RD COMPARE * port=1 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0 + 4176.50ns INFO [00004178] Port=0 RD @05 + 4176.50ns INFO [00004178] Port=1 RD @03 + 4177.50ns INFO [00004179] * RD COMPARE * port=0 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741 + 4177.50ns INFO [00004179] * RD COMPARE * port=1 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0 + 4177.50ns INFO [00004179] Port=1 RD @07 + 4178.50ns INFO [00004180] * RD COMPARE * port=0 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0 + 4178.50ns INFO [00004180] * RD COMPARE * port=1 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741 + 4178.50ns INFO [00004180] Port=0 WR @06=68674842FF206127A7 + 4179.50ns INFO [00004181] * RD COMPARE * port=1 adr=07 act=4FC0709E03B750EB3B exp=4FC0709E03B750EB3B + 4179.50ns INFO [00004181] Port=1 RD @02 + 4180.50ns INFO [00004182] Port=0 WR @00=343ED1483D392C99B9 + 4181.50ns INFO [00004183] * RD COMPARE * port=1 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E + 4181.50ns INFO [00004183] Port=0 RD @06 + 4183.50ns INFO [00004185] * RD COMPARE * port=0 adr=06 act=68674842FF206127A7 exp=68674842FF206127A7 + 4183.50ns INFO [00004185] Port=1 RD @02 + 4185.50ns INFO [00004187] * RD COMPARE * port=1 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E + 4185.50ns INFO [00004187] Port=0 WR @02=FC803B2A0E071EC378 + 4185.50ns INFO [00004187] Port=0 RD @07 + 4186.50ns INFO [00004188] Port=0 WR @05=24E23C1020EDF8F958 + 4186.50ns INFO [00004188] Port=0 RD @03 + 4187.50ns INFO [00004189] * RD COMPARE * port=0 adr=07 act=4FC0709E03B750EB3B exp=4FC0709E03B750EB3B + 4187.50ns INFO [00004189] Port=1 RD @03 + 4188.50ns INFO [00004190] * RD COMPARE * port=0 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741 + 4189.50ns INFO [00004191] * RD COMPARE * port=1 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741 + 4190.50ns INFO [00004192] Port=1 RD @05 + 4192.50ns INFO [00004194] * RD COMPARE * port=1 adr=05 act=24E23C1020EDF8F958 exp=24E23C1020EDF8F958 + 4192.50ns INFO [00004194] Port=0 RD @02 + 4193.50ns INFO [00004195] Port=0 RD @05 + 4194.50ns INFO [00004196] * RD COMPARE * port=0 adr=02 act=FC803B2A0E071EC378 exp=FC803B2A0E071EC378 + 4194.50ns INFO [00004196] Port=0 WR @02=3868B4264FCB6214D1 + 4194.50ns INFO [00004196] Port=0 RD @05 + 4195.50ns INFO [00004197] * RD COMPARE * port=0 adr=05 act=24E23C1020EDF8F958 exp=24E23C1020EDF8F958 + 4196.50ns INFO [00004198] * RD COMPARE * port=0 adr=05 act=24E23C1020EDF8F958 exp=24E23C1020EDF8F958 + 4196.50ns INFO [00004198] Port=0 WR @02=02F625A6C4F396BF37 + 4197.50ns INFO [00004199] Port=0 WR @01=2FC529A6FBCFC0E50E + 4197.50ns INFO [00004199] Port=1 RD @06 + 4198.00ns INFO [00004200] [00004200] ...tick... + 4198.50ns INFO [00004200] Port=1 RD @06 + 4199.50ns INFO [00004201] * RD COMPARE * port=1 adr=06 act=68674842FF206127A7 exp=68674842FF206127A7 + 4199.50ns INFO [00004201] Port=0 WR @05=CF7B013FB5DFA48C73 + 4200.50ns INFO [00004202] * RD COMPARE * port=1 adr=06 act=68674842FF206127A7 exp=68674842FF206127A7 + 4201.50ns INFO [00004203] Port=0 RD @00 + 4201.50ns INFO [00004203] Port=1 RD @05 + 4202.50ns INFO [00004204] Port=0 WR @02=1A7D1E0E58B09C7D38 + 4203.50ns INFO [00004205] * RD COMPARE * port=0 adr=00 act=343ED1483D392C99B9 exp=343ED1483D392C99B9 + 4203.50ns INFO [00004205] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73 + 4203.50ns INFO [00004205] Port=1 RD @02 + 4205.50ns INFO [00004207] * RD COMPARE * port=1 adr=02 act=1A7D1E0E58B09C7D38 exp=1A7D1E0E58B09C7D38 + 4206.50ns INFO [00004208] Port=0 WR @06=90CE01745B2DC15D89 + 4206.50ns INFO [00004208] Port=1 RD @01 + 4207.50ns INFO [00004209] Port=0 WR @00=E7F0E96ACA03BC02DC + 4208.50ns INFO [00004210] * RD COMPARE * port=1 adr=01 act=2FC529A6FBCFC0E50E exp=2FC529A6FBCFC0E50E + 4208.50ns INFO [00004210] Port=0 WR @03=03DB2C9FAC726A3C7F + 4208.50ns INFO [00004210] Port=0 RD @04 + 4209.50ns INFO [00004211] Port=0 WR @06=46ECB5EA74077AA9F7 + 4209.50ns INFO [00004211] Port=1 RD @04 + 4210.50ns INFO [00004212] * RD COMPARE * port=0 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48 + 4211.50ns INFO [00004213] * RD COMPARE * port=1 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48 + 4211.50ns INFO [00004213] Port=0 RD @06 + 4212.50ns INFO [00004214] Port=0 RD @06 + 4213.50ns INFO [00004215] * RD COMPARE * port=0 adr=06 act=46ECB5EA74077AA9F7 exp=46ECB5EA74077AA9F7 + 4213.50ns INFO [00004215] Port=0 RD @04 + 4214.50ns INFO [00004216] * RD COMPARE * port=0 adr=06 act=46ECB5EA74077AA9F7 exp=46ECB5EA74077AA9F7 + 4214.50ns INFO [00004216] Port=0 RD @06 + 4215.50ns INFO [00004217] * RD COMPARE * port=0 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48 + 4215.50ns INFO [00004217] Port=0 WR @04=8210CC1EEF2FB3ED99 + 4215.50ns INFO [00004217] Port=1 RD @05 + 4216.50ns INFO [00004218] * RD COMPARE * port=0 adr=06 act=46ECB5EA74077AA9F7 exp=46ECB5EA74077AA9F7 + 4216.50ns INFO [00004218] Port=0 WR @06=3B647676C8FBFFCE04 + 4216.50ns INFO [00004218] Port=0 RD @04 + 4217.50ns INFO [00004219] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73 + 4217.50ns INFO [00004219] Port=0 WR @06=7FEE732A1164B178B0 + 4218.50ns INFO [00004220] * RD COMPARE * port=0 adr=04 act=8210CC1EEF2FB3ED99 exp=8210CC1EEF2FB3ED99 + 4218.50ns INFO [00004220] Port=0 WR @02=10B5B965E6F074CFEE + 4218.50ns INFO [00004220] Port=1 RD @06 + 4219.50ns INFO [00004221] Port=0 WR @03=E8E15C08FAE4AB3BD6 + 4219.50ns INFO [00004221] Port=0 RD @01 + 4219.50ns INFO [00004221] Port=1 RD @00 + 4220.50ns INFO [00004222] * RD COMPARE * port=1 adr=06 act=7FEE732A1164B178B0 exp=7FEE732A1164B178B0 + 4220.50ns INFO [00004222] Port=0 WR @07=771B3C6508E4F075EB + 4220.50ns INFO [00004222] Port=0 RD @05 + 4220.50ns INFO [00004222] Port=1 RD @01 + 4221.50ns INFO [00004223] * RD COMPARE * port=0 adr=01 act=2FC529A6FBCFC0E50E exp=2FC529A6FBCFC0E50E + 4221.50ns INFO [00004223] * RD COMPARE * port=1 adr=00 act=E7F0E96ACA03BC02DC exp=E7F0E96ACA03BC02DC + 4221.50ns INFO [00004223] Port=0 WR @00=2A922AF9A3E8D88369 + 4221.50ns INFO [00004223] Port=1 RD @02 + 4222.50ns INFO [00004224] * RD COMPARE * port=0 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73 + 4222.50ns INFO [00004224] * RD COMPARE * port=1 adr=01 act=2FC529A6FBCFC0E50E exp=2FC529A6FBCFC0E50E + 4222.50ns INFO [00004224] Port=0 WR @06=CA3034BE858809C599 + 4222.50ns INFO [00004224] Port=0 RD @04 + 4223.50ns INFO [00004225] * RD COMPARE * port=1 adr=02 act=10B5B965E6F074CFEE exp=10B5B965E6F074CFEE + 4223.50ns INFO [00004225] Port=0 WR @04=99E9B5EC0E7F930244 + 4223.50ns INFO [00004225] Port=1 RD @05 + 4224.50ns INFO [00004226] * RD COMPARE * port=0 adr=04 act=8210CC1EEF2FB3ED99 exp=8210CC1EEF2FB3ED99 + 4224.50ns INFO [00004226] Port=1 RD @02 + 4225.50ns INFO [00004227] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73 + 4225.50ns INFO [00004227] Port=1 RD @00 + 4226.50ns INFO [00004228] * RD COMPARE * port=1 adr=02 act=10B5B965E6F074CFEE exp=10B5B965E6F074CFEE + 4227.50ns INFO [00004229] * RD COMPARE * port=1 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369 + 4227.50ns INFO [00004229] Port=0 WR @01=48A1886C82D1F6ECA1 + 4227.50ns INFO [00004229] Port=0 RD @04 + 4227.50ns INFO [00004229] Port=1 RD @00 + 4228.50ns INFO [00004230] Port=0 RD @07 + 4229.50ns INFO [00004231] * RD COMPARE * port=0 adr=04 act=99E9B5EC0E7F930244 exp=99E9B5EC0E7F930244 + 4229.50ns INFO [00004231] * RD COMPARE * port=1 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369 + 4229.50ns INFO [00004231] Port=0 RD @02 + 4230.50ns INFO [00004232] * RD COMPARE * port=0 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB + 4230.50ns INFO [00004232] Port=0 RD @00 + 4231.50ns INFO [00004233] * RD COMPARE * port=0 adr=02 act=10B5B965E6F074CFEE exp=10B5B965E6F074CFEE + 4231.50ns INFO [00004233] Port=0 RD @00 + 4232.50ns INFO [00004234] * RD COMPARE * port=0 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369 + 4232.50ns INFO [00004234] Port=0 WR @02=9010087C920D5FAFD2 + 4233.50ns INFO [00004235] * RD COMPARE * port=0 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369 + 4233.50ns INFO [00004235] Port=0 WR @01=A4CB230A11776AB562 + 4233.50ns INFO [00004235] Port=0 RD @07 + 4235.50ns INFO [00004237] * RD COMPARE * port=0 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB + 4235.50ns INFO [00004237] Port=1 RD @02 + 4236.50ns INFO [00004238] Port=0 RD @04 + 4237.50ns INFO [00004239] * RD COMPARE * port=1 adr=02 act=9010087C920D5FAFD2 exp=9010087C920D5FAFD2 + 4237.50ns INFO [00004239] Port=0 WR @01=0C0AAA5269AE3313C0 + 4237.50ns INFO [00004239] Port=0 RD @02 + 4238.50ns INFO [00004240] * RD COMPARE * port=0 adr=04 act=99E9B5EC0E7F930244 exp=99E9B5EC0E7F930244 + 4239.50ns INFO [00004241] * RD COMPARE * port=0 adr=02 act=9010087C920D5FAFD2 exp=9010087C920D5FAFD2 + 4239.50ns INFO [00004241] Port=1 RD @07 + 4241.50ns INFO [00004243] * RD COMPARE * port=1 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB + 4242.50ns INFO [00004244] Port=1 RD @07 + 4243.50ns INFO [00004245] Port=0 WR @04=76834A55F8F4E59943 + 4243.50ns INFO [00004245] Port=0 RD @06 + 4243.50ns INFO [00004245] Port=1 RD @05 + 4244.50ns INFO [00004246] * RD COMPARE * port=1 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB + 4244.50ns INFO [00004246] Port=0 WR @03=D61EE7D8E783EE67DE + 4244.50ns INFO [00004246] Port=0 RD @07 + 4244.50ns INFO [00004246] Port=1 RD @07 + 4245.50ns INFO [00004247] * RD COMPARE * port=0 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599 + 4245.50ns INFO [00004247] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73 + 4245.50ns INFO [00004247] Port=0 RD @00 + 4245.50ns INFO [00004247] Port=1 RD @06 + 4246.50ns INFO [00004248] * RD COMPARE * port=0 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB + 4246.50ns INFO [00004248] * RD COMPARE * port=1 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB + 4246.50ns INFO [00004248] Port=0 RD @00 + 4247.50ns INFO [00004249] * RD COMPARE * port=0 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369 + 4247.50ns INFO [00004249] * RD COMPARE * port=1 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599 + 4247.50ns INFO [00004249] Port=1 RD @03 + 4248.50ns INFO [00004250] * RD COMPARE * port=0 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369 + 4248.50ns INFO [00004250] Port=0 RD @00 + 4249.50ns INFO [00004251] * RD COMPARE * port=1 adr=03 act=D61EE7D8E783EE67DE exp=D61EE7D8E783EE67DE + 4249.50ns INFO [00004251] Port=0 WR @01=B86161CC4B49AB01B2 + 4249.50ns INFO [00004251] Port=1 RD @00 + 4250.50ns INFO [00004252] * RD COMPARE * port=0 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369 + 4250.50ns INFO [00004252] Port=0 RD @04 + 4251.50ns INFO [00004253] * RD COMPARE * port=1 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369 + 4251.50ns INFO [00004253] Port=0 WR @07=845244AF0F1E8B284A + 4251.50ns INFO [00004253] Port=0 RD @03 + 4252.50ns INFO [00004254] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943 + 4252.50ns INFO [00004254] Port=0 RD @01 + 4253.50ns INFO [00004255] * RD COMPARE * port=0 adr=03 act=D61EE7D8E783EE67DE exp=D61EE7D8E783EE67DE + 4253.50ns INFO [00004255] Port=0 WR @01=AC561B54AED3BD3982 + 4253.50ns INFO [00004255] Port=1 RD @05 + 4254.50ns INFO [00004256] * RD COMPARE * port=0 adr=01 act=B86161CC4B49AB01B2 exp=B86161CC4B49AB01B2 + 4255.50ns INFO [00004257] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73 + 4255.50ns INFO [00004257] Port=0 WR @02=9C2B665D593700704D + 4255.50ns INFO [00004257] Port=1 RD @06 + 4256.50ns INFO [00004258] Port=0 RD @05 + 4257.50ns INFO [00004259] * RD COMPARE * port=1 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599 + 4258.50ns INFO [00004260] * RD COMPARE * port=0 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73 + 4258.50ns INFO [00004260] Port=1 RD @05 + 4259.50ns INFO [00004261] Port=0 WR @01=A9F5CBDFEEDDAE434B + 4260.50ns INFO [00004262] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73 + 4260.50ns INFO [00004262] Port=0 WR @05=5F7AAA73112514506D + 4260.50ns INFO [00004262] Port=0 RD @06 + 4261.50ns INFO [00004263] Port=0 WR @01=55BB2CECAF40D13B24 + 4261.50ns INFO [00004263] Port=0 RD @03 + 4261.50ns INFO [00004263] Port=1 RD @06 + 4262.50ns INFO [00004264] * RD COMPARE * port=0 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599 + 4262.50ns INFO [00004264] Port=0 RD @06 + 4262.50ns INFO [00004264] Port=1 RD @00 + 4263.50ns INFO [00004265] * RD COMPARE * port=0 adr=03 act=D61EE7D8E783EE67DE exp=D61EE7D8E783EE67DE + 4263.50ns INFO [00004265] * RD COMPARE * port=1 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599 + 4263.50ns INFO [00004265] Port=0 WR @00=82E2F61251D70FCD76 + 4264.50ns INFO [00004266] * RD COMPARE * port=0 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599 + 4264.50ns INFO [00004266] * RD COMPARE * port=1 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369 + 4264.50ns INFO [00004266] Port=0 RD @01 + 4265.50ns INFO [00004267] Port=0 RD @04 + 4266.50ns INFO [00004268] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24 + 4266.50ns INFO [00004268] Port=0 WR @03=4D67AB4A223F180191 + 4267.50ns INFO [00004269] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943 + 4267.50ns INFO [00004269] Port=1 RD @02 + 4268.50ns INFO [00004270] Port=1 RD @02 + 4269.50ns INFO [00004271] * RD COMPARE * port=1 adr=02 act=9C2B665D593700704D exp=9C2B665D593700704D + 4269.50ns INFO [00004271] Port=1 RD @05 + 4270.50ns INFO [00004272] * RD COMPARE * port=1 adr=02 act=9C2B665D593700704D exp=9C2B665D593700704D + 4270.50ns INFO [00004272] Port=0 WR @00=6686D84427756AE41C + 4271.50ns INFO [00004273] * RD COMPARE * port=1 adr=05 act=5F7AAA73112514506D exp=5F7AAA73112514506D + 4271.50ns INFO [00004273] Port=0 WR @03=0F2A2114862BA14F39 + 4271.50ns INFO [00004273] Port=0 RD @04 + 4272.50ns INFO [00004274] Port=0 WR @06=8166D6355B69426760 + 4272.50ns INFO [00004274] Port=0 RD @01 + 4273.50ns INFO [00004275] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943 + 4273.50ns INFO [00004275] Port=0 WR @06=569A8F7AAD64C77E93 + 4274.50ns INFO [00004276] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24 + 4275.50ns INFO [00004277] Port=0 WR @06=528B50D84C108AE3D9 + 4276.50ns INFO [00004278] Port=0 WR @00=78FBC844E949668027 + 4276.50ns INFO [00004278] Port=0 RD @05 + 4277.50ns INFO [00004279] Port=0 WR @02=99DA5B3D96967EB569 + 4277.50ns INFO [00004279] Port=0 RD @04 + 4277.50ns INFO [00004279] Port=1 RD @03 + 4278.50ns INFO [00004280] * RD COMPARE * port=0 adr=05 act=5F7AAA73112514506D exp=5F7AAA73112514506D + 4278.50ns INFO [00004280] Port=1 RD @06 + 4279.50ns INFO [00004281] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943 + 4279.50ns INFO [00004281] * RD COMPARE * port=1 adr=03 act=0F2A2114862BA14F39 exp=0F2A2114862BA14F39 + 4279.50ns INFO [00004281] Port=1 RD @06 + 4280.50ns INFO [00004282] * RD COMPARE * port=1 adr=06 act=528B50D84C108AE3D9 exp=528B50D84C108AE3D9 + 4280.50ns INFO [00004282] Port=0 WR @03=376AF45462E9176869 + 4281.50ns INFO [00004283] * RD COMPARE * port=1 adr=06 act=528B50D84C108AE3D9 exp=528B50D84C108AE3D9 + 4281.50ns INFO [00004283] Port=0 WR @00=910BED5560879304F0 + 4283.50ns INFO [00004285] Port=1 RD @00 + 4284.50ns INFO [00004286] Port=0 RD @01 + 4285.50ns INFO [00004287] * RD COMPARE * port=1 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0 + 4285.50ns INFO [00004287] Port=1 RD @06 + 4286.50ns INFO [00004288] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24 + 4287.50ns INFO [00004289] * RD COMPARE * port=1 adr=06 act=528B50D84C108AE3D9 exp=528B50D84C108AE3D9 + 4287.50ns INFO [00004289] Port=0 WR @03=1E07DA4F2338304377 + 4287.50ns INFO [00004289] Port=0 RD @05 + 4288.50ns INFO [00004290] Port=0 RD @04 + 4289.50ns INFO [00004291] * RD COMPARE * port=0 adr=05 act=5F7AAA73112514506D exp=5F7AAA73112514506D + 4289.50ns INFO [00004291] Port=0 RD @03 + 4290.50ns INFO [00004292] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943 + 4290.50ns INFO [00004292] Port=0 WR @06=50C03D38027AEF2C43 + 4290.50ns INFO [00004292] Port=1 RD @05 + 4291.50ns INFO [00004293] * RD COMPARE * port=0 adr=03 act=1E07DA4F2338304377 exp=1E07DA4F2338304377 + 4292.50ns INFO [00004294] * RD COMPARE * port=1 adr=05 act=5F7AAA73112514506D exp=5F7AAA73112514506D + 4292.50ns INFO [00004294] Port=0 RD @04 + 4294.50ns INFO [00004296] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943 + 4294.50ns INFO [00004296] Port=1 RD @05 + 4295.50ns INFO [00004297] Port=0 WR @02=2EBFD33C198C0B235D + 4295.50ns INFO [00004297] Port=0 RD @00 + 4295.50ns INFO [00004297] Port=1 RD @03 + 4296.50ns INFO [00004298] * RD COMPARE * port=1 adr=05 act=5F7AAA73112514506D exp=5F7AAA73112514506D + 4296.50ns INFO [00004298] Port=0 WR @05=AC65710A541B098440 + 4296.50ns INFO [00004298] Port=1 RD @07 + 4297.50ns INFO [00004299] * RD COMPARE * port=0 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0 + 4297.50ns INFO [00004299] * RD COMPARE * port=1 adr=03 act=1E07DA4F2338304377 exp=1E07DA4F2338304377 + 4297.50ns INFO [00004299] Port=1 RD @07 + 4298.00ns INFO [00004300] [00004300] ...tick... + 4298.50ns INFO [00004300] * RD COMPARE * port=1 adr=07 act=845244AF0F1E8B284A exp=845244AF0F1E8B284A + 4298.50ns INFO [00004300] Port=0 RD @01 + 4298.50ns INFO [00004300] Port=1 RD @00 + 4299.50ns INFO [00004301] * RD COMPARE * port=1 adr=07 act=845244AF0F1E8B284A exp=845244AF0F1E8B284A + 4299.50ns INFO [00004301] Port=0 WR @04=E6382B9F996EFF8D6F + 4299.50ns INFO [00004301] Port=1 RD @00 + 4300.50ns INFO [00004302] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24 + 4300.50ns INFO [00004302] * RD COMPARE * port=1 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0 + 4300.50ns INFO [00004302] Port=0 RD @00 + 4301.50ns INFO [00004303] * RD COMPARE * port=1 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0 + 4301.50ns INFO [00004303] Port=0 WR @04=0DD620A7E522450CA6 + 4302.50ns INFO [00004304] * RD COMPARE * port=0 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0 + 4302.50ns INFO [00004304] Port=1 RD @06 + 4304.50ns INFO [00004306] * RD COMPARE * port=1 adr=06 act=50C03D38027AEF2C43 exp=50C03D38027AEF2C43 + 4304.50ns INFO [00004306] Port=0 WR @07=989B11C43AD3CA06A4 + 4304.50ns INFO [00004306] Port=0 RD @04 + 4305.50ns INFO [00004307] Port=0 WR @03=9ED5FBFAB2A590627B + 4305.50ns INFO [00004307] Port=1 RD @01 + 4306.50ns INFO [00004308] * RD COMPARE * port=0 adr=04 act=0DD620A7E522450CA6 exp=0DD620A7E522450CA6 + 4306.50ns INFO [00004308] Port=0 RD @05 + 4307.50ns INFO [00004309] * RD COMPARE * port=1 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24 + 4307.50ns INFO [00004309] Port=0 RD @03 + 4307.50ns INFO [00004309] Port=1 RD @02 + 4308.50ns INFO [00004310] * RD COMPARE * port=0 adr=05 act=AC65710A541B098440 exp=AC65710A541B098440 + 4309.50ns INFO [00004311] * RD COMPARE * port=0 adr=03 act=9ED5FBFAB2A590627B exp=9ED5FBFAB2A590627B + 4309.50ns INFO [00004311] * RD COMPARE * port=1 adr=02 act=2EBFD33C198C0B235D exp=2EBFD33C198C0B235D + 4309.50ns INFO [00004311] Port=0 RD @01 + 4309.50ns INFO [00004311] Port=1 RD @02 + 4311.50ns INFO [00004313] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24 + 4311.50ns INFO [00004313] * RD COMPARE * port=1 adr=02 act=2EBFD33C198C0B235D exp=2EBFD33C198C0B235D + 4312.50ns INFO [00004314] Port=0 RD @07 + 4313.50ns INFO [00004315] Port=0 WR @05=01B3C59CCEC8117963 + 4313.50ns INFO [00004315] Port=1 RD @04 + 4314.50ns INFO [00004316] * RD COMPARE * port=0 adr=07 act=989B11C43AD3CA06A4 exp=989B11C43AD3CA06A4 + 4314.50ns INFO [00004316] Port=0 WR @03=F76AB56F95519713EB + 4314.50ns INFO [00004316] Port=1 RD @00 + 4315.50ns INFO [00004317] * RD COMPARE * port=1 adr=04 act=0DD620A7E522450CA6 exp=0DD620A7E522450CA6 + 4316.50ns INFO [00004318] * RD COMPARE * port=1 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0 + 4317.50ns INFO [00004319] Port=0 RD @05 + 4318.50ns INFO [00004320] Port=0 RD @05 + 4318.50ns INFO [00004320] Port=1 RD @07 + 4319.50ns INFO [00004321] * RD COMPARE * port=0 adr=05 act=01B3C59CCEC8117963 exp=01B3C59CCEC8117963 + 4319.50ns INFO [00004321] Port=0 RD @00 + 4320.50ns INFO [00004322] * RD COMPARE * port=0 adr=05 act=01B3C59CCEC8117963 exp=01B3C59CCEC8117963 + 4320.50ns INFO [00004322] * RD COMPARE * port=1 adr=07 act=989B11C43AD3CA06A4 exp=989B11C43AD3CA06A4 + 4320.50ns INFO [00004322] Port=0 WR @00=9DA8779661EB5FF12A + 4320.50ns INFO [00004322] Port=0 RD @02 + 4320.50ns INFO [00004322] Port=1 RD @03 + 4321.50ns INFO [00004323] * RD COMPARE * port=0 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0 + 4321.50ns INFO [00004323] Port=1 RD @02 + 4322.50ns INFO [00004324] * RD COMPARE * port=0 adr=02 act=2EBFD33C198C0B235D exp=2EBFD33C198C0B235D + 4322.50ns INFO [00004324] * RD COMPARE * port=1 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB + 4322.50ns INFO [00004324] Port=0 RD @07 + 4322.50ns INFO [00004324] Port=1 RD @00 + 4323.50ns INFO [00004325] * RD COMPARE * port=1 adr=02 act=2EBFD33C198C0B235D exp=2EBFD33C198C0B235D + 4324.50ns INFO [00004326] * RD COMPARE * port=0 adr=07 act=989B11C43AD3CA06A4 exp=989B11C43AD3CA06A4 + 4324.50ns INFO [00004326] * RD COMPARE * port=1 adr=00 act=9DA8779661EB5FF12A exp=9DA8779661EB5FF12A + 4324.50ns INFO [00004326] Port=1 RD @04 + 4325.50ns INFO [00004327] Port=0 WR @07=EEF913579458E4AC19 + 4325.50ns INFO [00004327] Port=0 RD @00 + 4326.50ns INFO [00004328] * RD COMPARE * port=1 adr=04 act=0DD620A7E522450CA6 exp=0DD620A7E522450CA6 + 4326.50ns INFO [00004328] Port=0 WR @04=E6414AD2E94454507B + 4326.50ns INFO [00004328] Port=1 RD @03 + 4327.50ns INFO [00004329] * RD COMPARE * port=0 adr=00 act=9DA8779661EB5FF12A exp=9DA8779661EB5FF12A + 4327.50ns INFO [00004329] Port=1 RD @03 + 4328.50ns INFO [00004330] * RD COMPARE * port=1 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB + 4328.50ns INFO [00004330] Port=0 WR @00=F22361DBEB2A0F31FE + 4328.50ns INFO [00004330] Port=0 RD @05 + 4328.50ns INFO [00004330] Port=1 RD @06 + 4329.50ns INFO [00004331] * RD COMPARE * port=1 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB + 4329.50ns INFO [00004331] Port=0 RD @03 + 4330.50ns INFO [00004332] * RD COMPARE * port=0 adr=05 act=01B3C59CCEC8117963 exp=01B3C59CCEC8117963 + 4330.50ns INFO [00004332] * RD COMPARE * port=1 adr=06 act=50C03D38027AEF2C43 exp=50C03D38027AEF2C43 + 4330.50ns INFO [00004332] Port=0 RD @01 + 4330.50ns INFO [00004332] Port=1 RD @00 + 4331.50ns INFO [00004333] * RD COMPARE * port=0 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB + 4332.50ns INFO [00004334] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24 + 4332.50ns INFO [00004334] * RD COMPARE * port=1 adr=00 act=F22361DBEB2A0F31FE exp=F22361DBEB2A0F31FE + 4332.50ns INFO [00004334] Port=0 WR @01=4B650C831877E592C1 + 4333.50ns INFO [00004335] Port=0 WR @02=CC3764694C3C5F6288 + 4334.50ns INFO [00004336] Port=1 RD @01 + 4335.50ns INFO [00004337] Port=0 WR @00=AAF52C6971F0D12C05 + 4335.50ns INFO [00004337] Port=1 RD @05 + 4336.50ns INFO [00004338] * RD COMPARE * port=1 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1 + 4336.50ns INFO [00004338] Port=0 WR @04=A8E37B7104C104A3BC + 4336.50ns INFO [00004338] Port=0 RD @02 + 4336.50ns INFO [00004338] Port=1 RD @07 + 4337.50ns INFO [00004339] * RD COMPARE * port=1 adr=05 act=01B3C59CCEC8117963 exp=01B3C59CCEC8117963 + 4337.50ns INFO [00004339] Port=0 RD @03 + 4338.50ns INFO [00004340] * RD COMPARE * port=0 adr=02 act=CC3764694C3C5F6288 exp=CC3764694C3C5F6288 + 4338.50ns INFO [00004340] * RD COMPARE * port=1 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4338.50ns INFO [00004340] Port=1 RD @04 + 4339.50ns INFO [00004341] * RD COMPARE * port=0 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB + 4340.50ns INFO [00004342] * RD COMPARE * port=1 adr=04 act=A8E37B7104C104A3BC exp=A8E37B7104C104A3BC + 4340.50ns INFO [00004342] Port=0 RD @07 + 4340.50ns INFO [00004342] Port=1 RD @02 + 4341.50ns INFO [00004343] Port=0 WR @06=14E96694A9A39EFA8E + 4342.50ns INFO [00004344] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4342.50ns INFO [00004344] * RD COMPARE * port=1 adr=02 act=CC3764694C3C5F6288 exp=CC3764694C3C5F6288 + 4342.50ns INFO [00004344] Port=0 WR @02=BC37DC6A692D702070 + 4343.50ns INFO [00004345] Port=0 RD @03 + 4343.50ns INFO [00004345] Port=1 RD @03 + 4344.50ns INFO [00004346] Port=1 RD @04 + 4345.50ns INFO [00004347] * RD COMPARE * port=0 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB + 4345.50ns INFO [00004347] * RD COMPARE * port=1 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB + 4345.50ns INFO [00004347] Port=1 RD @07 + 4346.50ns INFO [00004348] * RD COMPARE * port=1 adr=04 act=A8E37B7104C104A3BC exp=A8E37B7104C104A3BC + 4346.50ns INFO [00004348] Port=0 WR @04=63CFCFD9439321D249 + 4346.50ns INFO [00004348] Port=0 RD @03 + 4347.50ns INFO [00004349] * RD COMPARE * port=1 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4347.50ns INFO [00004349] Port=0 RD @07 + 4347.50ns INFO [00004349] Port=1 RD @07 + 4348.50ns INFO [00004350] * RD COMPARE * port=0 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB + 4349.50ns INFO [00004351] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4349.50ns INFO [00004351] * RD COMPARE * port=1 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4349.50ns INFO [00004351] Port=0 RD @02 + 4350.50ns INFO [00004352] Port=1 RD @01 + 4351.50ns INFO [00004353] * RD COMPARE * port=0 adr=02 act=BC37DC6A692D702070 exp=BC37DC6A692D702070 + 4351.50ns INFO [00004353] Port=0 WR @05=5A70D41FDFB3A211E3 + 4351.50ns INFO [00004353] Port=0 RD @02 + 4351.50ns INFO [00004353] Port=1 RD @04 + 4352.50ns INFO [00004354] * RD COMPARE * port=1 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1 + 4352.50ns INFO [00004354] Port=0 WR @06=70F39878CBDCDFC251 + 4353.50ns INFO [00004355] * RD COMPARE * port=0 adr=02 act=BC37DC6A692D702070 exp=BC37DC6A692D702070 + 4353.50ns INFO [00004355] * RD COMPARE * port=1 adr=04 act=63CFCFD9439321D249 exp=63CFCFD9439321D249 + 4353.50ns INFO [00004355] Port=0 RD @06 + 4354.50ns INFO [00004356] Port=0 RD @01 + 4355.50ns INFO [00004357] * RD COMPARE * port=0 adr=06 act=70F39878CBDCDFC251 exp=70F39878CBDCDFC251 + 4355.50ns INFO [00004357] Port=0 RD @03 + 4356.50ns INFO [00004358] * RD COMPARE * port=0 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1 + 4357.50ns INFO [00004359] * RD COMPARE * port=0 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB + 4357.50ns INFO [00004359] Port=0 RD @04 + 4358.50ns INFO [00004360] Port=0 WR @03=4FC6F5D34169724C8A + 4358.50ns INFO [00004360] Port=1 RD @05 + 4359.50ns INFO [00004361] * RD COMPARE * port=0 adr=04 act=63CFCFD9439321D249 exp=63CFCFD9439321D249 + 4359.50ns INFO [00004361] Port=0 RD @01 + 4360.50ns INFO [00004362] * RD COMPARE * port=1 adr=05 act=5A70D41FDFB3A211E3 exp=5A70D41FDFB3A211E3 + 4360.50ns INFO [00004362] Port=0 RD @06 + 4361.50ns INFO [00004363] * RD COMPARE * port=0 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1 + 4361.50ns INFO [00004363] Port=0 WR @06=E3B83D3B26854218FC + 4361.50ns INFO [00004363] Port=1 RD @03 + 4362.50ns INFO [00004364] * RD COMPARE * port=0 adr=06 act=70F39878CBDCDFC251 exp=70F39878CBDCDFC251 + 4362.50ns INFO [00004364] Port=0 WR @02=C980B7A6192ED6E0B4 + 4362.50ns INFO [00004364] Port=0 RD @05 + 4363.50ns INFO [00004365] * RD COMPARE * port=1 adr=03 act=4FC6F5D34169724C8A exp=4FC6F5D34169724C8A + 4364.50ns INFO [00004366] * RD COMPARE * port=0 adr=05 act=5A70D41FDFB3A211E3 exp=5A70D41FDFB3A211E3 + 4365.50ns INFO [00004367] Port=0 WR @02=1A42B3C3803D50C567 + 4365.50ns INFO [00004367] Port=1 RD @01 + 4367.50ns INFO [00004369] * RD COMPARE * port=1 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1 + 4368.50ns INFO [00004370] Port=0 RD @01 + 4368.50ns INFO [00004370] Port=1 RD @01 + 4369.50ns INFO [00004371] Port=0 WR @04=DED829FCC69BFBA96D + 4369.50ns INFO [00004371] Port=1 RD @00 + 4370.50ns INFO [00004372] * RD COMPARE * port=0 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1 + 4370.50ns INFO [00004372] * RD COMPARE * port=1 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1 + 4370.50ns INFO [00004372] Port=0 WR @04=A6BB995A2BF8FC5D20 + 4370.50ns INFO [00004372] Port=0 RD @03 + 4371.50ns INFO [00004373] * RD COMPARE * port=1 adr=00 act=AAF52C6971F0D12C05 exp=AAF52C6971F0D12C05 + 4371.50ns INFO [00004373] Port=0 WR @03=E056945AB931ED9340 + 4372.50ns INFO [00004374] * RD COMPARE * port=0 adr=03 act=4FC6F5D34169724C8A exp=4FC6F5D34169724C8A + 4376.50ns INFO [00004378] Port=0 WR @01=EEDEC047D31525EC89 + 4376.50ns INFO [00004378] Port=0 RD @06 + 4377.50ns INFO [00004379] Port=1 RD @01 + 4378.50ns INFO [00004380] * RD COMPARE * port=0 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC + 4379.50ns INFO [00004381] * RD COMPARE * port=1 adr=01 act=EEDEC047D31525EC89 exp=EEDEC047D31525EC89 + 4379.50ns INFO [00004381] Port=1 RD @06 + 4380.50ns INFO [00004382] Port=0 RD @07 + 4381.50ns INFO [00004383] * RD COMPARE * port=1 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC + 4381.50ns INFO [00004383] Port=0 WR @03=50E643694AD69A51D6 + 4381.50ns INFO [00004383] Port=0 RD @05 + 4382.50ns INFO [00004384] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4383.50ns INFO [00004385] * RD COMPARE * port=0 adr=05 act=5A70D41FDFB3A211E3 exp=5A70D41FDFB3A211E3 + 4384.50ns INFO [00004386] Port=0 WR @02=1BD54EB84A6038346D + 4385.50ns INFO [00004387] Port=0 RD @07 + 4387.50ns INFO [00004389] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4387.50ns INFO [00004389] Port=1 RD @07 + 4388.50ns INFO [00004390] Port=0 WR @00=51E654D8D5C9F619EF + 4388.50ns INFO [00004390] Port=0 RD @03 + 4388.50ns INFO [00004390] Port=1 RD @07 + 4389.50ns INFO [00004391] * RD COMPARE * port=1 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4389.50ns INFO [00004391] Port=0 RD @04 + 4390.50ns INFO [00004392] * RD COMPARE * port=0 adr=03 act=50E643694AD69A51D6 exp=50E643694AD69A51D6 + 4390.50ns INFO [00004392] * RD COMPARE * port=1 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4390.50ns INFO [00004392] Port=0 RD @07 + 4390.50ns INFO [00004392] Port=1 RD @02 + 4391.50ns INFO [00004393] * RD COMPARE * port=0 adr=04 act=A6BB995A2BF8FC5D20 exp=A6BB995A2BF8FC5D20 + 4391.50ns INFO [00004393] Port=1 RD @00 + 4392.50ns INFO [00004394] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4392.50ns INFO [00004394] * RD COMPARE * port=1 adr=02 act=1BD54EB84A6038346D exp=1BD54EB84A6038346D + 4392.50ns INFO [00004394] Port=0 WR @02=C9B8A565D9571567E6 + 4392.50ns INFO [00004394] Port=0 RD @00 + 4393.50ns INFO [00004395] * RD COMPARE * port=1 adr=00 act=51E654D8D5C9F619EF exp=51E654D8D5C9F619EF + 4393.50ns INFO [00004395] Port=0 WR @04=A08D15A10221EA5192 + 4393.50ns INFO [00004395] Port=0 RD @00 + 4394.50ns INFO [00004396] * RD COMPARE * port=0 adr=00 act=51E654D8D5C9F619EF exp=51E654D8D5C9F619EF + 4394.50ns INFO [00004396] Port=0 RD @02 + 4394.50ns INFO [00004396] Port=1 RD @02 + 4395.50ns INFO [00004397] * RD COMPARE * port=0 adr=00 act=51E654D8D5C9F619EF exp=51E654D8D5C9F619EF + 4395.50ns INFO [00004397] Port=0 RD @02 + 4396.50ns INFO [00004398] * RD COMPARE * port=0 adr=02 act=C9B8A565D9571567E6 exp=C9B8A565D9571567E6 + 4396.50ns INFO [00004398] * RD COMPARE * port=1 adr=02 act=C9B8A565D9571567E6 exp=C9B8A565D9571567E6 + 4396.50ns INFO [00004398] Port=1 RD @05 + 4397.50ns INFO [00004399] * RD COMPARE * port=0 adr=02 act=C9B8A565D9571567E6 exp=C9B8A565D9571567E6 + 4397.50ns INFO [00004399] Port=0 WR @05=D5B8AAEC6FD2AC2411 + 4398.00ns INFO [00004400] [00004400] ...tick... + 4398.50ns INFO [00004400] * RD COMPARE * port=1 adr=05 act=5A70D41FDFB3A211E3 exp=5A70D41FDFB3A211E3 + 4398.50ns INFO [00004400] Port=0 WR @00=258867751D53486341 + 4398.50ns INFO [00004400] Port=0 RD @07 + 4399.50ns INFO [00004401] Port=1 RD @06 + 4400.50ns INFO [00004402] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4401.50ns INFO [00004403] * RD COMPARE * port=1 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC + 4401.50ns INFO [00004403] Port=0 RD @04 + 4402.50ns INFO [00004404] Port=1 RD @06 + 4403.50ns INFO [00004405] * RD COMPARE * port=0 adr=04 act=A08D15A10221EA5192 exp=A08D15A10221EA5192 + 4403.50ns INFO [00004405] Port=0 WR @05=583E6F107318011F6A + 4404.50ns INFO [00004406] * RD COMPARE * port=1 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC + 4404.50ns INFO [00004406] Port=0 WR @01=5928CA8A50A2D9DDC7 + 4404.50ns INFO [00004406] Port=0 RD @03 + 4405.50ns INFO [00004407] Port=0 RD @03 + 4405.50ns INFO [00004407] Port=1 RD @06 + 4406.50ns INFO [00004408] * RD COMPARE * port=0 adr=03 act=50E643694AD69A51D6 exp=50E643694AD69A51D6 + 4407.50ns INFO [00004409] * RD COMPARE * port=0 adr=03 act=50E643694AD69A51D6 exp=50E643694AD69A51D6 + 4407.50ns INFO [00004409] * RD COMPARE * port=1 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC + 4408.50ns INFO [00004410] Port=0 RD @01 + 4409.50ns INFO [00004411] Port=0 WR @05=9B4C9C4EAC295CA32C + 4410.50ns INFO [00004412] * RD COMPARE * port=0 adr=01 act=5928CA8A50A2D9DDC7 exp=5928CA8A50A2D9DDC7 + 4410.50ns INFO [00004412] Port=0 WR @02=EA639BD54BC748D92C + 4410.50ns INFO [00004412] Port=0 RD @00 + 4411.50ns INFO [00004413] Port=1 RD @00 + 4412.50ns INFO [00004414] * RD COMPARE * port=0 adr=00 act=258867751D53486341 exp=258867751D53486341 + 4412.50ns INFO [00004414] Port=0 RD @06 + 4412.50ns INFO [00004414] Port=1 RD @01 + 4413.50ns INFO [00004415] * RD COMPARE * port=1 adr=00 act=258867751D53486341 exp=258867751D53486341 + 4413.50ns INFO [00004415] Port=0 WR @00=D893AC497E0182B8DC + 4414.50ns INFO [00004416] * RD COMPARE * port=0 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC + 4414.50ns INFO [00004416] * RD COMPARE * port=1 adr=01 act=5928CA8A50A2D9DDC7 exp=5928CA8A50A2D9DDC7 + 4414.50ns INFO [00004416] Port=0 WR @01=4A82FB5D19EEEFE5E2 + 4414.50ns INFO [00004416] Port=1 RD @04 + 4415.50ns INFO [00004417] Port=0 WR @02=67F9F88F072B9E0478 + 4416.50ns INFO [00004418] * RD COMPARE * port=1 adr=04 act=A08D15A10221EA5192 exp=A08D15A10221EA5192 + 4416.50ns INFO [00004418] Port=0 WR @04=40DD9BBCD7B68EE4EF + 4417.50ns INFO [00004419] Port=0 RD @05 + 4418.50ns INFO [00004420] Port=0 RD @03 + 4418.50ns INFO [00004420] Port=1 RD @06 + 4419.50ns INFO [00004421] * RD COMPARE * port=0 adr=05 act=9B4C9C4EAC295CA32C exp=9B4C9C4EAC295CA32C + 4419.50ns INFO [00004421] Port=0 RD @07 + 4420.50ns INFO [00004422] * RD COMPARE * port=0 adr=03 act=50E643694AD69A51D6 exp=50E643694AD69A51D6 + 4420.50ns INFO [00004422] * RD COMPARE * port=1 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC + 4420.50ns INFO [00004422] Port=0 RD @04 + 4421.50ns INFO [00004423] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19 + 4421.50ns INFO [00004423] Port=0 WR @07=63700B2A30929F5ECD + 4421.50ns INFO [00004423] Port=1 RD @02 + 4422.50ns INFO [00004424] * RD COMPARE * port=0 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF + 4422.50ns INFO [00004424] Port=0 WR @07=81FCBAC83F6C0429E7 + 4423.50ns INFO [00004425] * RD COMPARE * port=1 adr=02 act=67F9F88F072B9E0478 exp=67F9F88F072B9E0478 + 4425.50ns INFO [00004427] Port=0 WR @02=F00DE1071EEFA65517 + 4425.50ns INFO [00004427] Port=0 RD @07 + 4425.50ns INFO [00004427] Port=1 RD @04 + 4426.50ns INFO [00004428] Port=1 RD @04 + 4427.50ns INFO [00004429] * RD COMPARE * port=0 adr=07 act=81FCBAC83F6C0429E7 exp=81FCBAC83F6C0429E7 + 4427.50ns INFO [00004429] * RD COMPARE * port=1 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF + 4427.50ns INFO [00004429] Port=0 WR @07=DDD96BB1D502EB1D4B + 4427.50ns INFO [00004429] Port=1 RD @00 + 4428.50ns INFO [00004430] * RD COMPARE * port=1 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF + 4428.50ns INFO [00004430] Port=0 RD @01 + 4428.50ns INFO [00004430] Port=1 RD @07 + 4429.50ns INFO [00004431] * RD COMPARE * port=1 adr=00 act=D893AC497E0182B8DC exp=D893AC497E0182B8DC + 4429.50ns INFO [00004431] Port=0 WR @05=C93A646139109C2679 + 4429.50ns INFO [00004431] Port=0 RD @07 + 4430.50ns INFO [00004432] * RD COMPARE * port=0 adr=01 act=4A82FB5D19EEEFE5E2 exp=4A82FB5D19EEEFE5E2 + 4430.50ns INFO [00004432] * RD COMPARE * port=1 adr=07 act=DDD96BB1D502EB1D4B exp=DDD96BB1D502EB1D4B + 4430.50ns INFO [00004432] Port=0 WR @02=2F6EF9322D166314AB + 4430.50ns INFO [00004432] Port=0 RD @04 + 4430.50ns INFO [00004432] Port=1 RD @04 + 4431.50ns INFO [00004433] * RD COMPARE * port=0 adr=07 act=DDD96BB1D502EB1D4B exp=DDD96BB1D502EB1D4B + 4432.50ns INFO [00004434] * RD COMPARE * port=0 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF + 4432.50ns INFO [00004434] * RD COMPARE * port=1 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF + 4432.50ns INFO [00004434] Port=0 WR @06=7B0E3C8993AD1023A6 + 4432.50ns INFO [00004434] Port=1 RD @04 + 4433.50ns INFO [00004435] Port=1 RD @00 + 4434.50ns INFO [00004436] * RD COMPARE * port=1 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF + 4435.50ns INFO [00004437] * RD COMPARE * port=1 adr=00 act=D893AC497E0182B8DC exp=D893AC497E0182B8DC + 4435.50ns INFO [00004437] Port=0 WR @07=C3923E8359F671224F + 4435.50ns INFO [00004437] Port=1 RD @05 + 4436.50ns INFO [00004438] Port=0 WR @02=0E4402D1052E19BC84 + 4437.50ns INFO [00004439] * RD COMPARE * port=1 adr=05 act=C93A646139109C2679 exp=C93A646139109C2679 + 4437.50ns INFO [00004439] Port=0 WR @01=B5F6D53E57E31A56C0 + 4437.50ns INFO [00004439] Port=1 RD @02 + 4438.50ns INFO [00004440] Port=0 WR @00=8F880D503298D5725E + 4439.50ns INFO [00004441] * RD COMPARE * port=1 adr=02 act=0E4402D1052E19BC84 exp=0E4402D1052E19BC84 + 4439.50ns INFO [00004441] Port=0 WR @06=41B4EE8527F16D9555 + 4440.50ns INFO [00004442] Port=0 WR @07=409B065F3BD37725AA + 4440.50ns INFO [00004442] Port=0 RD @01 + 4441.50ns INFO [00004443] Port=0 WR @00=8DBF7D6D3C70E56DC5 + 4442.50ns INFO [00004444] * RD COMPARE * port=0 adr=01 act=B5F6D53E57E31A56C0 exp=B5F6D53E57E31A56C0 + 4442.50ns INFO [00004444] Port=0 WR @03=15A2EA328DB3B6D2E8 + 4442.50ns INFO [00004444] Port=0 RD @02 + 4443.50ns INFO [00004445] Port=0 WR @06=0C5C1838B4F1613AF8 + 4443.50ns INFO [00004445] Port=1 RD @03 + 4444.50ns INFO [00004446] * RD COMPARE * port=0 adr=02 act=0E4402D1052E19BC84 exp=0E4402D1052E19BC84 + 4445.50ns INFO [00004447] * RD COMPARE * port=1 adr=03 act=15A2EA328DB3B6D2E8 exp=15A2EA328DB3B6D2E8 + 4445.50ns INFO [00004447] Port=0 WR @00=D86BB435103EBBA9DA + 4448.50ns INFO [00004450] Port=1 RD @02 + 4450.50ns INFO [00004452] * RD COMPARE * port=1 adr=02 act=0E4402D1052E19BC84 exp=0E4402D1052E19BC84 + 4451.50ns INFO [00004453] Port=0 WR @03=B15F66DDA22B86D05C + 4451.50ns INFO [00004453] Port=0 RD @04 + 4452.50ns INFO [00004454] Port=0 RD @00 + 4453.50ns INFO [00004455] * RD COMPARE * port=0 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF + 4454.50ns INFO [00004456] * RD COMPARE * port=0 adr=00 act=D86BB435103EBBA9DA exp=D86BB435103EBBA9DA + 4454.50ns INFO [00004456] Port=1 RD @01 + 4456.50ns INFO [00004458] * RD COMPARE * port=1 adr=01 act=B5F6D53E57E31A56C0 exp=B5F6D53E57E31A56C0 + 4456.50ns INFO [00004458] Port=0 WR @01=6DDD7FBB2F66389667 + 4457.50ns INFO [00004459] Port=0 RD @01 + 4457.50ns INFO [00004459] Port=1 RD @06 + 4458.50ns INFO [00004460] Port=1 RD @01 + 4459.50ns INFO [00004461] * RD COMPARE * port=0 adr=01 act=6DDD7FBB2F66389667 exp=6DDD7FBB2F66389667 + 4459.50ns INFO [00004461] * RD COMPARE * port=1 adr=06 act=0C5C1838B4F1613AF8 exp=0C5C1838B4F1613AF8 + 4460.50ns INFO [00004462] * RD COMPARE * port=1 adr=01 act=6DDD7FBB2F66389667 exp=6DDD7FBB2F66389667 + 4460.50ns INFO [00004462] Port=1 RD @02 + 4461.50ns INFO [00004463] Port=0 WR @01=3ED7C410FF91EE0797 + 4462.50ns INFO [00004464] * RD COMPARE * port=1 adr=02 act=0E4402D1052E19BC84 exp=0E4402D1052E19BC84 + 4462.50ns INFO [00004464] Port=0 RD @05 + 4462.50ns INFO [00004464] Port=1 RD @00 + 4463.50ns INFO [00004465] Port=0 RD @02 + 4464.50ns INFO [00004466] * RD COMPARE * port=0 adr=05 act=C93A646139109C2679 exp=C93A646139109C2679 + 4464.50ns INFO [00004466] * RD COMPARE * port=1 adr=00 act=D86BB435103EBBA9DA exp=D86BB435103EBBA9DA + 4464.50ns INFO [00004466] Port=0 WR @03=7937D065B08613600E + 4464.50ns INFO [00004466] Port=1 RD @06 + 4465.50ns INFO [00004467] * RD COMPARE * port=0 adr=02 act=0E4402D1052E19BC84 exp=0E4402D1052E19BC84 + 4465.50ns INFO [00004467] Port=0 WR @07=174EE5A24FD6E34195 + 4465.50ns INFO [00004467] Port=0 RD @06 + 4466.50ns INFO [00004468] * RD COMPARE * port=1 adr=06 act=0C5C1838B4F1613AF8 exp=0C5C1838B4F1613AF8 + 4467.50ns INFO [00004469] * RD COMPARE * port=0 adr=06 act=0C5C1838B4F1613AF8 exp=0C5C1838B4F1613AF8 + 4468.50ns INFO [00004470] Port=0 RD @06 + 4470.50ns INFO [00004472] * RD COMPARE * port=0 adr=06 act=0C5C1838B4F1613AF8 exp=0C5C1838B4F1613AF8 + 4470.50ns INFO [00004472] Port=0 WR @07=B2AE64894BBDAEE907 + 4472.50ns INFO [00004474] Port=0 WR @07=88A7D27712E8BB7B07 + 4472.50ns INFO [00004474] Port=0 RD @01 + 4473.50ns INFO [00004475] Port=1 RD @07 + 4474.50ns INFO [00004476] * RD COMPARE * port=0 adr=01 act=3ED7C410FF91EE0797 exp=3ED7C410FF91EE0797 + 4475.50ns INFO [00004477] * RD COMPARE * port=1 adr=07 act=88A7D27712E8BB7B07 exp=88A7D27712E8BB7B07 + 4475.50ns INFO [00004477] Port=0 WR @03=90013E1B8A438B1D7F + 4475.50ns INFO [00004477] Port=0 RD @04 + 4477.50ns INFO [00004479] * RD COMPARE * port=0 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF + 4478.50ns INFO [00004480] Port=0 WR @05=93E1C75A17341A8776 + 4479.50ns INFO [00004481] Port=0 WR @04=4D623287A896B39CA5 + 4479.50ns INFO [00004481] Port=0 RD @05 + 4479.50ns INFO [00004481] Port=1 RD @05 + 4480.50ns INFO [00004482] Port=0 RD @05 + 4481.50ns INFO [00004483] * RD COMPARE * port=0 adr=05 act=93E1C75A17341A8776 exp=93E1C75A17341A8776 + 4481.50ns INFO [00004483] * RD COMPARE * port=1 adr=05 act=93E1C75A17341A8776 exp=93E1C75A17341A8776 + 4481.50ns INFO [00004483] Port=1 RD @03 + 4482.50ns INFO [00004484] * RD COMPARE * port=0 adr=05 act=93E1C75A17341A8776 exp=93E1C75A17341A8776 + 4482.50ns INFO [00004484] Port=0 WR @03=AA15C48DD19B3D4567 + 4482.50ns INFO [00004484] Port=0 RD @05 + 4483.50ns INFO [00004485] * RD COMPARE * port=1 adr=03 act=90013E1B8A438B1D7F exp=90013E1B8A438B1D7F + 4483.50ns INFO [00004485] Port=1 RD @03 + 4484.50ns INFO [00004486] * RD COMPARE * port=0 adr=05 act=93E1C75A17341A8776 exp=93E1C75A17341A8776 + 4484.50ns INFO [00004486] Port=0 WR @05=7901D1FB0EF37258A3 + 4484.50ns INFO [00004486] Port=1 RD @00 + 4485.50ns INFO [00004487] * RD COMPARE * port=1 adr=03 act=AA15C48DD19B3D4567 exp=AA15C48DD19B3D4567 + 4485.50ns INFO [00004487] Port=1 RD @07 + 4486.50ns INFO [00004488] * RD COMPARE * port=1 adr=00 act=D86BB435103EBBA9DA exp=D86BB435103EBBA9DA + 4486.50ns INFO [00004488] Port=0 WR @04=C68DCF56D98D2F47C6 + 4486.50ns INFO [00004488] Port=1 RD @06 + 4487.50ns INFO [00004489] * RD COMPARE * port=1 adr=07 act=88A7D27712E8BB7B07 exp=88A7D27712E8BB7B07 + 4487.50ns INFO [00004489] Port=0 WR @06=4BD4C32A94A407D3B6 + 4487.50ns INFO [00004489] Port=0 RD @00 + 4488.50ns INFO [00004490] * RD COMPARE * port=1 adr=06 act=0C5C1838B4F1613AF8 exp=0C5C1838B4F1613AF8 + 4489.50ns INFO [00004491] * RD COMPARE * port=0 adr=00 act=D86BB435103EBBA9DA exp=D86BB435103EBBA9DA + 4489.50ns INFO [00004491] Port=0 WR @04=16473D87D7F028F9DB + 4489.50ns INFO [00004491] Port=0 RD @06 + 4491.50ns INFO [00004493] * RD COMPARE * port=0 adr=06 act=4BD4C32A94A407D3B6 exp=4BD4C32A94A407D3B6 + 4492.50ns INFO [00004494] Port=0 WR @05=279C0AE9C9A0C1F499 + 4492.50ns INFO [00004494] Port=1 RD @07 + 4493.50ns INFO [00004495] Port=0 RD @07 + 4494.50ns INFO [00004496] * RD COMPARE * port=1 adr=07 act=88A7D27712E8BB7B07 exp=88A7D27712E8BB7B07 + 4494.50ns INFO [00004496] Port=0 WR @03=C983448DAA0D8F5EC4 + 4495.50ns INFO [00004497] * RD COMPARE * port=0 adr=07 act=88A7D27712E8BB7B07 exp=88A7D27712E8BB7B07 + 4495.50ns INFO [00004497] Port=1 RD @04 + 4496.50ns INFO [00004498] Port=0 WR @03=69CF75AE9BAD522FDB + 4496.50ns INFO [00004498] Port=1 RD @01 + 4497.50ns INFO [00004499] * RD COMPARE * port=1 adr=04 act=16473D87D7F028F9DB exp=16473D87D7F028F9DB + 4497.50ns INFO [00004499] Port=0 WR @06=CF926909496D810268 + 4497.50ns INFO [00004499] Port=0 RD @05 + 4498.00ns INFO [00004500] [00004500] ...tick... + 4498.50ns INFO [00004500] * RD COMPARE * port=1 adr=01 act=3ED7C410FF91EE0797 exp=3ED7C410FF91EE0797 + 4498.50ns INFO [00004500] Port=0 WR @01=DB23468DD9F06E8E9E + 4498.50ns INFO [00004500] Port=0 RD @06 + 4498.50ns INFO [00004500] Port=1 RD @07 + 4499.50ns INFO [00004501] * RD COMPARE * port=0 adr=05 act=279C0AE9C9A0C1F499 exp=279C0AE9C9A0C1F499 + 4499.50ns INFO [00004501] Port=0 WR @07=D92651C3F123AE4FEA + 4499.50ns INFO [00004501] Port=0 RD @04 + 4499.50ns INFO [00004501] Port=1 RD @03 + 4500.50ns INFO [00004502] * RD COMPARE * port=0 adr=06 act=CF926909496D810268 exp=CF926909496D810268 + 4500.50ns INFO [00004502] * RD COMPARE * port=1 adr=07 act=88A7D27712E8BB7B07 exp=88A7D27712E8BB7B07 + 4501.50ns INFO [00004503] * RD COMPARE * port=0 adr=04 act=16473D87D7F028F9DB exp=16473D87D7F028F9DB + 4501.50ns INFO [00004503] * RD COMPARE * port=1 adr=03 act=69CF75AE9BAD522FDB exp=69CF75AE9BAD522FDB + 4501.50ns INFO [00004503] Port=0 RD @05 + 4502.50ns INFO [00004504] Port=0 WR @02=1520393FC0B93E154D + 4502.50ns INFO [00004504] Port=0 RD @01 + 4503.50ns INFO [00004505] * RD COMPARE * port=0 adr=05 act=279C0AE9C9A0C1F499 exp=279C0AE9C9A0C1F499 + 4503.50ns INFO [00004505] Port=0 RD @02 + 4503.50ns INFO [00004505] Port=1 RD @02 + 4504.50ns INFO [00004506] * RD COMPARE * port=0 adr=01 act=DB23468DD9F06E8E9E exp=DB23468DD9F06E8E9E + 4504.50ns INFO [00004506] Port=1 RD @02 + 4505.50ns INFO [00004507] * RD COMPARE * port=0 adr=02 act=1520393FC0B93E154D exp=1520393FC0B93E154D + 4505.50ns INFO [00004507] * RD COMPARE * port=1 adr=02 act=1520393FC0B93E154D exp=1520393FC0B93E154D + 4505.50ns INFO [00004507] Port=0 WR @04=E17D62E1BB375DE3A8 + 4506.50ns INFO [00004508] * RD COMPARE * port=1 adr=02 act=1520393FC0B93E154D exp=1520393FC0B93E154D + 4506.50ns INFO [00004508] Port=0 WR @03=3478135077E0E8BFCC + 4506.50ns INFO [00004508] Port=1 RD @07 + 4507.50ns INFO [00004509] Port=1 RD @03 + 4508.50ns INFO [00004510] * RD COMPARE * port=1 adr=07 act=D92651C3F123AE4FEA exp=D92651C3F123AE4FEA + 4508.50ns INFO [00004510] Port=0 WR @07=B5EA2D05A649D28C89 + 4508.50ns INFO [00004510] Port=1 RD @06 + 4509.50ns INFO [00004511] * RD COMPARE * port=1 adr=03 act=3478135077E0E8BFCC exp=3478135077E0E8BFCC + 4509.50ns INFO [00004511] Port=0 RD @02 + 4510.50ns INFO [00004512] * RD COMPARE * port=1 adr=06 act=CF926909496D810268 exp=CF926909496D810268 + 4510.50ns INFO [00004512] Port=1 RD @06 + 4511.50ns INFO [00004513] * RD COMPARE * port=0 adr=02 act=1520393FC0B93E154D exp=1520393FC0B93E154D + 4511.50ns INFO [00004513] Port=0 WR @05=F9CE9A592F19D58AAE + 4512.50ns INFO [00004514] * RD COMPARE * port=1 adr=06 act=CF926909496D810268 exp=CF926909496D810268 + 4512.50ns INFO [00004514] Port=0 WR @02=4120BD7CF874CC714D + 4512.50ns INFO [00004514] Port=1 RD @04 + 4513.50ns INFO [00004515] Port=0 WR @02=FAAEDC4EDAA9429E07 + 4513.50ns INFO [00004515] Port=0 RD @03 + 4513.50ns INFO [00004515] Port=1 RD @00 + 4514.50ns INFO [00004516] * RD COMPARE * port=1 adr=04 act=E17D62E1BB375DE3A8 exp=E17D62E1BB375DE3A8 + 4514.50ns INFO [00004516] Port=1 RD @01 + 4515.50ns INFO [00004517] * RD COMPARE * port=0 adr=03 act=3478135077E0E8BFCC exp=3478135077E0E8BFCC + 4515.50ns INFO [00004517] * RD COMPARE * port=1 adr=00 act=D86BB435103EBBA9DA exp=D86BB435103EBBA9DA + 4516.50ns INFO [00004518] * RD COMPARE * port=1 adr=01 act=DB23468DD9F06E8E9E exp=DB23468DD9F06E8E9E + 4516.50ns INFO [00004518] Port=0 WR @00=5748FBD13F1CDD6DCB + 4516.50ns INFO [00004518] Port=0 RD @01 + 4517.50ns INFO [00004519] Port=0 RD @06 + 4517.50ns INFO [00004519] Port=1 RD @02 + 4518.50ns INFO [00004520] * RD COMPARE * port=0 adr=01 act=DB23468DD9F06E8E9E exp=DB23468DD9F06E8E9E + 4519.50ns INFO [00004521] * RD COMPARE * port=0 adr=06 act=CF926909496D810268 exp=CF926909496D810268 + 4519.50ns INFO [00004521] * RD COMPARE * port=1 adr=02 act=FAAEDC4EDAA9429E07 exp=FAAEDC4EDAA9429E07 + 4519.50ns INFO [00004521] Port=0 WR @04=4C7BE829DB658E0063 + 4519.50ns INFO [00004521] Port=0 RD @05 + 4519.50ns INFO [00004521] Port=1 RD @03 + 4520.50ns INFO [00004522] Port=0 WR @06=5825EDBAF2D738AEB6 + 4520.50ns INFO [00004522] Port=0 RD @01 + 4521.50ns INFO [00004523] * RD COMPARE * port=0 adr=05 act=F9CE9A592F19D58AAE exp=F9CE9A592F19D58AAE + 4521.50ns INFO [00004523] * RD COMPARE * port=1 adr=03 act=3478135077E0E8BFCC exp=3478135077E0E8BFCC + 4522.50ns INFO [00004524] * RD COMPARE * port=0 adr=01 act=DB23468DD9F06E8E9E exp=DB23468DD9F06E8E9E + 4522.50ns INFO [00004524] Port=0 RD @01 + 4522.50ns INFO [00004524] Port=1 RD @04 + 4523.50ns INFO [00004525] Port=0 WR @05=35B76AF410805BA1F6 + 4523.50ns INFO [00004525] Port=1 RD @02 + 4524.50ns INFO [00004526] * RD COMPARE * port=0 adr=01 act=DB23468DD9F06E8E9E exp=DB23468DD9F06E8E9E + 4524.50ns INFO [00004526] * RD COMPARE * port=1 adr=04 act=4C7BE829DB658E0063 exp=4C7BE829DB658E0063 + 4524.50ns INFO [00004526] Port=1 RD @04 + 4525.50ns INFO [00004527] * RD COMPARE * port=1 adr=02 act=FAAEDC4EDAA9429E07 exp=FAAEDC4EDAA9429E07 + 4525.50ns INFO [00004527] Port=0 RD @00 + 4525.50ns INFO [00004527] Port=1 RD @07 + 4526.50ns INFO [00004528] * RD COMPARE * port=1 adr=04 act=4C7BE829DB658E0063 exp=4C7BE829DB658E0063 + 4526.50ns INFO [00004528] Port=0 WR @03=89608208B665FA69E2 + 4526.50ns INFO [00004528] Port=1 RD @02 + 4527.50ns INFO [00004529] * RD COMPARE * port=0 adr=00 act=5748FBD13F1CDD6DCB exp=5748FBD13F1CDD6DCB + 4527.50ns INFO [00004529] * RD COMPARE * port=1 adr=07 act=B5EA2D05A649D28C89 exp=B5EA2D05A649D28C89 + 4527.50ns INFO [00004529] Port=0 WR @05=3A5F4815566085717D + 4527.50ns INFO [00004529] Port=0 RD @00 + 4527.50ns INFO [00004529] Port=1 RD @06 + 4528.50ns INFO [00004530] * RD COMPARE * port=1 adr=02 act=FAAEDC4EDAA9429E07 exp=FAAEDC4EDAA9429E07 + 4528.50ns INFO [00004530] Port=0 WR @01=17D8F5E8ED09A8C86B + 4529.50ns INFO [00004531] * RD COMPARE * port=0 adr=00 act=5748FBD13F1CDD6DCB exp=5748FBD13F1CDD6DCB + 4529.50ns INFO [00004531] * RD COMPARE * port=1 adr=06 act=5825EDBAF2D738AEB6 exp=5825EDBAF2D738AEB6 + 4529.50ns INFO [00004531] Port=0 WR @01=2DAD1E896F19DCE513 + 4530.50ns INFO [00004532] Port=0 RD @00 + 4531.50ns INFO [00004533] Port=1 RD @02 + 4532.50ns INFO [00004534] * RD COMPARE * port=0 adr=00 act=5748FBD13F1CDD6DCB exp=5748FBD13F1CDD6DCB + 4532.50ns INFO [00004534] Port=0 WR @03=B2D1262D146A6986D5 + 4532.50ns INFO [00004534] Port=0 RD @07 + 4533.50ns INFO [00004535] * RD COMPARE * port=1 adr=02 act=FAAEDC4EDAA9429E07 exp=FAAEDC4EDAA9429E07 + 4533.50ns INFO [00004535] Port=0 RD @07 + 4534.50ns INFO [00004536] * RD COMPARE * port=0 adr=07 act=B5EA2D05A649D28C89 exp=B5EA2D05A649D28C89 + 4534.50ns INFO [00004536] Port=0 RD @01 + 4535.50ns INFO [00004537] * RD COMPARE * port=0 adr=07 act=B5EA2D05A649D28C89 exp=B5EA2D05A649D28C89 + 4535.50ns INFO [00004537] Port=0 RD @06 + 4535.50ns INFO [00004537] Port=1 RD @01 + 4536.50ns INFO [00004538] * RD COMPARE * port=0 adr=01 act=2DAD1E896F19DCE513 exp=2DAD1E896F19DCE513 + 4536.50ns INFO [00004538] Port=0 WR @04=D774066D32C8941734 + 4536.50ns INFO [00004538] Port=0 RD @06 + 4537.50ns INFO [00004539] * RD COMPARE * port=0 adr=06 act=5825EDBAF2D738AEB6 exp=5825EDBAF2D738AEB6 + 4537.50ns INFO [00004539] * RD COMPARE * port=1 adr=01 act=2DAD1E896F19DCE513 exp=2DAD1E896F19DCE513 + 4537.50ns INFO [00004539] Port=0 RD @00 + 4538.50ns INFO [00004540] * RD COMPARE * port=0 adr=06 act=5825EDBAF2D738AEB6 exp=5825EDBAF2D738AEB6 + 4538.50ns INFO [00004540] Port=0 WR @02=E2E3DA33CAA37CFFBD + 4538.50ns INFO [00004540] Port=0 RD @00 + 4538.50ns INFO [00004540] Port=1 RD @03 + 4539.50ns INFO [00004541] * RD COMPARE * port=0 adr=00 act=5748FBD13F1CDD6DCB exp=5748FBD13F1CDD6DCB + 4539.50ns INFO [00004541] Port=0 WR @00=E402A411F98CA5A646 + 4540.50ns INFO [00004542] * RD COMPARE * port=0 adr=00 act=5748FBD13F1CDD6DCB exp=5748FBD13F1CDD6DCB + 4540.50ns INFO [00004542] * RD COMPARE * port=1 adr=03 act=B2D1262D146A6986D5 exp=B2D1262D146A6986D5 + 4540.50ns INFO [00004542] Port=0 WR @05=8363A5B1C8046E520E + 4541.50ns INFO [00004543] Port=0 WR @05=65DDF74F4E467EA01F + 4543.50ns INFO [00004545] Port=0 WR @06=2CF97612D7DA40C378 + 4543.50ns INFO [00004545] Port=1 RD @02 + 4544.50ns INFO [00004546] Port=0 RD @06 + 4545.50ns INFO [00004547] * RD COMPARE * port=1 adr=02 act=E2E3DA33CAA37CFFBD exp=E2E3DA33CAA37CFFBD + 4545.50ns INFO [00004547] Port=0 WR @05=0226597CC392F30C68 + 4545.50ns INFO [00004547] Port=0 RD @02 + 4545.50ns INFO [00004547] Port=1 RD @07 + 4546.50ns INFO [00004548] * RD COMPARE * port=0 adr=06 act=2CF97612D7DA40C378 exp=2CF97612D7DA40C378 + 4546.50ns INFO [00004548] Port=0 WR @03=9B4ADDC1F6C1B438C9 + 4546.50ns INFO [00004548] Port=0 RD @07 + 4547.50ns INFO [00004549] * RD COMPARE * port=0 adr=02 act=E2E3DA33CAA37CFFBD exp=E2E3DA33CAA37CFFBD + 4547.50ns INFO [00004549] * RD COMPARE * port=1 adr=07 act=B5EA2D05A649D28C89 exp=B5EA2D05A649D28C89 + 4547.50ns INFO [00004549] Port=0 RD @06 + 4548.50ns INFO [00004550] * RD COMPARE * port=0 adr=07 act=B5EA2D05A649D28C89 exp=B5EA2D05A649D28C89 + 4548.50ns INFO [00004550] Port=0 WR @07=7CCAAF11EABE978C2D + 4548.50ns INFO [00004550] Port=0 RD @01 + 4549.50ns INFO [00004551] * RD COMPARE * port=0 adr=06 act=2CF97612D7DA40C378 exp=2CF97612D7DA40C378 + 4549.50ns INFO [00004551] Port=1 RD @00 + 4550.50ns INFO [00004552] * RD COMPARE * port=0 adr=01 act=2DAD1E896F19DCE513 exp=2DAD1E896F19DCE513 + 4550.50ns INFO [00004552] Port=0 WR @02=062682586035A22919 + 4551.50ns INFO [00004553] * RD COMPARE * port=1 adr=00 act=E402A411F98CA5A646 exp=E402A411F98CA5A646 + 4551.50ns INFO [00004553] Port=0 RD @06 + 4551.50ns INFO [00004553] Port=1 RD @00 + 4553.50ns INFO [00004555] * RD COMPARE * port=0 adr=06 act=2CF97612D7DA40C378 exp=2CF97612D7DA40C378 + 4553.50ns INFO [00004555] * RD COMPARE * port=1 adr=00 act=E402A411F98CA5A646 exp=E402A411F98CA5A646 + 4554.50ns INFO [00004556] Port=0 RD @02 + 4555.50ns INFO [00004557] Port=0 WR @04=DD4007CC91856274F0 + 4555.50ns INFO [00004557] Port=0 RD @07 + 4556.50ns INFO [00004558] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4557.50ns INFO [00004559] * RD COMPARE * port=0 adr=07 act=7CCAAF11EABE978C2D exp=7CCAAF11EABE978C2D + 4557.50ns INFO [00004559] Port=0 RD @02 + 4558.50ns INFO [00004560] Port=1 RD @06 + 4559.50ns INFO [00004561] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4559.50ns INFO [00004561] Port=0 WR @03=12C63770C42A33C706 + 4559.50ns INFO [00004561] Port=0 RD @04 + 4559.50ns INFO [00004561] Port=1 RD @02 + 4560.50ns INFO [00004562] * RD COMPARE * port=1 adr=06 act=2CF97612D7DA40C378 exp=2CF97612D7DA40C378 + 4561.50ns INFO [00004563] * RD COMPARE * port=0 adr=04 act=DD4007CC91856274F0 exp=DD4007CC91856274F0 + 4561.50ns INFO [00004563] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4561.50ns INFO [00004563] Port=0 WR @06=A8A97A9567FEE364B4 + 4562.50ns INFO [00004564] Port=1 RD @02 + 4563.50ns INFO [00004565] Port=1 RD @02 + 4564.50ns INFO [00004566] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4564.50ns INFO [00004566] Port=0 RD @07 + 4565.50ns INFO [00004567] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4565.50ns INFO [00004567] Port=0 WR @00=80BA87F4E5D8C5046A + 4566.50ns INFO [00004568] * RD COMPARE * port=0 adr=07 act=7CCAAF11EABE978C2D exp=7CCAAF11EABE978C2D + 4566.50ns INFO [00004568] Port=0 RD @07 + 4566.50ns INFO [00004568] Port=1 RD @00 + 4567.50ns INFO [00004569] Port=0 RD @03 + 4568.50ns INFO [00004570] * RD COMPARE * port=0 adr=07 act=7CCAAF11EABE978C2D exp=7CCAAF11EABE978C2D + 4568.50ns INFO [00004570] * RD COMPARE * port=1 adr=00 act=80BA87F4E5D8C5046A exp=80BA87F4E5D8C5046A + 4568.50ns INFO [00004570] Port=1 RD @06 + 4569.50ns INFO [00004571] * RD COMPARE * port=0 adr=03 act=12C63770C42A33C706 exp=12C63770C42A33C706 + 4569.50ns INFO [00004571] Port=0 WR @07=4FB43BB558974215FD + 4569.50ns INFO [00004571] Port=0 RD @02 + 4570.50ns INFO [00004572] * RD COMPARE * port=1 adr=06 act=A8A97A9567FEE364B4 exp=A8A97A9567FEE364B4 + 4570.50ns INFO [00004572] Port=0 WR @06=5DF60D059D1558AF63 + 4570.50ns INFO [00004572] Port=0 RD @04 + 4570.50ns INFO [00004572] Port=1 RD @07 + 4571.50ns INFO [00004573] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4571.50ns INFO [00004573] Port=0 WR @03=EC4CE0F1C3AAC94BD2 + 4571.50ns INFO [00004573] Port=1 RD @06 + 4572.50ns INFO [00004574] * RD COMPARE * port=0 adr=04 act=DD4007CC91856274F0 exp=DD4007CC91856274F0 + 4572.50ns INFO [00004574] * RD COMPARE * port=1 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD + 4572.50ns INFO [00004574] Port=0 RD @05 + 4572.50ns INFO [00004574] Port=1 RD @03 + 4573.50ns INFO [00004575] * RD COMPARE * port=1 adr=06 act=5DF60D059D1558AF63 exp=5DF60D059D1558AF63 + 4574.50ns INFO [00004576] * RD COMPARE * port=0 adr=05 act=0226597CC392F30C68 exp=0226597CC392F30C68 + 4574.50ns INFO [00004576] * RD COMPARE * port=1 adr=03 act=EC4CE0F1C3AAC94BD2 exp=EC4CE0F1C3AAC94BD2 + 4574.50ns INFO [00004576] Port=1 RD @02 + 4575.50ns INFO [00004577] Port=0 WR @06=52C518BD5B9F581FA7 + 4575.50ns INFO [00004577] Port=0 RD @07 + 4576.50ns INFO [00004578] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4577.50ns INFO [00004579] * RD COMPARE * port=0 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD + 4577.50ns INFO [00004579] Port=0 RD @01 + 4579.50ns INFO [00004581] * RD COMPARE * port=0 adr=01 act=2DAD1E896F19DCE513 exp=2DAD1E896F19DCE513 + 4579.50ns INFO [00004581] Port=0 WR @01=7260EA41B2DD465E57 + 4579.50ns INFO [00004581] Port=0 RD @04 + 4580.50ns INFO [00004582] Port=0 WR @03=DCB1A335CDF45AAE2E + 4580.50ns INFO [00004582] Port=0 RD @05 + 4580.50ns INFO [00004582] Port=1 RD @02 + 4581.50ns INFO [00004583] * RD COMPARE * port=0 adr=04 act=DD4007CC91856274F0 exp=DD4007CC91856274F0 + 4581.50ns INFO [00004583] Port=0 WR @01=F88998D45091E84D2B + 4582.50ns INFO [00004584] * RD COMPARE * port=0 adr=05 act=0226597CC392F30C68 exp=0226597CC392F30C68 + 4582.50ns INFO [00004584] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4582.50ns INFO [00004584] Port=1 RD @03 + 4583.50ns INFO [00004585] Port=0 WR @03=B47CB0F344AA05B18B + 4584.50ns INFO [00004586] * RD COMPARE * port=1 adr=03 act=DCB1A335CDF45AAE2E exp=DCB1A335CDF45AAE2E + 4584.50ns INFO [00004586] Port=1 RD @00 + 4585.50ns INFO [00004587] Port=1 RD @02 + 4586.50ns INFO [00004588] * RD COMPARE * port=1 adr=00 act=80BA87F4E5D8C5046A exp=80BA87F4E5D8C5046A + 4586.50ns INFO [00004588] Port=1 RD @06 + 4587.50ns INFO [00004589] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4587.50ns INFO [00004589] Port=1 RD @06 + 4588.50ns INFO [00004590] * RD COMPARE * port=1 adr=06 act=52C518BD5B9F581FA7 exp=52C518BD5B9F581FA7 + 4589.50ns INFO [00004591] * RD COMPARE * port=1 adr=06 act=52C518BD5B9F581FA7 exp=52C518BD5B9F581FA7 + 4589.50ns INFO [00004591] Port=0 WR @06=80D29D596C97EB8D92 + 4589.50ns INFO [00004591] Port=0 RD @03 + 4590.50ns INFO [00004592] Port=0 RD @02 + 4591.50ns INFO [00004593] * RD COMPARE * port=0 adr=03 act=B47CB0F344AA05B18B exp=B47CB0F344AA05B18B + 4591.50ns INFO [00004593] Port=0 WR @00=A8EF18D54F686AAEB8 + 4591.50ns INFO [00004593] Port=0 RD @02 + 4592.50ns INFO [00004594] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4592.50ns INFO [00004594] Port=0 WR @00=B348A1BC3A740764DC + 4592.50ns INFO [00004594] Port=0 RD @02 + 4593.50ns INFO [00004595] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4593.50ns INFO [00004595] Port=0 RD @05 + 4594.50ns INFO [00004596] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4595.50ns INFO [00004597] * RD COMPARE * port=0 adr=05 act=0226597CC392F30C68 exp=0226597CC392F30C68 + 4595.50ns INFO [00004597] Port=1 RD @05 + 4596.50ns INFO [00004598] Port=0 RD @01 + 4596.50ns INFO [00004598] Port=1 RD @02 + 4597.50ns INFO [00004599] * RD COMPARE * port=1 adr=05 act=0226597CC392F30C68 exp=0226597CC392F30C68 + 4598.00ns INFO [00004600] [00004600] ...tick... + 4598.50ns INFO [00004600] * RD COMPARE * port=0 adr=01 act=F88998D45091E84D2B exp=F88998D45091E84D2B + 4598.50ns INFO [00004600] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4598.50ns INFO [00004600] Port=1 RD @02 + 4599.50ns INFO [00004601] Port=0 WR @02=9D7058DC3B5EA9F1F2 + 4599.50ns INFO [00004601] Port=0 RD @05 + 4600.50ns INFO [00004602] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919 + 4601.50ns INFO [00004603] * RD COMPARE * port=0 adr=05 act=0226597CC392F30C68 exp=0226597CC392F30C68 + 4601.50ns INFO [00004603] Port=0 WR @06=170918B0AD1ACDF5EA + 4602.50ns INFO [00004604] Port=1 RD @06 + 4604.50ns INFO [00004606] * RD COMPARE * port=1 adr=06 act=170918B0AD1ACDF5EA exp=170918B0AD1ACDF5EA + 4604.50ns INFO [00004606] Port=0 RD @02 + 4604.50ns INFO [00004606] Port=1 RD @02 + 4605.50ns INFO [00004607] Port=0 RD @01 + 4605.50ns INFO [00004607] Port=1 RD @06 + 4606.50ns INFO [00004608] * RD COMPARE * port=0 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2 + 4606.50ns INFO [00004608] * RD COMPARE * port=1 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2 + 4606.50ns INFO [00004608] Port=0 WR @06=00F46A038A027E76D7 + 4607.50ns INFO [00004609] * RD COMPARE * port=0 adr=01 act=F88998D45091E84D2B exp=F88998D45091E84D2B + 4607.50ns INFO [00004609] * RD COMPARE * port=1 adr=06 act=170918B0AD1ACDF5EA exp=170918B0AD1ACDF5EA + 4607.50ns INFO [00004609] Port=0 WR @03=5C4A4CC61E8EFCD15F + 4607.50ns INFO [00004609] Port=0 RD @01 + 4607.50ns INFO [00004609] Port=1 RD @07 + 4608.50ns INFO [00004610] Port=0 WR @05=FCBB9970936B3DF390 + 4608.50ns INFO [00004610] Port=0 RD @00 + 4608.50ns INFO [00004610] Port=1 RD @04 + 4609.50ns INFO [00004611] * RD COMPARE * port=0 adr=01 act=F88998D45091E84D2B exp=F88998D45091E84D2B + 4609.50ns INFO [00004611] * RD COMPARE * port=1 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD + 4609.50ns INFO [00004611] Port=0 WR @01=561DD3D20A3E724BC7 + 4609.50ns INFO [00004611] Port=0 RD @06 + 4610.50ns INFO [00004612] * RD COMPARE * port=0 adr=00 act=B348A1BC3A740764DC exp=B348A1BC3A740764DC + 4610.50ns INFO [00004612] * RD COMPARE * port=1 adr=04 act=DD4007CC91856274F0 exp=DD4007CC91856274F0 + 4610.50ns INFO [00004612] Port=0 RD @07 + 4610.50ns INFO [00004612] Port=1 RD @05 + 4611.50ns INFO [00004613] * RD COMPARE * port=0 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7 + 4612.50ns INFO [00004614] * RD COMPARE * port=0 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD + 4612.50ns INFO [00004614] * RD COMPARE * port=1 adr=05 act=FCBB9970936B3DF390 exp=FCBB9970936B3DF390 + 4612.50ns INFO [00004614] Port=0 WR @00=0F6D71BB99EBB4B89B + 4612.50ns INFO [00004614] Port=1 RD @06 + 4613.50ns INFO [00004615] Port=1 RD @06 + 4614.50ns INFO [00004616] * RD COMPARE * port=1 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7 + 4614.50ns INFO [00004616] Port=1 RD @06 + 4615.50ns INFO [00004617] * RD COMPARE * port=1 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7 + 4615.50ns INFO [00004617] Port=0 RD @06 + 4616.50ns INFO [00004618] * RD COMPARE * port=1 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7 + 4616.50ns INFO [00004618] Port=0 WR @04=F7ED04AD2981D33ECD + 4616.50ns INFO [00004618] Port=0 RD @06 + 4617.50ns INFO [00004619] * RD COMPARE * port=0 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7 + 4617.50ns INFO [00004619] Port=0 WR @06=41F538353E511DE72A + 4617.50ns INFO [00004619] Port=1 RD @00 + 4618.50ns INFO [00004620] * RD COMPARE * port=0 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7 + 4618.50ns INFO [00004620] Port=1 RD @01 + 4619.50ns INFO [00004621] * RD COMPARE * port=1 adr=00 act=0F6D71BB99EBB4B89B exp=0F6D71BB99EBB4B89B + 4619.50ns INFO [00004621] Port=0 WR @01=BA9C09E94E51296078 + 4620.50ns INFO [00004622] * RD COMPARE * port=1 adr=01 act=561DD3D20A3E724BC7 exp=561DD3D20A3E724BC7 + 4620.50ns INFO [00004622] Port=0 WR @05=8A19C11F80848A7602 + 4620.50ns INFO [00004622] Port=0 RD @07 + 4621.50ns INFO [00004623] Port=1 RD @02 + 4622.50ns INFO [00004624] * RD COMPARE * port=0 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD + 4622.50ns INFO [00004624] Port=0 WR @03=E23FC064CEF0F950C9 + 4622.50ns INFO [00004624] Port=0 RD @04 + 4623.50ns INFO [00004625] * RD COMPARE * port=1 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2 + 4623.50ns INFO [00004625] Port=0 WR @04=F220BF3C6F6B615673 + 4624.50ns INFO [00004626] * RD COMPARE * port=0 adr=04 act=F7ED04AD2981D33ECD exp=F7ED04AD2981D33ECD + 4624.50ns INFO [00004626] Port=0 WR @05=29B8441A4A8074A756 + 4624.50ns INFO [00004626] Port=1 RD @02 + 4625.50ns INFO [00004627] Port=1 RD @05 + 4626.50ns INFO [00004628] * RD COMPARE * port=1 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2 + 4626.50ns INFO [00004628] Port=0 WR @05=293E0690D3E676C4B8 + 4626.50ns INFO [00004628] Port=1 RD @06 + 4627.50ns INFO [00004629] * RD COMPARE * port=1 adr=05 act=29B8441A4A8074A756 exp=29B8441A4A8074A756 + 4627.50ns INFO [00004629] Port=0 RD @02 + 4628.50ns INFO [00004630] * RD COMPARE * port=1 adr=06 act=41F538353E511DE72A exp=41F538353E511DE72A + 4628.50ns INFO [00004630] Port=0 RD @06 + 4628.50ns INFO [00004630] Port=1 RD @07 + 4629.50ns INFO [00004631] * RD COMPARE * port=0 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2 + 4629.50ns INFO [00004631] Port=0 RD @06 + 4630.50ns INFO [00004632] * RD COMPARE * port=0 adr=06 act=41F538353E511DE72A exp=41F538353E511DE72A + 4630.50ns INFO [00004632] * RD COMPARE * port=1 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD + 4630.50ns INFO [00004632] Port=0 RD @01 + 4631.50ns INFO [00004633] * RD COMPARE * port=0 adr=06 act=41F538353E511DE72A exp=41F538353E511DE72A + 4631.50ns INFO [00004633] Port=0 RD @07 + 4632.50ns INFO [00004634] * RD COMPARE * port=0 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078 + 4633.50ns INFO [00004635] * RD COMPARE * port=0 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD + 4633.50ns INFO [00004635] Port=0 RD @03 + 4633.50ns INFO [00004635] Port=1 RD @06 + 4635.50ns INFO [00004637] * RD COMPARE * port=0 adr=03 act=E23FC064CEF0F950C9 exp=E23FC064CEF0F950C9 + 4635.50ns INFO [00004637] * RD COMPARE * port=1 adr=06 act=41F538353E511DE72A exp=41F538353E511DE72A + 4635.50ns INFO [00004637] Port=0 WR @03=D2DE1FF7A04B4FA5C8 + 4635.50ns INFO [00004637] Port=0 RD @02 + 4636.50ns INFO [00004638] Port=0 WR @06=BF64D9B00D97C0D8B6 + 4636.50ns INFO [00004638] Port=0 RD @03 + 4636.50ns INFO [00004638] Port=1 RD @01 + 4637.50ns INFO [00004639] * RD COMPARE * port=0 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2 + 4637.50ns INFO [00004639] Port=0 RD @04 + 4638.50ns INFO [00004640] * RD COMPARE * port=0 adr=03 act=D2DE1FF7A04B4FA5C8 exp=D2DE1FF7A04B4FA5C8 + 4638.50ns INFO [00004640] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078 + 4638.50ns INFO [00004640] Port=1 RD @05 + 4639.50ns INFO [00004641] * RD COMPARE * port=0 adr=04 act=F220BF3C6F6B615673 exp=F220BF3C6F6B615673 + 4639.50ns INFO [00004641] Port=0 WR @07=462E7CA392DAC033E2 + 4639.50ns INFO [00004641] Port=1 RD @01 + 4640.50ns INFO [00004642] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4640.50ns INFO [00004642] Port=0 WR @03=16E0280090B482C3D2 + 4640.50ns INFO [00004642] Port=1 RD @01 + 4641.50ns INFO [00004643] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078 + 4641.50ns INFO [00004643] Port=0 WR @02=46489E22E4839F95BA + 4641.50ns INFO [00004643] Port=1 RD @00 + 4642.50ns INFO [00004644] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078 + 4642.50ns INFO [00004644] Port=1 RD @07 + 4643.50ns INFO [00004645] * RD COMPARE * port=1 adr=00 act=0F6D71BB99EBB4B89B exp=0F6D71BB99EBB4B89B + 4643.50ns INFO [00004645] Port=0 RD @02 + 4643.50ns INFO [00004645] Port=1 RD @03 + 4644.50ns INFO [00004646] * RD COMPARE * port=1 adr=07 act=462E7CA392DAC033E2 exp=462E7CA392DAC033E2 + 4644.50ns INFO [00004646] Port=0 RD @04 + 4644.50ns INFO [00004646] Port=1 RD @06 + 4645.50ns INFO [00004647] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4645.50ns INFO [00004647] * RD COMPARE * port=1 adr=03 act=16E0280090B482C3D2 exp=16E0280090B482C3D2 + 4646.50ns INFO [00004648] * RD COMPARE * port=0 adr=04 act=F220BF3C6F6B615673 exp=F220BF3C6F6B615673 + 4646.50ns INFO [00004648] * RD COMPARE * port=1 adr=06 act=BF64D9B00D97C0D8B6 exp=BF64D9B00D97C0D8B6 + 4646.50ns INFO [00004648] Port=1 RD @03 + 4647.50ns INFO [00004649] Port=0 RD @02 + 4648.50ns INFO [00004650] * RD COMPARE * port=1 adr=03 act=16E0280090B482C3D2 exp=16E0280090B482C3D2 + 4649.50ns INFO [00004651] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4649.50ns INFO [00004651] Port=0 RD @05 + 4649.50ns INFO [00004651] Port=1 RD @02 + 4651.50ns INFO [00004653] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4651.50ns INFO [00004653] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4651.50ns INFO [00004653] Port=0 WR @07=1237F7D7565AEFE90E + 4651.50ns INFO [00004653] Port=1 RD @00 + 4652.50ns INFO [00004654] Port=1 RD @04 + 4653.50ns INFO [00004655] * RD COMPARE * port=1 adr=00 act=0F6D71BB99EBB4B89B exp=0F6D71BB99EBB4B89B + 4654.50ns INFO [00004656] * RD COMPARE * port=1 adr=04 act=F220BF3C6F6B615673 exp=F220BF3C6F6B615673 + 4654.50ns INFO [00004656] Port=0 RD @07 + 4654.50ns INFO [00004656] Port=1 RD @07 + 4655.50ns INFO [00004657] Port=1 RD @00 + 4656.50ns INFO [00004658] * RD COMPARE * port=0 adr=07 act=1237F7D7565AEFE90E exp=1237F7D7565AEFE90E + 4656.50ns INFO [00004658] * RD COMPARE * port=1 adr=07 act=1237F7D7565AEFE90E exp=1237F7D7565AEFE90E + 4656.50ns INFO [00004658] Port=0 RD @04 + 4657.50ns INFO [00004659] * RD COMPARE * port=1 adr=00 act=0F6D71BB99EBB4B89B exp=0F6D71BB99EBB4B89B + 4657.50ns INFO [00004659] Port=1 RD @01 + 4658.50ns INFO [00004660] * RD COMPARE * port=0 adr=04 act=F220BF3C6F6B615673 exp=F220BF3C6F6B615673 + 4658.50ns INFO [00004660] Port=1 RD @01 + 4659.50ns INFO [00004661] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078 + 4660.50ns INFO [00004662] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078 + 4660.50ns INFO [00004662] Port=0 WR @04=82891D1BCEB4587874 + 4660.50ns INFO [00004662] Port=1 RD @01 + 4661.50ns INFO [00004663] Port=0 WR @03=BAC5153442FEABA778 + 4661.50ns INFO [00004663] Port=0 RD @07 + 4662.50ns INFO [00004664] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078 + 4662.50ns INFO [00004664] Port=0 RD @01 + 4662.50ns INFO [00004664] Port=1 RD @05 + 4663.50ns INFO [00004665] * RD COMPARE * port=0 adr=07 act=1237F7D7565AEFE90E exp=1237F7D7565AEFE90E + 4663.50ns INFO [00004665] Port=0 RD @04 + 4664.50ns INFO [00004666] * RD COMPARE * port=0 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078 + 4664.50ns INFO [00004666] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4664.50ns INFO [00004666] Port=0 WR @06=185EC0C7CFABC7486E + 4664.50ns INFO [00004666] Port=1 RD @01 + 4665.50ns INFO [00004667] * RD COMPARE * port=0 adr=04 act=82891D1BCEB4587874 exp=82891D1BCEB4587874 + 4665.50ns INFO [00004667] Port=1 RD @05 + 4666.50ns INFO [00004668] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078 + 4666.50ns INFO [00004668] Port=0 WR @03=9FB29CA521F220B832 + 4666.50ns INFO [00004668] Port=0 RD @07 + 4666.50ns INFO [00004668] Port=1 RD @02 + 4667.50ns INFO [00004669] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4667.50ns INFO [00004669] Port=0 RD @05 + 4668.50ns INFO [00004670] * RD COMPARE * port=0 adr=07 act=1237F7D7565AEFE90E exp=1237F7D7565AEFE90E + 4668.50ns INFO [00004670] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4668.50ns INFO [00004670] Port=0 WR @00=72986D6FE1314172C7 + 4668.50ns INFO [00004670] Port=0 RD @03 + 4669.50ns INFO [00004671] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4669.50ns INFO [00004671] Port=0 WR @03=BE3DAF7BE4918C5862 + 4669.50ns INFO [00004671] Port=0 RD @02 + 4669.50ns INFO [00004671] Port=1 RD @02 + 4670.50ns INFO [00004672] * RD COMPARE * port=0 adr=03 act=9FB29CA521F220B832 exp=9FB29CA521F220B832 + 4671.50ns INFO [00004673] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4671.50ns INFO [00004673] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4671.50ns INFO [00004673] Port=0 WR @04=066DDF460AE120CABC + 4672.50ns INFO [00004674] Port=0 WR @00=77E58D3CFB7BF46516 + 4672.50ns INFO [00004674] Port=1 RD @02 + 4673.50ns INFO [00004675] Port=0 WR @06=16CC831818EDC61CAB + 4673.50ns INFO [00004675] Port=1 RD @03 + 4674.50ns INFO [00004676] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4674.50ns INFO [00004676] Port=0 WR @06=2678A56FE3B2DBC5F6 + 4674.50ns INFO [00004676] Port=1 RD @03 + 4675.50ns INFO [00004677] * RD COMPARE * port=1 adr=03 act=BE3DAF7BE4918C5862 exp=BE3DAF7BE4918C5862 + 4676.50ns INFO [00004678] * RD COMPARE * port=1 adr=03 act=BE3DAF7BE4918C5862 exp=BE3DAF7BE4918C5862 + 4676.50ns INFO [00004678] Port=0 RD @01 + 4677.50ns INFO [00004679] Port=0 WR @06=C2013F86C59626FE75 + 4677.50ns INFO [00004679] Port=0 RD @04 + 4677.50ns INFO [00004679] Port=1 RD @00 + 4678.50ns INFO [00004680] * RD COMPARE * port=0 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078 + 4678.50ns INFO [00004680] Port=1 RD @03 + 4679.50ns INFO [00004681] * RD COMPARE * port=0 adr=04 act=066DDF460AE120CABC exp=066DDF460AE120CABC + 4679.50ns INFO [00004681] * RD COMPARE * port=1 adr=00 act=77E58D3CFB7BF46516 exp=77E58D3CFB7BF46516 + 4680.50ns INFO [00004682] * RD COMPARE * port=1 adr=03 act=BE3DAF7BE4918C5862 exp=BE3DAF7BE4918C5862 + 4680.50ns INFO [00004682] Port=0 WR @01=D949E48B1114B8D38A + 4680.50ns INFO [00004682] Port=1 RD @02 + 4681.50ns INFO [00004683] Port=0 WR @06=3CC05187D0A6BD92E7 + 4681.50ns INFO [00004683] Port=0 RD @04 + 4682.50ns INFO [00004684] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4683.50ns INFO [00004685] * RD COMPARE * port=0 adr=04 act=066DDF460AE120CABC exp=066DDF460AE120CABC + 4683.50ns INFO [00004685] Port=1 RD @00 + 4685.50ns INFO [00004687] * RD COMPARE * port=1 adr=00 act=77E58D3CFB7BF46516 exp=77E58D3CFB7BF46516 + 4686.50ns INFO [00004688] Port=0 WR @07=43B4FF8FCA880E65B9 + 4686.50ns INFO [00004688] Port=0 RD @04 + 4686.50ns INFO [00004688] Port=1 RD @02 + 4687.50ns INFO [00004689] Port=0 WR @00=B72E138AA9B22EA6E1 + 4687.50ns INFO [00004689] Port=0 RD @02 + 4687.50ns INFO [00004689] Port=1 RD @04 + 4688.50ns INFO [00004690] * RD COMPARE * port=0 adr=04 act=066DDF460AE120CABC exp=066DDF460AE120CABC + 4688.50ns INFO [00004690] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4688.50ns INFO [00004690] Port=0 WR @00=87603CC02983982F09 + 4688.50ns INFO [00004690] Port=1 RD @05 + 4689.50ns INFO [00004691] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4689.50ns INFO [00004691] * RD COMPARE * port=1 adr=04 act=066DDF460AE120CABC exp=066DDF460AE120CABC + 4690.50ns INFO [00004692] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4690.50ns INFO [00004692] Port=0 WR @01=83F54BC608FD81507F + 4690.50ns INFO [00004692] Port=0 RD @07 + 4691.50ns INFO [00004693] Port=0 WR @07=20D9F3F6B7E161364C + 4691.50ns INFO [00004693] Port=0 RD @01 + 4692.50ns INFO [00004694] * RD COMPARE * port=0 adr=07 act=43B4FF8FCA880E65B9 exp=43B4FF8FCA880E65B9 + 4692.50ns INFO [00004694] Port=0 WR @06=13148CE7228AF12432 + 4693.50ns INFO [00004695] * RD COMPARE * port=0 adr=01 act=83F54BC608FD81507F exp=83F54BC608FD81507F + 4695.50ns INFO [00004697] Port=0 RD @01 + 4695.50ns INFO [00004697] Port=1 RD @07 + 4697.50ns INFO [00004699] * RD COMPARE * port=0 adr=01 act=83F54BC608FD81507F exp=83F54BC608FD81507F + 4697.50ns INFO [00004699] * RD COMPARE * port=1 adr=07 act=20D9F3F6B7E161364C exp=20D9F3F6B7E161364C + 4697.50ns INFO [00004699] Port=0 WR @00=F9622A816089A60769 + 4697.50ns INFO [00004699] Port=1 RD @06 + 4698.00ns INFO [00004700] [00004700] ...tick... + 4698.50ns INFO [00004700] Port=0 WR @04=C4A30540CB73A0C67D + 4698.50ns INFO [00004700] Port=0 RD @01 + 4699.50ns INFO [00004701] * RD COMPARE * port=1 adr=06 act=13148CE7228AF12432 exp=13148CE7228AF12432 + 4699.50ns INFO [00004701] Port=0 RD @04 + 4699.50ns INFO [00004701] Port=1 RD @01 + 4700.50ns INFO [00004702] * RD COMPARE * port=0 adr=01 act=83F54BC608FD81507F exp=83F54BC608FD81507F + 4700.50ns INFO [00004702] Port=0 RD @04 + 4701.50ns INFO [00004703] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D + 4701.50ns INFO [00004703] * RD COMPARE * port=1 adr=01 act=83F54BC608FD81507F exp=83F54BC608FD81507F + 4701.50ns INFO [00004703] Port=0 WR @06=A10CECDDC8B7E26873 + 4701.50ns INFO [00004703] Port=0 RD @00 + 4702.50ns INFO [00004704] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D + 4702.50ns INFO [00004704] Port=0 WR @01=D281D03F370198025A + 4702.50ns INFO [00004704] Port=0 RD @04 + 4702.50ns INFO [00004704] Port=1 RD @05 + 4703.50ns INFO [00004705] * RD COMPARE * port=0 adr=00 act=F9622A816089A60769 exp=F9622A816089A60769 + 4704.50ns INFO [00004706] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D + 4704.50ns INFO [00004706] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4704.50ns INFO [00004706] Port=0 RD @02 + 4704.50ns INFO [00004706] Port=1 RD @04 + 4705.50ns INFO [00004707] Port=0 RD @03 + 4706.50ns INFO [00004708] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4706.50ns INFO [00004708] * RD COMPARE * port=1 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D + 4707.50ns INFO [00004709] * RD COMPARE * port=0 adr=03 act=BE3DAF7BE4918C5862 exp=BE3DAF7BE4918C5862 + 4707.50ns INFO [00004709] Port=1 RD @03 + 4708.50ns INFO [00004710] Port=1 RD @01 + 4709.50ns INFO [00004711] * RD COMPARE * port=1 adr=03 act=BE3DAF7BE4918C5862 exp=BE3DAF7BE4918C5862 + 4709.50ns INFO [00004711] Port=0 WR @03=A084A8EC4035911867 + 4709.50ns INFO [00004711] Port=1 RD @00 + 4710.50ns INFO [00004712] * RD COMPARE * port=1 adr=01 act=D281D03F370198025A exp=D281D03F370198025A + 4711.50ns INFO [00004713] * RD COMPARE * port=1 adr=00 act=F9622A816089A60769 exp=F9622A816089A60769 + 4711.50ns INFO [00004713] Port=0 RD @04 + 4711.50ns INFO [00004713] Port=1 RD @01 + 4712.50ns INFO [00004714] Port=1 RD @01 + 4713.50ns INFO [00004715] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D + 4713.50ns INFO [00004715] * RD COMPARE * port=1 adr=01 act=D281D03F370198025A exp=D281D03F370198025A + 4713.50ns INFO [00004715] Port=0 RD @04 + 4713.50ns INFO [00004715] Port=1 RD @06 + 4714.50ns INFO [00004716] * RD COMPARE * port=1 adr=01 act=D281D03F370198025A exp=D281D03F370198025A + 4715.50ns INFO [00004717] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D + 4715.50ns INFO [00004717] * RD COMPARE * port=1 adr=06 act=A10CECDDC8B7E26873 exp=A10CECDDC8B7E26873 + 4715.50ns INFO [00004717] Port=0 RD @03 + 4715.50ns INFO [00004717] Port=1 RD @01 + 4716.50ns INFO [00004718] Port=0 WR @03=08ACAFE118A72341F6 + 4717.50ns INFO [00004719] * RD COMPARE * port=0 adr=03 act=A084A8EC4035911867 exp=A084A8EC4035911867 + 4717.50ns INFO [00004719] * RD COMPARE * port=1 adr=01 act=D281D03F370198025A exp=D281D03F370198025A + 4717.50ns INFO [00004719] Port=0 WR @01=01F2A96ADC1C19E6CD + 4717.50ns INFO [00004719] Port=0 RD @06 + 4718.50ns INFO [00004720] Port=0 RD @01 + 4719.50ns INFO [00004721] * RD COMPARE * port=0 adr=06 act=A10CECDDC8B7E26873 exp=A10CECDDC8B7E26873 + 4720.50ns INFO [00004722] * RD COMPARE * port=0 adr=01 act=01F2A96ADC1C19E6CD exp=01F2A96ADC1C19E6CD + 4720.50ns INFO [00004722] Port=1 RD @02 + 4722.50ns INFO [00004724] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4722.50ns INFO [00004724] Port=0 WR @07=81C7384CAB55A5C1D4 + 4722.50ns INFO [00004724] Port=0 RD @00 + 4722.50ns INFO [00004724] Port=1 RD @05 + 4723.50ns INFO [00004725] Port=0 RD @04 + 4723.50ns INFO [00004725] Port=1 RD @07 + 4724.50ns INFO [00004726] * RD COMPARE * port=0 adr=00 act=F9622A816089A60769 exp=F9622A816089A60769 + 4724.50ns INFO [00004726] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4725.50ns INFO [00004727] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D + 4725.50ns INFO [00004727] * RD COMPARE * port=1 adr=07 act=81C7384CAB55A5C1D4 exp=81C7384CAB55A5C1D4 + 4725.50ns INFO [00004727] Port=0 RD @02 + 4726.50ns INFO [00004728] Port=1 RD @03 + 4727.50ns INFO [00004729] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4727.50ns INFO [00004729] Port=0 WR @04=9331A99899803FB2B3 + 4727.50ns INFO [00004729] Port=0 RD @05 + 4728.50ns INFO [00004730] * RD COMPARE * port=1 adr=03 act=08ACAFE118A72341F6 exp=08ACAFE118A72341F6 + 4728.50ns INFO [00004730] Port=0 WR @03=2E060FA3F58E218155 + 4728.50ns INFO [00004730] Port=1 RD @04 + 4729.50ns INFO [00004731] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4729.50ns INFO [00004731] Port=1 RD @05 + 4730.50ns INFO [00004732] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3 + 4730.50ns INFO [00004732] Port=1 RD @01 + 4731.50ns INFO [00004733] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4732.50ns INFO [00004734] * RD COMPARE * port=1 adr=01 act=01F2A96ADC1C19E6CD exp=01F2A96ADC1C19E6CD + 4732.50ns INFO [00004734] Port=0 WR @00=24D9A2228DCF3B0630 + 4732.50ns INFO [00004734] Port=1 RD @02 + 4734.50ns INFO [00004736] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA + 4735.50ns INFO [00004737] Port=0 RD @03 + 4736.50ns INFO [00004738] Port=1 RD @03 + 4737.50ns INFO [00004739] * RD COMPARE * port=0 adr=03 act=2E060FA3F58E218155 exp=2E060FA3F58E218155 + 4737.50ns INFO [00004739] Port=0 WR @07=397F0E4D2D1ED36A27 + 4738.50ns INFO [00004740] * RD COMPARE * port=1 adr=03 act=2E060FA3F58E218155 exp=2E060FA3F58E218155 + 4740.50ns INFO [00004742] Port=0 RD @00 + 4740.50ns INFO [00004742] Port=1 RD @00 + 4741.50ns INFO [00004743] Port=0 WR @00=2E59DD81807471B850 + 4741.50ns INFO [00004743] Port=1 RD @07 + 4742.50ns INFO [00004744] * RD COMPARE * port=0 adr=00 act=24D9A2228DCF3B0630 exp=24D9A2228DCF3B0630 + 4742.50ns INFO [00004744] * RD COMPARE * port=1 adr=00 act=24D9A2228DCF3B0630 exp=24D9A2228DCF3B0630 + 4742.50ns INFO [00004744] Port=1 RD @01 + 4743.50ns INFO [00004745] * RD COMPARE * port=1 adr=07 act=397F0E4D2D1ED36A27 exp=397F0E4D2D1ED36A27 + 4743.50ns INFO [00004745] Port=1 RD @07 + 4744.50ns INFO [00004746] * RD COMPARE * port=1 adr=01 act=01F2A96ADC1C19E6CD exp=01F2A96ADC1C19E6CD + 4744.50ns INFO [00004746] Port=0 WR @06=C5180DD5A1D1222AD3 + 4745.50ns INFO [00004747] * RD COMPARE * port=1 adr=07 act=397F0E4D2D1ED36A27 exp=397F0E4D2D1ED36A27 + 4745.50ns INFO [00004747] Port=0 WR @01=F2AA5D0339B8C5C158 + 4745.50ns INFO [00004747] Port=0 RD @06 + 4745.50ns INFO [00004747] Port=1 RD @06 + 4746.50ns INFO [00004748] Port=1 RD @04 + 4747.50ns INFO [00004749] * RD COMPARE * port=0 adr=06 act=C5180DD5A1D1222AD3 exp=C5180DD5A1D1222AD3 + 4747.50ns INFO [00004749] * RD COMPARE * port=1 adr=06 act=C5180DD5A1D1222AD3 exp=C5180DD5A1D1222AD3 + 4747.50ns INFO [00004749] Port=0 WR @02=EA3F0BB03FDC4960B3 + 4748.50ns INFO [00004750] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3 + 4748.50ns INFO [00004750] Port=1 RD @01 + 4749.50ns INFO [00004751] Port=1 RD @02 + 4750.50ns INFO [00004752] * RD COMPARE * port=1 adr=01 act=F2AA5D0339B8C5C158 exp=F2AA5D0339B8C5C158 + 4751.50ns INFO [00004753] * RD COMPARE * port=1 adr=02 act=EA3F0BB03FDC4960B3 exp=EA3F0BB03FDC4960B3 + 4751.50ns INFO [00004753] Port=0 WR @00=1BBCE74C52C138D635 + 4751.50ns INFO [00004753] Port=1 RD @03 + 4752.50ns INFO [00004754] Port=0 WR @03=0FD2BBE9C60B973DEC + 4752.50ns INFO [00004754] Port=1 RD @07 + 4753.50ns INFO [00004755] * RD COMPARE * port=1 adr=03 act=2E060FA3F58E218155 exp=2E060FA3F58E218155 + 4753.50ns INFO [00004755] Port=1 RD @02 + 4754.50ns INFO [00004756] * RD COMPARE * port=1 adr=07 act=397F0E4D2D1ED36A27 exp=397F0E4D2D1ED36A27 + 4754.50ns INFO [00004756] Port=0 WR @07=934F851D1C3ECBF050 + 4754.50ns INFO [00004756] Port=0 RD @01 + 4755.50ns INFO [00004757] * RD COMPARE * port=1 adr=02 act=EA3F0BB03FDC4960B3 exp=EA3F0BB03FDC4960B3 + 4755.50ns INFO [00004757] Port=0 WR @01=BC52E75593D265505B + 4756.50ns INFO [00004758] * RD COMPARE * port=0 adr=01 act=F2AA5D0339B8C5C158 exp=F2AA5D0339B8C5C158 + 4756.50ns INFO [00004758] Port=0 WR @01=029D1C2159D8AF9D86 + 4757.50ns INFO [00004759] Port=0 WR @00=64D943D9BF1BCF3FA0 + 4757.50ns INFO [00004759] Port=1 RD @04 + 4758.50ns INFO [00004760] Port=0 RD @07 + 4759.50ns INFO [00004761] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3 + 4760.50ns INFO [00004762] * RD COMPARE * port=0 adr=07 act=934F851D1C3ECBF050 exp=934F851D1C3ECBF050 + 4760.50ns INFO [00004762] Port=0 WR @06=2F7AC1C4E48E9BF705 + 4761.50ns INFO [00004763] Port=0 WR @00=8BFA00FCDB850F062B + 4762.50ns INFO [00004764] Port=0 RD @05 + 4762.50ns INFO [00004764] Port=1 RD @01 + 4763.50ns INFO [00004765] Port=0 RD @04 + 4764.50ns INFO [00004766] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4764.50ns INFO [00004766] * RD COMPARE * port=1 adr=01 act=029D1C2159D8AF9D86 exp=029D1C2159D8AF9D86 + 4764.50ns INFO [00004766] Port=0 RD @03 + 4765.50ns INFO [00004767] * RD COMPARE * port=0 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3 + 4765.50ns INFO [00004767] Port=0 RD @06 + 4766.50ns INFO [00004768] * RD COMPARE * port=0 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC + 4766.50ns INFO [00004768] Port=0 WR @07=278CA088DA26FC4531 + 4766.50ns INFO [00004768] Port=1 RD @04 + 4767.50ns INFO [00004769] * RD COMPARE * port=0 adr=06 act=2F7AC1C4E48E9BF705 exp=2F7AC1C4E48E9BF705 + 4767.50ns INFO [00004769] Port=0 RD @00 + 4768.50ns INFO [00004770] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3 + 4768.50ns INFO [00004770] Port=1 RD @03 + 4769.50ns INFO [00004771] * RD COMPARE * port=0 adr=00 act=8BFA00FCDB850F062B exp=8BFA00FCDB850F062B + 4769.50ns INFO [00004771] Port=0 RD @05 + 4769.50ns INFO [00004771] Port=1 RD @04 + 4770.50ns INFO [00004772] * RD COMPARE * port=1 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC + 4771.50ns INFO [00004773] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4771.50ns INFO [00004773] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3 + 4771.50ns INFO [00004773] Port=1 RD @06 + 4773.50ns INFO [00004775] * RD COMPARE * port=1 adr=06 act=2F7AC1C4E48E9BF705 exp=2F7AC1C4E48E9BF705 + 4774.50ns INFO [00004776] Port=0 RD @04 + 4776.50ns INFO [00004778] * RD COMPARE * port=0 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3 + 4777.50ns INFO [00004779] Port=0 RD @01 + 4777.50ns INFO [00004779] Port=1 RD @04 + 4778.50ns INFO [00004780] Port=0 WR @06=E198D08893DB707760 + 4778.50ns INFO [00004780] Port=1 RD @01 + 4779.50ns INFO [00004781] * RD COMPARE * port=0 adr=01 act=029D1C2159D8AF9D86 exp=029D1C2159D8AF9D86 + 4779.50ns INFO [00004781] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3 + 4779.50ns INFO [00004781] Port=0 RD @03 + 4779.50ns INFO [00004781] Port=1 RD @00 + 4780.50ns INFO [00004782] * RD COMPARE * port=1 adr=01 act=029D1C2159D8AF9D86 exp=029D1C2159D8AF9D86 + 4780.50ns INFO [00004782] Port=0 WR @01=9948D84CB466B833F6 + 4781.50ns INFO [00004783] * RD COMPARE * port=0 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC + 4781.50ns INFO [00004783] * RD COMPARE * port=1 adr=00 act=8BFA00FCDB850F062B exp=8BFA00FCDB850F062B + 4781.50ns INFO [00004783] Port=0 RD @06 + 4783.50ns INFO [00004785] * RD COMPARE * port=0 adr=06 act=E198D08893DB707760 exp=E198D08893DB707760 + 4785.50ns INFO [00004787] Port=0 WR @02=FD7E9926ECF33FAC0A + 4785.50ns INFO [00004787] Port=1 RD @05 + 4786.50ns INFO [00004788] Port=0 RD @07 + 4786.50ns INFO [00004788] Port=1 RD @05 + 4787.50ns INFO [00004789] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4787.50ns INFO [00004789] Port=1 RD @05 + 4788.50ns INFO [00004790] * RD COMPARE * port=0 adr=07 act=278CA088DA26FC4531 exp=278CA088DA26FC4531 + 4788.50ns INFO [00004790] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4789.50ns INFO [00004791] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4792.50ns INFO [00004794] Port=0 WR @04=50FB1489828F07C2A9 + 4793.50ns INFO [00004795] Port=1 RD @05 + 4794.50ns INFO [00004796] Port=1 RD @06 + 4795.50ns INFO [00004797] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4795.50ns INFO [00004797] Port=0 RD @02 + 4795.50ns INFO [00004797] Port=1 RD @03 + 4796.50ns INFO [00004798] * RD COMPARE * port=1 adr=06 act=E198D08893DB707760 exp=E198D08893DB707760 + 4797.50ns INFO [00004799] * RD COMPARE * port=0 adr=02 act=FD7E9926ECF33FAC0A exp=FD7E9926ECF33FAC0A + 4797.50ns INFO [00004799] * RD COMPARE * port=1 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC + 4797.50ns INFO [00004799] Port=0 RD @01 + 4798.00ns INFO [00004800] [00004800] ...tick... + 4798.50ns INFO [00004800] Port=0 WR @00=ED63AE97031D652B06 + 4798.50ns INFO [00004800] Port=0 RD @01 + 4799.50ns INFO [00004801] * RD COMPARE * port=0 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6 + 4799.50ns INFO [00004801] Port=0 WR @06=025775943474A36574 + 4799.50ns INFO [00004801] Port=0 RD @05 + 4799.50ns INFO [00004801] Port=1 RD @01 + 4800.50ns INFO [00004802] * RD COMPARE * port=0 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6 + 4800.50ns INFO [00004802] Port=0 WR @07=376BD59875F573BE29 + 4801.50ns INFO [00004803] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8 + 4801.50ns INFO [00004803] * RD COMPARE * port=1 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6 + 4801.50ns INFO [00004803] Port=1 RD @02 + 4803.50ns INFO [00004805] * RD COMPARE * port=1 adr=02 act=FD7E9926ECF33FAC0A exp=FD7E9926ECF33FAC0A + 4803.50ns INFO [00004805] Port=1 RD @04 + 4804.50ns INFO [00004806] Port=0 RD @01 + 4805.50ns INFO [00004807] * RD COMPARE * port=1 adr=04 act=50FB1489828F07C2A9 exp=50FB1489828F07C2A9 + 4805.50ns INFO [00004807] Port=0 WR @06=8B17589F95804E9718 + 4806.50ns INFO [00004808] * RD COMPARE * port=0 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6 + 4806.50ns INFO [00004808] Port=0 RD @03 + 4806.50ns INFO [00004808] Port=1 RD @00 + 4807.50ns INFO [00004809] Port=0 WR @04=E36EB652B70A9F2724 + 4808.50ns INFO [00004810] * RD COMPARE * port=0 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC + 4808.50ns INFO [00004810] * RD COMPARE * port=1 adr=00 act=ED63AE97031D652B06 exp=ED63AE97031D652B06 + 4808.50ns INFO [00004810] Port=0 WR @07=85D7964A615D8D38C6 + 4809.50ns INFO [00004811] Port=0 WR @00=F38D3AE63D604D4095 + 4810.50ns INFO [00004812] Port=1 RD @06 + 4812.50ns INFO [00004814] * RD COMPARE * port=1 adr=06 act=8B17589F95804E9718 exp=8B17589F95804E9718 + 4812.50ns INFO [00004814] Port=1 RD @04 + 4813.50ns INFO [00004815] Port=0 WR @04=3FA8E00EC0FDFBF928 + 4814.50ns INFO [00004816] * RD COMPARE * port=1 adr=04 act=E36EB652B70A9F2724 exp=E36EB652B70A9F2724 + 4814.50ns INFO [00004816] Port=1 RD @01 + 4815.50ns INFO [00004817] Port=0 WR @02=3B4B73775562ABC90E + 4815.50ns INFO [00004817] Port=0 RD @00 + 4816.50ns INFO [00004818] * RD COMPARE * port=1 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6 + 4816.50ns INFO [00004818] Port=1 RD @02 + 4817.50ns INFO [00004819] * RD COMPARE * port=0 adr=00 act=F38D3AE63D604D4095 exp=F38D3AE63D604D4095 + 4817.50ns INFO [00004819] Port=0 WR @05=FABEDFBCDD2142B55B + 4818.50ns INFO [00004820] * RD COMPARE * port=1 adr=02 act=3B4B73775562ABC90E exp=3B4B73775562ABC90E + 4818.50ns INFO [00004820] Port=0 WR @05=5B2840DE969A2233AA + 4818.50ns INFO [00004820] Port=0 RD @00 + 4819.50ns INFO [00004821] Port=1 RD @05 + 4820.50ns INFO [00004822] * RD COMPARE * port=0 adr=00 act=F38D3AE63D604D4095 exp=F38D3AE63D604D4095 + 4820.50ns INFO [00004822] Port=0 WR @00=634F6EA01968876136 + 4820.50ns INFO [00004822] Port=0 RD @03 + 4821.50ns INFO [00004823] * RD COMPARE * port=1 adr=05 act=5B2840DE969A2233AA exp=5B2840DE969A2233AA + 4821.50ns INFO [00004823] Port=0 WR @05=9A3A43F56DC6111A91 + 4821.50ns INFO [00004823] Port=0 RD @04 + 4822.50ns INFO [00004824] * RD COMPARE * port=0 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC + 4822.50ns INFO [00004824] Port=0 WR @07=C63B283B1F59CE919F + 4822.50ns INFO [00004824] Port=1 RD @01 + 4823.50ns INFO [00004825] * RD COMPARE * port=0 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928 + 4823.50ns INFO [00004825] Port=0 WR @06=9616A0F8B159A98A75 + 4823.50ns INFO [00004825] Port=0 RD @05 + 4823.50ns INFO [00004825] Port=1 RD @02 + 4824.50ns INFO [00004826] * RD COMPARE * port=1 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6 + 4824.50ns INFO [00004826] Port=1 RD @06 + 4825.50ns INFO [00004827] * RD COMPARE * port=0 adr=05 act=9A3A43F56DC6111A91 exp=9A3A43F56DC6111A91 + 4825.50ns INFO [00004827] * RD COMPARE * port=1 adr=02 act=3B4B73775562ABC90E exp=3B4B73775562ABC90E + 4826.50ns INFO [00004828] * RD COMPARE * port=1 adr=06 act=9616A0F8B159A98A75 exp=9616A0F8B159A98A75 + 4826.50ns INFO [00004828] Port=0 WR @06=83C022F6158182F816 + 4827.50ns INFO [00004829] Port=0 RD @00 + 4827.50ns INFO [00004829] Port=1 RD @04 + 4829.50ns INFO [00004831] * RD COMPARE * port=0 adr=00 act=634F6EA01968876136 exp=634F6EA01968876136 + 4829.50ns INFO [00004831] * RD COMPARE * port=1 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928 + 4829.50ns INFO [00004831] Port=0 RD @04 + 4831.50ns INFO [00004833] * RD COMPARE * port=0 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928 + 4831.50ns INFO [00004833] Port=0 WR @02=C8E3F3D8250514EF80 + 4831.50ns INFO [00004833] Port=0 RD @03 + 4831.50ns INFO [00004833] Port=1 RD @06 + 4832.50ns INFO [00004834] Port=0 RD @00 + 4833.50ns INFO [00004835] * RD COMPARE * port=0 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC + 4833.50ns INFO [00004835] * RD COMPARE * port=1 adr=06 act=83C022F6158182F816 exp=83C022F6158182F816 + 4833.50ns INFO [00004835] Port=0 WR @03=273DFFF6867A3614D4 + 4833.50ns INFO [00004835] Port=0 RD @04 + 4834.50ns INFO [00004836] * RD COMPARE * port=0 adr=00 act=634F6EA01968876136 exp=634F6EA01968876136 + 4834.50ns INFO [00004836] Port=0 RD @05 + 4835.50ns INFO [00004837] * RD COMPARE * port=0 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928 + 4835.50ns INFO [00004837] Port=0 WR @00=59D7941C426508FA0B + 4835.50ns INFO [00004837] Port=0 RD @05 + 4836.50ns INFO [00004838] * RD COMPARE * port=0 adr=05 act=9A3A43F56DC6111A91 exp=9A3A43F56DC6111A91 + 4836.50ns INFO [00004838] Port=0 WR @00=FE3BCFCE390E6582AA + 4837.50ns INFO [00004839] * RD COMPARE * port=0 adr=05 act=9A3A43F56DC6111A91 exp=9A3A43F56DC6111A91 + 4837.50ns INFO [00004839] Port=0 WR @05=68D0D846A1C024D779 + 4837.50ns INFO [00004839] Port=1 RD @04 + 4838.50ns INFO [00004840] Port=0 WR @03=28694DFCE13BD39DB4 + 4838.50ns INFO [00004840] Port=1 RD @02 + 4839.50ns INFO [00004841] * RD COMPARE * port=1 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928 + 4839.50ns INFO [00004841] Port=0 WR @02=7FE3360BF8AB2D1B84 + 4839.50ns INFO [00004841] Port=0 RD @00 + 4839.50ns INFO [00004841] Port=1 RD @01 + 4840.50ns INFO [00004842] * RD COMPARE * port=1 adr=02 act=C8E3F3D8250514EF80 exp=C8E3F3D8250514EF80 + 4840.50ns INFO [00004842] Port=0 RD @00 + 4841.50ns INFO [00004843] * RD COMPARE * port=0 adr=00 act=FE3BCFCE390E6582AA exp=FE3BCFCE390E6582AA + 4841.50ns INFO [00004843] * RD COMPARE * port=1 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6 + 4841.50ns INFO [00004843] Port=0 WR @02=12AB31709CC344C79F + 4841.50ns INFO [00004843] Port=0 RD @03 + 4842.50ns INFO [00004844] * RD COMPARE * port=0 adr=00 act=FE3BCFCE390E6582AA exp=FE3BCFCE390E6582AA + 4842.50ns INFO [00004844] Port=0 RD @04 + 4843.50ns INFO [00004845] * RD COMPARE * port=0 adr=03 act=28694DFCE13BD39DB4 exp=28694DFCE13BD39DB4 + 4843.50ns INFO [00004845] Port=0 RD @04 + 4843.50ns INFO [00004845] Port=1 RD @02 + 4844.50ns INFO [00004846] * RD COMPARE * port=0 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928 + 4844.50ns INFO [00004846] Port=1 RD @04 + 4845.50ns INFO [00004847] * RD COMPARE * port=0 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928 + 4845.50ns INFO [00004847] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F + 4846.50ns INFO [00004848] * RD COMPARE * port=1 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928 + 4846.50ns INFO [00004848] Port=0 WR @04=716DCACDB933F36840 + 4846.50ns INFO [00004848] Port=0 RD @05 + 4846.50ns INFO [00004848] Port=1 RD @02 + 4847.50ns INFO [00004849] Port=0 WR @00=2D6DC47DEFA7E56A1C + 4847.50ns INFO [00004849] Port=1 RD @07 + 4848.50ns INFO [00004850] * RD COMPARE * port=0 adr=05 act=68D0D846A1C024D779 exp=68D0D846A1C024D779 + 4848.50ns INFO [00004850] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F + 4848.50ns INFO [00004850] Port=0 RD @06 + 4849.50ns INFO [00004851] * RD COMPARE * port=1 adr=07 act=C63B283B1F59CE919F exp=C63B283B1F59CE919F + 4850.50ns INFO [00004852] * RD COMPARE * port=0 adr=06 act=83C022F6158182F816 exp=83C022F6158182F816 + 4850.50ns INFO [00004852] Port=0 WR @05=338A70CDC1F465317A + 4851.50ns INFO [00004853] Port=1 RD @06 + 4852.50ns INFO [00004854] Port=0 WR @03=003861218849345BC9 + 4852.50ns INFO [00004854] Port=0 RD @06 + 4853.50ns INFO [00004855] * RD COMPARE * port=1 adr=06 act=83C022F6158182F816 exp=83C022F6158182F816 + 4853.50ns INFO [00004855] Port=1 RD @03 + 4854.50ns INFO [00004856] * RD COMPARE * port=0 adr=06 act=83C022F6158182F816 exp=83C022F6158182F816 + 4854.50ns INFO [00004856] Port=1 RD @04 + 4855.50ns INFO [00004857] * RD COMPARE * port=1 adr=03 act=003861218849345BC9 exp=003861218849345BC9 + 4855.50ns INFO [00004857] Port=1 RD @03 + 4856.50ns INFO [00004858] * RD COMPARE * port=1 adr=04 act=716DCACDB933F36840 exp=716DCACDB933F36840 + 4857.50ns INFO [00004859] * RD COMPARE * port=1 adr=03 act=003861218849345BC9 exp=003861218849345BC9 + 4857.50ns INFO [00004859] Port=0 RD @02 + 4859.50ns INFO [00004861] * RD COMPARE * port=0 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F + 4859.50ns INFO [00004861] Port=0 RD @07 + 4860.50ns INFO [00004862] Port=0 RD @05 + 4861.50ns INFO [00004863] * RD COMPARE * port=0 adr=07 act=C63B283B1F59CE919F exp=C63B283B1F59CE919F + 4862.50ns INFO [00004864] * RD COMPARE * port=0 adr=05 act=338A70CDC1F465317A exp=338A70CDC1F465317A + 4862.50ns INFO [00004864] Port=0 WR @05=6BBDE9968A3886CDDA + 4862.50ns INFO [00004864] Port=1 RD @02 + 4864.50ns INFO [00004866] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F + 4864.50ns INFO [00004866] Port=0 RD @03 + 4864.50ns INFO [00004866] Port=1 RD @00 + 4865.50ns INFO [00004867] Port=0 WR @06=36D2838AB304048DFD + 4866.50ns INFO [00004868] * RD COMPARE * port=0 adr=03 act=003861218849345BC9 exp=003861218849345BC9 + 4866.50ns INFO [00004868] * RD COMPARE * port=1 adr=00 act=2D6DC47DEFA7E56A1C exp=2D6DC47DEFA7E56A1C + 4866.50ns INFO [00004868] Port=0 WR @07=33B25415BE594442A3 + 4866.50ns INFO [00004868] Port=1 RD @02 + 4868.50ns INFO [00004870] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F + 4868.50ns INFO [00004870] Port=1 RD @00 + 4869.50ns INFO [00004871] Port=0 WR @03=4B14C038EC9A5B8BD2 + 4869.50ns INFO [00004871] Port=0 RD @00 + 4869.50ns INFO [00004871] Port=1 RD @05 + 4870.50ns INFO [00004872] * RD COMPARE * port=1 adr=00 act=2D6DC47DEFA7E56A1C exp=2D6DC47DEFA7E56A1C + 4870.50ns INFO [00004872] Port=1 RD @06 + 4871.50ns INFO [00004873] * RD COMPARE * port=0 adr=00 act=2D6DC47DEFA7E56A1C exp=2D6DC47DEFA7E56A1C + 4871.50ns INFO [00004873] * RD COMPARE * port=1 adr=05 act=6BBDE9968A3886CDDA exp=6BBDE9968A3886CDDA + 4871.50ns INFO [00004873] Port=1 RD @05 + 4872.50ns INFO [00004874] * RD COMPARE * port=1 adr=06 act=36D2838AB304048DFD exp=36D2838AB304048DFD + 4872.50ns INFO [00004874] Port=0 RD @03 + 4872.50ns INFO [00004874] Port=1 RD @02 + 4873.50ns INFO [00004875] * RD COMPARE * port=1 adr=05 act=6BBDE9968A3886CDDA exp=6BBDE9968A3886CDDA + 4873.50ns INFO [00004875] Port=0 WR @04=DFD322EB2CEFEBB599 + 4873.50ns INFO [00004875] Port=0 RD @05 + 4874.50ns INFO [00004876] * RD COMPARE * port=0 adr=03 act=4B14C038EC9A5B8BD2 exp=4B14C038EC9A5B8BD2 + 4874.50ns INFO [00004876] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F + 4875.50ns INFO [00004877] * RD COMPARE * port=0 adr=05 act=6BBDE9968A3886CDDA exp=6BBDE9968A3886CDDA + 4875.50ns INFO [00004877] Port=0 RD @07 + 4875.50ns INFO [00004877] Port=1 RD @00 + 4877.50ns INFO [00004879] * RD COMPARE * port=0 adr=07 act=33B25415BE594442A3 exp=33B25415BE594442A3 + 4877.50ns INFO [00004879] * RD COMPARE * port=1 adr=00 act=2D6DC47DEFA7E56A1C exp=2D6DC47DEFA7E56A1C + 4877.50ns INFO [00004879] Port=1 RD @00 + 4878.50ns INFO [00004880] Port=0 WR @03=D352B08AFEA2B33268 + 4879.50ns INFO [00004881] * RD COMPARE * port=1 adr=00 act=2D6DC47DEFA7E56A1C exp=2D6DC47DEFA7E56A1C + 4879.50ns INFO [00004881] Port=0 WR @03=9AC4F4ECBBCEE65ED3 + 4880.50ns INFO [00004882] Port=0 WR @00=4036FBB1048C636799 + 4880.50ns INFO [00004882] Port=0 RD @03 + 4881.50ns INFO [00004883] Port=0 WR @05=E97DC62343B42DAAAE + 4882.50ns INFO [00004884] * RD COMPARE * port=0 adr=03 act=9AC4F4ECBBCEE65ED3 exp=9AC4F4ECBBCEE65ED3 + 4882.50ns INFO [00004884] Port=0 WR @06=8EA4D1497CD7A39D48 + 4883.50ns INFO [00004885] Port=0 WR @04=005F8E3E50A94D8331 + 4885.50ns INFO [00004887] Port=1 RD @06 + 4887.50ns INFO [00004889] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48 + 4889.50ns INFO [00004891] Port=0 WR @07=6DC38DC24902A81DFD + 4890.50ns INFO [00004892] Port=0 RD @06 + 4891.50ns INFO [00004893] Port=0 RD @07 + 4891.50ns INFO [00004893] Port=1 RD @06 + 4892.50ns INFO [00004894] * RD COMPARE * port=0 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48 + 4892.50ns INFO [00004894] Port=0 WR @01=DFC3E111497D9A9844 + 4892.50ns INFO [00004894] Port=0 RD @07 + 4892.50ns INFO [00004894] Port=1 RD @07 + 4893.50ns INFO [00004895] * RD COMPARE * port=0 adr=07 act=6DC38DC24902A81DFD exp=6DC38DC24902A81DFD + 4893.50ns INFO [00004895] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48 + 4893.50ns INFO [00004895] Port=1 RD @02 + 4894.50ns INFO [00004896] * RD COMPARE * port=0 adr=07 act=6DC38DC24902A81DFD exp=6DC38DC24902A81DFD + 4894.50ns INFO [00004896] * RD COMPARE * port=1 adr=07 act=6DC38DC24902A81DFD exp=6DC38DC24902A81DFD + 4894.50ns INFO [00004896] Port=0 RD @07 + 4895.50ns INFO [00004897] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F + 4895.50ns INFO [00004897] Port=1 RD @01 + 4896.50ns INFO [00004898] * RD COMPARE * port=0 adr=07 act=6DC38DC24902A81DFD exp=6DC38DC24902A81DFD + 4897.50ns INFO [00004899] * RD COMPARE * port=1 adr=01 act=DFC3E111497D9A9844 exp=DFC3E111497D9A9844 + 4897.50ns INFO [00004899] Port=0 WR @01=5B65EAE4F66EFC71FC + 4897.50ns INFO [00004899] Port=1 RD @00 + 4898.00ns INFO [00004900] [00004900] ...tick... + 4898.50ns INFO [00004900] Port=0 WR @03=43B053B790688A63FC + 4898.50ns INFO [00004900] Port=1 RD @06 + 4899.50ns INFO [00004901] * RD COMPARE * port=1 adr=00 act=4036FBB1048C636799 exp=4036FBB1048C636799 + 4899.50ns INFO [00004901] Port=0 WR @00=C5926CD961BBF40F78 + 4900.50ns INFO [00004902] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48 + 4901.50ns INFO [00004903] Port=0 WR @07=6E479C21618C758278 + 4902.50ns INFO [00004904] Port=0 WR @02=9370DFDD1FE9CE22A4 + 4902.50ns INFO [00004904] Port=0 RD @07 + 4903.50ns INFO [00004905] Port=0 WR @04=C27525E04B8599BA44 + 4904.50ns INFO [00004906] * RD COMPARE * port=0 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278 + 4905.50ns INFO [00004907] Port=0 RD @01 + 4906.50ns INFO [00004908] Port=0 WR @02=5548AC18441A19E65C + 4906.50ns INFO [00004908] Port=1 RD @07 + 4907.50ns INFO [00004909] * RD COMPARE * port=0 adr=01 act=5B65EAE4F66EFC71FC exp=5B65EAE4F66EFC71FC + 4907.50ns INFO [00004909] Port=0 WR @02=52CAC25B5FE1C95FDA + 4907.50ns INFO [00004909] Port=0 RD @01 + 4907.50ns INFO [00004909] Port=1 RD @06 + 4908.50ns INFO [00004910] * RD COMPARE * port=1 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278 + 4908.50ns INFO [00004910] Port=0 RD @07 + 4909.50ns INFO [00004911] * RD COMPARE * port=0 adr=01 act=5B65EAE4F66EFC71FC exp=5B65EAE4F66EFC71FC + 4909.50ns INFO [00004911] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48 + 4910.50ns INFO [00004912] * RD COMPARE * port=0 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278 + 4911.50ns INFO [00004913] Port=0 WR @00=F10BE6707BFE804B62 + 4913.50ns INFO [00004915] Port=0 RD @01 + 4914.50ns INFO [00004916] Port=0 WR @00=ECAEDF7AE02E4D82FC + 4914.50ns INFO [00004916] Port=0 RD @03 + 4915.50ns INFO [00004917] * RD COMPARE * port=0 adr=01 act=5B65EAE4F66EFC71FC exp=5B65EAE4F66EFC71FC + 4915.50ns INFO [00004917] Port=0 RD @05 + 4916.50ns INFO [00004918] * RD COMPARE * port=0 adr=03 act=43B053B790688A63FC exp=43B053B790688A63FC + 4916.50ns INFO [00004918] Port=0 WR @00=B42211F26A95543E0B + 4917.50ns INFO [00004919] * RD COMPARE * port=0 adr=05 act=E97DC62343B42DAAAE exp=E97DC62343B42DAAAE + 4917.50ns INFO [00004919] Port=0 RD @07 + 4919.50ns INFO [00004921] * RD COMPARE * port=0 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278 + 4919.50ns INFO [00004921] Port=0 WR @01=772F05370C2F23AEBC + 4919.50ns INFO [00004921] Port=0 RD @07 + 4919.50ns INFO [00004921] Port=1 RD @07 + 4920.50ns INFO [00004922] Port=0 WR @01=452FCBC851C0919FDF + 4920.50ns INFO [00004922] Port=1 RD @07 + 4921.50ns INFO [00004923] * RD COMPARE * port=0 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278 + 4921.50ns INFO [00004923] * RD COMPARE * port=1 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278 + 4922.50ns INFO [00004924] * RD COMPARE * port=1 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278 + 4922.50ns INFO [00004924] Port=0 WR @02=9CDE98C344BC0ADCD3 + 4922.50ns INFO [00004924] Port=0 RD @04 + 4922.50ns INFO [00004924] Port=1 RD @07 + 4923.50ns INFO [00004925] Port=0 WR @05=C1902487BE181CBB5A + 4923.50ns INFO [00004925] Port=0 RD @03 + 4923.50ns INFO [00004925] Port=1 RD @06 + 4924.50ns INFO [00004926] * RD COMPARE * port=0 adr=04 act=C27525E04B8599BA44 exp=C27525E04B8599BA44 + 4924.50ns INFO [00004926] * RD COMPARE * port=1 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278 + 4924.50ns INFO [00004926] Port=0 RD @00 + 4924.50ns INFO [00004926] Port=1 RD @05 + 4925.50ns INFO [00004927] * RD COMPARE * port=0 adr=03 act=43B053B790688A63FC exp=43B053B790688A63FC + 4925.50ns INFO [00004927] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48 + 4925.50ns INFO [00004927] Port=0 RD @00 + 4926.50ns INFO [00004928] * RD COMPARE * port=0 adr=00 act=B42211F26A95543E0B exp=B42211F26A95543E0B + 4926.50ns INFO [00004928] * RD COMPARE * port=1 adr=05 act=C1902487BE181CBB5A exp=C1902487BE181CBB5A + 4926.50ns INFO [00004928] Port=0 WR @04=1A05BDF6EEC3B537B8 + 4926.50ns INFO [00004928] Port=0 RD @00 + 4926.50ns INFO [00004928] Port=1 RD @06 + 4927.50ns INFO [00004929] * RD COMPARE * port=0 adr=00 act=B42211F26A95543E0B exp=B42211F26A95543E0B + 4927.50ns INFO [00004929] Port=0 WR @06=83172055BFBC289BDA + 4928.50ns INFO [00004930] * RD COMPARE * port=0 adr=00 act=B42211F26A95543E0B exp=B42211F26A95543E0B + 4928.50ns INFO [00004930] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48 + 4928.50ns INFO [00004930] Port=0 RD @07 + 4928.50ns INFO [00004930] Port=1 RD @02 + 4929.50ns INFO [00004931] Port=0 WR @01=3178933DDE7645B73D + 4929.50ns INFO [00004931] Port=1 RD @03 + 4930.50ns INFO [00004932] * RD COMPARE * port=0 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278 + 4930.50ns INFO [00004932] * RD COMPARE * port=1 adr=02 act=9CDE98C344BC0ADCD3 exp=9CDE98C344BC0ADCD3 + 4930.50ns INFO [00004932] Port=1 RD @04 + 4931.50ns INFO [00004933] * RD COMPARE * port=1 adr=03 act=43B053B790688A63FC exp=43B053B790688A63FC + 4931.50ns INFO [00004933] Port=0 RD @04 + 4931.50ns INFO [00004933] Port=1 RD @03 + 4932.50ns INFO [00004934] * RD COMPARE * port=1 adr=04 act=1A05BDF6EEC3B537B8 exp=1A05BDF6EEC3B537B8 + 4932.50ns INFO [00004934] Port=0 WR @05=CEC88829F7AA30FA4F + 4932.50ns INFO [00004934] Port=1 RD @01 + 4933.50ns INFO [00004935] * RD COMPARE * port=0 adr=04 act=1A05BDF6EEC3B537B8 exp=1A05BDF6EEC3B537B8 + 4933.50ns INFO [00004935] * RD COMPARE * port=1 adr=03 act=43B053B790688A63FC exp=43B053B790688A63FC + 4933.50ns INFO [00004935] Port=0 RD @06 + 4934.50ns INFO [00004936] * RD COMPARE * port=1 adr=01 act=3178933DDE7645B73D exp=3178933DDE7645B73D + 4935.50ns INFO [00004937] * RD COMPARE * port=0 adr=06 act=83172055BFBC289BDA exp=83172055BFBC289BDA + 4935.50ns INFO [00004937] Port=0 WR @00=8B5DD4B0075D02BC56 + 4935.50ns INFO [00004937] Port=0 RD @05 + 4935.50ns INFO [00004937] Port=1 RD @02 + 4936.50ns INFO [00004938] Port=0 RD @00 + 4937.50ns INFO [00004939] * RD COMPARE * port=0 adr=05 act=CEC88829F7AA30FA4F exp=CEC88829F7AA30FA4F + 4937.50ns INFO [00004939] * RD COMPARE * port=1 adr=02 act=9CDE98C344BC0ADCD3 exp=9CDE98C344BC0ADCD3 + 4937.50ns INFO [00004939] Port=1 RD @01 + 4938.50ns INFO [00004940] * RD COMPARE * port=0 adr=00 act=8B5DD4B0075D02BC56 exp=8B5DD4B0075D02BC56 + 4939.50ns INFO [00004941] * RD COMPARE * port=1 adr=01 act=3178933DDE7645B73D exp=3178933DDE7645B73D + 4939.50ns INFO [00004941] Port=0 WR @05=1AAB40844A3B38D18E + 4939.50ns INFO [00004941] Port=0 RD @02 + 4939.50ns INFO [00004941] Port=1 RD @07 + 4940.50ns INFO [00004942] Port=0 WR @00=64EC8AEE8935397C28 + 4940.50ns INFO [00004942] Port=0 RD @02 + 4940.50ns INFO [00004942] Port=1 RD @01 + 4941.50ns INFO [00004943] * RD COMPARE * port=0 adr=02 act=9CDE98C344BC0ADCD3 exp=9CDE98C344BC0ADCD3 + 4941.50ns INFO [00004943] * RD COMPARE * port=1 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278 + 4941.50ns INFO [00004943] Port=0 WR @07=AEF15E2123C0D0C68C + 4941.50ns INFO [00004943] Port=1 RD @01 + 4942.50ns INFO [00004944] * RD COMPARE * port=0 adr=02 act=9CDE98C344BC0ADCD3 exp=9CDE98C344BC0ADCD3 + 4942.50ns INFO [00004944] * RD COMPARE * port=1 adr=01 act=3178933DDE7645B73D exp=3178933DDE7645B73D + 4942.50ns INFO [00004944] Port=0 WR @07=E267727F5832C46F5A + 4943.50ns INFO [00004945] * RD COMPARE * port=1 adr=01 act=3178933DDE7645B73D exp=3178933DDE7645B73D + 4943.50ns INFO [00004945] Port=1 RD @07 + 4945.50ns INFO [00004947] * RD COMPARE * port=1 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A + 4945.50ns INFO [00004947] Port=0 WR @06=3D0058EF5CFAA22AFF + 4945.50ns INFO [00004947] Port=0 RD @04 + 4946.50ns INFO [00004948] Port=0 RD @00 + 4946.50ns INFO [00004948] Port=1 RD @07 + 4947.50ns INFO [00004949] * RD COMPARE * port=0 adr=04 act=1A05BDF6EEC3B537B8 exp=1A05BDF6EEC3B537B8 + 4947.50ns INFO [00004949] Port=0 WR @03=D37D0ECE4DE2C541EF + 4947.50ns INFO [00004949] Port=0 RD @07 + 4948.50ns INFO [00004950] * RD COMPARE * port=0 adr=00 act=64EC8AEE8935397C28 exp=64EC8AEE8935397C28 + 4948.50ns INFO [00004950] * RD COMPARE * port=1 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A + 4948.50ns INFO [00004950] Port=0 RD @04 + 4949.50ns INFO [00004951] * RD COMPARE * port=0 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A + 4949.50ns INFO [00004951] Port=0 WR @02=8B4D9C2EEBD7B9A6A1 + 4949.50ns INFO [00004951] Port=1 RD @07 + 4950.50ns INFO [00004952] * RD COMPARE * port=0 adr=04 act=1A05BDF6EEC3B537B8 exp=1A05BDF6EEC3B537B8 + 4950.50ns INFO [00004952] Port=0 WR @03=0E6D4763CD373CA4EB + 4951.50ns INFO [00004953] * RD COMPARE * port=1 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A + 4951.50ns INFO [00004953] Port=0 WR @03=95663A7578E5F6982E + 4952.50ns INFO [00004954] Port=0 RD @07 + 4953.50ns INFO [00004955] Port=1 RD @06 + 4954.50ns INFO [00004956] * RD COMPARE * port=0 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A + 4954.50ns INFO [00004956] Port=0 RD @03 + 4955.50ns INFO [00004957] * RD COMPARE * port=1 adr=06 act=3D0058EF5CFAA22AFF exp=3D0058EF5CFAA22AFF + 4955.50ns INFO [00004957] Port=0 WR @00=6E437EC9DC179E3C67 + 4955.50ns INFO [00004957] Port=0 RD @07 + 4955.50ns INFO [00004957] Port=1 RD @06 + 4956.50ns INFO [00004958] * RD COMPARE * port=0 adr=03 act=95663A7578E5F6982E exp=95663A7578E5F6982E + 4956.50ns INFO [00004958] Port=0 RD @00 + 4956.50ns INFO [00004958] Port=1 RD @02 + 4957.50ns INFO [00004959] * RD COMPARE * port=0 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A + 4957.50ns INFO [00004959] * RD COMPARE * port=1 adr=06 act=3D0058EF5CFAA22AFF exp=3D0058EF5CFAA22AFF + 4957.50ns INFO [00004959] Port=1 RD @04 + 4958.50ns INFO [00004960] * RD COMPARE * port=0 adr=00 act=6E437EC9DC179E3C67 exp=6E437EC9DC179E3C67 + 4958.50ns INFO [00004960] * RD COMPARE * port=1 adr=02 act=8B4D9C2EEBD7B9A6A1 exp=8B4D9C2EEBD7B9A6A1 + 4958.50ns INFO [00004960] Port=0 WR @04=826F93468DE06BEAC3 + 4958.50ns INFO [00004960] Port=0 RD @03 + 4958.50ns INFO [00004960] Port=1 RD @02 + 4959.50ns INFO [00004961] * RD COMPARE * port=1 adr=04 act=1A05BDF6EEC3B537B8 exp=1A05BDF6EEC3B537B8 + 4959.50ns INFO [00004961] Port=0 WR @06=01AE5796F168C205B8 + 4960.50ns INFO [00004962] * RD COMPARE * port=0 adr=03 act=95663A7578E5F6982E exp=95663A7578E5F6982E + 4960.50ns INFO [00004962] * RD COMPARE * port=1 adr=02 act=8B4D9C2EEBD7B9A6A1 exp=8B4D9C2EEBD7B9A6A1 + 4961.50ns INFO [00004963] Port=0 WR @03=2588E40D6626797069 + 4962.50ns INFO [00004964] Port=0 RD @03 + 4963.50ns INFO [00004965] Port=0 RD @00 + 4964.50ns INFO [00004966] * RD COMPARE * port=0 adr=03 act=2588E40D6626797069 exp=2588E40D6626797069 + 4965.50ns INFO [00004967] * RD COMPARE * port=0 adr=00 act=6E437EC9DC179E3C67 exp=6E437EC9DC179E3C67 + 4965.50ns INFO [00004967] Port=0 WR @07=4562A83D8202BB15FE + 4966.50ns INFO [00004968] Port=0 WR @03=93A311751EB4EB0782 + 4966.50ns INFO [00004968] Port=0 RD @02 + 4967.50ns INFO [00004969] Port=0 WR @05=4C76F88AA8CB0733E6 + 4968.50ns INFO [00004970] * RD COMPARE * port=0 adr=02 act=8B4D9C2EEBD7B9A6A1 exp=8B4D9C2EEBD7B9A6A1 + 4968.50ns INFO [00004970] Port=1 RD @07 + 4970.50ns INFO [00004972] * RD COMPARE * port=1 adr=07 act=4562A83D8202BB15FE exp=4562A83D8202BB15FE + 4970.50ns INFO [00004972] Port=0 WR @06=C1DB1A0BA2A018FFD2 + 4970.50ns INFO [00004972] Port=1 RD @05 + 4972.50ns INFO [00004974] * RD COMPARE * port=1 adr=05 act=4C76F88AA8CB0733E6 exp=4C76F88AA8CB0733E6 + 4972.50ns INFO [00004974] Port=0 WR @00=E4BFD7669EFE30A1C2 + 4974.50ns INFO [00004976] Port=0 WR @05=E298D79F037A03C51C + 4974.50ns INFO [00004976] Port=0 RD @00 + 4976.50ns INFO [00004978] * RD COMPARE * port=0 adr=00 act=E4BFD7669EFE30A1C2 exp=E4BFD7669EFE30A1C2 + 4976.50ns INFO [00004978] Port=0 RD @05 + 4977.50ns INFO [00004979] Port=1 RD @05 + 4978.50ns INFO [00004980] * RD COMPARE * port=0 adr=05 act=E298D79F037A03C51C exp=E298D79F037A03C51C + 4978.50ns INFO [00004980] Port=1 RD @04 + 4979.50ns INFO [00004981] * RD COMPARE * port=1 adr=05 act=E298D79F037A03C51C exp=E298D79F037A03C51C + 4979.50ns INFO [00004981] Port=0 WR @01=BF1579CD045CE12628 + 4979.50ns INFO [00004981] Port=1 RD @03 + 4980.50ns INFO [00004982] * RD COMPARE * port=1 adr=04 act=826F93468DE06BEAC3 exp=826F93468DE06BEAC3 + 4980.50ns INFO [00004982] Port=0 RD @07 + 4980.50ns INFO [00004982] Port=1 RD @04 + 4981.50ns INFO [00004983] * RD COMPARE * port=1 adr=03 act=93A311751EB4EB0782 exp=93A311751EB4EB0782 + 4981.50ns INFO [00004983] Port=0 WR @07=3213A4DFB873C03001 + 4981.50ns INFO [00004983] Port=1 RD @06 + 4982.50ns INFO [00004984] * RD COMPARE * port=0 adr=07 act=4562A83D8202BB15FE exp=4562A83D8202BB15FE + 4982.50ns INFO [00004984] * RD COMPARE * port=1 adr=04 act=826F93468DE06BEAC3 exp=826F93468DE06BEAC3 + 4982.50ns INFO [00004984] Port=1 RD @05 + 4983.50ns INFO [00004985] * RD COMPARE * port=1 adr=06 act=C1DB1A0BA2A018FFD2 exp=C1DB1A0BA2A018FFD2 + 4983.50ns INFO [00004985] Port=0 RD @03 + 4984.50ns INFO [00004986] * RD COMPARE * port=1 adr=05 act=E298D79F037A03C51C exp=E298D79F037A03C51C + 4984.50ns INFO [00004986] Port=1 RD @00 + 4985.50ns INFO [00004987] * RD COMPARE * port=0 adr=03 act=93A311751EB4EB0782 exp=93A311751EB4EB0782 + 4985.50ns INFO [00004987] Port=0 WR @02=7FEEC5CF631EA10B65 + 4985.50ns INFO [00004987] Port=0 RD @03 + 4985.50ns INFO [00004987] Port=1 RD @06 + 4986.50ns INFO [00004988] * RD COMPARE * port=1 adr=00 act=E4BFD7669EFE30A1C2 exp=E4BFD7669EFE30A1C2 + 4986.50ns INFO [00004988] Port=0 WR @03=4EA581CA1B543FCFFF + 4986.50ns INFO [00004988] Port=0 RD @06 + 4987.50ns INFO [00004989] * RD COMPARE * port=0 adr=03 act=93A311751EB4EB0782 exp=93A311751EB4EB0782 + 4987.50ns INFO [00004989] * RD COMPARE * port=1 adr=06 act=C1DB1A0BA2A018FFD2 exp=C1DB1A0BA2A018FFD2 + 4988.50ns INFO [00004990] * RD COMPARE * port=0 adr=06 act=C1DB1A0BA2A018FFD2 exp=C1DB1A0BA2A018FFD2 + 4988.50ns INFO [00004990] Port=0 WR @04=942706190F3B64055E + 4988.50ns INFO [00004990] Port=0 RD @02 + 4988.50ns INFO [00004990] Port=1 RD @06 + 4989.50ns INFO [00004991] Port=0 WR @05=9DD14833AD1C503CB2 + 4990.50ns INFO [00004992] * RD COMPARE * port=0 adr=02 act=7FEEC5CF631EA10B65 exp=7FEEC5CF631EA10B65 + 4990.50ns INFO [00004992] * RD COMPARE * port=1 adr=06 act=C1DB1A0BA2A018FFD2 exp=C1DB1A0BA2A018FFD2 + 4990.50ns INFO [00004992] Port=0 WR @02=8EE5ED4E20B90A767A + 4990.50ns INFO [00004992] Port=1 RD @00 + 4991.50ns INFO [00004993] Port=0 RD @04 + 4992.50ns INFO [00004994] * RD COMPARE * port=1 adr=00 act=E4BFD7669EFE30A1C2 exp=E4BFD7669EFE30A1C2 + 4992.50ns INFO [00004994] Port=0 WR @06=BDA2A3FA9921E3E617 + 4992.50ns INFO [00004994] Port=1 RD @07 + 4993.50ns INFO [00004995] * RD COMPARE * port=0 adr=04 act=942706190F3B64055E exp=942706190F3B64055E + 4993.50ns INFO [00004995] Port=1 RD @07 + 4994.50ns INFO [00004996] * RD COMPARE * port=1 adr=07 act=3213A4DFB873C03001 exp=3213A4DFB873C03001 + 4994.50ns INFO [00004996] Port=0 RD @04 + 4995.50ns INFO [00004997] * RD COMPARE * port=1 adr=07 act=3213A4DFB873C03001 exp=3213A4DFB873C03001 + 4995.50ns INFO [00004997] Port=1 RD @00 + 4996.50ns INFO [00004998] * RD COMPARE * port=0 adr=04 act=942706190F3B64055E exp=942706190F3B64055E + 4996.50ns INFO [00004998] Port=0 RD @02 + 4996.50ns INFO [00004998] Port=1 RD @07 + 4997.50ns INFO [00004999] * RD COMPARE * port=1 adr=00 act=E4BFD7669EFE30A1C2 exp=E4BFD7669EFE30A1C2 + 4997.50ns INFO [00004999] Port=1 RD @05 + 4998.00ns INFO [00005000] [00005000] ...tick... + 4998.50ns INFO [00005000] * RD COMPARE * port=0 adr=02 act=8EE5ED4E20B90A767A exp=8EE5ED4E20B90A767A + 4998.50ns INFO [00005000] * RD COMPARE * port=1 adr=07 act=3213A4DFB873C03001 exp=3213A4DFB873C03001 + 4998.50ns INFO [00005000] Port=0 WR @00=FC24715D052A0C608F + 4999.50ns INFO [00005001] * RD COMPARE * port=1 adr=05 act=9DD14833AD1C503CB2 exp=9DD14833AD1C503CB2 + 5000.50ns INFO [00005002] Port=0 WR @02=4BBC474B64D60A395D + 5000.50ns INFO [00005002] Port=1 RD @05 + 5002.50ns INFO [00005004] * RD COMPARE * port=1 adr=05 act=9DD14833AD1C503CB2 exp=9DD14833AD1C503CB2 + 5002.50ns INFO [00005004] Port=0 RD @07 + 5004.50ns INFO [00005006] * RD COMPARE * port=0 adr=07 act=3213A4DFB873C03001 exp=3213A4DFB873C03001 + 5005.50ns INFO [00005007] Port=0 WR @07=CD727DFD0613E6B115 + 5006.50ns INFO [00005008] Port=0 WR @02=A3A7AE5A02FB469DE2 + 5008.50ns INFO [00005010] Port=0 RD @00 + 5008.50ns INFO [00005010] Port=1 RD @04 + 5009.50ns INFO [00005011] Port=0 WR @00=C46B6F04FC9C13393B + 5009.50ns INFO [00005011] Port=0 RD @03 + 5010.50ns INFO [00005012] * RD COMPARE * port=0 adr=00 act=FC24715D052A0C608F exp=FC24715D052A0C608F + 5010.50ns INFO [00005012] * RD COMPARE * port=1 adr=04 act=942706190F3B64055E exp=942706190F3B64055E + 5010.50ns INFO [00005012] Port=0 WR @00=EBE2F8876D854977C0 + 5011.50ns INFO [00005013] * RD COMPARE * port=0 adr=03 act=4EA581CA1B543FCFFF exp=4EA581CA1B543FCFFF + 5012.50ns INFO [00005014] Port=0 WR @00=4A950B3544ECCEF1F5 + 5012.50ns INFO [00005014] Port=0 RD @02 + 5013.50ns INFO [00005015] Port=1 RD @04 + 5014.50ns INFO [00005016] * RD COMPARE * port=0 adr=02 act=A3A7AE5A02FB469DE2 exp=A3A7AE5A02FB469DE2 + 5014.50ns INFO [00005016] Port=1 RD @03 + 5015.50ns INFO [00005017] * RD COMPARE * port=1 adr=04 act=942706190F3B64055E exp=942706190F3B64055E + 5015.50ns INFO [00005017] Port=0 WR @03=5F39894DCE05515B70 + 5015.50ns INFO [00005017] Port=1 RD @02 + 5016.50ns INFO [00005018] * RD COMPARE * port=1 adr=03 act=4EA581CA1B543FCFFF exp=4EA581CA1B543FCFFF + 5016.50ns INFO [00005018] Port=0 WR @06=957A0DD9A24A75462B + 5016.50ns INFO [00005018] Port=1 RD @05 + 5017.50ns INFO [00005019] * RD COMPARE * port=1 adr=02 act=A3A7AE5A02FB469DE2 exp=A3A7AE5A02FB469DE2 + 5017.50ns INFO [00005019] Port=0 RD @03 + 5018.50ns INFO [00005020] * RD COMPARE * port=1 adr=05 act=9DD14833AD1C503CB2 exp=9DD14833AD1C503CB2 + 5019.50ns INFO [00005021] * RD COMPARE * port=0 adr=03 act=5F39894DCE05515B70 exp=5F39894DCE05515B70 + 5021.50ns INFO [00005023] Port=0 WR @06=69E3D2080BA3774174 + 5021.50ns INFO [00005023] Port=0 RD @01 + 5021.50ns INFO [00005023] Port=1 RD @03 + 5022.50ns INFO [00005024] Port=0 WR @05=3B85E14CDFB850C029 + 5022.50ns INFO [00005024] Port=0 RD @01 + 5023.50ns INFO [00005025] * RD COMPARE * port=0 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628 + 5023.50ns INFO [00005025] * RD COMPARE * port=1 adr=03 act=5F39894DCE05515B70 exp=5F39894DCE05515B70 + 5024.50ns INFO [00005026] * RD COMPARE * port=0 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628 + 5024.50ns INFO [00005026] Port=0 RD @04 + 5026.50ns INFO [00005028] * RD COMPARE * port=0 adr=04 act=942706190F3B64055E exp=942706190F3B64055E + 5027.50ns INFO [00005029] Port=1 RD @05 + 5028.50ns INFO [00005030] Port=0 RD @01 + 5028.50ns INFO [00005030] Port=1 RD @03 + 5029.50ns INFO [00005031] * RD COMPARE * port=1 adr=05 act=3B85E14CDFB850C029 exp=3B85E14CDFB850C029 + 5029.50ns INFO [00005031] Port=0 WR @02=8403887D2B7AD95993 + 5029.50ns INFO [00005031] Port=0 RD @03 + 5030.50ns INFO [00005032] * RD COMPARE * port=0 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628 + 5030.50ns INFO [00005032] * RD COMPARE * port=1 adr=03 act=5F39894DCE05515B70 exp=5F39894DCE05515B70 + 5030.50ns INFO [00005032] Port=0 RD @04 + 5030.50ns INFO [00005032] Port=1 RD @01 + 5031.50ns INFO [00005033] * RD COMPARE * port=0 adr=03 act=5F39894DCE05515B70 exp=5F39894DCE05515B70 + 5032.50ns INFO [00005034] * RD COMPARE * port=0 adr=04 act=942706190F3B64055E exp=942706190F3B64055E + 5032.50ns INFO [00005034] * RD COMPARE * port=1 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628 + 5032.50ns INFO [00005034] Port=0 WR @06=967926EA2CCF68A49B + 5032.50ns INFO [00005034] Port=0 RD @03 + 5034.50ns INFO [00005036] * RD COMPARE * port=0 adr=03 act=5F39894DCE05515B70 exp=5F39894DCE05515B70 + 5034.50ns INFO [00005036] Port=0 WR @03=08A0BACE88F43F7231 + 5036.50ns INFO [00005038] Port=0 WR @00=3377533E994970318C + 5037.50ns INFO [00005039] Port=0 WR @04=E0F05E252E57BF2971 + 5037.50ns INFO [00005039] Port=1 RD @06 + 5038.50ns INFO [00005040] Port=1 RD @07 + 5039.50ns INFO [00005041] * RD COMPARE * port=1 adr=06 act=967926EA2CCF68A49B exp=967926EA2CCF68A49B + 5040.50ns INFO [00005042] * RD COMPARE * port=1 adr=07 act=CD727DFD0613E6B115 exp=CD727DFD0613E6B115 + 5040.50ns INFO [00005042] Port=0 RD @03 + 5041.50ns INFO [00005043] Port=0 RD @02 + 5041.50ns INFO [00005043] Port=1 RD @02 + 5042.50ns INFO [00005044] * RD COMPARE * port=0 adr=03 act=08A0BACE88F43F7231 exp=08A0BACE88F43F7231 + 5042.50ns INFO [00005044] Port=1 RD @05 + 5043.50ns INFO [00005045] * RD COMPARE * port=0 adr=02 act=8403887D2B7AD95993 exp=8403887D2B7AD95993 + 5043.50ns INFO [00005045] * RD COMPARE * port=1 adr=02 act=8403887D2B7AD95993 exp=8403887D2B7AD95993 + 5043.50ns INFO [00005045] Port=0 RD @00 + 5043.50ns INFO [00005045] Port=1 RD @00 + 5044.50ns INFO [00005046] * RD COMPARE * port=1 adr=05 act=3B85E14CDFB850C029 exp=3B85E14CDFB850C029 + 5044.50ns INFO [00005046] Port=0 WR @04=37A259BB66B5C8CD3B + 5044.50ns INFO [00005046] Port=0 RD @07 + 5044.50ns INFO [00005046] Port=1 RD @05 + 5045.50ns INFO [00005047] * RD COMPARE * port=0 adr=00 act=3377533E994970318C exp=3377533E994970318C + 5045.50ns INFO [00005047] * RD COMPARE * port=1 adr=00 act=3377533E994970318C exp=3377533E994970318C + 5045.50ns INFO [00005047] Port=0 RD @01 + 5046.50ns INFO [00005048] * RD COMPARE * port=0 adr=07 act=CD727DFD0613E6B115 exp=CD727DFD0613E6B115 + 5046.50ns INFO [00005048] * RD COMPARE * port=1 adr=05 act=3B85E14CDFB850C029 exp=3B85E14CDFB850C029 + 5047.50ns INFO [00005049] * RD COMPARE * port=0 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628 + 5048.50ns INFO [00005050] Port=0 WR @05=44F8BBBDE63B90FD13 + 5049.50ns INFO [00005051] Port=0 RD @05 + 5050.50ns INFO [00005052] Port=0 RD @03 + 5051.50ns INFO [00005053] * RD COMPARE * port=0 adr=05 act=44F8BBBDE63B90FD13 exp=44F8BBBDE63B90FD13 + 5051.50ns INFO [00005053] Port=0 RD @05 + 5052.50ns INFO [00005054] * RD COMPARE * port=0 adr=03 act=08A0BACE88F43F7231 exp=08A0BACE88F43F7231 + 5053.50ns INFO [00005055] * RD COMPARE * port=0 adr=05 act=44F8BBBDE63B90FD13 exp=44F8BBBDE63B90FD13 + 5053.50ns INFO [00005055] Port=0 RD @04 + 5054.50ns INFO [00005056] Port=0 WR @07=8BC964C228596E3669 + 5055.50ns INFO [00005057] * RD COMPARE * port=0 adr=04 act=37A259BB66B5C8CD3B exp=37A259BB66B5C8CD3B + 5055.50ns INFO [00005057] Port=0 WR @03=DB610EABE06770874F + 5055.50ns INFO [00005057] Port=1 RD @05 + 5057.50ns INFO [00005059] * RD COMPARE * port=1 adr=05 act=44F8BBBDE63B90FD13 exp=44F8BBBDE63B90FD13 + 5057.50ns INFO [00005059] Port=0 WR @03=35A5AC867D787D4B98 + 5058.50ns INFO [00005060] Port=0 WR @02=77E5E9D8ED2EE65774 + 5058.50ns INFO [00005060] Port=1 RD @01 + 5059.50ns INFO [00005061] Port=0 WR @00=9540C88381BDA00953 + 5060.50ns INFO [00005062] * RD COMPARE * port=1 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628 + 5060.50ns INFO [00005062] Port=0 RD @03 + 5061.50ns INFO [00005063] Port=0 WR @07=28CE9497EEB5C30CDA + 5062.50ns INFO [00005064] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5062.50ns INFO [00005064] Port=0 WR @04=924232C3A1F1EF60A9 + 5062.50ns INFO [00005064] Port=0 RD @01 + 5062.50ns INFO [00005064] Port=1 RD @00 + 5063.50ns INFO [00005065] Port=0 RD @03 + 5064.50ns INFO [00005066] * RD COMPARE * port=0 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628 + 5064.50ns INFO [00005066] * RD COMPARE * port=1 adr=00 act=9540C88381BDA00953 exp=9540C88381BDA00953 + 5064.50ns INFO [00005066] Port=0 RD @07 + 5064.50ns INFO [00005066] Port=1 RD @06 + 5065.50ns INFO [00005067] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5066.50ns INFO [00005068] * RD COMPARE * port=0 adr=07 act=28CE9497EEB5C30CDA exp=28CE9497EEB5C30CDA + 5066.50ns INFO [00005068] * RD COMPARE * port=1 adr=06 act=967926EA2CCF68A49B exp=967926EA2CCF68A49B + 5066.50ns INFO [00005068] Port=0 WR @05=52A48BB06B6AF556A8 + 5066.50ns INFO [00005068] Port=1 RD @04 + 5067.50ns INFO [00005069] Port=0 WR @00=8031252E3B9F517831 + 5067.50ns INFO [00005069] Port=0 RD @04 + 5068.50ns INFO [00005070] * RD COMPARE * port=1 adr=04 act=924232C3A1F1EF60A9 exp=924232C3A1F1EF60A9 + 5069.50ns INFO [00005071] * RD COMPARE * port=0 adr=04 act=924232C3A1F1EF60A9 exp=924232C3A1F1EF60A9 + 5070.50ns INFO [00005072] Port=0 RD @06 + 5070.50ns INFO [00005072] Port=1 RD @05 + 5071.50ns INFO [00005073] Port=1 RD @05 + 5072.50ns INFO [00005074] * RD COMPARE * port=0 adr=06 act=967926EA2CCF68A49B exp=967926EA2CCF68A49B + 5072.50ns INFO [00005074] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5073.50ns INFO [00005075] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5073.50ns INFO [00005075] Port=0 RD @06 + 5073.50ns INFO [00005075] Port=1 RD @06 + 5074.50ns INFO [00005076] Port=0 RD @02 + 5075.50ns INFO [00005077] * RD COMPARE * port=0 adr=06 act=967926EA2CCF68A49B exp=967926EA2CCF68A49B + 5075.50ns INFO [00005077] * RD COMPARE * port=1 adr=06 act=967926EA2CCF68A49B exp=967926EA2CCF68A49B + 5075.50ns INFO [00005077] Port=0 WR @07=21E52611D70236C8C8 + 5075.50ns INFO [00005077] Port=1 RD @02 + 5076.50ns INFO [00005078] * RD COMPARE * port=0 adr=02 act=77E5E9D8ED2EE65774 exp=77E5E9D8ED2EE65774 + 5076.50ns INFO [00005078] Port=0 WR @04=C6202D6A9ABA4D822D + 5076.50ns INFO [00005078] Port=1 RD @00 + 5077.50ns INFO [00005079] * RD COMPARE * port=1 adr=02 act=77E5E9D8ED2EE65774 exp=77E5E9D8ED2EE65774 + 5077.50ns INFO [00005079] Port=0 WR @04=2913DBCAB08DA15196 + 5077.50ns INFO [00005079] Port=0 RD @07 + 5078.50ns INFO [00005080] * RD COMPARE * port=1 adr=00 act=8031252E3B9F517831 exp=8031252E3B9F517831 + 5078.50ns INFO [00005080] Port=0 WR @04=83A13CF02B3A76C2D6 + 5079.50ns INFO [00005081] * RD COMPARE * port=0 adr=07 act=21E52611D70236C8C8 exp=21E52611D70236C8C8 + 5080.50ns INFO [00005082] Port=0 WR @04=D362CC9B57E805E121 + 5083.50ns INFO [00005085] Port=1 RD @03 + 5084.50ns INFO [00005086] Port=0 RD @05 + 5085.50ns INFO [00005087] * RD COMPARE * port=1 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5085.50ns INFO [00005087] Port=0 WR @01=755F706FA29E4A3C7D + 5085.50ns INFO [00005087] Port=0 RD @03 + 5086.50ns INFO [00005088] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5087.50ns INFO [00005089] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5088.50ns INFO [00005090] Port=0 WR @00=53B7F39ED6EEB788B5 + 5088.50ns INFO [00005090] Port=0 RD @01 + 5089.50ns INFO [00005091] Port=0 RD @04 + 5090.50ns INFO [00005092] * RD COMPARE * port=0 adr=01 act=755F706FA29E4A3C7D exp=755F706FA29E4A3C7D + 5090.50ns INFO [00005092] Port=0 WR @02=8CDE02022778732237 + 5090.50ns INFO [00005092] Port=0 RD @00 + 5090.50ns INFO [00005092] Port=1 RD @03 + 5091.50ns INFO [00005093] * RD COMPARE * port=0 adr=04 act=D362CC9B57E805E121 exp=D362CC9B57E805E121 + 5091.50ns INFO [00005093] Port=0 WR @04=5E95EFE1E1C3CF0D82 + 5091.50ns INFO [00005093] Port=0 RD @05 + 5092.50ns INFO [00005094] * RD COMPARE * port=0 adr=00 act=53B7F39ED6EEB788B5 exp=53B7F39ED6EEB788B5 + 5092.50ns INFO [00005094] * RD COMPARE * port=1 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5092.50ns INFO [00005094] Port=0 WR @00=E9CA0EF8D66326FC76 + 5093.50ns INFO [00005095] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5093.50ns INFO [00005095] Port=0 RD @04 + 5093.50ns INFO [00005095] Port=1 RD @04 + 5095.50ns INFO [00005097] * RD COMPARE * port=0 adr=04 act=5E95EFE1E1C3CF0D82 exp=5E95EFE1E1C3CF0D82 + 5095.50ns INFO [00005097] * RD COMPARE * port=1 adr=04 act=5E95EFE1E1C3CF0D82 exp=5E95EFE1E1C3CF0D82 + 5095.50ns INFO [00005097] Port=0 WR @07=5C6F5C822A9F7EE288 + 5095.50ns INFO [00005097] Port=0 RD @05 + 5095.50ns INFO [00005097] Port=1 RD @05 + 5096.50ns INFO [00005098] Port=0 RD @01 + 5097.50ns INFO [00005099] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5097.50ns INFO [00005099] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5097.50ns INFO [00005099] Port=1 RD @02 + 5098.00ns INFO [00005100] [00005100] ...tick... + 5098.50ns INFO [00005100] * RD COMPARE * port=0 adr=01 act=755F706FA29E4A3C7D exp=755F706FA29E4A3C7D + 5099.50ns INFO [00005101] * RD COMPARE * port=1 adr=02 act=8CDE02022778732237 exp=8CDE02022778732237 + 5100.50ns INFO [00005102] Port=0 WR @07=76BB457C3665B438E2 + 5101.50ns INFO [00005103] Port=0 WR @06=9D7B6E4CAB50450084 + 5102.50ns INFO [00005104] Port=0 WR @06=D9D7C9A03065176CC4 + 5102.50ns INFO [00005104] Port=0 RD @05 + 5102.50ns INFO [00005104] Port=1 RD @04 + 5103.50ns INFO [00005105] Port=0 RD @06 + 5104.50ns INFO [00005106] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5104.50ns INFO [00005106] * RD COMPARE * port=1 adr=04 act=5E95EFE1E1C3CF0D82 exp=5E95EFE1E1C3CF0D82 + 5104.50ns INFO [00005106] Port=0 RD @05 + 5104.50ns INFO [00005106] Port=1 RD @06 + 5105.50ns INFO [00005107] * RD COMPARE * port=0 adr=06 act=D9D7C9A03065176CC4 exp=D9D7C9A03065176CC4 + 5105.50ns INFO [00005107] Port=0 WR @02=959353C312B604FCEF + 5105.50ns INFO [00005107] Port=0 RD @00 + 5106.50ns INFO [00005108] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5106.50ns INFO [00005108] * RD COMPARE * port=1 adr=06 act=D9D7C9A03065176CC4 exp=D9D7C9A03065176CC4 + 5106.50ns INFO [00005108] Port=0 RD @03 + 5106.50ns INFO [00005108] Port=1 RD @06 + 5107.50ns INFO [00005109] * RD COMPARE * port=0 adr=00 act=E9CA0EF8D66326FC76 exp=E9CA0EF8D66326FC76 + 5107.50ns INFO [00005109] Port=1 RD @04 + 5108.50ns INFO [00005110] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5108.50ns INFO [00005110] * RD COMPARE * port=1 adr=06 act=D9D7C9A03065176CC4 exp=D9D7C9A03065176CC4 + 5108.50ns INFO [00005110] Port=1 RD @07 + 5109.50ns INFO [00005111] * RD COMPARE * port=1 adr=04 act=5E95EFE1E1C3CF0D82 exp=5E95EFE1E1C3CF0D82 + 5109.50ns INFO [00005111] Port=1 RD @06 + 5110.50ns INFO [00005112] * RD COMPARE * port=1 adr=07 act=76BB457C3665B438E2 exp=76BB457C3665B438E2 + 5111.50ns INFO [00005113] * RD COMPARE * port=1 adr=06 act=D9D7C9A03065176CC4 exp=D9D7C9A03065176CC4 + 5111.50ns INFO [00005113] Port=0 RD @06 + 5111.50ns INFO [00005113] Port=1 RD @03 + 5112.50ns INFO [00005114] Port=0 RD @02 + 5112.50ns INFO [00005114] Port=1 RD @03 + 5113.50ns INFO [00005115] * RD COMPARE * port=0 adr=06 act=D9D7C9A03065176CC4 exp=D9D7C9A03065176CC4 + 5113.50ns INFO [00005115] * RD COMPARE * port=1 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5113.50ns INFO [00005115] Port=0 RD @03 + 5114.50ns INFO [00005116] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5114.50ns INFO [00005116] * RD COMPARE * port=1 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5115.50ns INFO [00005117] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5115.50ns INFO [00005117] Port=1 RD @02 + 5116.50ns INFO [00005118] Port=0 WR @06=FBC90C14143E97A09A + 5116.50ns INFO [00005118] Port=0 RD @03 + 5117.50ns INFO [00005119] * RD COMPARE * port=1 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5117.50ns INFO [00005119] Port=0 RD @02 + 5118.50ns INFO [00005120] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5118.50ns INFO [00005120] Port=0 RD @03 + 5119.50ns INFO [00005121] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5120.50ns INFO [00005122] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5120.50ns INFO [00005122] Port=0 WR @04=78DE17F40409C9075D + 5121.50ns INFO [00005123] Port=0 WR @06=C43C2E89B136908D2B + 5122.50ns INFO [00005124] Port=0 WR @04=7216DEF5B0F3147325 + 5122.50ns INFO [00005124] Port=1 RD @02 + 5123.50ns INFO [00005125] Port=0 RD @06 + 5124.50ns INFO [00005126] * RD COMPARE * port=1 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5124.50ns INFO [00005126] Port=0 WR @04=320C4B6E72DC1D8BCB + 5124.50ns INFO [00005126] Port=0 RD @00 + 5124.50ns INFO [00005126] Port=1 RD @07 + 5125.50ns INFO [00005127] * RD COMPARE * port=0 adr=06 act=C43C2E89B136908D2B exp=C43C2E89B136908D2B + 5125.50ns INFO [00005127] Port=0 WR @00=11649E34C97077F8A2 + 5125.50ns INFO [00005127] Port=0 RD @02 + 5126.50ns INFO [00005128] * RD COMPARE * port=0 adr=00 act=E9CA0EF8D66326FC76 exp=E9CA0EF8D66326FC76 + 5126.50ns INFO [00005128] * RD COMPARE * port=1 adr=07 act=76BB457C3665B438E2 exp=76BB457C3665B438E2 + 5126.50ns INFO [00005128] Port=0 WR @06=432744BC04E20DCD2C + 5126.50ns INFO [00005128] Port=0 RD @02 + 5126.50ns INFO [00005128] Port=1 RD @03 + 5127.50ns INFO [00005129] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5127.50ns INFO [00005129] Port=0 RD @05 + 5128.50ns INFO [00005130] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5128.50ns INFO [00005130] * RD COMPARE * port=1 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98 + 5128.50ns INFO [00005130] Port=0 WR @03=463EDAEF08A3D5DC99 + 5128.50ns INFO [00005130] Port=1 RD @04 + 5129.50ns INFO [00005131] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5129.50ns INFO [00005131] Port=0 WR @07=9A138A92233ABA5D3F + 5130.50ns INFO [00005132] * RD COMPARE * port=1 adr=04 act=320C4B6E72DC1D8BCB exp=320C4B6E72DC1D8BCB + 5130.50ns INFO [00005132] Port=0 RD @03 + 5131.50ns INFO [00005133] Port=0 RD @05 + 5132.50ns INFO [00005134] * RD COMPARE * port=0 adr=03 act=463EDAEF08A3D5DC99 exp=463EDAEF08A3D5DC99 + 5132.50ns INFO [00005134] Port=0 WR @04=F1702EF51783EC1A56 + 5132.50ns INFO [00005134] Port=1 RD @05 + 5133.50ns INFO [00005135] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5133.50ns INFO [00005135] Port=0 RD @04 + 5134.50ns INFO [00005136] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5134.50ns INFO [00005136] Port=0 WR @00=D349B76ACD9FA718BC + 5134.50ns INFO [00005136] Port=1 RD @03 + 5135.50ns INFO [00005137] * RD COMPARE * port=0 adr=04 act=F1702EF51783EC1A56 exp=F1702EF51783EC1A56 + 5135.50ns INFO [00005137] Port=0 RD @00 + 5135.50ns INFO [00005137] Port=1 RD @01 + 5136.50ns INFO [00005138] * RD COMPARE * port=1 adr=03 act=463EDAEF08A3D5DC99 exp=463EDAEF08A3D5DC99 + 5136.50ns INFO [00005138] Port=0 WR @01=CCFB13CFE8E0F8BF01 + 5137.50ns INFO [00005139] * RD COMPARE * port=0 adr=00 act=D349B76ACD9FA718BC exp=D349B76ACD9FA718BC + 5137.50ns INFO [00005139] * RD COMPARE * port=1 adr=01 act=755F706FA29E4A3C7D exp=755F706FA29E4A3C7D + 5138.50ns INFO [00005140] Port=0 WR @07=13444EA7781767D7EA + 5138.50ns INFO [00005140] Port=0 RD @04 + 5139.50ns INFO [00005141] Port=0 RD @06 + 5139.50ns INFO [00005141] Port=1 RD @06 + 5140.50ns INFO [00005142] * RD COMPARE * port=0 adr=04 act=F1702EF51783EC1A56 exp=F1702EF51783EC1A56 + 5141.50ns INFO [00005143] * RD COMPARE * port=0 adr=06 act=432744BC04E20DCD2C exp=432744BC04E20DCD2C + 5141.50ns INFO [00005143] * RD COMPARE * port=1 adr=06 act=432744BC04E20DCD2C exp=432744BC04E20DCD2C + 5141.50ns INFO [00005143] Port=0 RD @02 + 5142.50ns INFO [00005144] Port=0 RD @02 + 5143.50ns INFO [00005145] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5143.50ns INFO [00005145] Port=0 WR @04=5D67B87FB5B2FDF32C + 5143.50ns INFO [00005145] Port=0 RD @02 + 5143.50ns INFO [00005145] Port=1 RD @01 + 5144.50ns INFO [00005146] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5144.50ns INFO [00005146] Port=0 WR @03=52A676757AB993E6F9 + 5145.50ns INFO [00005147] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5145.50ns INFO [00005147] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5145.50ns INFO [00005147] Port=0 WR @04=B43E6AAFF08BB9AE6F + 5145.50ns INFO [00005147] Port=0 RD @07 + 5147.50ns INFO [00005149] * RD COMPARE * port=0 adr=07 act=13444EA7781767D7EA exp=13444EA7781767D7EA + 5147.50ns INFO [00005149] Port=0 RD @06 + 5148.50ns INFO [00005150] Port=0 RD @01 + 5149.50ns INFO [00005151] * RD COMPARE * port=0 adr=06 act=432744BC04E20DCD2C exp=432744BC04E20DCD2C + 5149.50ns INFO [00005151] Port=0 RD @02 + 5149.50ns INFO [00005151] Port=1 RD @00 + 5150.50ns INFO [00005152] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5150.50ns INFO [00005152] Port=0 WR @07=32BB31125374576315 + 5150.50ns INFO [00005152] Port=0 RD @00 + 5150.50ns INFO [00005152] Port=1 RD @03 + 5151.50ns INFO [00005153] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5151.50ns INFO [00005153] * RD COMPARE * port=1 adr=00 act=D349B76ACD9FA718BC exp=D349B76ACD9FA718BC + 5151.50ns INFO [00005153] Port=0 RD @02 + 5152.50ns INFO [00005154] * RD COMPARE * port=0 adr=00 act=D349B76ACD9FA718BC exp=D349B76ACD9FA718BC + 5152.50ns INFO [00005154] * RD COMPARE * port=1 adr=03 act=52A676757AB993E6F9 exp=52A676757AB993E6F9 + 5152.50ns INFO [00005154] Port=0 WR @06=2119CC2B5B4089393D + 5152.50ns INFO [00005154] Port=0 RD @03 + 5153.50ns INFO [00005155] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF + 5153.50ns INFO [00005155] Port=0 WR @02=A4B7BE1FFA6F503E4C + 5153.50ns INFO [00005155] Port=0 RD @03 + 5154.50ns INFO [00005156] * RD COMPARE * port=0 adr=03 act=52A676757AB993E6F9 exp=52A676757AB993E6F9 + 5154.50ns INFO [00005156] Port=1 RD @01 + 5155.50ns INFO [00005157] * RD COMPARE * port=0 adr=03 act=52A676757AB993E6F9 exp=52A676757AB993E6F9 + 5155.50ns INFO [00005157] Port=0 WR @03=235A425B1B1473F7FA + 5155.50ns INFO [00005157] Port=0 RD @00 + 5156.50ns INFO [00005158] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5157.50ns INFO [00005159] * RD COMPARE * port=0 adr=00 act=D349B76ACD9FA718BC exp=D349B76ACD9FA718BC + 5157.50ns INFO [00005159] Port=0 RD @04 + 5158.50ns INFO [00005160] Port=0 WR @07=8405ED7F37D96A7A03 + 5158.50ns INFO [00005160] Port=1 RD @03 + 5159.50ns INFO [00005161] * RD COMPARE * port=0 adr=04 act=B43E6AAFF08BB9AE6F exp=B43E6AAFF08BB9AE6F + 5160.50ns INFO [00005162] * RD COMPARE * port=1 adr=03 act=235A425B1B1473F7FA exp=235A425B1B1473F7FA + 5162.50ns INFO [00005164] Port=0 WR @06=FAE54FBCA51950D101 + 5162.50ns INFO [00005164] Port=0 RD @07 + 5162.50ns INFO [00005164] Port=1 RD @02 + 5164.50ns INFO [00005166] * RD COMPARE * port=0 adr=07 act=8405ED7F37D96A7A03 exp=8405ED7F37D96A7A03 + 5164.50ns INFO [00005166] * RD COMPARE * port=1 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C + 5164.50ns INFO [00005166] Port=1 RD @07 + 5165.50ns INFO [00005167] Port=1 RD @05 + 5166.50ns INFO [00005168] * RD COMPARE * port=1 adr=07 act=8405ED7F37D96A7A03 exp=8405ED7F37D96A7A03 + 5166.50ns INFO [00005168] Port=0 RD @03 + 5167.50ns INFO [00005169] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5167.50ns INFO [00005169] Port=0 WR @06=C8F0146C2B6B1DF772 + 5167.50ns INFO [00005169] Port=1 RD @03 + 5168.50ns INFO [00005170] * RD COMPARE * port=0 adr=03 act=235A425B1B1473F7FA exp=235A425B1B1473F7FA + 5169.50ns INFO [00005171] * RD COMPARE * port=1 adr=03 act=235A425B1B1473F7FA exp=235A425B1B1473F7FA + 5169.50ns INFO [00005171] Port=0 WR @03=CD2CF953F9B8BA48AD + 5170.50ns INFO [00005172] Port=0 WR @06=C336A6B67285D85F59 + 5170.50ns INFO [00005172] Port=0 RD @03 + 5172.50ns INFO [00005174] * RD COMPARE * port=0 adr=03 act=CD2CF953F9B8BA48AD exp=CD2CF953F9B8BA48AD + 5172.50ns INFO [00005174] Port=0 WR @04=DEE2A6F8BC719D8725 + 5172.50ns INFO [00005174] Port=1 RD @02 + 5173.50ns INFO [00005175] Port=0 WR @03=8A8403ABDFB95D23E0 + 5173.50ns INFO [00005175] Port=0 RD @01 + 5174.50ns INFO [00005176] * RD COMPARE * port=1 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C + 5174.50ns INFO [00005176] Port=0 RD @01 + 5175.50ns INFO [00005177] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5175.50ns INFO [00005177] Port=0 RD @01 + 5175.50ns INFO [00005177] Port=1 RD @01 + 5176.50ns INFO [00005178] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5177.50ns INFO [00005179] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5177.50ns INFO [00005179] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5177.50ns INFO [00005179] Port=0 WR @04=A6C53D8D7B16A481EB + 5177.50ns INFO [00005179] Port=1 RD @05 + 5179.50ns INFO [00005181] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8 + 5179.50ns INFO [00005181] Port=0 WR @00=2046051027167E723D + 5180.50ns INFO [00005182] Port=0 RD @04 + 5182.50ns INFO [00005184] * RD COMPARE * port=0 adr=04 act=A6C53D8D7B16A481EB exp=A6C53D8D7B16A481EB + 5182.50ns INFO [00005184] Port=0 WR @05=89BE57104DBA6C881B + 5182.50ns INFO [00005184] Port=0 RD @06 + 5183.50ns INFO [00005185] Port=0 RD @04 + 5183.50ns INFO [00005185] Port=1 RD @03 + 5184.50ns INFO [00005186] * RD COMPARE * port=0 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59 + 5184.50ns INFO [00005186] Port=0 RD @01 + 5184.50ns INFO [00005186] Port=1 RD @04 + 5185.50ns INFO [00005187] * RD COMPARE * port=0 adr=04 act=A6C53D8D7B16A481EB exp=A6C53D8D7B16A481EB + 5185.50ns INFO [00005187] * RD COMPARE * port=1 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0 + 5185.50ns INFO [00005187] Port=0 RD @06 + 5186.50ns INFO [00005188] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5186.50ns INFO [00005188] * RD COMPARE * port=1 adr=04 act=A6C53D8D7B16A481EB exp=A6C53D8D7B16A481EB + 5187.50ns INFO [00005189] * RD COMPARE * port=0 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59 + 5188.50ns INFO [00005190] Port=0 RD @06 + 5188.50ns INFO [00005190] Port=1 RD @07 + 5189.50ns INFO [00005191] Port=0 RD @06 + 5190.50ns INFO [00005192] * RD COMPARE * port=0 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59 + 5190.50ns INFO [00005192] * RD COMPARE * port=1 adr=07 act=8405ED7F37D96A7A03 exp=8405ED7F37D96A7A03 + 5190.50ns INFO [00005192] Port=0 RD @03 + 5190.50ns INFO [00005192] Port=1 RD @00 + 5191.50ns INFO [00005193] * RD COMPARE * port=0 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59 + 5192.50ns INFO [00005194] * RD COMPARE * port=0 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0 + 5192.50ns INFO [00005194] * RD COMPARE * port=1 adr=00 act=2046051027167E723D exp=2046051027167E723D + 5192.50ns INFO [00005194] Port=0 WR @07=9CA5B2FCC556289726 + 5193.50ns INFO [00005195] Port=0 RD @02 + 5194.50ns INFO [00005196] Port=1 RD @03 + 5195.50ns INFO [00005197] * RD COMPARE * port=0 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C + 5195.50ns INFO [00005197] Port=0 WR @04=EC6D544815E2E428E1 + 5195.50ns INFO [00005197] Port=1 RD @01 + 5196.50ns INFO [00005198] * RD COMPARE * port=1 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0 + 5196.50ns INFO [00005198] Port=0 RD @02 + 5196.50ns INFO [00005198] Port=1 RD @00 + 5197.50ns INFO [00005199] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5197.50ns INFO [00005199] Port=1 RD @01 + 5198.00ns INFO [00005200] [00005200] ...tick... + 5198.50ns INFO [00005200] * RD COMPARE * port=0 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C + 5198.50ns INFO [00005200] * RD COMPARE * port=1 adr=00 act=2046051027167E723D exp=2046051027167E723D + 5198.50ns INFO [00005200] Port=1 RD @03 + 5199.50ns INFO [00005201] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5199.50ns INFO [00005201] Port=0 RD @05 + 5200.50ns INFO [00005202] * RD COMPARE * port=1 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0 + 5200.50ns INFO [00005202] Port=0 RD @01 + 5201.50ns INFO [00005203] * RD COMPARE * port=0 adr=05 act=89BE57104DBA6C881B exp=89BE57104DBA6C881B + 5201.50ns INFO [00005203] Port=0 WR @00=6807F45290ACBADAA8 + 5201.50ns INFO [00005203] Port=1 RD @01 + 5202.50ns INFO [00005204] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5203.50ns INFO [00005205] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01 + 5203.50ns INFO [00005205] Port=0 WR @01=49584A1C72B2565986 + 5203.50ns INFO [00005205] Port=0 RD @05 + 5203.50ns INFO [00005205] Port=1 RD @04 + 5204.50ns INFO [00005206] Port=0 WR @00=67C4B81A2896C40AD1 + 5204.50ns INFO [00005206] Port=0 RD @04 + 5205.50ns INFO [00005207] * RD COMPARE * port=0 adr=05 act=89BE57104DBA6C881B exp=89BE57104DBA6C881B + 5205.50ns INFO [00005207] * RD COMPARE * port=1 adr=04 act=EC6D544815E2E428E1 exp=EC6D544815E2E428E1 + 5205.50ns INFO [00005207] Port=1 RD @03 + 5206.50ns INFO [00005208] * RD COMPARE * port=0 adr=04 act=EC6D544815E2E428E1 exp=EC6D544815E2E428E1 + 5206.50ns INFO [00005208] Port=1 RD @06 + 5207.50ns INFO [00005209] * RD COMPARE * port=1 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0 + 5208.50ns INFO [00005210] * RD COMPARE * port=1 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59 + 5208.50ns INFO [00005210] Port=0 RD @03 + 5209.50ns INFO [00005211] Port=0 RD @01 + 5210.50ns INFO [00005212] * RD COMPARE * port=0 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0 + 5210.50ns INFO [00005212] Port=0 RD @01 + 5211.50ns INFO [00005213] * RD COMPARE * port=0 adr=01 act=49584A1C72B2565986 exp=49584A1C72B2565986 + 5211.50ns INFO [00005213] Port=0 RD @07 + 5212.50ns INFO [00005214] * RD COMPARE * port=0 adr=01 act=49584A1C72B2565986 exp=49584A1C72B2565986 + 5212.50ns INFO [00005214] Port=1 RD @04 + 5213.50ns INFO [00005215] * RD COMPARE * port=0 adr=07 act=9CA5B2FCC556289726 exp=9CA5B2FCC556289726 + 5213.50ns INFO [00005215] Port=0 RD @01 + 5214.50ns INFO [00005216] * RD COMPARE * port=1 adr=04 act=EC6D544815E2E428E1 exp=EC6D544815E2E428E1 + 5214.50ns INFO [00005216] Port=0 WR @01=64EAB13C7223D01758 + 5214.50ns INFO [00005216] Port=0 RD @04 + 5215.50ns INFO [00005217] * RD COMPARE * port=0 adr=01 act=49584A1C72B2565986 exp=49584A1C72B2565986 + 5215.50ns INFO [00005217] Port=0 RD @01 + 5216.50ns INFO [00005218] * RD COMPARE * port=0 adr=04 act=EC6D544815E2E428E1 exp=EC6D544815E2E428E1 + 5216.50ns INFO [00005218] Port=0 RD @04 + 5217.50ns INFO [00005219] * RD COMPARE * port=0 adr=01 act=64EAB13C7223D01758 exp=64EAB13C7223D01758 + 5217.50ns INFO [00005219] Port=0 WR @04=E6DDDBC5B16BAE2C9E + 5217.50ns INFO [00005219] Port=1 RD @05 + 5218.50ns INFO [00005220] * RD COMPARE * port=0 adr=04 act=EC6D544815E2E428E1 exp=EC6D544815E2E428E1 + 5218.50ns INFO [00005220] Port=0 WR @04=A13EF3F211386B9D91 + 5219.50ns INFO [00005221] * RD COMPARE * port=1 adr=05 act=89BE57104DBA6C881B exp=89BE57104DBA6C881B + 5219.50ns INFO [00005221] Port=1 RD @04 + 5220.50ns INFO [00005222] Port=0 WR @00=ADF4D355E0E0C8C0FF + 5220.50ns INFO [00005222] Port=0 RD @01 + 5220.50ns INFO [00005222] Port=1 RD @06 + 5221.50ns INFO [00005223] * RD COMPARE * port=1 adr=04 act=A13EF3F211386B9D91 exp=A13EF3F211386B9D91 + 5221.50ns INFO [00005223] Port=0 WR @03=74D4D0BB43E18C87A9 + 5221.50ns INFO [00005223] Port=0 RD @02 + 5222.50ns INFO [00005224] * RD COMPARE * port=0 adr=01 act=64EAB13C7223D01758 exp=64EAB13C7223D01758 + 5222.50ns INFO [00005224] * RD COMPARE * port=1 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59 + 5222.50ns INFO [00005224] Port=0 WR @05=95BEC0CCB2FD7E318D + 5223.50ns INFO [00005225] * RD COMPARE * port=0 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C + 5224.50ns INFO [00005226] Port=0 WR @06=058424890D01C40FCB + 5225.50ns INFO [00005227] Port=1 RD @00 + 5226.50ns INFO [00005228] Port=0 WR @07=54247550941B68E230 + 5226.50ns INFO [00005228] Port=0 RD @00 + 5226.50ns INFO [00005228] Port=1 RD @01 + 5227.50ns INFO [00005229] * RD COMPARE * port=1 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF + 5227.50ns INFO [00005229] Port=0 WR @04=A20754690821E1084A + 5227.50ns INFO [00005229] Port=0 RD @07 + 5227.50ns INFO [00005229] Port=1 RD @06 + 5228.50ns INFO [00005230] * RD COMPARE * port=0 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF + 5228.50ns INFO [00005230] * RD COMPARE * port=1 adr=01 act=64EAB13C7223D01758 exp=64EAB13C7223D01758 + 5228.50ns INFO [00005230] Port=0 WR @03=351B7163FA9C8CB91C + 5229.50ns INFO [00005231] * RD COMPARE * port=0 adr=07 act=54247550941B68E230 exp=54247550941B68E230 + 5229.50ns INFO [00005231] * RD COMPARE * port=1 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB + 5229.50ns INFO [00005231] Port=0 RD @06 + 5231.50ns INFO [00005233] * RD COMPARE * port=0 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB + 5231.50ns INFO [00005233] Port=1 RD @04 + 5232.50ns INFO [00005234] Port=0 WR @03=151262AD8F3A915AAE + 5233.50ns INFO [00005235] * RD COMPARE * port=1 adr=04 act=A20754690821E1084A exp=A20754690821E1084A + 5233.50ns INFO [00005235] Port=0 RD @02 + 5234.50ns INFO [00005236] Port=0 RD @01 + 5234.50ns INFO [00005236] Port=1 RD @01 + 5235.50ns INFO [00005237] * RD COMPARE * port=0 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C + 5235.50ns INFO [00005237] Port=1 RD @04 + 5236.50ns INFO [00005238] * RD COMPARE * port=0 adr=01 act=64EAB13C7223D01758 exp=64EAB13C7223D01758 + 5236.50ns INFO [00005238] * RD COMPARE * port=1 adr=01 act=64EAB13C7223D01758 exp=64EAB13C7223D01758 + 5236.50ns INFO [00005238] Port=0 WR @03=5A1E9304FFA4B05F28 + 5236.50ns INFO [00005238] Port=0 RD @05 + 5236.50ns INFO [00005238] Port=1 RD @07 + 5237.50ns INFO [00005239] * RD COMPARE * port=1 adr=04 act=A20754690821E1084A exp=A20754690821E1084A + 5237.50ns INFO [00005239] Port=1 RD @07 + 5238.50ns INFO [00005240] * RD COMPARE * port=0 adr=05 act=95BEC0CCB2FD7E318D exp=95BEC0CCB2FD7E318D + 5238.50ns INFO [00005240] * RD COMPARE * port=1 adr=07 act=54247550941B68E230 exp=54247550941B68E230 + 5238.50ns INFO [00005240] Port=1 RD @05 + 5239.50ns INFO [00005241] * RD COMPARE * port=1 adr=07 act=54247550941B68E230 exp=54247550941B68E230 + 5240.50ns INFO [00005242] * RD COMPARE * port=1 adr=05 act=95BEC0CCB2FD7E318D exp=95BEC0CCB2FD7E318D + 5242.50ns INFO [00005244] Port=1 RD @03 + 5243.50ns INFO [00005245] Port=0 WR @03=09F088075EF0CA25C3 + 5243.50ns INFO [00005245] Port=0 RD @04 + 5243.50ns INFO [00005245] Port=1 RD @00 + 5244.50ns INFO [00005246] * RD COMPARE * port=1 adr=03 act=5A1E9304FFA4B05F28 exp=5A1E9304FFA4B05F28 + 5244.50ns INFO [00005246] Port=0 RD @02 + 5245.50ns INFO [00005247] * RD COMPARE * port=0 adr=04 act=A20754690821E1084A exp=A20754690821E1084A + 5245.50ns INFO [00005247] * RD COMPARE * port=1 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF + 5245.50ns INFO [00005247] Port=0 WR @01=40DAF5FA479B764919 + 5245.50ns INFO [00005247] Port=1 RD @07 + 5246.50ns INFO [00005248] * RD COMPARE * port=0 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C + 5247.50ns INFO [00005249] * RD COMPARE * port=1 adr=07 act=54247550941B68E230 exp=54247550941B68E230 + 5248.50ns INFO [00005250] Port=0 RD @07 + 5248.50ns INFO [00005250] Port=1 RD @06 + 5249.50ns INFO [00005251] Port=0 RD @00 + 5250.50ns INFO [00005252] * RD COMPARE * port=0 adr=07 act=54247550941B68E230 exp=54247550941B68E230 + 5250.50ns INFO [00005252] * RD COMPARE * port=1 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB + 5250.50ns INFO [00005252] Port=0 WR @03=CBFDDDE5AA821DE889 + 5251.50ns INFO [00005253] * RD COMPARE * port=0 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF + 5251.50ns INFO [00005253] Port=0 WR @03=226B55B004956732E5 + 5252.50ns INFO [00005254] Port=0 WR @05=224CFF8A673203BD4C + 5252.50ns INFO [00005254] Port=1 RD @00 + 5253.50ns INFO [00005255] Port=0 WR @04=11E469DA83D1D7BB89 + 5253.50ns INFO [00005255] Port=0 RD @05 + 5253.50ns INFO [00005255] Port=1 RD @06 + 5254.50ns INFO [00005256] * RD COMPARE * port=1 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF + 5254.50ns INFO [00005256] Port=0 RD @04 + 5254.50ns INFO [00005256] Port=1 RD @05 + 5255.50ns INFO [00005257] * RD COMPARE * port=0 adr=05 act=224CFF8A673203BD4C exp=224CFF8A673203BD4C + 5255.50ns INFO [00005257] * RD COMPARE * port=1 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB + 5255.50ns INFO [00005257] Port=0 RD @06 + 5256.50ns INFO [00005258] * RD COMPARE * port=0 adr=04 act=11E469DA83D1D7BB89 exp=11E469DA83D1D7BB89 + 5256.50ns INFO [00005258] * RD COMPARE * port=1 adr=05 act=224CFF8A673203BD4C exp=224CFF8A673203BD4C + 5256.50ns INFO [00005258] Port=1 RD @07 + 5257.50ns INFO [00005259] * RD COMPARE * port=0 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB + 5257.50ns INFO [00005259] Port=0 WR @05=C24DC218404B3D4DD4 + 5257.50ns INFO [00005259] Port=0 RD @01 + 5257.50ns INFO [00005259] Port=1 RD @06 + 5258.50ns INFO [00005260] * RD COMPARE * port=1 adr=07 act=54247550941B68E230 exp=54247550941B68E230 + 5258.50ns INFO [00005260] Port=0 RD @00 + 5259.50ns INFO [00005261] * RD COMPARE * port=0 adr=01 act=40DAF5FA479B764919 exp=40DAF5FA479B764919 + 5259.50ns INFO [00005261] * RD COMPARE * port=1 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB + 5259.50ns INFO [00005261] Port=0 WR @02=A397D04AE06BB9621C + 5259.50ns INFO [00005261] Port=1 RD @06 + 5260.50ns INFO [00005262] * RD COMPARE * port=0 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF + 5260.50ns INFO [00005262] Port=0 WR @03=E067F267C4D8CA7C16 + 5261.50ns INFO [00005263] * RD COMPARE * port=1 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB + 5261.50ns INFO [00005263] Port=0 WR @01=662DB210D8871D47B0 + 5261.50ns INFO [00005263] Port=0 RD @06 + 5262.50ns INFO [00005264] Port=0 RD @02 + 5262.50ns INFO [00005264] Port=1 RD @04 + 5263.50ns INFO [00005265] * RD COMPARE * port=0 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB + 5263.50ns INFO [00005265] Port=0 RD @03 + 5263.50ns INFO [00005265] Port=1 RD @01 + 5264.50ns INFO [00005266] * RD COMPARE * port=0 adr=02 act=A397D04AE06BB9621C exp=A397D04AE06BB9621C + 5264.50ns INFO [00005266] * RD COMPARE * port=1 adr=04 act=11E469DA83D1D7BB89 exp=11E469DA83D1D7BB89 + 5265.50ns INFO [00005267] * RD COMPARE * port=0 adr=03 act=E067F267C4D8CA7C16 exp=E067F267C4D8CA7C16 + 5265.50ns INFO [00005267] * RD COMPARE * port=1 adr=01 act=662DB210D8871D47B0 exp=662DB210D8871D47B0 + 5265.50ns INFO [00005267] Port=0 WR @02=8D26D3A18638E1D186 + 5265.50ns INFO [00005267] Port=0 RD @07 + 5265.50ns INFO [00005267] Port=1 RD @05 + 5266.50ns INFO [00005268] Port=0 WR @05=18FF9B7913C1DBBC0B + 5266.50ns INFO [00005268] Port=0 RD @07 + 5266.50ns INFO [00005268] Port=1 RD @07 + 5267.50ns INFO [00005269] * RD COMPARE * port=0 adr=07 act=54247550941B68E230 exp=54247550941B68E230 + 5267.50ns INFO [00005269] * RD COMPARE * port=1 adr=05 act=C24DC218404B3D4DD4 exp=C24DC218404B3D4DD4 + 5267.50ns INFO [00005269] Port=0 WR @04=97C51CE00F1347E19A + 5267.50ns INFO [00005269] Port=1 RD @05 + 5268.50ns INFO [00005270] * RD COMPARE * port=0 adr=07 act=54247550941B68E230 exp=54247550941B68E230 + 5268.50ns INFO [00005270] * RD COMPARE * port=1 adr=07 act=54247550941B68E230 exp=54247550941B68E230 + 5269.50ns INFO [00005271] * RD COMPARE * port=1 adr=05 act=18FF9B7913C1DBBC0B exp=18FF9B7913C1DBBC0B + 5270.50ns INFO [00005272] Port=0 RD @05 + 5271.50ns INFO [00005273] Port=0 RD @00 + 5272.50ns INFO [00005274] * RD COMPARE * port=0 adr=05 act=18FF9B7913C1DBBC0B exp=18FF9B7913C1DBBC0B + 5273.50ns INFO [00005275] * RD COMPARE * port=0 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF + 5274.50ns INFO [00005276] Port=0 RD @06 + 5274.50ns INFO [00005276] Port=1 RD @01 + 5275.50ns INFO [00005277] Port=0 WR @07=10F224C80E08D93684 + 5275.50ns INFO [00005277] Port=0 RD @05 + 5275.50ns INFO [00005277] Port=1 RD @01 + 5276.50ns INFO [00005278] * RD COMPARE * port=0 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB + 5276.50ns INFO [00005278] * RD COMPARE * port=1 adr=01 act=662DB210D8871D47B0 exp=662DB210D8871D47B0 + 5276.50ns INFO [00005278] Port=1 RD @02 + 5277.50ns INFO [00005279] * RD COMPARE * port=0 adr=05 act=18FF9B7913C1DBBC0B exp=18FF9B7913C1DBBC0B + 5277.50ns INFO [00005279] * RD COMPARE * port=1 adr=01 act=662DB210D8871D47B0 exp=662DB210D8871D47B0 + 5277.50ns INFO [00005279] Port=0 WR @00=C531BE357D268D2E2B + 5277.50ns INFO [00005279] Port=1 RD @05 + 5278.50ns INFO [00005280] * RD COMPARE * port=1 adr=02 act=8D26D3A18638E1D186 exp=8D26D3A18638E1D186 + 5278.50ns INFO [00005280] Port=0 WR @05=559E63DA11300ED47A + 5279.50ns INFO [00005281] * RD COMPARE * port=1 adr=05 act=18FF9B7913C1DBBC0B exp=18FF9B7913C1DBBC0B + 5280.50ns INFO [00005282] Port=1 RD @03 + 5281.50ns INFO [00005283] Port=0 WR @01=81B011B7B3D971A85A + 5282.50ns INFO [00005284] * RD COMPARE * port=1 adr=03 act=E067F267C4D8CA7C16 exp=E067F267C4D8CA7C16 + 5282.50ns INFO [00005284] Port=0 WR @02=A6F680486C9BE018F7 + 5282.50ns INFO [00005284] Port=1 RD @05 + 5283.50ns INFO [00005285] Port=0 WR @00=EB232890E0EEF05F0A + 5283.50ns INFO [00005285] Port=0 RD @05 + 5284.50ns INFO [00005286] * RD COMPARE * port=1 adr=05 act=559E63DA11300ED47A exp=559E63DA11300ED47A + 5285.50ns INFO [00005287] * RD COMPARE * port=0 adr=05 act=559E63DA11300ED47A exp=559E63DA11300ED47A + 5285.50ns INFO [00005287] Port=0 WR @06=637B64B088B441661E + 5286.50ns INFO [00005288] Port=0 WR @03=44B2384E3B8D492731 + 5287.50ns INFO [00005289] Port=0 WR @02=F9A9D4478C94B122B8 + 5289.50ns INFO [00005291] Port=0 WR @03=871173A4D1D812EB78 + 5289.50ns INFO [00005291] Port=1 RD @01 + 5290.50ns INFO [00005292] Port=0 RD @03 + 5291.50ns INFO [00005293] * RD COMPARE * port=1 adr=01 act=81B011B7B3D971A85A exp=81B011B7B3D971A85A + 5292.50ns INFO [00005294] * RD COMPARE * port=0 adr=03 act=871173A4D1D812EB78 exp=871173A4D1D812EB78 + 5292.50ns INFO [00005294] Port=0 WR @07=0320D3C61DCD0F5155 + 5292.50ns INFO [00005294] Port=1 RD @04 + 5293.50ns INFO [00005295] Port=0 WR @04=0167042BA201C4F9B0 + 5293.50ns INFO [00005295] Port=0 RD @07 + 5293.50ns INFO [00005295] Port=1 RD @01 + 5294.50ns INFO [00005296] * RD COMPARE * port=1 adr=04 act=97C51CE00F1347E19A exp=97C51CE00F1347E19A + 5295.50ns INFO [00005297] * RD COMPARE * port=0 adr=07 act=0320D3C61DCD0F5155 exp=0320D3C61DCD0F5155 + 5295.50ns INFO [00005297] * RD COMPARE * port=1 adr=01 act=81B011B7B3D971A85A exp=81B011B7B3D971A85A + 5296.50ns INFO [00005298] Port=1 RD @00 + 5297.50ns INFO [00005299] Port=0 WR @03=091579F718D735CB64 + 5297.50ns INFO [00005299] Port=1 RD @02 + 5298.00ns INFO [00005300] [00005300] ...tick... + 5298.50ns INFO [00005300] * RD COMPARE * port=1 adr=00 act=EB232890E0EEF05F0A exp=EB232890E0EEF05F0A + 5298.50ns INFO [00005300] Port=0 WR @00=B8FDF29B0EBA285309 + 5299.50ns INFO [00005301] * RD COMPARE * port=1 adr=02 act=F9A9D4478C94B122B8 exp=F9A9D4478C94B122B8 + 5299.50ns INFO [00005301] Port=0 WR @06=5E04E9E85429D1D242 + 5299.50ns INFO [00005301] Port=0 RD @02 + 5299.50ns INFO [00005301] Port=1 RD @05 + 5300.50ns INFO [00005302] Port=0 WR @00=5F3235AEE1ACDE3C7D + 5300.50ns INFO [00005302] Port=1 RD @02 + 5301.50ns INFO [00005303] * RD COMPARE * port=0 adr=02 act=F9A9D4478C94B122B8 exp=F9A9D4478C94B122B8 + 5301.50ns INFO [00005303] * RD COMPARE * port=1 adr=05 act=559E63DA11300ED47A exp=559E63DA11300ED47A + 5301.50ns INFO [00005303] Port=0 WR @03=7ECDC47AB03CA58902 + 5302.50ns INFO [00005304] * RD COMPARE * port=1 adr=02 act=F9A9D4478C94B122B8 exp=F9A9D4478C94B122B8 + 5302.50ns INFO [00005304] Port=0 RD @02 + 5302.50ns INFO [00005304] Port=1 RD @04 + 5303.50ns INFO [00005305] Port=0 RD @03 + 5304.50ns INFO [00005306] * RD COMPARE * port=0 adr=02 act=F9A9D4478C94B122B8 exp=F9A9D4478C94B122B8 + 5304.50ns INFO [00005306] * RD COMPARE * port=1 adr=04 act=0167042BA201C4F9B0 exp=0167042BA201C4F9B0 + 5304.50ns INFO [00005306] Port=1 RD @07 + 5305.50ns INFO [00005307] * RD COMPARE * port=0 adr=03 act=7ECDC47AB03CA58902 exp=7ECDC47AB03CA58902 + 5305.50ns INFO [00005307] Port=0 WR @03=C521A146F6B336ACE5 + 5305.50ns INFO [00005307] Port=0 RD @07 + 5305.50ns INFO [00005307] Port=1 RD @01 + 5306.50ns INFO [00005308] * RD COMPARE * port=1 adr=07 act=0320D3C61DCD0F5155 exp=0320D3C61DCD0F5155 + 5306.50ns INFO [00005308] Port=0 WR @01=ABFD19DE961CD3E4DD + 5306.50ns INFO [00005308] Port=0 RD @00 + 5306.50ns INFO [00005308] Port=1 RD @06 + 5307.50ns INFO [00005309] * RD COMPARE * port=0 adr=07 act=0320D3C61DCD0F5155 exp=0320D3C61DCD0F5155 + 5307.50ns INFO [00005309] * RD COMPARE * port=1 adr=01 act=81B011B7B3D971A85A exp=81B011B7B3D971A85A + 5308.50ns INFO [00005310] * RD COMPARE * port=0 adr=00 act=5F3235AEE1ACDE3C7D exp=5F3235AEE1ACDE3C7D + 5308.50ns INFO [00005310] * RD COMPARE * port=1 adr=06 act=5E04E9E85429D1D242 exp=5E04E9E85429D1D242 + 5308.50ns INFO [00005310] Port=0 RD @01 + 5308.50ns INFO [00005310] Port=1 RD @06 + 5309.50ns INFO [00005311] Port=0 RD @02 + 5309.50ns INFO [00005311] Port=1 RD @06 + 5310.50ns INFO [00005312] * RD COMPARE * port=0 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD + 5310.50ns INFO [00005312] * RD COMPARE * port=1 adr=06 act=5E04E9E85429D1D242 exp=5E04E9E85429D1D242 + 5310.50ns INFO [00005312] Port=1 RD @01 + 5311.50ns INFO [00005313] * RD COMPARE * port=0 adr=02 act=F9A9D4478C94B122B8 exp=F9A9D4478C94B122B8 + 5311.50ns INFO [00005313] * RD COMPARE * port=1 adr=06 act=5E04E9E85429D1D242 exp=5E04E9E85429D1D242 + 5312.50ns INFO [00005314] * RD COMPARE * port=1 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD + 5313.50ns INFO [00005315] Port=0 WR @04=1167C25C8CC30BC9AC + 5313.50ns INFO [00005315] Port=0 RD @01 + 5314.50ns INFO [00005316] Port=0 WR @06=725982ED4ED0B4C769 + 5315.50ns INFO [00005317] * RD COMPARE * port=0 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD + 5316.50ns INFO [00005318] Port=0 WR @00=83A48C57061D697E92 + 5318.50ns INFO [00005320] Port=0 RD @05 + 5318.50ns INFO [00005320] Port=1 RD @07 + 5320.50ns INFO [00005322] * RD COMPARE * port=0 adr=05 act=559E63DA11300ED47A exp=559E63DA11300ED47A + 5320.50ns INFO [00005322] * RD COMPARE * port=1 adr=07 act=0320D3C61DCD0F5155 exp=0320D3C61DCD0F5155 + 5321.50ns INFO [00005323] Port=0 WR @00=FF39B75DCFD5D9BBBF + 5321.50ns INFO [00005323] Port=1 RD @03 + 5323.50ns INFO [00005325] * RD COMPARE * port=1 adr=03 act=C521A146F6B336ACE5 exp=C521A146F6B336ACE5 + 5323.50ns INFO [00005325] Port=0 RD @00 + 5325.50ns INFO [00005327] * RD COMPARE * port=0 adr=00 act=FF39B75DCFD5D9BBBF exp=FF39B75DCFD5D9BBBF + 5325.50ns INFO [00005327] Port=0 RD @04 + 5326.50ns INFO [00005328] Port=0 WR @06=BFEA59878E880142D1 + 5327.50ns INFO [00005329] * RD COMPARE * port=0 adr=04 act=1167C25C8CC30BC9AC exp=1167C25C8CC30BC9AC + 5327.50ns INFO [00005329] Port=0 WR @06=08D5EAD0883071EC19 + 5327.50ns INFO [00005329] Port=0 RD @05 + 5328.50ns INFO [00005330] Port=1 RD @01 + 5329.50ns INFO [00005331] * RD COMPARE * port=0 adr=05 act=559E63DA11300ED47A exp=559E63DA11300ED47A + 5330.50ns INFO [00005332] * RD COMPARE * port=1 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD + 5330.50ns INFO [00005332] Port=0 RD @06 + 5331.50ns INFO [00005333] Port=0 WR @02=03F02703CE7E159CC3 + 5332.50ns INFO [00005334] * RD COMPARE * port=0 adr=06 act=08D5EAD0883071EC19 exp=08D5EAD0883071EC19 + 5332.50ns INFO [00005334] Port=0 RD @06 + 5332.50ns INFO [00005334] Port=1 RD @07 + 5333.50ns INFO [00005335] Port=0 WR @07=46F641CFC9D27D3E96 + 5334.50ns INFO [00005336] * RD COMPARE * port=0 adr=06 act=08D5EAD0883071EC19 exp=08D5EAD0883071EC19 + 5334.50ns INFO [00005336] * RD COMPARE * port=1 adr=07 act=0320D3C61DCD0F5155 exp=0320D3C61DCD0F5155 + 5336.50ns INFO [00005338] Port=0 RD @04 + 5337.50ns INFO [00005339] Port=0 RD @07 + 5337.50ns INFO [00005339] Port=1 RD @01 + 5338.50ns INFO [00005340] * RD COMPARE * port=0 adr=04 act=1167C25C8CC30BC9AC exp=1167C25C8CC30BC9AC + 5339.50ns INFO [00005341] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5339.50ns INFO [00005341] * RD COMPARE * port=1 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD + 5339.50ns INFO [00005341] Port=0 WR @04=01493EE410AF10149F + 5339.50ns INFO [00005341] Port=1 RD @03 + 5340.50ns INFO [00005342] Port=0 WR @06=3608CE624D6128FF41 + 5340.50ns INFO [00005342] Port=1 RD @01 + 5341.50ns INFO [00005343] * RD COMPARE * port=1 adr=03 act=C521A146F6B336ACE5 exp=C521A146F6B336ACE5 + 5341.50ns INFO [00005343] Port=0 WR @05=FA033E7117B88D9CF5 + 5342.50ns INFO [00005344] * RD COMPARE * port=1 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD + 5342.50ns INFO [00005344] Port=0 RD @02 + 5344.50ns INFO [00005346] * RD COMPARE * port=0 adr=02 act=03F02703CE7E159CC3 exp=03F02703CE7E159CC3 + 5345.50ns INFO [00005347] Port=0 RD @07 + 5346.50ns INFO [00005348] Port=0 WR @06=0F04A0B7CF9C0D66A1 + 5347.50ns INFO [00005349] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5347.50ns INFO [00005349] Port=1 RD @06 + 5348.50ns INFO [00005350] Port=0 WR @01=F1D607EB6BAC5B9B3D + 5348.50ns INFO [00005350] Port=1 RD @07 + 5349.50ns INFO [00005351] * RD COMPARE * port=1 adr=06 act=0F04A0B7CF9C0D66A1 exp=0F04A0B7CF9C0D66A1 + 5349.50ns INFO [00005351] Port=0 RD @05 + 5349.50ns INFO [00005351] Port=1 RD @02 + 5350.50ns INFO [00005352] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5350.50ns INFO [00005352] Port=0 WR @03=AA772918741403B99C + 5351.50ns INFO [00005353] * RD COMPARE * port=0 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5 + 5351.50ns INFO [00005353] * RD COMPARE * port=1 adr=02 act=03F02703CE7E159CC3 exp=03F02703CE7E159CC3 + 5352.50ns INFO [00005354] Port=0 WR @00=27D910D417B2B7BB2E + 5353.50ns INFO [00005355] Port=0 WR @00=4301BCFBF159E33EDB + 5353.50ns INFO [00005355] Port=0 RD @01 + 5355.50ns INFO [00005357] * RD COMPARE * port=0 adr=01 act=F1D607EB6BAC5B9B3D exp=F1D607EB6BAC5B9B3D + 5355.50ns INFO [00005357] Port=0 WR @06=317EFE66942910C448 + 5356.50ns INFO [00005358] Port=1 RD @06 + 5358.50ns INFO [00005360] * RD COMPARE * port=1 adr=06 act=317EFE66942910C448 exp=317EFE66942910C448 + 5358.50ns INFO [00005360] Port=0 RD @05 + 5358.50ns INFO [00005360] Port=1 RD @02 + 5359.50ns INFO [00005361] Port=0 WR @04=3A74FC8E61088377B3 + 5360.50ns INFO [00005362] * RD COMPARE * port=0 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5 + 5360.50ns INFO [00005362] * RD COMPARE * port=1 adr=02 act=03F02703CE7E159CC3 exp=03F02703CE7E159CC3 + 5360.50ns INFO [00005362] Port=1 RD @02 + 5361.50ns INFO [00005363] Port=0 RD @05 + 5362.50ns INFO [00005364] * RD COMPARE * port=1 adr=02 act=03F02703CE7E159CC3 exp=03F02703CE7E159CC3 + 5362.50ns INFO [00005364] Port=0 WR @02=5FBC00EBEA50066055 + 5363.50ns INFO [00005365] * RD COMPARE * port=0 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5 + 5363.50ns INFO [00005365] Port=0 WR @04=1B0494C4F0AD6ECFC6 + 5365.50ns INFO [00005367] Port=0 WR @03=948F55A29242C6A09E + 5365.50ns INFO [00005367] Port=1 RD @01 + 5366.50ns INFO [00005368] Port=0 WR @00=12E401D0575715372D + 5367.50ns INFO [00005369] * RD COMPARE * port=1 adr=01 act=F1D607EB6BAC5B9B3D exp=F1D607EB6BAC5B9B3D + 5367.50ns INFO [00005369] Port=1 RD @05 + 5369.50ns INFO [00005371] * RD COMPARE * port=1 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5 + 5371.50ns INFO [00005373] Port=1 RD @07 + 5372.50ns INFO [00005374] Port=0 RD @07 + 5373.50ns INFO [00005375] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5373.50ns INFO [00005375] Port=0 WR @01=A805D4819CD919860A + 5374.50ns INFO [00005376] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5374.50ns INFO [00005376] Port=1 RD @03 + 5376.50ns INFO [00005378] * RD COMPARE * port=1 adr=03 act=948F55A29242C6A09E exp=948F55A29242C6A09E + 5376.50ns INFO [00005378] Port=0 RD @07 + 5377.50ns INFO [00005379] Port=0 WR @02=1D624092C18E170FB9 + 5377.50ns INFO [00005379] Port=0 RD @07 + 5378.50ns INFO [00005380] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5378.50ns INFO [00005380] Port=0 RD @07 + 5378.50ns INFO [00005380] Port=1 RD @05 + 5379.50ns INFO [00005381] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5379.50ns INFO [00005381] Port=0 WR @04=512C669C5952B39A5D + 5379.50ns INFO [00005381] Port=0 RD @05 + 5380.50ns INFO [00005382] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5380.50ns INFO [00005382] * RD COMPARE * port=1 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5 + 5380.50ns INFO [00005382] Port=0 WR @03=FD34EAE8B5D0D7F4E6 + 5381.50ns INFO [00005383] * RD COMPARE * port=0 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5 + 5381.50ns INFO [00005383] Port=0 WR @05=C34AB118E97A39651E + 5381.50ns INFO [00005383] Port=0 RD @04 + 5382.50ns INFO [00005384] Port=0 RD @05 + 5382.50ns INFO [00005384] Port=1 RD @06 + 5383.50ns INFO [00005385] * RD COMPARE * port=0 adr=04 act=512C669C5952B39A5D exp=512C669C5952B39A5D + 5384.50ns INFO [00005386] * RD COMPARE * port=0 adr=05 act=C34AB118E97A39651E exp=C34AB118E97A39651E + 5384.50ns INFO [00005386] * RD COMPARE * port=1 adr=06 act=317EFE66942910C448 exp=317EFE66942910C448 + 5384.50ns INFO [00005386] Port=0 WR @05=8040D719011A8E0FD6 + 5385.50ns INFO [00005387] Port=0 WR @01=F3B3C2371D1201D871 + 5385.50ns INFO [00005387] Port=0 RD @04 + 5386.50ns INFO [00005388] Port=0 WR @02=797976983B7E550FD5 + 5386.50ns INFO [00005388] Port=0 RD @00 + 5387.50ns INFO [00005389] * RD COMPARE * port=0 adr=04 act=512C669C5952B39A5D exp=512C669C5952B39A5D + 5388.50ns INFO [00005390] * RD COMPARE * port=0 adr=00 act=12E401D0575715372D exp=12E401D0575715372D + 5388.50ns INFO [00005390] Port=0 WR @04=D889CC23D6EC4C0257 + 5389.50ns INFO [00005391] Port=0 WR @00=084D36615E1765951E + 5389.50ns INFO [00005391] Port=0 RD @05 + 5390.50ns INFO [00005392] Port=0 WR @06=7F538C3C28EFF6C1CD + 5390.50ns INFO [00005392] Port=1 RD @04 + 5391.50ns INFO [00005393] * RD COMPARE * port=0 adr=05 act=8040D719011A8E0FD6 exp=8040D719011A8E0FD6 + 5391.50ns INFO [00005393] Port=1 RD @02 + 5392.50ns INFO [00005394] * RD COMPARE * port=1 adr=04 act=D889CC23D6EC4C0257 exp=D889CC23D6EC4C0257 + 5392.50ns INFO [00005394] Port=0 WR @00=0FD16FA2A18217F58B + 5393.50ns INFO [00005395] * RD COMPARE * port=1 adr=02 act=797976983B7E550FD5 exp=797976983B7E550FD5 + 5395.50ns INFO [00005397] Port=0 WR @05=6E3E5F6A75D6230EFB + 5396.50ns INFO [00005398] Port=0 WR @00=5AB8776F7938ED3CA3 + 5396.50ns INFO [00005398] Port=1 RD @05 + 5398.00ns INFO [00005400] [00005400] ...tick... + 5398.50ns INFO [00005400] * RD COMPARE * port=1 adr=05 act=6E3E5F6A75D6230EFB exp=6E3E5F6A75D6230EFB + 5398.50ns INFO [00005400] Port=0 WR @00=0090D3728134EFE453 + 5400.50ns INFO [00005402] Port=0 WR @06=4CD7A5637D4FC6FD82 + 5400.50ns INFO [00005402] Port=0 RD @05 + 5402.50ns INFO [00005404] * RD COMPARE * port=0 adr=05 act=6E3E5F6A75D6230EFB exp=6E3E5F6A75D6230EFB + 5402.50ns INFO [00005404] Port=0 RD @05 + 5403.50ns INFO [00005405] Port=0 WR @00=47758447E8BB6F8168 + 5403.50ns INFO [00005405] Port=0 RD @07 + 5403.50ns INFO [00005405] Port=1 RD @06 + 5404.50ns INFO [00005406] * RD COMPARE * port=0 adr=05 act=6E3E5F6A75D6230EFB exp=6E3E5F6A75D6230EFB + 5404.50ns INFO [00005406] Port=0 WR @05=459ECA40F0E8B80D4D + 5405.50ns INFO [00005407] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5405.50ns INFO [00005407] * RD COMPARE * port=1 adr=06 act=4CD7A5637D4FC6FD82 exp=4CD7A5637D4FC6FD82 + 5405.50ns INFO [00005407] Port=1 RD @00 + 5407.50ns INFO [00005409] * RD COMPARE * port=1 adr=00 act=47758447E8BB6F8168 exp=47758447E8BB6F8168 + 5407.50ns INFO [00005409] Port=0 RD @05 + 5407.50ns INFO [00005409] Port=1 RD @06 + 5409.50ns INFO [00005411] * RD COMPARE * port=0 adr=05 act=459ECA40F0E8B80D4D exp=459ECA40F0E8B80D4D + 5409.50ns INFO [00005411] * RD COMPARE * port=1 adr=06 act=4CD7A5637D4FC6FD82 exp=4CD7A5637D4FC6FD82 + 5409.50ns INFO [00005411] Port=0 RD @04 + 5410.50ns INFO [00005412] Port=0 WR @01=CFD156FC39BAD202EF + 5410.50ns INFO [00005412] Port=0 RD @07 + 5410.50ns INFO [00005412] Port=1 RD @06 + 5411.50ns INFO [00005413] * RD COMPARE * port=0 adr=04 act=D889CC23D6EC4C0257 exp=D889CC23D6EC4C0257 + 5411.50ns INFO [00005413] Port=0 WR @05=E84BFB94C5AC6FB6FC + 5411.50ns INFO [00005413] Port=0 RD @02 + 5412.50ns INFO [00005414] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5412.50ns INFO [00005414] * RD COMPARE * port=1 adr=06 act=4CD7A5637D4FC6FD82 exp=4CD7A5637D4FC6FD82 + 5413.50ns INFO [00005415] * RD COMPARE * port=0 adr=02 act=797976983B7E550FD5 exp=797976983B7E550FD5 + 5413.50ns INFO [00005415] Port=0 WR @02=BD40CCFD234ECE1BDF + 5413.50ns INFO [00005415] Port=0 RD @06 + 5413.50ns INFO [00005415] Port=1 RD @01 + 5414.50ns INFO [00005416] Port=0 RD @06 + 5414.50ns INFO [00005416] Port=1 RD @01 + 5415.50ns INFO [00005417] * RD COMPARE * port=0 adr=06 act=4CD7A5637D4FC6FD82 exp=4CD7A5637D4FC6FD82 + 5415.50ns INFO [00005417] * RD COMPARE * port=1 adr=01 act=CFD156FC39BAD202EF exp=CFD156FC39BAD202EF + 5415.50ns INFO [00005417] Port=0 RD @00 + 5415.50ns INFO [00005417] Port=1 RD @05 + 5416.50ns INFO [00005418] * RD COMPARE * port=0 adr=06 act=4CD7A5637D4FC6FD82 exp=4CD7A5637D4FC6FD82 + 5416.50ns INFO [00005418] * RD COMPARE * port=1 adr=01 act=CFD156FC39BAD202EF exp=CFD156FC39BAD202EF + 5416.50ns INFO [00005418] Port=0 WR @06=7B3C39D84E6519CDE1 + 5416.50ns INFO [00005418] Port=0 RD @01 + 5417.50ns INFO [00005419] * RD COMPARE * port=0 adr=00 act=47758447E8BB6F8168 exp=47758447E8BB6F8168 + 5417.50ns INFO [00005419] * RD COMPARE * port=1 adr=05 act=E84BFB94C5AC6FB6FC exp=E84BFB94C5AC6FB6FC + 5417.50ns INFO [00005419] Port=0 WR @05=1BFBEB35461638D899 + 5417.50ns INFO [00005419] Port=1 RD @03 + 5418.50ns INFO [00005420] * RD COMPARE * port=0 adr=01 act=CFD156FC39BAD202EF exp=CFD156FC39BAD202EF + 5418.50ns INFO [00005420] Port=0 RD @05 + 5419.50ns INFO [00005421] * RD COMPARE * port=1 adr=03 act=FD34EAE8B5D0D7F4E6 exp=FD34EAE8B5D0D7F4E6 + 5419.50ns INFO [00005421] Port=0 WR @05=1061EA77634401C9A0 + 5419.50ns INFO [00005421] Port=1 RD @06 + 5420.50ns INFO [00005422] * RD COMPARE * port=0 adr=05 act=1BFBEB35461638D899 exp=1BFBEB35461638D899 + 5420.50ns INFO [00005422] Port=1 RD @01 + 5421.50ns INFO [00005423] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5421.50ns INFO [00005423] Port=0 RD @03 + 5422.50ns INFO [00005424] * RD COMPARE * port=1 adr=01 act=CFD156FC39BAD202EF exp=CFD156FC39BAD202EF + 5422.50ns INFO [00005424] Port=0 RD @05 + 5423.50ns INFO [00005425] * RD COMPARE * port=0 adr=03 act=FD34EAE8B5D0D7F4E6 exp=FD34EAE8B5D0D7F4E6 + 5423.50ns INFO [00005425] Port=0 WR @04=EFB2A22FD92884E63E + 5423.50ns INFO [00005425] Port=0 RD @05 + 5423.50ns INFO [00005425] Port=1 RD @03 + 5424.50ns INFO [00005426] * RD COMPARE * port=0 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0 + 5424.50ns INFO [00005426] Port=0 WR @04=609BAD904FE7874015 + 5424.50ns INFO [00005426] Port=1 RD @06 + 5425.50ns INFO [00005427] * RD COMPARE * port=0 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0 + 5425.50ns INFO [00005427] * RD COMPARE * port=1 adr=03 act=FD34EAE8B5D0D7F4E6 exp=FD34EAE8B5D0D7F4E6 + 5425.50ns INFO [00005427] Port=0 RD @00 + 5425.50ns INFO [00005427] Port=1 RD @05 + 5426.50ns INFO [00005428] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5427.50ns INFO [00005429] * RD COMPARE * port=0 adr=00 act=47758447E8BB6F8168 exp=47758447E8BB6F8168 + 5427.50ns INFO [00005429] * RD COMPARE * port=1 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0 + 5427.50ns INFO [00005429] Port=0 WR @04=5ED04899C0336CA1D3 + 5427.50ns INFO [00005429] Port=0 RD @05 + 5429.50ns INFO [00005431] * RD COMPARE * port=0 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0 + 5431.50ns INFO [00005433] Port=0 RD @04 + 5432.50ns INFO [00005434] Port=0 WR @03=F1D66AA10D8C5BFA8D + 5432.50ns INFO [00005434] Port=0 RD @06 + 5432.50ns INFO [00005434] Port=1 RD @04 + 5433.50ns INFO [00005435] * RD COMPARE * port=0 adr=04 act=5ED04899C0336CA1D3 exp=5ED04899C0336CA1D3 + 5433.50ns INFO [00005435] Port=0 RD @03 + 5434.50ns INFO [00005436] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5434.50ns INFO [00005436] * RD COMPARE * port=1 adr=04 act=5ED04899C0336CA1D3 exp=5ED04899C0336CA1D3 + 5434.50ns INFO [00005436] Port=0 RD @04 + 5435.50ns INFO [00005437] * RD COMPARE * port=0 adr=03 act=F1D66AA10D8C5BFA8D exp=F1D66AA10D8C5BFA8D + 5435.50ns INFO [00005437] Port=1 RD @07 + 5436.50ns INFO [00005438] * RD COMPARE * port=0 adr=04 act=5ED04899C0336CA1D3 exp=5ED04899C0336CA1D3 + 5436.50ns INFO [00005438] Port=0 WR @00=F057633CAF71B4EA4E + 5436.50ns INFO [00005438] Port=0 RD @07 + 5436.50ns INFO [00005438] Port=1 RD @03 + 5437.50ns INFO [00005439] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5437.50ns INFO [00005439] Port=0 RD @06 + 5437.50ns INFO [00005439] Port=1 RD @05 + 5438.50ns INFO [00005440] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5438.50ns INFO [00005440] * RD COMPARE * port=1 adr=03 act=F1D66AA10D8C5BFA8D exp=F1D66AA10D8C5BFA8D + 5438.50ns INFO [00005440] Port=0 WR @01=9FA4564A1838E89316 + 5439.50ns INFO [00005441] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5439.50ns INFO [00005441] * RD COMPARE * port=1 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0 + 5439.50ns INFO [00005441] Port=0 WR @04=B202ED86655E10A248 + 5439.50ns INFO [00005441] Port=0 RD @06 + 5440.50ns INFO [00005442] Port=1 RD @03 + 5441.50ns INFO [00005443] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5441.50ns INFO [00005443] Port=0 WR @04=00CC4336CE28A2756B + 5441.50ns INFO [00005443] Port=0 RD @01 + 5441.50ns INFO [00005443] Port=1 RD @05 + 5442.50ns INFO [00005444] * RD COMPARE * port=1 adr=03 act=F1D66AA10D8C5BFA8D exp=F1D66AA10D8C5BFA8D + 5442.50ns INFO [00005444] Port=0 RD @01 + 5442.50ns INFO [00005444] Port=1 RD @07 + 5443.50ns INFO [00005445] * RD COMPARE * port=0 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316 + 5443.50ns INFO [00005445] * RD COMPARE * port=1 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0 + 5443.50ns INFO [00005445] Port=1 RD @06 + 5444.50ns INFO [00005446] * RD COMPARE * port=0 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316 + 5444.50ns INFO [00005446] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5444.50ns INFO [00005446] Port=0 WR @05=FA273BB5647ED68111 + 5444.50ns INFO [00005446] Port=0 RD @01 + 5445.50ns INFO [00005447] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5445.50ns INFO [00005447] Port=1 RD @06 + 5446.50ns INFO [00005448] * RD COMPARE * port=0 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316 + 5446.50ns INFO [00005448] Port=0 WR @03=95AB4C8E98A342FB12 + 5447.50ns INFO [00005449] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5447.50ns INFO [00005449] Port=0 WR @02=6BA1348CE181B11B51 + 5447.50ns INFO [00005449] Port=0 RD @06 + 5449.50ns INFO [00005451] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5449.50ns INFO [00005451] Port=0 RD @03 + 5450.50ns INFO [00005452] Port=0 WR @05=A7DE26AFDC49B5AA46 + 5450.50ns INFO [00005452] Port=0 RD @02 + 5450.50ns INFO [00005452] Port=1 RD @06 + 5451.50ns INFO [00005453] * RD COMPARE * port=0 adr=03 act=95AB4C8E98A342FB12 exp=95AB4C8E98A342FB12 + 5452.50ns INFO [00005454] * RD COMPARE * port=0 adr=02 act=6BA1348CE181B11B51 exp=6BA1348CE181B11B51 + 5452.50ns INFO [00005454] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5452.50ns INFO [00005454] Port=0 WR @02=63337623597B04C089 + 5453.50ns INFO [00005455] Port=0 WR @03=1491E1561C726D67A6 + 5453.50ns INFO [00005455] Port=0 RD @04 + 5455.50ns INFO [00005457] * RD COMPARE * port=0 adr=04 act=00CC4336CE28A2756B exp=00CC4336CE28A2756B + 5455.50ns INFO [00005457] Port=0 WR @05=783CE0FC539C074BAD + 5456.50ns INFO [00005458] Port=0 RD @06 + 5456.50ns INFO [00005458] Port=1 RD @04 + 5457.50ns INFO [00005459] Port=0 WR @02=41AFAB5F7769E7D1F4 + 5458.50ns INFO [00005460] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5458.50ns INFO [00005460] * RD COMPARE * port=1 adr=04 act=00CC4336CE28A2756B exp=00CC4336CE28A2756B + 5458.50ns INFO [00005460] Port=0 WR @05=980A0436D79E553BEF + 5458.50ns INFO [00005460] Port=1 RD @07 + 5460.50ns INFO [00005462] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5461.50ns INFO [00005463] Port=0 WR @03=376354267712E918B2 + 5461.50ns INFO [00005463] Port=1 RD @05 + 5463.50ns INFO [00005465] * RD COMPARE * port=1 adr=05 act=980A0436D79E553BEF exp=980A0436D79E553BEF + 5463.50ns INFO [00005465] Port=0 WR @00=F8ACF3F285E4031480 + 5463.50ns INFO [00005465] Port=0 RD @06 + 5463.50ns INFO [00005465] Port=1 RD @07 + 5465.50ns INFO [00005467] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5465.50ns INFO [00005467] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5465.50ns INFO [00005467] Port=0 RD @05 + 5466.50ns INFO [00005468] Port=0 RD @02 + 5466.50ns INFO [00005468] Port=1 RD @06 + 5467.50ns INFO [00005469] * RD COMPARE * port=0 adr=05 act=980A0436D79E553BEF exp=980A0436D79E553BEF + 5468.50ns INFO [00005470] * RD COMPARE * port=0 adr=02 act=41AFAB5F7769E7D1F4 exp=41AFAB5F7769E7D1F4 + 5468.50ns INFO [00005470] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5468.50ns INFO [00005470] Port=1 RD @03 + 5470.50ns INFO [00005472] * RD COMPARE * port=1 adr=03 act=376354267712E918B2 exp=376354267712E918B2 + 5470.50ns INFO [00005472] Port=1 RD @02 + 5471.50ns INFO [00005473] Port=0 WR @03=4BBFC3B34091C41BA6 + 5471.50ns INFO [00005473] Port=1 RD @01 + 5472.50ns INFO [00005474] * RD COMPARE * port=1 adr=02 act=41AFAB5F7769E7D1F4 exp=41AFAB5F7769E7D1F4 + 5472.50ns INFO [00005474] Port=0 WR @05=CFBBBA90BCDABEB04A + 5472.50ns INFO [00005474] Port=1 RD @03 + 5473.50ns INFO [00005475] * RD COMPARE * port=1 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316 + 5473.50ns INFO [00005475] Port=0 RD @07 + 5474.50ns INFO [00005476] * RD COMPARE * port=1 adr=03 act=4BBFC3B34091C41BA6 exp=4BBFC3B34091C41BA6 + 5474.50ns INFO [00005476] Port=0 WR @07=D4F3D005CEC253CF81 + 5475.50ns INFO [00005477] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96 + 5475.50ns INFO [00005477] Port=1 RD @03 + 5476.50ns INFO [00005478] Port=0 RD @02 + 5476.50ns INFO [00005478] Port=1 RD @07 + 5477.50ns INFO [00005479] * RD COMPARE * port=1 adr=03 act=4BBFC3B34091C41BA6 exp=4BBFC3B34091C41BA6 + 5477.50ns INFO [00005479] Port=0 RD @07 + 5477.50ns INFO [00005479] Port=1 RD @06 + 5478.50ns INFO [00005480] * RD COMPARE * port=0 adr=02 act=41AFAB5F7769E7D1F4 exp=41AFAB5F7769E7D1F4 + 5478.50ns INFO [00005480] * RD COMPARE * port=1 adr=07 act=D4F3D005CEC253CF81 exp=D4F3D005CEC253CF81 + 5479.50ns INFO [00005481] * RD COMPARE * port=0 adr=07 act=D4F3D005CEC253CF81 exp=D4F3D005CEC253CF81 + 5479.50ns INFO [00005481] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1 + 5479.50ns INFO [00005481] Port=0 WR @07=54EBDA31C939BFEBAB + 5479.50ns INFO [00005481] Port=1 RD @04 + 5480.50ns INFO [00005482] Port=0 WR @06=15EDBB85CCECCB444F + 5480.50ns INFO [00005482] Port=0 RD @01 + 5481.50ns INFO [00005483] * RD COMPARE * port=1 adr=04 act=00CC4336CE28A2756B exp=00CC4336CE28A2756B + 5481.50ns INFO [00005483] Port=0 RD @00 + 5481.50ns INFO [00005483] Port=1 RD @00 + 5482.50ns INFO [00005484] * RD COMPARE * port=0 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316 + 5482.50ns INFO [00005484] Port=0 WR @03=764AAA76BFE3C52C01 + 5483.50ns INFO [00005485] * RD COMPARE * port=0 adr=00 act=F8ACF3F285E4031480 exp=F8ACF3F285E4031480 + 5483.50ns INFO [00005485] * RD COMPARE * port=1 adr=00 act=F8ACF3F285E4031480 exp=F8ACF3F285E4031480 + 5483.50ns INFO [00005485] Port=0 WR @06=1EB5EA6E44F39A7DAD + 5483.50ns INFO [00005485] Port=0 RD @05 + 5484.50ns INFO [00005486] Port=0 RD @04 + 5484.50ns INFO [00005486] Port=1 RD @03 + 5485.50ns INFO [00005487] * RD COMPARE * port=0 adr=05 act=CFBBBA90BCDABEB04A exp=CFBBBA90BCDABEB04A + 5485.50ns INFO [00005487] Port=0 WR @05=781AEF6520FC60BD2E + 5486.50ns INFO [00005488] * RD COMPARE * port=0 adr=04 act=00CC4336CE28A2756B exp=00CC4336CE28A2756B + 5486.50ns INFO [00005488] * RD COMPARE * port=1 adr=03 act=764AAA76BFE3C52C01 exp=764AAA76BFE3C52C01 + 5486.50ns INFO [00005488] Port=1 RD @07 + 5487.50ns INFO [00005489] Port=1 RD @04 + 5488.50ns INFO [00005490] * RD COMPARE * port=1 adr=07 act=54EBDA31C939BFEBAB exp=54EBDA31C939BFEBAB + 5489.50ns INFO [00005491] * RD COMPARE * port=1 adr=04 act=00CC4336CE28A2756B exp=00CC4336CE28A2756B + 5489.50ns INFO [00005491] Port=1 RD @01 + 5491.50ns INFO [00005493] * RD COMPARE * port=1 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316 + 5491.50ns INFO [00005493] Port=0 RD @07 + 5492.50ns INFO [00005494] Port=0 WR @02=3D006BA3D8C4B95C00 + 5493.50ns INFO [00005495] * RD COMPARE * port=0 adr=07 act=54EBDA31C939BFEBAB exp=54EBDA31C939BFEBAB + 5493.50ns INFO [00005495] Port=0 WR @01=BE1B1EE216B038C00D + 5494.50ns INFO [00005496] Port=1 RD @06 + 5495.50ns INFO [00005497] Port=0 WR @07=84FCA4CA769FC33CD3 + 5496.50ns INFO [00005498] * RD COMPARE * port=1 adr=06 act=1EB5EA6E44F39A7DAD exp=1EB5EA6E44F39A7DAD + 5496.50ns INFO [00005498] Port=0 WR @05=33C919F65FDA22B22E + 5496.50ns INFO [00005498] Port=0 RD @02 + 5497.50ns INFO [00005499] Port=0 WR @04=C1177CDC567463F3D3 + 5497.50ns INFO [00005499] Port=0 RD @06 + 5497.50ns INFO [00005499] Port=1 RD @03 + 5498.00ns INFO [00005500] [00005500] ...tick... + 5498.50ns INFO [00005500] * RD COMPARE * port=0 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00 + 5499.50ns INFO [00005501] * RD COMPARE * port=0 adr=06 act=1EB5EA6E44F39A7DAD exp=1EB5EA6E44F39A7DAD + 5499.50ns INFO [00005501] * RD COMPARE * port=1 adr=03 act=764AAA76BFE3C52C01 exp=764AAA76BFE3C52C01 + 5499.50ns INFO [00005501] Port=0 RD @06 + 5499.50ns INFO [00005501] Port=1 RD @05 + 5500.50ns INFO [00005502] Port=1 RD @00 + 5501.50ns INFO [00005503] * RD COMPARE * port=0 adr=06 act=1EB5EA6E44F39A7DAD exp=1EB5EA6E44F39A7DAD + 5501.50ns INFO [00005503] * RD COMPARE * port=1 adr=05 act=33C919F65FDA22B22E exp=33C919F65FDA22B22E + 5502.50ns INFO [00005504] * RD COMPARE * port=1 adr=00 act=F8ACF3F285E4031480 exp=F8ACF3F285E4031480 + 5502.50ns INFO [00005504] Port=0 WR @07=66DAA6BADE38122E09 + 5503.50ns INFO [00005505] Port=0 WR @01=CB0597AEF6AA48DC7E + 5503.50ns INFO [00005505] Port=1 RD @05 + 5504.50ns INFO [00005506] Port=0 WR @00=11A9F9F7FF8C631F58 + 5504.50ns INFO [00005506] Port=1 RD @01 + 5505.50ns INFO [00005507] * RD COMPARE * port=1 adr=05 act=33C919F65FDA22B22E exp=33C919F65FDA22B22E + 5505.50ns INFO [00005507] Port=1 RD @02 + 5506.50ns INFO [00005508] * RD COMPARE * port=1 adr=01 act=CB0597AEF6AA48DC7E exp=CB0597AEF6AA48DC7E + 5506.50ns INFO [00005508] Port=0 WR @06=8543FAE200E59C2E07 + 5506.50ns INFO [00005508] Port=1 RD @04 + 5507.50ns INFO [00005509] * RD COMPARE * port=1 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00 + 5507.50ns INFO [00005509] Port=0 RD @02 + 5507.50ns INFO [00005509] Port=1 RD @05 + 5508.50ns INFO [00005510] * RD COMPARE * port=1 adr=04 act=C1177CDC567463F3D3 exp=C1177CDC567463F3D3 + 5508.50ns INFO [00005510] Port=0 WR @03=F09CF112F2FE84B926 + 5509.50ns INFO [00005511] * RD COMPARE * port=0 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00 + 5509.50ns INFO [00005511] * RD COMPARE * port=1 adr=05 act=33C919F65FDA22B22E exp=33C919F65FDA22B22E + 5509.50ns INFO [00005511] Port=0 RD @01 + 5510.50ns INFO [00005512] Port=0 WR @03=48E38B0736AA1EABE8 + 5511.50ns INFO [00005513] * RD COMPARE * port=0 adr=01 act=CB0597AEF6AA48DC7E exp=CB0597AEF6AA48DC7E + 5511.50ns INFO [00005513] Port=0 WR @04=C765672BA974B1C263 + 5511.50ns INFO [00005513] Port=0 RD @03 + 5511.50ns INFO [00005513] Port=1 RD @00 + 5512.50ns INFO [00005514] Port=0 RD @04 + 5513.50ns INFO [00005515] * RD COMPARE * port=0 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8 + 5513.50ns INFO [00005515] * RD COMPARE * port=1 adr=00 act=11A9F9F7FF8C631F58 exp=11A9F9F7FF8C631F58 + 5513.50ns INFO [00005515] Port=0 WR @01=200EAB045738A194CE + 5513.50ns INFO [00005515] Port=1 RD @05 + 5514.50ns INFO [00005516] * RD COMPARE * port=0 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263 + 5514.50ns INFO [00005516] Port=1 RD @04 + 5515.50ns INFO [00005517] * RD COMPARE * port=1 adr=05 act=33C919F65FDA22B22E exp=33C919F65FDA22B22E + 5515.50ns INFO [00005517] Port=0 WR @01=9666EA09A3B96EA034 + 5515.50ns INFO [00005517] Port=0 RD @06 + 5516.50ns INFO [00005518] * RD COMPARE * port=1 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263 + 5516.50ns INFO [00005518] Port=0 WR @01=38840D90D57C0A8B6E + 5516.50ns INFO [00005518] Port=0 RD @05 + 5516.50ns INFO [00005518] Port=1 RD @04 + 5517.50ns INFO [00005519] * RD COMPARE * port=0 adr=06 act=8543FAE200E59C2E07 exp=8543FAE200E59C2E07 + 5517.50ns INFO [00005519] Port=0 WR @01=F67855BFE10A9D4B51 + 5518.50ns INFO [00005520] * RD COMPARE * port=0 adr=05 act=33C919F65FDA22B22E exp=33C919F65FDA22B22E + 5518.50ns INFO [00005520] * RD COMPARE * port=1 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263 + 5518.50ns INFO [00005520] Port=0 RD @03 + 5519.50ns INFO [00005521] Port=0 WR @07=B77B5CE0274133E9C7 + 5520.50ns INFO [00005522] * RD COMPARE * port=0 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8 + 5521.50ns INFO [00005523] Port=0 WR @05=6DF31FB768E9751C8B + 5521.50ns INFO [00005523] Port=0 RD @00 + 5521.50ns INFO [00005523] Port=1 RD @00 + 5522.50ns INFO [00005524] Port=0 WR @01=635695C2FEDA5C7C8F + 5522.50ns INFO [00005524] Port=0 RD @05 + 5522.50ns INFO [00005524] Port=1 RD @03 + 5523.50ns INFO [00005525] * RD COMPARE * port=0 adr=00 act=11A9F9F7FF8C631F58 exp=11A9F9F7FF8C631F58 + 5523.50ns INFO [00005525] * RD COMPARE * port=1 adr=00 act=11A9F9F7FF8C631F58 exp=11A9F9F7FF8C631F58 + 5523.50ns INFO [00005525] Port=0 WR @00=972F47FF81CB1C9C25 + 5523.50ns INFO [00005525] Port=1 RD @04 + 5524.50ns INFO [00005526] * RD COMPARE * port=0 adr=05 act=6DF31FB768E9751C8B exp=6DF31FB768E9751C8B + 5524.50ns INFO [00005526] * RD COMPARE * port=1 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8 + 5524.50ns INFO [00005526] Port=1 RD @01 + 5525.50ns INFO [00005527] * RD COMPARE * port=1 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263 + 5525.50ns INFO [00005527] Port=0 WR @00=61CF4A2DA74BF8F8FB + 5525.50ns INFO [00005527] Port=0 RD @04 + 5525.50ns INFO [00005527] Port=1 RD @02 + 5526.50ns INFO [00005528] * RD COMPARE * port=1 adr=01 act=635695C2FEDA5C7C8F exp=635695C2FEDA5C7C8F + 5526.50ns INFO [00005528] Port=0 WR @01=660EB59AB03A310579 + 5527.50ns INFO [00005529] * RD COMPARE * port=0 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263 + 5527.50ns INFO [00005529] * RD COMPARE * port=1 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00 + 5528.50ns INFO [00005530] Port=0 RD @06 + 5528.50ns INFO [00005530] Port=1 RD @04 + 5529.50ns INFO [00005531] Port=1 RD @07 + 5530.50ns INFO [00005532] * RD COMPARE * port=0 adr=06 act=8543FAE200E59C2E07 exp=8543FAE200E59C2E07 + 5530.50ns INFO [00005532] * RD COMPARE * port=1 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263 + 5530.50ns INFO [00005532] Port=0 WR @00=3C507B1E19A6A6691B + 5531.50ns INFO [00005533] * RD COMPARE * port=1 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7 + 5531.50ns INFO [00005533] Port=0 RD @07 + 5532.50ns INFO [00005534] Port=0 WR @01=D806939C1A065BE2B5 + 5532.50ns INFO [00005534] Port=0 RD @07 + 5533.50ns INFO [00005535] * RD COMPARE * port=0 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7 + 5534.50ns INFO [00005536] * RD COMPARE * port=0 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7 + 5534.50ns INFO [00005536] Port=1 RD @00 + 5536.50ns INFO [00005538] * RD COMPARE * port=1 adr=00 act=3C507B1E19A6A6691B exp=3C507B1E19A6A6691B + 5537.50ns INFO [00005539] Port=0 RD @01 + 5537.50ns INFO [00005539] Port=1 RD @00 + 5539.50ns INFO [00005541] * RD COMPARE * port=0 adr=01 act=D806939C1A065BE2B5 exp=D806939C1A065BE2B5 + 5539.50ns INFO [00005541] * RD COMPARE * port=1 adr=00 act=3C507B1E19A6A6691B exp=3C507B1E19A6A6691B + 5539.50ns INFO [00005541] Port=1 RD @01 + 5540.50ns INFO [00005542] Port=0 WR @04=CF62D4077C18C64CD9 + 5541.50ns INFO [00005543] * RD COMPARE * port=1 adr=01 act=D806939C1A065BE2B5 exp=D806939C1A065BE2B5 + 5541.50ns INFO [00005543] Port=1 RD @05 + 5542.50ns INFO [00005544] Port=0 RD @03 + 5542.50ns INFO [00005544] Port=1 RD @04 + 5543.50ns INFO [00005545] * RD COMPARE * port=1 adr=05 act=6DF31FB768E9751C8B exp=6DF31FB768E9751C8B + 5543.50ns INFO [00005545] Port=0 WR @06=0809EC2B0E86F114CC + 5544.50ns INFO [00005546] * RD COMPARE * port=0 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8 + 5544.50ns INFO [00005546] * RD COMPARE * port=1 adr=04 act=CF62D4077C18C64CD9 exp=CF62D4077C18C64CD9 + 5544.50ns INFO [00005546] Port=0 RD @03 + 5545.50ns INFO [00005547] Port=0 WR @06=17A108AD6EB36335C7 + 5546.50ns INFO [00005548] * RD COMPARE * port=0 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8 + 5548.50ns INFO [00005550] Port=0 RD @01 + 5548.50ns INFO [00005550] Port=1 RD @02 + 5550.50ns INFO [00005552] * RD COMPARE * port=0 adr=01 act=D806939C1A065BE2B5 exp=D806939C1A065BE2B5 + 5550.50ns INFO [00005552] * RD COMPARE * port=1 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00 + 5550.50ns INFO [00005552] Port=0 RD @00 + 5550.50ns INFO [00005552] Port=1 RD @05 + 5551.50ns INFO [00005553] Port=0 RD @07 + 5551.50ns INFO [00005553] Port=1 RD @03 + 5552.50ns INFO [00005554] * RD COMPARE * port=0 adr=00 act=3C507B1E19A6A6691B exp=3C507B1E19A6A6691B + 5552.50ns INFO [00005554] * RD COMPARE * port=1 adr=05 act=6DF31FB768E9751C8B exp=6DF31FB768E9751C8B + 5552.50ns INFO [00005554] Port=0 WR @01=9E26C942D855E365CF + 5552.50ns INFO [00005554] Port=0 RD @02 + 5553.50ns INFO [00005555] * RD COMPARE * port=0 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7 + 5553.50ns INFO [00005555] * RD COMPARE * port=1 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8 + 5554.50ns INFO [00005556] * RD COMPARE * port=0 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00 + 5554.50ns INFO [00005556] Port=0 WR @04=3844E4E70BCAFD7152 + 5554.50ns INFO [00005556] Port=1 RD @00 + 5556.50ns INFO [00005558] * RD COMPARE * port=1 adr=00 act=3C507B1E19A6A6691B exp=3C507B1E19A6A6691B + 5556.50ns INFO [00005558] Port=0 RD @07 + 5557.50ns INFO [00005559] Port=0 WR @01=5DE8CCE6E9AC7BCDC6 + 5558.50ns INFO [00005560] * RD COMPARE * port=0 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7 + 5558.50ns INFO [00005560] Port=0 RD @06 + 5560.50ns INFO [00005562] * RD COMPARE * port=0 adr=06 act=17A108AD6EB36335C7 exp=17A108AD6EB36335C7 + 5560.50ns INFO [00005562] Port=0 RD @06 + 5560.50ns INFO [00005562] Port=1 RD @05 + 5562.50ns INFO [00005564] * RD COMPARE * port=0 adr=06 act=17A108AD6EB36335C7 exp=17A108AD6EB36335C7 + 5562.50ns INFO [00005564] * RD COMPARE * port=1 adr=05 act=6DF31FB768E9751C8B exp=6DF31FB768E9751C8B + 5562.50ns INFO [00005564] Port=0 WR @06=9AE9EF5641E28DD8F8 + 5562.50ns INFO [00005564] Port=1 RD @01 + 5563.50ns INFO [00005565] Port=0 WR @04=CD2CB9C52F0B716C0F + 5564.50ns INFO [00005566] * RD COMPARE * port=1 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6 + 5564.50ns INFO [00005566] Port=0 RD @01 + 5565.50ns INFO [00005567] Port=0 RD @06 + 5566.50ns INFO [00005568] * RD COMPARE * port=0 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6 + 5566.50ns INFO [00005568] Port=0 WR @03=5B63013E27B1DBF796 + 5566.50ns INFO [00005568] Port=1 RD @00 + 5567.50ns INFO [00005569] * RD COMPARE * port=0 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8 + 5568.50ns INFO [00005570] * RD COMPARE * port=1 adr=00 act=3C507B1E19A6A6691B exp=3C507B1E19A6A6691B + 5568.50ns INFO [00005570] Port=0 WR @05=BA434A105AB6496C7B + 5568.50ns INFO [00005570] Port=1 RD @07 + 5569.50ns INFO [00005571] Port=0 RD @06 + 5569.50ns INFO [00005571] Port=1 RD @05 + 5570.50ns INFO [00005572] * RD COMPARE * port=1 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7 + 5570.50ns INFO [00005572] Port=0 RD @07 + 5571.50ns INFO [00005573] * RD COMPARE * port=0 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8 + 5571.50ns INFO [00005573] * RD COMPARE * port=1 adr=05 act=BA434A105AB6496C7B exp=BA434A105AB6496C7B + 5572.50ns INFO [00005574] * RD COMPARE * port=0 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7 + 5572.50ns INFO [00005574] Port=0 WR @00=493E95C21F93DFCF39 + 5574.50ns INFO [00005576] Port=0 RD @05 + 5576.50ns INFO [00005578] * RD COMPARE * port=0 adr=05 act=BA434A105AB6496C7B exp=BA434A105AB6496C7B + 5576.50ns INFO [00005578] Port=0 WR @07=B4D0FCE69A36EA1A38 + 5577.50ns INFO [00005579] Port=0 RD @01 + 5577.50ns INFO [00005579] Port=1 RD @01 + 5578.50ns INFO [00005580] Port=1 RD @01 + 5579.50ns INFO [00005581] * RD COMPARE * port=0 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6 + 5579.50ns INFO [00005581] * RD COMPARE * port=1 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6 + 5579.50ns INFO [00005581] Port=0 WR @02=0A3751E73249E3A687 + 5580.50ns INFO [00005582] * RD COMPARE * port=1 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6 + 5580.50ns INFO [00005582] Port=0 RD @02 + 5580.50ns INFO [00005582] Port=1 RD @06 + 5581.50ns INFO [00005583] Port=0 WR @00=43B4592D277630C2FC + 5581.50ns INFO [00005583] Port=0 RD @07 + 5581.50ns INFO [00005583] Port=1 RD @01 + 5582.50ns INFO [00005584] * RD COMPARE * port=0 adr=02 act=0A3751E73249E3A687 exp=0A3751E73249E3A687 + 5582.50ns INFO [00005584] * RD COMPARE * port=1 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8 + 5583.50ns INFO [00005585] * RD COMPARE * port=0 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38 + 5583.50ns INFO [00005585] * RD COMPARE * port=1 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6 + 5585.50ns INFO [00005587] Port=0 WR @03=1F5545DBD6862B6E6E + 5587.50ns INFO [00005589] Port=0 RD @05 + 5588.50ns INFO [00005590] Port=0 RD @02 + 5589.50ns INFO [00005591] * RD COMPARE * port=0 adr=05 act=BA434A105AB6496C7B exp=BA434A105AB6496C7B + 5589.50ns INFO [00005591] Port=0 RD @03 + 5590.50ns INFO [00005592] * RD COMPARE * port=0 adr=02 act=0A3751E73249E3A687 exp=0A3751E73249E3A687 + 5591.50ns INFO [00005593] * RD COMPARE * port=0 adr=03 act=1F5545DBD6862B6E6E exp=1F5545DBD6862B6E6E + 5594.50ns INFO [00005596] Port=0 WR @05=9ABBF86357D9819EFC + 5596.50ns INFO [00005598] Port=0 RD @00 + 5596.50ns INFO [00005598] Port=1 RD @07 + 5597.50ns INFO [00005599] Port=0 RD @07 + 5598.00ns INFO [00005600] [00005600] ...tick... + 5598.50ns INFO [00005600] * RD COMPARE * port=0 adr=00 act=43B4592D277630C2FC exp=43B4592D277630C2FC + 5598.50ns INFO [00005600] * RD COMPARE * port=1 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38 + 5598.50ns INFO [00005600] Port=0 RD @03 + 5598.50ns INFO [00005600] Port=1 RD @03 + 5599.50ns INFO [00005601] * RD COMPARE * port=0 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38 + 5599.50ns INFO [00005601] Port=0 WR @04=5A1206BD4E449554AE + 5600.50ns INFO [00005602] * RD COMPARE * port=0 adr=03 act=1F5545DBD6862B6E6E exp=1F5545DBD6862B6E6E + 5600.50ns INFO [00005602] * RD COMPARE * port=1 adr=03 act=1F5545DBD6862B6E6E exp=1F5545DBD6862B6E6E + 5600.50ns INFO [00005602] Port=0 WR @02=87A71D66BC6D0225A0 + 5600.50ns INFO [00005602] Port=0 RD @07 + 5600.50ns INFO [00005602] Port=1 RD @00 + 5601.50ns INFO [00005603] Port=1 RD @03 + 5602.50ns INFO [00005604] * RD COMPARE * port=0 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38 + 5602.50ns INFO [00005604] * RD COMPARE * port=1 adr=00 act=43B4592D277630C2FC exp=43B4592D277630C2FC + 5602.50ns INFO [00005604] Port=0 WR @04=7EA794630B677F3D0A + 5603.50ns INFO [00005605] * RD COMPARE * port=1 adr=03 act=1F5545DBD6862B6E6E exp=1F5545DBD6862B6E6E + 5603.50ns INFO [00005605] Port=0 RD @04 + 5604.50ns INFO [00005606] Port=0 WR @01=5CCA1F8EE9E13BCA92 + 5605.50ns INFO [00005607] * RD COMPARE * port=0 adr=04 act=7EA794630B677F3D0A exp=7EA794630B677F3D0A + 5605.50ns INFO [00005607] Port=0 WR @04=8AF6C6B9A279E5A1C6 + 5605.50ns INFO [00005607] Port=1 RD @00 + 5606.50ns INFO [00005608] Port=0 WR @05=B8F3EE5BAFEC60A616 + 5606.50ns INFO [00005608] Port=0 RD @06 + 5606.50ns INFO [00005608] Port=1 RD @06 + 5607.50ns INFO [00005609] * RD COMPARE * port=1 adr=00 act=43B4592D277630C2FC exp=43B4592D277630C2FC + 5607.50ns INFO [00005609] Port=0 RD @03 + 5608.50ns INFO [00005610] * RD COMPARE * port=0 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8 + 5608.50ns INFO [00005610] * RD COMPARE * port=1 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8 + 5608.50ns INFO [00005610] Port=0 WR @00=F8B72D1C78BC76B5D5 + 5608.50ns INFO [00005610] Port=1 RD @06 + 5609.50ns INFO [00005611] * RD COMPARE * port=0 adr=03 act=1F5545DBD6862B6E6E exp=1F5545DBD6862B6E6E + 5609.50ns INFO [00005611] Port=0 RD @06 + 5609.50ns INFO [00005611] Port=1 RD @02 + 5610.50ns INFO [00005612] * RD COMPARE * port=1 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8 + 5610.50ns INFO [00005612] Port=0 RD @07 + 5610.50ns INFO [00005612] Port=1 RD @07 + 5611.50ns INFO [00005613] * RD COMPARE * port=0 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8 + 5611.50ns INFO [00005613] * RD COMPARE * port=1 adr=02 act=87A71D66BC6D0225A0 exp=87A71D66BC6D0225A0 + 5611.50ns INFO [00005613] Port=0 WR @03=E246A41D70F843936E + 5612.50ns INFO [00005614] * RD COMPARE * port=0 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38 + 5612.50ns INFO [00005614] * RD COMPARE * port=1 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38 + 5612.50ns INFO [00005614] Port=0 WR @03=55CC091BA8B5452E3A + 5613.50ns INFO [00005615] Port=0 WR @06=AC5FB9413E634FBAE8 + 5613.50ns INFO [00005615] Port=0 RD @02 + 5613.50ns INFO [00005615] Port=1 RD @00 + 5614.50ns INFO [00005616] Port=0 WR @01=8F4E91988B76A3F7DD + 5615.50ns INFO [00005617] * RD COMPARE * port=0 adr=02 act=87A71D66BC6D0225A0 exp=87A71D66BC6D0225A0 + 5615.50ns INFO [00005617] * RD COMPARE * port=1 adr=00 act=F8B72D1C78BC76B5D5 exp=F8B72D1C78BC76B5D5 + 5615.50ns INFO [00005617] Port=0 RD @01 + 5616.50ns INFO [00005618] Port=0 RD @00 + 5616.50ns INFO [00005618] Port=1 RD @04 + 5617.50ns INFO [00005619] * RD COMPARE * port=0 adr=01 act=8F4E91988B76A3F7DD exp=8F4E91988B76A3F7DD + 5617.50ns INFO [00005619] Port=0 WR @01=835F69AA74827747D2 + 5618.50ns INFO [00005620] * RD COMPARE * port=0 adr=00 act=F8B72D1C78BC76B5D5 exp=F8B72D1C78BC76B5D5 + 5618.50ns INFO [00005620] * RD COMPARE * port=1 adr=04 act=8AF6C6B9A279E5A1C6 exp=8AF6C6B9A279E5A1C6 + 5618.50ns INFO [00005620] Port=0 RD @03 + 5619.50ns INFO [00005621] Port=0 WR @07=BC4F7158AC2F0AF474 + 5619.50ns INFO [00005621] Port=1 RD @01 + 5620.50ns INFO [00005622] * RD COMPARE * port=0 adr=03 act=55CC091BA8B5452E3A exp=55CC091BA8B5452E3A + 5620.50ns INFO [00005622] Port=0 RD @06 + 5621.50ns INFO [00005623] * RD COMPARE * port=1 adr=01 act=835F69AA74827747D2 exp=835F69AA74827747D2 + 5621.50ns INFO [00005623] Port=0 RD @03 + 5621.50ns INFO [00005623] Port=1 RD @06 + 5622.50ns INFO [00005624] * RD COMPARE * port=0 adr=06 act=AC5FB9413E634FBAE8 exp=AC5FB9413E634FBAE8 + 5622.50ns INFO [00005624] Port=0 WR @01=9D31802E67ED542E78 + 5622.50ns INFO [00005624] Port=0 RD @02 + 5623.50ns INFO [00005625] * RD COMPARE * port=0 adr=03 act=55CC091BA8B5452E3A exp=55CC091BA8B5452E3A + 5623.50ns INFO [00005625] * RD COMPARE * port=1 adr=06 act=AC5FB9413E634FBAE8 exp=AC5FB9413E634FBAE8 + 5623.50ns INFO [00005625] Port=0 RD @03 + 5623.50ns INFO [00005625] Port=1 RD @04 + 5624.50ns INFO [00005626] * RD COMPARE * port=0 adr=02 act=87A71D66BC6D0225A0 exp=87A71D66BC6D0225A0 + 5624.50ns INFO [00005626] Port=0 RD @04 + 5624.50ns INFO [00005626] Port=1 RD @04 + 5625.50ns INFO [00005627] * RD COMPARE * port=0 adr=03 act=55CC091BA8B5452E3A exp=55CC091BA8B5452E3A + 5625.50ns INFO [00005627] * RD COMPARE * port=1 adr=04 act=8AF6C6B9A279E5A1C6 exp=8AF6C6B9A279E5A1C6 + 5625.50ns INFO [00005627] Port=0 RD @01 + 5626.50ns INFO [00005628] * RD COMPARE * port=0 adr=04 act=8AF6C6B9A279E5A1C6 exp=8AF6C6B9A279E5A1C6 + 5626.50ns INFO [00005628] * RD COMPARE * port=1 adr=04 act=8AF6C6B9A279E5A1C6 exp=8AF6C6B9A279E5A1C6 + 5626.50ns INFO [00005628] Port=1 RD @04 + 5627.50ns INFO [00005629] * RD COMPARE * port=0 adr=01 act=9D31802E67ED542E78 exp=9D31802E67ED542E78 + 5627.50ns INFO [00005629] Port=1 RD @02 + 5628.50ns INFO [00005630] * RD COMPARE * port=1 adr=04 act=8AF6C6B9A279E5A1C6 exp=8AF6C6B9A279E5A1C6 + 5629.50ns INFO [00005631] * RD COMPARE * port=1 adr=02 act=87A71D66BC6D0225A0 exp=87A71D66BC6D0225A0 + 5629.50ns INFO [00005631] Port=0 WR @04=2C05E7B7C7F8716D84 + 5630.50ns INFO [00005632] Port=1 RD @03 + 5631.50ns INFO [00005633] Port=0 WR @06=494859D2ED1DD0393A + 5631.50ns INFO [00005633] Port=0 RD @03 + 5631.50ns INFO [00005633] Port=1 RD @07 + 5632.50ns INFO [00005634] * RD COMPARE * port=1 adr=03 act=55CC091BA8B5452E3A exp=55CC091BA8B5452E3A + 5632.50ns INFO [00005634] Port=0 WR @01=328795769C01BF2D3B + 5633.50ns INFO [00005635] * RD COMPARE * port=0 adr=03 act=55CC091BA8B5452E3A exp=55CC091BA8B5452E3A + 5633.50ns INFO [00005635] * RD COMPARE * port=1 adr=07 act=BC4F7158AC2F0AF474 exp=BC4F7158AC2F0AF474 + 5633.50ns INFO [00005635] Port=0 WR @07=8E264E1CDEEAFE4A6E + 5635.50ns INFO [00005637] Port=0 WR @03=2B38D69C3C26FA54B8 + 5636.50ns INFO [00005638] Port=1 RD @06 + 5637.50ns INFO [00005639] Port=0 RD @07 + 5638.50ns INFO [00005640] * RD COMPARE * port=1 adr=06 act=494859D2ED1DD0393A exp=494859D2ED1DD0393A + 5638.50ns INFO [00005640] Port=1 RD @03 + 5639.50ns INFO [00005641] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E + 5639.50ns INFO [00005641] Port=0 RD @07 + 5640.50ns INFO [00005642] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8 + 5640.50ns INFO [00005642] Port=0 RD @02 + 5641.50ns INFO [00005643] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E + 5641.50ns INFO [00005643] Port=0 WR @01=3BAEC8809ADE24DCFD + 5641.50ns INFO [00005643] Port=0 RD @03 + 5641.50ns INFO [00005643] Port=1 RD @03 + 5642.50ns INFO [00005644] * RD COMPARE * port=0 adr=02 act=87A71D66BC6D0225A0 exp=87A71D66BC6D0225A0 + 5642.50ns INFO [00005644] Port=0 RD @00 + 5642.50ns INFO [00005644] Port=1 RD @07 + 5643.50ns INFO [00005645] * RD COMPARE * port=0 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8 + 5643.50ns INFO [00005645] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8 + 5643.50ns INFO [00005645] Port=0 WR @06=8BEE879CD97A724335 + 5644.50ns INFO [00005646] * RD COMPARE * port=0 adr=00 act=F8B72D1C78BC76B5D5 exp=F8B72D1C78BC76B5D5 + 5644.50ns INFO [00005646] * RD COMPARE * port=1 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E + 5644.50ns INFO [00005646] Port=0 WR @00=773B251343DE5A7EBF + 5644.50ns INFO [00005646] Port=0 RD @04 + 5645.50ns INFO [00005647] Port=0 RD @07 + 5646.50ns INFO [00005648] * RD COMPARE * port=0 adr=04 act=2C05E7B7C7F8716D84 exp=2C05E7B7C7F8716D84 + 5646.50ns INFO [00005648] Port=0 WR @02=D689601311AD539D06 + 5646.50ns INFO [00005648] Port=0 RD @05 + 5646.50ns INFO [00005648] Port=1 RD @01 + 5647.50ns INFO [00005649] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E + 5647.50ns INFO [00005649] Port=1 RD @01 + 5648.50ns INFO [00005650] * RD COMPARE * port=0 adr=05 act=B8F3EE5BAFEC60A616 exp=B8F3EE5BAFEC60A616 + 5648.50ns INFO [00005650] * RD COMPARE * port=1 adr=01 act=3BAEC8809ADE24DCFD exp=3BAEC8809ADE24DCFD + 5648.50ns INFO [00005650] Port=1 RD @03 + 5649.50ns INFO [00005651] * RD COMPARE * port=1 adr=01 act=3BAEC8809ADE24DCFD exp=3BAEC8809ADE24DCFD + 5649.50ns INFO [00005651] Port=0 WR @06=48F287E6BB7DC16645 + 5650.50ns INFO [00005652] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8 + 5650.50ns INFO [00005652] Port=0 WR @01=D212406B2512235000 + 5651.50ns INFO [00005653] Port=0 WR @06=97B2B4E4909EF90E2D + 5652.50ns INFO [00005654] Port=0 RD @07 + 5653.50ns INFO [00005655] Port=1 RD @00 + 5654.50ns INFO [00005656] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E + 5654.50ns INFO [00005656] Port=1 RD @07 + 5655.50ns INFO [00005657] * RD COMPARE * port=1 adr=00 act=773B251343DE5A7EBF exp=773B251343DE5A7EBF + 5655.50ns INFO [00005657] Port=0 WR @05=9B8E560686ECAB4FC9 + 5655.50ns INFO [00005657] Port=1 RD @03 + 5656.50ns INFO [00005658] * RD COMPARE * port=1 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E + 5656.50ns INFO [00005658] Port=0 RD @00 + 5656.50ns INFO [00005658] Port=1 RD @06 + 5657.50ns INFO [00005659] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8 + 5657.50ns INFO [00005659] Port=1 RD @06 + 5658.50ns INFO [00005660] * RD COMPARE * port=0 adr=00 act=773B251343DE5A7EBF exp=773B251343DE5A7EBF + 5658.50ns INFO [00005660] * RD COMPARE * port=1 adr=06 act=97B2B4E4909EF90E2D exp=97B2B4E4909EF90E2D + 5658.50ns INFO [00005660] Port=0 WR @02=5C632BC70B28B3EB18 + 5659.50ns INFO [00005661] * RD COMPARE * port=1 adr=06 act=97B2B4E4909EF90E2D exp=97B2B4E4909EF90E2D + 5659.50ns INFO [00005661] Port=0 RD @07 + 5659.50ns INFO [00005661] Port=1 RD @01 + 5660.50ns INFO [00005662] Port=1 RD @03 + 5661.50ns INFO [00005663] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E + 5661.50ns INFO [00005663] * RD COMPARE * port=1 adr=01 act=D212406B2512235000 exp=D212406B2512235000 + 5661.50ns INFO [00005663] Port=0 WR @00=2845BB64C9B0B02F7F + 5662.50ns INFO [00005664] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8 + 5663.50ns INFO [00005665] Port=0 WR @04=75ABB244ED7BC759B8 + 5665.50ns INFO [00005667] Port=0 WR @04=50900D0760856807C6 + 5666.50ns INFO [00005668] Port=0 RD @02 + 5667.50ns INFO [00005669] Port=0 WR @02=FEF73F701E42809EF1 + 5667.50ns INFO [00005669] Port=1 RD @05 + 5668.50ns INFO [00005670] * RD COMPARE * port=0 adr=02 act=5C632BC70B28B3EB18 exp=5C632BC70B28B3EB18 + 5669.50ns INFO [00005671] * RD COMPARE * port=1 adr=05 act=9B8E560686ECAB4FC9 exp=9B8E560686ECAB4FC9 + 5669.50ns INFO [00005671] Port=0 RD @04 + 5670.50ns INFO [00005672] Port=0 WR @06=2E2C485F6A0FBFCB27 + 5670.50ns INFO [00005672] Port=0 RD @02 + 5671.50ns INFO [00005673] * RD COMPARE * port=0 adr=04 act=50900D0760856807C6 exp=50900D0760856807C6 + 5671.50ns INFO [00005673] Port=0 WR @04=39AA6BA75085960991 + 5671.50ns INFO [00005673] Port=0 RD @00 + 5672.50ns INFO [00005674] * RD COMPARE * port=0 adr=02 act=FEF73F701E42809EF1 exp=FEF73F701E42809EF1 + 5673.50ns INFO [00005675] * RD COMPARE * port=0 adr=00 act=2845BB64C9B0B02F7F exp=2845BB64C9B0B02F7F + 5673.50ns INFO [00005675] Port=1 RD @02 + 5675.50ns INFO [00005677] * RD COMPARE * port=1 adr=02 act=FEF73F701E42809EF1 exp=FEF73F701E42809EF1 + 5675.50ns INFO [00005677] Port=0 WR @06=F480766ADDCB68C88D + 5675.50ns INFO [00005677] Port=1 RD @04 + 5676.50ns INFO [00005678] Port=0 RD @00 + 5677.50ns INFO [00005679] * RD COMPARE * port=1 adr=04 act=39AA6BA75085960991 exp=39AA6BA75085960991 + 5677.50ns INFO [00005679] Port=1 RD @01 + 5678.50ns INFO [00005680] * RD COMPARE * port=0 adr=00 act=2845BB64C9B0B02F7F exp=2845BB64C9B0B02F7F + 5679.50ns INFO [00005681] * RD COMPARE * port=1 adr=01 act=D212406B2512235000 exp=D212406B2512235000 + 5679.50ns INFO [00005681] Port=0 RD @06 + 5680.50ns INFO [00005682] Port=0 WR @02=BC97109E7F5A10AA57 + 5680.50ns INFO [00005682] Port=1 RD @05 + 5681.50ns INFO [00005683] * RD COMPARE * port=0 adr=06 act=F480766ADDCB68C88D exp=F480766ADDCB68C88D + 5681.50ns INFO [00005683] Port=0 WR @02=439817C78DCEFE8688 + 5682.50ns INFO [00005684] * RD COMPARE * port=1 adr=05 act=9B8E560686ECAB4FC9 exp=9B8E560686ECAB4FC9 + 5682.50ns INFO [00005684] Port=1 RD @00 + 5683.50ns INFO [00005685] Port=0 WR @00=95204431973361E971 + 5684.50ns INFO [00005686] * RD COMPARE * port=1 adr=00 act=2845BB64C9B0B02F7F exp=2845BB64C9B0B02F7F + 5685.50ns INFO [00005687] Port=0 RD @04 + 5686.50ns INFO [00005688] Port=0 RD @07 + 5687.50ns INFO [00005689] * RD COMPARE * port=0 adr=04 act=39AA6BA75085960991 exp=39AA6BA75085960991 + 5687.50ns INFO [00005689] Port=0 RD @01 + 5688.50ns INFO [00005690] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E + 5688.50ns INFO [00005690] Port=0 WR @05=91CD895331E8A4479E + 5688.50ns INFO [00005690] Port=0 RD @02 + 5689.50ns INFO [00005691] * RD COMPARE * port=0 adr=01 act=D212406B2512235000 exp=D212406B2512235000 + 5689.50ns INFO [00005691] Port=0 RD @06 + 5690.50ns INFO [00005692] * RD COMPARE * port=0 adr=02 act=439817C78DCEFE8688 exp=439817C78DCEFE8688 + 5690.50ns INFO [00005692] Port=0 WR @01=F84EE055B8EE2FAB0D + 5690.50ns INFO [00005692] Port=0 RD @06 + 5691.50ns INFO [00005693] * RD COMPARE * port=0 adr=06 act=F480766ADDCB68C88D exp=F480766ADDCB68C88D + 5691.50ns INFO [00005693] Port=0 RD @07 + 5692.50ns INFO [00005694] * RD COMPARE * port=0 adr=06 act=F480766ADDCB68C88D exp=F480766ADDCB68C88D + 5692.50ns INFO [00005694] Port=0 WR @01=F39E3478E809F7A0B9 + 5692.50ns INFO [00005694] Port=1 RD @03 + 5693.50ns INFO [00005695] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E + 5693.50ns INFO [00005695] Port=0 WR @05=F3FAA33C72C9B3FD67 + 5693.50ns INFO [00005695] Port=0 RD @06 + 5693.50ns INFO [00005695] Port=1 RD @02 + 5694.50ns INFO [00005696] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8 + 5694.50ns INFO [00005696] Port=0 WR @07=3047FDCB325422C65E + 5695.50ns INFO [00005697] * RD COMPARE * port=0 adr=06 act=F480766ADDCB68C88D exp=F480766ADDCB68C88D + 5695.50ns INFO [00005697] * RD COMPARE * port=1 adr=02 act=439817C78DCEFE8688 exp=439817C78DCEFE8688 + 5695.50ns INFO [00005697] Port=0 WR @05=8E47BC933F6FB5BF8E + 5696.50ns INFO [00005698] Port=0 WR @01=AE43A4A2B996839745 + 5696.50ns INFO [00005698] Port=1 RD @02 + 5697.50ns INFO [00005699] Port=0 WR @02=68B352083BB4158F71 + 5697.50ns INFO [00005699] Port=1 RD @04 + 5698.00ns INFO [00005700] [00005700] ...tick... + 5698.50ns INFO [00005700] * RD COMPARE * port=1 adr=02 act=439817C78DCEFE8688 exp=439817C78DCEFE8688 + 5698.50ns INFO [00005700] Port=1 RD @02 + 5699.50ns INFO [00005701] * RD COMPARE * port=1 adr=04 act=39AA6BA75085960991 exp=39AA6BA75085960991 + 5699.50ns INFO [00005701] Port=0 RD @00 + 5699.50ns INFO [00005701] Port=1 RD @07 + 5700.50ns INFO [00005702] * RD COMPARE * port=1 adr=02 act=68B352083BB4158F71 exp=68B352083BB4158F71 + 5701.50ns INFO [00005703] * RD COMPARE * port=0 adr=00 act=95204431973361E971 exp=95204431973361E971 + 5701.50ns INFO [00005703] * RD COMPARE * port=1 adr=07 act=3047FDCB325422C65E exp=3047FDCB325422C65E + 5701.50ns INFO [00005703] Port=0 WR @06=B20F8C4441B2A09485 + 5701.50ns INFO [00005703] Port=1 RD @03 + 5703.50ns INFO [00005705] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8 + 5703.50ns INFO [00005705] Port=0 RD @01 + 5703.50ns INFO [00005705] Port=1 RD @03 + 5705.50ns INFO [00005707] * RD COMPARE * port=0 adr=01 act=AE43A4A2B996839745 exp=AE43A4A2B996839745 + 5705.50ns INFO [00005707] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8 + 5705.50ns INFO [00005707] Port=0 WR @05=67FD610F68C530E879 + 5705.50ns INFO [00005707] Port=0 RD @07 + 5706.50ns INFO [00005708] Port=0 WR @07=FF473ED214557AB819 + 5706.50ns INFO [00005708] Port=1 RD @05 + 5707.50ns INFO [00005709] * RD COMPARE * port=0 adr=07 act=3047FDCB325422C65E exp=3047FDCB325422C65E + 5708.50ns INFO [00005710] * RD COMPARE * port=1 adr=05 act=67FD610F68C530E879 exp=67FD610F68C530E879 + 5709.50ns INFO [00005711] Port=1 RD @01 + 5711.50ns INFO [00005713] * RD COMPARE * port=1 adr=01 act=AE43A4A2B996839745 exp=AE43A4A2B996839745 + 5711.50ns INFO [00005713] Port=0 WR @04=C01477022008EECCA0 + 5711.50ns INFO [00005713] Port=0 RD @02 + 5712.50ns INFO [00005714] Port=0 WR @04=761D89D9D9918FB98C + 5712.50ns INFO [00005714] Port=1 RD @07 + 5713.50ns INFO [00005715] * RD COMPARE * port=0 adr=02 act=68B352083BB4158F71 exp=68B352083BB4158F71 + 5714.50ns INFO [00005716] * RD COMPARE * port=1 adr=07 act=FF473ED214557AB819 exp=FF473ED214557AB819 + 5714.50ns INFO [00005716] Port=0 WR @03=36C9CE2E94B7517DA5 + 5714.50ns INFO [00005716] Port=0 RD @05 + 5715.50ns INFO [00005717] Port=0 WR @01=F6980DB7D090EF3098 + 5716.50ns INFO [00005718] * RD COMPARE * port=0 adr=05 act=67FD610F68C530E879 exp=67FD610F68C530E879 + 5716.50ns INFO [00005718] Port=1 RD @07 + 5717.50ns INFO [00005719] Port=0 WR @06=02421001F5504CF967 + 5717.50ns INFO [00005719] Port=0 RD @00 + 5717.50ns INFO [00005719] Port=1 RD @05 + 5718.50ns INFO [00005720] * RD COMPARE * port=1 adr=07 act=FF473ED214557AB819 exp=FF473ED214557AB819 + 5719.50ns INFO [00005721] * RD COMPARE * port=0 adr=00 act=95204431973361E971 exp=95204431973361E971 + 5719.50ns INFO [00005721] * RD COMPARE * port=1 adr=05 act=67FD610F68C530E879 exp=67FD610F68C530E879 + 5719.50ns INFO [00005721] Port=1 RD @05 + 5721.50ns INFO [00005723] * RD COMPARE * port=1 adr=05 act=67FD610F68C530E879 exp=67FD610F68C530E879 + 5721.50ns INFO [00005723] Port=0 RD @00 + 5723.50ns INFO [00005725] * RD COMPARE * port=0 adr=00 act=95204431973361E971 exp=95204431973361E971 + 5723.50ns INFO [00005725] Port=0 RD @04 + 5724.50ns INFO [00005726] Port=0 WR @07=03664F9209C8A0A335 + 5724.50ns INFO [00005726] Port=1 RD @04 + 5725.50ns INFO [00005727] * RD COMPARE * port=0 adr=04 act=761D89D9D9918FB98C exp=761D89D9D9918FB98C + 5725.50ns INFO [00005727] Port=0 WR @07=94D5684D455FD33CE4 + 5725.50ns INFO [00005727] Port=1 RD @02 + 5726.50ns INFO [00005728] * RD COMPARE * port=1 adr=04 act=761D89D9D9918FB98C exp=761D89D9D9918FB98C + 5726.50ns INFO [00005728] Port=0 WR @01=1C82FDA455C3659912 + 5726.50ns INFO [00005728] Port=1 RD @00 + 5727.50ns INFO [00005729] * RD COMPARE * port=1 adr=02 act=68B352083BB4158F71 exp=68B352083BB4158F71 + 5727.50ns INFO [00005729] Port=0 WR @02=0C4E2C8A78787F9C17 + 5728.50ns INFO [00005730] * RD COMPARE * port=1 adr=00 act=95204431973361E971 exp=95204431973361E971 + 5728.50ns INFO [00005730] Port=0 WR @07=F1425FCB398F0C4AE1 + 5728.50ns INFO [00005730] Port=1 RD @04 + 5729.50ns INFO [00005731] Port=0 RD @07 + 5730.50ns INFO [00005732] * RD COMPARE * port=1 adr=04 act=761D89D9D9918FB98C exp=761D89D9D9918FB98C + 5730.50ns INFO [00005732] Port=0 WR @04=1B927CD225E38D3330 + 5730.50ns INFO [00005732] Port=0 RD @03 + 5731.50ns INFO [00005733] * RD COMPARE * port=0 adr=07 act=F1425FCB398F0C4AE1 exp=F1425FCB398F0C4AE1 + 5731.50ns INFO [00005733] Port=0 WR @07=8A6E38BABAC11C5486 + 5731.50ns INFO [00005733] Port=0 RD @02 + 5731.50ns INFO [00005733] Port=1 RD @00 + 5732.50ns INFO [00005734] * RD COMPARE * port=0 adr=03 act=36C9CE2E94B7517DA5 exp=36C9CE2E94B7517DA5 + 5732.50ns INFO [00005734] Port=0 WR @04=86BCFE035B63A9A527 + 5733.50ns INFO [00005735] * RD COMPARE * port=0 adr=02 act=0C4E2C8A78787F9C17 exp=0C4E2C8A78787F9C17 + 5733.50ns INFO [00005735] * RD COMPARE * port=1 adr=00 act=95204431973361E971 exp=95204431973361E971 + 5733.50ns INFO [00005735] Port=1 RD @02 + 5734.50ns INFO [00005736] Port=0 RD @05 + 5735.50ns INFO [00005737] * RD COMPARE * port=1 adr=02 act=0C4E2C8A78787F9C17 exp=0C4E2C8A78787F9C17 + 5735.50ns INFO [00005737] Port=0 WR @05=723A31634B457C3D13 + 5736.50ns INFO [00005738] * RD COMPARE * port=0 adr=05 act=67FD610F68C530E879 exp=67FD610F68C530E879 + 5736.50ns INFO [00005738] Port=0 WR @03=FE26F19826FC86426B + 5736.50ns INFO [00005738] Port=1 RD @07 + 5737.50ns INFO [00005739] Port=0 RD @07 + 5738.50ns INFO [00005740] * RD COMPARE * port=1 adr=07 act=8A6E38BABAC11C5486 exp=8A6E38BABAC11C5486 + 5738.50ns INFO [00005740] Port=0 WR @00=456AFED12AA8374E48 + 5738.50ns INFO [00005740] Port=0 RD @07 + 5739.50ns INFO [00005741] * RD COMPARE * port=0 adr=07 act=8A6E38BABAC11C5486 exp=8A6E38BABAC11C5486 + 5739.50ns INFO [00005741] Port=0 RD @00 + 5739.50ns INFO [00005741] Port=1 RD @00 + 5740.50ns INFO [00005742] * RD COMPARE * port=0 adr=07 act=8A6E38BABAC11C5486 exp=8A6E38BABAC11C5486 + 5740.50ns INFO [00005742] Port=0 WR @00=C7693424A8E68F3470 + 5740.50ns INFO [00005742] Port=0 RD @03 + 5741.50ns INFO [00005743] * RD COMPARE * port=0 adr=00 act=456AFED12AA8374E48 exp=456AFED12AA8374E48 + 5741.50ns INFO [00005743] * RD COMPARE * port=1 adr=00 act=456AFED12AA8374E48 exp=456AFED12AA8374E48 + 5741.50ns INFO [00005743] Port=0 RD @04 + 5741.50ns INFO [00005743] Port=1 RD @03 + 5742.50ns INFO [00005744] * RD COMPARE * port=0 adr=03 act=FE26F19826FC86426B exp=FE26F19826FC86426B + 5742.50ns INFO [00005744] Port=0 WR @07=C0B4E2554C81CE4D18 + 5742.50ns INFO [00005744] Port=0 RD @00 + 5742.50ns INFO [00005744] Port=1 RD @05 + 5743.50ns INFO [00005745] * RD COMPARE * port=0 adr=04 act=86BCFE035B63A9A527 exp=86BCFE035B63A9A527 + 5743.50ns INFO [00005745] * RD COMPARE * port=1 adr=03 act=FE26F19826FC86426B exp=FE26F19826FC86426B + 5743.50ns INFO [00005745] Port=1 RD @07 + 5744.50ns INFO [00005746] * RD COMPARE * port=0 adr=00 act=C7693424A8E68F3470 exp=C7693424A8E68F3470 + 5744.50ns INFO [00005746] * RD COMPARE * port=1 adr=05 act=723A31634B457C3D13 exp=723A31634B457C3D13 + 5745.50ns INFO [00005747] * RD COMPARE * port=1 adr=07 act=C0B4E2554C81CE4D18 exp=C0B4E2554C81CE4D18 + 5745.50ns INFO [00005747] Port=0 RD @00 + 5745.50ns INFO [00005747] Port=1 RD @04 + 5746.50ns INFO [00005748] Port=0 WR @02=7282A86A171231757C + 5747.50ns INFO [00005749] * RD COMPARE * port=0 adr=00 act=C7693424A8E68F3470 exp=C7693424A8E68F3470 + 5747.50ns INFO [00005749] * RD COMPARE * port=1 adr=04 act=86BCFE035B63A9A527 exp=86BCFE035B63A9A527 + 5747.50ns INFO [00005749] Port=0 WR @07=9E5A6B3CD400C2A623 + 5747.50ns INFO [00005749] Port=0 RD @02 + 5747.50ns INFO [00005749] Port=1 RD @05 + 5748.50ns INFO [00005750] Port=0 RD @04 + 5748.50ns INFO [00005750] Port=1 RD @04 + 5749.50ns INFO [00005751] * RD COMPARE * port=0 adr=02 act=7282A86A171231757C exp=7282A86A171231757C + 5749.50ns INFO [00005751] * RD COMPARE * port=1 adr=05 act=723A31634B457C3D13 exp=723A31634B457C3D13 + 5749.50ns INFO [00005751] Port=0 WR @01=30B408CF36FC74437A + 5750.50ns INFO [00005752] * RD COMPARE * port=0 adr=04 act=86BCFE035B63A9A527 exp=86BCFE035B63A9A527 + 5750.50ns INFO [00005752] * RD COMPARE * port=1 adr=04 act=86BCFE035B63A9A527 exp=86BCFE035B63A9A527 + 5751.50ns INFO [00005753] Port=0 WR @00=6DA08D74CFAAA7B0F0 + 5752.50ns INFO [00005754] Port=0 WR @00=97B24F3F4B709C8D2E + 5753.50ns INFO [00005755] Port=0 WR @01=F7958C8FBBDD022BAB + 5753.50ns INFO [00005755] Port=0 RD @00 + 5754.50ns INFO [00005756] Port=0 RD @07 + 5754.50ns INFO [00005756] Port=1 RD @01 + 5755.50ns INFO [00005757] * RD COMPARE * port=0 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E + 5756.50ns INFO [00005758] * RD COMPARE * port=0 adr=07 act=9E5A6B3CD400C2A623 exp=9E5A6B3CD400C2A623 + 5756.50ns INFO [00005758] * RD COMPARE * port=1 adr=01 act=F7958C8FBBDD022BAB exp=F7958C8FBBDD022BAB + 5757.50ns INFO [00005759] Port=0 WR @02=9E85B63A9D2C15C845 + 5757.50ns INFO [00005759] Port=1 RD @01 + 5759.50ns INFO [00005761] * RD COMPARE * port=1 adr=01 act=F7958C8FBBDD022BAB exp=F7958C8FBBDD022BAB + 5759.50ns INFO [00005761] Port=1 RD @00 + 5761.50ns INFO [00005763] * RD COMPARE * port=1 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E + 5761.50ns INFO [00005763] Port=0 RD @02 + 5762.50ns INFO [00005764] Port=0 WR @04=0B8A3486612AD5C893 + 5762.50ns INFO [00005764] Port=1 RD @06 + 5763.50ns INFO [00005765] * RD COMPARE * port=0 adr=02 act=9E85B63A9D2C15C845 exp=9E85B63A9D2C15C845 + 5764.50ns INFO [00005766] * RD COMPARE * port=1 adr=06 act=02421001F5504CF967 exp=02421001F5504CF967 + 5764.50ns INFO [00005766] Port=0 RD @07 + 5765.50ns INFO [00005767] Port=0 WR @05=974535A8CA1BF3DC12 + 5765.50ns INFO [00005767] Port=0 RD @04 + 5766.50ns INFO [00005768] * RD COMPARE * port=0 adr=07 act=9E5A6B3CD400C2A623 exp=9E5A6B3CD400C2A623 + 5766.50ns INFO [00005768] Port=1 RD @03 + 5767.50ns INFO [00005769] * RD COMPARE * port=0 adr=04 act=0B8A3486612AD5C893 exp=0B8A3486612AD5C893 + 5767.50ns INFO [00005769] Port=0 RD @04 + 5768.50ns INFO [00005770] * RD COMPARE * port=1 adr=03 act=FE26F19826FC86426B exp=FE26F19826FC86426B + 5768.50ns INFO [00005770] Port=0 RD @05 + 5769.50ns INFO [00005771] * RD COMPARE * port=0 adr=04 act=0B8A3486612AD5C893 exp=0B8A3486612AD5C893 + 5769.50ns INFO [00005771] Port=0 RD @04 + 5770.50ns INFO [00005772] * RD COMPARE * port=0 adr=05 act=974535A8CA1BF3DC12 exp=974535A8CA1BF3DC12 + 5770.50ns INFO [00005772] Port=1 RD @03 + 5771.50ns INFO [00005773] * RD COMPARE * port=0 adr=04 act=0B8A3486612AD5C893 exp=0B8A3486612AD5C893 + 5771.50ns INFO [00005773] Port=0 WR @02=259FC666A5F6A65514 + 5771.50ns INFO [00005773] Port=1 RD @05 + 5772.50ns INFO [00005774] * RD COMPARE * port=1 adr=03 act=FE26F19826FC86426B exp=FE26F19826FC86426B + 5772.50ns INFO [00005774] Port=0 WR @02=B278A6899DA3400242 + 5772.50ns INFO [00005774] Port=0 RD @07 + 5772.50ns INFO [00005774] Port=1 RD @07 + 5773.50ns INFO [00005775] * RD COMPARE * port=1 adr=05 act=974535A8CA1BF3DC12 exp=974535A8CA1BF3DC12 + 5773.50ns INFO [00005775] Port=0 WR @06=CBA4624B62417C43E8 + 5774.50ns INFO [00005776] * RD COMPARE * port=0 adr=07 act=9E5A6B3CD400C2A623 exp=9E5A6B3CD400C2A623 + 5774.50ns INFO [00005776] * RD COMPARE * port=1 adr=07 act=9E5A6B3CD400C2A623 exp=9E5A6B3CD400C2A623 + 5774.50ns INFO [00005776] Port=0 WR @03=14F39FA4FCB9DBBADC + 5774.50ns INFO [00005776] Port=0 RD @00 + 5774.50ns INFO [00005776] Port=1 RD @00 + 5775.50ns INFO [00005777] Port=0 WR @05=578519B28E9AA07AF8 + 5775.50ns INFO [00005777] Port=1 RD @03 + 5776.50ns INFO [00005778] * RD COMPARE * port=0 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E + 5776.50ns INFO [00005778] * RD COMPARE * port=1 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E + 5776.50ns INFO [00005778] Port=1 RD @03 + 5777.50ns INFO [00005779] * RD COMPARE * port=1 adr=03 act=14F39FA4FCB9DBBADC exp=14F39FA4FCB9DBBADC + 5777.50ns INFO [00005779] Port=0 WR @04=101B92CE0DBD532D18 + 5777.50ns INFO [00005779] Port=1 RD @03 + 5778.50ns INFO [00005780] * RD COMPARE * port=1 adr=03 act=14F39FA4FCB9DBBADC exp=14F39FA4FCB9DBBADC + 5778.50ns INFO [00005780] Port=0 WR @07=9B1DA805CC1274D2AA + 5778.50ns INFO [00005780] Port=1 RD @03 + 5779.50ns INFO [00005781] * RD COMPARE * port=1 adr=03 act=14F39FA4FCB9DBBADC exp=14F39FA4FCB9DBBADC + 5779.50ns INFO [00005781] Port=1 RD @01 + 5780.50ns INFO [00005782] * RD COMPARE * port=1 adr=03 act=14F39FA4FCB9DBBADC exp=14F39FA4FCB9DBBADC + 5780.50ns INFO [00005782] Port=0 RD @02 + 5780.50ns INFO [00005782] Port=1 RD @07 + 5781.50ns INFO [00005783] * RD COMPARE * port=1 adr=01 act=F7958C8FBBDD022BAB exp=F7958C8FBBDD022BAB + 5781.50ns INFO [00005783] Port=0 WR @02=FC0188688650680FB9 + 5782.50ns INFO [00005784] * RD COMPARE * port=0 adr=02 act=B278A6899DA3400242 exp=B278A6899DA3400242 + 5782.50ns INFO [00005784] * RD COMPARE * port=1 adr=07 act=9B1DA805CC1274D2AA exp=9B1DA805CC1274D2AA + 5782.50ns INFO [00005784] Port=0 WR @06=4BC53191B595F42C23 + 5783.50ns INFO [00005785] Port=1 RD @05 + 5784.50ns INFO [00005786] Port=0 WR @07=3821C00134EA2169FA + 5785.50ns INFO [00005787] * RD COMPARE * port=1 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8 + 5786.50ns INFO [00005788] Port=0 WR @02=482DC1F7A9F6B86ADD + 5786.50ns INFO [00005788] Port=0 RD @00 + 5787.50ns INFO [00005789] Port=0 WR @03=206E8C6D1E4FC0A398 + 5787.50ns INFO [00005789] Port=0 RD @00 + 5787.50ns INFO [00005789] Port=1 RD @04 + 5788.50ns INFO [00005790] * RD COMPARE * port=0 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E + 5788.50ns INFO [00005790] Port=0 RD @04 + 5788.50ns INFO [00005790] Port=1 RD @01 + 5789.50ns INFO [00005791] * RD COMPARE * port=0 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E + 5789.50ns INFO [00005791] * RD COMPARE * port=1 adr=04 act=101B92CE0DBD532D18 exp=101B92CE0DBD532D18 + 5790.50ns INFO [00005792] * RD COMPARE * port=0 adr=04 act=101B92CE0DBD532D18 exp=101B92CE0DBD532D18 + 5790.50ns INFO [00005792] * RD COMPARE * port=1 adr=01 act=F7958C8FBBDD022BAB exp=F7958C8FBBDD022BAB + 5790.50ns INFO [00005792] Port=0 WR @00=EB77E009307F6C7125 + 5791.50ns INFO [00005793] Port=0 WR @04=41224832CD7B82F9DC + 5791.50ns INFO [00005793] Port=1 RD @00 + 5793.50ns INFO [00005795] * RD COMPARE * port=1 adr=00 act=EB77E009307F6C7125 exp=EB77E009307F6C7125 + 5794.50ns INFO [00005796] Port=0 RD @04 + 5795.50ns INFO [00005797] Port=0 WR @06=E9834C5EC791B0A11C + 5796.50ns INFO [00005798] * RD COMPARE * port=0 adr=04 act=41224832CD7B82F9DC exp=41224832CD7B82F9DC + 5796.50ns INFO [00005798] Port=0 WR @01=5EB69E4B5D95E31457 + 5796.50ns INFO [00005798] Port=1 RD @07 + 5798.00ns INFO [00005800] [00005800] ...tick... + 5798.50ns INFO [00005800] * RD COMPARE * port=1 adr=07 act=3821C00134EA2169FA exp=3821C00134EA2169FA + 5798.50ns INFO [00005800] Port=0 WR @07=00C6B54F6A249C1E27 + 5800.50ns INFO [00005802] Port=0 WR @01=6AEDC67FBE5BE09968 + 5800.50ns INFO [00005802] Port=1 RD @07 + 5802.50ns INFO [00005804] * RD COMPARE * port=1 adr=07 act=00C6B54F6A249C1E27 exp=00C6B54F6A249C1E27 + 5802.50ns INFO [00005804] Port=0 RD @03 + 5802.50ns INFO [00005804] Port=1 RD @07 + 5803.50ns INFO [00005805] Port=0 RD @02 + 5804.50ns INFO [00005806] * RD COMPARE * port=0 adr=03 act=206E8C6D1E4FC0A398 exp=206E8C6D1E4FC0A398 + 5804.50ns INFO [00005806] * RD COMPARE * port=1 adr=07 act=00C6B54F6A249C1E27 exp=00C6B54F6A249C1E27 + 5805.50ns INFO [00005807] * RD COMPARE * port=0 adr=02 act=482DC1F7A9F6B86ADD exp=482DC1F7A9F6B86ADD + 5805.50ns INFO [00005807] Port=0 RD @05 + 5805.50ns INFO [00005807] Port=1 RD @04 + 5806.50ns INFO [00005808] Port=0 WR @07=347DBD2CB8CB9A7EF6 + 5807.50ns INFO [00005809] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8 + 5807.50ns INFO [00005809] * RD COMPARE * port=1 adr=04 act=41224832CD7B82F9DC exp=41224832CD7B82F9DC + 5807.50ns INFO [00005809] Port=1 RD @01 + 5808.50ns INFO [00005810] Port=0 WR @00=38E4BFA1C4EBCC9DB1 + 5808.50ns INFO [00005810] Port=0 RD @03 + 5808.50ns INFO [00005810] Port=1 RD @06 + 5809.50ns INFO [00005811] * RD COMPARE * port=1 adr=01 act=6AEDC67FBE5BE09968 exp=6AEDC67FBE5BE09968 + 5810.50ns INFO [00005812] * RD COMPARE * port=0 adr=03 act=206E8C6D1E4FC0A398 exp=206E8C6D1E4FC0A398 + 5810.50ns INFO [00005812] * RD COMPARE * port=1 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C + 5810.50ns INFO [00005812] Port=0 WR @04=C9D5FC81228C6EFCA7 + 5811.50ns INFO [00005813] Port=0 WR @02=7DA5B88CEA15A6938B + 5812.50ns INFO [00005814] Port=0 WR @07=52EB56C26C5FEA7D6F + 5813.50ns INFO [00005815] Port=1 RD @02 + 5814.50ns INFO [00005816] Port=0 WR @07=392417B2425D9126CB + 5814.50ns INFO [00005816] Port=0 RD @00 + 5815.50ns INFO [00005817] * RD COMPARE * port=1 adr=02 act=7DA5B88CEA15A6938B exp=7DA5B88CEA15A6938B + 5815.50ns INFO [00005817] Port=0 WR @07=1EABA9FE09DE1E9806 + 5815.50ns INFO [00005817] Port=0 RD @05 + 5816.50ns INFO [00005818] * RD COMPARE * port=0 adr=00 act=38E4BFA1C4EBCC9DB1 exp=38E4BFA1C4EBCC9DB1 + 5816.50ns INFO [00005818] Port=0 WR @03=CC191F09239DF34E50 + 5816.50ns INFO [00005818] Port=0 RD @02 + 5816.50ns INFO [00005818] Port=1 RD @01 + 5817.50ns INFO [00005819] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8 + 5817.50ns INFO [00005819] Port=0 WR @03=F5017D66F2603FE6E6 + 5817.50ns INFO [00005819] Port=1 RD @01 + 5818.50ns INFO [00005820] * RD COMPARE * port=0 adr=02 act=7DA5B88CEA15A6938B exp=7DA5B88CEA15A6938B + 5818.50ns INFO [00005820] * RD COMPARE * port=1 adr=01 act=6AEDC67FBE5BE09968 exp=6AEDC67FBE5BE09968 + 5818.50ns INFO [00005820] Port=0 WR @04=18D423306123CF1F00 + 5819.50ns INFO [00005821] * RD COMPARE * port=1 adr=01 act=6AEDC67FBE5BE09968 exp=6AEDC67FBE5BE09968 + 5819.50ns INFO [00005821] Port=0 WR @00=14755847110A9751EE + 5820.50ns INFO [00005822] Port=0 RD @02 + 5820.50ns INFO [00005822] Port=1 RD @00 + 5821.50ns INFO [00005823] Port=0 WR @03=D34DB849ACF77FDF6F + 5821.50ns INFO [00005823] Port=0 RD @07 + 5822.50ns INFO [00005824] * RD COMPARE * port=0 adr=02 act=7DA5B88CEA15A6938B exp=7DA5B88CEA15A6938B + 5822.50ns INFO [00005824] * RD COMPARE * port=1 adr=00 act=14755847110A9751EE exp=14755847110A9751EE + 5822.50ns INFO [00005824] Port=1 RD @06 + 5823.50ns INFO [00005825] * RD COMPARE * port=0 adr=07 act=1EABA9FE09DE1E9806 exp=1EABA9FE09DE1E9806 + 5823.50ns INFO [00005825] Port=0 RD @04 + 5824.50ns INFO [00005826] * RD COMPARE * port=1 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C + 5824.50ns INFO [00005826] Port=0 RD @06 + 5825.50ns INFO [00005827] * RD COMPARE * port=0 adr=04 act=18D423306123CF1F00 exp=18D423306123CF1F00 + 5825.50ns INFO [00005827] Port=0 RD @06 + 5826.50ns INFO [00005828] * RD COMPARE * port=0 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C + 5827.50ns INFO [00005829] * RD COMPARE * port=0 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C + 5827.50ns INFO [00005829] Port=0 RD @06 + 5827.50ns INFO [00005829] Port=1 RD @06 + 5828.50ns INFO [00005830] Port=1 RD @06 + 5829.50ns INFO [00005831] * RD COMPARE * port=0 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C + 5829.50ns INFO [00005831] * RD COMPARE * port=1 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C + 5829.50ns INFO [00005831] Port=0 WR @06=FF405C9D1F542198E0 + 5829.50ns INFO [00005831] Port=0 RD @00 + 5830.50ns INFO [00005832] * RD COMPARE * port=1 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C + 5830.50ns INFO [00005832] Port=0 WR @00=63095DAC0B80CFED93 + 5831.50ns INFO [00005833] * RD COMPARE * port=0 adr=00 act=14755847110A9751EE exp=14755847110A9751EE + 5832.50ns INFO [00005834] Port=0 WR @02=B4E684060E5BA4B88F + 5833.50ns INFO [00005835] Port=0 WR @04=46316E5424E82A7D4D + 5833.50ns INFO [00005835] Port=0 RD @02 + 5834.50ns INFO [00005836] Port=0 RD @03 + 5834.50ns INFO [00005836] Port=1 RD @00 + 5835.50ns INFO [00005837] * RD COMPARE * port=0 adr=02 act=B4E684060E5BA4B88F exp=B4E684060E5BA4B88F + 5835.50ns INFO [00005837] Port=0 RD @03 + 5836.50ns INFO [00005838] * RD COMPARE * port=0 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F + 5836.50ns INFO [00005838] * RD COMPARE * port=1 adr=00 act=63095DAC0B80CFED93 exp=63095DAC0B80CFED93 + 5836.50ns INFO [00005838] Port=0 RD @01 + 5836.50ns INFO [00005838] Port=1 RD @04 + 5837.50ns INFO [00005839] * RD COMPARE * port=0 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F + 5837.50ns INFO [00005839] Port=0 WR @04=5844C69ABEC83FAB40 + 5837.50ns INFO [00005839] Port=0 RD @03 + 5838.50ns INFO [00005840] * RD COMPARE * port=0 adr=01 act=6AEDC67FBE5BE09968 exp=6AEDC67FBE5BE09968 + 5838.50ns INFO [00005840] * RD COMPARE * port=1 adr=04 act=46316E5424E82A7D4D exp=46316E5424E82A7D4D + 5838.50ns INFO [00005840] Port=0 WR @00=C72FDB067AAB0E8C1D + 5838.50ns INFO [00005840] Port=0 RD @04 + 5839.50ns INFO [00005841] * RD COMPARE * port=0 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F + 5839.50ns INFO [00005841] Port=1 RD @03 + 5840.50ns INFO [00005842] * RD COMPARE * port=0 adr=04 act=5844C69ABEC83FAB40 exp=5844C69ABEC83FAB40 + 5841.50ns INFO [00005843] * RD COMPARE * port=1 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F + 5841.50ns INFO [00005843] Port=0 WR @04=E94C1AA9073BF9CA4D + 5842.50ns INFO [00005844] Port=0 RD @05 + 5842.50ns INFO [00005844] Port=1 RD @01 + 5843.50ns INFO [00005845] Port=0 WR @04=78D800BD90D724B9CD + 5843.50ns INFO [00005845] Port=0 RD @00 + 5843.50ns INFO [00005845] Port=1 RD @02 + 5844.50ns INFO [00005846] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8 + 5844.50ns INFO [00005846] * RD COMPARE * port=1 adr=01 act=6AEDC67FBE5BE09968 exp=6AEDC67FBE5BE09968 + 5844.50ns INFO [00005846] Port=0 WR @01=72FE5C7D27505E7E81 + 5845.50ns INFO [00005847] * RD COMPARE * port=0 adr=00 act=C72FDB067AAB0E8C1D exp=C72FDB067AAB0E8C1D + 5845.50ns INFO [00005847] * RD COMPARE * port=1 adr=02 act=B4E684060E5BA4B88F exp=B4E684060E5BA4B88F + 5845.50ns INFO [00005847] Port=1 RD @04 + 5846.50ns INFO [00005848] Port=1 RD @04 + 5847.50ns INFO [00005849] * RD COMPARE * port=1 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5848.50ns INFO [00005850] * RD COMPARE * port=1 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5848.50ns INFO [00005850] Port=0 RD @03 + 5848.50ns INFO [00005850] Port=1 RD @03 + 5849.50ns INFO [00005851] Port=0 WR @03=84371DC1C8234D45A3 + 5849.50ns INFO [00005851] Port=1 RD @04 + 5850.50ns INFO [00005852] * RD COMPARE * port=0 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F + 5850.50ns INFO [00005852] * RD COMPARE * port=1 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F + 5850.50ns INFO [00005852] Port=0 WR @02=1FF4B7954CDC8BDCCB + 5850.50ns INFO [00005852] Port=1 RD @00 + 5851.50ns INFO [00005853] * RD COMPARE * port=1 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5851.50ns INFO [00005853] Port=0 RD @04 + 5852.50ns INFO [00005854] * RD COMPARE * port=1 adr=00 act=C72FDB067AAB0E8C1D exp=C72FDB067AAB0E8C1D + 5852.50ns INFO [00005854] Port=0 WR @03=438B254C5E2BD22A5F + 5852.50ns INFO [00005854] Port=0 RD @04 + 5852.50ns INFO [00005854] Port=1 RD @05 + 5853.50ns INFO [00005855] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5853.50ns INFO [00005855] Port=0 RD @06 + 5854.50ns INFO [00005856] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5854.50ns INFO [00005856] * RD COMPARE * port=1 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8 + 5854.50ns INFO [00005856] Port=0 WR @07=A9204205F107B0B97F + 5854.50ns INFO [00005856] Port=0 RD @06 + 5854.50ns INFO [00005856] Port=1 RD @06 + 5855.50ns INFO [00005857] * RD COMPARE * port=0 adr=06 act=FF405C9D1F542198E0 exp=FF405C9D1F542198E0 + 5855.50ns INFO [00005857] Port=1 RD @05 + 5856.50ns INFO [00005858] * RD COMPARE * port=0 adr=06 act=FF405C9D1F542198E0 exp=FF405C9D1F542198E0 + 5856.50ns INFO [00005858] * RD COMPARE * port=1 adr=06 act=FF405C9D1F542198E0 exp=FF405C9D1F542198E0 + 5856.50ns INFO [00005858] Port=0 RD @01 + 5856.50ns INFO [00005858] Port=1 RD @07 + 5857.50ns INFO [00005859] * RD COMPARE * port=1 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8 + 5857.50ns INFO [00005859] Port=0 WR @06=843AC1C0C70145C733 + 5857.50ns INFO [00005859] Port=0 RD @04 + 5858.50ns INFO [00005860] * RD COMPARE * port=0 adr=01 act=72FE5C7D27505E7E81 exp=72FE5C7D27505E7E81 + 5858.50ns INFO [00005860] * RD COMPARE * port=1 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F + 5858.50ns INFO [00005860] Port=1 RD @07 + 5859.50ns INFO [00005861] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5859.50ns INFO [00005861] Port=1 RD @05 + 5860.50ns INFO [00005862] * RD COMPARE * port=1 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F + 5861.50ns INFO [00005863] * RD COMPARE * port=1 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8 + 5861.50ns INFO [00005863] Port=0 RD @05 + 5861.50ns INFO [00005863] Port=1 RD @07 + 5862.50ns INFO [00005864] Port=0 RD @04 + 5863.50ns INFO [00005865] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8 + 5863.50ns INFO [00005865] * RD COMPARE * port=1 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F + 5863.50ns INFO [00005865] Port=0 RD @04 + 5864.50ns INFO [00005866] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5864.50ns INFO [00005866] Port=0 WR @00=0FD9ABFA57A42A9EAC + 5864.50ns INFO [00005866] Port=1 RD @02 + 5865.50ns INFO [00005867] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5866.50ns INFO [00005868] * RD COMPARE * port=1 adr=02 act=1FF4B7954CDC8BDCCB exp=1FF4B7954CDC8BDCCB + 5867.50ns INFO [00005869] Port=1 RD @07 + 5868.50ns INFO [00005870] Port=0 RD @07 + 5868.50ns INFO [00005870] Port=1 RD @04 + 5869.50ns INFO [00005871] * RD COMPARE * port=1 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F + 5869.50ns INFO [00005871] Port=0 WR @03=E0D58E5289F2BEF0C8 + 5869.50ns INFO [00005871] Port=1 RD @07 + 5870.50ns INFO [00005872] * RD COMPARE * port=0 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F + 5870.50ns INFO [00005872] * RD COMPARE * port=1 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5870.50ns INFO [00005872] Port=0 WR @07=E4FC8C14EA808CC78F + 5871.50ns INFO [00005873] * RD COMPARE * port=1 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F + 5872.50ns INFO [00005874] Port=0 RD @04 + 5872.50ns INFO [00005874] Port=1 RD @04 + 5873.50ns INFO [00005875] Port=0 RD @02 + 5873.50ns INFO [00005875] Port=1 RD @06 + 5874.50ns INFO [00005876] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5874.50ns INFO [00005876] * RD COMPARE * port=1 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD + 5874.50ns INFO [00005876] Port=0 WR @03=8DD7DE1335E0A254C0 + 5874.50ns INFO [00005876] Port=0 RD @00 + 5875.50ns INFO [00005877] * RD COMPARE * port=0 adr=02 act=1FF4B7954CDC8BDCCB exp=1FF4B7954CDC8BDCCB + 5875.50ns INFO [00005877] * RD COMPARE * port=1 adr=06 act=843AC1C0C70145C733 exp=843AC1C0C70145C733 + 5876.50ns INFO [00005878] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC + 5876.50ns INFO [00005878] Port=0 WR @04=600E42A14C5BA95257 + 5876.50ns INFO [00005878] Port=0 RD @05 + 5876.50ns INFO [00005878] Port=1 RD @01 + 5877.50ns INFO [00005879] Port=0 WR @01=8F2D7688DCA3AC0552 + 5877.50ns INFO [00005879] Port=0 RD @00 + 5878.50ns INFO [00005880] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8 + 5878.50ns INFO [00005880] * RD COMPARE * port=1 adr=01 act=72FE5C7D27505E7E81 exp=72FE5C7D27505E7E81 + 5879.50ns INFO [00005881] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC + 5880.50ns INFO [00005882] Port=0 WR @07=A7E429EB57C16E8B33 + 5880.50ns INFO [00005882] Port=0 RD @03 + 5881.50ns INFO [00005883] Port=1 RD @04 + 5882.50ns INFO [00005884] * RD COMPARE * port=0 adr=03 act=8DD7DE1335E0A254C0 exp=8DD7DE1335E0A254C0 + 5883.50ns INFO [00005885] * RD COMPARE * port=1 adr=04 act=600E42A14C5BA95257 exp=600E42A14C5BA95257 + 5883.50ns INFO [00005885] Port=0 RD @04 + 5884.50ns INFO [00005886] Port=0 WR @01=575A411C2D131BD186 + 5884.50ns INFO [00005886] Port=0 RD @04 + 5885.50ns INFO [00005887] * RD COMPARE * port=0 adr=04 act=600E42A14C5BA95257 exp=600E42A14C5BA95257 + 5885.50ns INFO [00005887] Port=1 RD @01 + 5886.50ns INFO [00005888] * RD COMPARE * port=0 adr=04 act=600E42A14C5BA95257 exp=600E42A14C5BA95257 + 5887.50ns INFO [00005889] * RD COMPARE * port=1 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186 + 5887.50ns INFO [00005889] Port=0 WR @04=1047C6E65337F8BB82 + 5887.50ns INFO [00005889] Port=0 RD @00 + 5889.50ns INFO [00005891] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC + 5889.50ns INFO [00005891] Port=0 RD @00 + 5890.50ns INFO [00005892] Port=0 RD @05 + 5890.50ns INFO [00005892] Port=1 RD @07 + 5891.50ns INFO [00005893] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC + 5891.50ns INFO [00005893] Port=0 RD @02 + 5891.50ns INFO [00005893] Port=1 RD @07 + 5892.50ns INFO [00005894] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8 + 5892.50ns INFO [00005894] * RD COMPARE * port=1 adr=07 act=A7E429EB57C16E8B33 exp=A7E429EB57C16E8B33 + 5892.50ns INFO [00005894] Port=0 WR @06=199F62B99F6D33C537 + 5892.50ns INFO [00005894] Port=1 RD @00 + 5893.50ns INFO [00005895] * RD COMPARE * port=0 adr=02 act=1FF4B7954CDC8BDCCB exp=1FF4B7954CDC8BDCCB + 5893.50ns INFO [00005895] * RD COMPARE * port=1 adr=07 act=A7E429EB57C16E8B33 exp=A7E429EB57C16E8B33 + 5893.50ns INFO [00005895] Port=0 WR @03=5B47EBC64457F92C9E + 5893.50ns INFO [00005895] Port=0 RD @04 + 5894.50ns INFO [00005896] * RD COMPARE * port=1 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC + 5894.50ns INFO [00005896] Port=0 WR @04=29808384698121703A + 5894.50ns INFO [00005896] Port=1 RD @00 + 5895.50ns INFO [00005897] * RD COMPARE * port=0 adr=04 act=1047C6E65337F8BB82 exp=1047C6E65337F8BB82 + 5896.50ns INFO [00005898] * RD COMPARE * port=1 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC + 5896.50ns INFO [00005898] Port=0 WR @04=3D59E1AB2F025539B2 + 5896.50ns INFO [00005898] Port=0 RD @03 + 5896.50ns INFO [00005898] Port=1 RD @01 + 5897.50ns INFO [00005899] Port=0 RD @01 + 5898.00ns INFO [00005900] [00005900] ...tick... + 5898.50ns INFO [00005900] * RD COMPARE * port=0 adr=03 act=5B47EBC64457F92C9E exp=5B47EBC64457F92C9E + 5898.50ns INFO [00005900] * RD COMPARE * port=1 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186 + 5898.50ns INFO [00005900] Port=1 RD @06 + 5899.50ns INFO [00005901] * RD COMPARE * port=0 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186 + 5899.50ns INFO [00005901] Port=0 WR @07=03C2486D6B1A1018C3 + 5899.50ns INFO [00005901] Port=1 RD @02 + 5900.50ns INFO [00005902] * RD COMPARE * port=1 adr=06 act=199F62B99F6D33C537 exp=199F62B99F6D33C537 + 5900.50ns INFO [00005902] Port=0 WR @02=22F3FAC9D944E3F307 + 5901.50ns INFO [00005903] * RD COMPARE * port=1 adr=02 act=1FF4B7954CDC8BDCCB exp=1FF4B7954CDC8BDCCB + 5901.50ns INFO [00005903] Port=0 WR @02=B4C8AC85E7EDE5DB7B + 5901.50ns INFO [00005903] Port=0 RD @03 + 5902.50ns INFO [00005904] Port=0 WR @06=650F73D6DA823D4B34 + 5902.50ns INFO [00005904] Port=0 RD @00 + 5903.50ns INFO [00005905] * RD COMPARE * port=0 adr=03 act=5B47EBC64457F92C9E exp=5B47EBC64457F92C9E + 5903.50ns INFO [00005905] Port=0 RD @00 + 5903.50ns INFO [00005905] Port=1 RD @04 + 5904.50ns INFO [00005906] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC + 5904.50ns INFO [00005906] Port=1 RD @00 + 5905.50ns INFO [00005907] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC + 5905.50ns INFO [00005907] * RD COMPARE * port=1 adr=04 act=3D59E1AB2F025539B2 exp=3D59E1AB2F025539B2 + 5906.50ns INFO [00005908] * RD COMPARE * port=1 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC + 5906.50ns INFO [00005908] Port=0 WR @06=1586A9B0A7805CB871 + 5907.50ns INFO [00005909] Port=1 RD @03 + 5908.50ns INFO [00005910] Port=0 WR @05=405A75DA02A3899498 + 5908.50ns INFO [00005910] Port=1 RD @06 + 5909.50ns INFO [00005911] * RD COMPARE * port=1 adr=03 act=5B47EBC64457F92C9E exp=5B47EBC64457F92C9E + 5910.50ns INFO [00005912] * RD COMPARE * port=1 adr=06 act=1586A9B0A7805CB871 exp=1586A9B0A7805CB871 + 5910.50ns INFO [00005912] Port=1 RD @05 + 5912.50ns INFO [00005914] * RD COMPARE * port=1 adr=05 act=405A75DA02A3899498 exp=405A75DA02A3899498 + 5912.50ns INFO [00005914] Port=0 WR @07=47A21DBADD492932A4 + 5913.50ns INFO [00005915] Port=0 RD @01 + 5914.50ns INFO [00005916] Port=0 WR @03=6A6F69F3994C53ED33 + 5915.50ns INFO [00005917] * RD COMPARE * port=0 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186 + 5915.50ns INFO [00005917] Port=0 WR @06=C1C4569D756670BE11 + 5915.50ns INFO [00005917] Port=0 RD @04 + 5916.50ns INFO [00005918] Port=0 WR @02=1102EBD5005E598E6C + 5917.50ns INFO [00005919] * RD COMPARE * port=0 adr=04 act=3D59E1AB2F025539B2 exp=3D59E1AB2F025539B2 + 5917.50ns INFO [00005919] Port=0 WR @00=3102FE4A7CBFBB94EC + 5918.50ns INFO [00005920] Port=0 RD @03 + 5919.50ns INFO [00005921] Port=1 RD @02 + 5920.50ns INFO [00005922] * RD COMPARE * port=0 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33 + 5921.50ns INFO [00005923] * RD COMPARE * port=1 adr=02 act=1102EBD5005E598E6C exp=1102EBD5005E598E6C + 5921.50ns INFO [00005923] Port=0 RD @02 + 5921.50ns INFO [00005923] Port=1 RD @05 + 5923.50ns INFO [00005925] * RD COMPARE * port=0 adr=02 act=1102EBD5005E598E6C exp=1102EBD5005E598E6C + 5923.50ns INFO [00005925] * RD COMPARE * port=1 adr=05 act=405A75DA02A3899498 exp=405A75DA02A3899498 + 5924.50ns INFO [00005926] Port=0 RD @00 + 5925.50ns INFO [00005927] Port=0 RD @00 + 5926.50ns INFO [00005928] * RD COMPARE * port=0 adr=00 act=3102FE4A7CBFBB94EC exp=3102FE4A7CBFBB94EC + 5926.50ns INFO [00005928] Port=0 WR @07=E70D0D4F83C449F22E + 5927.50ns INFO [00005929] * RD COMPARE * port=0 adr=00 act=3102FE4A7CBFBB94EC exp=3102FE4A7CBFBB94EC + 5927.50ns INFO [00005929] Port=0 WR @04=18B7A382B1B5F66EEA + 5927.50ns INFO [00005929] Port=0 RD @06 + 5927.50ns INFO [00005929] Port=1 RD @06 + 5928.50ns INFO [00005930] Port=0 WR @02=225B8696ADCE53E543 + 5928.50ns INFO [00005930] Port=0 RD @04 + 5929.50ns INFO [00005931] * RD COMPARE * port=0 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11 + 5929.50ns INFO [00005931] * RD COMPARE * port=1 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11 + 5929.50ns INFO [00005931] Port=0 RD @07 + 5929.50ns INFO [00005931] Port=1 RD @03 + 5930.50ns INFO [00005932] * RD COMPARE * port=0 adr=04 act=18B7A382B1B5F66EEA exp=18B7A382B1B5F66EEA + 5930.50ns INFO [00005932] Port=1 RD @05 + 5931.50ns INFO [00005933] * RD COMPARE * port=0 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E + 5931.50ns INFO [00005933] * RD COMPARE * port=1 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33 + 5932.50ns INFO [00005934] * RD COMPARE * port=1 adr=05 act=405A75DA02A3899498 exp=405A75DA02A3899498 + 5933.50ns INFO [00005935] Port=1 RD @06 + 5934.50ns INFO [00005936] Port=0 RD @04 + 5934.50ns INFO [00005936] Port=1 RD @06 + 5935.50ns INFO [00005937] * RD COMPARE * port=1 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11 + 5935.50ns INFO [00005937] Port=1 RD @04 + 5936.50ns INFO [00005938] * RD COMPARE * port=0 adr=04 act=18B7A382B1B5F66EEA exp=18B7A382B1B5F66EEA + 5936.50ns INFO [00005938] * RD COMPARE * port=1 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11 + 5936.50ns INFO [00005938] Port=0 WR @05=B70810B73AC2D8925D + 5937.50ns INFO [00005939] * RD COMPARE * port=1 adr=04 act=18B7A382B1B5F66EEA exp=18B7A382B1B5F66EEA + 5937.50ns INFO [00005939] Port=0 RD @01 + 5937.50ns INFO [00005939] Port=1 RD @02 + 5938.50ns INFO [00005940] Port=0 WR @05=1E6009893558ABF567 + 5939.50ns INFO [00005941] * RD COMPARE * port=0 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186 + 5939.50ns INFO [00005941] * RD COMPARE * port=1 adr=02 act=225B8696ADCE53E543 exp=225B8696ADCE53E543 + 5939.50ns INFO [00005941] Port=0 WR @02=30FCF97CD874EB3DBC + 5939.50ns INFO [00005941] Port=1 RD @01 + 5940.50ns INFO [00005942] Port=0 WR @05=2F808F93697B5356BF + 5941.50ns INFO [00005943] * RD COMPARE * port=1 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186 + 5941.50ns INFO [00005943] Port=0 WR @01=5A918A4D280D57913B + 5941.50ns INFO [00005943] Port=0 RD @07 + 5941.50ns INFO [00005943] Port=1 RD @07 + 5942.50ns INFO [00005944] Port=1 RD @02 + 5943.50ns INFO [00005945] * RD COMPARE * port=0 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E + 5943.50ns INFO [00005945] * RD COMPARE * port=1 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E + 5943.50ns INFO [00005945] Port=0 WR @00=2BE08381576CF70F78 + 5943.50ns INFO [00005945] Port=1 RD @03 + 5944.50ns INFO [00005946] * RD COMPARE * port=1 adr=02 act=30FCF97CD874EB3DBC exp=30FCF97CD874EB3DBC + 5944.50ns INFO [00005946] Port=0 RD @01 + 5944.50ns INFO [00005946] Port=1 RD @03 + 5945.50ns INFO [00005947] * RD COMPARE * port=1 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33 + 5945.50ns INFO [00005947] Port=1 RD @02 + 5946.50ns INFO [00005948] * RD COMPARE * port=0 adr=01 act=5A918A4D280D57913B exp=5A918A4D280D57913B + 5946.50ns INFO [00005948] * RD COMPARE * port=1 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33 + 5947.50ns INFO [00005949] * RD COMPARE * port=1 adr=02 act=30FCF97CD874EB3DBC exp=30FCF97CD874EB3DBC + 5947.50ns INFO [00005949] Port=0 WR @04=E95F52DA7762FC985A + 5947.50ns INFO [00005949] Port=1 RD @05 + 5948.50ns INFO [00005950] Port=0 RD @06 + 5949.50ns INFO [00005951] * RD COMPARE * port=1 adr=05 act=2F808F93697B5356BF exp=2F808F93697B5356BF + 5949.50ns INFO [00005951] Port=0 RD @05 + 5949.50ns INFO [00005951] Port=1 RD @00 + 5950.50ns INFO [00005952] * RD COMPARE * port=0 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11 + 5950.50ns INFO [00005952] Port=0 RD @07 + 5951.50ns INFO [00005953] * RD COMPARE * port=0 adr=05 act=2F808F93697B5356BF exp=2F808F93697B5356BF + 5951.50ns INFO [00005953] * RD COMPARE * port=1 adr=00 act=2BE08381576CF70F78 exp=2BE08381576CF70F78 + 5951.50ns INFO [00005953] Port=0 RD @01 + 5951.50ns INFO [00005953] Port=1 RD @02 + 5952.50ns INFO [00005954] * RD COMPARE * port=0 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E + 5952.50ns INFO [00005954] Port=1 RD @00 + 5953.50ns INFO [00005955] * RD COMPARE * port=0 adr=01 act=5A918A4D280D57913B exp=5A918A4D280D57913B + 5953.50ns INFO [00005955] * RD COMPARE * port=1 adr=02 act=30FCF97CD874EB3DBC exp=30FCF97CD874EB3DBC + 5953.50ns INFO [00005955] Port=0 WR @04=3F759E01F6FFE90553 + 5953.50ns INFO [00005955] Port=0 RD @07 + 5954.50ns INFO [00005956] * RD COMPARE * port=1 adr=00 act=2BE08381576CF70F78 exp=2BE08381576CF70F78 + 5954.50ns INFO [00005956] Port=0 WR @05=9F758BE9F344728C53 + 5955.50ns INFO [00005957] * RD COMPARE * port=0 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E + 5955.50ns INFO [00005957] Port=0 RD @02 + 5955.50ns INFO [00005957] Port=1 RD @06 + 5956.50ns INFO [00005958] Port=0 WR @00=91A9623FEAB1A3EC92 + 5956.50ns INFO [00005958] Port=0 RD @06 + 5957.50ns INFO [00005959] * RD COMPARE * port=0 adr=02 act=30FCF97CD874EB3DBC exp=30FCF97CD874EB3DBC + 5957.50ns INFO [00005959] * RD COMPARE * port=1 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11 + 5957.50ns INFO [00005959] Port=0 WR @01=7E07A6C5A8BAED686A + 5958.50ns INFO [00005960] * RD COMPARE * port=0 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11 + 5958.50ns INFO [00005960] Port=0 WR @00=EE8D1A3A0D10CA443E + 5958.50ns INFO [00005960] Port=0 RD @03 + 5958.50ns INFO [00005960] Port=1 RD @05 + 5960.50ns INFO [00005962] * RD COMPARE * port=0 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33 + 5960.50ns INFO [00005962] * RD COMPARE * port=1 adr=05 act=9F758BE9F344728C53 exp=9F758BE9F344728C53 + 5960.50ns INFO [00005962] Port=1 RD @02 + 5962.50ns INFO [00005964] * RD COMPARE * port=1 adr=02 act=30FCF97CD874EB3DBC exp=30FCF97CD874EB3DBC + 5962.50ns INFO [00005964] Port=0 RD @05 + 5964.50ns INFO [00005966] * RD COMPARE * port=0 adr=05 act=9F758BE9F344728C53 exp=9F758BE9F344728C53 + 5965.50ns INFO [00005967] Port=0 WR @02=4409C680419F94D1F7 + 5965.50ns INFO [00005967] Port=1 RD @06 + 5967.50ns INFO [00005969] * RD COMPARE * port=1 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11 + 5967.50ns INFO [00005969] Port=1 RD @07 + 5968.50ns INFO [00005970] Port=0 WR @00=397BBA613192C6CDEB + 5968.50ns INFO [00005970] Port=1 RD @04 + 5969.50ns INFO [00005971] * RD COMPARE * port=1 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E + 5969.50ns INFO [00005971] Port=0 RD @01 + 5969.50ns INFO [00005971] Port=1 RD @00 + 5970.50ns INFO [00005972] * RD COMPARE * port=1 adr=04 act=3F759E01F6FFE90553 exp=3F759E01F6FFE90553 + 5970.50ns INFO [00005972] Port=0 RD @01 + 5971.50ns INFO [00005973] * RD COMPARE * port=0 adr=01 act=7E07A6C5A8BAED686A exp=7E07A6C5A8BAED686A + 5971.50ns INFO [00005973] * RD COMPARE * port=1 adr=00 act=397BBA613192C6CDEB exp=397BBA613192C6CDEB + 5971.50ns INFO [00005973] Port=0 WR @05=E84E7EE605DB282F3F + 5972.50ns INFO [00005974] * RD COMPARE * port=0 adr=01 act=7E07A6C5A8BAED686A exp=7E07A6C5A8BAED686A + 5973.50ns INFO [00005975] Port=1 RD @01 + 5974.50ns INFO [00005976] Port=1 RD @05 + 5975.50ns INFO [00005977] * RD COMPARE * port=1 adr=01 act=7E07A6C5A8BAED686A exp=7E07A6C5A8BAED686A + 5976.50ns INFO [00005978] * RD COMPARE * port=1 adr=05 act=E84E7EE605DB282F3F exp=E84E7EE605DB282F3F + 5977.50ns INFO [00005979] Port=0 WR @01=AD021C57CA193F89C3 + 5977.50ns INFO [00005979] Port=0 RD @02 + 5977.50ns INFO [00005979] Port=1 RD @02 + 5978.50ns INFO [00005980] Port=0 WR @01=E22A70904830A60E13 + 5979.50ns INFO [00005981] * RD COMPARE * port=0 adr=02 act=4409C680419F94D1F7 exp=4409C680419F94D1F7 + 5979.50ns INFO [00005981] * RD COMPARE * port=1 adr=02 act=4409C680419F94D1F7 exp=4409C680419F94D1F7 + 5979.50ns INFO [00005981] Port=0 WR @07=563A053E002EF37D01 + 5979.50ns INFO [00005981] Port=0 RD @04 + 5979.50ns INFO [00005981] Port=1 RD @00 + 5980.50ns INFO [00005982] Port=0 RD @03 + 5981.50ns INFO [00005983] * RD COMPARE * port=0 adr=04 act=3F759E01F6FFE90553 exp=3F759E01F6FFE90553 + 5981.50ns INFO [00005983] * RD COMPARE * port=1 adr=00 act=397BBA613192C6CDEB exp=397BBA613192C6CDEB + 5981.50ns INFO [00005983] Port=0 WR @00=22BAE816238CB1E43F + 5982.50ns INFO [00005984] * RD COMPARE * port=0 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33 + 5982.50ns INFO [00005984] Port=0 RD @03 + 5983.50ns INFO [00005985] Port=0 RD @05 + 5983.50ns INFO [00005985] Port=1 RD @07 + 5984.50ns INFO [00005986] * RD COMPARE * port=0 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33 + 5984.50ns INFO [00005986] Port=0 WR @06=7D4D683583721769AD + 5984.50ns INFO [00005986] Port=0 RD @07 + 5984.50ns INFO [00005986] Port=1 RD @04 + 5985.50ns INFO [00005987] * RD COMPARE * port=0 adr=05 act=E84E7EE605DB282F3F exp=E84E7EE605DB282F3F + 5985.50ns INFO [00005987] * RD COMPARE * port=1 adr=07 act=563A053E002EF37D01 exp=563A053E002EF37D01 + 5985.50ns INFO [00005987] Port=0 RD @06 + 5986.50ns INFO [00005988] * RD COMPARE * port=0 adr=07 act=563A053E002EF37D01 exp=563A053E002EF37D01 + 5986.50ns INFO [00005988] * RD COMPARE * port=1 adr=04 act=3F759E01F6FFE90553 exp=3F759E01F6FFE90553 + 5987.50ns INFO [00005989] * RD COMPARE * port=0 adr=06 act=7D4D683583721769AD exp=7D4D683583721769AD + 5989.50ns INFO [00005991] Port=0 WR @04=B8222E8D005AFE22B9 + 5989.50ns INFO [00005991] Port=0 RD @01 + 5991.50ns INFO [00005993] * RD COMPARE * port=0 adr=01 act=E22A70904830A60E13 exp=E22A70904830A60E13 + 5992.50ns INFO [00005994] Port=0 WR @07=4C413695CDE82C42D4 + 5993.50ns INFO [00005995] Port=0 WR @01=25C245A460E19FE49C + 5994.50ns INFO [00005996] Port=0 WR @06=1BB6DE7A3A943C64E5 + 5994.50ns INFO [00005996] Port=0 RD @01 + 5994.50ns INFO [00005996] Port=1 RD @00 + 5995.50ns INFO [00005997] Port=0 WR @07=C1A4EFF88950D93E19 + 5996.50ns INFO [00005998] * RD COMPARE * port=0 adr=01 act=25C245A460E19FE49C exp=25C245A460E19FE49C + 5996.50ns INFO [00005998] * RD COMPARE * port=1 adr=00 act=22BAE816238CB1E43F exp=22BAE816238CB1E43F + 5996.50ns INFO [00005998] Port=0 RD @02 + 5997.50ns INFO [00005999] Port=1 RD @01 + 5998.00ns INFO [00006000] [00006000] ...tick... + 5998.50ns INFO [00006000] * RD COMPARE * port=0 adr=02 act=4409C680419F94D1F7 exp=4409C680419F94D1F7 + 5998.50ns INFO [00006000] Port=1 RD @06 + 5999.50ns INFO [00006001] * RD COMPARE * port=1 adr=01 act=25C245A460E19FE49C exp=25C245A460E19FE49C + 5999.50ns INFO [00006001] Port=0 WR @05=0A06E7C9D5CCC7BCE4 + 5999.50ns INFO [00006001] Port=1 RD @04 + 6000.50ns INFO [00006002] * RD COMPARE * port=1 adr=06 act=1BB6DE7A3A943C64E5 exp=1BB6DE7A3A943C64E5 + 6000.50ns INFO [00006002] Port=0 WR @03=F285EA431743767332 + 6000.50ns INFO [00006002] Port=1 RD @06 + 6001.50ns INFO [00006003] * RD COMPARE * port=1 adr=04 act=B8222E8D005AFE22B9 exp=B8222E8D005AFE22B9 + 6001.50ns INFO [00006003] Port=0 WR @00=32574117D74A4401A6 + 6001.50ns INFO [00006003] Port=1 RD @07 + 6002.50ns INFO [00006004] * RD COMPARE * port=1 adr=06 act=1BB6DE7A3A943C64E5 exp=1BB6DE7A3A943C64E5 + 6002.50ns INFO [00006004] Port=0 RD @00 + 6003.50ns INFO [00006005] * RD COMPARE * port=1 adr=07 act=C1A4EFF88950D93E19 exp=C1A4EFF88950D93E19 + 6003.50ns INFO [00006005] Port=0 WR @01=E0C8EB93E10EDA3785 + 6003.50ns INFO [00006005] Port=1 RD @04 + 6004.50ns INFO [00006006] * RD COMPARE * port=0 adr=00 act=32574117D74A4401A6 exp=32574117D74A4401A6 + 6004.50ns INFO [00006006] Port=0 WR @01=A9431B6D997776D49E + 6005.50ns INFO [00006007] * RD COMPARE * port=1 adr=04 act=B8222E8D005AFE22B9 exp=B8222E8D005AFE22B9 + 6005.50ns INFO [00006007] Port=0 RD @01 + 6006.50ns INFO [00006008] Port=0 WR @05=B112176DD2E8D6EA5E + 6006.50ns INFO [00006008] Port=0 RD @06 + 6007.50ns INFO [00006009] * RD COMPARE * port=0 adr=01 act=A9431B6D997776D49E exp=A9431B6D997776D49E + 6007.50ns INFO [00006009] Port=0 RD @00 + 6008.50ns INFO [00006010] * RD COMPARE * port=0 adr=06 act=1BB6DE7A3A943C64E5 exp=1BB6DE7A3A943C64E5 + 6008.50ns INFO [00006010] Port=1 RD @03 + 6009.50ns INFO [00006011] * RD COMPARE * port=0 adr=00 act=32574117D74A4401A6 exp=32574117D74A4401A6 + 6009.50ns INFO [00006011] Port=0 WR @02=9F07A107B130F57EBE + 6010.50ns INFO [00006012] * RD COMPARE * port=1 adr=03 act=F285EA431743767332 exp=F285EA431743767332 + 6010.50ns INFO [00006012] Port=0 WR @06=C67C3F3ECC3E5C67D6 + 6010.50ns INFO [00006012] Port=1 RD @01 + 6011.50ns INFO [00006013] Port=0 WR @06=8EC7DFD1F015ADABE4 + 6011.50ns INFO [00006013] Port=1 RD @03 + 6012.50ns INFO [00006014] * RD COMPARE * port=1 adr=01 act=A9431B6D997776D49E exp=A9431B6D997776D49E + 6013.50ns INFO [00006015] * RD COMPARE * port=1 adr=03 act=F285EA431743767332 exp=F285EA431743767332 + 6013.50ns INFO [00006015] Port=0 RD @05 + 6014.50ns INFO [00006016] Port=0 WR @00=57AF87E7FD5D352D2C + 6014.50ns INFO [00006016] Port=0 RD @03 + 6015.50ns INFO [00006017] * RD COMPARE * port=0 adr=05 act=B112176DD2E8D6EA5E exp=B112176DD2E8D6EA5E + 6015.50ns INFO [00006017] Port=0 WR @00=085B70FFCDF9B64E9F + 6015.50ns INFO [00006017] Port=1 RD @07 + 6016.50ns INFO [00006018] * RD COMPARE * port=0 adr=03 act=F285EA431743767332 exp=F285EA431743767332 + 6017.50ns INFO [00006019] * RD COMPARE * port=1 adr=07 act=C1A4EFF88950D93E19 exp=C1A4EFF88950D93E19 + 6017.50ns INFO [00006019] Port=0 WR @05=8227D2C81D97CB5379 + 6017.50ns INFO [00006019] Port=1 RD @04 + 6018.50ns INFO [00006020] Port=0 WR @03=C67BE5BBF5493DCB0B + 6018.50ns INFO [00006020] Port=0 RD @06 + 6019.50ns INFO [00006021] * RD COMPARE * port=1 adr=04 act=B8222E8D005AFE22B9 exp=B8222E8D005AFE22B9 + 6019.50ns INFO [00006021] Port=0 RD @00 + 6020.50ns INFO [00006022] * RD COMPARE * port=0 adr=06 act=8EC7DFD1F015ADABE4 exp=8EC7DFD1F015ADABE4 + 6020.50ns INFO [00006022] Port=0 WR @06=4E6B7EF65EF750F94D + 6020.50ns INFO [00006022] Port=0 RD @07 + 6021.50ns INFO [00006023] * RD COMPARE * port=0 adr=00 act=085B70FFCDF9B64E9F exp=085B70FFCDF9B64E9F + 6022.50ns INFO [00006024] * RD COMPARE * port=0 adr=07 act=C1A4EFF88950D93E19 exp=C1A4EFF88950D93E19 + 6023.50ns INFO [00006025] Port=0 WR @04=6FDA6378740C3C599B + 6023.50ns INFO [00006025] Port=0 RD @02 + 6023.50ns INFO [00006025] Port=1 RD @03 + 6024.50ns INFO [00006026] Port=0 WR @07=AFC4B1080FA7874413 + 6025.50ns INFO [00006027] * RD COMPARE * port=0 adr=02 act=9F07A107B130F57EBE exp=9F07A107B130F57EBE + 6025.50ns INFO [00006027] * RD COMPARE * port=1 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B + 6025.50ns INFO [00006027] Port=0 RD @06 + 6025.50ns INFO [00006027] Port=1 RD @04 + 6026.50ns INFO [00006028] Port=0 WR @05=F223AFE9D7F34FD197 + 6027.50ns INFO [00006029] * RD COMPARE * port=0 adr=06 act=4E6B7EF65EF750F94D exp=4E6B7EF65EF750F94D + 6027.50ns INFO [00006029] * RD COMPARE * port=1 adr=04 act=6FDA6378740C3C599B exp=6FDA6378740C3C599B + 6027.50ns INFO [00006029] Port=0 WR @04=EF111198ED4D8FEAEC + 6028.50ns INFO [00006030] Port=0 RD @01 + 6028.50ns INFO [00006030] Port=1 RD @00 + 6030.50ns INFO [00006032] * RD COMPARE * port=0 adr=01 act=A9431B6D997776D49E exp=A9431B6D997776D49E + 6030.50ns INFO [00006032] * RD COMPARE * port=1 adr=00 act=085B70FFCDF9B64E9F exp=085B70FFCDF9B64E9F + 6030.50ns INFO [00006032] Port=0 RD @04 + 6031.50ns INFO [00006033] Port=0 WR @06=9337CC717859CCE0AD + 6031.50ns INFO [00006033] Port=1 RD @02 + 6032.50ns INFO [00006034] * RD COMPARE * port=0 adr=04 act=EF111198ED4D8FEAEC exp=EF111198ED4D8FEAEC + 6032.50ns INFO [00006034] Port=1 RD @01 + 6033.50ns INFO [00006035] * RD COMPARE * port=1 adr=02 act=9F07A107B130F57EBE exp=9F07A107B130F57EBE + 6033.50ns INFO [00006035] Port=0 WR @01=83F49B5B9BB1152EAB + 6034.50ns INFO [00006036] * RD COMPARE * port=1 adr=01 act=A9431B6D997776D49E exp=A9431B6D997776D49E + 6034.50ns INFO [00006036] Port=0 WR @02=6CD0A4D4EE3B7EDFA3 + 6035.50ns INFO [00006037] Port=0 WR @00=6E5309732781B57677 + 6035.50ns INFO [00006037] Port=0 RD @06 + 6036.50ns INFO [00006038] Port=0 WR @06=4B18CE1297FFE0F4D2 + 6036.50ns INFO [00006038] Port=0 RD @05 + 6036.50ns INFO [00006038] Port=1 RD @03 + 6037.50ns INFO [00006039] * RD COMPARE * port=0 adr=06 act=9337CC717859CCE0AD exp=9337CC717859CCE0AD + 6037.50ns INFO [00006039] Port=0 WR @06=19C42CAAC12361A670 + 6037.50ns INFO [00006039] Port=0 RD @03 + 6038.50ns INFO [00006040] * RD COMPARE * port=0 adr=05 act=F223AFE9D7F34FD197 exp=F223AFE9D7F34FD197 + 6038.50ns INFO [00006040] * RD COMPARE * port=1 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B + 6039.50ns INFO [00006041] * RD COMPARE * port=0 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B + 6039.50ns INFO [00006041] Port=0 WR @07=D95A8B0033E25A5C02 + 6039.50ns INFO [00006041] Port=0 RD @03 + 6040.50ns INFO [00006042] Port=0 RD @01 + 6040.50ns INFO [00006042] Port=1 RD @07 + 6041.50ns INFO [00006043] * RD COMPARE * port=0 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B + 6042.50ns INFO [00006044] * RD COMPARE * port=0 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB + 6042.50ns INFO [00006044] * RD COMPARE * port=1 adr=07 act=D95A8B0033E25A5C02 exp=D95A8B0033E25A5C02 + 6044.50ns INFO [00006046] Port=0 WR @04=76CA01DF53D164B261 + 6044.50ns INFO [00006046] Port=0 RD @03 + 6044.50ns INFO [00006046] Port=1 RD @03 + 6045.50ns INFO [00006047] Port=1 RD @01 + 6046.50ns INFO [00006048] * RD COMPARE * port=0 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B + 6046.50ns INFO [00006048] * RD COMPARE * port=1 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B + 6046.50ns INFO [00006048] Port=0 WR @02=A9114E49729508DB49 + 6046.50ns INFO [00006048] Port=0 RD @01 + 6047.50ns INFO [00006049] * RD COMPARE * port=1 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB + 6047.50ns INFO [00006049] Port=0 WR @02=91445F2D547991C1ED + 6047.50ns INFO [00006049] Port=0 RD @01 + 6048.50ns INFO [00006050] * RD COMPARE * port=0 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB + 6048.50ns INFO [00006050] Port=0 WR @02=3286A4AF0EE4F022BD + 6049.50ns INFO [00006051] * RD COMPARE * port=0 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB + 6049.50ns INFO [00006051] Port=0 RD @01 + 6049.50ns INFO [00006051] Port=1 RD @01 + 6051.50ns INFO [00006053] * RD COMPARE * port=0 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB + 6051.50ns INFO [00006053] * RD COMPARE * port=1 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB + 6051.50ns INFO [00006053] Port=0 WR @05=5B3A28E385500D67C7 + 6054.50ns INFO [00006056] Port=0 WR @05=A467415BC9383E0553 + 6054.50ns INFO [00006056] Port=1 RD @01 + 6055.50ns INFO [00006057] Port=0 WR @01=8389522E30C4E88B57 + 6055.50ns INFO [00006057] Port=1 RD @05 + 6056.50ns INFO [00006058] * RD COMPARE * port=1 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB + 6056.50ns INFO [00006058] Port=0 RD @04 + 6057.50ns INFO [00006059] * RD COMPARE * port=1 adr=05 act=A467415BC9383E0553 exp=A467415BC9383E0553 + 6057.50ns INFO [00006059] Port=1 RD @04 + 6058.50ns INFO [00006060] * RD COMPARE * port=0 adr=04 act=76CA01DF53D164B261 exp=76CA01DF53D164B261 + 6059.50ns INFO [00006061] * RD COMPARE * port=1 adr=04 act=76CA01DF53D164B261 exp=76CA01DF53D164B261 + 6059.50ns INFO [00006061] Port=0 RD @01 + 6060.50ns INFO [00006062] Port=0 WR @06=EA64E3FF1CD2C2A1AA + 6060.50ns INFO [00006062] Port=0 RD @00 + 6061.50ns INFO [00006063] * RD COMPARE * port=0 adr=01 act=8389522E30C4E88B57 exp=8389522E30C4E88B57 + 6061.50ns INFO [00006063] Port=0 WR @04=F815BA3F998D478138 + 6061.50ns INFO [00006063] Port=1 RD @02 + 6062.50ns INFO [00006064] * RD COMPARE * port=0 adr=00 act=6E5309732781B57677 exp=6E5309732781B57677 + 6062.50ns INFO [00006064] Port=0 WR @04=20E32E9D4C84434D33 + 6063.50ns INFO [00006065] * RD COMPARE * port=1 adr=02 act=3286A4AF0EE4F022BD exp=3286A4AF0EE4F022BD + 6063.50ns INFO [00006065] Port=1 RD @01 + 6065.50ns INFO [00006067] * RD COMPARE * port=1 adr=01 act=8389522E30C4E88B57 exp=8389522E30C4E88B57 + 6065.50ns INFO [00006067] Port=0 WR @01=97E5A66D1E8E8B349B + 6065.50ns INFO [00006067] Port=1 RD @04 + 6066.50ns INFO [00006068] Port=0 WR @05=885D42322C21EBF36E + 6066.50ns INFO [00006068] Port=0 RD @03 + 6067.50ns INFO [00006069] * RD COMPARE * port=1 adr=04 act=20E32E9D4C84434D33 exp=20E32E9D4C84434D33 + 6067.50ns INFO [00006069] Port=0 WR @02=84A38528A629C2DA9F + 6068.50ns INFO [00006070] * RD COMPARE * port=0 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B + 6068.50ns INFO [00006070] Port=0 WR @01=6F692B4623E3272673 + 6069.50ns INFO [00006071] Port=0 WR @02=80214D31954F5E7C70 + 6069.50ns INFO [00006071] Port=1 RD @05 + 6071.50ns INFO [00006073] * RD COMPARE * port=1 adr=05 act=885D42322C21EBF36E exp=885D42322C21EBF36E + 6071.50ns INFO [00006073] Port=0 RD @06 + 6071.50ns INFO [00006073] Port=1 RD @02 + 6072.50ns INFO [00006074] Port=0 RD @05 + 6073.50ns INFO [00006075] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA + 6073.50ns INFO [00006075] * RD COMPARE * port=1 adr=02 act=80214D31954F5E7C70 exp=80214D31954F5E7C70 + 6074.50ns INFO [00006076] * RD COMPARE * port=0 adr=05 act=885D42322C21EBF36E exp=885D42322C21EBF36E + 6074.50ns INFO [00006076] Port=0 WR @02=904BAC53EEDD343261 + 6074.50ns INFO [00006076] Port=0 RD @06 + 6076.50ns INFO [00006078] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA + 6076.50ns INFO [00006078] Port=0 WR @07=3A43712C12E143F50B + 6076.50ns INFO [00006078] Port=0 RD @06 + 6077.50ns INFO [00006079] Port=0 WR @07=2B57A083A686CBA029 + 6078.50ns INFO [00006080] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA + 6078.50ns INFO [00006080] Port=0 WR @07=97FDD465A59D8A73FA + 6079.50ns INFO [00006081] Port=1 RD @00 + 6080.50ns INFO [00006082] Port=0 WR @02=68D9393711C8AA6EEE + 6081.50ns INFO [00006083] * RD COMPARE * port=1 adr=00 act=6E5309732781B57677 exp=6E5309732781B57677 + 6081.50ns INFO [00006083] Port=0 WR @03=37BD210E64F9F447D3 + 6081.50ns INFO [00006083] Port=1 RD @01 + 6082.50ns INFO [00006084] Port=0 RD @06 + 6082.50ns INFO [00006084] Port=1 RD @04 + 6083.50ns INFO [00006085] * RD COMPARE * port=1 adr=01 act=6F692B4623E3272673 exp=6F692B4623E3272673 + 6083.50ns INFO [00006085] Port=0 RD @06 + 6083.50ns INFO [00006085] Port=1 RD @02 + 6084.50ns INFO [00006086] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA + 6084.50ns INFO [00006086] * RD COMPARE * port=1 adr=04 act=20E32E9D4C84434D33 exp=20E32E9D4C84434D33 + 6084.50ns INFO [00006086] Port=0 RD @04 + 6085.50ns INFO [00006087] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA + 6085.50ns INFO [00006087] * RD COMPARE * port=1 adr=02 act=68D9393711C8AA6EEE exp=68D9393711C8AA6EEE + 6085.50ns INFO [00006087] Port=0 WR @05=D5219E8808AD1C397D + 6085.50ns INFO [00006087] Port=0 RD @04 + 6086.50ns INFO [00006088] * RD COMPARE * port=0 adr=04 act=20E32E9D4C84434D33 exp=20E32E9D4C84434D33 + 6086.50ns INFO [00006088] Port=1 RD @00 + 6087.50ns INFO [00006089] * RD COMPARE * port=0 adr=04 act=20E32E9D4C84434D33 exp=20E32E9D4C84434D33 + 6087.50ns INFO [00006089] Port=0 RD @01 + 6088.50ns INFO [00006090] * RD COMPARE * port=1 adr=00 act=6E5309732781B57677 exp=6E5309732781B57677 + 6088.50ns INFO [00006090] Port=0 WR @00=520070092E4B02AA57 + 6088.50ns INFO [00006090] Port=1 RD @06 + 6089.50ns INFO [00006091] * RD COMPARE * port=0 adr=01 act=6F692B4623E3272673 exp=6F692B4623E3272673 + 6089.50ns INFO [00006091] Port=1 RD @01 + 6090.50ns INFO [00006092] * RD COMPARE * port=1 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA + 6090.50ns INFO [00006092] Port=0 RD @02 + 6091.50ns INFO [00006093] * RD COMPARE * port=1 adr=01 act=6F692B4623E3272673 exp=6F692B4623E3272673 + 6091.50ns INFO [00006093] Port=0 WR @05=0BDA1210BE91B3D172 + 6091.50ns INFO [00006093] Port=0 RD @04 + 6092.50ns INFO [00006094] * RD COMPARE * port=0 adr=02 act=68D9393711C8AA6EEE exp=68D9393711C8AA6EEE + 6092.50ns INFO [00006094] Port=1 RD @01 + 6093.50ns INFO [00006095] * RD COMPARE * port=0 adr=04 act=20E32E9D4C84434D33 exp=20E32E9D4C84434D33 + 6094.50ns INFO [00006096] * RD COMPARE * port=1 adr=01 act=6F692B4623E3272673 exp=6F692B4623E3272673 + 6095.50ns INFO [00006097] Port=0 WR @05=CCBBF84EE3192038F4 + 6095.50ns INFO [00006097] Port=0 RD @02 + 6097.50ns INFO [00006099] * RD COMPARE * port=0 adr=02 act=68D9393711C8AA6EEE exp=68D9393711C8AA6EEE + 6098.00ns INFO [00006100] [00006100] ...tick... + 6098.50ns INFO [00006100] Port=0 WR @01=3BA5549B70AB5D284B + 6098.50ns INFO [00006100] Port=0 RD @06 + 6099.50ns INFO [00006101] Port=0 WR @04=980D40349DF1C43359 + 6099.50ns INFO [00006101] Port=1 RD @07 + 6100.50ns INFO [00006102] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA + 6101.50ns INFO [00006103] * RD COMPARE * port=1 adr=07 act=97FDD465A59D8A73FA exp=97FDD465A59D8A73FA + 6101.50ns INFO [00006103] Port=0 RD @03 + 6102.50ns INFO [00006104] Port=0 RD @07 + 6103.50ns INFO [00006105] * RD COMPARE * port=0 adr=03 act=37BD210E64F9F447D3 exp=37BD210E64F9F447D3 + 6103.50ns INFO [00006105] Port=0 WR @07=356AE96E4B537918DA + 6104.50ns INFO [00006106] * RD COMPARE * port=0 adr=07 act=97FDD465A59D8A73FA exp=97FDD465A59D8A73FA + 6105.50ns INFO [00006107] Port=0 RD @06 + 6107.50ns INFO [00006109] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA + 6107.50ns INFO [00006109] Port=0 WR @05=22C8E90BBEE942641A + 6107.50ns INFO [00006109] Port=0 RD @03 + 6108.50ns INFO [00006110] Port=0 WR @02=5DBE42A9FE961EBDC3 + 6109.50ns INFO [00006111] * RD COMPARE * port=0 adr=03 act=37BD210E64F9F447D3 exp=37BD210E64F9F447D3 + 6109.50ns INFO [00006111] Port=0 WR @07=C40D26A61A75EA1335 + 6109.50ns INFO [00006111] Port=0 RD @06 + 6110.50ns INFO [00006112] Port=0 WR @05=87403CC1AD0D2A46CC + 6111.50ns INFO [00006113] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA + 6111.50ns INFO [00006113] Port=0 RD @04 + 6111.50ns INFO [00006113] Port=1 RD @05 + 6112.50ns INFO [00006114] Port=0 WR @02=ADC3E9DC41627614C5 + 6112.50ns INFO [00006114] Port=0 RD @03 + 6113.50ns INFO [00006115] * RD COMPARE * port=0 adr=04 act=980D40349DF1C43359 exp=980D40349DF1C43359 + 6113.50ns INFO [00006115] * RD COMPARE * port=1 adr=05 act=87403CC1AD0D2A46CC exp=87403CC1AD0D2A46CC + 6113.50ns INFO [00006115] Port=0 RD @07 + 6114.50ns INFO [00006116] * RD COMPARE * port=0 adr=03 act=37BD210E64F9F447D3 exp=37BD210E64F9F447D3 + 6114.50ns INFO [00006116] Port=0 RD @00 + 6114.50ns INFO [00006116] Port=1 RD @07 + 6115.50ns INFO [00006117] * RD COMPARE * port=0 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335 + 6115.50ns INFO [00006117] Port=0 WR @03=6FD1B804ABAFF259E6 + 6116.50ns INFO [00006118] * RD COMPARE * port=0 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57 + 6116.50ns INFO [00006118] * RD COMPARE * port=1 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335 + 6116.50ns INFO [00006118] Port=0 RD @00 + 6117.50ns INFO [00006119] Port=0 WR @03=FEDC5EB1856C27BE8D + 6117.50ns INFO [00006119] Port=0 RD @07 + 6117.50ns INFO [00006119] Port=1 RD @05 + 6118.50ns INFO [00006120] * RD COMPARE * port=0 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57 + 6119.50ns INFO [00006121] * RD COMPARE * port=0 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335 + 6119.50ns INFO [00006121] * RD COMPARE * port=1 adr=05 act=87403CC1AD0D2A46CC exp=87403CC1AD0D2A46CC + 6119.50ns INFO [00006121] Port=0 WR @01=23DD29804384D55A59 + 6120.50ns INFO [00006122] Port=0 WR @03=1F22F151BDF24B3CAF + 6120.50ns INFO [00006122] Port=0 RD @05 + 6120.50ns INFO [00006122] Port=1 RD @01 + 6121.50ns INFO [00006123] Port=0 RD @05 + 6121.50ns INFO [00006123] Port=1 RD @07 + 6122.50ns INFO [00006124] * RD COMPARE * port=0 adr=05 act=87403CC1AD0D2A46CC exp=87403CC1AD0D2A46CC + 6122.50ns INFO [00006124] * RD COMPARE * port=1 adr=01 act=23DD29804384D55A59 exp=23DD29804384D55A59 + 6122.50ns INFO [00006124] Port=0 WR @06=CBDA75D124342CFBC8 + 6123.50ns INFO [00006125] * RD COMPARE * port=0 adr=05 act=87403CC1AD0D2A46CC exp=87403CC1AD0D2A46CC + 6123.50ns INFO [00006125] * RD COMPARE * port=1 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335 + 6123.50ns INFO [00006125] Port=0 WR @02=13E17940F8079DD75C + 6123.50ns INFO [00006125] Port=0 RD @01 + 6124.50ns INFO [00006126] Port=0 RD @06 + 6124.50ns INFO [00006126] Port=1 RD @02 + 6125.50ns INFO [00006127] * RD COMPARE * port=0 adr=01 act=23DD29804384D55A59 exp=23DD29804384D55A59 + 6126.50ns INFO [00006128] * RD COMPARE * port=0 adr=06 act=CBDA75D124342CFBC8 exp=CBDA75D124342CFBC8 + 6126.50ns INFO [00006128] * RD COMPARE * port=1 adr=02 act=13E17940F8079DD75C exp=13E17940F8079DD75C + 6126.50ns INFO [00006128] Port=0 RD @02 + 6128.50ns INFO [00006130] * RD COMPARE * port=0 adr=02 act=13E17940F8079DD75C exp=13E17940F8079DD75C + 6128.50ns INFO [00006130] Port=0 RD @03 + 6128.50ns INFO [00006130] Port=1 RD @04 + 6129.50ns INFO [00006131] Port=1 RD @02 + 6130.50ns INFO [00006132] * RD COMPARE * port=0 adr=03 act=1F22F151BDF24B3CAF exp=1F22F151BDF24B3CAF + 6130.50ns INFO [00006132] * RD COMPARE * port=1 adr=04 act=980D40349DF1C43359 exp=980D40349DF1C43359 + 6130.50ns INFO [00006132] Port=0 RD @07 + 6131.50ns INFO [00006133] * RD COMPARE * port=1 adr=02 act=13E17940F8079DD75C exp=13E17940F8079DD75C + 6131.50ns INFO [00006133] Port=1 RD @01 + 6132.50ns INFO [00006134] * RD COMPARE * port=0 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335 + 6132.50ns INFO [00006134] Port=0 RD @02 + 6133.50ns INFO [00006135] * RD COMPARE * port=1 adr=01 act=23DD29804384D55A59 exp=23DD29804384D55A59 + 6133.50ns INFO [00006135] Port=0 WR @04=64A2E3DF288365B4E7 + 6134.50ns INFO [00006136] * RD COMPARE * port=0 adr=02 act=13E17940F8079DD75C exp=13E17940F8079DD75C + 6134.50ns INFO [00006136] Port=0 WR @01=3716C5B5CEAF3ADF95 + 6134.50ns INFO [00006136] Port=1 RD @04 + 6135.50ns INFO [00006137] Port=0 WR @05=297E2E0BD3D7026AF9 + 6136.50ns INFO [00006138] * RD COMPARE * port=1 adr=04 act=64A2E3DF288365B4E7 exp=64A2E3DF288365B4E7 + 6136.50ns INFO [00006138] Port=1 RD @04 + 6137.50ns INFO [00006139] Port=0 WR @01=68A435FC80453B11C0 + 6138.50ns INFO [00006140] * RD COMPARE * port=1 adr=04 act=64A2E3DF288365B4E7 exp=64A2E3DF288365B4E7 + 6138.50ns INFO [00006140] Port=1 RD @04 + 6139.50ns INFO [00006141] Port=0 WR @02=4071FAFE083195B500 + 6139.50ns INFO [00006141] Port=1 RD @03 + 6140.50ns INFO [00006142] * RD COMPARE * port=1 adr=04 act=64A2E3DF288365B4E7 exp=64A2E3DF288365B4E7 + 6140.50ns INFO [00006142] Port=0 RD @00 + 6141.50ns INFO [00006143] * RD COMPARE * port=1 adr=03 act=1F22F151BDF24B3CAF exp=1F22F151BDF24B3CAF + 6141.50ns INFO [00006143] Port=0 RD @06 + 6142.50ns INFO [00006144] * RD COMPARE * port=0 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57 + 6142.50ns INFO [00006144] Port=0 WR @04=82AB696E24E9072339 + 6142.50ns INFO [00006144] Port=1 RD @06 + 6143.50ns INFO [00006145] * RD COMPARE * port=0 adr=06 act=CBDA75D124342CFBC8 exp=CBDA75D124342CFBC8 + 6144.50ns INFO [00006146] * RD COMPARE * port=1 adr=06 act=CBDA75D124342CFBC8 exp=CBDA75D124342CFBC8 + 6144.50ns INFO [00006146] Port=0 RD @01 + 6144.50ns INFO [00006146] Port=1 RD @07 + 6145.50ns INFO [00006147] Port=0 WR @02=B629D5A1D2817F85AA + 6146.50ns INFO [00006148] * RD COMPARE * port=0 adr=01 act=68A435FC80453B11C0 exp=68A435FC80453B11C0 + 6146.50ns INFO [00006148] * RD COMPARE * port=1 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335 + 6146.50ns INFO [00006148] Port=0 RD @04 + 6146.50ns INFO [00006148] Port=1 RD @06 + 6148.50ns INFO [00006150] * RD COMPARE * port=0 adr=04 act=82AB696E24E9072339 exp=82AB696E24E9072339 + 6148.50ns INFO [00006150] * RD COMPARE * port=1 adr=06 act=CBDA75D124342CFBC8 exp=CBDA75D124342CFBC8 + 6148.50ns INFO [00006150] Port=1 RD @00 + 6150.50ns INFO [00006152] * RD COMPARE * port=1 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57 + 6150.50ns INFO [00006152] Port=0 RD @07 + 6152.50ns INFO [00006154] * RD COMPARE * port=0 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335 + 6152.50ns INFO [00006154] Port=0 RD @04 + 6152.50ns INFO [00006154] Port=1 RD @02 + 6154.50ns INFO [00006156] * RD COMPARE * port=0 adr=04 act=82AB696E24E9072339 exp=82AB696E24E9072339 + 6154.50ns INFO [00006156] * RD COMPARE * port=1 adr=02 act=B629D5A1D2817F85AA exp=B629D5A1D2817F85AA + 6154.50ns INFO [00006156] Port=1 RD @05 + 6155.50ns INFO [00006157] Port=0 WR @05=414FC9F33A06B63101 + 6156.50ns INFO [00006158] * RD COMPARE * port=1 adr=05 act=297E2E0BD3D7026AF9 exp=297E2E0BD3D7026AF9 + 6157.50ns INFO [00006159] Port=1 RD @00 + 6159.50ns INFO [00006161] * RD COMPARE * port=1 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57 + 6159.50ns INFO [00006161] Port=0 WR @01=4FD7F81C631B5C2508 + 6159.50ns INFO [00006161] Port=0 RD @02 + 6159.50ns INFO [00006161] Port=1 RD @05 + 6161.50ns INFO [00006163] * RD COMPARE * port=0 adr=02 act=B629D5A1D2817F85AA exp=B629D5A1D2817F85AA + 6161.50ns INFO [00006163] * RD COMPARE * port=1 adr=05 act=414FC9F33A06B63101 exp=414FC9F33A06B63101 + 6161.50ns INFO [00006163] Port=0 WR @03=4999B11B72830AB5A1 + 6162.50ns INFO [00006164] Port=0 WR @02=A5B129ACD433BD55BC + 6162.50ns INFO [00006164] Port=0 RD @07 + 6163.50ns INFO [00006165] Port=0 WR @07=44513E6BDDBF3711DF + 6164.50ns INFO [00006166] * RD COMPARE * port=0 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335 + 6164.50ns INFO [00006166] Port=0 WR @05=62647E93B2F58F9C0D + 6164.50ns INFO [00006166] Port=0 RD @07 + 6165.50ns INFO [00006167] Port=1 RD @03 + 6166.50ns INFO [00006168] * RD COMPARE * port=0 adr=07 act=44513E6BDDBF3711DF exp=44513E6BDDBF3711DF + 6166.50ns INFO [00006168] Port=1 RD @05 + 6167.50ns INFO [00006169] * RD COMPARE * port=1 adr=03 act=4999B11B72830AB5A1 exp=4999B11B72830AB5A1 + 6167.50ns INFO [00006169] Port=1 RD @07 + 6168.50ns INFO [00006170] * RD COMPARE * port=1 adr=05 act=62647E93B2F58F9C0D exp=62647E93B2F58F9C0D + 6168.50ns INFO [00006170] Port=0 WR @06=F86B581C01CE000300 + 6169.50ns INFO [00006171] * RD COMPARE * port=1 adr=07 act=44513E6BDDBF3711DF exp=44513E6BDDBF3711DF + 6170.50ns INFO [00006172] Port=1 RD @03 + 6171.50ns INFO [00006173] Port=0 WR @02=63532E3DD98F3BD8D8 + 6171.50ns INFO [00006173] Port=1 RD @03 + 6172.50ns INFO [00006174] * RD COMPARE * port=1 adr=03 act=4999B11B72830AB5A1 exp=4999B11B72830AB5A1 + 6172.50ns INFO [00006174] Port=0 RD @06 + 6173.50ns INFO [00006175] * RD COMPARE * port=1 adr=03 act=4999B11B72830AB5A1 exp=4999B11B72830AB5A1 + 6174.50ns INFO [00006176] * RD COMPARE * port=0 adr=06 act=F86B581C01CE000300 exp=F86B581C01CE000300 + 6175.50ns INFO [00006177] Port=1 RD @02 + 6177.50ns INFO [00006179] * RD COMPARE * port=1 adr=02 act=63532E3DD98F3BD8D8 exp=63532E3DD98F3BD8D8 + 6177.50ns INFO [00006179] Port=0 WR @02=C9ED5D1AEAFD31E5D5 + 6178.50ns INFO [00006180] Port=0 RD @07 + 6179.50ns INFO [00006181] Port=0 RD @03 + 6179.50ns INFO [00006181] Port=1 RD @01 + 6180.50ns INFO [00006182] * RD COMPARE * port=0 adr=07 act=44513E6BDDBF3711DF exp=44513E6BDDBF3711DF + 6180.50ns INFO [00006182] Port=0 RD @02 + 6181.50ns INFO [00006183] * RD COMPARE * port=0 adr=03 act=4999B11B72830AB5A1 exp=4999B11B72830AB5A1 + 6181.50ns INFO [00006183] * RD COMPARE * port=1 adr=01 act=4FD7F81C631B5C2508 exp=4FD7F81C631B5C2508 + 6181.50ns INFO [00006183] Port=1 RD @00 + 6182.50ns INFO [00006184] * RD COMPARE * port=0 adr=02 act=C9ED5D1AEAFD31E5D5 exp=C9ED5D1AEAFD31E5D5 + 6182.50ns INFO [00006184] Port=0 WR @03=2916FF0E194BB0C08A + 6183.50ns INFO [00006185] * RD COMPARE * port=1 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57 + 6184.50ns INFO [00006186] Port=0 WR @07=D40C2CFE2B68695DC9 + 6184.50ns INFO [00006186] Port=1 RD @06 + 6185.50ns INFO [00006187] Port=0 WR @01=771F0F6D3CC9CDFA82 + 6185.50ns INFO [00006187] Port=0 RD @05 + 6186.50ns INFO [00006188] * RD COMPARE * port=1 adr=06 act=F86B581C01CE000300 exp=F86B581C01CE000300 + 6186.50ns INFO [00006188] Port=0 WR @04=2FDDFE6857C000833A + 6186.50ns INFO [00006188] Port=1 RD @06 + 6187.50ns INFO [00006189] * RD COMPARE * port=0 adr=05 act=62647E93B2F58F9C0D exp=62647E93B2F58F9C0D + 6188.50ns INFO [00006190] * RD COMPARE * port=1 adr=06 act=F86B581C01CE000300 exp=F86B581C01CE000300 + 6189.50ns INFO [00006191] Port=1 RD @02 + 6190.50ns INFO [00006192] Port=0 WR @07=56565E49B9E6BC7D41 + 6190.50ns INFO [00006192] Port=0 RD @01 + 6191.50ns INFO [00006193] * RD COMPARE * port=1 adr=02 act=C9ED5D1AEAFD31E5D5 exp=C9ED5D1AEAFD31E5D5 + 6191.50ns INFO [00006193] Port=0 WR @06=D252075A6F67A7E805 + 6191.50ns INFO [00006193] Port=1 RD @00 + 6192.50ns INFO [00006194] * RD COMPARE * port=0 adr=01 act=771F0F6D3CC9CDFA82 exp=771F0F6D3CC9CDFA82 + 6192.50ns INFO [00006194] Port=0 WR @02=799DDD726958001112 + 6192.50ns INFO [00006194] Port=1 RD @04 + 6193.50ns INFO [00006195] * RD COMPARE * port=1 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57 + 6194.50ns INFO [00006196] * RD COMPARE * port=1 adr=04 act=2FDDFE6857C000833A exp=2FDDFE6857C000833A + 6194.50ns INFO [00006196] Port=0 WR @07=3384B19EC015E20BF1 + 6194.50ns INFO [00006196] Port=0 RD @01 + 6195.50ns INFO [00006197] Port=0 WR @03=30B8D10E45764C32C8 + 6196.50ns INFO [00006198] * RD COMPARE * port=0 adr=01 act=771F0F6D3CC9CDFA82 exp=771F0F6D3CC9CDFA82 + 6196.50ns INFO [00006198] Port=0 RD @06 + 6197.50ns INFO [00006199] Port=0 RD @03 + 6197.50ns INFO [00006199] Port=1 RD @04 + 6198.00ns INFO [00006200] [00006200] ...tick... + 6198.50ns INFO [00006200] * RD COMPARE * port=0 adr=06 act=D252075A6F67A7E805 exp=D252075A6F67A7E805 + 6198.50ns INFO [00006200] Port=0 WR @06=E344335EF76A7A9E2C + 6199.50ns INFO [00006201] * RD COMPARE * port=0 adr=03 act=30B8D10E45764C32C8 exp=30B8D10E45764C32C8 + 6199.50ns INFO [00006201] * RD COMPARE * port=1 adr=04 act=2FDDFE6857C000833A exp=2FDDFE6857C000833A + 6200.50ns INFO [00006202] Port=0 WR @02=D2C28A834289C2C515 + 6200.50ns INFO [00006202] Port=0 RD @06 + 6202.50ns INFO [00006204] * RD COMPARE * port=0 adr=06 act=E344335EF76A7A9E2C exp=E344335EF76A7A9E2C + 6202.50ns INFO [00006204] Port=0 RD @07 + 6203.50ns INFO [00006205] Port=0 RD @03 + 6204.50ns INFO [00006206] * RD COMPARE * port=0 adr=07 act=3384B19EC015E20BF1 exp=3384B19EC015E20BF1 + 6204.50ns INFO [00006206] Port=0 WR @05=81A53C1C4E76EDBBA6 + 6205.50ns INFO [00006207] * RD COMPARE * port=0 adr=03 act=30B8D10E45764C32C8 exp=30B8D10E45764C32C8 + 6205.50ns INFO [00006207] Port=0 WR @03=7D31130A77D17A2E83 + 6205.50ns INFO [00006207] Port=0 RD @05 + 6205.50ns INFO [00006207] Port=1 RD @02 + 6206.50ns INFO [00006208] Port=0 WR @00=F882F99F5215EECE15 + 6206.50ns INFO [00006208] Port=0 RD @06 + 6206.50ns INFO [00006208] Port=1 RD @07 + 6207.50ns INFO [00006209] * RD COMPARE * port=0 adr=05 act=81A53C1C4E76EDBBA6 exp=81A53C1C4E76EDBBA6 + 6207.50ns INFO [00006209] * RD COMPARE * port=1 adr=02 act=D2C28A834289C2C515 exp=D2C28A834289C2C515 + 6207.50ns INFO [00006209] Port=0 WR @04=2E5919109912161735 + 6208.50ns INFO [00006210] * RD COMPARE * port=0 adr=06 act=E344335EF76A7A9E2C exp=E344335EF76A7A9E2C + 6208.50ns INFO [00006210] * RD COMPARE * port=1 adr=07 act=3384B19EC015E20BF1 exp=3384B19EC015E20BF1 + 6208.50ns INFO [00006210] Port=0 WR @00=F86444F4AF6CE3DEE5 + 6208.50ns INFO [00006210] Port=0 RD @06 + 6208.50ns INFO [00006210] Port=1 RD @03 + 6209.50ns INFO [00006211] Port=0 WR @02=9CCF2C8DA06D0E2AD5 + 6209.50ns INFO [00006211] Port=0 RD @01 + 6210.50ns INFO [00006212] * RD COMPARE * port=0 adr=06 act=E344335EF76A7A9E2C exp=E344335EF76A7A9E2C + 6210.50ns INFO [00006212] * RD COMPARE * port=1 adr=03 act=7D31130A77D17A2E83 exp=7D31130A77D17A2E83 + 6210.50ns INFO [00006212] Port=1 RD @03 + 6211.50ns INFO [00006213] * RD COMPARE * port=0 adr=01 act=771F0F6D3CC9CDFA82 exp=771F0F6D3CC9CDFA82 + 6212.50ns INFO [00006214] * RD COMPARE * port=1 adr=03 act=7D31130A77D17A2E83 exp=7D31130A77D17A2E83 + 6212.50ns INFO [00006214] Port=0 WR @01=825D5FD8BB41C3EB8F + 6212.50ns INFO [00006214] Port=1 RD @00 + 6213.50ns INFO [00006215] Port=1 RD @00 + 6214.50ns INFO [00006216] * RD COMPARE * port=1 adr=00 act=F86444F4AF6CE3DEE5 exp=F86444F4AF6CE3DEE5 + 6214.50ns INFO [00006216] Port=0 WR @02=A1B78047D412F255EB + 6214.50ns INFO [00006216] Port=1 RD @04 + 6215.50ns INFO [00006217] * RD COMPARE * port=1 adr=00 act=F86444F4AF6CE3DEE5 exp=F86444F4AF6CE3DEE5 + 6215.50ns INFO [00006217] Port=0 WR @07=CC59E9B65B914071A6 + 6215.50ns INFO [00006217] Port=0 RD @00 + 6216.50ns INFO [00006218] * RD COMPARE * port=1 adr=04 act=2E5919109912161735 exp=2E5919109912161735 + 6216.50ns INFO [00006218] Port=0 RD @05 + 6217.50ns INFO [00006219] * RD COMPARE * port=0 adr=00 act=F86444F4AF6CE3DEE5 exp=F86444F4AF6CE3DEE5 + 6218.50ns INFO [00006220] * RD COMPARE * port=0 adr=05 act=81A53C1C4E76EDBBA6 exp=81A53C1C4E76EDBBA6 + 6218.50ns INFO [00006220] Port=0 WR @04=C2EAD91EDBE6F3D65C + 6221.50ns INFO [00006223] Port=0 WR @00=6592441E2222B7ADB0 + 6221.50ns INFO [00006223] Port=0 RD @01 + 6221.50ns INFO [00006223] Port=1 RD @04 + 6222.50ns INFO [00006224] Port=0 RD @05 + 6222.50ns INFO [00006224] Port=1 RD @05 + 6223.50ns INFO [00006225] * RD COMPARE * port=0 adr=01 act=825D5FD8BB41C3EB8F exp=825D5FD8BB41C3EB8F + 6223.50ns INFO [00006225] * RD COMPARE * port=1 adr=04 act=C2EAD91EDBE6F3D65C exp=C2EAD91EDBE6F3D65C + 6223.50ns INFO [00006225] Port=0 WR @04=96919E1D5AC3C5D6D9 + 6223.50ns INFO [00006225] Port=0 RD @01 + 6223.50ns INFO [00006225] Port=1 RD @01 + 6224.50ns INFO [00006226] * RD COMPARE * port=0 adr=05 act=81A53C1C4E76EDBBA6 exp=81A53C1C4E76EDBBA6 + 6224.50ns INFO [00006226] * RD COMPARE * port=1 adr=05 act=81A53C1C4E76EDBBA6 exp=81A53C1C4E76EDBBA6 + 6224.50ns INFO [00006226] Port=0 RD @05 + 6224.50ns INFO [00006226] Port=1 RD @00 + 6225.50ns INFO [00006227] * RD COMPARE * port=0 adr=01 act=825D5FD8BB41C3EB8F exp=825D5FD8BB41C3EB8F + 6225.50ns INFO [00006227] * RD COMPARE * port=1 adr=01 act=825D5FD8BB41C3EB8F exp=825D5FD8BB41C3EB8F + 6225.50ns INFO [00006227] Port=1 RD @03 + 6226.50ns INFO [00006228] * RD COMPARE * port=0 adr=05 act=81A53C1C4E76EDBBA6 exp=81A53C1C4E76EDBBA6 + 6226.50ns INFO [00006228] * RD COMPARE * port=1 adr=00 act=6592441E2222B7ADB0 exp=6592441E2222B7ADB0 + 6227.50ns INFO [00006229] * RD COMPARE * port=1 adr=03 act=7D31130A77D17A2E83 exp=7D31130A77D17A2E83 + 6227.50ns INFO [00006229] Port=0 WR @05=C28E3368422B47D1E6 + 6227.50ns INFO [00006229] Port=0 RD @06 + 6228.50ns INFO [00006230] Port=0 RD @00 + 6228.50ns INFO [00006230] Port=1 RD @00 + 6229.50ns INFO [00006231] * RD COMPARE * port=0 adr=06 act=E344335EF76A7A9E2C exp=E344335EF76A7A9E2C + 6229.50ns INFO [00006231] Port=0 WR @06=568130506161996406 + 6229.50ns INFO [00006231] Port=1 RD @02 + 6230.50ns INFO [00006232] * RD COMPARE * port=0 adr=00 act=6592441E2222B7ADB0 exp=6592441E2222B7ADB0 + 6230.50ns INFO [00006232] * RD COMPARE * port=1 adr=00 act=6592441E2222B7ADB0 exp=6592441E2222B7ADB0 + 6231.50ns INFO [00006233] * RD COMPARE * port=1 adr=02 act=A1B78047D412F255EB exp=A1B78047D412F255EB + 6231.50ns INFO [00006233] Port=1 RD @07 + 6232.50ns INFO [00006234] Port=0 RD @03 + 6232.50ns INFO [00006234] Port=1 RD @06 + 6233.50ns INFO [00006235] * RD COMPARE * port=1 adr=07 act=CC59E9B65B914071A6 exp=CC59E9B65B914071A6 + 6233.50ns INFO [00006235] Port=0 WR @00=9309ACF2D5E69D4C20 + 6233.50ns INFO [00006235] Port=0 RD @05 + 6234.50ns INFO [00006236] * RD COMPARE * port=0 adr=03 act=7D31130A77D17A2E83 exp=7D31130A77D17A2E83 + 6234.50ns INFO [00006236] * RD COMPARE * port=1 adr=06 act=568130506161996406 exp=568130506161996406 + 6234.50ns INFO [00006236] Port=0 WR @00=63779EF0D65B9BD7FD + 6235.50ns INFO [00006237] * RD COMPARE * port=0 adr=05 act=C28E3368422B47D1E6 exp=C28E3368422B47D1E6 + 6236.50ns INFO [00006238] Port=0 WR @00=3C15B1EAD26B09FF1A + 6236.50ns INFO [00006238] Port=1 RD @05 + 6237.50ns INFO [00006239] Port=1 RD @02 + 6238.50ns INFO [00006240] * RD COMPARE * port=1 adr=05 act=C28E3368422B47D1E6 exp=C28E3368422B47D1E6 + 6238.50ns INFO [00006240] Port=1 RD @02 + 6239.50ns INFO [00006241] * RD COMPARE * port=1 adr=02 act=A1B78047D412F255EB exp=A1B78047D412F255EB + 6239.50ns INFO [00006241] Port=0 WR @05=BAA529A06B657C8EAB + 6239.50ns INFO [00006241] Port=1 RD @06 + 6240.50ns INFO [00006242] * RD COMPARE * port=1 adr=02 act=A1B78047D412F255EB exp=A1B78047D412F255EB + 6240.50ns INFO [00006242] Port=0 WR @04=AB53F68423106B0775 + 6241.50ns INFO [00006243] * RD COMPARE * port=1 adr=06 act=568130506161996406 exp=568130506161996406 + 6241.50ns INFO [00006243] Port=0 WR @07=B1E61B673FE6DC9F95 + 6241.50ns INFO [00006243] Port=0 RD @06 + 6242.50ns INFO [00006244] Port=1 RD @00 + 6243.50ns INFO [00006245] * RD COMPARE * port=0 adr=06 act=568130506161996406 exp=568130506161996406 + 6243.50ns INFO [00006245] Port=0 WR @07=AF7E9BABEE88C3151F + 6244.50ns INFO [00006246] * RD COMPARE * port=1 adr=00 act=3C15B1EAD26B09FF1A exp=3C15B1EAD26B09FF1A + 6244.50ns INFO [00006246] Port=0 WR @05=8419ED0710BDDD8EF1 + 6244.50ns INFO [00006246] Port=1 RD @04 + 6246.50ns INFO [00006248] * RD COMPARE * port=1 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775 + 6247.50ns INFO [00006249] Port=1 RD @00 + 6248.50ns INFO [00006250] Port=0 RD @06 + 6249.50ns INFO [00006251] * RD COMPARE * port=1 adr=00 act=3C15B1EAD26B09FF1A exp=3C15B1EAD26B09FF1A + 6249.50ns INFO [00006251] Port=0 RD @05 + 6249.50ns INFO [00006251] Port=1 RD @00 + 6250.50ns INFO [00006252] * RD COMPARE * port=0 adr=06 act=568130506161996406 exp=568130506161996406 + 6250.50ns INFO [00006252] Port=0 RD @04 + 6251.50ns INFO [00006253] * RD COMPARE * port=0 adr=05 act=8419ED0710BDDD8EF1 exp=8419ED0710BDDD8EF1 + 6251.50ns INFO [00006253] * RD COMPARE * port=1 adr=00 act=3C15B1EAD26B09FF1A exp=3C15B1EAD26B09FF1A + 6252.50ns INFO [00006254] * RD COMPARE * port=0 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775 + 6252.50ns INFO [00006254] Port=1 RD @00 + 6253.50ns INFO [00006255] Port=0 WR @03=9F26B9EB709FA2B4B1 + 6254.50ns INFO [00006256] * RD COMPARE * port=1 adr=00 act=3C15B1EAD26B09FF1A exp=3C15B1EAD26B09FF1A + 6254.50ns INFO [00006256] Port=0 WR @03=0AD305035F7FA40311 + 6254.50ns INFO [00006256] Port=0 RD @05 + 6255.50ns INFO [00006257] Port=0 WR @01=AFE930AFB6F0D1E747 + 6256.50ns INFO [00006258] * RD COMPARE * port=0 adr=05 act=8419ED0710BDDD8EF1 exp=8419ED0710BDDD8EF1 + 6256.50ns INFO [00006258] Port=0 WR @06=0D60E0DCFAD86BA4ED + 6256.50ns INFO [00006258] Port=1 RD @07 + 6257.50ns INFO [00006259] Port=0 WR @02=46181DD03052FDAC92 + 6257.50ns INFO [00006259] Port=0 RD @03 + 6257.50ns INFO [00006259] Port=1 RD @01 + 6258.50ns INFO [00006260] * RD COMPARE * port=1 adr=07 act=AF7E9BABEE88C3151F exp=AF7E9BABEE88C3151F + 6258.50ns INFO [00006260] Port=0 WR @03=663F787B5FC1F57085 + 6258.50ns INFO [00006260] Port=1 RD @07 + 6259.50ns INFO [00006261] * RD COMPARE * port=0 adr=03 act=0AD305035F7FA40311 exp=0AD305035F7FA40311 + 6259.50ns INFO [00006261] * RD COMPARE * port=1 adr=01 act=AFE930AFB6F0D1E747 exp=AFE930AFB6F0D1E747 + 6259.50ns INFO [00006261] Port=0 RD @04 + 6260.50ns INFO [00006262] * RD COMPARE * port=1 adr=07 act=AF7E9BABEE88C3151F exp=AF7E9BABEE88C3151F + 6260.50ns INFO [00006262] Port=0 WR @05=C872AB8DA3B1DA45CD + 6261.50ns INFO [00006263] * RD COMPARE * port=0 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775 + 6261.50ns INFO [00006263] Port=0 WR @00=D6BF84AECEA2200AC0 + 6262.50ns INFO [00006264] Port=0 WR @07=A3A2FC884FDC1622D7 + 6262.50ns INFO [00006264] Port=0 RD @05 + 6262.50ns INFO [00006264] Port=1 RD @06 + 6263.50ns INFO [00006265] Port=0 WR @03=6FDD1FD2E1F952E7C5 + 6263.50ns INFO [00006265] Port=0 RD @02 + 6263.50ns INFO [00006265] Port=1 RD @00 + 6264.50ns INFO [00006266] * RD COMPARE * port=0 adr=05 act=C872AB8DA3B1DA45CD exp=C872AB8DA3B1DA45CD + 6264.50ns INFO [00006266] * RD COMPARE * port=1 adr=06 act=0D60E0DCFAD86BA4ED exp=0D60E0DCFAD86BA4ED + 6265.50ns INFO [00006267] * RD COMPARE * port=0 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92 + 6265.50ns INFO [00006267] * RD COMPARE * port=1 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0 + 6265.50ns INFO [00006267] Port=1 RD @00 + 6266.50ns INFO [00006268] Port=0 WR @06=0581BEC860A9323118 + 6266.50ns INFO [00006268] Port=0 RD @00 + 6266.50ns INFO [00006268] Port=1 RD @01 + 6267.50ns INFO [00006269] * RD COMPARE * port=1 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0 + 6267.50ns INFO [00006269] Port=1 RD @07 + 6268.50ns INFO [00006270] * RD COMPARE * port=0 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0 + 6268.50ns INFO [00006270] * RD COMPARE * port=1 adr=01 act=AFE930AFB6F0D1E747 exp=AFE930AFB6F0D1E747 + 6268.50ns INFO [00006270] Port=0 WR @03=EB4D99CC40CE53F716 + 6268.50ns INFO [00006270] Port=0 RD @01 + 6268.50ns INFO [00006270] Port=1 RD @04 + 6269.50ns INFO [00006271] * RD COMPARE * port=1 adr=07 act=A3A2FC884FDC1622D7 exp=A3A2FC884FDC1622D7 + 6269.50ns INFO [00006271] Port=0 WR @07=CA75773F0EE7A86B16 + 6269.50ns INFO [00006271] Port=0 RD @00 + 6269.50ns INFO [00006271] Port=1 RD @04 + 6270.50ns INFO [00006272] * RD COMPARE * port=0 adr=01 act=AFE930AFB6F0D1E747 exp=AFE930AFB6F0D1E747 + 6270.50ns INFO [00006272] * RD COMPARE * port=1 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775 + 6270.50ns INFO [00006272] Port=0 WR @05=DFAA8AA05815861346 + 6270.50ns INFO [00006272] Port=0 RD @00 + 6271.50ns INFO [00006273] * RD COMPARE * port=0 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0 + 6271.50ns INFO [00006273] * RD COMPARE * port=1 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775 + 6271.50ns INFO [00006273] Port=1 RD @04 + 6272.50ns INFO [00006274] * RD COMPARE * port=0 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0 + 6272.50ns INFO [00006274] Port=1 RD @02 + 6273.50ns INFO [00006275] * RD COMPARE * port=1 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775 + 6274.50ns INFO [00006276] * RD COMPARE * port=1 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92 + 6274.50ns INFO [00006276] Port=0 WR @01=FCD3EB904ED2F3C99B + 6274.50ns INFO [00006276] Port=0 RD @02 + 6275.50ns INFO [00006277] Port=0 RD @05 + 6276.50ns INFO [00006278] * RD COMPARE * port=0 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92 + 6276.50ns INFO [00006278] Port=1 RD @00 + 6277.50ns INFO [00006279] * RD COMPARE * port=0 adr=05 act=DFAA8AA05815861346 exp=DFAA8AA05815861346 + 6278.50ns INFO [00006280] * RD COMPARE * port=1 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0 + 6279.50ns INFO [00006281] Port=1 RD @01 + 6280.50ns INFO [00006282] Port=0 RD @06 + 6281.50ns INFO [00006283] * RD COMPARE * port=1 adr=01 act=FCD3EB904ED2F3C99B exp=FCD3EB904ED2F3C99B + 6281.50ns INFO [00006283] Port=1 RD @01 + 6282.50ns INFO [00006284] * RD COMPARE * port=0 adr=06 act=0581BEC860A9323118 exp=0581BEC860A9323118 + 6282.50ns INFO [00006284] Port=0 WR @06=BF62B64AC2B189DE89 + 6282.50ns INFO [00006284] Port=0 RD @07 + 6282.50ns INFO [00006284] Port=1 RD @07 + 6283.50ns INFO [00006285] * RD COMPARE * port=1 adr=01 act=FCD3EB904ED2F3C99B exp=FCD3EB904ED2F3C99B + 6283.50ns INFO [00006285] Port=0 WR @04=1B8580B407CC4A988C + 6283.50ns INFO [00006285] Port=0 RD @05 + 6283.50ns INFO [00006285] Port=1 RD @07 + 6284.50ns INFO [00006286] * RD COMPARE * port=0 adr=07 act=CA75773F0EE7A86B16 exp=CA75773F0EE7A86B16 + 6284.50ns INFO [00006286] * RD COMPARE * port=1 adr=07 act=CA75773F0EE7A86B16 exp=CA75773F0EE7A86B16 + 6284.50ns INFO [00006286] Port=0 WR @04=3865A9FF0BF234C9FF + 6284.50ns INFO [00006286] Port=0 RD @01 + 6285.50ns INFO [00006287] * RD COMPARE * port=0 adr=05 act=DFAA8AA05815861346 exp=DFAA8AA05815861346 + 6285.50ns INFO [00006287] * RD COMPARE * port=1 adr=07 act=CA75773F0EE7A86B16 exp=CA75773F0EE7A86B16 + 6285.50ns INFO [00006287] Port=0 WR @01=C86DE5D4698224D765 + 6285.50ns INFO [00006287] Port=1 RD @07 + 6286.50ns INFO [00006288] * RD COMPARE * port=0 adr=01 act=FCD3EB904ED2F3C99B exp=FCD3EB904ED2F3C99B + 6286.50ns INFO [00006288] Port=0 WR @06=C05204BD05C793038E + 6286.50ns INFO [00006288] Port=0 RD @01 + 6286.50ns INFO [00006288] Port=1 RD @03 + 6287.50ns INFO [00006289] * RD COMPARE * port=1 adr=07 act=CA75773F0EE7A86B16 exp=CA75773F0EE7A86B16 + 6287.50ns INFO [00006289] Port=0 WR @00=DBD6A8FECBD12ABCD2 + 6287.50ns INFO [00006289] Port=0 RD @03 + 6288.50ns INFO [00006290] * RD COMPARE * port=0 adr=01 act=C86DE5D4698224D765 exp=C86DE5D4698224D765 + 6288.50ns INFO [00006290] * RD COMPARE * port=1 adr=03 act=EB4D99CC40CE53F716 exp=EB4D99CC40CE53F716 + 6288.50ns INFO [00006290] Port=0 RD @04 + 6288.50ns INFO [00006290] Port=1 RD @06 + 6289.50ns INFO [00006291] * RD COMPARE * port=0 adr=03 act=EB4D99CC40CE53F716 exp=EB4D99CC40CE53F716 + 6289.50ns INFO [00006291] Port=0 RD @05 + 6289.50ns INFO [00006291] Port=1 RD @07 + 6290.50ns INFO [00006292] * RD COMPARE * port=0 adr=04 act=3865A9FF0BF234C9FF exp=3865A9FF0BF234C9FF + 6290.50ns INFO [00006292] * RD COMPARE * port=1 adr=06 act=C05204BD05C793038E exp=C05204BD05C793038E + 6290.50ns INFO [00006292] Port=0 RD @01 + 6290.50ns INFO [00006292] Port=1 RD @02 + 6291.50ns INFO [00006293] * RD COMPARE * port=0 adr=05 act=DFAA8AA05815861346 exp=DFAA8AA05815861346 + 6291.50ns INFO [00006293] * RD COMPARE * port=1 adr=07 act=CA75773F0EE7A86B16 exp=CA75773F0EE7A86B16 + 6291.50ns INFO [00006293] Port=0 WR @04=8212EF54782C2AD896 + 6292.50ns INFO [00006294] * RD COMPARE * port=0 adr=01 act=C86DE5D4698224D765 exp=C86DE5D4698224D765 + 6292.50ns INFO [00006294] * RD COMPARE * port=1 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92 + 6292.50ns INFO [00006294] Port=1 RD @01 + 6294.50ns INFO [00006296] * RD COMPARE * port=1 adr=01 act=C86DE5D4698224D765 exp=C86DE5D4698224D765 + 6294.50ns INFO [00006296] Port=0 WR @05=4F143356B8EDA121A2 + 6294.50ns INFO [00006296] Port=0 RD @02 + 6295.50ns INFO [00006297] Port=0 RD @06 + 6296.50ns INFO [00006298] * RD COMPARE * port=0 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92 + 6296.50ns INFO [00006298] Port=0 RD @03 + 6296.50ns INFO [00006298] Port=1 RD @03 + 6297.50ns INFO [00006299] * RD COMPARE * port=0 adr=06 act=C05204BD05C793038E exp=C05204BD05C793038E + 6298.00ns INFO [00006300] [00006300] ...tick... + 6298.50ns INFO [00006300] * RD COMPARE * port=0 adr=03 act=EB4D99CC40CE53F716 exp=EB4D99CC40CE53F716 + 6298.50ns INFO [00006300] * RD COMPARE * port=1 adr=03 act=EB4D99CC40CE53F716 exp=EB4D99CC40CE53F716 + 6298.50ns INFO [00006300] Port=0 WR @06=0615B7271BC7CE75AA + 6298.50ns INFO [00006300] Port=0 RD @00 + 6299.50ns INFO [00006301] Port=0 WR @01=A65DB63CB0D78857EF + 6299.50ns INFO [00006301] Port=1 RD @05 + 6300.50ns INFO [00006302] * RD COMPARE * port=0 adr=00 act=DBD6A8FECBD12ABCD2 exp=DBD6A8FECBD12ABCD2 + 6301.50ns INFO [00006303] * RD COMPARE * port=1 adr=05 act=4F143356B8EDA121A2 exp=4F143356B8EDA121A2 + 6301.50ns INFO [00006303] Port=0 WR @03=88F8042604FD09BFCB + 6301.50ns INFO [00006303] Port=0 RD @06 + 6302.50ns INFO [00006304] Port=1 RD @00 + 6303.50ns INFO [00006305] * RD COMPARE * port=0 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA + 6303.50ns INFO [00006305] Port=0 WR @07=1F3695213ACD4A2E02 + 6303.50ns INFO [00006305] Port=1 RD @06 + 6304.50ns INFO [00006306] * RD COMPARE * port=1 adr=00 act=DBD6A8FECBD12ABCD2 exp=DBD6A8FECBD12ABCD2 + 6304.50ns INFO [00006306] Port=1 RD @07 + 6305.50ns INFO [00006307] * RD COMPARE * port=1 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA + 6305.50ns INFO [00006307] Port=0 WR @03=3352740CFC0E704C01 + 6305.50ns INFO [00006307] Port=0 RD @01 + 6306.50ns INFO [00006308] * RD COMPARE * port=1 adr=07 act=1F3695213ACD4A2E02 exp=1F3695213ACD4A2E02 + 6306.50ns INFO [00006308] Port=1 RD @05 + 6307.50ns INFO [00006309] * RD COMPARE * port=0 adr=01 act=A65DB63CB0D78857EF exp=A65DB63CB0D78857EF + 6307.50ns INFO [00006309] Port=0 RD @06 + 6307.50ns INFO [00006309] Port=1 RD @02 + 6308.50ns INFO [00006310] * RD COMPARE * port=1 adr=05 act=4F143356B8EDA121A2 exp=4F143356B8EDA121A2 + 6308.50ns INFO [00006310] Port=0 WR @07=056B32FA35D469CE32 + 6308.50ns INFO [00006310] Port=0 RD @00 + 6308.50ns INFO [00006310] Port=1 RD @00 + 6309.50ns INFO [00006311] * RD COMPARE * port=0 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA + 6309.50ns INFO [00006311] * RD COMPARE * port=1 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92 + 6309.50ns INFO [00006311] Port=0 WR @04=97DF34D19578F32900 + 6309.50ns INFO [00006311] Port=0 RD @01 + 6309.50ns INFO [00006311] Port=1 RD @06 + 6310.50ns INFO [00006312] * RD COMPARE * port=0 adr=00 act=DBD6A8FECBD12ABCD2 exp=DBD6A8FECBD12ABCD2 + 6310.50ns INFO [00006312] * RD COMPARE * port=1 adr=00 act=DBD6A8FECBD12ABCD2 exp=DBD6A8FECBD12ABCD2 + 6310.50ns INFO [00006312] Port=0 WR @03=87E08705EEA5291ADB + 6310.50ns INFO [00006312] Port=0 RD @02 + 6311.50ns INFO [00006313] * RD COMPARE * port=0 adr=01 act=A65DB63CB0D78857EF exp=A65DB63CB0D78857EF + 6311.50ns INFO [00006313] * RD COMPARE * port=1 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA + 6311.50ns INFO [00006313] Port=0 WR @01=83EA5EE977767BA941 + 6311.50ns INFO [00006313] Port=0 RD @05 + 6312.50ns INFO [00006314] * RD COMPARE * port=0 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92 + 6313.50ns INFO [00006315] * RD COMPARE * port=0 adr=05 act=4F143356B8EDA121A2 exp=4F143356B8EDA121A2 + 6313.50ns INFO [00006315] Port=0 RD @04 + 6315.50ns INFO [00006317] * RD COMPARE * port=0 adr=04 act=97DF34D19578F32900 exp=97DF34D19578F32900 + 6315.50ns INFO [00006317] Port=0 WR @02=36EFD645EF74194301 + 6315.50ns INFO [00006317] Port=1 RD @06 + 6316.50ns INFO [00006318] Port=0 WR @00=0D983F126341FFA306 + 6316.50ns INFO [00006318] Port=1 RD @06 + 6317.50ns INFO [00006319] * RD COMPARE * port=1 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA + 6317.50ns INFO [00006319] Port=0 WR @00=133C0FB3419A20A120 + 6318.50ns INFO [00006320] * RD COMPARE * port=1 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA + 6318.50ns INFO [00006320] Port=0 WR @06=6679A6D6BFFD9865B4 + 6318.50ns INFO [00006320] Port=1 RD @01 + 6319.50ns INFO [00006321] Port=0 WR @07=786064B4AE805EC45D + 6319.50ns INFO [00006321] Port=0 RD @04 + 6320.50ns INFO [00006322] * RD COMPARE * port=1 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941 + 6320.50ns INFO [00006322] Port=0 RD @05 + 6321.50ns INFO [00006323] * RD COMPARE * port=0 adr=04 act=97DF34D19578F32900 exp=97DF34D19578F32900 + 6321.50ns INFO [00006323] Port=0 WR @02=AA96713476C48E62E8 + 6322.50ns INFO [00006324] * RD COMPARE * port=0 adr=05 act=4F143356B8EDA121A2 exp=4F143356B8EDA121A2 + 6323.50ns INFO [00006325] Port=1 RD @02 + 6324.50ns INFO [00006326] Port=0 WR @06=DDD70794FAB6774B47 + 6324.50ns INFO [00006326] Port=0 RD @01 + 6324.50ns INFO [00006326] Port=1 RD @04 + 6325.50ns INFO [00006327] * RD COMPARE * port=1 adr=02 act=AA96713476C48E62E8 exp=AA96713476C48E62E8 + 6325.50ns INFO [00006327] Port=0 WR @04=55D84BEB21441A7EC6 + 6325.50ns INFO [00006327] Port=0 RD @03 + 6326.50ns INFO [00006328] * RD COMPARE * port=0 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941 + 6326.50ns INFO [00006328] * RD COMPARE * port=1 adr=04 act=97DF34D19578F32900 exp=97DF34D19578F32900 + 6326.50ns INFO [00006328] Port=0 RD @02 + 6327.50ns INFO [00006329] * RD COMPARE * port=0 adr=03 act=87E08705EEA5291ADB exp=87E08705EEA5291ADB + 6327.50ns INFO [00006329] Port=1 RD @05 + 6328.50ns INFO [00006330] * RD COMPARE * port=0 adr=02 act=AA96713476C48E62E8 exp=AA96713476C48E62E8 + 6328.50ns INFO [00006330] Port=0 RD @02 + 6329.50ns INFO [00006331] * RD COMPARE * port=1 adr=05 act=4F143356B8EDA121A2 exp=4F143356B8EDA121A2 + 6329.50ns INFO [00006331] Port=0 WR @05=5A79A96EFCF6F10E11 + 6329.50ns INFO [00006331] Port=1 RD @00 + 6330.50ns INFO [00006332] * RD COMPARE * port=0 adr=02 act=AA96713476C48E62E8 exp=AA96713476C48E62E8 + 6330.50ns INFO [00006332] Port=0 RD @02 + 6331.50ns INFO [00006333] * RD COMPARE * port=1 adr=00 act=133C0FB3419A20A120 exp=133C0FB3419A20A120 + 6332.50ns INFO [00006334] * RD COMPARE * port=0 adr=02 act=AA96713476C48E62E8 exp=AA96713476C48E62E8 + 6332.50ns INFO [00006334] Port=0 WR @05=CFF5A3D5F469533672 + 6332.50ns INFO [00006334] Port=1 RD @03 + 6333.50ns INFO [00006335] Port=0 WR @05=A1CE5C647F6CEE6B75 + 6334.50ns INFO [00006336] * RD COMPARE * port=1 adr=03 act=87E08705EEA5291ADB exp=87E08705EEA5291ADB + 6334.50ns INFO [00006336] Port=0 WR @04=1618C8C2500C4C0882 + 6334.50ns INFO [00006336] Port=1 RD @05 + 6335.50ns INFO [00006337] Port=0 RD @02 + 6336.50ns INFO [00006338] * RD COMPARE * port=1 adr=05 act=A1CE5C647F6CEE6B75 exp=A1CE5C647F6CEE6B75 + 6336.50ns INFO [00006338] Port=0 WR @02=998C99983AC5346B79 + 6336.50ns INFO [00006338] Port=1 RD @06 + 6337.50ns INFO [00006339] * RD COMPARE * port=0 adr=02 act=AA96713476C48E62E8 exp=AA96713476C48E62E8 + 6337.50ns INFO [00006339] Port=1 RD @02 + 6338.50ns INFO [00006340] * RD COMPARE * port=1 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47 + 6338.50ns INFO [00006340] Port=0 WR @04=9E0C4317264224761A + 6339.50ns INFO [00006341] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79 + 6340.50ns INFO [00006342] Port=0 RD @07 + 6340.50ns INFO [00006342] Port=1 RD @06 + 6342.50ns INFO [00006344] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6342.50ns INFO [00006344] * RD COMPARE * port=1 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47 + 6342.50ns INFO [00006344] Port=0 RD @01 + 6342.50ns INFO [00006344] Port=1 RD @05 + 6344.50ns INFO [00006346] * RD COMPARE * port=0 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941 + 6344.50ns INFO [00006346] * RD COMPARE * port=1 adr=05 act=A1CE5C647F6CEE6B75 exp=A1CE5C647F6CEE6B75 + 6344.50ns INFO [00006346] Port=1 RD @00 + 6345.50ns INFO [00006347] Port=0 RD @04 + 6345.50ns INFO [00006347] Port=1 RD @06 + 6346.50ns INFO [00006348] * RD COMPARE * port=1 adr=00 act=133C0FB3419A20A120 exp=133C0FB3419A20A120 + 6346.50ns INFO [00006348] Port=0 WR @03=6B02653CDE210F7206 + 6347.50ns INFO [00006349] * RD COMPARE * port=0 adr=04 act=9E0C4317264224761A exp=9E0C4317264224761A + 6347.50ns INFO [00006349] * RD COMPARE * port=1 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47 + 6347.50ns INFO [00006349] Port=1 RD @06 + 6349.50ns INFO [00006351] * RD COMPARE * port=1 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47 + 6349.50ns INFO [00006351] Port=1 RD @00 + 6351.50ns INFO [00006353] * RD COMPARE * port=1 adr=00 act=133C0FB3419A20A120 exp=133C0FB3419A20A120 + 6352.50ns INFO [00006354] Port=1 RD @06 + 6353.50ns INFO [00006355] Port=0 WR @00=1982E90750B383F294 + 6353.50ns INFO [00006355] Port=0 RD @05 + 6353.50ns INFO [00006355] Port=1 RD @02 + 6354.50ns INFO [00006356] * RD COMPARE * port=1 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47 + 6354.50ns INFO [00006356] Port=0 RD @00 + 6354.50ns INFO [00006356] Port=1 RD @02 + 6355.50ns INFO [00006357] * RD COMPARE * port=0 adr=05 act=A1CE5C647F6CEE6B75 exp=A1CE5C647F6CEE6B75 + 6355.50ns INFO [00006357] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79 + 6355.50ns INFO [00006357] Port=0 WR @03=5EE51DF24FC9B4E7EB + 6355.50ns INFO [00006357] Port=0 RD @04 + 6355.50ns INFO [00006357] Port=1 RD @05 + 6356.50ns INFO [00006358] * RD COMPARE * port=0 adr=00 act=1982E90750B383F294 exp=1982E90750B383F294 + 6356.50ns INFO [00006358] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79 + 6356.50ns INFO [00006358] Port=0 WR @05=A1580B85E7B40ADE6A + 6356.50ns INFO [00006358] Port=0 RD @01 + 6357.50ns INFO [00006359] * RD COMPARE * port=0 adr=04 act=9E0C4317264224761A exp=9E0C4317264224761A + 6357.50ns INFO [00006359] * RD COMPARE * port=1 adr=05 act=A1CE5C647F6CEE6B75 exp=A1CE5C647F6CEE6B75 + 6357.50ns INFO [00006359] Port=0 RD @02 + 6358.50ns INFO [00006360] * RD COMPARE * port=0 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941 + 6358.50ns INFO [00006360] Port=1 RD @03 + 6359.50ns INFO [00006361] * RD COMPARE * port=0 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79 + 6359.50ns INFO [00006361] Port=0 WR @04=CEEF99A1AA73383736 + 6359.50ns INFO [00006361] Port=0 RD @06 + 6360.50ns INFO [00006362] * RD COMPARE * port=1 adr=03 act=5EE51DF24FC9B4E7EB exp=5EE51DF24FC9B4E7EB + 6361.50ns INFO [00006363] * RD COMPARE * port=0 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47 + 6361.50ns INFO [00006363] Port=1 RD @00 + 6362.50ns INFO [00006364] Port=1 RD @07 + 6363.50ns INFO [00006365] * RD COMPARE * port=1 adr=00 act=1982E90750B383F294 exp=1982E90750B383F294 + 6363.50ns INFO [00006365] Port=1 RD @00 + 6364.50ns INFO [00006366] * RD COMPARE * port=1 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6364.50ns INFO [00006366] Port=0 RD @01 + 6364.50ns INFO [00006366] Port=1 RD @07 + 6365.50ns INFO [00006367] * RD COMPARE * port=1 adr=00 act=1982E90750B383F294 exp=1982E90750B383F294 + 6365.50ns INFO [00006367] Port=0 WR @06=DD8068A47858BAAD14 + 6365.50ns INFO [00006367] Port=1 RD @04 + 6366.50ns INFO [00006368] * RD COMPARE * port=0 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941 + 6366.50ns INFO [00006368] * RD COMPARE * port=1 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6366.50ns INFO [00006368] Port=1 RD @00 + 6367.50ns INFO [00006369] * RD COMPARE * port=1 adr=04 act=CEEF99A1AA73383736 exp=CEEF99A1AA73383736 + 6367.50ns INFO [00006369] Port=1 RD @06 + 6368.50ns INFO [00006370] * RD COMPARE * port=1 adr=00 act=1982E90750B383F294 exp=1982E90750B383F294 + 6369.50ns INFO [00006371] * RD COMPARE * port=1 adr=06 act=DD8068A47858BAAD14 exp=DD8068A47858BAAD14 + 6369.50ns INFO [00006371] Port=0 WR @04=7ED4DC7A1CEA70A2E2 + 6369.50ns INFO [00006371] Port=0 RD @02 + 6369.50ns INFO [00006371] Port=1 RD @03 + 6370.50ns INFO [00006372] Port=0 RD @03 + 6370.50ns INFO [00006372] Port=1 RD @01 + 6371.50ns INFO [00006373] * RD COMPARE * port=0 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79 + 6371.50ns INFO [00006373] * RD COMPARE * port=1 adr=03 act=5EE51DF24FC9B4E7EB exp=5EE51DF24FC9B4E7EB + 6371.50ns INFO [00006373] Port=1 RD @02 + 6372.50ns INFO [00006374] * RD COMPARE * port=0 adr=03 act=5EE51DF24FC9B4E7EB exp=5EE51DF24FC9B4E7EB + 6372.50ns INFO [00006374] * RD COMPARE * port=1 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941 + 6372.50ns INFO [00006374] Port=0 RD @01 + 6373.50ns INFO [00006375] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79 + 6373.50ns INFO [00006375] Port=1 RD @04 + 6374.50ns INFO [00006376] * RD COMPARE * port=0 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941 + 6375.50ns INFO [00006377] * RD COMPARE * port=1 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2 + 6375.50ns INFO [00006377] Port=1 RD @04 + 6376.50ns INFO [00006378] Port=0 RD @07 + 6376.50ns INFO [00006378] Port=1 RD @05 + 6377.50ns INFO [00006379] * RD COMPARE * port=1 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2 + 6377.50ns INFO [00006379] Port=0 RD @07 + 6378.50ns INFO [00006380] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6378.50ns INFO [00006380] * RD COMPARE * port=1 adr=05 act=A1580B85E7B40ADE6A exp=A1580B85E7B40ADE6A + 6378.50ns INFO [00006380] Port=0 WR @01=89C3BE7A31999D7C45 + 6379.50ns INFO [00006381] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6379.50ns INFO [00006381] Port=0 RD @06 + 6379.50ns INFO [00006381] Port=1 RD @07 + 6380.50ns INFO [00006382] Port=0 WR @05=B0332A5383CE16BCAF + 6381.50ns INFO [00006383] * RD COMPARE * port=0 adr=06 act=DD8068A47858BAAD14 exp=DD8068A47858BAAD14 + 6381.50ns INFO [00006383] * RD COMPARE * port=1 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6381.50ns INFO [00006383] Port=0 WR @06=D5BBB109A1F4F5627A + 6381.50ns INFO [00006383] Port=0 RD @04 + 6381.50ns INFO [00006383] Port=1 RD @02 + 6382.50ns INFO [00006384] Port=0 WR @00=6D332D585437DB09D0 + 6382.50ns INFO [00006384] Port=1 RD @04 + 6383.50ns INFO [00006385] * RD COMPARE * port=0 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2 + 6383.50ns INFO [00006385] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79 + 6384.50ns INFO [00006386] * RD COMPARE * port=1 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2 + 6384.50ns INFO [00006386] Port=0 RD @00 + 6385.50ns INFO [00006387] Port=0 RD @00 + 6386.50ns INFO [00006388] * RD COMPARE * port=0 adr=00 act=6D332D585437DB09D0 exp=6D332D585437DB09D0 + 6386.50ns INFO [00006388] Port=0 WR @05=CE9FCAF5A4C4512A45 + 6386.50ns INFO [00006388] Port=1 RD @02 + 6387.50ns INFO [00006389] * RD COMPARE * port=0 adr=00 act=6D332D585437DB09D0 exp=6D332D585437DB09D0 + 6387.50ns INFO [00006389] Port=0 RD @07 + 6388.50ns INFO [00006390] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79 + 6388.50ns INFO [00006390] Port=0 WR @05=5F91CACA0C927E4CF9 + 6388.50ns INFO [00006390] Port=0 RD @03 + 6389.50ns INFO [00006391] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6389.50ns INFO [00006391] Port=0 WR @00=465B1D90867849C645 + 6389.50ns INFO [00006391] Port=0 RD @06 + 6390.50ns INFO [00006392] * RD COMPARE * port=0 adr=03 act=5EE51DF24FC9B4E7EB exp=5EE51DF24FC9B4E7EB + 6390.50ns INFO [00006392] Port=0 RD @02 + 6391.50ns INFO [00006393] * RD COMPARE * port=0 adr=06 act=D5BBB109A1F4F5627A exp=D5BBB109A1F4F5627A + 6392.50ns INFO [00006394] * RD COMPARE * port=0 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79 + 6392.50ns INFO [00006394] Port=0 RD @07 + 6392.50ns INFO [00006394] Port=1 RD @04 + 6393.50ns INFO [00006395] Port=0 RD @01 + 6393.50ns INFO [00006395] Port=1 RD @06 + 6394.50ns INFO [00006396] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6394.50ns INFO [00006396] * RD COMPARE * port=1 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2 + 6394.50ns INFO [00006396] Port=0 WR @06=48E4A670378B583479 + 6395.50ns INFO [00006397] * RD COMPARE * port=0 adr=01 act=89C3BE7A31999D7C45 exp=89C3BE7A31999D7C45 + 6395.50ns INFO [00006397] * RD COMPARE * port=1 adr=06 act=D5BBB109A1F4F5627A exp=D5BBB109A1F4F5627A + 6395.50ns INFO [00006397] Port=1 RD @03 + 6397.50ns INFO [00006399] * RD COMPARE * port=1 adr=03 act=5EE51DF24FC9B4E7EB exp=5EE51DF24FC9B4E7EB + 6398.00ns INFO [00006400] [00006400] ...tick... + 6398.50ns INFO [00006400] Port=0 RD @04 + 6399.50ns INFO [00006401] Port=0 RD @00 + 6400.50ns INFO [00006402] * RD COMPARE * port=0 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2 + 6401.50ns INFO [00006403] * RD COMPARE * port=0 adr=00 act=465B1D90867849C645 exp=465B1D90867849C645 + 6403.50ns INFO [00006405] Port=0 WR @01=9204FA9E8C655E50CD + 6403.50ns INFO [00006405] Port=0 RD @00 + 6404.50ns INFO [00006406] Port=0 WR @04=318F558B9DE6639125 + 6405.50ns INFO [00006407] * RD COMPARE * port=0 adr=00 act=465B1D90867849C645 exp=465B1D90867849C645 + 6405.50ns INFO [00006407] Port=0 WR @05=09A92E35A8E826ED2C + 6407.50ns INFO [00006409] Port=0 RD @01 + 6407.50ns INFO [00006409] Port=1 RD @07 + 6409.50ns INFO [00006411] * RD COMPARE * port=0 adr=01 act=9204FA9E8C655E50CD exp=9204FA9E8C655E50CD + 6409.50ns INFO [00006411] * RD COMPARE * port=1 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6409.50ns INFO [00006411] Port=0 WR @06=4EDEFB8B713EEEE4AF + 6409.50ns INFO [00006411] Port=0 RD @01 + 6410.50ns INFO [00006412] Port=1 RD @05 + 6411.50ns INFO [00006413] * RD COMPARE * port=0 adr=01 act=9204FA9E8C655E50CD exp=9204FA9E8C655E50CD + 6411.50ns INFO [00006413] Port=0 WR @06=4BA87DC9D458A9CA22 + 6411.50ns INFO [00006413] Port=0 RD @07 + 6412.50ns INFO [00006414] * RD COMPARE * port=1 adr=05 act=09A92E35A8E826ED2C exp=09A92E35A8E826ED2C + 6412.50ns INFO [00006414] Port=1 RD @04 + 6413.50ns INFO [00006415] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6414.50ns INFO [00006416] * RD COMPARE * port=1 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125 + 6415.50ns INFO [00006417] Port=0 RD @04 + 6415.50ns INFO [00006417] Port=1 RD @05 + 6417.50ns INFO [00006419] * RD COMPARE * port=0 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125 + 6417.50ns INFO [00006419] * RD COMPARE * port=1 adr=05 act=09A92E35A8E826ED2C exp=09A92E35A8E826ED2C + 6418.50ns INFO [00006420] Port=1 RD @04 + 6419.50ns INFO [00006421] Port=0 WR @02=16169BBCEB39B6A316 + 6419.50ns INFO [00006421] Port=0 RD @04 + 6420.50ns INFO [00006422] * RD COMPARE * port=1 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125 + 6420.50ns INFO [00006422] Port=1 RD @07 + 6421.50ns INFO [00006423] * RD COMPARE * port=0 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125 + 6421.50ns INFO [00006423] Port=0 WR @01=CF20A9B0D8C7819F85 + 6421.50ns INFO [00006423] Port=1 RD @04 + 6422.50ns INFO [00006424] * RD COMPARE * port=1 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D + 6423.50ns INFO [00006425] * RD COMPARE * port=1 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125 + 6423.50ns INFO [00006425] Port=0 WR @07=52E2AE54AE648B8A61 + 6425.50ns INFO [00006427] Port=0 RD @00 + 6425.50ns INFO [00006427] Port=1 RD @00 + 6426.50ns INFO [00006428] Port=1 RD @05 + 6427.50ns INFO [00006429] * RD COMPARE * port=0 adr=00 act=465B1D90867849C645 exp=465B1D90867849C645 + 6427.50ns INFO [00006429] * RD COMPARE * port=1 adr=00 act=465B1D90867849C645 exp=465B1D90867849C645 + 6427.50ns INFO [00006429] Port=1 RD @05 + 6428.50ns INFO [00006430] * RD COMPARE * port=1 adr=05 act=09A92E35A8E826ED2C exp=09A92E35A8E826ED2C + 6428.50ns INFO [00006430] Port=0 WR @05=D7F0635C1C56804BD8 + 6428.50ns INFO [00006430] Port=1 RD @04 + 6429.50ns INFO [00006431] * RD COMPARE * port=1 adr=05 act=09A92E35A8E826ED2C exp=09A92E35A8E826ED2C + 6429.50ns INFO [00006431] Port=1 RD @02 + 6430.50ns INFO [00006432] * RD COMPARE * port=1 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125 + 6431.50ns INFO [00006433] * RD COMPARE * port=1 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316 + 6431.50ns INFO [00006433] Port=0 WR @00=0E66A5C21DD78A97ED + 6432.50ns INFO [00006434] Port=0 WR @00=55FD5344096CF88E25 + 6432.50ns INFO [00006434] Port=1 RD @02 + 6433.50ns INFO [00006435] Port=0 WR @04=F2FADA42F5F4A50F8F + 6434.50ns INFO [00006436] * RD COMPARE * port=1 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316 + 6434.50ns INFO [00006436] Port=0 WR @00=7C8AB4A661EF402619 + 6434.50ns INFO [00006436] Port=0 RD @06 + 6434.50ns INFO [00006436] Port=1 RD @07 + 6436.50ns INFO [00006438] * RD COMPARE * port=0 adr=06 act=4BA87DC9D458A9CA22 exp=4BA87DC9D458A9CA22 + 6436.50ns INFO [00006438] * RD COMPARE * port=1 adr=07 act=52E2AE54AE648B8A61 exp=52E2AE54AE648B8A61 + 6436.50ns INFO [00006438] Port=0 RD @02 + 6437.50ns INFO [00006439] Port=0 RD @05 + 6437.50ns INFO [00006439] Port=1 RD @07 + 6438.50ns INFO [00006440] * RD COMPARE * port=0 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316 + 6438.50ns INFO [00006440] Port=0 WR @07=752F633C2F29C22F6F + 6439.50ns INFO [00006441] * RD COMPARE * port=0 adr=05 act=D7F0635C1C56804BD8 exp=D7F0635C1C56804BD8 + 6439.50ns INFO [00006441] * RD COMPARE * port=1 adr=07 act=52E2AE54AE648B8A61 exp=52E2AE54AE648B8A61 + 6439.50ns INFO [00006441] Port=1 RD @07 + 6440.50ns INFO [00006442] Port=0 WR @03=322CBC929E45C836DC + 6440.50ns INFO [00006442] Port=0 RD @05 + 6441.50ns INFO [00006443] * RD COMPARE * port=1 adr=07 act=752F633C2F29C22F6F exp=752F633C2F29C22F6F + 6442.50ns INFO [00006444] * RD COMPARE * port=0 adr=05 act=D7F0635C1C56804BD8 exp=D7F0635C1C56804BD8 + 6442.50ns INFO [00006444] Port=1 RD @03 + 6443.50ns INFO [00006445] Port=0 WR @05=2FD92C34129209EECA + 6443.50ns INFO [00006445] Port=0 RD @02 + 6443.50ns INFO [00006445] Port=1 RD @00 + 6444.50ns INFO [00006446] * RD COMPARE * port=1 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC + 6444.50ns INFO [00006446] Port=0 RD @03 + 6444.50ns INFO [00006446] Port=1 RD @00 + 6445.50ns INFO [00006447] * RD COMPARE * port=0 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316 + 6445.50ns INFO [00006447] * RD COMPARE * port=1 adr=00 act=7C8AB4A661EF402619 exp=7C8AB4A661EF402619 + 6446.50ns INFO [00006448] * RD COMPARE * port=0 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC + 6446.50ns INFO [00006448] * RD COMPARE * port=1 adr=00 act=7C8AB4A661EF402619 exp=7C8AB4A661EF402619 + 6446.50ns INFO [00006448] Port=0 WR @00=9C8AE9824F1DD549A8 + 6446.50ns INFO [00006448] Port=1 RD @03 + 6447.50ns INFO [00006449] Port=0 WR @04=E0621046FC3E379382 + 6447.50ns INFO [00006449] Port=0 RD @07 + 6447.50ns INFO [00006449] Port=1 RD @05 + 6448.50ns INFO [00006450] * RD COMPARE * port=1 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC + 6448.50ns INFO [00006450] Port=0 RD @00 + 6448.50ns INFO [00006450] Port=1 RD @02 + 6449.50ns INFO [00006451] * RD COMPARE * port=0 adr=07 act=752F633C2F29C22F6F exp=752F633C2F29C22F6F + 6449.50ns INFO [00006451] * RD COMPARE * port=1 adr=05 act=2FD92C34129209EECA exp=2FD92C34129209EECA + 6449.50ns INFO [00006451] Port=1 RD @04 + 6450.50ns INFO [00006452] * RD COMPARE * port=0 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8 + 6450.50ns INFO [00006452] * RD COMPARE * port=1 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316 + 6451.50ns INFO [00006453] * RD COMPARE * port=1 adr=04 act=E0621046FC3E379382 exp=E0621046FC3E379382 + 6452.50ns INFO [00006454] Port=0 RD @00 + 6452.50ns INFO [00006454] Port=1 RD @05 + 6453.50ns INFO [00006455] Port=1 RD @00 + 6454.50ns INFO [00006456] * RD COMPARE * port=0 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8 + 6454.50ns INFO [00006456] * RD COMPARE * port=1 adr=05 act=2FD92C34129209EECA exp=2FD92C34129209EECA + 6455.50ns INFO [00006457] * RD COMPARE * port=1 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8 + 6455.50ns INFO [00006457] Port=0 WR @07=188D495B7DFF336737 + 6455.50ns INFO [00006457] Port=0 RD @03 + 6455.50ns INFO [00006457] Port=1 RD @03 + 6456.50ns INFO [00006458] Port=1 RD @06 + 6457.50ns INFO [00006459] * RD COMPARE * port=0 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC + 6457.50ns INFO [00006459] * RD COMPARE * port=1 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC + 6457.50ns INFO [00006459] Port=0 WR @05=F3FEF644F8C2AC679A + 6457.50ns INFO [00006459] Port=0 RD @06 + 6457.50ns INFO [00006459] Port=1 RD @00 + 6458.50ns INFO [00006460] * RD COMPARE * port=1 adr=06 act=4BA87DC9D458A9CA22 exp=4BA87DC9D458A9CA22 + 6458.50ns INFO [00006460] Port=0 WR @04=EA5150E0837F78A5AC + 6459.50ns INFO [00006461] * RD COMPARE * port=0 adr=06 act=4BA87DC9D458A9CA22 exp=4BA87DC9D458A9CA22 + 6459.50ns INFO [00006461] * RD COMPARE * port=1 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8 + 6459.50ns INFO [00006461] Port=1 RD @03 + 6460.50ns INFO [00006462] Port=0 RD @00 + 6461.50ns INFO [00006463] * RD COMPARE * port=1 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC + 6461.50ns INFO [00006463] Port=0 RD @00 + 6462.50ns INFO [00006464] * RD COMPARE * port=0 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8 + 6463.50ns INFO [00006465] * RD COMPARE * port=0 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8 + 6463.50ns INFO [00006465] Port=0 WR @03=0FD360CE4FBFE66DE0 + 6463.50ns INFO [00006465] Port=0 RD @07 + 6464.50ns INFO [00006466] Port=0 WR @06=38BC879ED649963755 + 6465.50ns INFO [00006467] * RD COMPARE * port=0 adr=07 act=188D495B7DFF336737 exp=188D495B7DFF336737 + 6465.50ns INFO [00006467] Port=0 WR @07=F97D6B0D355AD28D1C + 6465.50ns INFO [00006467] Port=1 RD @01 + 6466.50ns INFO [00006468] Port=0 WR @00=75AD89656A1CB7A073 + 6466.50ns INFO [00006468] Port=0 RD @07 + 6467.50ns INFO [00006469] * RD COMPARE * port=1 adr=01 act=CF20A9B0D8C7819F85 exp=CF20A9B0D8C7819F85 + 6468.50ns INFO [00006470] * RD COMPARE * port=0 adr=07 act=F97D6B0D355AD28D1C exp=F97D6B0D355AD28D1C + 6468.50ns INFO [00006470] Port=1 RD @00 + 6469.50ns INFO [00006471] Port=0 RD @00 + 6470.50ns INFO [00006472] * RD COMPARE * port=1 adr=00 act=75AD89656A1CB7A073 exp=75AD89656A1CB7A073 + 6471.50ns INFO [00006473] * RD COMPARE * port=0 adr=00 act=75AD89656A1CB7A073 exp=75AD89656A1CB7A073 + 6471.50ns INFO [00006473] Port=1 RD @05 + 6472.50ns INFO [00006474] Port=0 WR @05=53F87C04C110F2404A + 6472.50ns INFO [00006474] Port=1 RD @01 + 6473.50ns INFO [00006475] * RD COMPARE * port=1 adr=05 act=F3FEF644F8C2AC679A exp=F3FEF644F8C2AC679A + 6473.50ns INFO [00006475] Port=0 WR @07=341AE95B8F8FF983F8 + 6473.50ns INFO [00006475] Port=0 RD @06 + 6473.50ns INFO [00006475] Port=1 RD @06 + 6474.50ns INFO [00006476] * RD COMPARE * port=1 adr=01 act=CF20A9B0D8C7819F85 exp=CF20A9B0D8C7819F85 + 6474.50ns INFO [00006476] Port=0 WR @00=B32EEB2F74ABEAD7A3 + 6475.50ns INFO [00006477] * RD COMPARE * port=0 adr=06 act=38BC879ED649963755 exp=38BC879ED649963755 + 6475.50ns INFO [00006477] * RD COMPARE * port=1 adr=06 act=38BC879ED649963755 exp=38BC879ED649963755 + 6475.50ns INFO [00006477] Port=0 RD @00 + 6477.50ns INFO [00006479] * RD COMPARE * port=0 adr=00 act=B32EEB2F74ABEAD7A3 exp=B32EEB2F74ABEAD7A3 + 6478.50ns INFO [00006480] Port=1 RD @06 + 6479.50ns INFO [00006481] Port=1 RD @03 + 6480.50ns INFO [00006482] * RD COMPARE * port=1 adr=06 act=38BC879ED649963755 exp=38BC879ED649963755 + 6481.50ns INFO [00006483] * RD COMPARE * port=1 adr=03 act=0FD360CE4FBFE66DE0 exp=0FD360CE4FBFE66DE0 + 6481.50ns INFO [00006483] Port=0 RD @07 + 6481.50ns INFO [00006483] Port=1 RD @04 + 6483.50ns INFO [00006485] * RD COMPARE * port=0 adr=07 act=341AE95B8F8FF983F8 exp=341AE95B8F8FF983F8 + 6483.50ns INFO [00006485] * RD COMPARE * port=1 adr=04 act=EA5150E0837F78A5AC exp=EA5150E0837F78A5AC + 6487.50ns INFO [00006489] Port=0 RD @01 + 6487.50ns INFO [00006489] Port=1 RD @06 + 6488.50ns INFO [00006490] Port=1 RD @06 + 6489.50ns INFO [00006491] * RD COMPARE * port=0 adr=01 act=CF20A9B0D8C7819F85 exp=CF20A9B0D8C7819F85 + 6489.50ns INFO [00006491] * RD COMPARE * port=1 adr=06 act=38BC879ED649963755 exp=38BC879ED649963755 + 6489.50ns INFO [00006491] Port=1 RD @02 + 6490.50ns INFO [00006492] * RD COMPARE * port=1 adr=06 act=38BC879ED649963755 exp=38BC879ED649963755 + 6491.50ns INFO [00006493] * RD COMPARE * port=1 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316 + 6491.50ns INFO [00006493] Port=0 WR @05=3D6F5202BD5C9C2CB1 + 6491.50ns INFO [00006493] Port=1 RD @00 + 6492.50ns INFO [00006494] Port=1 RD @00 + 6493.50ns INFO [00006495] * RD COMPARE * port=1 adr=00 act=B32EEB2F74ABEAD7A3 exp=B32EEB2F74ABEAD7A3 + 6494.50ns INFO [00006496] * RD COMPARE * port=1 adr=00 act=B32EEB2F74ABEAD7A3 exp=B32EEB2F74ABEAD7A3 + 6494.50ns INFO [00006496] Port=0 RD @03 + 6494.50ns INFO [00006496] Port=1 RD @07 + 6495.50ns INFO [00006497] Port=0 WR @05=C0AAC4DEF52925E3F0 + 6496.50ns INFO [00006498] * RD COMPARE * port=0 adr=03 act=0FD360CE4FBFE66DE0 exp=0FD360CE4FBFE66DE0 + 6496.50ns INFO [00006498] * RD COMPARE * port=1 adr=07 act=341AE95B8F8FF983F8 exp=341AE95B8F8FF983F8 + 6496.50ns INFO [00006498] Port=0 WR @01=5DB69967309D512F4D + 6496.50ns INFO [00006498] Port=0 RD @03 + 6497.50ns INFO [00006499] Port=0 WR @06=7DE4D69F49FC93F753 + 6498.00ns INFO [00006500] [00006500] ...tick... + 6498.50ns INFO [00006500] * RD COMPARE * port=0 adr=03 act=0FD360CE4FBFE66DE0 exp=0FD360CE4FBFE66DE0 + 6498.50ns INFO [00006500] Port=0 WR @05=BEDE4D5FDC283B2CC8 + 6498.50ns INFO [00006500] Port=1 RD @07 + 6500.50ns INFO [00006502] * RD COMPARE * port=1 adr=07 act=341AE95B8F8FF983F8 exp=341AE95B8F8FF983F8 + 6500.50ns INFO [00006502] Port=1 RD @01 + 6502.50ns INFO [00006504] * RD COMPARE * port=1 adr=01 act=5DB69967309D512F4D exp=5DB69967309D512F4D + 6502.50ns INFO [00006504] Port=0 WR @07=C3C5047F56B02FEF1D + 6503.50ns INFO [00006505] Port=0 WR @04=DC59987413E237B670 + 6503.50ns INFO [00006505] Port=0 RD @03 + 6504.50ns INFO [00006506] Port=1 RD @05 + 6505.50ns INFO [00006507] * RD COMPARE * port=0 adr=03 act=0FD360CE4FBFE66DE0 exp=0FD360CE4FBFE66DE0 + 6506.50ns INFO [00006508] * RD COMPARE * port=1 adr=05 act=BEDE4D5FDC283B2CC8 exp=BEDE4D5FDC283B2CC8 + 6506.50ns INFO [00006508] Port=0 WR @00=023CB9B2D88A42DC9A + 6506.50ns INFO [00006508] Port=0 RD @03 + 6506.50ns INFO [00006508] Port=1 RD @07 + 6508.50ns INFO [00006510] * RD COMPARE * port=0 adr=03 act=0FD360CE4FBFE66DE0 exp=0FD360CE4FBFE66DE0 + 6508.50ns INFO [00006510] * RD COMPARE * port=1 adr=07 act=C3C5047F56B02FEF1D exp=C3C5047F56B02FEF1D + 6509.50ns INFO [00006511] Port=1 RD @01 + 6511.50ns INFO [00006513] * RD COMPARE * port=1 adr=01 act=5DB69967309D512F4D exp=5DB69967309D512F4D + 6511.50ns INFO [00006513] Port=0 RD @01 + 6512.50ns INFO [00006514] Port=0 WR @05=898E27118A9E4D4B08 + 6513.50ns INFO [00006515] * RD COMPARE * port=0 adr=01 act=5DB69967309D512F4D exp=5DB69967309D512F4D + 6513.50ns INFO [00006515] Port=1 RD @07 + 6514.50ns INFO [00006516] Port=1 RD @06 + 6515.50ns INFO [00006517] * RD COMPARE * port=1 adr=07 act=C3C5047F56B02FEF1D exp=C3C5047F56B02FEF1D + 6515.50ns INFO [00006517] Port=0 WR @00=18CC5EB16097F1F089 + 6516.50ns INFO [00006518] * RD COMPARE * port=1 adr=06 act=7DE4D69F49FC93F753 exp=7DE4D69F49FC93F753 + 6516.50ns INFO [00006518] Port=0 WR @05=D2C617BB8668FB7160 + 6516.50ns INFO [00006518] Port=1 RD @04 + 6517.50ns INFO [00006519] Port=0 WR @01=D8774631DB17F1EE27 + 6518.50ns INFO [00006520] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6518.50ns INFO [00006520] Port=0 RD @00 + 6519.50ns INFO [00006521] Port=1 RD @01 + 6520.50ns INFO [00006522] * RD COMPARE * port=0 adr=00 act=18CC5EB16097F1F089 exp=18CC5EB16097F1F089 + 6520.50ns INFO [00006522] Port=0 WR @06=779DD809470A027D88 + 6521.50ns INFO [00006523] * RD COMPARE * port=1 adr=01 act=D8774631DB17F1EE27 exp=D8774631DB17F1EE27 + 6521.50ns INFO [00006523] Port=0 WR @07=B1DE91E8CF1F4BC10C + 6521.50ns INFO [00006523] Port=0 RD @06 + 6523.50ns INFO [00006525] * RD COMPARE * port=0 adr=06 act=779DD809470A027D88 exp=779DD809470A027D88 + 6523.50ns INFO [00006525] Port=0 WR @03=F3CC1FDB537D39DAF5 + 6523.50ns INFO [00006525] Port=1 RD @06 + 6524.50ns INFO [00006526] Port=0 RD @04 + 6525.50ns INFO [00006527] * RD COMPARE * port=1 adr=06 act=779DD809470A027D88 exp=779DD809470A027D88 + 6525.50ns INFO [00006527] Port=0 WR @05=CC913E953A0D14BB7A + 6525.50ns INFO [00006527] Port=1 RD @04 + 6526.50ns INFO [00006528] * RD COMPARE * port=0 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6526.50ns INFO [00006528] Port=0 RD @05 + 6526.50ns INFO [00006528] Port=1 RD @00 + 6527.50ns INFO [00006529] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6527.50ns INFO [00006529] Port=0 WR @00=E28513F09F898FA223 + 6527.50ns INFO [00006529] Port=1 RD @05 + 6528.50ns INFO [00006530] * RD COMPARE * port=0 adr=05 act=CC913E953A0D14BB7A exp=CC913E953A0D14BB7A + 6528.50ns INFO [00006530] * RD COMPARE * port=1 adr=00 act=18CC5EB16097F1F089 exp=18CC5EB16097F1F089 + 6528.50ns INFO [00006530] Port=1 RD @05 + 6529.50ns INFO [00006531] * RD COMPARE * port=1 adr=05 act=CC913E953A0D14BB7A exp=CC913E953A0D14BB7A + 6529.50ns INFO [00006531] Port=0 WR @00=0918A01E8CCB1295C5 + 6529.50ns INFO [00006531] Port=0 RD @03 + 6529.50ns INFO [00006531] Port=1 RD @03 + 6530.50ns INFO [00006532] * RD COMPARE * port=1 adr=05 act=CC913E953A0D14BB7A exp=CC913E953A0D14BB7A + 6531.50ns INFO [00006533] * RD COMPARE * port=0 adr=03 act=F3CC1FDB537D39DAF5 exp=F3CC1FDB537D39DAF5 + 6531.50ns INFO [00006533] * RD COMPARE * port=1 adr=03 act=F3CC1FDB537D39DAF5 exp=F3CC1FDB537D39DAF5 + 6531.50ns INFO [00006533] Port=0 RD @07 + 6533.50ns INFO [00006535] * RD COMPARE * port=0 adr=07 act=B1DE91E8CF1F4BC10C exp=B1DE91E8CF1F4BC10C + 6533.50ns INFO [00006535] Port=1 RD @01 + 6535.50ns INFO [00006537] * RD COMPARE * port=1 adr=01 act=D8774631DB17F1EE27 exp=D8774631DB17F1EE27 + 6535.50ns INFO [00006537] Port=0 RD @03 + 6536.50ns INFO [00006538] Port=0 WR @02=0A685F21F725407E3F + 6536.50ns INFO [00006538] Port=1 RD @01 + 6537.50ns INFO [00006539] * RD COMPARE * port=0 adr=03 act=F3CC1FDB537D39DAF5 exp=F3CC1FDB537D39DAF5 + 6537.50ns INFO [00006539] Port=1 RD @04 + 6538.50ns INFO [00006540] * RD COMPARE * port=1 adr=01 act=D8774631DB17F1EE27 exp=D8774631DB17F1EE27 + 6539.50ns INFO [00006541] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6539.50ns INFO [00006541] Port=0 WR @05=58592C4621B83C9B0E + 6540.50ns INFO [00006542] Port=0 WR @05=2533AE58EA3709DC0F + 6540.50ns INFO [00006542] Port=0 RD @02 + 6540.50ns INFO [00006542] Port=1 RD @06 + 6542.50ns INFO [00006544] * RD COMPARE * port=0 adr=02 act=0A685F21F725407E3F exp=0A685F21F725407E3F + 6542.50ns INFO [00006544] * RD COMPARE * port=1 adr=06 act=779DD809470A027D88 exp=779DD809470A027D88 + 6542.50ns INFO [00006544] Port=0 WR @01=AE69A4B116B78BEFA9 + 6542.50ns INFO [00006544] Port=1 RD @05 + 6544.50ns INFO [00006546] * RD COMPARE * port=1 adr=05 act=2533AE58EA3709DC0F exp=2533AE58EA3709DC0F + 6544.50ns INFO [00006546] Port=0 WR @03=39343A4C218815FFE5 + 6544.50ns INFO [00006546] Port=0 RD @01 + 6545.50ns INFO [00006547] Port=0 WR @05=B144BB6AE5832BE310 + 6545.50ns INFO [00006547] Port=1 RD @02 + 6546.50ns INFO [00006548] * RD COMPARE * port=0 adr=01 act=AE69A4B116B78BEFA9 exp=AE69A4B116B78BEFA9 + 6546.50ns INFO [00006548] Port=1 RD @03 + 6547.50ns INFO [00006549] * RD COMPARE * port=1 adr=02 act=0A685F21F725407E3F exp=0A685F21F725407E3F + 6547.50ns INFO [00006549] Port=1 RD @04 + 6548.50ns INFO [00006550] * RD COMPARE * port=1 adr=03 act=39343A4C218815FFE5 exp=39343A4C218815FFE5 + 6548.50ns INFO [00006550] Port=0 RD @07 + 6548.50ns INFO [00006550] Port=1 RD @05 + 6549.50ns INFO [00006551] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6550.50ns INFO [00006552] * RD COMPARE * port=0 adr=07 act=B1DE91E8CF1F4BC10C exp=B1DE91E8CF1F4BC10C + 6550.50ns INFO [00006552] * RD COMPARE * port=1 adr=05 act=B144BB6AE5832BE310 exp=B144BB6AE5832BE310 + 6550.50ns INFO [00006552] Port=0 WR @02=F3B7E7FFD002563569 + 6550.50ns INFO [00006552] Port=0 RD @00 + 6552.50ns INFO [00006554] * RD COMPARE * port=0 adr=00 act=0918A01E8CCB1295C5 exp=0918A01E8CCB1295C5 + 6552.50ns INFO [00006554] Port=1 RD @02 + 6554.50ns INFO [00006556] * RD COMPARE * port=1 adr=02 act=F3B7E7FFD002563569 exp=F3B7E7FFD002563569 + 6555.50ns INFO [00006557] Port=0 WR @00=596056EBB68E32E35B + 6555.50ns INFO [00006557] Port=1 RD @04 + 6556.50ns INFO [00006558] Port=0 WR @02=597C331433436C3AEF + 6557.50ns INFO [00006559] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6557.50ns INFO [00006559] Port=1 RD @00 + 6558.50ns INFO [00006560] Port=0 WR @00=A51D21866273492A8E + 6558.50ns INFO [00006560] Port=0 RD @07 + 6558.50ns INFO [00006560] Port=1 RD @07 + 6559.50ns INFO [00006561] * RD COMPARE * port=1 adr=00 act=596056EBB68E32E35B exp=596056EBB68E32E35B + 6559.50ns INFO [00006561] Port=0 WR @05=F0F01F0D66E217C606 + 6559.50ns INFO [00006561] Port=0 RD @00 + 6559.50ns INFO [00006561] Port=1 RD @04 + 6560.50ns INFO [00006562] * RD COMPARE * port=0 adr=07 act=B1DE91E8CF1F4BC10C exp=B1DE91E8CF1F4BC10C + 6560.50ns INFO [00006562] * RD COMPARE * port=1 adr=07 act=B1DE91E8CF1F4BC10C exp=B1DE91E8CF1F4BC10C + 6560.50ns INFO [00006562] Port=0 WR @06=F4C3433658816DE18C + 6561.50ns INFO [00006563] * RD COMPARE * port=0 adr=00 act=A51D21866273492A8E exp=A51D21866273492A8E + 6561.50ns INFO [00006563] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6562.50ns INFO [00006564] Port=0 RD @04 + 6562.50ns INFO [00006564] Port=1 RD @01 + 6563.50ns INFO [00006565] Port=0 RD @06 + 6564.50ns INFO [00006566] * RD COMPARE * port=0 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6564.50ns INFO [00006566] * RD COMPARE * port=1 adr=01 act=AE69A4B116B78BEFA9 exp=AE69A4B116B78BEFA9 + 6564.50ns INFO [00006566] Port=0 WR @03=B4E07050B51BB31A24 + 6565.50ns INFO [00006567] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6565.50ns INFO [00006567] Port=0 RD @04 + 6566.50ns INFO [00006568] Port=0 RD @07 + 6567.50ns INFO [00006569] * RD COMPARE * port=0 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6567.50ns INFO [00006569] Port=0 WR @03=EE6CE77D58D6E51D67 + 6568.50ns INFO [00006570] * RD COMPARE * port=0 adr=07 act=B1DE91E8CF1F4BC10C exp=B1DE91E8CF1F4BC10C + 6568.50ns INFO [00006570] Port=0 WR @00=5D46C9D601EF88A55C + 6569.50ns INFO [00006571] Port=0 WR @02=4C9752340BC449E23D + 6570.50ns INFO [00006572] Port=0 WR @00=F0B4AEBDD7ED680594 + 6570.50ns INFO [00006572] Port=1 RD @03 + 6571.50ns INFO [00006573] Port=0 WR @02=428A77AEAA0D8B64DF + 6572.50ns INFO [00006574] * RD COMPARE * port=1 adr=03 act=EE6CE77D58D6E51D67 exp=EE6CE77D58D6E51D67 + 6572.50ns INFO [00006574] Port=0 WR @00=B584AFAF27F7D17B21 + 6572.50ns INFO [00006574] Port=0 RD @02 + 6573.50ns INFO [00006575] Port=1 RD @00 + 6574.50ns INFO [00006576] * RD COMPARE * port=0 adr=02 act=428A77AEAA0D8B64DF exp=428A77AEAA0D8B64DF + 6575.50ns INFO [00006577] * RD COMPARE * port=1 adr=00 act=B584AFAF27F7D17B21 exp=B584AFAF27F7D17B21 + 6576.50ns INFO [00006578] Port=0 WR @02=B4F97EFCEBC8F67544 + 6577.50ns INFO [00006579] Port=0 WR @01=0CCB47D71874922BAA + 6577.50ns INFO [00006579] Port=0 RD @04 + 6577.50ns INFO [00006579] Port=1 RD @02 + 6578.50ns INFO [00006580] Port=0 WR @07=FFD6016F47D699C9AF + 6579.50ns INFO [00006581] * RD COMPARE * port=0 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6579.50ns INFO [00006581] * RD COMPARE * port=1 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544 + 6579.50ns INFO [00006581] Port=1 RD @04 + 6580.50ns INFO [00006582] Port=0 RD @06 + 6581.50ns INFO [00006583] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670 + 6581.50ns INFO [00006583] Port=0 WR @07=979B22E9F2D03EBEA1 + 6581.50ns INFO [00006583] Port=0 RD @01 + 6581.50ns INFO [00006583] Port=1 RD @06 + 6582.50ns INFO [00006584] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6583.50ns INFO [00006585] * RD COMPARE * port=0 adr=01 act=0CCB47D71874922BAA exp=0CCB47D71874922BAA + 6583.50ns INFO [00006585] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6583.50ns INFO [00006585] Port=0 RD @06 + 6584.50ns INFO [00006586] Port=0 RD @03 + 6585.50ns INFO [00006587] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6585.50ns INFO [00006587] Port=0 WR @03=55F31BC30CB111E526 + 6585.50ns INFO [00006587] Port=0 RD @06 + 6586.50ns INFO [00006588] * RD COMPARE * port=0 adr=03 act=EE6CE77D58D6E51D67 exp=EE6CE77D58D6E51D67 + 6586.50ns INFO [00006588] Port=1 RD @02 + 6587.50ns INFO [00006589] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6588.50ns INFO [00006590] * RD COMPARE * port=1 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544 + 6588.50ns INFO [00006590] Port=0 RD @07 + 6590.50ns INFO [00006592] * RD COMPARE * port=0 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1 + 6590.50ns INFO [00006592] Port=0 WR @04=4D9D36491884EB16C1 + 6590.50ns INFO [00006592] Port=0 RD @02 + 6591.50ns INFO [00006593] Port=1 RD @06 + 6592.50ns INFO [00006594] * RD COMPARE * port=0 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544 + 6592.50ns INFO [00006594] Port=0 RD @02 + 6593.50ns INFO [00006595] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6593.50ns INFO [00006595] Port=0 RD @00 + 6594.50ns INFO [00006596] * RD COMPARE * port=0 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544 + 6594.50ns INFO [00006596] Port=0 WR @00=8E60FFC2176384B5D2 + 6594.50ns INFO [00006596] Port=0 RD @06 + 6595.50ns INFO [00006597] * RD COMPARE * port=0 adr=00 act=B584AFAF27F7D17B21 exp=B584AFAF27F7D17B21 + 6596.50ns INFO [00006598] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6597.50ns INFO [00006599] Port=1 RD @00 + 6598.00ns INFO [00006600] [00006600] ...tick... + 6598.50ns INFO [00006600] Port=0 WR @01=F5553D1A3402E5E026 + 6598.50ns INFO [00006600] Port=0 RD @07 + 6599.50ns INFO [00006601] * RD COMPARE * port=1 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2 + 6599.50ns INFO [00006601] Port=0 RD @00 + 6600.50ns INFO [00006602] * RD COMPARE * port=0 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1 + 6600.50ns INFO [00006602] Port=0 RD @05 + 6600.50ns INFO [00006602] Port=1 RD @02 + 6601.50ns INFO [00006603] * RD COMPARE * port=0 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2 + 6602.50ns INFO [00006604] * RD COMPARE * port=0 adr=05 act=F0F01F0D66E217C606 exp=F0F01F0D66E217C606 + 6602.50ns INFO [00006604] * RD COMPARE * port=1 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544 + 6602.50ns INFO [00006604] Port=1 RD @04 + 6603.50ns INFO [00006605] Port=1 RD @00 + 6604.50ns INFO [00006606] * RD COMPARE * port=1 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1 + 6605.50ns INFO [00006607] * RD COMPARE * port=1 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2 + 6607.50ns INFO [00006609] Port=0 RD @00 + 6608.50ns INFO [00006610] Port=0 RD @07 + 6608.50ns INFO [00006610] Port=1 RD @02 + 6609.50ns INFO [00006611] * RD COMPARE * port=0 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2 + 6609.50ns INFO [00006611] Port=0 WR @03=91226B44A6CEC1C5BD + 6609.50ns INFO [00006611] Port=0 RD @06 + 6610.50ns INFO [00006612] * RD COMPARE * port=0 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1 + 6610.50ns INFO [00006612] * RD COMPARE * port=1 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544 + 6610.50ns INFO [00006612] Port=0 WR @05=9918CC358B7BE1481C + 6610.50ns INFO [00006612] Port=1 RD @07 + 6611.50ns INFO [00006613] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6611.50ns INFO [00006613] Port=0 WR @02=9719B1FA36AACCD92F + 6612.50ns INFO [00006614] * RD COMPARE * port=1 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1 + 6613.50ns INFO [00006615] Port=1 RD @07 + 6614.50ns INFO [00006616] Port=0 RD @04 + 6614.50ns INFO [00006616] Port=1 RD @01 + 6615.50ns INFO [00006617] * RD COMPARE * port=1 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1 + 6615.50ns INFO [00006617] Port=0 RD @07 + 6616.50ns INFO [00006618] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1 + 6616.50ns INFO [00006618] * RD COMPARE * port=1 adr=01 act=F5553D1A3402E5E026 exp=F5553D1A3402E5E026 + 6616.50ns INFO [00006618] Port=0 WR @07=D6CCAC765DCBB49B32 + 6616.50ns INFO [00006618] Port=1 RD @00 + 6617.50ns INFO [00006619] * RD COMPARE * port=0 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1 + 6617.50ns INFO [00006619] Port=0 RD @06 + 6618.50ns INFO [00006620] * RD COMPARE * port=1 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2 + 6618.50ns INFO [00006620] Port=0 WR @03=B919FFB437318F4CC8 + 6618.50ns INFO [00006620] Port=0 RD @02 + 6618.50ns INFO [00006620] Port=1 RD @06 + 6619.50ns INFO [00006621] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6619.50ns INFO [00006621] Port=0 WR @02=74BD65A0BEEB6ADD4D + 6619.50ns INFO [00006621] Port=1 RD @06 + 6620.50ns INFO [00006622] * RD COMPARE * port=0 adr=02 act=9719B1FA36AACCD92F exp=9719B1FA36AACCD92F + 6620.50ns INFO [00006622] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6620.50ns INFO [00006622] Port=1 RD @05 + 6621.50ns INFO [00006623] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6621.50ns INFO [00006623] Port=0 RD @05 + 6622.50ns INFO [00006624] * RD COMPARE * port=1 adr=05 act=9918CC358B7BE1481C exp=9918CC358B7BE1481C + 6622.50ns INFO [00006624] Port=1 RD @01 + 6623.50ns INFO [00006625] * RD COMPARE * port=0 adr=05 act=9918CC358B7BE1481C exp=9918CC358B7BE1481C + 6623.50ns INFO [00006625] Port=0 RD @02 + 6624.50ns INFO [00006626] * RD COMPARE * port=1 adr=01 act=F5553D1A3402E5E026 exp=F5553D1A3402E5E026 + 6624.50ns INFO [00006626] Port=1 RD @03 + 6625.50ns INFO [00006627] * RD COMPARE * port=0 adr=02 act=74BD65A0BEEB6ADD4D exp=74BD65A0BEEB6ADD4D + 6625.50ns INFO [00006627] Port=0 WR @02=15F4BA4B36EE127D6A + 6625.50ns INFO [00006627] Port=0 RD @01 + 6625.50ns INFO [00006627] Port=1 RD @03 + 6626.50ns INFO [00006628] * RD COMPARE * port=1 adr=03 act=B919FFB437318F4CC8 exp=B919FFB437318F4CC8 + 6626.50ns INFO [00006628] Port=0 RD @05 + 6627.50ns INFO [00006629] * RD COMPARE * port=0 adr=01 act=F5553D1A3402E5E026 exp=F5553D1A3402E5E026 + 6627.50ns INFO [00006629] * RD COMPARE * port=1 adr=03 act=B919FFB437318F4CC8 exp=B919FFB437318F4CC8 + 6627.50ns INFO [00006629] Port=0 WR @01=F7FFEB1FC8BD4A6328 + 6627.50ns INFO [00006629] Port=1 RD @07 + 6628.50ns INFO [00006630] * RD COMPARE * port=0 adr=05 act=9918CC358B7BE1481C exp=9918CC358B7BE1481C + 6628.50ns INFO [00006630] Port=0 WR @02=E042914D9AA8838D4D + 6628.50ns INFO [00006630] Port=0 RD @04 + 6628.50ns INFO [00006630] Port=1 RD @07 + 6629.50ns INFO [00006631] * RD COMPARE * port=1 adr=07 act=D6CCAC765DCBB49B32 exp=D6CCAC765DCBB49B32 + 6630.50ns INFO [00006632] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1 + 6630.50ns INFO [00006632] * RD COMPARE * port=1 adr=07 act=D6CCAC765DCBB49B32 exp=D6CCAC765DCBB49B32 + 6630.50ns INFO [00006632] Port=0 WR @05=26180575B55BDC7C6A + 6633.50ns INFO [00006635] Port=0 RD @04 + 6635.50ns INFO [00006637] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1 + 6635.50ns INFO [00006637] Port=0 RD @04 + 6636.50ns INFO [00006638] Port=0 RD @02 + 6637.50ns INFO [00006639] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1 + 6637.50ns INFO [00006639] Port=0 WR @01=C7BDB717635731D349 + 6638.50ns INFO [00006640] * RD COMPARE * port=0 adr=02 act=E042914D9AA8838D4D exp=E042914D9AA8838D4D + 6640.50ns INFO [00006642] Port=0 WR @03=03587FE0398304092E + 6640.50ns INFO [00006642] Port=1 RD @07 + 6641.50ns INFO [00006643] Port=0 WR @07=08854AD1969E290693 + 6642.50ns INFO [00006644] * RD COMPARE * port=1 adr=07 act=D6CCAC765DCBB49B32 exp=D6CCAC765DCBB49B32 + 6642.50ns INFO [00006644] Port=0 WR @07=83FD43014C2E94920A + 6642.50ns INFO [00006644] Port=1 RD @02 + 6643.50ns INFO [00006645] Port=0 RD @03 + 6643.50ns INFO [00006645] Port=1 RD @00 + 6644.50ns INFO [00006646] * RD COMPARE * port=1 adr=02 act=E042914D9AA8838D4D exp=E042914D9AA8838D4D + 6645.50ns INFO [00006647] * RD COMPARE * port=0 adr=03 act=03587FE0398304092E exp=03587FE0398304092E + 6645.50ns INFO [00006647] * RD COMPARE * port=1 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2 + 6645.50ns INFO [00006647] Port=0 WR @03=751937B1D4323F8EDB + 6645.50ns INFO [00006647] Port=1 RD @00 + 6646.50ns INFO [00006648] Port=0 RD @02 + 6646.50ns INFO [00006648] Port=1 RD @05 + 6647.50ns INFO [00006649] * RD COMPARE * port=1 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2 + 6647.50ns INFO [00006649] Port=0 RD @04 + 6648.50ns INFO [00006650] * RD COMPARE * port=0 adr=02 act=E042914D9AA8838D4D exp=E042914D9AA8838D4D + 6648.50ns INFO [00006650] * RD COMPARE * port=1 adr=05 act=26180575B55BDC7C6A exp=26180575B55BDC7C6A + 6648.50ns INFO [00006650] Port=0 WR @02=2DD702128EEE6EA55F + 6648.50ns INFO [00006650] Port=0 RD @04 + 6648.50ns INFO [00006650] Port=1 RD @04 + 6649.50ns INFO [00006651] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1 + 6649.50ns INFO [00006651] Port=0 WR @01=293F8E1DDDAEFD1A37 + 6650.50ns INFO [00006652] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1 + 6650.50ns INFO [00006652] * RD COMPARE * port=1 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1 + 6650.50ns INFO [00006652] Port=0 RD @01 + 6650.50ns INFO [00006652] Port=1 RD @06 + 6651.50ns INFO [00006653] Port=0 RD @06 + 6651.50ns INFO [00006653] Port=1 RD @01 + 6652.50ns INFO [00006654] * RD COMPARE * port=0 adr=01 act=293F8E1DDDAEFD1A37 exp=293F8E1DDDAEFD1A37 + 6652.50ns INFO [00006654] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6652.50ns INFO [00006654] Port=0 WR @00=58A63B3BB376B3C0A3 + 6652.50ns INFO [00006654] Port=1 RD @06 + 6653.50ns INFO [00006655] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6653.50ns INFO [00006655] * RD COMPARE * port=1 adr=01 act=293F8E1DDDAEFD1A37 exp=293F8E1DDDAEFD1A37 + 6653.50ns INFO [00006655] Port=0 WR @07=51E7EDE5FAA9E7876A + 6653.50ns INFO [00006655] Port=1 RD @04 + 6654.50ns INFO [00006656] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6655.50ns INFO [00006657] * RD COMPARE * port=1 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1 + 6655.50ns INFO [00006657] Port=1 RD @03 + 6656.50ns INFO [00006658] Port=0 RD @01 + 6657.50ns INFO [00006659] * RD COMPARE * port=1 adr=03 act=751937B1D4323F8EDB exp=751937B1D4323F8EDB + 6657.50ns INFO [00006659] Port=0 RD @01 + 6658.50ns INFO [00006660] * RD COMPARE * port=0 adr=01 act=293F8E1DDDAEFD1A37 exp=293F8E1DDDAEFD1A37 + 6659.50ns INFO [00006661] * RD COMPARE * port=0 adr=01 act=293F8E1DDDAEFD1A37 exp=293F8E1DDDAEFD1A37 + 6659.50ns INFO [00006661] Port=0 WR @00=CAA5C16B4548822B4F + 6660.50ns INFO [00006662] Port=0 RD @00 + 6661.50ns INFO [00006663] Port=1 RD @01 + 6662.50ns INFO [00006664] * RD COMPARE * port=0 adr=00 act=CAA5C16B4548822B4F exp=CAA5C16B4548822B4F + 6662.50ns INFO [00006664] Port=1 RD @07 + 6663.50ns INFO [00006665] * RD COMPARE * port=1 adr=01 act=293F8E1DDDAEFD1A37 exp=293F8E1DDDAEFD1A37 + 6663.50ns INFO [00006665] Port=0 WR @01=A1DEBE0B5E572D88EB + 6663.50ns INFO [00006665] Port=1 RD @07 + 6664.50ns INFO [00006666] * RD COMPARE * port=1 adr=07 act=51E7EDE5FAA9E7876A exp=51E7EDE5FAA9E7876A + 6664.50ns INFO [00006666] Port=0 WR @02=19DA58CC6B71957175 + 6664.50ns INFO [00006666] Port=0 RD @06 + 6664.50ns INFO [00006666] Port=1 RD @06 + 6665.50ns INFO [00006667] * RD COMPARE * port=1 adr=07 act=51E7EDE5FAA9E7876A exp=51E7EDE5FAA9E7876A + 6665.50ns INFO [00006667] Port=0 WR @07=2400216D75046E8A1E + 6665.50ns INFO [00006667] Port=1 RD @01 + 6666.50ns INFO [00006668] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6666.50ns INFO [00006668] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6666.50ns INFO [00006668] Port=0 WR @03=1DACD6F7A765455FFE + 6666.50ns INFO [00006668] Port=1 RD @06 + 6667.50ns INFO [00006669] * RD COMPARE * port=1 adr=01 act=A1DEBE0B5E572D88EB exp=A1DEBE0B5E572D88EB + 6667.50ns INFO [00006669] Port=0 WR @07=03B61E1C91C80BCA3A + 6668.50ns INFO [00006670] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C + 6668.50ns INFO [00006670] Port=0 WR @04=2B8033CDBD1DEAA95B + 6669.50ns INFO [00006671] Port=1 RD @02 + 6670.50ns INFO [00006672] Port=0 WR @04=F88343FB9F12333458 + 6670.50ns INFO [00006672] Port=1 RD @05 + 6671.50ns INFO [00006673] * RD COMPARE * port=1 adr=02 act=19DA58CC6B71957175 exp=19DA58CC6B71957175 + 6671.50ns INFO [00006673] Port=1 RD @05 + 6672.50ns INFO [00006674] * RD COMPARE * port=1 adr=05 act=26180575B55BDC7C6A exp=26180575B55BDC7C6A + 6672.50ns INFO [00006674] Port=0 WR @04=35CCB006679D1C9149 + 6673.50ns INFO [00006675] * RD COMPARE * port=1 adr=05 act=26180575B55BDC7C6A exp=26180575B55BDC7C6A + 6673.50ns INFO [00006675] Port=0 WR @06=F27ABBF6D9CFBCD574 + 6673.50ns INFO [00006675] Port=0 RD @04 + 6674.50ns INFO [00006676] Port=1 RD @03 + 6675.50ns INFO [00006677] * RD COMPARE * port=0 adr=04 act=35CCB006679D1C9149 exp=35CCB006679D1C9149 + 6675.50ns INFO [00006677] Port=0 RD @01 + 6675.50ns INFO [00006677] Port=1 RD @04 + 6676.50ns INFO [00006678] * RD COMPARE * port=1 adr=03 act=1DACD6F7A765455FFE exp=1DACD6F7A765455FFE + 6676.50ns INFO [00006678] Port=0 WR @01=FB1CD38302230E4CDA + 6676.50ns INFO [00006678] Port=0 RD @04 + 6676.50ns INFO [00006678] Port=1 RD @02 + 6677.50ns INFO [00006679] * RD COMPARE * port=0 adr=01 act=A1DEBE0B5E572D88EB exp=A1DEBE0B5E572D88EB + 6677.50ns INFO [00006679] * RD COMPARE * port=1 adr=04 act=35CCB006679D1C9149 exp=35CCB006679D1C9149 + 6678.50ns INFO [00006680] * RD COMPARE * port=0 adr=04 act=35CCB006679D1C9149 exp=35CCB006679D1C9149 + 6678.50ns INFO [00006680] * RD COMPARE * port=1 adr=02 act=19DA58CC6B71957175 exp=19DA58CC6B71957175 + 6679.50ns INFO [00006681] Port=0 WR @03=D543BAFFBA847F2FDD + 6679.50ns INFO [00006681] Port=0 RD @01 + 6680.50ns INFO [00006682] Port=0 WR @04=2706D06BD2E2CC9291 + 6680.50ns INFO [00006682] Port=1 RD @07 + 6681.50ns INFO [00006683] * RD COMPARE * port=0 adr=01 act=FB1CD38302230E4CDA exp=FB1CD38302230E4CDA + 6681.50ns INFO [00006683] Port=0 WR @06=5213721CA382E91A9D + 6681.50ns INFO [00006683] Port=0 RD @02 + 6681.50ns INFO [00006683] Port=1 RD @04 + 6682.50ns INFO [00006684] * RD COMPARE * port=1 adr=07 act=03B61E1C91C80BCA3A exp=03B61E1C91C80BCA3A + 6682.50ns INFO [00006684] Port=0 WR @06=65F9F5F62A6AF9C855 + 6682.50ns INFO [00006684] Port=1 RD @01 + 6683.50ns INFO [00006685] * RD COMPARE * port=0 adr=02 act=19DA58CC6B71957175 exp=19DA58CC6B71957175 + 6683.50ns INFO [00006685] * RD COMPARE * port=1 adr=04 act=2706D06BD2E2CC9291 exp=2706D06BD2E2CC9291 + 6683.50ns INFO [00006685] Port=0 WR @04=4E7005DB8A89E5795A + 6683.50ns INFO [00006685] Port=0 RD @02 + 6683.50ns INFO [00006685] Port=1 RD @02 + 6684.50ns INFO [00006686] * RD COMPARE * port=1 adr=01 act=FB1CD38302230E4CDA exp=FB1CD38302230E4CDA + 6685.50ns INFO [00006687] * RD COMPARE * port=0 adr=02 act=19DA58CC6B71957175 exp=19DA58CC6B71957175 + 6685.50ns INFO [00006687] * RD COMPARE * port=1 adr=02 act=19DA58CC6B71957175 exp=19DA58CC6B71957175 + 6685.50ns INFO [00006687] Port=0 RD @03 + 6687.50ns INFO [00006689] * RD COMPARE * port=0 adr=03 act=D543BAFFBA847F2FDD exp=D543BAFFBA847F2FDD + 6688.50ns INFO [00006690] Port=1 RD @07 + 6689.50ns INFO [00006691] Port=0 WR @05=3F90A2A407A86B603A + 6690.50ns INFO [00006692] * RD COMPARE * port=1 adr=07 act=03B61E1C91C80BCA3A exp=03B61E1C91C80BCA3A + 6690.50ns INFO [00006692] Port=0 WR @05=1BCF11F6A1E2F89446 + 6690.50ns INFO [00006692] Port=1 RD @03 + 6691.50ns INFO [00006693] Port=0 WR @06=A584A057E4551FAA51 + 6691.50ns INFO [00006693] Port=0 RD @03 + 6692.50ns INFO [00006694] * RD COMPARE * port=1 adr=03 act=D543BAFFBA847F2FDD exp=D543BAFFBA847F2FDD + 6693.50ns INFO [00006695] * RD COMPARE * port=0 adr=03 act=D543BAFFBA847F2FDD exp=D543BAFFBA847F2FDD + 6693.50ns INFO [00006695] Port=0 RD @04 + 6694.50ns INFO [00006696] Port=1 RD @06 + 6695.50ns INFO [00006697] * RD COMPARE * port=0 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A + 6696.50ns INFO [00006698] * RD COMPARE * port=1 adr=06 act=A584A057E4551FAA51 exp=A584A057E4551FAA51 + 6696.50ns INFO [00006698] Port=0 WR @01=989DCBFC94F4107203 + 6696.50ns INFO [00006698] Port=0 RD @03 + 6697.50ns INFO [00006699] Port=0 WR @02=64C674F8CAEB64D945 + 6697.50ns INFO [00006699] Port=0 RD @05 + 6697.50ns INFO [00006699] Port=1 RD @00 + 6698.00ns INFO [00006700] [00006700] ...tick... + 6698.50ns INFO [00006700] * RD COMPARE * port=0 adr=03 act=D543BAFFBA847F2FDD exp=D543BAFFBA847F2FDD + 6698.50ns INFO [00006700] Port=1 RD @01 + 6699.50ns INFO [00006701] * RD COMPARE * port=0 adr=05 act=1BCF11F6A1E2F89446 exp=1BCF11F6A1E2F89446 + 6699.50ns INFO [00006701] * RD COMPARE * port=1 adr=00 act=CAA5C16B4548822B4F exp=CAA5C16B4548822B4F + 6699.50ns INFO [00006701] Port=1 RD @04 + 6700.50ns INFO [00006702] * RD COMPARE * port=1 adr=01 act=989DCBFC94F4107203 exp=989DCBFC94F4107203 + 6700.50ns INFO [00006702] Port=0 WR @03=FACD0D0455025B6D42 + 6701.50ns INFO [00006703] * RD COMPARE * port=1 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A + 6701.50ns INFO [00006703] Port=0 WR @01=0ECC6BE9230F664A17 + 6701.50ns INFO [00006703] Port=0 RD @06 + 6701.50ns INFO [00006703] Port=1 RD @03 + 6702.50ns INFO [00006704] Port=0 RD @04 + 6702.50ns INFO [00006704] Port=1 RD @06 + 6703.50ns INFO [00006705] * RD COMPARE * port=0 adr=06 act=A584A057E4551FAA51 exp=A584A057E4551FAA51 + 6703.50ns INFO [00006705] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6703.50ns INFO [00006705] Port=0 WR @07=5A22D37AE34B314B99 + 6704.50ns INFO [00006706] * RD COMPARE * port=0 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A + 6704.50ns INFO [00006706] * RD COMPARE * port=1 adr=06 act=A584A057E4551FAA51 exp=A584A057E4551FAA51 + 6704.50ns INFO [00006706] Port=0 WR @01=86D50F3B18F867D7F7 + 6704.50ns INFO [00006706] Port=1 RD @04 + 6705.50ns INFO [00006707] Port=0 WR @01=0728139E651202E3A0 + 6705.50ns INFO [00006707] Port=1 RD @05 + 6706.50ns INFO [00006708] * RD COMPARE * port=1 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A + 6707.50ns INFO [00006709] * RD COMPARE * port=1 adr=05 act=1BCF11F6A1E2F89446 exp=1BCF11F6A1E2F89446 + 6707.50ns INFO [00006709] Port=0 WR @05=62F590837BAC9628FC + 6707.50ns INFO [00006709] Port=0 RD @02 + 6708.50ns INFO [00006710] Port=1 RD @01 + 6709.50ns INFO [00006711] * RD COMPARE * port=0 adr=02 act=64C674F8CAEB64D945 exp=64C674F8CAEB64D945 + 6709.50ns INFO [00006711] Port=0 RD @02 + 6709.50ns INFO [00006711] Port=1 RD @03 + 6710.50ns INFO [00006712] * RD COMPARE * port=1 adr=01 act=0728139E651202E3A0 exp=0728139E651202E3A0 + 6710.50ns INFO [00006712] Port=1 RD @07 + 6711.50ns INFO [00006713] * RD COMPARE * port=0 adr=02 act=64C674F8CAEB64D945 exp=64C674F8CAEB64D945 + 6711.50ns INFO [00006713] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6712.50ns INFO [00006714] * RD COMPARE * port=1 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99 + 6712.50ns INFO [00006714] Port=0 WR @06=62683BF4BF9A7BEEFE + 6712.50ns INFO [00006714] Port=1 RD @00 + 6714.50ns INFO [00006716] * RD COMPARE * port=1 adr=00 act=CAA5C16B4548822B4F exp=CAA5C16B4548822B4F + 6714.50ns INFO [00006716] Port=0 RD @01 + 6714.50ns INFO [00006716] Port=1 RD @00 + 6716.50ns INFO [00006718] * RD COMPARE * port=0 adr=01 act=0728139E651202E3A0 exp=0728139E651202E3A0 + 6716.50ns INFO [00006718] * RD COMPARE * port=1 adr=00 act=CAA5C16B4548822B4F exp=CAA5C16B4548822B4F + 6716.50ns INFO [00006718] Port=1 RD @07 + 6717.50ns INFO [00006719] Port=1 RD @06 + 6718.50ns INFO [00006720] * RD COMPARE * port=1 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99 + 6718.50ns INFO [00006720] Port=0 WR @05=F77985C4FCCDFD1700 + 6718.50ns INFO [00006720] Port=1 RD @04 + 6719.50ns INFO [00006721] * RD COMPARE * port=1 adr=06 act=62683BF4BF9A7BEEFE exp=62683BF4BF9A7BEEFE + 6719.50ns INFO [00006721] Port=1 RD @04 + 6720.50ns INFO [00006722] * RD COMPARE * port=1 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A + 6720.50ns INFO [00006722] Port=0 RD @05 + 6720.50ns INFO [00006722] Port=1 RD @01 + 6721.50ns INFO [00006723] * RD COMPARE * port=1 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A + 6721.50ns INFO [00006723] Port=0 WR @04=27C9D96C4819D128F1 + 6722.50ns INFO [00006724] * RD COMPARE * port=0 adr=05 act=F77985C4FCCDFD1700 exp=F77985C4FCCDFD1700 + 6722.50ns INFO [00006724] * RD COMPARE * port=1 adr=01 act=0728139E651202E3A0 exp=0728139E651202E3A0 + 6723.50ns INFO [00006725] Port=0 RD @00 + 6725.50ns INFO [00006727] * RD COMPARE * port=0 adr=00 act=CAA5C16B4548822B4F exp=CAA5C16B4548822B4F + 6725.50ns INFO [00006727] Port=0 RD @06 + 6726.50ns INFO [00006728] Port=0 WR @01=81B89A667D64B74774 + 6726.50ns INFO [00006728] Port=1 RD @07 + 6727.50ns INFO [00006729] * RD COMPARE * port=0 adr=06 act=62683BF4BF9A7BEEFE exp=62683BF4BF9A7BEEFE + 6727.50ns INFO [00006729] Port=0 RD @06 + 6728.50ns INFO [00006730] * RD COMPARE * port=1 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99 + 6728.50ns INFO [00006730] Port=0 WR @06=9B2C797D18D0A7CADE + 6729.50ns INFO [00006731] * RD COMPARE * port=0 adr=06 act=62683BF4BF9A7BEEFE exp=62683BF4BF9A7BEEFE + 6729.50ns INFO [00006731] Port=1 RD @02 + 6730.50ns INFO [00006732] Port=0 WR @00=AFEBC77DF62C0E0580 + 6731.50ns INFO [00006733] * RD COMPARE * port=1 adr=02 act=64C674F8CAEB64D945 exp=64C674F8CAEB64D945 + 6731.50ns INFO [00006733] Port=0 WR @05=C43AD6532EF7FA881B + 6731.50ns INFO [00006733] Port=1 RD @03 + 6732.50ns INFO [00006734] Port=0 RD @01 + 6733.50ns INFO [00006735] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6733.50ns INFO [00006735] Port=0 WR @06=B7A930016AFA51F483 + 6733.50ns INFO [00006735] Port=0 RD @00 + 6734.50ns INFO [00006736] * RD COMPARE * port=0 adr=01 act=81B89A667D64B74774 exp=81B89A667D64B74774 + 6734.50ns INFO [00006736] Port=0 RD @00 + 6734.50ns INFO [00006736] Port=1 RD @02 + 6735.50ns INFO [00006737] * RD COMPARE * port=0 adr=00 act=AFEBC77DF62C0E0580 exp=AFEBC77DF62C0E0580 + 6735.50ns INFO [00006737] Port=1 RD @05 + 6736.50ns INFO [00006738] * RD COMPARE * port=0 adr=00 act=AFEBC77DF62C0E0580 exp=AFEBC77DF62C0E0580 + 6736.50ns INFO [00006738] * RD COMPARE * port=1 adr=02 act=64C674F8CAEB64D945 exp=64C674F8CAEB64D945 + 6737.50ns INFO [00006739] * RD COMPARE * port=1 adr=05 act=C43AD6532EF7FA881B exp=C43AD6532EF7FA881B + 6737.50ns INFO [00006739] Port=1 RD @01 + 6739.50ns INFO [00006741] * RD COMPARE * port=1 adr=01 act=81B89A667D64B74774 exp=81B89A667D64B74774 + 6739.50ns INFO [00006741] Port=0 WR @04=78538256846231983E + 6739.50ns INFO [00006741] Port=0 RD @02 + 6739.50ns INFO [00006741] Port=1 RD @01 + 6740.50ns INFO [00006742] Port=1 RD @05 + 6741.50ns INFO [00006743] * RD COMPARE * port=0 adr=02 act=64C674F8CAEB64D945 exp=64C674F8CAEB64D945 + 6741.50ns INFO [00006743] * RD COMPARE * port=1 adr=01 act=81B89A667D64B74774 exp=81B89A667D64B74774 + 6741.50ns INFO [00006743] Port=1 RD @04 + 6742.50ns INFO [00006744] * RD COMPARE * port=1 adr=05 act=C43AD6532EF7FA881B exp=C43AD6532EF7FA881B + 6742.50ns INFO [00006744] Port=0 RD @01 + 6743.50ns INFO [00006745] * RD COMPARE * port=1 adr=04 act=78538256846231983E exp=78538256846231983E + 6744.50ns INFO [00006746] * RD COMPARE * port=0 adr=01 act=81B89A667D64B74774 exp=81B89A667D64B74774 + 6745.50ns INFO [00006747] Port=0 WR @01=29F5EF5019E741218D + 6746.50ns INFO [00006748] Port=0 WR @04=24C455EFE32AF9B6A2 + 6748.50ns INFO [00006750] Port=0 WR @04=A1777BE498A7C5CC8B + 6749.50ns INFO [00006751] Port=0 WR @00=D3D726581AC72EC0DE + 6749.50ns INFO [00006751] Port=0 RD @04 + 6749.50ns INFO [00006751] Port=1 RD @03 + 6750.50ns INFO [00006752] Port=1 RD @00 + 6751.50ns INFO [00006753] * RD COMPARE * port=0 adr=04 act=A1777BE498A7C5CC8B exp=A1777BE498A7C5CC8B + 6751.50ns INFO [00006753] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6751.50ns INFO [00006753] Port=1 RD @06 + 6752.50ns INFO [00006754] * RD COMPARE * port=1 adr=00 act=D3D726581AC72EC0DE exp=D3D726581AC72EC0DE + 6752.50ns INFO [00006754] Port=0 RD @03 + 6752.50ns INFO [00006754] Port=1 RD @06 + 6753.50ns INFO [00006755] * RD COMPARE * port=1 adr=06 act=B7A930016AFA51F483 exp=B7A930016AFA51F483 + 6753.50ns INFO [00006755] Port=0 WR @05=AB07C679D9C35FB4B4 + 6753.50ns INFO [00006755] Port=0 RD @04 + 6754.50ns INFO [00006756] * RD COMPARE * port=0 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6754.50ns INFO [00006756] * RD COMPARE * port=1 adr=06 act=B7A930016AFA51F483 exp=B7A930016AFA51F483 + 6754.50ns INFO [00006756] Port=0 RD @03 + 6755.50ns INFO [00006757] * RD COMPARE * port=0 adr=04 act=A1777BE498A7C5CC8B exp=A1777BE498A7C5CC8B + 6755.50ns INFO [00006757] Port=0 WR @02=DB87FF510FF9339103 + 6755.50ns INFO [00006757] Port=0 RD @04 + 6755.50ns INFO [00006757] Port=1 RD @07 + 6756.50ns INFO [00006758] * RD COMPARE * port=0 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6756.50ns INFO [00006758] Port=0 WR @01=50D333013E83F05050 + 6756.50ns INFO [00006758] Port=0 RD @06 + 6756.50ns INFO [00006758] Port=1 RD @07 + 6757.50ns INFO [00006759] * RD COMPARE * port=0 adr=04 act=A1777BE498A7C5CC8B exp=A1777BE498A7C5CC8B + 6757.50ns INFO [00006759] * RD COMPARE * port=1 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99 + 6757.50ns INFO [00006759] Port=0 WR @01=CC4C235735597E2677 + 6758.50ns INFO [00006760] * RD COMPARE * port=0 adr=06 act=B7A930016AFA51F483 exp=B7A930016AFA51F483 + 6758.50ns INFO [00006760] * RD COMPARE * port=1 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99 + 6758.50ns INFO [00006760] Port=1 RD @01 + 6759.50ns INFO [00006761] Port=0 WR @02=46BBC6EDF05F5A8A69 + 6759.50ns INFO [00006761] Port=0 RD @06 + 6760.50ns INFO [00006762] * RD COMPARE * port=1 adr=01 act=CC4C235735597E2677 exp=CC4C235735597E2677 + 6760.50ns INFO [00006762] Port=0 WR @02=AB01B499BC34AB9930 + 6760.50ns INFO [00006762] Port=1 RD @03 + 6761.50ns INFO [00006763] * RD COMPARE * port=0 adr=06 act=B7A930016AFA51F483 exp=B7A930016AFA51F483 + 6762.50ns INFO [00006764] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6762.50ns INFO [00006764] Port=0 WR @00=6F478C1719C4CA04CE + 6762.50ns INFO [00006764] Port=0 RD @07 + 6763.50ns INFO [00006765] Port=0 WR @07=5EFB7033CD7C667199 + 6763.50ns INFO [00006765] Port=0 RD @05 + 6764.50ns INFO [00006766] * RD COMPARE * port=0 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99 + 6764.50ns INFO [00006766] Port=0 WR @00=DA8645AE0439414C23 + 6764.50ns INFO [00006766] Port=1 RD @05 + 6765.50ns INFO [00006767] * RD COMPARE * port=0 adr=05 act=AB07C679D9C35FB4B4 exp=AB07C679D9C35FB4B4 + 6765.50ns INFO [00006767] Port=0 WR @05=CD6CDEF9C927DAB8B7 + 6765.50ns INFO [00006767] Port=1 RD @07 + 6766.50ns INFO [00006768] * RD COMPARE * port=1 adr=05 act=AB07C679D9C35FB4B4 exp=AB07C679D9C35FB4B4 + 6766.50ns INFO [00006768] Port=0 WR @06=7B383ADD9C50DBDE68 + 6766.50ns INFO [00006768] Port=0 RD @04 + 6767.50ns INFO [00006769] * RD COMPARE * port=1 adr=07 act=5EFB7033CD7C667199 exp=5EFB7033CD7C667199 + 6767.50ns INFO [00006769] Port=1 RD @03 + 6768.50ns INFO [00006770] * RD COMPARE * port=0 adr=04 act=A1777BE498A7C5CC8B exp=A1777BE498A7C5CC8B + 6768.50ns INFO [00006770] Port=0 RD @04 + 6768.50ns INFO [00006770] Port=1 RD @06 + 6769.50ns INFO [00006771] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6770.50ns INFO [00006772] * RD COMPARE * port=0 adr=04 act=A1777BE498A7C5CC8B exp=A1777BE498A7C5CC8B + 6770.50ns INFO [00006772] * RD COMPARE * port=1 adr=06 act=7B383ADD9C50DBDE68 exp=7B383ADD9C50DBDE68 + 6770.50ns INFO [00006772] Port=0 RD @01 + 6770.50ns INFO [00006772] Port=1 RD @02 + 6772.50ns INFO [00006774] * RD COMPARE * port=0 adr=01 act=CC4C235735597E2677 exp=CC4C235735597E2677 + 6772.50ns INFO [00006774] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6772.50ns INFO [00006774] Port=0 RD @00 + 6772.50ns INFO [00006774] Port=1 RD @06 + 6773.50ns INFO [00006775] Port=0 WR @04=29D8F3CD7AAEAB77A5 + 6773.50ns INFO [00006775] Port=1 RD @02 + 6774.50ns INFO [00006776] * RD COMPARE * port=0 adr=00 act=DA8645AE0439414C23 exp=DA8645AE0439414C23 + 6774.50ns INFO [00006776] * RD COMPARE * port=1 adr=06 act=7B383ADD9C50DBDE68 exp=7B383ADD9C50DBDE68 + 6775.50ns INFO [00006777] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6775.50ns INFO [00006777] Port=1 RD @07 + 6776.50ns INFO [00006778] Port=0 RD @03 + 6777.50ns INFO [00006779] * RD COMPARE * port=1 adr=07 act=5EFB7033CD7C667199 exp=5EFB7033CD7C667199 + 6777.50ns INFO [00006779] Port=0 RD @00 + 6777.50ns INFO [00006779] Port=1 RD @01 + 6778.50ns INFO [00006780] * RD COMPARE * port=0 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6778.50ns INFO [00006780] Port=1 RD @02 + 6779.50ns INFO [00006781] * RD COMPARE * port=0 adr=00 act=DA8645AE0439414C23 exp=DA8645AE0439414C23 + 6779.50ns INFO [00006781] * RD COMPARE * port=1 adr=01 act=CC4C235735597E2677 exp=CC4C235735597E2677 + 6779.50ns INFO [00006781] Port=1 RD @05 + 6780.50ns INFO [00006782] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6780.50ns INFO [00006782] Port=0 WR @01=A73D712B1ABF5025AE + 6780.50ns INFO [00006782] Port=0 RD @04 + 6780.50ns INFO [00006782] Port=1 RD @04 + 6781.50ns INFO [00006783] * RD COMPARE * port=1 adr=05 act=CD6CDEF9C927DAB8B7 exp=CD6CDEF9C927DAB8B7 + 6781.50ns INFO [00006783] Port=0 RD @06 + 6782.50ns INFO [00006784] * RD COMPARE * port=0 adr=04 act=29D8F3CD7AAEAB77A5 exp=29D8F3CD7AAEAB77A5 + 6782.50ns INFO [00006784] * RD COMPARE * port=1 adr=04 act=29D8F3CD7AAEAB77A5 exp=29D8F3CD7AAEAB77A5 + 6782.50ns INFO [00006784] Port=1 RD @05 + 6783.50ns INFO [00006785] * RD COMPARE * port=0 adr=06 act=7B383ADD9C50DBDE68 exp=7B383ADD9C50DBDE68 + 6783.50ns INFO [00006785] Port=0 WR @00=22C22CB2DEC7A03399 + 6784.50ns INFO [00006786] * RD COMPARE * port=1 adr=05 act=CD6CDEF9C927DAB8B7 exp=CD6CDEF9C927DAB8B7 + 6784.50ns INFO [00006786] Port=0 WR @00=6631DE534B36F521C7 + 6785.50ns INFO [00006787] Port=0 RD @04 + 6785.50ns INFO [00006787] Port=1 RD @03 + 6786.50ns INFO [00006788] Port=1 RD @05 + 6787.50ns INFO [00006789] * RD COMPARE * port=0 adr=04 act=29D8F3CD7AAEAB77A5 exp=29D8F3CD7AAEAB77A5 + 6787.50ns INFO [00006789] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6787.50ns INFO [00006789] Port=0 WR @04=08CB6A31802A6EDB9E + 6787.50ns INFO [00006789] Port=1 RD @03 + 6788.50ns INFO [00006790] * RD COMPARE * port=1 adr=05 act=CD6CDEF9C927DAB8B7 exp=CD6CDEF9C927DAB8B7 + 6788.50ns INFO [00006790] Port=0 WR @05=709F977BA4F963D762 + 6788.50ns INFO [00006790] Port=1 RD @06 + 6789.50ns INFO [00006791] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6789.50ns INFO [00006791] Port=0 RD @05 + 6789.50ns INFO [00006791] Port=1 RD @05 + 6790.50ns INFO [00006792] * RD COMPARE * port=1 adr=06 act=7B383ADD9C50DBDE68 exp=7B383ADD9C50DBDE68 + 6790.50ns INFO [00006792] Port=0 WR @07=5F1475FC08ED393930 + 6791.50ns INFO [00006793] * RD COMPARE * port=0 adr=05 act=709F977BA4F963D762 exp=709F977BA4F963D762 + 6791.50ns INFO [00006793] * RD COMPARE * port=1 adr=05 act=709F977BA4F963D762 exp=709F977BA4F963D762 + 6791.50ns INFO [00006793] Port=0 WR @05=B5F0A0AD62E5D93347 + 6791.50ns INFO [00006793] Port=1 RD @06 + 6793.50ns INFO [00006795] * RD COMPARE * port=1 adr=06 act=7B383ADD9C50DBDE68 exp=7B383ADD9C50DBDE68 + 6793.50ns INFO [00006795] Port=0 WR @01=208095CC6AEBB3F1F3 + 6793.50ns INFO [00006795] Port=1 RD @04 + 6794.50ns INFO [00006796] Port=0 WR @00=674E491429951FD11B + 6794.50ns INFO [00006796] Port=0 RD @04 + 6794.50ns INFO [00006796] Port=1 RD @03 + 6795.50ns INFO [00006797] * RD COMPARE * port=1 adr=04 act=08CB6A31802A6EDB9E exp=08CB6A31802A6EDB9E + 6795.50ns INFO [00006797] Port=0 WR @05=9F3752CE6155818064 + 6796.50ns INFO [00006798] * RD COMPARE * port=0 adr=04 act=08CB6A31802A6EDB9E exp=08CB6A31802A6EDB9E + 6796.50ns INFO [00006798] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6796.50ns INFO [00006798] Port=0 WR @01=0DEA6D50763E388C7C + 6796.50ns INFO [00006798] Port=0 RD @03 + 6796.50ns INFO [00006798] Port=1 RD @04 + 6798.00ns INFO [00006800] [00006800] ...tick... + 6798.50ns INFO [00006800] * RD COMPARE * port=0 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6798.50ns INFO [00006800] * RD COMPARE * port=1 adr=04 act=08CB6A31802A6EDB9E exp=08CB6A31802A6EDB9E + 6798.50ns INFO [00006800] Port=1 RD @03 + 6799.50ns INFO [00006801] Port=0 WR @06=721028E7F9E6863A41 + 6800.50ns INFO [00006802] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42 + 6800.50ns INFO [00006802] Port=0 RD @05 + 6802.50ns INFO [00006804] * RD COMPARE * port=0 adr=05 act=9F3752CE6155818064 exp=9F3752CE6155818064 + 6802.50ns INFO [00006804] Port=0 RD @00 + 6804.50ns INFO [00006806] * RD COMPARE * port=0 adr=00 act=674E491429951FD11B exp=674E491429951FD11B + 6804.50ns INFO [00006806] Port=1 RD @02 + 6805.50ns INFO [00006807] Port=0 RD @01 + 6805.50ns INFO [00006807] Port=1 RD @06 + 6806.50ns INFO [00006808] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6806.50ns INFO [00006808] Port=0 RD @06 + 6806.50ns INFO [00006808] Port=1 RD @07 + 6807.50ns INFO [00006809] * RD COMPARE * port=0 adr=01 act=0DEA6D50763E388C7C exp=0DEA6D50763E388C7C + 6807.50ns INFO [00006809] * RD COMPARE * port=1 adr=06 act=721028E7F9E6863A41 exp=721028E7F9E6863A41 + 6807.50ns INFO [00006809] Port=0 WR @00=6AC5BF35D8AE59FD02 + 6807.50ns INFO [00006809] Port=1 RD @04 + 6808.50ns INFO [00006810] * RD COMPARE * port=0 adr=06 act=721028E7F9E6863A41 exp=721028E7F9E6863A41 + 6808.50ns INFO [00006810] * RD COMPARE * port=1 adr=07 act=5F1475FC08ED393930 exp=5F1475FC08ED393930 + 6808.50ns INFO [00006810] Port=0 RD @07 + 6809.50ns INFO [00006811] * RD COMPARE * port=1 adr=04 act=08CB6A31802A6EDB9E exp=08CB6A31802A6EDB9E + 6809.50ns INFO [00006811] Port=0 RD @00 + 6810.50ns INFO [00006812] * RD COMPARE * port=0 adr=07 act=5F1475FC08ED393930 exp=5F1475FC08ED393930 + 6810.50ns INFO [00006812] Port=0 WR @03=54679FBA8077E08572 + 6810.50ns INFO [00006812] Port=0 RD @01 + 6810.50ns INFO [00006812] Port=1 RD @02 + 6811.50ns INFO [00006813] * RD COMPARE * port=0 adr=00 act=6AC5BF35D8AE59FD02 exp=6AC5BF35D8AE59FD02 + 6811.50ns INFO [00006813] Port=1 RD @04 + 6812.50ns INFO [00006814] * RD COMPARE * port=0 adr=01 act=0DEA6D50763E388C7C exp=0DEA6D50763E388C7C + 6812.50ns INFO [00006814] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6812.50ns INFO [00006814] Port=1 RD @01 + 6813.50ns INFO [00006815] * RD COMPARE * port=1 adr=04 act=08CB6A31802A6EDB9E exp=08CB6A31802A6EDB9E + 6813.50ns INFO [00006815] Port=0 WR @07=73B4798BEA13E899A0 + 6813.50ns INFO [00006815] Port=0 RD @06 + 6814.50ns INFO [00006816] * RD COMPARE * port=1 adr=01 act=0DEA6D50763E388C7C exp=0DEA6D50763E388C7C + 6814.50ns INFO [00006816] Port=0 WR @00=F104798CA15FF67E04 + 6815.50ns INFO [00006817] * RD COMPARE * port=0 adr=06 act=721028E7F9E6863A41 exp=721028E7F9E6863A41 + 6815.50ns INFO [00006817] Port=0 WR @06=44C24048D8DE2502D2 + 6815.50ns INFO [00006817] Port=0 RD @07 + 6816.50ns INFO [00006818] Port=0 WR @04=148D16459AA70E65F5 + 6816.50ns INFO [00006818] Port=0 RD @03 + 6817.50ns INFO [00006819] * RD COMPARE * port=0 adr=07 act=73B4798BEA13E899A0 exp=73B4798BEA13E899A0 + 6817.50ns INFO [00006819] Port=0 WR @00=E241CE496C8970257A + 6818.50ns INFO [00006820] * RD COMPARE * port=0 adr=03 act=54679FBA8077E08572 exp=54679FBA8077E08572 + 6818.50ns INFO [00006820] Port=0 RD @07 + 6819.50ns INFO [00006821] Port=0 RD @00 + 6819.50ns INFO [00006821] Port=1 RD @05 + 6820.50ns INFO [00006822] * RD COMPARE * port=0 adr=07 act=73B4798BEA13E899A0 exp=73B4798BEA13E899A0 + 6820.50ns INFO [00006822] Port=0 RD @05 + 6821.50ns INFO [00006823] * RD COMPARE * port=0 adr=00 act=E241CE496C8970257A exp=E241CE496C8970257A + 6821.50ns INFO [00006823] * RD COMPARE * port=1 adr=05 act=9F3752CE6155818064 exp=9F3752CE6155818064 + 6821.50ns INFO [00006823] Port=0 RD @01 + 6821.50ns INFO [00006823] Port=1 RD @01 + 6822.50ns INFO [00006824] * RD COMPARE * port=0 adr=05 act=9F3752CE6155818064 exp=9F3752CE6155818064 + 6822.50ns INFO [00006824] Port=0 WR @01=6151265892797481D6 + 6822.50ns INFO [00006824] Port=1 RD @00 + 6823.50ns INFO [00006825] * RD COMPARE * port=0 adr=01 act=0DEA6D50763E388C7C exp=0DEA6D50763E388C7C + 6823.50ns INFO [00006825] * RD COMPARE * port=1 adr=01 act=0DEA6D50763E388C7C exp=0DEA6D50763E388C7C + 6823.50ns INFO [00006825] Port=1 RD @02 + 6824.50ns INFO [00006826] * RD COMPARE * port=1 adr=00 act=E241CE496C8970257A exp=E241CE496C8970257A + 6824.50ns INFO [00006826] Port=0 WR @05=8CF9DB01CC1C965376 + 6824.50ns INFO [00006826] Port=1 RD @02 + 6825.50ns INFO [00006827] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6825.50ns INFO [00006827] Port=1 RD @01 + 6826.50ns INFO [00006828] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6827.50ns INFO [00006829] * RD COMPARE * port=1 adr=01 act=6151265892797481D6 exp=6151265892797481D6 + 6828.50ns INFO [00006830] Port=0 WR @05=21C7290AD1531AC2A2 + 6828.50ns INFO [00006830] Port=0 RD @03 + 6829.50ns INFO [00006831] Port=0 WR @01=6DD4CBB3443289F75B + 6829.50ns INFO [00006831] Port=0 RD @06 + 6829.50ns INFO [00006831] Port=1 RD @05 + 6830.50ns INFO [00006832] * RD COMPARE * port=0 adr=03 act=54679FBA8077E08572 exp=54679FBA8077E08572 + 6830.50ns INFO [00006832] Port=0 WR @03=0B0BB7396C707B7866 + 6830.50ns INFO [00006832] Port=0 RD @02 + 6831.50ns INFO [00006833] * RD COMPARE * port=0 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2 + 6831.50ns INFO [00006833] * RD COMPARE * port=1 adr=05 act=21C7290AD1531AC2A2 exp=21C7290AD1531AC2A2 + 6831.50ns INFO [00006833] Port=0 WR @03=C94782656628E88F0F + 6831.50ns INFO [00006833] Port=0 RD @01 + 6831.50ns INFO [00006833] Port=1 RD @01 + 6832.50ns INFO [00006834] * RD COMPARE * port=0 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6832.50ns INFO [00006834] Port=0 WR @01=C38115ED21D92D038D + 6832.50ns INFO [00006834] Port=1 RD @05 + 6833.50ns INFO [00006835] * RD COMPARE * port=0 adr=01 act=6DD4CBB3443289F75B exp=6DD4CBB3443289F75B + 6833.50ns INFO [00006835] * RD COMPARE * port=1 adr=01 act=6DD4CBB3443289F75B exp=6DD4CBB3443289F75B + 6833.50ns INFO [00006835] Port=0 WR @03=54DCEE1A6E99A42734 + 6834.50ns INFO [00006836] * RD COMPARE * port=1 adr=05 act=21C7290AD1531AC2A2 exp=21C7290AD1531AC2A2 + 6834.50ns INFO [00006836] Port=1 RD @01 + 6835.50ns INFO [00006837] Port=0 WR @07=1AB923DBBEEF07C2E5 + 6835.50ns INFO [00006837] Port=0 RD @03 + 6835.50ns INFO [00006837] Port=1 RD @06 + 6836.50ns INFO [00006838] * RD COMPARE * port=1 adr=01 act=C38115ED21D92D038D exp=C38115ED21D92D038D + 6837.50ns INFO [00006839] * RD COMPARE * port=0 adr=03 act=54DCEE1A6E99A42734 exp=54DCEE1A6E99A42734 + 6837.50ns INFO [00006839] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2 + 6837.50ns INFO [00006839] Port=1 RD @02 + 6839.50ns INFO [00006841] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6839.50ns INFO [00006841] Port=0 WR @04=3A95D65CE4D395D370 + 6840.50ns INFO [00006842] Port=1 RD @06 + 6841.50ns INFO [00006843] Port=1 RD @06 + 6842.50ns INFO [00006844] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2 + 6843.50ns INFO [00006845] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2 + 6843.50ns INFO [00006845] Port=0 WR @01=934EE0511655C44B6D + 6843.50ns INFO [00006845] Port=0 RD @07 + 6843.50ns INFO [00006845] Port=1 RD @06 + 6844.50ns INFO [00006846] Port=1 RD @04 + 6845.50ns INFO [00006847] * RD COMPARE * port=0 adr=07 act=1AB923DBBEEF07C2E5 exp=1AB923DBBEEF07C2E5 + 6845.50ns INFO [00006847] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2 + 6846.50ns INFO [00006848] * RD COMPARE * port=1 adr=04 act=3A95D65CE4D395D370 exp=3A95D65CE4D395D370 + 6846.50ns INFO [00006848] Port=0 RD @01 + 6846.50ns INFO [00006848] Port=1 RD @06 + 6848.50ns INFO [00006850] * RD COMPARE * port=0 adr=01 act=934EE0511655C44B6D exp=934EE0511655C44B6D + 6848.50ns INFO [00006850] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2 + 6848.50ns INFO [00006850] Port=0 WR @04=D5AE798F018753F9E2 + 6848.50ns INFO [00006850] Port=0 RD @07 + 6849.50ns INFO [00006851] Port=0 WR @05=0D11D507773A96B1BF + 6850.50ns INFO [00006852] * RD COMPARE * port=0 adr=07 act=1AB923DBBEEF07C2E5 exp=1AB923DBBEEF07C2E5 + 6850.50ns INFO [00006852] Port=1 RD @00 + 6851.50ns INFO [00006853] Port=0 WR @05=0321B30BFB58E86A33 + 6852.50ns INFO [00006854] * RD COMPARE * port=1 adr=00 act=E241CE496C8970257A exp=E241CE496C8970257A + 6853.50ns INFO [00006855] Port=0 WR @03=F2B73C3274A2696FDE + 6853.50ns INFO [00006855] Port=0 RD @01 + 6855.50ns INFO [00006857] * RD COMPARE * port=0 adr=01 act=934EE0511655C44B6D exp=934EE0511655C44B6D + 6855.50ns INFO [00006857] Port=0 WR @00=C888AA0283EBC3C2A9 + 6855.50ns INFO [00006857] Port=1 RD @04 + 6856.50ns INFO [00006858] Port=0 WR @04=CFBA22549A70C65DEE + 6856.50ns INFO [00006858] Port=1 RD @02 + 6857.50ns INFO [00006859] * RD COMPARE * port=1 adr=04 act=D5AE798F018753F9E2 exp=D5AE798F018753F9E2 + 6857.50ns INFO [00006859] Port=1 RD @02 + 6858.50ns INFO [00006860] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6859.50ns INFO [00006861] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6861.50ns INFO [00006863] Port=1 RD @04 + 6862.50ns INFO [00006864] Port=0 RD @02 + 6863.50ns INFO [00006865] * RD COMPARE * port=1 adr=04 act=CFBA22549A70C65DEE exp=CFBA22549A70C65DEE + 6864.50ns INFO [00006866] * RD COMPARE * port=0 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930 + 6865.50ns INFO [00006867] Port=1 RD @07 + 6867.50ns INFO [00006869] * RD COMPARE * port=1 adr=07 act=1AB923DBBEEF07C2E5 exp=1AB923DBBEEF07C2E5 + 6867.50ns INFO [00006869] Port=0 WR @03=6CFC34BE43D8540F3E + 6869.50ns INFO [00006871] Port=0 RD @04 + 6870.50ns INFO [00006872] Port=1 RD @05 + 6871.50ns INFO [00006873] * RD COMPARE * port=0 adr=04 act=CFBA22549A70C65DEE exp=CFBA22549A70C65DEE + 6871.50ns INFO [00006873] Port=0 WR @02=BE7E77598402664A31 + 6872.50ns INFO [00006874] * RD COMPARE * port=1 adr=05 act=0321B30BFB58E86A33 exp=0321B30BFB58E86A33 + 6874.50ns INFO [00006876] Port=0 WR @07=0E8EA80314F60134F6 + 6876.50ns INFO [00006878] Port=0 WR @01=D7FDBBB014161696BE + 6876.50ns INFO [00006878] Port=0 RD @07 + 6877.50ns INFO [00006879] Port=0 WR @03=02DE3AEEA215DD2DAD + 6877.50ns INFO [00006879] Port=0 RD @02 + 6878.50ns INFO [00006880] * RD COMPARE * port=0 adr=07 act=0E8EA80314F60134F6 exp=0E8EA80314F60134F6 + 6878.50ns INFO [00006880] Port=0 WR @07=4F0559707C1B4BEB43 + 6878.50ns INFO [00006880] Port=0 RD @01 + 6878.50ns INFO [00006880] Port=1 RD @06 + 6879.50ns INFO [00006881] * RD COMPARE * port=0 adr=02 act=BE7E77598402664A31 exp=BE7E77598402664A31 + 6879.50ns INFO [00006881] Port=0 WR @05=BC53ED04B0D150339C + 6879.50ns INFO [00006881] Port=1 RD @03 + 6880.50ns INFO [00006882] * RD COMPARE * port=0 adr=01 act=D7FDBBB014161696BE exp=D7FDBBB014161696BE + 6880.50ns INFO [00006882] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2 + 6880.50ns INFO [00006882] Port=0 WR @07=9997E78D83A916CB72 + 6880.50ns INFO [00006882] Port=1 RD @06 + 6881.50ns INFO [00006883] * RD COMPARE * port=1 adr=03 act=02DE3AEEA215DD2DAD exp=02DE3AEEA215DD2DAD + 6881.50ns INFO [00006883] Port=0 WR @01=004E3EF61ED89ECE66 + 6881.50ns INFO [00006883] Port=1 RD @03 + 6882.50ns INFO [00006884] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2 + 6882.50ns INFO [00006884] Port=0 WR @02=87075E707705A3DBBF + 6882.50ns INFO [00006884] Port=0 RD @07 + 6883.50ns INFO [00006885] * RD COMPARE * port=1 adr=03 act=02DE3AEEA215DD2DAD exp=02DE3AEEA215DD2DAD + 6883.50ns INFO [00006885] Port=1 RD @05 + 6884.50ns INFO [00006886] * RD COMPARE * port=0 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72 + 6885.50ns INFO [00006887] * RD COMPARE * port=1 adr=05 act=BC53ED04B0D150339C exp=BC53ED04B0D150339C + 6885.50ns INFO [00006887] Port=0 WR @04=4A40A43F9F1FBEE5D7 + 6885.50ns INFO [00006887] Port=0 RD @02 + 6885.50ns INFO [00006887] Port=1 RD @06 + 6886.50ns INFO [00006888] Port=0 WR @06=6DDE03289AAC579569 + 6886.50ns INFO [00006888] Port=0 RD @01 + 6886.50ns INFO [00006888] Port=1 RD @05 + 6887.50ns INFO [00006889] * RD COMPARE * port=0 adr=02 act=87075E707705A3DBBF exp=87075E707705A3DBBF + 6887.50ns INFO [00006889] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2 + 6888.50ns INFO [00006890] * RD COMPARE * port=0 adr=01 act=004E3EF61ED89ECE66 exp=004E3EF61ED89ECE66 + 6888.50ns INFO [00006890] * RD COMPARE * port=1 adr=05 act=BC53ED04B0D150339C exp=BC53ED04B0D150339C + 6888.50ns INFO [00006890] Port=0 WR @02=CD6AB5B3856E4576F4 + 6888.50ns INFO [00006890] Port=1 RD @01 + 6889.50ns INFO [00006891] Port=1 RD @01 + 6890.50ns INFO [00006892] * RD COMPARE * port=1 adr=01 act=004E3EF61ED89ECE66 exp=004E3EF61ED89ECE66 + 6891.50ns INFO [00006893] * RD COMPARE * port=1 adr=01 act=004E3EF61ED89ECE66 exp=004E3EF61ED89ECE66 + 6891.50ns INFO [00006893] Port=0 WR @01=41C48CE9400F2E2CB6 + 6891.50ns INFO [00006893] Port=0 RD @04 + 6892.50ns INFO [00006894] Port=1 RD @00 + 6893.50ns INFO [00006895] * RD COMPARE * port=0 adr=04 act=4A40A43F9F1FBEE5D7 exp=4A40A43F9F1FBEE5D7 + 6893.50ns INFO [00006895] Port=0 WR @04=FD6CAB6FEE17E0340C + 6893.50ns INFO [00006895] Port=0 RD @03 + 6893.50ns INFO [00006895] Port=1 RD @00 + 6894.50ns INFO [00006896] * RD COMPARE * port=1 adr=00 act=C888AA0283EBC3C2A9 exp=C888AA0283EBC3C2A9 + 6894.50ns INFO [00006896] Port=1 RD @04 + 6895.50ns INFO [00006897] * RD COMPARE * port=0 adr=03 act=02DE3AEEA215DD2DAD exp=02DE3AEEA215DD2DAD + 6895.50ns INFO [00006897] * RD COMPARE * port=1 adr=00 act=C888AA0283EBC3C2A9 exp=C888AA0283EBC3C2A9 + 6896.50ns INFO [00006898] * RD COMPARE * port=1 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C + 6896.50ns INFO [00006898] Port=0 WR @05=1764428A9CA70201C0 + 6896.50ns INFO [00006898] Port=0 RD @04 + 6898.00ns INFO [00006900] [00006900] ...tick... + 6898.50ns INFO [00006900] * RD COMPARE * port=0 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C + 6898.50ns INFO [00006900] Port=0 WR @05=D3E8A8EF6CD2AF1333 + 6899.50ns INFO [00006901] Port=0 WR @03=2BEAFD79CF6E61C2B7 + 6899.50ns INFO [00006901] Port=0 RD @04 + 6901.50ns INFO [00006903] * RD COMPARE * port=0 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C + 6901.50ns INFO [00006903] Port=1 RD @01 + 6903.50ns INFO [00006905] * RD COMPARE * port=1 adr=01 act=41C48CE9400F2E2CB6 exp=41C48CE9400F2E2CB6 + 6904.50ns INFO [00006906] Port=0 WR @00=835EA7CD22514ABD2A + 6905.50ns INFO [00006907] Port=0 RD @06 + 6906.50ns INFO [00006908] Port=1 RD @02 + 6907.50ns INFO [00006909] * RD COMPARE * port=0 adr=06 act=6DDE03289AAC579569 exp=6DDE03289AAC579569 + 6907.50ns INFO [00006909] Port=0 WR @01=7BEA4A3B6E5B4F4467 + 6907.50ns INFO [00006909] Port=0 RD @04 + 6908.50ns INFO [00006910] * RD COMPARE * port=1 adr=02 act=CD6AB5B3856E4576F4 exp=CD6AB5B3856E4576F4 + 6908.50ns INFO [00006910] Port=0 WR @03=26BA75AF6BACF52A73 + 6908.50ns INFO [00006910] Port=1 RD @02 + 6909.50ns INFO [00006911] * RD COMPARE * port=0 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C + 6909.50ns INFO [00006911] Port=0 RD @00 + 6909.50ns INFO [00006911] Port=1 RD @03 + 6910.50ns INFO [00006912] * RD COMPARE * port=1 adr=02 act=CD6AB5B3856E4576F4 exp=CD6AB5B3856E4576F4 + 6910.50ns INFO [00006912] Port=0 RD @02 + 6911.50ns INFO [00006913] * RD COMPARE * port=0 adr=00 act=835EA7CD22514ABD2A exp=835EA7CD22514ABD2A + 6911.50ns INFO [00006913] * RD COMPARE * port=1 adr=03 act=26BA75AF6BACF52A73 exp=26BA75AF6BACF52A73 + 6912.50ns INFO [00006914] * RD COMPARE * port=0 adr=02 act=CD6AB5B3856E4576F4 exp=CD6AB5B3856E4576F4 + 6914.50ns INFO [00006916] Port=0 WR @00=3ECFFD8C37F29A9435 + 6914.50ns INFO [00006916] Port=0 RD @07 + 6915.50ns INFO [00006917] Port=0 RD @07 + 6915.50ns INFO [00006917] Port=1 RD @03 + 6916.50ns INFO [00006918] * RD COMPARE * port=0 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72 + 6916.50ns INFO [00006918] Port=0 WR @02=EF0952CF8C9778E58F + 6916.50ns INFO [00006918] Port=1 RD @05 + 6917.50ns INFO [00006919] * RD COMPARE * port=0 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72 + 6917.50ns INFO [00006919] * RD COMPARE * port=1 adr=03 act=26BA75AF6BACF52A73 exp=26BA75AF6BACF52A73 + 6917.50ns INFO [00006919] Port=1 RD @04 + 6918.50ns INFO [00006920] * RD COMPARE * port=1 adr=05 act=D3E8A8EF6CD2AF1333 exp=D3E8A8EF6CD2AF1333 + 6918.50ns INFO [00006920] Port=0 WR @01=859C3797CB218917B0 + 6919.50ns INFO [00006921] * RD COMPARE * port=1 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C + 6919.50ns INFO [00006921] Port=0 WR @05=D3BD15C695BFEB1EA0 + 6919.50ns INFO [00006921] Port=0 RD @07 + 6921.50ns INFO [00006923] * RD COMPARE * port=0 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72 + 6921.50ns INFO [00006923] Port=1 RD @00 + 6923.50ns INFO [00006925] * RD COMPARE * port=1 adr=00 act=3ECFFD8C37F29A9435 exp=3ECFFD8C37F29A9435 + 6923.50ns INFO [00006925] Port=1 RD @04 + 6924.50ns INFO [00006926] Port=1 RD @06 + 6925.50ns INFO [00006927] * RD COMPARE * port=1 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C + 6925.50ns INFO [00006927] Port=0 WR @04=EEBB6AF932C37CF823 + 6926.50ns INFO [00006928] * RD COMPARE * port=1 adr=06 act=6DDE03289AAC579569 exp=6DDE03289AAC579569 + 6926.50ns INFO [00006928] Port=0 WR @02=E5C000AD81FD5EE8BD + 6927.50ns INFO [00006929] Port=0 RD @05 + 6928.50ns INFO [00006930] Port=0 WR @02=4571D248F4E35C3583 + 6928.50ns INFO [00006930] Port=0 RD @07 + 6929.50ns INFO [00006931] * RD COMPARE * port=0 adr=05 act=D3BD15C695BFEB1EA0 exp=D3BD15C695BFEB1EA0 + 6930.50ns INFO [00006932] * RD COMPARE * port=0 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72 + 6930.50ns INFO [00006932] Port=0 WR @04=DB24B98437B747A413 + 6930.50ns INFO [00006932] Port=0 RD @03 + 6931.50ns INFO [00006933] Port=0 RD @02 + 6932.50ns INFO [00006934] * RD COMPARE * port=0 adr=03 act=26BA75AF6BACF52A73 exp=26BA75AF6BACF52A73 + 6932.50ns INFO [00006934] Port=0 RD @00 + 6932.50ns INFO [00006934] Port=1 RD @07 + 6933.50ns INFO [00006935] * RD COMPARE * port=0 adr=02 act=4571D248F4E35C3583 exp=4571D248F4E35C3583 + 6933.50ns INFO [00006935] Port=1 RD @02 + 6934.50ns INFO [00006936] * RD COMPARE * port=0 adr=00 act=3ECFFD8C37F29A9435 exp=3ECFFD8C37F29A9435 + 6934.50ns INFO [00006936] * RD COMPARE * port=1 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72 + 6935.50ns INFO [00006937] * RD COMPARE * port=1 adr=02 act=4571D248F4E35C3583 exp=4571D248F4E35C3583 + 6935.50ns INFO [00006937] Port=0 WR @04=608C1963075048E25E + 6935.50ns INFO [00006937] Port=1 RD @06 + 6936.50ns INFO [00006938] Port=0 RD @00 + 6936.50ns INFO [00006938] Port=1 RD @06 + 6937.50ns INFO [00006939] * RD COMPARE * port=1 adr=06 act=6DDE03289AAC579569 exp=6DDE03289AAC579569 + 6937.50ns INFO [00006939] Port=0 RD @01 + 6938.50ns INFO [00006940] * RD COMPARE * port=0 adr=00 act=3ECFFD8C37F29A9435 exp=3ECFFD8C37F29A9435 + 6938.50ns INFO [00006940] * RD COMPARE * port=1 adr=06 act=6DDE03289AAC579569 exp=6DDE03289AAC579569 + 6938.50ns INFO [00006940] Port=0 RD @01 + 6939.50ns INFO [00006941] * RD COMPARE * port=0 adr=01 act=859C3797CB218917B0 exp=859C3797CB218917B0 + 6939.50ns INFO [00006941] Port=0 WR @00=7278F263220E137BE1 + 6939.50ns INFO [00006941] Port=0 RD @01 + 6940.50ns INFO [00006942] * RD COMPARE * port=0 adr=01 act=859C3797CB218917B0 exp=859C3797CB218917B0 + 6940.50ns INFO [00006942] Port=0 WR @05=9BED4F9C2EF789CBC4 + 6941.50ns INFO [00006943] * RD COMPARE * port=0 adr=01 act=859C3797CB218917B0 exp=859C3797CB218917B0 + 6941.50ns INFO [00006943] Port=0 WR @06=FFB745F16763EDD5C0 + 6942.50ns INFO [00006944] Port=0 RD @06 + 6943.50ns INFO [00006945] Port=0 RD @06 + 6944.50ns INFO [00006946] * RD COMPARE * port=0 adr=06 act=FFB745F16763EDD5C0 exp=FFB745F16763EDD5C0 + 6944.50ns INFO [00006946] Port=0 WR @00=952950C91E42EA88B0 + 6945.50ns INFO [00006947] * RD COMPARE * port=0 adr=06 act=FFB745F16763EDD5C0 exp=FFB745F16763EDD5C0 + 6945.50ns INFO [00006947] Port=1 RD @05 + 6946.50ns INFO [00006948] Port=1 RD @02 + 6947.50ns INFO [00006949] * RD COMPARE * port=1 adr=05 act=9BED4F9C2EF789CBC4 exp=9BED4F9C2EF789CBC4 + 6947.50ns INFO [00006949] Port=0 RD @00 + 6947.50ns INFO [00006949] Port=1 RD @05 + 6948.50ns INFO [00006950] * RD COMPARE * port=1 adr=02 act=4571D248F4E35C3583 exp=4571D248F4E35C3583 + 6948.50ns INFO [00006950] Port=0 WR @07=E2FC739A59EF0E81F2 + 6949.50ns INFO [00006951] * RD COMPARE * port=0 adr=00 act=952950C91E42EA88B0 exp=952950C91E42EA88B0 + 6949.50ns INFO [00006951] * RD COMPARE * port=1 adr=05 act=9BED4F9C2EF789CBC4 exp=9BED4F9C2EF789CBC4 + 6949.50ns INFO [00006951] Port=0 RD @03 + 6949.50ns INFO [00006951] Port=1 RD @04 + 6950.50ns INFO [00006952] Port=0 WR @03=F3AC366B41EE78C9D9 + 6950.50ns INFO [00006952] Port=1 RD @06 + 6951.50ns INFO [00006953] * RD COMPARE * port=0 adr=03 act=26BA75AF6BACF52A73 exp=26BA75AF6BACF52A73 + 6951.50ns INFO [00006953] * RD COMPARE * port=1 adr=04 act=608C1963075048E25E exp=608C1963075048E25E + 6951.50ns INFO [00006953] Port=1 RD @00 + 6952.50ns INFO [00006954] * RD COMPARE * port=1 adr=06 act=FFB745F16763EDD5C0 exp=FFB745F16763EDD5C0 + 6952.50ns INFO [00006954] Port=0 WR @03=4A2EA240B5A7DF905F + 6952.50ns INFO [00006954] Port=1 RD @07 + 6953.50ns INFO [00006955] * RD COMPARE * port=1 adr=00 act=952950C91E42EA88B0 exp=952950C91E42EA88B0 + 6953.50ns INFO [00006955] Port=0 WR @03=8D0D5D3149D55FEBCA + 6954.50ns INFO [00006956] * RD COMPARE * port=1 adr=07 act=E2FC739A59EF0E81F2 exp=E2FC739A59EF0E81F2 + 6954.50ns INFO [00006956] Port=0 RD @01 + 6954.50ns INFO [00006956] Port=1 RD @02 + 6955.50ns INFO [00006957] Port=0 WR @07=B7B9F0012246FAB7A7 + 6956.50ns INFO [00006958] * RD COMPARE * port=0 adr=01 act=859C3797CB218917B0 exp=859C3797CB218917B0 + 6956.50ns INFO [00006958] * RD COMPARE * port=1 adr=02 act=4571D248F4E35C3583 exp=4571D248F4E35C3583 + 6956.50ns INFO [00006958] Port=0 RD @04 + 6958.50ns INFO [00006960] * RD COMPARE * port=0 adr=04 act=608C1963075048E25E exp=608C1963075048E25E + 6958.50ns INFO [00006960] Port=0 RD @00 + 6959.50ns INFO [00006961] Port=0 RD @06 + 6960.50ns INFO [00006962] * RD COMPARE * port=0 adr=00 act=952950C91E42EA88B0 exp=952950C91E42EA88B0 + 6960.50ns INFO [00006962] Port=0 WR @04=AFD3198ACDBDF03A55 + 6961.50ns INFO [00006963] * RD COMPARE * port=0 adr=06 act=FFB745F16763EDD5C0 exp=FFB745F16763EDD5C0 + 6961.50ns INFO [00006963] Port=0 WR @03=26047D234BC9FD9DDC + 6962.50ns INFO [00006964] Port=0 WR @01=4B56DBA7803618EED6 + 6962.50ns INFO [00006964] Port=1 RD @00 + 6963.50ns INFO [00006965] Port=0 RD @05 + 6964.50ns INFO [00006966] * RD COMPARE * port=1 adr=00 act=952950C91E42EA88B0 exp=952950C91E42EA88B0 + 6964.50ns INFO [00006966] Port=0 WR @02=37AC6470EDA0AC26EF + 6965.50ns INFO [00006967] * RD COMPARE * port=0 adr=05 act=9BED4F9C2EF789CBC4 exp=9BED4F9C2EF789CBC4 + 6965.50ns INFO [00006967] Port=0 RD @05 + 6966.50ns INFO [00006968] Port=0 WR @07=A8D8B61BA15D5F71E2 + 6966.50ns INFO [00006968] Port=0 RD @01 + 6967.50ns INFO [00006969] * RD COMPARE * port=0 adr=05 act=9BED4F9C2EF789CBC4 exp=9BED4F9C2EF789CBC4 + 6967.50ns INFO [00006969] Port=0 WR @04=0F0C302EF3BE06D2D0 + 6968.50ns INFO [00006970] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 6968.50ns INFO [00006970] Port=1 RD @02 + 6970.50ns INFO [00006972] * RD COMPARE * port=1 adr=02 act=37AC6470EDA0AC26EF exp=37AC6470EDA0AC26EF + 6972.50ns INFO [00006974] Port=0 WR @00=D2C7135AA35285829A + 6972.50ns INFO [00006974] Port=0 RD @03 + 6973.50ns INFO [00006975] Port=1 RD @01 + 6974.50ns INFO [00006976] * RD COMPARE * port=0 adr=03 act=26047D234BC9FD9DDC exp=26047D234BC9FD9DDC + 6974.50ns INFO [00006976] Port=0 WR @00=0685D47AD2BAB4D9B0 + 6974.50ns INFO [00006976] Port=0 RD @05 + 6975.50ns INFO [00006977] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 6975.50ns INFO [00006977] Port=0 WR @03=2AA0C284A4AB837703 + 6976.50ns INFO [00006978] * RD COMPARE * port=0 adr=05 act=9BED4F9C2EF789CBC4 exp=9BED4F9C2EF789CBC4 + 6976.50ns INFO [00006978] Port=0 WR @04=974E7A4475BEDFF702 + 6976.50ns INFO [00006978] Port=0 RD @03 + 6977.50ns INFO [00006979] Port=0 WR @02=94CB80EFBCC9265E13 + 6977.50ns INFO [00006979] Port=0 RD @04 + 6977.50ns INFO [00006979] Port=1 RD @03 + 6978.50ns INFO [00006980] * RD COMPARE * port=0 adr=03 act=2AA0C284A4AB837703 exp=2AA0C284A4AB837703 + 6978.50ns INFO [00006980] Port=0 RD @02 + 6979.50ns INFO [00006981] * RD COMPARE * port=0 adr=04 act=974E7A4475BEDFF702 exp=974E7A4475BEDFF702 + 6979.50ns INFO [00006981] * RD COMPARE * port=1 adr=03 act=2AA0C284A4AB837703 exp=2AA0C284A4AB837703 + 6979.50ns INFO [00006981] Port=0 RD @00 + 6980.50ns INFO [00006982] * RD COMPARE * port=0 adr=02 act=94CB80EFBCC9265E13 exp=94CB80EFBCC9265E13 + 6980.50ns INFO [00006982] Port=0 WR @05=A7CD9CD9303FBBEF5B + 6981.50ns INFO [00006983] * RD COMPARE * port=0 adr=00 act=0685D47AD2BAB4D9B0 exp=0685D47AD2BAB4D9B0 + 6983.50ns INFO [00006985] Port=0 WR @04=560C6F86D68CA5FABF + 6984.50ns INFO [00006986] Port=0 RD @01 + 6984.50ns INFO [00006986] Port=1 RD @01 + 6985.50ns INFO [00006987] Port=0 WR @04=28AFBF69BF0B2EF23C + 6986.50ns INFO [00006988] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 6986.50ns INFO [00006988] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 6986.50ns INFO [00006988] Port=0 RD @07 + 6987.50ns INFO [00006989] Port=0 WR @06=F1B5EED5E963EFD4A2 + 6987.50ns INFO [00006989] Port=0 RD @02 + 6987.50ns INFO [00006989] Port=1 RD @04 + 6988.50ns INFO [00006990] * RD COMPARE * port=0 adr=07 act=A8D8B61BA15D5F71E2 exp=A8D8B61BA15D5F71E2 + 6988.50ns INFO [00006990] Port=0 RD @02 + 6989.50ns INFO [00006991] * RD COMPARE * port=0 adr=02 act=94CB80EFBCC9265E13 exp=94CB80EFBCC9265E13 + 6989.50ns INFO [00006991] * RD COMPARE * port=1 adr=04 act=28AFBF69BF0B2EF23C exp=28AFBF69BF0B2EF23C + 6989.50ns INFO [00006991] Port=0 WR @02=2F59D768202F6E069F + 6989.50ns INFO [00006991] Port=1 RD @00 + 6990.50ns INFO [00006992] * RD COMPARE * port=0 adr=02 act=94CB80EFBCC9265E13 exp=94CB80EFBCC9265E13 + 6991.50ns INFO [00006993] * RD COMPARE * port=1 adr=00 act=0685D47AD2BAB4D9B0 exp=0685D47AD2BAB4D9B0 + 6991.50ns INFO [00006993] Port=0 RD @01 + 6991.50ns INFO [00006993] Port=1 RD @01 + 6993.50ns INFO [00006995] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 6993.50ns INFO [00006995] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 6993.50ns INFO [00006995] Port=0 WR @05=1C206C2D596CF909EA + 6993.50ns INFO [00006995] Port=0 RD @06 + 6995.50ns INFO [00006997] * RD COMPARE * port=0 adr=06 act=F1B5EED5E963EFD4A2 exp=F1B5EED5E963EFD4A2 + 6997.50ns INFO [00006999] Port=0 WR @03=30A83CDDDDFEF0A863 + 6998.00ns INFO [00007000] [00007000] ...tick... + 6998.50ns INFO [00007000] Port=0 WR @04=5329460F45E9B631A2 + 6999.50ns INFO [00007001] Port=0 WR @00=59FE4126D51C68674C + 6999.50ns INFO [00007001] Port=0 RD @03 + 6999.50ns INFO [00007001] Port=1 RD @03 + 7000.50ns INFO [00007002] Port=0 RD @05 + 7001.50ns INFO [00007003] * RD COMPARE * port=0 adr=03 act=30A83CDDDDFEF0A863 exp=30A83CDDDDFEF0A863 + 7001.50ns INFO [00007003] * RD COMPARE * port=1 adr=03 act=30A83CDDDDFEF0A863 exp=30A83CDDDDFEF0A863 + 7001.50ns INFO [00007003] Port=0 WR @04=65365F08642E42D5FD + 7001.50ns INFO [00007003] Port=1 RD @00 + 7002.50ns INFO [00007004] * RD COMPARE * port=0 adr=05 act=1C206C2D596CF909EA exp=1C206C2D596CF909EA + 7002.50ns INFO [00007004] Port=0 RD @02 + 7003.50ns INFO [00007005] * RD COMPARE * port=1 adr=00 act=59FE4126D51C68674C exp=59FE4126D51C68674C + 7003.50ns INFO [00007005] Port=1 RD @05 + 7004.50ns INFO [00007006] * RD COMPARE * port=0 adr=02 act=2F59D768202F6E069F exp=2F59D768202F6E069F + 7004.50ns INFO [00007006] Port=0 RD @03 + 7004.50ns INFO [00007006] Port=1 RD @05 + 7005.50ns INFO [00007007] * RD COMPARE * port=1 adr=05 act=1C206C2D596CF909EA exp=1C206C2D596CF909EA + 7005.50ns INFO [00007007] Port=0 WR @07=9156822B49538DE770 + 7006.50ns INFO [00007008] * RD COMPARE * port=0 adr=03 act=30A83CDDDDFEF0A863 exp=30A83CDDDDFEF0A863 + 7006.50ns INFO [00007008] * RD COMPARE * port=1 adr=05 act=1C206C2D596CF909EA exp=1C206C2D596CF909EA + 7006.50ns INFO [00007008] Port=1 RD @03 + 7007.50ns INFO [00007009] Port=0 RD @06 + 7007.50ns INFO [00007009] Port=1 RD @02 + 7008.50ns INFO [00007010] * RD COMPARE * port=1 adr=03 act=30A83CDDDDFEF0A863 exp=30A83CDDDDFEF0A863 + 7009.50ns INFO [00007011] * RD COMPARE * port=0 adr=06 act=F1B5EED5E963EFD4A2 exp=F1B5EED5E963EFD4A2 + 7009.50ns INFO [00007011] * RD COMPARE * port=1 adr=02 act=2F59D768202F6E069F exp=2F59D768202F6E069F + 7010.50ns INFO [00007012] Port=1 RD @01 + 7012.50ns INFO [00007014] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 7012.50ns INFO [00007014] Port=1 RD @03 + 7013.50ns INFO [00007015] Port=0 WR @03=9836780B1C3AFE90BC + 7013.50ns INFO [00007015] Port=1 RD @06 + 7014.50ns INFO [00007016] * RD COMPARE * port=1 adr=03 act=30A83CDDDDFEF0A863 exp=30A83CDDDDFEF0A863 + 7014.50ns INFO [00007016] Port=1 RD @03 + 7015.50ns INFO [00007017] * RD COMPARE * port=1 adr=06 act=F1B5EED5E963EFD4A2 exp=F1B5EED5E963EFD4A2 + 7015.50ns INFO [00007017] Port=0 RD @06 + 7015.50ns INFO [00007017] Port=1 RD @05 + 7016.50ns INFO [00007018] * RD COMPARE * port=1 adr=03 act=9836780B1C3AFE90BC exp=9836780B1C3AFE90BC + 7016.50ns INFO [00007018] Port=0 WR @02=F5947D4673EE3232D2 + 7016.50ns INFO [00007018] Port=0 RD @03 + 7017.50ns INFO [00007019] * RD COMPARE * port=0 adr=06 act=F1B5EED5E963EFD4A2 exp=F1B5EED5E963EFD4A2 + 7017.50ns INFO [00007019] * RD COMPARE * port=1 adr=05 act=1C206C2D596CF909EA exp=1C206C2D596CF909EA + 7017.50ns INFO [00007019] Port=0 WR @06=D58982CDE667ED98EF + 7018.50ns INFO [00007020] * RD COMPARE * port=0 adr=03 act=9836780B1C3AFE90BC exp=9836780B1C3AFE90BC + 7019.50ns INFO [00007021] Port=1 RD @01 + 7020.50ns INFO [00007022] Port=0 RD @03 + 7021.50ns INFO [00007023] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 7021.50ns INFO [00007023] Port=0 WR @00=5B8F5E0AFD9E2DCE79 + 7021.50ns INFO [00007023] Port=1 RD @01 + 7022.50ns INFO [00007024] * RD COMPARE * port=0 adr=03 act=9836780B1C3AFE90BC exp=9836780B1C3AFE90BC + 7022.50ns INFO [00007024] Port=0 WR @06=47651EF2C4FE727054 + 7023.50ns INFO [00007025] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 7023.50ns INFO [00007025] Port=0 WR @05=CE22DAE535F3D41C68 + 7024.50ns INFO [00007026] Port=0 RD @02 + 7025.50ns INFO [00007027] Port=0 RD @02 + 7026.50ns INFO [00007028] * RD COMPARE * port=0 adr=02 act=F5947D4673EE3232D2 exp=F5947D4673EE3232D2 + 7026.50ns INFO [00007028] Port=1 RD @05 + 7027.50ns INFO [00007029] * RD COMPARE * port=0 adr=02 act=F5947D4673EE3232D2 exp=F5947D4673EE3232D2 + 7027.50ns INFO [00007029] Port=0 RD @06 + 7028.50ns INFO [00007030] * RD COMPARE * port=1 adr=05 act=CE22DAE535F3D41C68 exp=CE22DAE535F3D41C68 + 7028.50ns INFO [00007030] Port=0 RD @02 + 7029.50ns INFO [00007031] * RD COMPARE * port=0 adr=06 act=47651EF2C4FE727054 exp=47651EF2C4FE727054 + 7030.50ns INFO [00007032] * RD COMPARE * port=0 adr=02 act=F5947D4673EE3232D2 exp=F5947D4673EE3232D2 + 7031.50ns INFO [00007033] Port=0 RD @07 + 7032.50ns INFO [00007034] Port=0 WR @07=B7E092F82EA3CA8510 + 7032.50ns INFO [00007034] Port=0 RD @01 + 7032.50ns INFO [00007034] Port=1 RD @00 + 7033.50ns INFO [00007035] * RD COMPARE * port=0 adr=07 act=9156822B49538DE770 exp=9156822B49538DE770 + 7033.50ns INFO [00007035] Port=0 RD @06 + 7033.50ns INFO [00007035] Port=1 RD @04 + 7034.50ns INFO [00007036] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 7034.50ns INFO [00007036] * RD COMPARE * port=1 adr=00 act=5B8F5E0AFD9E2DCE79 exp=5B8F5E0AFD9E2DCE79 + 7034.50ns INFO [00007036] Port=0 RD @03 + 7035.50ns INFO [00007037] * RD COMPARE * port=0 adr=06 act=47651EF2C4FE727054 exp=47651EF2C4FE727054 + 7035.50ns INFO [00007037] * RD COMPARE * port=1 adr=04 act=65365F08642E42D5FD exp=65365F08642E42D5FD + 7035.50ns INFO [00007037] Port=0 WR @06=4B2BB2272166A04F7A + 7036.50ns INFO [00007038] * RD COMPARE * port=0 adr=03 act=9836780B1C3AFE90BC exp=9836780B1C3AFE90BC + 7036.50ns INFO [00007038] Port=0 WR @02=FC7AB9E29FF599B4C7 + 7036.50ns INFO [00007038] Port=1 RD @01 + 7038.50ns INFO [00007040] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 7038.50ns INFO [00007040] Port=0 WR @03=74F779C2E99959CA51 + 7038.50ns INFO [00007040] Port=0 RD @01 + 7038.50ns INFO [00007040] Port=1 RD @04 + 7039.50ns INFO [00007041] Port=0 WR @04=D8CF03AFB195999D10 + 7039.50ns INFO [00007041] Port=1 RD @00 + 7040.50ns INFO [00007042] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 7040.50ns INFO [00007042] * RD COMPARE * port=1 adr=04 act=65365F08642E42D5FD exp=65365F08642E42D5FD + 7040.50ns INFO [00007042] Port=0 RD @01 + 7041.50ns INFO [00007043] * RD COMPARE * port=1 adr=00 act=5B8F5E0AFD9E2DCE79 exp=5B8F5E0AFD9E2DCE79 + 7042.50ns INFO [00007044] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 7042.50ns INFO [00007044] Port=0 WR @07=F54341164CDFE2F0FD + 7042.50ns INFO [00007044] Port=1 RD @06 + 7043.50ns INFO [00007045] Port=0 WR @00=9B6B0A039E4C580BFA + 7044.50ns INFO [00007046] * RD COMPARE * port=1 adr=06 act=4B2BB2272166A04F7A exp=4B2BB2272166A04F7A + 7044.50ns INFO [00007046] Port=1 RD @04 + 7046.50ns INFO [00007048] * RD COMPARE * port=1 adr=04 act=D8CF03AFB195999D10 exp=D8CF03AFB195999D10 + 7046.50ns INFO [00007048] Port=0 WR @02=A87BEA72BA4869CF59 + 7047.50ns INFO [00007049] Port=0 RD @01 + 7048.50ns INFO [00007050] Port=0 WR @06=432DB8A8CC65A66A4C + 7049.50ns INFO [00007051] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 7049.50ns INFO [00007051] Port=0 WR @04=5F34ABE187014544DF + 7049.50ns INFO [00007051] Port=1 RD @00 + 7050.50ns INFO [00007052] Port=0 WR @06=F4260059CDB735E996 + 7050.50ns INFO [00007052] Port=0 RD @05 + 7050.50ns INFO [00007052] Port=1 RD @04 + 7051.50ns INFO [00007053] * RD COMPARE * port=1 adr=00 act=9B6B0A039E4C580BFA exp=9B6B0A039E4C580BFA + 7051.50ns INFO [00007053] Port=0 RD @06 + 7052.50ns INFO [00007054] * RD COMPARE * port=0 adr=05 act=CE22DAE535F3D41C68 exp=CE22DAE535F3D41C68 + 7052.50ns INFO [00007054] * RD COMPARE * port=1 adr=04 act=5F34ABE187014544DF exp=5F34ABE187014544DF + 7052.50ns INFO [00007054] Port=1 RD @03 + 7053.50ns INFO [00007055] * RD COMPARE * port=0 adr=06 act=F4260059CDB735E996 exp=F4260059CDB735E996 + 7054.50ns INFO [00007056] * RD COMPARE * port=1 adr=03 act=74F779C2E99959CA51 exp=74F779C2E99959CA51 + 7054.50ns INFO [00007056] Port=0 WR @03=4AC58AFEDDC7C9E86B + 7054.50ns INFO [00007056] Port=0 RD @02 + 7054.50ns INFO [00007056] Port=1 RD @01 + 7055.50ns INFO [00007057] Port=0 RD @04 + 7056.50ns INFO [00007058] * RD COMPARE * port=0 adr=02 act=A87BEA72BA4869CF59 exp=A87BEA72BA4869CF59 + 7056.50ns INFO [00007058] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6 + 7056.50ns INFO [00007058] Port=0 WR @04=FF82F7257680C0128D + 7056.50ns INFO [00007058] Port=1 RD @00 + 7057.50ns INFO [00007059] * RD COMPARE * port=0 adr=04 act=5F34ABE187014544DF exp=5F34ABE187014544DF + 7057.50ns INFO [00007059] Port=1 RD @07 + 7058.50ns INFO [00007060] * RD COMPARE * port=1 adr=00 act=9B6B0A039E4C580BFA exp=9B6B0A039E4C580BFA + 7059.50ns INFO [00007061] * RD COMPARE * port=1 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD + 7059.50ns INFO [00007061] Port=0 WR @05=88ECB986674F2CD472 + 7062.50ns INFO [00007064] Port=0 WR @05=FD84E073B3F926B61B + 7064.50ns INFO [00007066] Port=0 RD @07 + 7065.50ns INFO [00007067] Port=1 RD @00 + 7066.50ns INFO [00007068] * RD COMPARE * port=0 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD + 7066.50ns INFO [00007068] Port=0 RD @03 + 7067.50ns INFO [00007069] * RD COMPARE * port=1 adr=00 act=9B6B0A039E4C580BFA exp=9B6B0A039E4C580BFA + 7067.50ns INFO [00007069] Port=0 RD @02 + 7068.50ns INFO [00007070] * RD COMPARE * port=0 adr=03 act=4AC58AFEDDC7C9E86B exp=4AC58AFEDDC7C9E86B + 7068.50ns INFO [00007070] Port=0 WR @02=8A87CF6B827E7AB808 + 7069.50ns INFO [00007071] * RD COMPARE * port=0 adr=02 act=A87BEA72BA4869CF59 exp=A87BEA72BA4869CF59 + 7069.50ns INFO [00007071] Port=0 WR @01=7ED73B915F775C3697 + 7071.50ns INFO [00007073] Port=0 WR @00=FE627B14C83DB798A1 + 7071.50ns INFO [00007073] Port=0 RD @01 + 7072.50ns INFO [00007074] Port=0 RD @02 + 7072.50ns INFO [00007074] Port=1 RD @05 + 7073.50ns INFO [00007075] * RD COMPARE * port=0 adr=01 act=7ED73B915F775C3697 exp=7ED73B915F775C3697 + 7074.50ns INFO [00007076] * RD COMPARE * port=0 adr=02 act=8A87CF6B827E7AB808 exp=8A87CF6B827E7AB808 + 7074.50ns INFO [00007076] * RD COMPARE * port=1 adr=05 act=FD84E073B3F926B61B exp=FD84E073B3F926B61B + 7074.50ns INFO [00007076] Port=0 RD @05 + 7075.50ns INFO [00007077] Port=0 WR @04=D36D5D6230D80870F5 + 7076.50ns INFO [00007078] * RD COMPARE * port=0 adr=05 act=FD84E073B3F926B61B exp=FD84E073B3F926B61B + 7076.50ns INFO [00007078] Port=0 RD @06 + 7076.50ns INFO [00007078] Port=1 RD @02 + 7077.50ns INFO [00007079] Port=0 WR @03=34759D83882A55B798 + 7078.50ns INFO [00007080] * RD COMPARE * port=0 adr=06 act=F4260059CDB735E996 exp=F4260059CDB735E996 + 7078.50ns INFO [00007080] * RD COMPARE * port=1 adr=02 act=8A87CF6B827E7AB808 exp=8A87CF6B827E7AB808 + 7078.50ns INFO [00007080] Port=1 RD @07 + 7080.50ns INFO [00007082] * RD COMPARE * port=1 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD + 7080.50ns INFO [00007082] Port=0 WR @01=508018CCB53E34C3FE + 7080.50ns INFO [00007082] Port=0 RD @00 + 7081.50ns INFO [00007083] Port=0 WR @05=58E9FA44172558B02B + 7081.50ns INFO [00007083] Port=0 RD @04 + 7082.50ns INFO [00007084] * RD COMPARE * port=0 adr=00 act=FE627B14C83DB798A1 exp=FE627B14C83DB798A1 + 7083.50ns INFO [00007085] * RD COMPARE * port=0 adr=04 act=D36D5D6230D80870F5 exp=D36D5D6230D80870F5 + 7083.50ns INFO [00007085] Port=0 RD @07 + 7084.50ns INFO [00007086] Port=1 RD @03 + 7085.50ns INFO [00007087] * RD COMPARE * port=0 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD + 7085.50ns INFO [00007087] Port=0 WR @00=649C71EB907E44F154 + 7085.50ns INFO [00007087] Port=1 RD @07 + 7086.50ns INFO [00007088] * RD COMPARE * port=1 adr=03 act=34759D83882A55B798 exp=34759D83882A55B798 + 7086.50ns INFO [00007088] Port=0 RD @00 + 7087.50ns INFO [00007089] * RD COMPARE * port=1 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD + 7087.50ns INFO [00007089] Port=0 WR @04=F7400760753FAE76C4 + 7087.50ns INFO [00007089] Port=1 RD @02 + 7088.50ns INFO [00007090] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154 + 7089.50ns INFO [00007091] * RD COMPARE * port=1 adr=02 act=8A87CF6B827E7AB808 exp=8A87CF6B827E7AB808 + 7089.50ns INFO [00007091] Port=0 WR @06=89156372FF8D16276A + 7089.50ns INFO [00007091] Port=1 RD @04 + 7091.50ns INFO [00007093] * RD COMPARE * port=1 adr=04 act=F7400760753FAE76C4 exp=F7400760753FAE76C4 + 7091.50ns INFO [00007093] Port=1 RD @02 + 7093.50ns INFO [00007095] * RD COMPARE * port=1 adr=02 act=8A87CF6B827E7AB808 exp=8A87CF6B827E7AB808 + 7094.50ns INFO [00007096] Port=0 RD @01 + 7095.50ns INFO [00007097] Port=0 RD @00 + 7096.50ns INFO [00007098] * RD COMPARE * port=0 adr=01 act=508018CCB53E34C3FE exp=508018CCB53E34C3FE + 7096.50ns INFO [00007098] Port=0 RD @00 + 7096.50ns INFO [00007098] Port=1 RD @05 + 7097.50ns INFO [00007099] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154 + 7097.50ns INFO [00007099] Port=0 RD @01 + 7098.00ns INFO [00007100] [00007100] ...tick... + 7098.50ns INFO [00007100] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154 + 7098.50ns INFO [00007100] * RD COMPARE * port=1 adr=05 act=58E9FA44172558B02B exp=58E9FA44172558B02B + 7098.50ns INFO [00007100] Port=0 WR @02=328C92B9871F1AF048 + 7098.50ns INFO [00007100] Port=0 RD @00 + 7099.50ns INFO [00007101] * RD COMPARE * port=0 adr=01 act=508018CCB53E34C3FE exp=508018CCB53E34C3FE + 7099.50ns INFO [00007101] Port=0 WR @02=665DF19F4E79C288D0 + 7099.50ns INFO [00007101] Port=1 RD @04 + 7100.50ns INFO [00007102] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154 + 7100.50ns INFO [00007102] Port=0 RD @00 + 7100.50ns INFO [00007102] Port=1 RD @02 + 7101.50ns INFO [00007103] * RD COMPARE * port=1 adr=04 act=F7400760753FAE76C4 exp=F7400760753FAE76C4 + 7101.50ns INFO [00007103] Port=0 WR @03=8B2394C9FFB983F6D2 + 7101.50ns INFO [00007103] Port=0 RD @06 + 7102.50ns INFO [00007104] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154 + 7102.50ns INFO [00007104] * RD COMPARE * port=1 adr=02 act=665DF19F4E79C288D0 exp=665DF19F4E79C288D0 + 7102.50ns INFO [00007104] Port=0 WR @05=007392DB79B863936B + 7102.50ns INFO [00007104] Port=0 RD @03 + 7103.50ns INFO [00007105] * RD COMPARE * port=0 adr=06 act=89156372FF8D16276A exp=89156372FF8D16276A + 7103.50ns INFO [00007105] Port=0 RD @06 + 7103.50ns INFO [00007105] Port=1 RD @05 + 7104.50ns INFO [00007106] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2 + 7104.50ns INFO [00007106] Port=0 WR @02=06523281D776F4968F + 7104.50ns INFO [00007106] Port=1 RD @05 + 7105.50ns INFO [00007107] * RD COMPARE * port=0 adr=06 act=89156372FF8D16276A exp=89156372FF8D16276A + 7105.50ns INFO [00007107] * RD COMPARE * port=1 adr=05 act=007392DB79B863936B exp=007392DB79B863936B + 7105.50ns INFO [00007107] Port=0 WR @02=01214C23CFDDCF9ACE + 7105.50ns INFO [00007107] Port=0 RD @07 + 7106.50ns INFO [00007108] * RD COMPARE * port=1 adr=05 act=007392DB79B863936B exp=007392DB79B863936B + 7106.50ns INFO [00007108] Port=1 RD @05 + 7107.50ns INFO [00007109] * RD COMPARE * port=0 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD + 7108.50ns INFO [00007110] * RD COMPARE * port=1 adr=05 act=007392DB79B863936B exp=007392DB79B863936B + 7108.50ns INFO [00007110] Port=0 RD @00 + 7109.50ns INFO [00007111] Port=0 WR @05=0E0BF477E8053C44B6 + 7109.50ns INFO [00007111] Port=1 RD @03 + 7110.50ns INFO [00007112] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154 + 7110.50ns INFO [00007112] Port=0 WR @04=23BE1CB83B229D3EB9 + 7110.50ns INFO [00007112] Port=1 RD @03 + 7111.50ns INFO [00007113] * RD COMPARE * port=1 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2 + 7111.50ns INFO [00007113] Port=1 RD @04 + 7112.50ns INFO [00007114] * RD COMPARE * port=1 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2 + 7112.50ns INFO [00007114] Port=0 WR @06=36FC3F4BC02EB7C007 + 7113.50ns INFO [00007115] * RD COMPARE * port=1 adr=04 act=23BE1CB83B229D3EB9 exp=23BE1CB83B229D3EB9 + 7113.50ns INFO [00007115] Port=0 RD @03 + 7114.50ns INFO [00007116] Port=0 WR @07=7C8FC0684E853966C8 + 7115.50ns INFO [00007117] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2 + 7115.50ns INFO [00007117] Port=0 RD @05 + 7115.50ns INFO [00007117] Port=1 RD @06 + 7116.50ns INFO [00007118] Port=0 RD @00 + 7116.50ns INFO [00007118] Port=1 RD @04 + 7117.50ns INFO [00007119] * RD COMPARE * port=0 adr=05 act=0E0BF477E8053C44B6 exp=0E0BF477E8053C44B6 + 7117.50ns INFO [00007119] * RD COMPARE * port=1 adr=06 act=36FC3F4BC02EB7C007 exp=36FC3F4BC02EB7C007 + 7117.50ns INFO [00007119] Port=0 WR @04=FC6B5AFC4845F49AAE + 7117.50ns INFO [00007119] Port=0 RD @02 + 7118.50ns INFO [00007120] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154 + 7118.50ns INFO [00007120] * RD COMPARE * port=1 adr=04 act=23BE1CB83B229D3EB9 exp=23BE1CB83B229D3EB9 + 7118.50ns INFO [00007120] Port=0 RD @03 + 7119.50ns INFO [00007121] * RD COMPARE * port=0 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE + 7119.50ns INFO [00007121] Port=0 RD @04 + 7120.50ns INFO [00007122] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2 + 7120.50ns INFO [00007122] Port=0 WR @04=1F5F07CB8EFF77064E + 7121.50ns INFO [00007123] * RD COMPARE * port=0 adr=04 act=FC6B5AFC4845F49AAE exp=FC6B5AFC4845F49AAE + 7122.50ns INFO [00007124] Port=0 RD @05 + 7124.50ns INFO [00007126] * RD COMPARE * port=0 adr=05 act=0E0BF477E8053C44B6 exp=0E0BF477E8053C44B6 + 7124.50ns INFO [00007126] Port=0 RD @04 + 7126.50ns INFO [00007128] * RD COMPARE * port=0 adr=04 act=1F5F07CB8EFF77064E exp=1F5F07CB8EFF77064E + 7126.50ns INFO [00007128] Port=1 RD @07 + 7127.50ns INFO [00007129] Port=0 WR @01=F6C4E56725944F727E + 7127.50ns INFO [00007129] Port=0 RD @05 + 7127.50ns INFO [00007129] Port=1 RD @06 + 7128.50ns INFO [00007130] * RD COMPARE * port=1 adr=07 act=7C8FC0684E853966C8 exp=7C8FC0684E853966C8 + 7129.50ns INFO [00007131] * RD COMPARE * port=0 adr=05 act=0E0BF477E8053C44B6 exp=0E0BF477E8053C44B6 + 7129.50ns INFO [00007131] * RD COMPARE * port=1 adr=06 act=36FC3F4BC02EB7C007 exp=36FC3F4BC02EB7C007 + 7130.50ns INFO [00007132] Port=0 WR @07=FA21AF4927C23A0D54 + 7130.50ns INFO [00007132] Port=0 RD @04 + 7132.50ns INFO [00007134] * RD COMPARE * port=0 adr=04 act=1F5F07CB8EFF77064E exp=1F5F07CB8EFF77064E + 7132.50ns INFO [00007134] Port=1 RD @02 + 7133.50ns INFO [00007135] Port=0 WR @06=8B063AE6D9BBDB381F + 7133.50ns INFO [00007135] Port=0 RD @01 + 7134.50ns INFO [00007136] * RD COMPARE * port=1 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE + 7134.50ns INFO [00007136] Port=1 RD @02 + 7135.50ns INFO [00007137] * RD COMPARE * port=0 adr=01 act=F6C4E56725944F727E exp=F6C4E56725944F727E + 7135.50ns INFO [00007137] Port=1 RD @00 + 7136.50ns INFO [00007138] * RD COMPARE * port=1 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE + 7136.50ns INFO [00007138] Port=0 RD @03 + 7137.50ns INFO [00007139] * RD COMPARE * port=1 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154 + 7137.50ns INFO [00007139] Port=0 RD @04 + 7137.50ns INFO [00007139] Port=1 RD @05 + 7138.50ns INFO [00007140] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2 + 7138.50ns INFO [00007140] Port=0 WR @01=D16CACF0FBDC11B502 + 7138.50ns INFO [00007140] Port=0 RD @05 + 7139.50ns INFO [00007141] * RD COMPARE * port=0 adr=04 act=1F5F07CB8EFF77064E exp=1F5F07CB8EFF77064E + 7139.50ns INFO [00007141] * RD COMPARE * port=1 adr=05 act=0E0BF477E8053C44B6 exp=0E0BF477E8053C44B6 + 7139.50ns INFO [00007141] Port=0 WR @01=825D66A5C6C260853E + 7139.50ns INFO [00007141] Port=1 RD @07 + 7140.50ns INFO [00007142] * RD COMPARE * port=0 adr=05 act=0E0BF477E8053C44B6 exp=0E0BF477E8053C44B6 + 7140.50ns INFO [00007142] Port=0 RD @02 + 7141.50ns INFO [00007143] * RD COMPARE * port=1 adr=07 act=FA21AF4927C23A0D54 exp=FA21AF4927C23A0D54 + 7141.50ns INFO [00007143] Port=0 WR @05=AF0ACEADEE55DDBC67 + 7142.50ns INFO [00007144] * RD COMPARE * port=0 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE + 7142.50ns INFO [00007144] Port=0 RD @03 + 7142.50ns INFO [00007144] Port=1 RD @02 + 7143.50ns INFO [00007145] Port=0 WR @04=6EB3528AA2BFC96B8F + 7143.50ns INFO [00007145] Port=0 RD @05 + 7144.50ns INFO [00007146] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2 + 7144.50ns INFO [00007146] * RD COMPARE * port=1 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE + 7145.50ns INFO [00007147] * RD COMPARE * port=0 adr=05 act=AF0ACEADEE55DDBC67 exp=AF0ACEADEE55DDBC67 + 7146.50ns INFO [00007148] Port=1 RD @01 + 7148.50ns INFO [00007150] * RD COMPARE * port=1 adr=01 act=825D66A5C6C260853E exp=825D66A5C6C260853E + 7148.50ns INFO [00007150] Port=1 RD @00 + 7149.50ns INFO [00007151] Port=0 WR @00=71D70AFD628AEC4EC9 + 7149.50ns INFO [00007151] Port=0 RD @05 + 7150.50ns INFO [00007152] * RD COMPARE * port=1 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154 + 7150.50ns INFO [00007152] Port=0 WR @06=BFD48FFE9BC1C506B6 + 7150.50ns INFO [00007152] Port=0 RD @02 + 7151.50ns INFO [00007153] * RD COMPARE * port=0 adr=05 act=AF0ACEADEE55DDBC67 exp=AF0ACEADEE55DDBC67 + 7151.50ns INFO [00007153] Port=0 RD @02 + 7152.50ns INFO [00007154] * RD COMPARE * port=0 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE + 7152.50ns INFO [00007154] Port=0 RD @06 + 7153.50ns INFO [00007155] * RD COMPARE * port=0 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE + 7153.50ns INFO [00007155] Port=0 RD @06 + 7154.50ns INFO [00007156] * RD COMPARE * port=0 adr=06 act=BFD48FFE9BC1C506B6 exp=BFD48FFE9BC1C506B6 + 7155.50ns INFO [00007157] * RD COMPARE * port=0 adr=06 act=BFD48FFE9BC1C506B6 exp=BFD48FFE9BC1C506B6 + 7155.50ns INFO [00007157] Port=0 WR @02=2B4EAA4D819920CD1A + 7155.50ns INFO [00007157] Port=0 RD @03 + 7156.50ns INFO [00007158] Port=0 WR @06=0EF4914F5E8C81967F + 7157.50ns INFO [00007159] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2 + 7158.50ns INFO [00007160] Port=0 WR @04=9E34069B507532A6E6 + 7158.50ns INFO [00007160] Port=0 RD @05 + 7160.50ns INFO [00007162] * RD COMPARE * port=0 adr=05 act=AF0ACEADEE55DDBC67 exp=AF0ACEADEE55DDBC67 + 7160.50ns INFO [00007162] Port=1 RD @01 + 7162.50ns INFO [00007164] * RD COMPARE * port=1 adr=01 act=825D66A5C6C260853E exp=825D66A5C6C260853E + 7162.50ns INFO [00007164] Port=0 WR @05=F516AD64800B54B4F4 + 7162.50ns INFO [00007164] Port=1 RD @04 + 7163.50ns INFO [00007165] Port=0 RD @03 + 7164.50ns INFO [00007166] * RD COMPARE * port=1 adr=04 act=9E34069B507532A6E6 exp=9E34069B507532A6E6 + 7164.50ns INFO [00007166] Port=0 RD @02 + 7164.50ns INFO [00007166] Port=1 RD @03 + 7165.50ns INFO [00007167] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2 + 7166.50ns INFO [00007168] * RD COMPARE * port=0 adr=02 act=2B4EAA4D819920CD1A exp=2B4EAA4D819920CD1A + 7166.50ns INFO [00007168] * RD COMPARE * port=1 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2 + 7166.50ns INFO [00007168] Port=0 RD @00 + 7167.50ns INFO [00007169] Port=0 WR @05=20248A7BB782FB89BE + 7167.50ns INFO [00007169] Port=1 RD @02 + 7168.50ns INFO [00007170] * RD COMPARE * port=0 adr=00 act=71D70AFD628AEC4EC9 exp=71D70AFD628AEC4EC9 + 7168.50ns INFO [00007170] Port=0 WR @06=1E71CB24963E5CD306 + 7168.50ns INFO [00007170] Port=0 RD @04 + 7169.50ns INFO [00007171] * RD COMPARE * port=1 adr=02 act=2B4EAA4D819920CD1A exp=2B4EAA4D819920CD1A + 7170.50ns INFO [00007172] * RD COMPARE * port=0 adr=04 act=9E34069B507532A6E6 exp=9E34069B507532A6E6 + 7170.50ns INFO [00007172] Port=0 WR @06=203F8EDE43C8BA31CE + 7170.50ns INFO [00007172] Port=0 RD @00 + 7170.50ns INFO [00007172] Port=1 RD @02 + 7171.50ns INFO [00007173] Port=0 WR @03=65DAD2C864E8B3D7C1 + 7171.50ns INFO [00007173] Port=1 RD @01 + 7172.50ns INFO [00007174] * RD COMPARE * port=0 adr=00 act=71D70AFD628AEC4EC9 exp=71D70AFD628AEC4EC9 + 7172.50ns INFO [00007174] * RD COMPARE * port=1 adr=02 act=2B4EAA4D819920CD1A exp=2B4EAA4D819920CD1A + 7172.50ns INFO [00007174] Port=0 WR @05=DB9046D5C70466F8A7 + 7173.50ns INFO [00007175] * RD COMPARE * port=1 adr=01 act=825D66A5C6C260853E exp=825D66A5C6C260853E + 7173.50ns INFO [00007175] Port=0 WR @02=9A918763DF5EE48BAC + 7173.50ns INFO [00007175] Port=0 RD @04 + 7175.50ns INFO [00007177] * RD COMPARE * port=0 adr=04 act=9E34069B507532A6E6 exp=9E34069B507532A6E6 + 7175.50ns INFO [00007177] Port=0 RD @01 + 7177.50ns INFO [00007179] * RD COMPARE * port=0 adr=01 act=825D66A5C6C260853E exp=825D66A5C6C260853E + 7177.50ns INFO [00007179] Port=1 RD @00 + 7178.50ns INFO [00007180] Port=0 WR @03=7F6EA1BEB88A10D7DB + 7178.50ns INFO [00007180] Port=0 RD @02 + 7179.50ns INFO [00007181] * RD COMPARE * port=1 adr=00 act=71D70AFD628AEC4EC9 exp=71D70AFD628AEC4EC9 + 7179.50ns INFO [00007181] Port=0 RD @02 + 7179.50ns INFO [00007181] Port=1 RD @05 + 7180.50ns INFO [00007182] * RD COMPARE * port=0 adr=02 act=9A918763DF5EE48BAC exp=9A918763DF5EE48BAC + 7180.50ns INFO [00007182] Port=0 RD @01 + 7180.50ns INFO [00007182] Port=1 RD @04 + 7181.50ns INFO [00007183] * RD COMPARE * port=0 adr=02 act=9A918763DF5EE48BAC exp=9A918763DF5EE48BAC + 7181.50ns INFO [00007183] * RD COMPARE * port=1 adr=05 act=DB9046D5C70466F8A7 exp=DB9046D5C70466F8A7 + 7182.50ns INFO [00007184] * RD COMPARE * port=0 adr=01 act=825D66A5C6C260853E exp=825D66A5C6C260853E + 7182.50ns INFO [00007184] * RD COMPARE * port=1 adr=04 act=9E34069B507532A6E6 exp=9E34069B507532A6E6 + 7182.50ns INFO [00007184] Port=1 RD @06 + 7183.50ns INFO [00007185] Port=0 WR @01=20884795935120DB17 + 7183.50ns INFO [00007185] Port=1 RD @05 + 7184.50ns INFO [00007186] * RD COMPARE * port=1 adr=06 act=203F8EDE43C8BA31CE exp=203F8EDE43C8BA31CE + 7185.50ns INFO [00007187] * RD COMPARE * port=1 adr=05 act=DB9046D5C70466F8A7 exp=DB9046D5C70466F8A7 + 7185.50ns INFO [00007187] Port=0 RD @01 + 7185.50ns INFO [00007187] Port=1 RD @05 + 7187.50ns INFO [00007189] * RD COMPARE * port=0 adr=01 act=20884795935120DB17 exp=20884795935120DB17 + 7187.50ns INFO [00007189] * RD COMPARE * port=1 adr=05 act=DB9046D5C70466F8A7 exp=DB9046D5C70466F8A7 + 7187.50ns INFO [00007189] Port=0 WR @02=F72FB6256AED860E54 + 7187.50ns INFO [00007189] Port=1 RD @01 + 7188.50ns INFO [00007190] Port=0 WR @02=2D5A510BE20CD3CA08 + 7189.50ns INFO [00007191] * RD COMPARE * port=1 adr=01 act=20884795935120DB17 exp=20884795935120DB17 + 7189.50ns INFO [00007191] Port=0 RD @07 + 7189.50ns INFO [00007191] Port=1 RD @07 + 7190.50ns INFO [00007192] Port=0 WR @02=1665A5152A5AF6BC76 + 7191.50ns INFO [00007193] * RD COMPARE * port=0 adr=07 act=FA21AF4927C23A0D54 exp=FA21AF4927C23A0D54 + 7191.50ns INFO [00007193] * RD COMPARE * port=1 adr=07 act=FA21AF4927C23A0D54 exp=FA21AF4927C23A0D54 + 7191.50ns INFO [00007193] Port=0 WR @05=CBE5A961A99D0C2777 + 7191.50ns INFO [00007193] Port=1 RD @06 + 7192.50ns INFO [00007194] Port=0 WR @00=82547A766518B67848 + 7193.50ns INFO [00007195] * RD COMPARE * port=1 adr=06 act=203F8EDE43C8BA31CE exp=203F8EDE43C8BA31CE + 7193.50ns INFO [00007195] Port=0 WR @07=F9CB3F6CE97397BB22 + 7195.50ns INFO [00007197] Port=0 WR @06=0D0AB6FFE34324ED7C + 7197.50ns INFO [00007199] Port=0 WR @04=1C49215405E80B7343 + 7198.00ns INFO [00007200] [00007200] ...tick... + 7198.50ns INFO [00007200] Port=0 WR @06=1AC19B85F328272178 + 7198.50ns INFO [00007200] Port=0 RD @04 + 7198.50ns INFO [00007200] Port=1 RD @00 + 7199.50ns INFO [00007201] Port=0 WR @06=0E10F53EE31605F4DD + 7200.50ns INFO [00007202] * RD COMPARE * port=0 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343 + 7200.50ns INFO [00007202] * RD COMPARE * port=1 adr=00 act=82547A766518B67848 exp=82547A766518B67848 + 7200.50ns INFO [00007202] Port=0 WR @00=FBF28B0159D242F24B + 7200.50ns INFO [00007202] Port=0 RD @05 + 7202.50ns INFO [00007204] * RD COMPARE * port=0 adr=05 act=CBE5A961A99D0C2777 exp=CBE5A961A99D0C2777 + 7202.50ns INFO [00007204] Port=1 RD @02 + 7203.50ns INFO [00007205] Port=0 RD @05 + 7204.50ns INFO [00007206] * RD COMPARE * port=1 adr=02 act=1665A5152A5AF6BC76 exp=1665A5152A5AF6BC76 + 7205.50ns INFO [00007207] * RD COMPARE * port=0 adr=05 act=CBE5A961A99D0C2777 exp=CBE5A961A99D0C2777 + 7205.50ns INFO [00007207] Port=0 WR @01=52EDE872EDF853126A + 7205.50ns INFO [00007207] Port=1 RD @06 + 7207.50ns INFO [00007209] * RD COMPARE * port=1 adr=06 act=0E10F53EE31605F4DD exp=0E10F53EE31605F4DD + 7207.50ns INFO [00007209] Port=0 WR @06=FB72124EF4C19A8B30 + 7207.50ns INFO [00007209] Port=0 RD @07 + 7208.50ns INFO [00007210] Port=0 RD @04 + 7209.50ns INFO [00007211] * RD COMPARE * port=0 adr=07 act=F9CB3F6CE97397BB22 exp=F9CB3F6CE97397BB22 + 7209.50ns INFO [00007211] Port=1 RD @01 + 7210.50ns INFO [00007212] * RD COMPARE * port=0 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343 + 7210.50ns INFO [00007212] Port=0 RD @04 + 7211.50ns INFO [00007213] * RD COMPARE * port=1 adr=01 act=52EDE872EDF853126A exp=52EDE872EDF853126A + 7211.50ns INFO [00007213] Port=1 RD @03 + 7212.50ns INFO [00007214] * RD COMPARE * port=0 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343 + 7212.50ns INFO [00007214] Port=0 WR @01=692C7A58D1C3AB2AE9 + 7212.50ns INFO [00007214] Port=1 RD @03 + 7213.50ns INFO [00007215] * RD COMPARE * port=1 adr=03 act=7F6EA1BEB88A10D7DB exp=7F6EA1BEB88A10D7DB + 7213.50ns INFO [00007215] Port=0 WR @07=5FE5ABAF7223F93F1C + 7213.50ns INFO [00007215] Port=0 RD @00 + 7213.50ns INFO [00007215] Port=1 RD @04 + 7214.50ns INFO [00007216] * RD COMPARE * port=1 adr=03 act=7F6EA1BEB88A10D7DB exp=7F6EA1BEB88A10D7DB + 7214.50ns INFO [00007216] Port=0 WR @00=1751C7EEA7A22A8FC4 + 7214.50ns INFO [00007216] Port=1 RD @03 + 7215.50ns INFO [00007217] * RD COMPARE * port=0 adr=00 act=FBF28B0159D242F24B exp=FBF28B0159D242F24B + 7215.50ns INFO [00007217] * RD COMPARE * port=1 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343 + 7215.50ns INFO [00007217] Port=0 RD @00 + 7215.50ns INFO [00007217] Port=1 RD @05 + 7216.50ns INFO [00007218] * RD COMPARE * port=1 adr=03 act=7F6EA1BEB88A10D7DB exp=7F6EA1BEB88A10D7DB + 7216.50ns INFO [00007218] Port=1 RD @03 + 7217.50ns INFO [00007219] * RD COMPARE * port=0 adr=00 act=1751C7EEA7A22A8FC4 exp=1751C7EEA7A22A8FC4 + 7217.50ns INFO [00007219] * RD COMPARE * port=1 adr=05 act=CBE5A961A99D0C2777 exp=CBE5A961A99D0C2777 + 7218.50ns INFO [00007220] * RD COMPARE * port=1 adr=03 act=7F6EA1BEB88A10D7DB exp=7F6EA1BEB88A10D7DB + 7218.50ns INFO [00007220] Port=0 WR @06=EDA2F2BDA4C9C14AA7 + 7218.50ns INFO [00007220] Port=1 RD @07 + 7219.50ns INFO [00007221] Port=0 WR @07=16B1E249A8D13E1126 + 7219.50ns INFO [00007221] Port=1 RD @03 + 7220.50ns INFO [00007222] * RD COMPARE * port=1 adr=07 act=5FE5ABAF7223F93F1C exp=5FE5ABAF7223F93F1C + 7220.50ns INFO [00007222] Port=0 WR @02=51108D6208EF44DA32 + 7220.50ns INFO [00007222] Port=1 RD @06 + 7221.50ns INFO [00007223] * RD COMPARE * port=1 adr=03 act=7F6EA1BEB88A10D7DB exp=7F6EA1BEB88A10D7DB + 7221.50ns INFO [00007223] Port=0 RD @04 + 7222.50ns INFO [00007224] * RD COMPARE * port=1 adr=06 act=EDA2F2BDA4C9C14AA7 exp=EDA2F2BDA4C9C14AA7 + 7222.50ns INFO [00007224] Port=0 RD @06 + 7222.50ns INFO [00007224] Port=1 RD @00 + 7223.50ns INFO [00007225] * RD COMPARE * port=0 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343 + 7223.50ns INFO [00007225] Port=1 RD @07 + 7224.50ns INFO [00007226] * RD COMPARE * port=0 adr=06 act=EDA2F2BDA4C9C14AA7 exp=EDA2F2BDA4C9C14AA7 + 7224.50ns INFO [00007226] * RD COMPARE * port=1 adr=00 act=1751C7EEA7A22A8FC4 exp=1751C7EEA7A22A8FC4 + 7224.50ns INFO [00007226] Port=0 WR @06=F21E877011D75E3C65 + 7224.50ns INFO [00007226] Port=0 RD @02 + 7225.50ns INFO [00007227] * RD COMPARE * port=1 adr=07 act=16B1E249A8D13E1126 exp=16B1E249A8D13E1126 + 7225.50ns INFO [00007227] Port=0 WR @02=88F0DF61F4998889DC + 7226.50ns INFO [00007228] * RD COMPARE * port=0 adr=02 act=51108D6208EF44DA32 exp=51108D6208EF44DA32 + 7226.50ns INFO [00007228] Port=0 RD @06 + 7227.50ns INFO [00007229] Port=0 WR @03=1E54CDB1AC52D6E9A2 + 7227.50ns INFO [00007229] Port=1 RD @02 + 7228.50ns INFO [00007230] * RD COMPARE * port=0 adr=06 act=F21E877011D75E3C65 exp=F21E877011D75E3C65 + 7229.50ns INFO [00007231] * RD COMPARE * port=1 adr=02 act=88F0DF61F4998889DC exp=88F0DF61F4998889DC + 7229.50ns INFO [00007231] Port=0 WR @06=CCD4F70581188E7671 + 7229.50ns INFO [00007231] Port=0 RD @04 + 7230.50ns INFO [00007232] Port=1 RD @03 + 7231.50ns INFO [00007233] * RD COMPARE * port=0 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343 + 7232.50ns INFO [00007234] * RD COMPARE * port=1 adr=03 act=1E54CDB1AC52D6E9A2 exp=1E54CDB1AC52D6E9A2 + 7232.50ns INFO [00007234] Port=0 WR @04=77D54D32B07BFB9B3A + 7232.50ns INFO [00007234] Port=1 RD @07 + 7233.50ns INFO [00007235] Port=0 RD @01 + 7234.50ns INFO [00007236] * RD COMPARE * port=1 adr=07 act=16B1E249A8D13E1126 exp=16B1E249A8D13E1126 + 7234.50ns INFO [00007236] Port=0 RD @03 + 7235.50ns INFO [00007237] * RD COMPARE * port=0 adr=01 act=692C7A58D1C3AB2AE9 exp=692C7A58D1C3AB2AE9 + 7235.50ns INFO [00007237] Port=0 RD @03 + 7236.50ns INFO [00007238] * RD COMPARE * port=0 adr=03 act=1E54CDB1AC52D6E9A2 exp=1E54CDB1AC52D6E9A2 + 7236.50ns INFO [00007238] Port=0 WR @05=6F417C1C4E0223F296 + 7236.50ns INFO [00007238] Port=1 RD @01 + 7237.50ns INFO [00007239] * RD COMPARE * port=0 adr=03 act=1E54CDB1AC52D6E9A2 exp=1E54CDB1AC52D6E9A2 + 7237.50ns INFO [00007239] Port=0 WR @07=5639F390AB8D020BAE + 7237.50ns INFO [00007239] Port=0 RD @04 + 7238.50ns INFO [00007240] * RD COMPARE * port=1 adr=01 act=692C7A58D1C3AB2AE9 exp=692C7A58D1C3AB2AE9 + 7238.50ns INFO [00007240] Port=0 WR @07=E0ADB5A41D7380CCF2 + 7238.50ns INFO [00007240] Port=0 RD @04 + 7238.50ns INFO [00007240] Port=1 RD @00 + 7239.50ns INFO [00007241] * RD COMPARE * port=0 adr=04 act=77D54D32B07BFB9B3A exp=77D54D32B07BFB9B3A + 7240.50ns INFO [00007242] * RD COMPARE * port=0 adr=04 act=77D54D32B07BFB9B3A exp=77D54D32B07BFB9B3A + 7240.50ns INFO [00007242] * RD COMPARE * port=1 adr=00 act=1751C7EEA7A22A8FC4 exp=1751C7EEA7A22A8FC4 + 7242.50ns INFO [00007244] Port=0 WR @03=68465271202FDD79A9 + 7242.50ns INFO [00007244] Port=0 RD @02 + 7243.50ns INFO [00007245] Port=0 WR @06=ADD8A4848F0D4E84DE + 7243.50ns INFO [00007245] Port=0 RD @02 + 7243.50ns INFO [00007245] Port=1 RD @02 + 7244.50ns INFO [00007246] * RD COMPARE * port=0 adr=02 act=88F0DF61F4998889DC exp=88F0DF61F4998889DC + 7244.50ns INFO [00007246] Port=0 WR @06=481EABAEECA8B2B2C1 + 7244.50ns INFO [00007246] Port=1 RD @03 + 7245.50ns INFO [00007247] * RD COMPARE * port=0 adr=02 act=88F0DF61F4998889DC exp=88F0DF61F4998889DC + 7245.50ns INFO [00007247] * RD COMPARE * port=1 adr=02 act=88F0DF61F4998889DC exp=88F0DF61F4998889DC + 7245.50ns INFO [00007247] Port=0 RD @03 + 7245.50ns INFO [00007247] Port=1 RD @04 + 7246.50ns INFO [00007248] * RD COMPARE * port=1 adr=03 act=68465271202FDD79A9 exp=68465271202FDD79A9 + 7246.50ns INFO [00007248] Port=0 WR @01=FD8A7C4D336AD7012C + 7246.50ns INFO [00007248] Port=0 RD @04 + 7247.50ns INFO [00007249] * RD COMPARE * port=0 adr=03 act=68465271202FDD79A9 exp=68465271202FDD79A9 + 7247.50ns INFO [00007249] * RD COMPARE * port=1 adr=04 act=77D54D32B07BFB9B3A exp=77D54D32B07BFB9B3A + 7247.50ns INFO [00007249] Port=0 WR @00=3DA3EDC00E9E0345A7 + 7247.50ns INFO [00007249] Port=0 RD @07 + 7247.50ns INFO [00007249] Port=1 RD @01 + 7248.50ns INFO [00007250] * RD COMPARE * port=0 adr=04 act=77D54D32B07BFB9B3A exp=77D54D32B07BFB9B3A + 7248.50ns INFO [00007250] Port=0 WR @01=3E63DF0B547C87C6BF + 7248.50ns INFO [00007250] Port=0 RD @00 + 7249.50ns INFO [00007251] * RD COMPARE * port=0 adr=07 act=E0ADB5A41D7380CCF2 exp=E0ADB5A41D7380CCF2 + 7249.50ns INFO [00007251] * RD COMPARE * port=1 adr=01 act=FD8A7C4D336AD7012C exp=FD8A7C4D336AD7012C + 7249.50ns INFO [00007251] Port=0 WR @06=CBE44D6FBEB1C629FC + 7250.50ns INFO [00007252] * RD COMPARE * port=0 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7 + 7250.50ns INFO [00007252] Port=0 RD @00 + 7251.50ns INFO [00007253] Port=0 WR @06=3DC2C740034EDFD2F8 + 7252.50ns INFO [00007254] * RD COMPARE * port=0 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7 + 7253.50ns INFO [00007255] Port=0 RD @00 + 7254.50ns INFO [00007256] Port=0 WR @07=9D3D9CDA29FF82E0CE + 7254.50ns INFO [00007256] Port=1 RD @05 + 7255.50ns INFO [00007257] * RD COMPARE * port=0 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7 + 7255.50ns INFO [00007257] Port=0 RD @07 + 7255.50ns INFO [00007257] Port=1 RD @02 + 7256.50ns INFO [00007258] * RD COMPARE * port=1 adr=05 act=6F417C1C4E0223F296 exp=6F417C1C4E0223F296 + 7256.50ns INFO [00007258] Port=1 RD @03 + 7257.50ns INFO [00007259] * RD COMPARE * port=0 adr=07 act=9D3D9CDA29FF82E0CE exp=9D3D9CDA29FF82E0CE + 7257.50ns INFO [00007259] * RD COMPARE * port=1 adr=02 act=88F0DF61F4998889DC exp=88F0DF61F4998889DC + 7258.50ns INFO [00007260] * RD COMPARE * port=1 adr=03 act=68465271202FDD79A9 exp=68465271202FDD79A9 + 7258.50ns INFO [00007260] Port=0 WR @06=30691193A7022D5BCC + 7258.50ns INFO [00007260] Port=0 RD @07 + 7258.50ns INFO [00007260] Port=1 RD @01 + 7259.50ns INFO [00007261] Port=0 RD @06 + 7260.50ns INFO [00007262] * RD COMPARE * port=0 adr=07 act=9D3D9CDA29FF82E0CE exp=9D3D9CDA29FF82E0CE + 7260.50ns INFO [00007262] * RD COMPARE * port=1 adr=01 act=3E63DF0B547C87C6BF exp=3E63DF0B547C87C6BF + 7260.50ns INFO [00007262] Port=0 WR @06=13A5C71ED5CA020A37 + 7260.50ns INFO [00007262] Port=0 RD @01 + 7260.50ns INFO [00007262] Port=1 RD @00 + 7261.50ns INFO [00007263] * RD COMPARE * port=0 adr=06 act=30691193A7022D5BCC exp=30691193A7022D5BCC + 7261.50ns INFO [00007263] Port=0 RD @03 + 7261.50ns INFO [00007263] Port=1 RD @04 + 7262.50ns INFO [00007264] * RD COMPARE * port=0 adr=01 act=3E63DF0B547C87C6BF exp=3E63DF0B547C87C6BF + 7262.50ns INFO [00007264] * RD COMPARE * port=1 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7 + 7263.50ns INFO [00007265] * RD COMPARE * port=0 adr=03 act=68465271202FDD79A9 exp=68465271202FDD79A9 + 7263.50ns INFO [00007265] * RD COMPARE * port=1 adr=04 act=77D54D32B07BFB9B3A exp=77D54D32B07BFB9B3A + 7264.50ns INFO [00007266] Port=0 WR @03=2E0324078306616435 + 7265.50ns INFO [00007267] Port=0 WR @04=A775D2E54427AEC7E9 + 7265.50ns INFO [00007267] Port=0 RD @00 + 7266.50ns INFO [00007268] Port=1 RD @00 + 7267.50ns INFO [00007269] * RD COMPARE * port=0 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7 + 7267.50ns INFO [00007269] Port=0 WR @03=ED12E14746F21A9823 + 7268.50ns INFO [00007270] * RD COMPARE * port=1 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7 + 7269.50ns INFO [00007271] Port=0 RD @01 + 7270.50ns INFO [00007272] Port=0 WR @03=1344E8DD44C3AFCC02 + 7271.50ns INFO [00007273] * RD COMPARE * port=0 adr=01 act=3E63DF0B547C87C6BF exp=3E63DF0B547C87C6BF + 7271.50ns INFO [00007273] Port=1 RD @03 + 7272.50ns INFO [00007274] Port=0 WR @02=47A76865B5508ACA62 + 7272.50ns INFO [00007274] Port=1 RD @03 + 7273.50ns INFO [00007275] * RD COMPARE * port=1 adr=03 act=1344E8DD44C3AFCC02 exp=1344E8DD44C3AFCC02 + 7273.50ns INFO [00007275] Port=0 WR @07=7E1B05714A6ED1E908 + 7273.50ns INFO [00007275] Port=1 RD @06 + 7274.50ns INFO [00007276] * RD COMPARE * port=1 adr=03 act=1344E8DD44C3AFCC02 exp=1344E8DD44C3AFCC02 + 7274.50ns INFO [00007276] Port=0 WR @01=32983BDE930DF294E1 + 7274.50ns INFO [00007276] Port=0 RD @03 + 7274.50ns INFO [00007276] Port=1 RD @05 + 7275.50ns INFO [00007277] * RD COMPARE * port=1 adr=06 act=13A5C71ED5CA020A37 exp=13A5C71ED5CA020A37 + 7275.50ns INFO [00007277] Port=0 RD @05 + 7276.50ns INFO [00007278] * RD COMPARE * port=0 adr=03 act=1344E8DD44C3AFCC02 exp=1344E8DD44C3AFCC02 + 7276.50ns INFO [00007278] * RD COMPARE * port=1 adr=05 act=6F417C1C4E0223F296 exp=6F417C1C4E0223F296 + 7276.50ns INFO [00007278] Port=0 WR @05=1DC8AF207DD84A2A3F + 7276.50ns INFO [00007278] Port=0 RD @01 + 7276.50ns INFO [00007278] Port=1 RD @03 + 7277.50ns INFO [00007279] * RD COMPARE * port=0 adr=05 act=6F417C1C4E0223F296 exp=6F417C1C4E0223F296 + 7277.50ns INFO [00007279] Port=1 RD @01 + 7278.50ns INFO [00007280] * RD COMPARE * port=0 adr=01 act=32983BDE930DF294E1 exp=32983BDE930DF294E1 + 7278.50ns INFO [00007280] * RD COMPARE * port=1 adr=03 act=1344E8DD44C3AFCC02 exp=1344E8DD44C3AFCC02 + 7279.50ns INFO [00007281] * RD COMPARE * port=1 adr=01 act=32983BDE930DF294E1 exp=32983BDE930DF294E1 + 7279.50ns INFO [00007281] Port=1 RD @00 + 7280.50ns INFO [00007282] Port=0 WR @07=EEA9E3369F4365E17C + 7280.50ns INFO [00007282] Port=1 RD @04 + 7281.50ns INFO [00007283] * RD COMPARE * port=1 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7 + 7281.50ns INFO [00007283] Port=1 RD @07 + 7282.50ns INFO [00007284] * RD COMPARE * port=1 adr=04 act=A775D2E54427AEC7E9 exp=A775D2E54427AEC7E9 + 7282.50ns INFO [00007284] Port=0 WR @03=8D5E68158FEE502B85 + 7283.50ns INFO [00007285] * RD COMPARE * port=1 adr=07 act=EEA9E3369F4365E17C exp=EEA9E3369F4365E17C + 7283.50ns INFO [00007285] Port=0 RD @00 + 7284.50ns INFO [00007286] Port=0 WR @07=BC6928E8F9EBEBEB86 + 7284.50ns INFO [00007286] Port=0 RD @01 + 7285.50ns INFO [00007287] * RD COMPARE * port=0 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7 + 7286.50ns INFO [00007288] * RD COMPARE * port=0 adr=01 act=32983BDE930DF294E1 exp=32983BDE930DF294E1 + 7286.50ns INFO [00007288] Port=0 WR @04=17A77318736FCA3B73 + 7287.50ns INFO [00007289] Port=0 WR @02=80C02632B6A84B31D9 + 7288.50ns INFO [00007290] Port=0 WR @04=DC19E861B0A2A5F997 + 7288.50ns INFO [00007290] Port=0 RD @05 + 7289.50ns INFO [00007291] Port=0 WR @04=82B7C99BF0C70FA4BB + 7290.50ns INFO [00007292] * RD COMPARE * port=0 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F + 7290.50ns INFO [00007292] Port=0 WR @04=E7D781DC23BFCA2BF1 + 7290.50ns INFO [00007292] Port=0 RD @02 + 7290.50ns INFO [00007292] Port=1 RD @01 + 7291.50ns INFO [00007293] Port=0 RD @04 + 7291.50ns INFO [00007293] Port=1 RD @01 + 7292.50ns INFO [00007294] * RD COMPARE * port=0 adr=02 act=80C02632B6A84B31D9 exp=80C02632B6A84B31D9 + 7292.50ns INFO [00007294] * RD COMPARE * port=1 adr=01 act=32983BDE930DF294E1 exp=32983BDE930DF294E1 + 7292.50ns INFO [00007294] Port=0 WR @01=D40F3098341FC862E8 + 7293.50ns INFO [00007295] * RD COMPARE * port=0 adr=04 act=E7D781DC23BFCA2BF1 exp=E7D781DC23BFCA2BF1 + 7293.50ns INFO [00007295] * RD COMPARE * port=1 adr=01 act=32983BDE930DF294E1 exp=32983BDE930DF294E1 + 7293.50ns INFO [00007295] Port=1 RD @07 + 7294.50ns INFO [00007296] Port=0 RD @04 + 7294.50ns INFO [00007296] Port=1 RD @07 + 7295.50ns INFO [00007297] * RD COMPARE * port=1 adr=07 act=BC6928E8F9EBEBEB86 exp=BC6928E8F9EBEBEB86 + 7296.50ns INFO [00007298] * RD COMPARE * port=0 adr=04 act=E7D781DC23BFCA2BF1 exp=E7D781DC23BFCA2BF1 + 7296.50ns INFO [00007298] * RD COMPARE * port=1 adr=07 act=BC6928E8F9EBEBEB86 exp=BC6928E8F9EBEBEB86 + 7296.50ns INFO [00007298] Port=0 WR @07=AF9DCFEFA1DD78EA21 + 7296.50ns INFO [00007298] Port=0 RD @02 + 7296.50ns INFO [00007298] Port=1 RD @02 + 7297.50ns INFO [00007299] Port=0 WR @04=7BE13349DB9212EA2D + 7297.50ns INFO [00007299] Port=0 RD @03 + 7297.50ns INFO [00007299] Port=1 RD @07 + 7298.00ns INFO [00007300] [00007300] ...tick... + 7298.50ns INFO [00007300] * RD COMPARE * port=0 adr=02 act=80C02632B6A84B31D9 exp=80C02632B6A84B31D9 + 7298.50ns INFO [00007300] * RD COMPARE * port=1 adr=02 act=80C02632B6A84B31D9 exp=80C02632B6A84B31D9 + 7299.50ns INFO [00007301] * RD COMPARE * port=0 adr=03 act=8D5E68158FEE502B85 exp=8D5E68158FEE502B85 + 7299.50ns INFO [00007301] * RD COMPARE * port=1 adr=07 act=AF9DCFEFA1DD78EA21 exp=AF9DCFEFA1DD78EA21 + 7299.50ns INFO [00007301] Port=0 WR @06=2FB402E34D187219AB + 7300.50ns INFO [00007302] Port=0 WR @00=D267EF023E309F03D0 + 7300.50ns INFO [00007302] Port=0 RD @04 + 7301.50ns INFO [00007303] Port=1 RD @00 + 7302.50ns INFO [00007304] * RD COMPARE * port=0 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D + 7302.50ns INFO [00007304] Port=1 RD @04 + 7303.50ns INFO [00007305] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0 + 7303.50ns INFO [00007305] Port=0 RD @02 + 7303.50ns INFO [00007305] Port=1 RD @03 + 7304.50ns INFO [00007306] * RD COMPARE * port=1 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D + 7304.50ns INFO [00007306] Port=0 RD @04 + 7305.50ns INFO [00007307] * RD COMPARE * port=0 adr=02 act=80C02632B6A84B31D9 exp=80C02632B6A84B31D9 + 7305.50ns INFO [00007307] * RD COMPARE * port=1 adr=03 act=8D5E68158FEE502B85 exp=8D5E68158FEE502B85 + 7305.50ns INFO [00007307] Port=0 WR @06=00854C20A7D716BCD1 + 7305.50ns INFO [00007307] Port=0 RD @01 + 7306.50ns INFO [00007308] * RD COMPARE * port=0 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D + 7307.50ns INFO [00007309] * RD COMPARE * port=0 adr=01 act=D40F3098341FC862E8 exp=D40F3098341FC862E8 + 7307.50ns INFO [00007309] Port=0 RD @03 + 7307.50ns INFO [00007309] Port=1 RD @07 + 7308.50ns INFO [00007310] Port=0 RD @06 + 7308.50ns INFO [00007310] Port=1 RD @05 + 7309.50ns INFO [00007311] * RD COMPARE * port=0 adr=03 act=8D5E68158FEE502B85 exp=8D5E68158FEE502B85 + 7309.50ns INFO [00007311] * RD COMPARE * port=1 adr=07 act=AF9DCFEFA1DD78EA21 exp=AF9DCFEFA1DD78EA21 + 7310.50ns INFO [00007312] * RD COMPARE * port=0 adr=06 act=00854C20A7D716BCD1 exp=00854C20A7D716BCD1 + 7310.50ns INFO [00007312] * RD COMPARE * port=1 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F + 7310.50ns INFO [00007312] Port=0 WR @02=0B192E7AFB10E4B300 + 7312.50ns INFO [00007314] Port=1 RD @00 + 7313.50ns INFO [00007315] Port=0 RD @03 + 7313.50ns INFO [00007315] Port=1 RD @04 + 7314.50ns INFO [00007316] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0 + 7314.50ns INFO [00007316] Port=1 RD @06 + 7315.50ns INFO [00007317] * RD COMPARE * port=0 adr=03 act=8D5E68158FEE502B85 exp=8D5E68158FEE502B85 + 7315.50ns INFO [00007317] * RD COMPARE * port=1 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D + 7316.50ns INFO [00007318] * RD COMPARE * port=1 adr=06 act=00854C20A7D716BCD1 exp=00854C20A7D716BCD1 + 7317.50ns INFO [00007319] Port=0 RD @07 + 7318.50ns INFO [00007320] Port=0 RD @02 + 7318.50ns INFO [00007320] Port=1 RD @01 + 7319.50ns INFO [00007321] * RD COMPARE * port=0 adr=07 act=AF9DCFEFA1DD78EA21 exp=AF9DCFEFA1DD78EA21 + 7319.50ns INFO [00007321] Port=0 WR @03=134E3154C33DEB7CAB + 7319.50ns INFO [00007321] Port=0 RD @05 + 7320.50ns INFO [00007322] * RD COMPARE * port=0 adr=02 act=0B192E7AFB10E4B300 exp=0B192E7AFB10E4B300 + 7320.50ns INFO [00007322] * RD COMPARE * port=1 adr=01 act=D40F3098341FC862E8 exp=D40F3098341FC862E8 + 7320.50ns INFO [00007322] Port=0 WR @07=4E9A77E289585FB37A + 7320.50ns INFO [00007322] Port=0 RD @00 + 7320.50ns INFO [00007322] Port=1 RD @03 + 7321.50ns INFO [00007323] * RD COMPARE * port=0 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F + 7321.50ns INFO [00007323] Port=1 RD @05 + 7322.50ns INFO [00007324] * RD COMPARE * port=0 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0 + 7322.50ns INFO [00007324] * RD COMPARE * port=1 adr=03 act=134E3154C33DEB7CAB exp=134E3154C33DEB7CAB + 7323.50ns INFO [00007325] * RD COMPARE * port=1 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F + 7323.50ns INFO [00007325] Port=0 WR @01=493E896C8A2256DCF8 + 7323.50ns INFO [00007325] Port=0 RD @02 + 7324.50ns INFO [00007326] Port=0 RD @02 + 7324.50ns INFO [00007326] Port=1 RD @00 + 7325.50ns INFO [00007327] * RD COMPARE * port=0 adr=02 act=0B192E7AFB10E4B300 exp=0B192E7AFB10E4B300 + 7325.50ns INFO [00007327] Port=0 RD @05 + 7326.50ns INFO [00007328] * RD COMPARE * port=0 adr=02 act=0B192E7AFB10E4B300 exp=0B192E7AFB10E4B300 + 7326.50ns INFO [00007328] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0 + 7326.50ns INFO [00007328] Port=0 WR @03=57AEB73FA821BDA855 + 7326.50ns INFO [00007328] Port=0 RD @07 + 7327.50ns INFO [00007329] * RD COMPARE * port=0 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F + 7327.50ns INFO [00007329] Port=0 WR @01=F238D42ECA445D5B43 + 7327.50ns INFO [00007329] Port=0 RD @02 + 7327.50ns INFO [00007329] Port=1 RD @00 + 7328.50ns INFO [00007330] * RD COMPARE * port=0 adr=07 act=4E9A77E289585FB37A exp=4E9A77E289585FB37A + 7328.50ns INFO [00007330] Port=0 RD @01 + 7329.50ns INFO [00007331] * RD COMPARE * port=0 adr=02 act=0B192E7AFB10E4B300 exp=0B192E7AFB10E4B300 + 7329.50ns INFO [00007331] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0 + 7329.50ns INFO [00007331] Port=0 RD @05 + 7330.50ns INFO [00007332] * RD COMPARE * port=0 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43 + 7330.50ns INFO [00007332] Port=0 WR @05=4C7C1E480FF4F11755 + 7330.50ns INFO [00007332] Port=0 RD @00 + 7331.50ns INFO [00007333] * RD COMPARE * port=0 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F + 7331.50ns INFO [00007333] Port=0 RD @02 + 7332.50ns INFO [00007334] * RD COMPARE * port=0 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0 + 7332.50ns INFO [00007334] Port=1 RD @03 + 7333.50ns INFO [00007335] * RD COMPARE * port=0 adr=02 act=0B192E7AFB10E4B300 exp=0B192E7AFB10E4B300 + 7333.50ns INFO [00007335] Port=0 RD @04 + 7334.50ns INFO [00007336] * RD COMPARE * port=1 adr=03 act=57AEB73FA821BDA855 exp=57AEB73FA821BDA855 + 7334.50ns INFO [00007336] Port=1 RD @01 + 7335.50ns INFO [00007337] * RD COMPARE * port=0 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D + 7335.50ns INFO [00007337] Port=1 RD @04 + 7336.50ns INFO [00007338] * RD COMPARE * port=1 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43 + 7336.50ns INFO [00007338] Port=1 RD @04 + 7337.50ns INFO [00007339] * RD COMPARE * port=1 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D + 7337.50ns INFO [00007339] Port=0 WR @05=1600432FDCCA29A839 + 7338.50ns INFO [00007340] * RD COMPARE * port=1 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D + 7338.50ns INFO [00007340] Port=1 RD @01 + 7339.50ns INFO [00007341] Port=0 RD @06 + 7339.50ns INFO [00007341] Port=1 RD @05 + 7340.50ns INFO [00007342] * RD COMPARE * port=1 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43 + 7340.50ns INFO [00007342] Port=0 RD @03 + 7341.50ns INFO [00007343] * RD COMPARE * port=0 adr=06 act=00854C20A7D716BCD1 exp=00854C20A7D716BCD1 + 7341.50ns INFO [00007343] * RD COMPARE * port=1 adr=05 act=1600432FDCCA29A839 exp=1600432FDCCA29A839 + 7341.50ns INFO [00007343] Port=1 RD @07 + 7342.50ns INFO [00007344] * RD COMPARE * port=0 adr=03 act=57AEB73FA821BDA855 exp=57AEB73FA821BDA855 + 7342.50ns INFO [00007344] Port=0 WR @07=BA6FBDD4C26AF279B2 + 7342.50ns INFO [00007344] Port=1 RD @00 + 7343.50ns INFO [00007345] * RD COMPARE * port=1 adr=07 act=4E9A77E289585FB37A exp=4E9A77E289585FB37A + 7344.50ns INFO [00007346] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0 + 7344.50ns INFO [00007346] Port=0 WR @04=3BBF4496F861718899 + 7345.50ns INFO [00007347] Port=1 RD @07 + 7346.50ns INFO [00007348] Port=1 RD @01 + 7347.50ns INFO [00007349] * RD COMPARE * port=1 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2 + 7347.50ns INFO [00007349] Port=0 WR @04=32A935E997D4771954 + 7347.50ns INFO [00007349] Port=0 RD @01 + 7348.50ns INFO [00007350] * RD COMPARE * port=1 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43 + 7348.50ns INFO [00007350] Port=1 RD @03 + 7349.50ns INFO [00007351] * RD COMPARE * port=0 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43 + 7349.50ns INFO [00007351] Port=1 RD @01 + 7350.50ns INFO [00007352] * RD COMPARE * port=1 adr=03 act=57AEB73FA821BDA855 exp=57AEB73FA821BDA855 + 7350.50ns INFO [00007352] Port=0 WR @02=72E46F7D256F3DBE83 + 7350.50ns INFO [00007352] Port=0 RD @06 + 7351.50ns INFO [00007353] * RD COMPARE * port=1 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43 + 7351.50ns INFO [00007353] Port=0 WR @01=45F47958620DC44BB7 + 7351.50ns INFO [00007353] Port=0 RD @00 + 7352.50ns INFO [00007354] * RD COMPARE * port=0 adr=06 act=00854C20A7D716BCD1 exp=00854C20A7D716BCD1 + 7352.50ns INFO [00007354] Port=0 WR @04=25AAA26ADB70715868 + 7352.50ns INFO [00007354] Port=0 RD @07 + 7352.50ns INFO [00007354] Port=1 RD @00 + 7353.50ns INFO [00007355] * RD COMPARE * port=0 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0 + 7354.50ns INFO [00007356] * RD COMPARE * port=0 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2 + 7354.50ns INFO [00007356] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0 + 7354.50ns INFO [00007356] Port=0 WR @04=A2B71B74F5C80B432C + 7354.50ns INFO [00007356] Port=0 RD @07 + 7354.50ns INFO [00007356] Port=1 RD @07 + 7355.50ns INFO [00007357] Port=1 RD @07 + 7356.50ns INFO [00007358] * RD COMPARE * port=0 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2 + 7356.50ns INFO [00007358] * RD COMPARE * port=1 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2 + 7356.50ns INFO [00007358] Port=0 WR @02=4AC6D93BDC1369F86A + 7356.50ns INFO [00007358] Port=0 RD @01 + 7357.50ns INFO [00007359] * RD COMPARE * port=1 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2 + 7357.50ns INFO [00007359] Port=0 WR @00=1B828ED40C271A53B2 + 7357.50ns INFO [00007359] Port=0 RD @03 + 7358.50ns INFO [00007360] * RD COMPARE * port=0 adr=01 act=45F47958620DC44BB7 exp=45F47958620DC44BB7 + 7358.50ns INFO [00007360] Port=0 WR @06=5B4AFAFD46B969AFDF + 7358.50ns INFO [00007360] Port=1 RD @07 + 7359.50ns INFO [00007361] * RD COMPARE * port=0 adr=03 act=57AEB73FA821BDA855 exp=57AEB73FA821BDA855 + 7359.50ns INFO [00007361] Port=0 WR @01=45D975AC46472B0848 + 7360.50ns INFO [00007362] * RD COMPARE * port=1 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2 + 7360.50ns INFO [00007362] Port=1 RD @03 + 7361.50ns INFO [00007363] Port=0 WR @04=53A7968CA82DFD043A + 7362.50ns INFO [00007364] * RD COMPARE * port=1 adr=03 act=57AEB73FA821BDA855 exp=57AEB73FA821BDA855 + 7362.50ns INFO [00007364] Port=0 WR @01=FC30DB383A8665E0BF + 7362.50ns INFO [00007364] Port=0 RD @06 + 7363.50ns INFO [00007365] Port=0 RD @01 + 7363.50ns INFO [00007365] Port=1 RD @04 + 7364.50ns INFO [00007366] * RD COMPARE * port=0 adr=06 act=5B4AFAFD46B969AFDF exp=5B4AFAFD46B969AFDF + 7364.50ns INFO [00007366] Port=0 WR @03=FFDC3409E9DED823CC + 7364.50ns INFO [00007366] Port=0 RD @05 + 7365.50ns INFO [00007367] * RD COMPARE * port=0 adr=01 act=FC30DB383A8665E0BF exp=FC30DB383A8665E0BF + 7365.50ns INFO [00007367] * RD COMPARE * port=1 adr=04 act=53A7968CA82DFD043A exp=53A7968CA82DFD043A + 7365.50ns INFO [00007367] Port=1 RD @06 + 7366.50ns INFO [00007368] * RD COMPARE * port=0 adr=05 act=1600432FDCCA29A839 exp=1600432FDCCA29A839 + 7366.50ns INFO [00007368] Port=1 RD @00 + 7367.50ns INFO [00007369] * RD COMPARE * port=1 adr=06 act=5B4AFAFD46B969AFDF exp=5B4AFAFD46B969AFDF + 7367.50ns INFO [00007369] Port=0 WR @01=ACAA546AEF13E4C1B1 + 7367.50ns INFO [00007369] Port=1 RD @04 + 7368.50ns INFO [00007370] * RD COMPARE * port=1 adr=00 act=1B828ED40C271A53B2 exp=1B828ED40C271A53B2 + 7368.50ns INFO [00007370] Port=0 WR @06=BB5B39D7A940A740D1 + 7368.50ns INFO [00007370] Port=1 RD @00 + 7369.50ns INFO [00007371] * RD COMPARE * port=1 adr=04 act=53A7968CA82DFD043A exp=53A7968CA82DFD043A + 7369.50ns INFO [00007371] Port=0 RD @01 + 7369.50ns INFO [00007371] Port=1 RD @07 + 7370.50ns INFO [00007372] * RD COMPARE * port=1 adr=00 act=1B828ED40C271A53B2 exp=1B828ED40C271A53B2 + 7371.50ns INFO [00007373] * RD COMPARE * port=0 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1 + 7371.50ns INFO [00007373] * RD COMPARE * port=1 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2 + 7371.50ns INFO [00007373] Port=0 WR @07=47126A0681998BDF9F + 7372.50ns INFO [00007374] Port=0 RD @00 + 7374.50ns INFO [00007376] * RD COMPARE * port=0 adr=00 act=1B828ED40C271A53B2 exp=1B828ED40C271A53B2 + 7374.50ns INFO [00007376] Port=0 WR @03=D00C62BEFE7833B4A4 + 7374.50ns INFO [00007376] Port=1 RD @02 + 7375.50ns INFO [00007377] Port=0 RD @04 + 7375.50ns INFO [00007377] Port=1 RD @02 + 7376.50ns INFO [00007378] * RD COMPARE * port=1 adr=02 act=4AC6D93BDC1369F86A exp=4AC6D93BDC1369F86A + 7376.50ns INFO [00007378] Port=1 RD @03 + 7377.50ns INFO [00007379] * RD COMPARE * port=0 adr=04 act=53A7968CA82DFD043A exp=53A7968CA82DFD043A + 7377.50ns INFO [00007379] * RD COMPARE * port=1 adr=02 act=4AC6D93BDC1369F86A exp=4AC6D93BDC1369F86A + 7377.50ns INFO [00007379] Port=0 WR @02=37D26972BE177D8365 + 7377.50ns INFO [00007379] Port=1 RD @04 + 7378.50ns INFO [00007380] * RD COMPARE * port=1 adr=03 act=D00C62BEFE7833B4A4 exp=D00C62BEFE7833B4A4 + 7378.50ns INFO [00007380] Port=0 WR @03=23DA47BF4FA47CEF04 + 7378.50ns INFO [00007380] Port=0 RD @04 + 7379.50ns INFO [00007381] * RD COMPARE * port=1 adr=04 act=53A7968CA82DFD043A exp=53A7968CA82DFD043A + 7380.50ns INFO [00007382] * RD COMPARE * port=0 adr=04 act=53A7968CA82DFD043A exp=53A7968CA82DFD043A + 7380.50ns INFO [00007382] Port=0 WR @00=715CE650C39B259DCA + 7380.50ns INFO [00007382] Port=1 RD @01 + 7381.50ns INFO [00007383] Port=1 RD @02 + 7382.50ns INFO [00007384] * RD COMPARE * port=1 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1 + 7382.50ns INFO [00007384] Port=0 WR @04=385127AC0815854115 + 7382.50ns INFO [00007384] Port=0 RD @06 + 7383.50ns INFO [00007385] * RD COMPARE * port=1 adr=02 act=37D26972BE177D8365 exp=37D26972BE177D8365 + 7383.50ns INFO [00007385] Port=0 RD @01 + 7384.50ns INFO [00007386] * RD COMPARE * port=0 adr=06 act=BB5B39D7A940A740D1 exp=BB5B39D7A940A740D1 + 7384.50ns INFO [00007386] Port=0 WR @07=3979768F788D2281EE + 7384.50ns INFO [00007386] Port=0 RD @01 + 7385.50ns INFO [00007387] * RD COMPARE * port=0 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1 + 7385.50ns INFO [00007387] Port=0 WR @05=4D14663AF2742618BF + 7385.50ns INFO [00007387] Port=0 RD @06 + 7385.50ns INFO [00007387] Port=1 RD @02 + 7386.50ns INFO [00007388] * RD COMPARE * port=0 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1 + 7387.50ns INFO [00007389] * RD COMPARE * port=0 adr=06 act=BB5B39D7A940A740D1 exp=BB5B39D7A940A740D1 + 7387.50ns INFO [00007389] * RD COMPARE * port=1 adr=02 act=37D26972BE177D8365 exp=37D26972BE177D8365 + 7387.50ns INFO [00007389] Port=0 WR @06=2AA53171976CF80205 + 7388.50ns INFO [00007390] Port=0 RD @00 + 7390.50ns INFO [00007392] * RD COMPARE * port=0 adr=00 act=715CE650C39B259DCA exp=715CE650C39B259DCA + 7390.50ns INFO [00007392] Port=0 RD @00 + 7390.50ns INFO [00007392] Port=1 RD @01 + 7391.50ns INFO [00007393] Port=0 RD @07 + 7392.50ns INFO [00007394] * RD COMPARE * port=0 adr=00 act=715CE650C39B259DCA exp=715CE650C39B259DCA + 7392.50ns INFO [00007394] * RD COMPARE * port=1 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1 + 7392.50ns INFO [00007394] Port=0 WR @06=834102C73989FD7D33 + 7392.50ns INFO [00007394] Port=1 RD @00 + 7393.50ns INFO [00007395] * RD COMPARE * port=0 adr=07 act=3979768F788D2281EE exp=3979768F788D2281EE + 7393.50ns INFO [00007395] Port=0 WR @05=BA052966182B43D301 + 7394.50ns INFO [00007396] * RD COMPARE * port=1 adr=00 act=715CE650C39B259DCA exp=715CE650C39B259DCA + 7394.50ns INFO [00007396] Port=0 WR @06=C3C0FE5C73F2FAEACA + 7395.50ns INFO [00007397] Port=0 WR @04=B3A71540EE59E59487 + 7395.50ns INFO [00007397] Port=1 RD @00 + 7396.50ns INFO [00007398] Port=0 RD @00 + 7397.50ns INFO [00007399] * RD COMPARE * port=1 adr=00 act=715CE650C39B259DCA exp=715CE650C39B259DCA + 7398.00ns INFO [00007400] [00007400] ...tick... + 7398.50ns INFO [00007400] * RD COMPARE * port=0 adr=00 act=715CE650C39B259DCA exp=715CE650C39B259DCA + 7399.50ns INFO [00007401] Port=1 RD @02 + 7400.50ns INFO [00007402] Port=0 RD @05 + 7400.50ns INFO [00007402] Port=1 RD @01 + 7401.50ns INFO [00007403] * RD COMPARE * port=1 adr=02 act=37D26972BE177D8365 exp=37D26972BE177D8365 + 7401.50ns INFO [00007403] Port=0 WR @01=ABBC080437D2181135 + 7401.50ns INFO [00007403] Port=1 RD @03 + 7402.50ns INFO [00007404] * RD COMPARE * port=0 adr=05 act=BA052966182B43D301 exp=BA052966182B43D301 + 7402.50ns INFO [00007404] * RD COMPARE * port=1 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1 + 7402.50ns INFO [00007404] Port=0 WR @06=1C68B4B21E7BFF2884 + 7403.50ns INFO [00007405] * RD COMPARE * port=1 adr=03 act=23DA47BF4FA47CEF04 exp=23DA47BF4FA47CEF04 + 7403.50ns INFO [00007405] Port=0 WR @03=EEF929F532BC4EB0D0 + 7404.50ns INFO [00007406] Port=0 WR @07=3A0ACD280F5E65F48A + 7404.50ns INFO [00007406] Port=1 RD @06 + 7405.50ns INFO [00007407] Port=0 WR @00=CEB12DF23C1D186C58 + 7406.50ns INFO [00007408] * RD COMPARE * port=1 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884 + 7406.50ns INFO [00007408] Port=0 RD @07 + 7406.50ns INFO [00007408] Port=1 RD @07 + 7408.50ns INFO [00007410] * RD COMPARE * port=0 adr=07 act=3A0ACD280F5E65F48A exp=3A0ACD280F5E65F48A + 7408.50ns INFO [00007410] * RD COMPARE * port=1 adr=07 act=3A0ACD280F5E65F48A exp=3A0ACD280F5E65F48A + 7408.50ns INFO [00007410] Port=0 WR @07=1A9DA4031854746B0B + 7408.50ns INFO [00007410] Port=0 RD @02 + 7409.50ns INFO [00007411] Port=0 RD @01 + 7410.50ns INFO [00007412] * RD COMPARE * port=0 adr=02 act=37D26972BE177D8365 exp=37D26972BE177D8365 + 7410.50ns INFO [00007412] Port=0 WR @03=6E8CFF218954948595 + 7410.50ns INFO [00007412] Port=1 RD @04 + 7411.50ns INFO [00007413] * RD COMPARE * port=0 adr=01 act=ABBC080437D2181135 exp=ABBC080437D2181135 + 7411.50ns INFO [00007413] Port=0 RD @07 + 7412.50ns INFO [00007414] * RD COMPARE * port=1 adr=04 act=B3A71540EE59E59487 exp=B3A71540EE59E59487 + 7412.50ns INFO [00007414] Port=0 RD @06 + 7412.50ns INFO [00007414] Port=1 RD @06 + 7413.50ns INFO [00007415] * RD COMPARE * port=0 adr=07 act=1A9DA4031854746B0B exp=1A9DA4031854746B0B + 7413.50ns INFO [00007415] Port=1 RD @02 + 7414.50ns INFO [00007416] * RD COMPARE * port=0 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884 + 7414.50ns INFO [00007416] * RD COMPARE * port=1 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884 + 7414.50ns INFO [00007416] Port=0 WR @03=3E0D2353BA611BB5E6 + 7415.50ns INFO [00007417] * RD COMPARE * port=1 adr=02 act=37D26972BE177D8365 exp=37D26972BE177D8365 + 7415.50ns INFO [00007417] Port=0 WR @02=21F4C12C0F00348D72 + 7415.50ns INFO [00007417] Port=0 RD @05 + 7416.50ns INFO [00007418] Port=0 WR @03=1823F2383740236581 + 7417.50ns INFO [00007419] * RD COMPARE * port=0 adr=05 act=BA052966182B43D301 exp=BA052966182B43D301 + 7418.50ns INFO [00007420] Port=0 RD @01 + 7419.50ns INFO [00007421] Port=1 RD @01 + 7420.50ns INFO [00007422] * RD COMPARE * port=0 adr=01 act=ABBC080437D2181135 exp=ABBC080437D2181135 + 7420.50ns INFO [00007422] Port=0 WR @04=FBB25D51244EF0120A + 7420.50ns INFO [00007422] Port=1 RD @06 + 7421.50ns INFO [00007423] * RD COMPARE * port=1 adr=01 act=ABBC080437D2181135 exp=ABBC080437D2181135 + 7421.50ns INFO [00007423] Port=1 RD @06 + 7422.50ns INFO [00007424] * RD COMPARE * port=1 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884 + 7422.50ns INFO [00007424] Port=1 RD @06 + 7423.50ns INFO [00007425] * RD COMPARE * port=1 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884 + 7423.50ns INFO [00007425] Port=0 WR @01=E53FA4696E3BABF424 + 7423.50ns INFO [00007425] Port=0 RD @00 + 7423.50ns INFO [00007425] Port=1 RD @07 + 7424.50ns INFO [00007426] * RD COMPARE * port=1 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884 + 7424.50ns INFO [00007426] Port=0 WR @03=834710DF889F56FF47 + 7424.50ns INFO [00007426] Port=1 RD @01 + 7425.50ns INFO [00007427] * RD COMPARE * port=0 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7425.50ns INFO [00007427] * RD COMPARE * port=1 adr=07 act=1A9DA4031854746B0B exp=1A9DA4031854746B0B + 7425.50ns INFO [00007427] Port=0 WR @05=F8AF16969B505890AC + 7425.50ns INFO [00007427] Port=0 RD @03 + 7425.50ns INFO [00007427] Port=1 RD @01 + 7426.50ns INFO [00007428] * RD COMPARE * port=1 adr=01 act=E53FA4696E3BABF424 exp=E53FA4696E3BABF424 + 7426.50ns INFO [00007428] Port=0 RD @06 + 7426.50ns INFO [00007428] Port=1 RD @00 + 7427.50ns INFO [00007429] * RD COMPARE * port=0 adr=03 act=834710DF889F56FF47 exp=834710DF889F56FF47 + 7427.50ns INFO [00007429] * RD COMPARE * port=1 adr=01 act=E53FA4696E3BABF424 exp=E53FA4696E3BABF424 + 7427.50ns INFO [00007429] Port=0 WR @04=8EDD9338BB4E180FBC + 7427.50ns INFO [00007429] Port=1 RD @00 + 7428.50ns INFO [00007430] * RD COMPARE * port=0 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884 + 7428.50ns INFO [00007430] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7428.50ns INFO [00007430] Port=0 WR @04=82B555605CB23604BC + 7428.50ns INFO [00007430] Port=1 RD @02 + 7429.50ns INFO [00007431] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7430.50ns INFO [00007432] * RD COMPARE * port=1 adr=02 act=21F4C12C0F00348D72 exp=21F4C12C0F00348D72 + 7430.50ns INFO [00007432] Port=0 RD @04 + 7431.50ns INFO [00007433] Port=0 RD @04 + 7432.50ns INFO [00007434] * RD COMPARE * port=0 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC + 7433.50ns INFO [00007435] * RD COMPARE * port=0 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC + 7433.50ns INFO [00007435] Port=0 RD @03 + 7433.50ns INFO [00007435] Port=1 RD @04 + 7434.50ns INFO [00007436] Port=1 RD @04 + 7435.50ns INFO [00007437] * RD COMPARE * port=0 adr=03 act=834710DF889F56FF47 exp=834710DF889F56FF47 + 7435.50ns INFO [00007437] * RD COMPARE * port=1 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC + 7435.50ns INFO [00007437] Port=0 WR @02=A1630F5157ED753F1A + 7435.50ns INFO [00007437] Port=1 RD @01 + 7436.50ns INFO [00007438] * RD COMPARE * port=1 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC + 7437.50ns INFO [00007439] * RD COMPARE * port=1 adr=01 act=E53FA4696E3BABF424 exp=E53FA4696E3BABF424 + 7437.50ns INFO [00007439] Port=0 WR @07=915A8E6EC23847C036 + 7437.50ns INFO [00007439] Port=1 RD @04 + 7438.50ns INFO [00007440] Port=0 WR @05=D7952F93E91D431697 + 7439.50ns INFO [00007441] * RD COMPARE * port=1 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC + 7439.50ns INFO [00007441] Port=0 RD @01 + 7439.50ns INFO [00007441] Port=1 RD @04 + 7440.50ns INFO [00007442] Port=0 WR @05=6BE667EB63E571C19B + 7441.50ns INFO [00007443] * RD COMPARE * port=0 adr=01 act=E53FA4696E3BABF424 exp=E53FA4696E3BABF424 + 7441.50ns INFO [00007443] * RD COMPARE * port=1 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC + 7441.50ns INFO [00007443] Port=0 WR @04=80BB57B87298366429 + 7441.50ns INFO [00007443] Port=0 RD @07 + 7442.50ns INFO [00007444] Port=0 WR @07=E038AD5BCA3E6CD387 + 7442.50ns INFO [00007444] Port=0 RD @00 + 7442.50ns INFO [00007444] Port=1 RD @01 + 7443.50ns INFO [00007445] * RD COMPARE * port=0 adr=07 act=915A8E6EC23847C036 exp=915A8E6EC23847C036 + 7443.50ns INFO [00007445] Port=0 WR @06=D33C38A44A5B270D4B + 7443.50ns INFO [00007445] Port=0 RD @04 + 7443.50ns INFO [00007445] Port=1 RD @00 + 7444.50ns INFO [00007446] * RD COMPARE * port=0 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7444.50ns INFO [00007446] * RD COMPARE * port=1 adr=01 act=E53FA4696E3BABF424 exp=E53FA4696E3BABF424 + 7444.50ns INFO [00007446] Port=0 WR @01=BB569DA3A531624013 + 7445.50ns INFO [00007447] * RD COMPARE * port=0 adr=04 act=80BB57B87298366429 exp=80BB57B87298366429 + 7445.50ns INFO [00007447] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7445.50ns INFO [00007447] Port=0 WR @06=F85CEC028AE867A375 + 7445.50ns INFO [00007447] Port=0 RD @00 + 7445.50ns INFO [00007447] Port=1 RD @05 + 7446.50ns INFO [00007448] Port=0 WR @04=8D0A3B470EE1239C8C + 7446.50ns INFO [00007448] Port=1 RD @06 + 7447.50ns INFO [00007449] * RD COMPARE * port=0 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7447.50ns INFO [00007449] * RD COMPARE * port=1 adr=05 act=6BE667EB63E571C19B exp=6BE667EB63E571C19B + 7447.50ns INFO [00007449] Port=0 RD @05 + 7447.50ns INFO [00007449] Port=1 RD @06 + 7448.50ns INFO [00007450] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375 + 7448.50ns INFO [00007450] Port=0 RD @06 + 7448.50ns INFO [00007450] Port=1 RD @00 + 7449.50ns INFO [00007451] * RD COMPARE * port=0 adr=05 act=6BE667EB63E571C19B exp=6BE667EB63E571C19B + 7449.50ns INFO [00007451] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375 + 7449.50ns INFO [00007451] Port=1 RD @02 + 7450.50ns INFO [00007452] * RD COMPARE * port=0 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375 + 7450.50ns INFO [00007452] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7450.50ns INFO [00007452] Port=1 RD @05 + 7451.50ns INFO [00007453] * RD COMPARE * port=1 adr=02 act=A1630F5157ED753F1A exp=A1630F5157ED753F1A + 7451.50ns INFO [00007453] Port=0 WR @01=7D996FFBE419983D09 + 7451.50ns INFO [00007453] Port=0 RD @04 + 7451.50ns INFO [00007453] Port=1 RD @00 + 7452.50ns INFO [00007454] * RD COMPARE * port=1 adr=05 act=6BE667EB63E571C19B exp=6BE667EB63E571C19B + 7452.50ns INFO [00007454] Port=0 WR @05=D7B0AEFB083D7B3FFC + 7452.50ns INFO [00007454] Port=0 RD @00 + 7452.50ns INFO [00007454] Port=1 RD @00 + 7453.50ns INFO [00007455] * RD COMPARE * port=0 adr=04 act=8D0A3B470EE1239C8C exp=8D0A3B470EE1239C8C + 7453.50ns INFO [00007455] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7453.50ns INFO [00007455] Port=0 WR @07=AB844BDE7A5FBDFB50 + 7453.50ns INFO [00007455] Port=1 RD @03 + 7454.50ns INFO [00007456] * RD COMPARE * port=0 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7454.50ns INFO [00007456] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7454.50ns INFO [00007456] Port=0 WR @07=1F8834D03DBFB59702 + 7455.50ns INFO [00007457] * RD COMPARE * port=1 adr=03 act=834710DF889F56FF47 exp=834710DF889F56FF47 + 7456.50ns INFO [00007458] Port=0 WR @03=11C7A5917F54984371 + 7456.50ns INFO [00007458] Port=1 RD @00 + 7457.50ns INFO [00007459] Port=0 WR @01=A1F2D4D36CA99DCEE6 + 7457.50ns INFO [00007459] Port=1 RD @06 + 7458.50ns INFO [00007460] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7458.50ns INFO [00007460] Port=0 WR @03=59CC1300FF21DF33B7 + 7458.50ns INFO [00007460] Port=0 RD @05 + 7458.50ns INFO [00007460] Port=1 RD @06 + 7459.50ns INFO [00007461] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375 + 7459.50ns INFO [00007461] Port=1 RD @06 + 7460.50ns INFO [00007462] * RD COMPARE * port=0 adr=05 act=D7B0AEFB083D7B3FFC exp=D7B0AEFB083D7B3FFC + 7460.50ns INFO [00007462] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375 + 7461.50ns INFO [00007463] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375 + 7463.50ns INFO [00007465] Port=0 WR @07=2F3A1DB2E8BFC0C2CF + 7463.50ns INFO [00007465] Port=0 RD @06 + 7463.50ns INFO [00007465] Port=1 RD @06 + 7465.50ns INFO [00007467] * RD COMPARE * port=0 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375 + 7465.50ns INFO [00007467] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375 + 7466.50ns INFO [00007468] Port=0 WR @01=A518352ABC5E599FB2 + 7467.50ns INFO [00007469] Port=0 WR @04=4D46D5A75DAA1D64F3 + 7468.50ns INFO [00007470] Port=0 WR @05=30C621E7E9BF5AD8F2 + 7469.50ns INFO [00007471] Port=1 RD @00 + 7470.50ns INFO [00007472] Port=0 WR @05=47C9FBEC3DD4EFA659 + 7471.50ns INFO [00007473] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7472.50ns INFO [00007474] Port=0 RD @07 + 7473.50ns INFO [00007475] Port=0 RD @00 + 7474.50ns INFO [00007476] * RD COMPARE * port=0 adr=07 act=2F3A1DB2E8BFC0C2CF exp=2F3A1DB2E8BFC0C2CF + 7474.50ns INFO [00007476] Port=1 RD @01 + 7475.50ns INFO [00007477] * RD COMPARE * port=0 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7475.50ns INFO [00007477] Port=0 WR @07=027A9F20F99043FB8F + 7475.50ns INFO [00007477] Port=0 RD @06 + 7476.50ns INFO [00007478] * RD COMPARE * port=1 adr=01 act=A518352ABC5E599FB2 exp=A518352ABC5E599FB2 + 7476.50ns INFO [00007478] Port=1 RD @00 + 7477.50ns INFO [00007479] * RD COMPARE * port=0 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375 + 7477.50ns INFO [00007479] Port=1 RD @04 + 7478.50ns INFO [00007480] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58 + 7479.50ns INFO [00007481] * RD COMPARE * port=1 adr=04 act=4D46D5A75DAA1D64F3 exp=4D46D5A75DAA1D64F3 + 7480.50ns INFO [00007482] Port=1 RD @04 + 7481.50ns INFO [00007483] Port=0 WR @00=827222CC3BF5B9E59F + 7481.50ns INFO [00007483] Port=1 RD @03 + 7482.50ns INFO [00007484] * RD COMPARE * port=1 adr=04 act=4D46D5A75DAA1D64F3 exp=4D46D5A75DAA1D64F3 + 7482.50ns INFO [00007484] Port=0 WR @02=2153CFD8BDAB55E37E + 7482.50ns INFO [00007484] Port=0 RD @04 + 7482.50ns INFO [00007484] Port=1 RD @06 + 7483.50ns INFO [00007485] * RD COMPARE * port=1 adr=03 act=59CC1300FF21DF33B7 exp=59CC1300FF21DF33B7 + 7483.50ns INFO [00007485] Port=1 RD @07 + 7484.50ns INFO [00007486] * RD COMPARE * port=0 adr=04 act=4D46D5A75DAA1D64F3 exp=4D46D5A75DAA1D64F3 + 7484.50ns INFO [00007486] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375 + 7484.50ns INFO [00007486] Port=0 WR @06=306CC011872E09CA2F + 7484.50ns INFO [00007486] Port=1 RD @04 + 7485.50ns INFO [00007487] * RD COMPARE * port=1 adr=07 act=027A9F20F99043FB8F exp=027A9F20F99043FB8F + 7486.50ns INFO [00007488] * RD COMPARE * port=1 adr=04 act=4D46D5A75DAA1D64F3 exp=4D46D5A75DAA1D64F3 + 7486.50ns INFO [00007488] Port=1 RD @02 + 7487.50ns INFO [00007489] Port=0 WR @04=640E54D3C013677E1D + 7487.50ns INFO [00007489] Port=0 RD @00 + 7487.50ns INFO [00007489] Port=1 RD @03 + 7488.50ns INFO [00007490] * RD COMPARE * port=1 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E + 7488.50ns INFO [00007490] Port=0 WR @03=2A1A33DD4EB32341C7 + 7488.50ns INFO [00007490] Port=0 RD @02 + 7488.50ns INFO [00007490] Port=1 RD @02 + 7489.50ns INFO [00007491] * RD COMPARE * port=0 adr=00 act=827222CC3BF5B9E59F exp=827222CC3BF5B9E59F + 7489.50ns INFO [00007491] * RD COMPARE * port=1 adr=03 act=59CC1300FF21DF33B7 exp=59CC1300FF21DF33B7 + 7489.50ns INFO [00007491] Port=1 RD @02 + 7490.50ns INFO [00007492] * RD COMPARE * port=0 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E + 7490.50ns INFO [00007492] * RD COMPARE * port=1 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E + 7490.50ns INFO [00007492] Port=1 RD @04 + 7491.50ns INFO [00007493] * RD COMPARE * port=1 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E + 7492.50ns INFO [00007494] * RD COMPARE * port=1 adr=04 act=640E54D3C013677E1D exp=640E54D3C013677E1D + 7492.50ns INFO [00007494] Port=0 WR @06=8708AEFCD2680DACEF + 7493.50ns INFO [00007495] Port=1 RD @02 + 7495.50ns INFO [00007497] * RD COMPARE * port=1 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E + 7497.50ns INFO [00007499] Port=0 WR @00=3D7326CF254645C655 + 7497.50ns INFO [00007499] Port=0 RD @05 + 7497.50ns INFO [00007499] Port=1 RD @01 + 7498.00ns INFO [00007500] [00007500] ...tick... + 7498.50ns INFO [00007500] Port=0 WR @00=76B40AA73B6267F441 + 7499.50ns INFO [00007501] * RD COMPARE * port=0 adr=05 act=47C9FBEC3DD4EFA659 exp=47C9FBEC3DD4EFA659 + 7499.50ns INFO [00007501] * RD COMPARE * port=1 adr=01 act=A518352ABC5E599FB2 exp=A518352ABC5E599FB2 + 7499.50ns INFO [00007501] Port=0 RD @06 + 7500.50ns INFO [00007502] Port=0 WR @05=2C89FC555AC40669AF + 7501.50ns INFO [00007503] * RD COMPARE * port=0 adr=06 act=8708AEFCD2680DACEF exp=8708AEFCD2680DACEF + 7503.50ns INFO [00007505] Port=0 WR @06=340D1CEEAD9670FC70 + 7504.50ns INFO [00007506] Port=0 WR @05=386DE075580C491C43 + 7505.50ns INFO [00007507] Port=1 RD @03 + 7506.50ns INFO [00007508] Port=0 WR @05=9B48C9184C95CC1072 + 7506.50ns INFO [00007508] Port=1 RD @03 + 7507.50ns INFO [00007509] * RD COMPARE * port=1 adr=03 act=2A1A33DD4EB32341C7 exp=2A1A33DD4EB32341C7 + 7507.50ns INFO [00007509] Port=0 WR @03=2D02A589BC270BC1CA + 7507.50ns INFO [00007509] Port=0 RD @02 + 7507.50ns INFO [00007509] Port=1 RD @07 + 7508.50ns INFO [00007510] * RD COMPARE * port=1 adr=03 act=2A1A33DD4EB32341C7 exp=2A1A33DD4EB32341C7 + 7509.50ns INFO [00007511] * RD COMPARE * port=0 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E + 7509.50ns INFO [00007511] * RD COMPARE * port=1 adr=07 act=027A9F20F99043FB8F exp=027A9F20F99043FB8F + 7509.50ns INFO [00007511] Port=0 WR @01=0A967BE4EC2F1D6A6B + 7509.50ns INFO [00007511] Port=0 RD @04 + 7509.50ns INFO [00007511] Port=1 RD @02 + 7510.50ns INFO [00007512] Port=0 WR @07=1E85D79EABE2020ED2 + 7511.50ns INFO [00007513] * RD COMPARE * port=0 adr=04 act=640E54D3C013677E1D exp=640E54D3C013677E1D + 7511.50ns INFO [00007513] * RD COMPARE * port=1 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E + 7514.50ns INFO [00007516] Port=1 RD @03 + 7515.50ns INFO [00007517] Port=0 WR @00=C9BE5CA88DEA444DE0 + 7516.50ns INFO [00007518] * RD COMPARE * port=1 adr=03 act=2D02A589BC270BC1CA exp=2D02A589BC270BC1CA + 7516.50ns INFO [00007518] Port=0 WR @07=B5067C7EB2C124AAEC + 7516.50ns INFO [00007518] Port=0 RD @02 + 7517.50ns INFO [00007519] Port=0 RD @06 + 7518.50ns INFO [00007520] * RD COMPARE * port=0 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E + 7519.50ns INFO [00007521] * RD COMPARE * port=0 adr=06 act=340D1CEEAD9670FC70 exp=340D1CEEAD9670FC70 + 7520.50ns INFO [00007522] Port=0 WR @00=249E4849E283408F4F + 7521.50ns INFO [00007523] Port=0 WR @02=92119845AA64C83185 + 7521.50ns INFO [00007523] Port=1 RD @00 + 7522.50ns INFO [00007524] Port=0 WR @06=70361A3A0CE16C58C6 + 7522.50ns INFO [00007524] Port=0 RD @02 + 7522.50ns INFO [00007524] Port=1 RD @00 + 7523.50ns INFO [00007525] * RD COMPARE * port=1 adr=00 act=249E4849E283408F4F exp=249E4849E283408F4F + 7523.50ns INFO [00007525] Port=0 WR @03=7DC841F159965A759E + 7523.50ns INFO [00007525] Port=0 RD @05 + 7523.50ns INFO [00007525] Port=1 RD @02 + 7524.50ns INFO [00007526] * RD COMPARE * port=0 adr=02 act=92119845AA64C83185 exp=92119845AA64C83185 + 7524.50ns INFO [00007526] * RD COMPARE * port=1 adr=00 act=249E4849E283408F4F exp=249E4849E283408F4F + 7524.50ns INFO [00007526] Port=0 RD @00 + 7525.50ns INFO [00007527] * RD COMPARE * port=0 adr=05 act=9B48C9184C95CC1072 exp=9B48C9184C95CC1072 + 7525.50ns INFO [00007527] * RD COMPARE * port=1 adr=02 act=92119845AA64C83185 exp=92119845AA64C83185 + 7525.50ns INFO [00007527] Port=0 RD @06 + 7526.50ns INFO [00007528] * RD COMPARE * port=0 adr=00 act=249E4849E283408F4F exp=249E4849E283408F4F + 7526.50ns INFO [00007528] Port=0 WR @00=844249C4F76452686E + 7527.50ns INFO [00007529] * RD COMPARE * port=0 adr=06 act=70361A3A0CE16C58C6 exp=70361A3A0CE16C58C6 + 7527.50ns INFO [00007529] Port=0 RD @06 + 7528.50ns INFO [00007530] Port=0 WR @07=5455FBDE08455E01F4 + 7528.50ns INFO [00007530] Port=1 RD @01 + 7529.50ns INFO [00007531] * RD COMPARE * port=0 adr=06 act=70361A3A0CE16C58C6 exp=70361A3A0CE16C58C6 + 7529.50ns INFO [00007531] Port=0 WR @01=D1D8E14F0AF3CE08FB + 7530.50ns INFO [00007532] * RD COMPARE * port=1 adr=01 act=0A967BE4EC2F1D6A6B exp=0A967BE4EC2F1D6A6B + 7530.50ns INFO [00007532] Port=0 RD @02 + 7532.50ns INFO [00007534] * RD COMPARE * port=0 adr=02 act=92119845AA64C83185 exp=92119845AA64C83185 + 7532.50ns INFO [00007534] Port=0 WR @01=11C7471499872809F6 + 7535.50ns INFO [00007537] Port=1 RD @05 + 7536.50ns INFO [00007538] Port=0 WR @06=B1D073F2F512CA842B + 7536.50ns INFO [00007538] Port=1 RD @02 + 7537.50ns INFO [00007539] * RD COMPARE * port=1 adr=05 act=9B48C9184C95CC1072 exp=9B48C9184C95CC1072 + 7537.50ns INFO [00007539] Port=0 WR @00=2E5E545113C269206A + 7538.50ns INFO [00007540] * RD COMPARE * port=1 adr=02 act=92119845AA64C83185 exp=92119845AA64C83185 + 7538.50ns INFO [00007540] Port=0 RD @04 + 7539.50ns INFO [00007541] Port=0 RD @00 + 7539.50ns INFO [00007541] Port=1 RD @04 + 7540.50ns INFO [00007542] * RD COMPARE * port=0 adr=04 act=640E54D3C013677E1D exp=640E54D3C013677E1D + 7540.50ns INFO [00007542] Port=0 RD @04 + 7540.50ns INFO [00007542] Port=1 RD @06 + 7541.50ns INFO [00007543] * RD COMPARE * port=0 adr=00 act=2E5E545113C269206A exp=2E5E545113C269206A + 7541.50ns INFO [00007543] * RD COMPARE * port=1 adr=04 act=640E54D3C013677E1D exp=640E54D3C013677E1D + 7542.50ns INFO [00007544] * RD COMPARE * port=0 adr=04 act=640E54D3C013677E1D exp=640E54D3C013677E1D + 7542.50ns INFO [00007544] * RD COMPARE * port=1 adr=06 act=B1D073F2F512CA842B exp=B1D073F2F512CA842B + 7544.50ns INFO [00007546] Port=1 RD @03 + 7545.50ns INFO [00007547] Port=0 WR @04=33CF3CD79C9DE329D0 + 7545.50ns INFO [00007547] Port=1 RD @05 + 7546.50ns INFO [00007548] * RD COMPARE * port=1 adr=03 act=7DC841F159965A759E exp=7DC841F159965A759E + 7547.50ns INFO [00007549] * RD COMPARE * port=1 adr=05 act=9B48C9184C95CC1072 exp=9B48C9184C95CC1072 + 7547.50ns INFO [00007549] Port=0 RD @02 + 7547.50ns INFO [00007549] Port=1 RD @01 + 7548.50ns INFO [00007550] Port=0 WR @02=3E122839DD56968132 + 7549.50ns INFO [00007551] * RD COMPARE * port=0 adr=02 act=92119845AA64C83185 exp=92119845AA64C83185 + 7549.50ns INFO [00007551] * RD COMPARE * port=1 adr=01 act=11C7471499872809F6 exp=11C7471499872809F6 + 7549.50ns INFO [00007551] Port=1 RD @04 + 7550.50ns INFO [00007552] Port=0 RD @04 + 7550.50ns INFO [00007552] Port=1 RD @05 + 7551.50ns INFO [00007553] * RD COMPARE * port=1 adr=04 act=33CF3CD79C9DE329D0 exp=33CF3CD79C9DE329D0 + 7551.50ns INFO [00007553] Port=0 WR @07=93E7F2C11D016A01C0 + 7552.50ns INFO [00007554] * RD COMPARE * port=0 adr=04 act=33CF3CD79C9DE329D0 exp=33CF3CD79C9DE329D0 + 7552.50ns INFO [00007554] * RD COMPARE * port=1 adr=05 act=9B48C9184C95CC1072 exp=9B48C9184C95CC1072 + 7552.50ns INFO [00007554] Port=0 WR @06=CC5A67223C1B0D4B3B + 7553.50ns INFO [00007555] Port=0 WR @05=D5455FF81D0EDAEEA1 + 7553.50ns INFO [00007555] Port=1 RD @00 + 7554.50ns INFO [00007556] Port=0 WR @02=FBCC94A108BE59EC23 + 7554.50ns INFO [00007556] Port=1 RD @00 + 7555.50ns INFO [00007557] * RD COMPARE * port=1 adr=00 act=2E5E545113C269206A exp=2E5E545113C269206A + 7555.50ns INFO [00007557] Port=0 RD @04 + 7556.50ns INFO [00007558] * RD COMPARE * port=1 adr=00 act=2E5E545113C269206A exp=2E5E545113C269206A + 7556.50ns INFO [00007558] Port=0 RD @04 + 7556.50ns INFO [00007558] Port=1 RD @02 + 7557.50ns INFO [00007559] * RD COMPARE * port=0 adr=04 act=33CF3CD79C9DE329D0 exp=33CF3CD79C9DE329D0 + 7557.50ns INFO [00007559] Port=0 WR @05=59DEA90BA81365697B + 7557.50ns INFO [00007559] Port=0 RD @03 + 7557.50ns INFO [00007559] Port=1 RD @07 + 7558.50ns INFO [00007560] * RD COMPARE * port=0 adr=04 act=33CF3CD79C9DE329D0 exp=33CF3CD79C9DE329D0 + 7558.50ns INFO [00007560] * RD COMPARE * port=1 adr=02 act=FBCC94A108BE59EC23 exp=FBCC94A108BE59EC23 + 7558.50ns INFO [00007560] Port=0 WR @00=9A32F05432E57FADB5 + 7558.50ns INFO [00007560] Port=0 RD @01 + 7558.50ns INFO [00007560] Port=1 RD @05 + 7559.50ns INFO [00007561] * RD COMPARE * port=0 adr=03 act=7DC841F159965A759E exp=7DC841F159965A759E + 7559.50ns INFO [00007561] * RD COMPARE * port=1 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0 + 7559.50ns INFO [00007561] Port=0 RD @07 + 7559.50ns INFO [00007561] Port=1 RD @07 + 7560.50ns INFO [00007562] * RD COMPARE * port=0 adr=01 act=11C7471499872809F6 exp=11C7471499872809F6 + 7560.50ns INFO [00007562] * RD COMPARE * port=1 adr=05 act=59DEA90BA81365697B exp=59DEA90BA81365697B + 7561.50ns INFO [00007563] * RD COMPARE * port=0 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0 + 7561.50ns INFO [00007563] * RD COMPARE * port=1 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0 + 7561.50ns INFO [00007563] Port=0 WR @02=07A9DE9C4A44755E2D + 7561.50ns INFO [00007563] Port=0 RD @05 + 7563.50ns INFO [00007565] * RD COMPARE * port=0 adr=05 act=59DEA90BA81365697B exp=59DEA90BA81365697B + 7563.50ns INFO [00007565] Port=0 RD @03 + 7565.50ns INFO [00007567] * RD COMPARE * port=0 adr=03 act=7DC841F159965A759E exp=7DC841F159965A759E + 7566.50ns INFO [00007568] Port=0 RD @01 + 7566.50ns INFO [00007568] Port=1 RD @01 + 7567.50ns INFO [00007569] Port=0 WR @06=925D214B0B874CAD98 + 7567.50ns INFO [00007569] Port=1 RD @04 + 7568.50ns INFO [00007570] * RD COMPARE * port=0 adr=01 act=11C7471499872809F6 exp=11C7471499872809F6 + 7568.50ns INFO [00007570] * RD COMPARE * port=1 adr=01 act=11C7471499872809F6 exp=11C7471499872809F6 + 7568.50ns INFO [00007570] Port=0 RD @06 + 7569.50ns INFO [00007571] * RD COMPARE * port=1 adr=04 act=33CF3CD79C9DE329D0 exp=33CF3CD79C9DE329D0 + 7570.50ns INFO [00007572] * RD COMPARE * port=0 adr=06 act=925D214B0B874CAD98 exp=925D214B0B874CAD98 + 7570.50ns INFO [00007572] Port=1 RD @07 + 7571.50ns INFO [00007573] Port=0 WR @01=08D52123FC91EFF0DD + 7572.50ns INFO [00007574] * RD COMPARE * port=1 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0 + 7572.50ns INFO [00007574] Port=0 RD @01 + 7572.50ns INFO [00007574] Port=1 RD @00 + 7574.50ns INFO [00007576] * RD COMPARE * port=0 adr=01 act=08D52123FC91EFF0DD exp=08D52123FC91EFF0DD + 7574.50ns INFO [00007576] * RD COMPARE * port=1 adr=00 act=9A32F05432E57FADB5 exp=9A32F05432E57FADB5 + 7574.50ns INFO [00007576] Port=1 RD @01 + 7576.50ns INFO [00007578] * RD COMPARE * port=1 adr=01 act=08D52123FC91EFF0DD exp=08D52123FC91EFF0DD + 7576.50ns INFO [00007578] Port=0 RD @00 + 7577.50ns INFO [00007579] Port=0 RD @00 + 7577.50ns INFO [00007579] Port=1 RD @03 + 7578.50ns INFO [00007580] * RD COMPARE * port=0 adr=00 act=9A32F05432E57FADB5 exp=9A32F05432E57FADB5 + 7578.50ns INFO [00007580] Port=0 WR @03=9577494BC7210C2FA6 + 7579.50ns INFO [00007581] * RD COMPARE * port=0 adr=00 act=9A32F05432E57FADB5 exp=9A32F05432E57FADB5 + 7579.50ns INFO [00007581] * RD COMPARE * port=1 adr=03 act=7DC841F159965A759E exp=7DC841F159965A759E + 7579.50ns INFO [00007581] Port=0 WR @04=2B607FC739F7A93DE5 + 7579.50ns INFO [00007581] Port=0 RD @01 + 7579.50ns INFO [00007581] Port=1 RD @06 + 7580.50ns INFO [00007582] Port=0 RD @05 + 7581.50ns INFO [00007583] * RD COMPARE * port=0 adr=01 act=08D52123FC91EFF0DD exp=08D52123FC91EFF0DD + 7581.50ns INFO [00007583] * RD COMPARE * port=1 adr=06 act=925D214B0B874CAD98 exp=925D214B0B874CAD98 + 7582.50ns INFO [00007584] * RD COMPARE * port=0 adr=05 act=59DEA90BA81365697B exp=59DEA90BA81365697B + 7584.50ns INFO [00007586] Port=0 RD @04 + 7585.50ns INFO [00007587] Port=1 RD @03 + 7586.50ns INFO [00007588] * RD COMPARE * port=0 adr=04 act=2B607FC739F7A93DE5 exp=2B607FC739F7A93DE5 + 7586.50ns INFO [00007588] Port=0 RD @01 + 7586.50ns INFO [00007588] Port=1 RD @03 + 7587.50ns INFO [00007589] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6 + 7588.50ns INFO [00007590] * RD COMPARE * port=0 adr=01 act=08D52123FC91EFF0DD exp=08D52123FC91EFF0DD + 7588.50ns INFO [00007590] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6 + 7588.50ns INFO [00007590] Port=1 RD @02 + 7590.50ns INFO [00007592] * RD COMPARE * port=1 adr=02 act=07A9DE9C4A44755E2D exp=07A9DE9C4A44755E2D + 7590.50ns INFO [00007592] Port=0 WR @05=89C5DE78129B9334E0 + 7592.50ns INFO [00007594] Port=1 RD @06 + 7593.50ns INFO [00007595] Port=0 WR @02=CD0E5D99A948165280 + 7594.50ns INFO [00007596] * RD COMPARE * port=1 adr=06 act=925D214B0B874CAD98 exp=925D214B0B874CAD98 + 7594.50ns INFO [00007596] Port=0 WR @01=D36CB908C1E8410905 + 7594.50ns INFO [00007596] Port=0 RD @02 + 7595.50ns INFO [00007597] Port=0 WR @02=E1E5143D7049AD0A80 + 7596.50ns INFO [00007598] * RD COMPARE * port=0 adr=02 act=CD0E5D99A948165280 exp=CD0E5D99A948165280 + 7596.50ns INFO [00007598] Port=0 RD @00 + 7596.50ns INFO [00007598] Port=1 RD @03 + 7597.50ns INFO [00007599] Port=0 WR @04=837F134C7F09DC31F8 + 7598.00ns INFO [00007600] [00007600] ...tick... + 7598.50ns INFO [00007600] * RD COMPARE * port=0 adr=00 act=9A32F05432E57FADB5 exp=9A32F05432E57FADB5 + 7598.50ns INFO [00007600] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6 + 7598.50ns INFO [00007600] Port=0 RD @06 + 7599.50ns INFO [00007601] Port=1 RD @07 + 7600.50ns INFO [00007602] * RD COMPARE * port=0 adr=06 act=925D214B0B874CAD98 exp=925D214B0B874CAD98 + 7600.50ns INFO [00007602] Port=0 WR @05=CD307915FC5A742313 + 7600.50ns INFO [00007602] Port=1 RD @04 + 7601.50ns INFO [00007603] * RD COMPARE * port=1 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0 + 7601.50ns INFO [00007603] Port=0 RD @05 + 7602.50ns INFO [00007604] * RD COMPARE * port=1 adr=04 act=837F134C7F09DC31F8 exp=837F134C7F09DC31F8 + 7602.50ns INFO [00007604] Port=1 RD @02 + 7603.50ns INFO [00007605] * RD COMPARE * port=0 adr=05 act=CD307915FC5A742313 exp=CD307915FC5A742313 + 7603.50ns INFO [00007605] Port=0 WR @06=CAA70483BBD4E07C4A + 7604.50ns INFO [00007606] * RD COMPARE * port=1 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80 + 7604.50ns INFO [00007606] Port=1 RD @06 + 7605.50ns INFO [00007607] Port=0 RD @02 + 7605.50ns INFO [00007607] Port=1 RD @06 + 7606.50ns INFO [00007608] * RD COMPARE * port=1 adr=06 act=CAA70483BBD4E07C4A exp=CAA70483BBD4E07C4A + 7607.50ns INFO [00007609] * RD COMPARE * port=0 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80 + 7607.50ns INFO [00007609] * RD COMPARE * port=1 adr=06 act=CAA70483BBD4E07C4A exp=CAA70483BBD4E07C4A + 7609.50ns INFO [00007611] Port=1 RD @05 + 7611.50ns INFO [00007613] * RD COMPARE * port=1 adr=05 act=CD307915FC5A742313 exp=CD307915FC5A742313 + 7611.50ns INFO [00007613] Port=0 WR @01=C0894F50B9CC72A4BC + 7612.50ns INFO [00007614] Port=0 WR @00=5847F12069E942AF3E + 7612.50ns INFO [00007614] Port=0 RD @05 + 7613.50ns INFO [00007615] Port=0 WR @05=8C30764D2AD96C65AA + 7613.50ns INFO [00007615] Port=0 RD @00 + 7613.50ns INFO [00007615] Port=1 RD @00 + 7614.50ns INFO [00007616] * RD COMPARE * port=0 adr=05 act=CD307915FC5A742313 exp=CD307915FC5A742313 + 7615.50ns INFO [00007617] * RD COMPARE * port=0 adr=00 act=5847F12069E942AF3E exp=5847F12069E942AF3E + 7615.50ns INFO [00007617] * RD COMPARE * port=1 adr=00 act=5847F12069E942AF3E exp=5847F12069E942AF3E + 7615.50ns INFO [00007617] Port=0 WR @01=12589A4B54A624BC9A + 7615.50ns INFO [00007617] Port=1 RD @04 + 7616.50ns INFO [00007618] Port=0 RD @00 + 7617.50ns INFO [00007619] * RD COMPARE * port=1 adr=04 act=837F134C7F09DC31F8 exp=837F134C7F09DC31F8 + 7617.50ns INFO [00007619] Port=0 RD @07 + 7618.50ns INFO [00007620] * RD COMPARE * port=0 adr=00 act=5847F12069E942AF3E exp=5847F12069E942AF3E + 7618.50ns INFO [00007620] Port=1 RD @07 + 7619.50ns INFO [00007621] * RD COMPARE * port=0 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0 + 7619.50ns INFO [00007621] Port=0 RD @00 + 7620.50ns INFO [00007622] * RD COMPARE * port=1 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0 + 7620.50ns INFO [00007622] Port=1 RD @06 + 7621.50ns INFO [00007623] * RD COMPARE * port=0 adr=00 act=5847F12069E942AF3E exp=5847F12069E942AF3E + 7621.50ns INFO [00007623] Port=0 WR @07=2C2C03CD90C609EDD1 + 7621.50ns INFO [00007623] Port=0 RD @01 + 7621.50ns INFO [00007623] Port=1 RD @02 + 7622.50ns INFO [00007624] * RD COMPARE * port=1 adr=06 act=CAA70483BBD4E07C4A exp=CAA70483BBD4E07C4A + 7622.50ns INFO [00007624] Port=0 RD @00 + 7622.50ns INFO [00007624] Port=1 RD @07 + 7623.50ns INFO [00007625] * RD COMPARE * port=0 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A + 7623.50ns INFO [00007625] * RD COMPARE * port=1 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80 + 7623.50ns INFO [00007625] Port=0 WR @06=1D00F102F2C0A76EE6 + 7623.50ns INFO [00007625] Port=1 RD @07 + 7624.50ns INFO [00007626] * RD COMPARE * port=0 adr=00 act=5847F12069E942AF3E exp=5847F12069E942AF3E + 7624.50ns INFO [00007626] * RD COMPARE * port=1 adr=07 act=2C2C03CD90C609EDD1 exp=2C2C03CD90C609EDD1 + 7624.50ns INFO [00007626] Port=0 RD @02 + 7624.50ns INFO [00007626] Port=1 RD @05 + 7625.50ns INFO [00007627] * RD COMPARE * port=1 adr=07 act=2C2C03CD90C609EDD1 exp=2C2C03CD90C609EDD1 + 7626.50ns INFO [00007628] * RD COMPARE * port=0 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80 + 7626.50ns INFO [00007628] * RD COMPARE * port=1 adr=05 act=8C30764D2AD96C65AA exp=8C30764D2AD96C65AA + 7626.50ns INFO [00007628] Port=0 WR @00=3D30A19EBA59FD9B21 + 7626.50ns INFO [00007628] Port=1 RD @03 + 7628.50ns INFO [00007630] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6 + 7628.50ns INFO [00007630] Port=1 RD @07 + 7629.50ns INFO [00007631] Port=0 RD @06 + 7630.50ns INFO [00007632] * RD COMPARE * port=1 adr=07 act=2C2C03CD90C609EDD1 exp=2C2C03CD90C609EDD1 + 7630.50ns INFO [00007632] Port=1 RD @04 + 7631.50ns INFO [00007633] * RD COMPARE * port=0 adr=06 act=1D00F102F2C0A76EE6 exp=1D00F102F2C0A76EE6 + 7632.50ns INFO [00007634] * RD COMPARE * port=1 adr=04 act=837F134C7F09DC31F8 exp=837F134C7F09DC31F8 + 7632.50ns INFO [00007634] Port=0 WR @06=9AB5327A8EC7471E5C + 7633.50ns INFO [00007635] Port=0 WR @04=D70FCE8D5B47A0B7FA + 7633.50ns INFO [00007635] Port=0 RD @02 + 7633.50ns INFO [00007635] Port=1 RD @02 + 7635.50ns INFO [00007637] * RD COMPARE * port=0 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80 + 7635.50ns INFO [00007637] * RD COMPARE * port=1 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80 + 7635.50ns INFO [00007637] Port=0 WR @06=2FEE7B17C97F6EAEE3 + 7635.50ns INFO [00007637] Port=1 RD @04 + 7636.50ns INFO [00007638] Port=0 WR @07=BD3F11C3EC20220C5D + 7636.50ns INFO [00007638] Port=0 RD @01 + 7637.50ns INFO [00007639] * RD COMPARE * port=1 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA + 7637.50ns INFO [00007639] Port=0 WR @00=BFC3EED0889E954391 + 7637.50ns INFO [00007639] Port=0 RD @03 + 7638.50ns INFO [00007640] * RD COMPARE * port=0 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A + 7638.50ns INFO [00007640] Port=1 RD @05 + 7639.50ns INFO [00007641] * RD COMPARE * port=0 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6 + 7639.50ns INFO [00007641] Port=0 WR @02=A6B31BC6728C18F8DE + 7639.50ns INFO [00007641] Port=0 RD @00 + 7639.50ns INFO [00007641] Port=1 RD @04 + 7640.50ns INFO [00007642] * RD COMPARE * port=1 adr=05 act=8C30764D2AD96C65AA exp=8C30764D2AD96C65AA + 7640.50ns INFO [00007642] Port=1 RD @06 + 7641.50ns INFO [00007643] * RD COMPARE * port=0 adr=00 act=BFC3EED0889E954391 exp=BFC3EED0889E954391 + 7641.50ns INFO [00007643] * RD COMPARE * port=1 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA + 7641.50ns INFO [00007643] Port=0 RD @00 + 7642.50ns INFO [00007644] * RD COMPARE * port=1 adr=06 act=2FEE7B17C97F6EAEE3 exp=2FEE7B17C97F6EAEE3 + 7642.50ns INFO [00007644] Port=0 RD @02 + 7643.50ns INFO [00007645] * RD COMPARE * port=0 adr=00 act=BFC3EED0889E954391 exp=BFC3EED0889E954391 + 7643.50ns INFO [00007645] Port=1 RD @04 + 7644.50ns INFO [00007646] * RD COMPARE * port=0 adr=02 act=A6B31BC6728C18F8DE exp=A6B31BC6728C18F8DE + 7644.50ns INFO [00007646] Port=1 RD @04 + 7645.50ns INFO [00007647] * RD COMPARE * port=1 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA + 7645.50ns INFO [00007647] Port=0 RD @04 + 7646.50ns INFO [00007648] * RD COMPARE * port=1 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA + 7646.50ns INFO [00007648] Port=0 WR @06=F3411CC3D7535F9906 + 7647.50ns INFO [00007649] * RD COMPARE * port=0 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA + 7647.50ns INFO [00007649] Port=0 WR @02=6813577022F6EE23B4 + 7648.50ns INFO [00007650] Port=0 WR @00=FDDFB9CBE1AFBED0E8 + 7649.50ns INFO [00007651] Port=0 WR @07=80CB56C3CA0E7EC170 + 7649.50ns INFO [00007651] Port=0 RD @02 + 7649.50ns INFO [00007651] Port=1 RD @02 + 7650.50ns INFO [00007652] Port=0 RD @06 + 7651.50ns INFO [00007653] * RD COMPARE * port=0 adr=02 act=6813577022F6EE23B4 exp=6813577022F6EE23B4 + 7651.50ns INFO [00007653] * RD COMPARE * port=1 adr=02 act=6813577022F6EE23B4 exp=6813577022F6EE23B4 + 7651.50ns INFO [00007653] Port=0 RD @02 + 7652.50ns INFO [00007654] * RD COMPARE * port=0 adr=06 act=F3411CC3D7535F9906 exp=F3411CC3D7535F9906 + 7652.50ns INFO [00007654] Port=0 WR @05=98A33EA7DD97FD7474 + 7653.50ns INFO [00007655] * RD COMPARE * port=0 adr=02 act=6813577022F6EE23B4 exp=6813577022F6EE23B4 + 7653.50ns INFO [00007655] Port=1 RD @04 + 7654.50ns INFO [00007656] Port=0 RD @04 + 7654.50ns INFO [00007656] Port=1 RD @02 + 7655.50ns INFO [00007657] * RD COMPARE * port=1 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA + 7655.50ns INFO [00007657] Port=1 RD @03 + 7656.50ns INFO [00007658] * RD COMPARE * port=0 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA + 7656.50ns INFO [00007658] * RD COMPARE * port=1 adr=02 act=6813577022F6EE23B4 exp=6813577022F6EE23B4 + 7656.50ns INFO [00007658] Port=0 RD @00 + 7657.50ns INFO [00007659] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6 + 7657.50ns INFO [00007659] Port=0 WR @04=7CF35A56E6F6EEF0B7 + 7657.50ns INFO [00007659] Port=0 RD @03 + 7658.50ns INFO [00007660] * RD COMPARE * port=0 adr=00 act=FDDFB9CBE1AFBED0E8 exp=FDDFB9CBE1AFBED0E8 + 7658.50ns INFO [00007660] Port=0 RD @03 + 7658.50ns INFO [00007660] Port=1 RD @03 + 7659.50ns INFO [00007661] * RD COMPARE * port=0 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6 + 7659.50ns INFO [00007661] Port=0 WR @06=D1FFE400B0DE955A8A + 7659.50ns INFO [00007661] Port=1 RD @05 + 7660.50ns INFO [00007662] * RD COMPARE * port=0 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6 + 7660.50ns INFO [00007662] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6 + 7660.50ns INFO [00007662] Port=1 RD @00 + 7661.50ns INFO [00007663] * RD COMPARE * port=1 adr=05 act=98A33EA7DD97FD7474 exp=98A33EA7DD97FD7474 + 7661.50ns INFO [00007663] Port=0 WR @07=2C28056F9C1FC718C1 + 7661.50ns INFO [00007663] Port=1 RD @04 + 7662.50ns INFO [00007664] * RD COMPARE * port=1 adr=00 act=FDDFB9CBE1AFBED0E8 exp=FDDFB9CBE1AFBED0E8 + 7662.50ns INFO [00007664] Port=0 RD @01 + 7663.50ns INFO [00007665] * RD COMPARE * port=1 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7 + 7663.50ns INFO [00007665] Port=0 WR @02=4C8CB60EE03CD1034E + 7663.50ns INFO [00007665] Port=0 RD @04 + 7664.50ns INFO [00007666] * RD COMPARE * port=0 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A + 7665.50ns INFO [00007667] * RD COMPARE * port=0 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7 + 7665.50ns INFO [00007667] Port=0 RD @05 + 7666.50ns INFO [00007668] Port=0 WR @06=98E386BDDB723B8CA8 + 7666.50ns INFO [00007668] Port=1 RD @03 + 7667.50ns INFO [00007669] * RD COMPARE * port=0 adr=05 act=98A33EA7DD97FD7474 exp=98A33EA7DD97FD7474 + 7667.50ns INFO [00007669] Port=0 WR @00=CB577DAC78B775B821 + 7668.50ns INFO [00007670] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6 + 7668.50ns INFO [00007670] Port=1 RD @02 + 7669.50ns INFO [00007671] Port=0 WR @02=443B445B87A50E883B + 7669.50ns INFO [00007671] Port=1 RD @01 + 7670.50ns INFO [00007672] * RD COMPARE * port=1 adr=02 act=4C8CB60EE03CD1034E exp=4C8CB60EE03CD1034E + 7670.50ns INFO [00007672] Port=1 RD @01 + 7671.50ns INFO [00007673] * RD COMPARE * port=1 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A + 7672.50ns INFO [00007674] * RD COMPARE * port=1 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A + 7672.50ns INFO [00007674] Port=0 RD @04 + 7674.50ns INFO [00007676] * RD COMPARE * port=0 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7 + 7674.50ns INFO [00007676] Port=0 RD @02 + 7674.50ns INFO [00007676] Port=1 RD @06 + 7675.50ns INFO [00007677] Port=0 WR @05=65CC4B6837EAF7F178 + 7676.50ns INFO [00007678] * RD COMPARE * port=0 adr=02 act=443B445B87A50E883B exp=443B445B87A50E883B + 7676.50ns INFO [00007678] * RD COMPARE * port=1 adr=06 act=98E386BDDB723B8CA8 exp=98E386BDDB723B8CA8 + 7678.50ns INFO [00007680] Port=0 WR @00=2153BD6EED67A5B192 + 7678.50ns INFO [00007680] Port=1 RD @05 + 7679.50ns INFO [00007681] Port=0 RD @07 + 7680.50ns INFO [00007682] * RD COMPARE * port=1 adr=05 act=65CC4B6837EAF7F178 exp=65CC4B6837EAF7F178 + 7680.50ns INFO [00007682] Port=0 WR @03=288DAE4AC04A841379 + 7680.50ns INFO [00007682] Port=0 RD @00 + 7680.50ns INFO [00007682] Port=1 RD @04 + 7681.50ns INFO [00007683] * RD COMPARE * port=0 adr=07 act=2C28056F9C1FC718C1 exp=2C28056F9C1FC718C1 + 7682.50ns INFO [00007684] * RD COMPARE * port=0 adr=00 act=2153BD6EED67A5B192 exp=2153BD6EED67A5B192 + 7682.50ns INFO [00007684] * RD COMPARE * port=1 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7 + 7682.50ns INFO [00007684] Port=0 WR @06=C08FAB03FCFBA50766 + 7682.50ns INFO [00007684] Port=0 RD @01 + 7683.50ns INFO [00007685] Port=0 WR @07=9456F13A0829225AAB + 7684.50ns INFO [00007686] * RD COMPARE * port=0 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A + 7684.50ns INFO [00007686] Port=0 RD @05 + 7684.50ns INFO [00007686] Port=1 RD @03 + 7685.50ns INFO [00007687] Port=0 RD @07 + 7686.50ns INFO [00007688] * RD COMPARE * port=0 adr=05 act=65CC4B6837EAF7F178 exp=65CC4B6837EAF7F178 + 7686.50ns INFO [00007688] * RD COMPARE * port=1 adr=03 act=288DAE4AC04A841379 exp=288DAE4AC04A841379 + 7686.50ns INFO [00007688] Port=1 RD @01 + 7687.50ns INFO [00007689] * RD COMPARE * port=0 adr=07 act=9456F13A0829225AAB exp=9456F13A0829225AAB + 7687.50ns INFO [00007689] Port=0 WR @07=71A18A7DE7C625308E + 7687.50ns INFO [00007689] Port=1 RD @02 + 7688.50ns INFO [00007690] * RD COMPARE * port=1 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A + 7688.50ns INFO [00007690] Port=0 RD @05 + 7688.50ns INFO [00007690] Port=1 RD @03 + 7689.50ns INFO [00007691] * RD COMPARE * port=1 adr=02 act=443B445B87A50E883B exp=443B445B87A50E883B + 7689.50ns INFO [00007691] Port=0 WR @06=AF947BDB245DBD9040 + 7689.50ns INFO [00007691] Port=1 RD @05 + 7690.50ns INFO [00007692] * RD COMPARE * port=0 adr=05 act=65CC4B6837EAF7F178 exp=65CC4B6837EAF7F178 + 7690.50ns INFO [00007692] * RD COMPARE * port=1 adr=03 act=288DAE4AC04A841379 exp=288DAE4AC04A841379 + 7690.50ns INFO [00007692] Port=1 RD @07 + 7691.50ns INFO [00007693] * RD COMPARE * port=1 adr=05 act=65CC4B6837EAF7F178 exp=65CC4B6837EAF7F178 + 7691.50ns INFO [00007693] Port=0 WR @02=14102C0704582FE89E + 7691.50ns INFO [00007693] Port=1 RD @06 + 7692.50ns INFO [00007694] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E + 7693.50ns INFO [00007695] * RD COMPARE * port=1 adr=06 act=AF947BDB245DBD9040 exp=AF947BDB245DBD9040 + 7693.50ns INFO [00007695] Port=0 RD @07 + 7694.50ns INFO [00007696] Port=0 RD @00 + 7694.50ns INFO [00007696] Port=1 RD @04 + 7695.50ns INFO [00007697] * RD COMPARE * port=0 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E + 7696.50ns INFO [00007698] * RD COMPARE * port=0 adr=00 act=2153BD6EED67A5B192 exp=2153BD6EED67A5B192 + 7696.50ns INFO [00007698] * RD COMPARE * port=1 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7 + 7696.50ns INFO [00007698] Port=1 RD @02 + 7697.50ns INFO [00007699] Port=0 WR @05=D21380A59580BD895A + 7697.50ns INFO [00007699] Port=1 RD @06 + 7698.00ns INFO [00007700] [00007700] ...tick... + 7698.50ns INFO [00007700] * RD COMPARE * port=1 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E + 7698.50ns INFO [00007700] Port=1 RD @00 + 7699.50ns INFO [00007701] * RD COMPARE * port=1 adr=06 act=AF947BDB245DBD9040 exp=AF947BDB245DBD9040 + 7699.50ns INFO [00007701] Port=0 WR @00=ED9BE32AFEAE9A4B24 + 7699.50ns INFO [00007701] Port=0 RD @04 + 7700.50ns INFO [00007702] * RD COMPARE * port=1 adr=00 act=2153BD6EED67A5B192 exp=2153BD6EED67A5B192 + 7700.50ns INFO [00007702] Port=0 WR @00=50385F47986D82F15B + 7700.50ns INFO [00007702] Port=1 RD @07 + 7701.50ns INFO [00007703] * RD COMPARE * port=0 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7 + 7701.50ns INFO [00007703] Port=0 WR @00=CBB1A871599F414465 + 7702.50ns INFO [00007704] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E + 7702.50ns INFO [00007704] Port=0 WR @06=3DFF8D0D351E581AD9 + 7703.50ns INFO [00007705] Port=0 WR @04=CE397AAB5E73818A4C + 7703.50ns INFO [00007705] Port=1 RD @06 + 7704.50ns INFO [00007706] Port=0 WR @01=F53516D923F17117CC + 7704.50ns INFO [00007706] Port=0 RD @02 + 7704.50ns INFO [00007706] Port=1 RD @03 + 7705.50ns INFO [00007707] * RD COMPARE * port=1 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9 + 7705.50ns INFO [00007707] Port=0 WR @03=AC3A859E4EE3C9BC7A + 7705.50ns INFO [00007707] Port=0 RD @05 + 7706.50ns INFO [00007708] * RD COMPARE * port=0 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E + 7706.50ns INFO [00007708] * RD COMPARE * port=1 adr=03 act=288DAE4AC04A841379 exp=288DAE4AC04A841379 + 7706.50ns INFO [00007708] Port=1 RD @07 + 7707.50ns INFO [00007709] * RD COMPARE * port=0 adr=05 act=D21380A59580BD895A exp=D21380A59580BD895A + 7708.50ns INFO [00007710] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E + 7708.50ns INFO [00007710] Port=0 WR @03=E94708A3F453955E42 + 7708.50ns INFO [00007710] Port=0 RD @01 + 7709.50ns INFO [00007711] Port=0 WR @03=BA7C2F57B993AA4442 + 7710.50ns INFO [00007712] * RD COMPARE * port=0 adr=01 act=F53516D923F17117CC exp=F53516D923F17117CC + 7710.50ns INFO [00007712] Port=0 RD @06 + 7710.50ns INFO [00007712] Port=1 RD @05 + 7711.50ns INFO [00007713] Port=0 RD @06 + 7712.50ns INFO [00007714] * RD COMPARE * port=0 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9 + 7712.50ns INFO [00007714] * RD COMPARE * port=1 adr=05 act=D21380A59580BD895A exp=D21380A59580BD895A + 7712.50ns INFO [00007714] Port=0 RD @03 + 7713.50ns INFO [00007715] * RD COMPARE * port=0 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9 + 7713.50ns INFO [00007715] Port=0 WR @04=DA1570AF869B2DABAE + 7713.50ns INFO [00007715] Port=0 RD @02 + 7713.50ns INFO [00007715] Port=1 RD @03 + 7714.50ns INFO [00007716] * RD COMPARE * port=0 adr=03 act=BA7C2F57B993AA4442 exp=BA7C2F57B993AA4442 + 7714.50ns INFO [00007716] Port=0 WR @00=2E4F0F39FE091CE95D + 7715.50ns INFO [00007717] * RD COMPARE * port=0 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E + 7715.50ns INFO [00007717] * RD COMPARE * port=1 adr=03 act=BA7C2F57B993AA4442 exp=BA7C2F57B993AA4442 + 7716.50ns INFO [00007718] Port=1 RD @07 + 7718.50ns INFO [00007720] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E + 7718.50ns INFO [00007720] Port=0 WR @00=748AA9D97A9784CD12 + 7718.50ns INFO [00007720] Port=1 RD @06 + 7719.50ns INFO [00007721] Port=0 RD @05 + 7720.50ns INFO [00007722] * RD COMPARE * port=1 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9 + 7720.50ns INFO [00007722] Port=0 WR @00=BF527CBC9F9FEAA280 + 7720.50ns INFO [00007722] Port=0 RD @03 + 7721.50ns INFO [00007723] * RD COMPARE * port=0 adr=05 act=D21380A59580BD895A exp=D21380A59580BD895A + 7721.50ns INFO [00007723] Port=0 RD @05 + 7722.50ns INFO [00007724] * RD COMPARE * port=0 adr=03 act=BA7C2F57B993AA4442 exp=BA7C2F57B993AA4442 + 7722.50ns INFO [00007724] Port=0 RD @06 + 7722.50ns INFO [00007724] Port=1 RD @02 + 7723.50ns INFO [00007725] * RD COMPARE * port=0 adr=05 act=D21380A59580BD895A exp=D21380A59580BD895A + 7724.50ns INFO [00007726] * RD COMPARE * port=0 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9 + 7724.50ns INFO [00007726] * RD COMPARE * port=1 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E + 7724.50ns INFO [00007726] Port=1 RD @07 + 7725.50ns INFO [00007727] Port=0 WR @01=D8CBB87A6A030BF447 + 7725.50ns INFO [00007727] Port=0 RD @06 + 7725.50ns INFO [00007727] Port=1 RD @02 + 7726.50ns INFO [00007728] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E + 7726.50ns INFO [00007728] Port=0 WR @06=1E751396957AC46C80 + 7726.50ns INFO [00007728] Port=0 RD @01 + 7727.50ns INFO [00007729] * RD COMPARE * port=0 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9 + 7727.50ns INFO [00007729] * RD COMPARE * port=1 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E + 7727.50ns INFO [00007729] Port=1 RD @03 + 7728.50ns INFO [00007730] * RD COMPARE * port=0 adr=01 act=D8CBB87A6A030BF447 exp=D8CBB87A6A030BF447 + 7728.50ns INFO [00007730] Port=0 RD @07 + 7729.50ns INFO [00007731] * RD COMPARE * port=1 adr=03 act=BA7C2F57B993AA4442 exp=BA7C2F57B993AA4442 + 7729.50ns INFO [00007731] Port=1 RD @00 + 7730.50ns INFO [00007732] * RD COMPARE * port=0 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E + 7730.50ns INFO [00007732] Port=0 WR @00=EB242B5C942529B756 + 7731.50ns INFO [00007733] * RD COMPARE * port=1 adr=00 act=BF527CBC9F9FEAA280 exp=BF527CBC9F9FEAA280 + 7731.50ns INFO [00007733] Port=0 RD @07 + 7731.50ns INFO [00007733] Port=1 RD @01 + 7732.50ns INFO [00007734] Port=0 WR @00=A1E70D8E6CE89538B0 + 7733.50ns INFO [00007735] * RD COMPARE * port=0 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E + 7733.50ns INFO [00007735] * RD COMPARE * port=1 adr=01 act=D8CBB87A6A030BF447 exp=D8CBB87A6A030BF447 + 7733.50ns INFO [00007735] Port=0 RD @01 + 7733.50ns INFO [00007735] Port=1 RD @07 + 7734.50ns INFO [00007736] Port=1 RD @06 + 7735.50ns INFO [00007737] * RD COMPARE * port=0 adr=01 act=D8CBB87A6A030BF447 exp=D8CBB87A6A030BF447 + 7735.50ns INFO [00007737] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E + 7735.50ns INFO [00007737] Port=0 WR @05=3B17EEE45F4F3CDC13 + 7735.50ns INFO [00007737] Port=0 RD @06 + 7735.50ns INFO [00007737] Port=1 RD @07 + 7736.50ns INFO [00007738] * RD COMPARE * port=1 adr=06 act=1E751396957AC46C80 exp=1E751396957AC46C80 + 7737.50ns INFO [00007739] * RD COMPARE * port=0 adr=06 act=1E751396957AC46C80 exp=1E751396957AC46C80 + 7737.50ns INFO [00007739] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E + 7739.50ns INFO [00007741] Port=0 WR @01=2AB2F8474EEBFEEB93 + 7739.50ns INFO [00007741] Port=1 RD @05 + 7740.50ns INFO [00007742] Port=0 WR @01=E6A8907E0530FFDBAF + 7741.50ns INFO [00007743] * RD COMPARE * port=1 adr=05 act=3B17EEE45F4F3CDC13 exp=3B17EEE45F4F3CDC13 + 7741.50ns INFO [00007743] Port=0 WR @03=F3D6495FA6045D8233 + 7741.50ns INFO [00007743] Port=0 RD @00 + 7741.50ns INFO [00007743] Port=1 RD @01 + 7742.50ns INFO [00007744] Port=1 RD @02 + 7743.50ns INFO [00007745] * RD COMPARE * port=0 adr=00 act=A1E70D8E6CE89538B0 exp=A1E70D8E6CE89538B0 + 7743.50ns INFO [00007745] * RD COMPARE * port=1 adr=01 act=E6A8907E0530FFDBAF exp=E6A8907E0530FFDBAF + 7743.50ns INFO [00007745] Port=0 WR @07=FC962CD04DA9ACF696 + 7743.50ns INFO [00007745] Port=0 RD @01 + 7743.50ns INFO [00007745] Port=1 RD @04 + 7744.50ns INFO [00007746] * RD COMPARE * port=1 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E + 7745.50ns INFO [00007747] * RD COMPARE * port=0 adr=01 act=E6A8907E0530FFDBAF exp=E6A8907E0530FFDBAF + 7745.50ns INFO [00007747] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7747.50ns INFO [00007749] Port=1 RD @04 + 7748.50ns INFO [00007750] Port=0 WR @06=D202E3CE588571B955 + 7748.50ns INFO [00007750] Port=0 RD @00 + 7748.50ns INFO [00007750] Port=1 RD @03 + 7749.50ns INFO [00007751] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7749.50ns INFO [00007751] Port=0 RD @07 + 7750.50ns INFO [00007752] * RD COMPARE * port=0 adr=00 act=A1E70D8E6CE89538B0 exp=A1E70D8E6CE89538B0 + 7750.50ns INFO [00007752] * RD COMPARE * port=1 adr=03 act=F3D6495FA6045D8233 exp=F3D6495FA6045D8233 + 7751.50ns INFO [00007753] * RD COMPARE * port=0 adr=07 act=FC962CD04DA9ACF696 exp=FC962CD04DA9ACF696 + 7751.50ns INFO [00007753] Port=1 RD @06 + 7752.50ns INFO [00007754] Port=0 WR @07=F6C457D031D48B3D87 + 7752.50ns INFO [00007754] Port=1 RD @06 + 7753.50ns INFO [00007755] * RD COMPARE * port=1 adr=06 act=D202E3CE588571B955 exp=D202E3CE588571B955 + 7753.50ns INFO [00007755] Port=1 RD @02 + 7754.50ns INFO [00007756] * RD COMPARE * port=1 adr=06 act=D202E3CE588571B955 exp=D202E3CE588571B955 + 7754.50ns INFO [00007756] Port=0 RD @07 + 7755.50ns INFO [00007757] * RD COMPARE * port=1 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E + 7755.50ns INFO [00007757] Port=0 WR @03=6E9B1105130F6CA609 + 7756.50ns INFO [00007758] * RD COMPARE * port=0 adr=07 act=F6C457D031D48B3D87 exp=F6C457D031D48B3D87 + 7756.50ns INFO [00007758] Port=0 RD @03 + 7757.50ns INFO [00007759] Port=0 RD @07 + 7757.50ns INFO [00007759] Port=1 RD @05 + 7758.50ns INFO [00007760] * RD COMPARE * port=0 adr=03 act=6E9B1105130F6CA609 exp=6E9B1105130F6CA609 + 7758.50ns INFO [00007760] Port=0 WR @05=9C2D1B173B98DDBA6F + 7758.50ns INFO [00007760] Port=0 RD @01 + 7758.50ns INFO [00007760] Port=1 RD @01 + 7759.50ns INFO [00007761] * RD COMPARE * port=0 adr=07 act=F6C457D031D48B3D87 exp=F6C457D031D48B3D87 + 7759.50ns INFO [00007761] * RD COMPARE * port=1 adr=05 act=3B17EEE45F4F3CDC13 exp=3B17EEE45F4F3CDC13 + 7760.50ns INFO [00007762] * RD COMPARE * port=0 adr=01 act=E6A8907E0530FFDBAF exp=E6A8907E0530FFDBAF + 7760.50ns INFO [00007762] * RD COMPARE * port=1 adr=01 act=E6A8907E0530FFDBAF exp=E6A8907E0530FFDBAF + 7761.50ns INFO [00007763] Port=0 WR @02=806F445394A3347803 + 7762.50ns INFO [00007764] Port=0 WR @03=E4CD374072FC0572BF + 7762.50ns INFO [00007764] Port=0 RD @05 + 7762.50ns INFO [00007764] Port=1 RD @05 + 7764.50ns INFO [00007766] * RD COMPARE * port=0 adr=05 act=9C2D1B173B98DDBA6F exp=9C2D1B173B98DDBA6F + 7764.50ns INFO [00007766] * RD COMPARE * port=1 adr=05 act=9C2D1B173B98DDBA6F exp=9C2D1B173B98DDBA6F + 7764.50ns INFO [00007766] Port=0 WR @00=8666170A2C438D54C9 + 7766.50ns INFO [00007768] Port=0 RD @00 + 7766.50ns INFO [00007768] Port=1 RD @06 + 7767.50ns INFO [00007769] Port=0 WR @01=27E047FD9E35CDCBC4 + 7768.50ns INFO [00007770] * RD COMPARE * port=0 adr=00 act=8666170A2C438D54C9 exp=8666170A2C438D54C9 + 7768.50ns INFO [00007770] * RD COMPARE * port=1 adr=06 act=D202E3CE588571B955 exp=D202E3CE588571B955 + 7769.50ns INFO [00007771] Port=0 RD @01 + 7770.50ns INFO [00007772] Port=0 RD @00 + 7771.50ns INFO [00007773] * RD COMPARE * port=0 adr=01 act=27E047FD9E35CDCBC4 exp=27E047FD9E35CDCBC4 + 7771.50ns INFO [00007773] Port=1 RD @01 + 7772.50ns INFO [00007774] * RD COMPARE * port=0 adr=00 act=8666170A2C438D54C9 exp=8666170A2C438D54C9 + 7772.50ns INFO [00007774] Port=1 RD @07 + 7773.50ns INFO [00007775] * RD COMPARE * port=1 adr=01 act=27E047FD9E35CDCBC4 exp=27E047FD9E35CDCBC4 + 7773.50ns INFO [00007775] Port=1 RD @07 + 7774.50ns INFO [00007776] * RD COMPARE * port=1 adr=07 act=F6C457D031D48B3D87 exp=F6C457D031D48B3D87 + 7774.50ns INFO [00007776] Port=0 RD @00 + 7775.50ns INFO [00007777] * RD COMPARE * port=1 adr=07 act=F6C457D031D48B3D87 exp=F6C457D031D48B3D87 + 7775.50ns INFO [00007777] Port=0 WR @03=B6B046684A5C87F07F + 7776.50ns INFO [00007778] * RD COMPARE * port=0 adr=00 act=8666170A2C438D54C9 exp=8666170A2C438D54C9 + 7777.50ns INFO [00007779] Port=0 RD @03 + 7777.50ns INFO [00007779] Port=1 RD @03 + 7778.50ns INFO [00007780] Port=0 WR @00=801ADA7C71CD58FE14 + 7778.50ns INFO [00007780] Port=0 RD @05 + 7779.50ns INFO [00007781] * RD COMPARE * port=0 adr=03 act=B6B046684A5C87F07F exp=B6B046684A5C87F07F + 7779.50ns INFO [00007781] * RD COMPARE * port=1 adr=03 act=B6B046684A5C87F07F exp=B6B046684A5C87F07F + 7779.50ns INFO [00007781] Port=0 WR @07=03CEEF3EAC7008E6AB + 7780.50ns INFO [00007782] * RD COMPARE * port=0 adr=05 act=9C2D1B173B98DDBA6F exp=9C2D1B173B98DDBA6F + 7780.50ns INFO [00007782] Port=1 RD @07 + 7781.50ns INFO [00007783] Port=0 WR @07=492365262912523432 + 7781.50ns INFO [00007783] Port=0 RD @06 + 7782.50ns INFO [00007784] * RD COMPARE * port=1 adr=07 act=03CEEF3EAC7008E6AB exp=03CEEF3EAC7008E6AB + 7782.50ns INFO [00007784] Port=0 WR @02=381427CA8C5F711966 + 7782.50ns INFO [00007784] Port=1 RD @04 + 7783.50ns INFO [00007785] * RD COMPARE * port=0 adr=06 act=D202E3CE588571B955 exp=D202E3CE588571B955 + 7784.50ns INFO [00007786] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7784.50ns INFO [00007786] Port=0 WR @06=2F0ADCF50E91E2B0DC + 7784.50ns INFO [00007786] Port=0 RD @05 + 7785.50ns INFO [00007787] Port=0 RD @00 + 7785.50ns INFO [00007787] Port=1 RD @04 + 7786.50ns INFO [00007788] * RD COMPARE * port=0 adr=05 act=9C2D1B173B98DDBA6F exp=9C2D1B173B98DDBA6F + 7786.50ns INFO [00007788] Port=0 RD @01 + 7786.50ns INFO [00007788] Port=1 RD @04 + 7787.50ns INFO [00007789] * RD COMPARE * port=0 adr=00 act=801ADA7C71CD58FE14 exp=801ADA7C71CD58FE14 + 7787.50ns INFO [00007789] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7787.50ns INFO [00007789] Port=0 WR @05=694416D2A97C9697B5 + 7788.50ns INFO [00007790] * RD COMPARE * port=0 adr=01 act=27E047FD9E35CDCBC4 exp=27E047FD9E35CDCBC4 + 7788.50ns INFO [00007790] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7788.50ns INFO [00007790] Port=0 WR @03=5540A28E21732A02BE + 7789.50ns INFO [00007791] Port=0 WR @02=38B9374492ECED6AB4 + 7789.50ns INFO [00007791] Port=0 RD @06 + 7790.50ns INFO [00007792] Port=0 WR @07=A5E3F089BFCC07D0A2 + 7790.50ns INFO [00007792] Port=0 RD @04 + 7790.50ns INFO [00007792] Port=1 RD @05 + 7791.50ns INFO [00007793] * RD COMPARE * port=0 adr=06 act=2F0ADCF50E91E2B0DC exp=2F0ADCF50E91E2B0DC + 7791.50ns INFO [00007793] Port=0 WR @06=A86E384847213F37B4 + 7791.50ns INFO [00007793] Port=0 RD @04 + 7792.50ns INFO [00007794] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7792.50ns INFO [00007794] * RD COMPARE * port=1 adr=05 act=694416D2A97C9697B5 exp=694416D2A97C9697B5 + 7792.50ns INFO [00007794] Port=0 RD @02 + 7793.50ns INFO [00007795] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7793.50ns INFO [00007795] Port=1 RD @06 + 7794.50ns INFO [00007796] * RD COMPARE * port=0 adr=02 act=38B9374492ECED6AB4 exp=38B9374492ECED6AB4 + 7794.50ns INFO [00007796] Port=0 WR @01=027C8B9E43983D70EE + 7794.50ns INFO [00007796] Port=1 RD @07 + 7795.50ns INFO [00007797] * RD COMPARE * port=1 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4 + 7796.50ns INFO [00007798] * RD COMPARE * port=1 adr=07 act=A5E3F089BFCC07D0A2 exp=A5E3F089BFCC07D0A2 + 7796.50ns INFO [00007798] Port=0 RD @01 + 7796.50ns INFO [00007798] Port=1 RD @03 + 7797.50ns INFO [00007799] Port=0 RD @01 + 7797.50ns INFO [00007799] Port=1 RD @04 + 7798.00ns INFO [00007800] [00007800] ...tick... + 7798.50ns INFO [00007800] * RD COMPARE * port=0 adr=01 act=027C8B9E43983D70EE exp=027C8B9E43983D70EE + 7798.50ns INFO [00007800] * RD COMPARE * port=1 adr=03 act=5540A28E21732A02BE exp=5540A28E21732A02BE + 7799.50ns INFO [00007801] * RD COMPARE * port=0 adr=01 act=027C8B9E43983D70EE exp=027C8B9E43983D70EE + 7799.50ns INFO [00007801] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7799.50ns INFO [00007801] Port=0 WR @01=129EAE6D4146173723 + 7799.50ns INFO [00007801] Port=0 RD @02 + 7799.50ns INFO [00007801] Port=1 RD @06 + 7801.50ns INFO [00007803] * RD COMPARE * port=0 adr=02 act=38B9374492ECED6AB4 exp=38B9374492ECED6AB4 + 7801.50ns INFO [00007803] * RD COMPARE * port=1 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4 + 7801.50ns INFO [00007803] Port=0 RD @04 + 7802.50ns INFO [00007804] Port=0 RD @06 + 7803.50ns INFO [00007805] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7803.50ns INFO [00007805] Port=0 RD @07 + 7803.50ns INFO [00007805] Port=1 RD @02 + 7804.50ns INFO [00007806] * RD COMPARE * port=0 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4 + 7804.50ns INFO [00007806] Port=0 WR @03=76334AD7D42DD60794 + 7804.50ns INFO [00007806] Port=1 RD @06 + 7805.50ns INFO [00007807] * RD COMPARE * port=0 adr=07 act=A5E3F089BFCC07D0A2 exp=A5E3F089BFCC07D0A2 + 7805.50ns INFO [00007807] * RD COMPARE * port=1 adr=02 act=38B9374492ECED6AB4 exp=38B9374492ECED6AB4 + 7806.50ns INFO [00007808] * RD COMPARE * port=1 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4 + 7806.50ns INFO [00007808] Port=1 RD @04 + 7807.50ns INFO [00007809] Port=1 RD @06 + 7808.50ns INFO [00007810] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7808.50ns INFO [00007810] Port=0 WR @03=0A39070A6505B7A4DF + 7808.50ns INFO [00007810] Port=1 RD @06 + 7809.50ns INFO [00007811] * RD COMPARE * port=1 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4 + 7809.50ns INFO [00007811] Port=0 WR @01=8EB3533B53DA68E7C5 + 7809.50ns INFO [00007811] Port=0 RD @05 + 7810.50ns INFO [00007812] * RD COMPARE * port=1 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4 + 7811.50ns INFO [00007813] * RD COMPARE * port=0 adr=05 act=694416D2A97C9697B5 exp=694416D2A97C9697B5 + 7811.50ns INFO [00007813] Port=0 WR @05=9200C1DCF3BA096314 + 7811.50ns INFO [00007813] Port=0 RD @04 + 7812.50ns INFO [00007814] Port=0 WR @03=112550D565AC34D08F + 7813.50ns INFO [00007815] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7813.50ns INFO [00007815] Port=0 RD @05 + 7813.50ns INFO [00007815] Port=1 RD @00 + 7814.50ns INFO [00007816] Port=0 WR @05=C4429832904D16E33D + 7814.50ns INFO [00007816] Port=0 RD @03 + 7815.50ns INFO [00007817] * RD COMPARE * port=0 adr=05 act=9200C1DCF3BA096314 exp=9200C1DCF3BA096314 + 7815.50ns INFO [00007817] * RD COMPARE * port=1 adr=00 act=801ADA7C71CD58FE14 exp=801ADA7C71CD58FE14 + 7815.50ns INFO [00007817] Port=0 RD @07 + 7816.50ns INFO [00007818] * RD COMPARE * port=0 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F + 7816.50ns INFO [00007818] Port=0 WR @07=89366356BD38428E26 + 7817.50ns INFO [00007819] * RD COMPARE * port=0 adr=07 act=A5E3F089BFCC07D0A2 exp=A5E3F089BFCC07D0A2 + 7818.50ns INFO [00007820] Port=0 RD @07 + 7818.50ns INFO [00007820] Port=1 RD @05 + 7819.50ns INFO [00007821] Port=0 RD @04 + 7819.50ns INFO [00007821] Port=1 RD @04 + 7820.50ns INFO [00007822] * RD COMPARE * port=0 adr=07 act=89366356BD38428E26 exp=89366356BD38428E26 + 7820.50ns INFO [00007822] * RD COMPARE * port=1 adr=05 act=C4429832904D16E33D exp=C4429832904D16E33D + 7820.50ns INFO [00007822] Port=0 WR @05=18713583D34FD2DEB1 + 7820.50ns INFO [00007822] Port=1 RD @00 + 7821.50ns INFO [00007823] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7821.50ns INFO [00007823] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7821.50ns INFO [00007823] Port=0 WR @07=1F4FA06670EF6763E6 + 7821.50ns INFO [00007823] Port=0 RD @03 + 7822.50ns INFO [00007824] * RD COMPARE * port=1 adr=00 act=801ADA7C71CD58FE14 exp=801ADA7C71CD58FE14 + 7823.50ns INFO [00007825] * RD COMPARE * port=0 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F + 7823.50ns INFO [00007825] Port=0 WR @05=95D147D965EB3EFB73 + 7823.50ns INFO [00007825] Port=0 RD @04 + 7825.50ns INFO [00007827] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE + 7825.50ns INFO [00007827] Port=0 WR @01=24005D81EC4567018A + 7825.50ns INFO [00007827] Port=1 RD @07 + 7826.50ns INFO [00007828] Port=1 RD @07 + 7827.50ns INFO [00007829] * RD COMPARE * port=1 adr=07 act=1F4FA06670EF6763E6 exp=1F4FA06670EF6763E6 + 7827.50ns INFO [00007829] Port=0 WR @00=53F1F016B217A014B9 + 7827.50ns INFO [00007829] Port=0 RD @02 + 7828.50ns INFO [00007830] * RD COMPARE * port=1 adr=07 act=1F4FA06670EF6763E6 exp=1F4FA06670EF6763E6 + 7829.50ns INFO [00007831] * RD COMPARE * port=0 adr=02 act=38B9374492ECED6AB4 exp=38B9374492ECED6AB4 + 7829.50ns INFO [00007831] Port=0 RD @07 + 7831.50ns INFO [00007833] * RD COMPARE * port=0 adr=07 act=1F4FA06670EF6763E6 exp=1F4FA06670EF6763E6 + 7831.50ns INFO [00007833] Port=0 WR @07=FB1762CA816771AFEE + 7832.50ns INFO [00007834] Port=0 RD @00 + 7833.50ns INFO [00007835] Port=1 RD @03 + 7834.50ns INFO [00007836] * RD COMPARE * port=0 adr=00 act=53F1F016B217A014B9 exp=53F1F016B217A014B9 + 7835.50ns INFO [00007837] * RD COMPARE * port=1 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F + 7835.50ns INFO [00007837] Port=0 RD @03 + 7837.50ns INFO [00007839] * RD COMPARE * port=0 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F + 7837.50ns INFO [00007839] Port=0 WR @01=1822502ACDB093D3B8 + 7837.50ns INFO [00007839] Port=1 RD @03 + 7838.50ns INFO [00007840] Port=0 WR @02=9C0570EC2E32E3680E + 7838.50ns INFO [00007840] Port=1 RD @03 + 7839.50ns INFO [00007841] * RD COMPARE * port=1 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F + 7839.50ns INFO [00007841] Port=0 WR @06=8B334CBA07AB2E6753 + 7839.50ns INFO [00007841] Port=1 RD @05 + 7840.50ns INFO [00007842] * RD COMPARE * port=1 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F + 7841.50ns INFO [00007843] * RD COMPARE * port=1 adr=05 act=95D147D965EB3EFB73 exp=95D147D965EB3EFB73 + 7842.50ns INFO [00007844] Port=0 RD @07 + 7842.50ns INFO [00007844] Port=1 RD @03 + 7843.50ns INFO [00007845] Port=0 WR @01=96430D180B7EF77ECD + 7844.50ns INFO [00007846] * RD COMPARE * port=0 adr=07 act=FB1762CA816771AFEE exp=FB1762CA816771AFEE + 7844.50ns INFO [00007846] * RD COMPARE * port=1 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F + 7844.50ns INFO [00007846] Port=0 RD @06 + 7844.50ns INFO [00007846] Port=1 RD @01 + 7846.50ns INFO [00007848] * RD COMPARE * port=0 adr=06 act=8B334CBA07AB2E6753 exp=8B334CBA07AB2E6753 + 7846.50ns INFO [00007848] * RD COMPARE * port=1 adr=01 act=96430D180B7EF77ECD exp=96430D180B7EF77ECD + 7847.50ns INFO [00007849] Port=0 WR @01=51A6D1EC8AF2AF33F3 + 7847.50ns INFO [00007849] Port=1 RD @05 + 7848.50ns INFO [00007850] Port=0 RD @05 + 7849.50ns INFO [00007851] * RD COMPARE * port=1 adr=05 act=95D147D965EB3EFB73 exp=95D147D965EB3EFB73 + 7849.50ns INFO [00007851] Port=0 WR @07=5D5AAA98EB075BE740 + 7850.50ns INFO [00007852] * RD COMPARE * port=0 adr=05 act=95D147D965EB3EFB73 exp=95D147D965EB3EFB73 + 7850.50ns INFO [00007852] Port=0 RD @02 + 7852.50ns INFO [00007854] * RD COMPARE * port=0 adr=02 act=9C0570EC2E32E3680E exp=9C0570EC2E32E3680E + 7853.50ns INFO [00007855] Port=0 RD @07 + 7854.50ns INFO [00007856] Port=0 RD @03 + 7855.50ns INFO [00007857] * RD COMPARE * port=0 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740 + 7855.50ns INFO [00007857] Port=0 RD @00 + 7855.50ns INFO [00007857] Port=1 RD @03 + 7856.50ns INFO [00007858] * RD COMPARE * port=0 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F + 7857.50ns INFO [00007859] * RD COMPARE * port=0 adr=00 act=53F1F016B217A014B9 exp=53F1F016B217A014B9 + 7857.50ns INFO [00007859] * RD COMPARE * port=1 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F + 7857.50ns INFO [00007859] Port=0 WR @04=4D6264C1862D3130A9 + 7857.50ns INFO [00007859] Port=1 RD @01 + 7859.50ns INFO [00007861] * RD COMPARE * port=1 adr=01 act=51A6D1EC8AF2AF33F3 exp=51A6D1EC8AF2AF33F3 + 7859.50ns INFO [00007861] Port=0 RD @03 + 7859.50ns INFO [00007861] Port=1 RD @01 + 7860.50ns INFO [00007862] Port=0 WR @03=461C5D11AB9DEF4C13 + 7860.50ns INFO [00007862] Port=1 RD @07 + 7861.50ns INFO [00007863] * RD COMPARE * port=0 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F + 7861.50ns INFO [00007863] * RD COMPARE * port=1 adr=01 act=51A6D1EC8AF2AF33F3 exp=51A6D1EC8AF2AF33F3 + 7861.50ns INFO [00007863] Port=0 WR @02=B14AA14CD7F5F04286 + 7862.50ns INFO [00007864] * RD COMPARE * port=1 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740 + 7862.50ns INFO [00007864] Port=0 WR @02=D082FB954EB9B61A40 + 7862.50ns INFO [00007864] Port=0 RD @07 + 7862.50ns INFO [00007864] Port=1 RD @00 + 7863.50ns INFO [00007865] Port=0 RD @05 + 7863.50ns INFO [00007865] Port=1 RD @02 + 7864.50ns INFO [00007866] * RD COMPARE * port=0 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740 + 7864.50ns INFO [00007866] * RD COMPARE * port=1 adr=00 act=53F1F016B217A014B9 exp=53F1F016B217A014B9 + 7864.50ns INFO [00007866] Port=0 RD @01 + 7864.50ns INFO [00007866] Port=1 RD @07 + 7865.50ns INFO [00007867] * RD COMPARE * port=0 adr=05 act=95D147D965EB3EFB73 exp=95D147D965EB3EFB73 + 7865.50ns INFO [00007867] * RD COMPARE * port=1 adr=02 act=D082FB954EB9B61A40 exp=D082FB954EB9B61A40 + 7865.50ns INFO [00007867] Port=0 WR @00=DAE3B3A2A6B06229FC + 7865.50ns INFO [00007867] Port=1 RD @07 + 7866.50ns INFO [00007868] * RD COMPARE * port=0 adr=01 act=51A6D1EC8AF2AF33F3 exp=51A6D1EC8AF2AF33F3 + 7866.50ns INFO [00007868] * RD COMPARE * port=1 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740 + 7866.50ns INFO [00007868] Port=0 RD @00 + 7866.50ns INFO [00007868] Port=1 RD @02 + 7867.50ns INFO [00007869] * RD COMPARE * port=1 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740 + 7867.50ns INFO [00007869] Port=0 WR @06=0EEC359A924DEC53E5 + 7868.50ns INFO [00007870] * RD COMPARE * port=0 adr=00 act=DAE3B3A2A6B06229FC exp=DAE3B3A2A6B06229FC + 7868.50ns INFO [00007870] * RD COMPARE * port=1 adr=02 act=D082FB954EB9B61A40 exp=D082FB954EB9B61A40 + 7869.50ns INFO [00007871] Port=0 WR @02=B6937BB269BE8E4753 + 7869.50ns INFO [00007871] Port=0 RD @06 + 7870.50ns INFO [00007872] Port=0 RD @06 + 7871.50ns INFO [00007873] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7871.50ns INFO [00007873] Port=1 RD @02 + 7872.50ns INFO [00007874] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7872.50ns INFO [00007874] Port=0 WR @00=219D1C4A84B40AD603 + 7873.50ns INFO [00007875] * RD COMPARE * port=1 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753 + 7873.50ns INFO [00007875] Port=0 WR @01=5D8F5A10ADEF2A7B23 + 7873.50ns INFO [00007875] Port=1 RD @00 + 7874.50ns INFO [00007876] Port=0 WR @04=A2C5FBED14DF3C9A50 + 7875.50ns INFO [00007877] * RD COMPARE * port=1 adr=00 act=219D1C4A84B40AD603 exp=219D1C4A84B40AD603 + 7875.50ns INFO [00007877] Port=0 WR @00=8EA8949732E1F2120B + 7876.50ns INFO [00007878] Port=0 WR @00=4DDFE64527D5B40B3B + 7876.50ns INFO [00007878] Port=0 RD @02 + 7876.50ns INFO [00007878] Port=1 RD @02 + 7877.50ns INFO [00007879] Port=0 WR @01=F1C4E4C74F0E7A95BB + 7878.50ns INFO [00007880] * RD COMPARE * port=0 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753 + 7878.50ns INFO [00007880] * RD COMPARE * port=1 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753 + 7878.50ns INFO [00007880] Port=0 RD @01 + 7880.50ns INFO [00007882] * RD COMPARE * port=0 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB + 7881.50ns INFO [00007883] Port=0 WR @03=5964B72B649227BFCD + 7881.50ns INFO [00007883] Port=0 RD @02 + 7881.50ns INFO [00007883] Port=1 RD @02 + 7882.50ns INFO [00007884] Port=0 WR @05=AECB708FBD28BF6B8E + 7883.50ns INFO [00007885] * RD COMPARE * port=0 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753 + 7883.50ns INFO [00007885] * RD COMPARE * port=1 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753 + 7883.50ns INFO [00007885] Port=1 RD @02 + 7884.50ns INFO [00007886] Port=1 RD @07 + 7885.50ns INFO [00007887] * RD COMPARE * port=1 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753 + 7885.50ns INFO [00007887] Port=0 RD @00 + 7886.50ns INFO [00007888] * RD COMPARE * port=1 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740 + 7886.50ns INFO [00007888] Port=0 WR @07=F2951690DDE0F4E98C + 7886.50ns INFO [00007888] Port=0 RD @06 + 7887.50ns INFO [00007889] * RD COMPARE * port=0 adr=00 act=4DDFE64527D5B40B3B exp=4DDFE64527D5B40B3B + 7887.50ns INFO [00007889] Port=0 RD @06 + 7887.50ns INFO [00007889] Port=1 RD @07 + 7888.50ns INFO [00007890] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7889.50ns INFO [00007891] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7889.50ns INFO [00007891] * RD COMPARE * port=1 adr=07 act=F2951690DDE0F4E98C exp=F2951690DDE0F4E98C + 7890.50ns INFO [00007892] Port=0 RD @03 + 7891.50ns INFO [00007893] Port=0 RD @05 + 7891.50ns INFO [00007893] Port=1 RD @00 + 7892.50ns INFO [00007894] * RD COMPARE * port=0 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD + 7892.50ns INFO [00007894] Port=1 RD @00 + 7893.50ns INFO [00007895] * RD COMPARE * port=0 adr=05 act=AECB708FBD28BF6B8E exp=AECB708FBD28BF6B8E + 7893.50ns INFO [00007895] * RD COMPARE * port=1 adr=00 act=4DDFE64527D5B40B3B exp=4DDFE64527D5B40B3B + 7893.50ns INFO [00007895] Port=1 RD @00 + 7894.50ns INFO [00007896] * RD COMPARE * port=1 adr=00 act=4DDFE64527D5B40B3B exp=4DDFE64527D5B40B3B + 7894.50ns INFO [00007896] Port=0 WR @07=35E46F4F7231714CA0 + 7894.50ns INFO [00007896] Port=0 RD @05 + 7895.50ns INFO [00007897] * RD COMPARE * port=1 adr=00 act=4DDFE64527D5B40B3B exp=4DDFE64527D5B40B3B + 7895.50ns INFO [00007897] Port=1 RD @03 + 7896.50ns INFO [00007898] * RD COMPARE * port=0 adr=05 act=AECB708FBD28BF6B8E exp=AECB708FBD28BF6B8E + 7897.50ns INFO [00007899] * RD COMPARE * port=1 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD + 7897.50ns INFO [00007899] Port=0 RD @07 + 7897.50ns INFO [00007899] Port=1 RD @01 + 7898.00ns INFO [00007900] [00007900] ...tick... + 7898.50ns INFO [00007900] Port=0 WR @05=B6303DA372FE57C888 + 7898.50ns INFO [00007900] Port=1 RD @07 + 7899.50ns INFO [00007901] * RD COMPARE * port=0 adr=07 act=35E46F4F7231714CA0 exp=35E46F4F7231714CA0 + 7899.50ns INFO [00007901] * RD COMPARE * port=1 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB + 7899.50ns INFO [00007901] Port=0 RD @04 + 7900.50ns INFO [00007902] * RD COMPARE * port=1 adr=07 act=35E46F4F7231714CA0 exp=35E46F4F7231714CA0 + 7900.50ns INFO [00007902] Port=0 WR @05=D187538965A5A5AB3F + 7900.50ns INFO [00007902] Port=1 RD @06 + 7901.50ns INFO [00007903] * RD COMPARE * port=0 adr=04 act=A2C5FBED14DF3C9A50 exp=A2C5FBED14DF3C9A50 + 7901.50ns INFO [00007903] Port=0 RD @07 + 7902.50ns INFO [00007904] * RD COMPARE * port=1 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7902.50ns INFO [00007904] Port=1 RD @06 + 7903.50ns INFO [00007905] * RD COMPARE * port=0 adr=07 act=35E46F4F7231714CA0 exp=35E46F4F7231714CA0 + 7903.50ns INFO [00007905] Port=1 RD @07 + 7904.50ns INFO [00007906] * RD COMPARE * port=1 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7904.50ns INFO [00007906] Port=0 RD @01 + 7904.50ns INFO [00007906] Port=1 RD @01 + 7905.50ns INFO [00007907] * RD COMPARE * port=1 adr=07 act=35E46F4F7231714CA0 exp=35E46F4F7231714CA0 + 7905.50ns INFO [00007907] Port=0 RD @01 + 7905.50ns INFO [00007907] Port=1 RD @07 + 7906.50ns INFO [00007908] * RD COMPARE * port=0 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB + 7906.50ns INFO [00007908] * RD COMPARE * port=1 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB + 7906.50ns INFO [00007908] Port=0 RD @06 + 7906.50ns INFO [00007908] Port=1 RD @01 + 7907.50ns INFO [00007909] * RD COMPARE * port=0 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB + 7907.50ns INFO [00007909] * RD COMPARE * port=1 adr=07 act=35E46F4F7231714CA0 exp=35E46F4F7231714CA0 + 7908.50ns INFO [00007910] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7908.50ns INFO [00007910] * RD COMPARE * port=1 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB + 7908.50ns INFO [00007910] Port=0 RD @03 + 7909.50ns INFO [00007911] Port=0 RD @04 + 7910.50ns INFO [00007912] * RD COMPARE * port=0 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD + 7910.50ns INFO [00007912] Port=0 WR @04=628D661B1736DFF0D0 + 7910.50ns INFO [00007912] Port=0 RD @00 + 7911.50ns INFO [00007913] * RD COMPARE * port=0 adr=04 act=A2C5FBED14DF3C9A50 exp=A2C5FBED14DF3C9A50 + 7911.50ns INFO [00007913] Port=0 WR @00=B62CB69C752D23F532 + 7911.50ns INFO [00007913] Port=0 RD @06 + 7912.50ns INFO [00007914] * RD COMPARE * port=0 adr=00 act=4DDFE64527D5B40B3B exp=4DDFE64527D5B40B3B + 7912.50ns INFO [00007914] Port=0 RD @00 + 7913.50ns INFO [00007915] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7913.50ns INFO [00007915] Port=0 RD @00 + 7914.50ns INFO [00007916] * RD COMPARE * port=0 adr=00 act=B62CB69C752D23F532 exp=B62CB69C752D23F532 + 7914.50ns INFO [00007916] Port=0 RD @00 + 7915.50ns INFO [00007917] * RD COMPARE * port=0 adr=00 act=B62CB69C752D23F532 exp=B62CB69C752D23F532 + 7915.50ns INFO [00007917] Port=0 RD @05 + 7916.50ns INFO [00007918] * RD COMPARE * port=0 adr=00 act=B62CB69C752D23F532 exp=B62CB69C752D23F532 + 7916.50ns INFO [00007918] Port=0 WR @00=6B4729AF8D8FCBE193 + 7916.50ns INFO [00007918] Port=1 RD @03 + 7917.50ns INFO [00007919] * RD COMPARE * port=0 adr=05 act=D187538965A5A5AB3F exp=D187538965A5A5AB3F + 7917.50ns INFO [00007919] Port=0 RD @03 + 7917.50ns INFO [00007919] Port=1 RD @03 + 7918.50ns INFO [00007920] * RD COMPARE * port=1 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD + 7918.50ns INFO [00007920] Port=0 WR @00=C24B9A8A2891A099CF + 7918.50ns INFO [00007920] Port=0 RD @03 + 7918.50ns INFO [00007920] Port=1 RD @05 + 7919.50ns INFO [00007921] * RD COMPARE * port=0 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD + 7919.50ns INFO [00007921] * RD COMPARE * port=1 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD + 7920.50ns INFO [00007922] * RD COMPARE * port=0 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD + 7920.50ns INFO [00007922] * RD COMPARE * port=1 adr=05 act=D187538965A5A5AB3F exp=D187538965A5A5AB3F + 7920.50ns INFO [00007922] Port=0 WR @05=A7A8EBC124435A1D12 + 7920.50ns INFO [00007922] Port=0 RD @01 + 7921.50ns INFO [00007923] Port=0 WR @03=E9BA16529F31E39FB4 + 7921.50ns INFO [00007923] Port=0 RD @05 + 7921.50ns INFO [00007923] Port=1 RD @04 + 7922.50ns INFO [00007924] * RD COMPARE * port=0 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB + 7923.50ns INFO [00007925] * RD COMPARE * port=0 adr=05 act=A7A8EBC124435A1D12 exp=A7A8EBC124435A1D12 + 7923.50ns INFO [00007925] * RD COMPARE * port=1 adr=04 act=628D661B1736DFF0D0 exp=628D661B1736DFF0D0 + 7923.50ns INFO [00007925] Port=1 RD @05 + 7925.50ns INFO [00007927] * RD COMPARE * port=1 adr=05 act=A7A8EBC124435A1D12 exp=A7A8EBC124435A1D12 + 7925.50ns INFO [00007927] Port=1 RD @03 + 7926.50ns INFO [00007928] Port=0 WR @01=19B46DB470CF8435E5 + 7926.50ns INFO [00007928] Port=0 RD @02 + 7927.50ns INFO [00007929] * RD COMPARE * port=1 adr=03 act=E9BA16529F31E39FB4 exp=E9BA16529F31E39FB4 + 7927.50ns INFO [00007929] Port=0 WR @07=1CB146C2171B846E0F + 7927.50ns INFO [00007929] Port=1 RD @00 + 7928.50ns INFO [00007930] * RD COMPARE * port=0 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753 + 7928.50ns INFO [00007930] Port=1 RD @04 + 7929.50ns INFO [00007931] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 7929.50ns INFO [00007931] Port=0 RD @05 + 7930.50ns INFO [00007932] * RD COMPARE * port=1 adr=04 act=628D661B1736DFF0D0 exp=628D661B1736DFF0D0 + 7930.50ns INFO [00007932] Port=0 WR @04=E93EE8790B30824555 + 7930.50ns INFO [00007932] Port=1 RD @01 + 7931.50ns INFO [00007933] * RD COMPARE * port=0 adr=05 act=A7A8EBC124435A1D12 exp=A7A8EBC124435A1D12 + 7931.50ns INFO [00007933] Port=0 WR @05=8944E048136E96F5AC + 7931.50ns INFO [00007933] Port=1 RD @01 + 7932.50ns INFO [00007934] * RD COMPARE * port=1 adr=01 act=19B46DB470CF8435E5 exp=19B46DB470CF8435E5 + 7932.50ns INFO [00007934] Port=0 RD @07 + 7933.50ns INFO [00007935] * RD COMPARE * port=1 adr=01 act=19B46DB470CF8435E5 exp=19B46DB470CF8435E5 + 7933.50ns INFO [00007935] Port=1 RD @00 + 7934.50ns INFO [00007936] * RD COMPARE * port=0 adr=07 act=1CB146C2171B846E0F exp=1CB146C2171B846E0F + 7934.50ns INFO [00007936] Port=0 WR @05=66CCCD05E8D9E4FF8E + 7934.50ns INFO [00007936] Port=0 RD @01 + 7934.50ns INFO [00007936] Port=1 RD @04 + 7935.50ns INFO [00007937] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 7935.50ns INFO [00007937] Port=0 RD @02 + 7936.50ns INFO [00007938] * RD COMPARE * port=0 adr=01 act=19B46DB470CF8435E5 exp=19B46DB470CF8435E5 + 7936.50ns INFO [00007938] * RD COMPARE * port=1 adr=04 act=E93EE8790B30824555 exp=E93EE8790B30824555 + 7936.50ns INFO [00007938] Port=0 WR @07=C9DB70B4FE59015CFC + 7936.50ns INFO [00007938] Port=1 RD @02 + 7937.50ns INFO [00007939] * RD COMPARE * port=0 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753 + 7938.50ns INFO [00007940] * RD COMPARE * port=1 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753 + 7938.50ns INFO [00007940] Port=0 WR @02=496111D7D9C1F7E657 + 7939.50ns INFO [00007941] Port=0 RD @06 + 7940.50ns INFO [00007942] Port=0 RD @05 + 7941.50ns INFO [00007943] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7941.50ns INFO [00007943] Port=1 RD @00 + 7942.50ns INFO [00007944] * RD COMPARE * port=0 adr=05 act=66CCCD05E8D9E4FF8E exp=66CCCD05E8D9E4FF8E + 7942.50ns INFO [00007944] Port=1 RD @00 + 7943.50ns INFO [00007945] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 7944.50ns INFO [00007946] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 7944.50ns INFO [00007946] Port=1 RD @03 + 7946.50ns INFO [00007948] * RD COMPARE * port=1 adr=03 act=E9BA16529F31E39FB4 exp=E9BA16529F31E39FB4 + 7946.50ns INFO [00007948] Port=0 RD @00 + 7946.50ns INFO [00007948] Port=1 RD @07 + 7947.50ns INFO [00007949] Port=1 RD @03 + 7948.50ns INFO [00007950] * RD COMPARE * port=0 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 7948.50ns INFO [00007950] * RD COMPARE * port=1 adr=07 act=C9DB70B4FE59015CFC exp=C9DB70B4FE59015CFC + 7948.50ns INFO [00007950] Port=0 WR @01=3A07368AFDD3DBBCFC + 7948.50ns INFO [00007950] Port=0 RD @06 + 7949.50ns INFO [00007951] * RD COMPARE * port=1 adr=03 act=E9BA16529F31E39FB4 exp=E9BA16529F31E39FB4 + 7949.50ns INFO [00007951] Port=0 WR @01=333EFA47D4F2E1F9FD + 7950.50ns INFO [00007952] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7950.50ns INFO [00007952] Port=1 RD @03 + 7951.50ns INFO [00007953] Port=1 RD @03 + 7952.50ns INFO [00007954] * RD COMPARE * port=1 adr=03 act=E9BA16529F31E39FB4 exp=E9BA16529F31E39FB4 + 7952.50ns INFO [00007954] Port=0 RD @02 + 7952.50ns INFO [00007954] Port=1 RD @02 + 7953.50ns INFO [00007955] * RD COMPARE * port=1 adr=03 act=E9BA16529F31E39FB4 exp=E9BA16529F31E39FB4 + 7953.50ns INFO [00007955] Port=0 RD @00 + 7954.50ns INFO [00007956] * RD COMPARE * port=0 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 7954.50ns INFO [00007956] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 7954.50ns INFO [00007956] Port=0 WR @03=82AAA1D1C8DFCA84A6 + 7954.50ns INFO [00007956] Port=1 RD @07 + 7955.50ns INFO [00007957] * RD COMPARE * port=0 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 7956.50ns INFO [00007958] * RD COMPARE * port=1 adr=07 act=C9DB70B4FE59015CFC exp=C9DB70B4FE59015CFC + 7958.50ns INFO [00007960] Port=0 WR @04=D9576B4E712C8B90C2 + 7960.50ns INFO [00007962] Port=0 WR @01=EB2CD5A3808DDBD4A5 + 7960.50ns INFO [00007962] Port=0 RD @06 + 7961.50ns INFO [00007963] Port=0 RD @02 + 7961.50ns INFO [00007963] Port=1 RD @04 + 7962.50ns INFO [00007964] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7962.50ns INFO [00007964] Port=1 RD @01 + 7963.50ns INFO [00007965] * RD COMPARE * port=0 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 7963.50ns INFO [00007965] * RD COMPARE * port=1 adr=04 act=D9576B4E712C8B90C2 exp=D9576B4E712C8B90C2 + 7963.50ns INFO [00007965] Port=1 RD @06 + 7964.50ns INFO [00007966] * RD COMPARE * port=1 adr=01 act=EB2CD5A3808DDBD4A5 exp=EB2CD5A3808DDBD4A5 + 7965.50ns INFO [00007967] * RD COMPARE * port=1 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7965.50ns INFO [00007967] Port=0 WR @01=F4F759AF0E721C9053 + 7965.50ns INFO [00007967] Port=0 RD @00 + 7965.50ns INFO [00007967] Port=1 RD @06 + 7967.50ns INFO [00007969] * RD COMPARE * port=0 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 7967.50ns INFO [00007969] * RD COMPARE * port=1 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7967.50ns INFO [00007969] Port=0 RD @07 + 7967.50ns INFO [00007969] Port=1 RD @02 + 7968.50ns INFO [00007970] Port=0 RD @01 + 7969.50ns INFO [00007971] * RD COMPARE * port=0 adr=07 act=C9DB70B4FE59015CFC exp=C9DB70B4FE59015CFC + 7969.50ns INFO [00007971] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 7969.50ns INFO [00007971] Port=0 WR @05=38D681FA22B37BB372 + 7969.50ns INFO [00007971] Port=1 RD @06 + 7970.50ns INFO [00007972] * RD COMPARE * port=0 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053 + 7970.50ns INFO [00007972] Port=1 RD @01 + 7971.50ns INFO [00007973] * RD COMPARE * port=1 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7972.50ns INFO [00007974] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053 + 7972.50ns INFO [00007974] Port=0 WR @07=41B3BAE5A63BCC4A8C + 7972.50ns INFO [00007974] Port=0 RD @05 + 7972.50ns INFO [00007974] Port=1 RD @00 + 7973.50ns INFO [00007975] Port=0 WR @04=9628C006EDF60CEB67 + 7973.50ns INFO [00007975] Port=1 RD @00 + 7974.50ns INFO [00007976] * RD COMPARE * port=0 adr=05 act=38D681FA22B37BB372 exp=38D681FA22B37BB372 + 7974.50ns INFO [00007976] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 7974.50ns INFO [00007976] Port=0 WR @05=734F8D2C25E007CC42 + 7974.50ns INFO [00007976] Port=0 RD @04 + 7975.50ns INFO [00007977] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 7975.50ns INFO [00007977] Port=0 RD @06 + 7976.50ns INFO [00007978] * RD COMPARE * port=0 adr=04 act=9628C006EDF60CEB67 exp=9628C006EDF60CEB67 + 7976.50ns INFO [00007978] Port=0 RD @07 + 7977.50ns INFO [00007979] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5 + 7977.50ns INFO [00007979] Port=0 WR @07=842FF8DAB2BE12F8C0 + 7978.50ns INFO [00007980] * RD COMPARE * port=0 adr=07 act=41B3BAE5A63BCC4A8C exp=41B3BAE5A63BCC4A8C + 7978.50ns INFO [00007980] Port=1 RD @02 + 7979.50ns INFO [00007981] Port=0 RD @04 + 7979.50ns INFO [00007981] Port=1 RD @03 + 7980.50ns INFO [00007982] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 7980.50ns INFO [00007982] Port=0 WR @06=18A5F986F20F5A73FC + 7981.50ns INFO [00007983] * RD COMPARE * port=0 adr=04 act=9628C006EDF60CEB67 exp=9628C006EDF60CEB67 + 7981.50ns INFO [00007983] * RD COMPARE * port=1 adr=03 act=82AAA1D1C8DFCA84A6 exp=82AAA1D1C8DFCA84A6 + 7981.50ns INFO [00007983] Port=0 WR @07=F9FB62F56F06556D83 + 7981.50ns INFO [00007983] Port=1 RD @00 + 7982.50ns INFO [00007984] Port=0 WR @05=C308AA106F9A162D4D + 7983.50ns INFO [00007985] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 7984.50ns INFO [00007986] Port=0 WR @05=FA0E3271147A222171 + 7984.50ns INFO [00007986] Port=0 RD @01 + 7986.50ns INFO [00007988] * RD COMPARE * port=0 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053 + 7988.50ns INFO [00007990] Port=1 RD @02 + 7989.50ns INFO [00007991] Port=0 WR @05=64C9AEE2E10062A96A + 7989.50ns INFO [00007991] Port=0 RD @01 + 7990.50ns INFO [00007992] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 7990.50ns INFO [00007992] Port=1 RD @02 + 7991.50ns INFO [00007993] * RD COMPARE * port=0 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053 + 7991.50ns INFO [00007993] Port=0 WR @03=B0E453882D8F03C82C + 7991.50ns INFO [00007993] Port=1 RD @02 + 7992.50ns INFO [00007994] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 7992.50ns INFO [00007994] Port=0 RD @07 + 7993.50ns INFO [00007995] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 7993.50ns INFO [00007995] Port=0 RD @03 + 7994.50ns INFO [00007996] * RD COMPARE * port=0 adr=07 act=F9FB62F56F06556D83 exp=F9FB62F56F06556D83 + 7994.50ns INFO [00007996] Port=1 RD @02 + 7995.50ns INFO [00007997] * RD COMPARE * port=0 adr=03 act=B0E453882D8F03C82C exp=B0E453882D8F03C82C + 7996.50ns INFO [00007998] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 7996.50ns INFO [00007998] Port=0 WR @04=121F63B731CE3E37E6 + 7996.50ns INFO [00007998] Port=0 RD @06 + 7997.50ns INFO [00007999] Port=0 RD @05 + 7997.50ns INFO [00007999] Port=1 RD @06 + 7998.00ns INFO [00008000] [00008000] ...tick... + 7998.50ns INFO [00008000] * RD COMPARE * port=0 adr=06 act=18A5F986F20F5A73FC exp=18A5F986F20F5A73FC + 7999.50ns INFO [00008001] * RD COMPARE * port=0 adr=05 act=64C9AEE2E10062A96A exp=64C9AEE2E10062A96A + 7999.50ns INFO [00008001] * RD COMPARE * port=1 adr=06 act=18A5F986F20F5A73FC exp=18A5F986F20F5A73FC + 7999.50ns INFO [00008001] Port=1 RD @02 + 8000.50ns INFO [00008002] Port=0 RD @03 + 8000.50ns INFO [00008002] Port=1 RD @04 + 8001.50ns INFO [00008003] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 8001.50ns INFO [00008003] Port=1 RD @02 + 8002.50ns INFO [00008004] * RD COMPARE * port=0 adr=03 act=B0E453882D8F03C82C exp=B0E453882D8F03C82C + 8002.50ns INFO [00008004] * RD COMPARE * port=1 adr=04 act=121F63B731CE3E37E6 exp=121F63B731CE3E37E6 + 8002.50ns INFO [00008004] Port=0 WR @07=E959422957C11A64EC + 8002.50ns INFO [00008004] Port=1 RD @01 + 8003.50ns INFO [00008005] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 8003.50ns INFO [00008005] Port=1 RD @04 + 8004.50ns INFO [00008006] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053 + 8004.50ns INFO [00008006] Port=1 RD @05 + 8005.50ns INFO [00008007] * RD COMPARE * port=1 adr=04 act=121F63B731CE3E37E6 exp=121F63B731CE3E37E6 + 8005.50ns INFO [00008007] Port=0 WR @04=2D4E786C9FCDC4D8B0 + 8005.50ns INFO [00008007] Port=1 RD @02 + 8006.50ns INFO [00008008] * RD COMPARE * port=1 adr=05 act=64C9AEE2E10062A96A exp=64C9AEE2E10062A96A + 8006.50ns INFO [00008008] Port=0 WR @05=20534A2A5AE7601D3F + 8007.50ns INFO [00008009] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 8007.50ns INFO [00008009] Port=0 RD @00 + 8007.50ns INFO [00008009] Port=1 RD @01 + 8008.50ns INFO [00008010] Port=0 RD @04 + 8008.50ns INFO [00008010] Port=1 RD @07 + 8009.50ns INFO [00008011] * RD COMPARE * port=0 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF + 8009.50ns INFO [00008011] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053 + 8009.50ns INFO [00008011] Port=0 WR @05=A7CC3822962EF982A0 + 8010.50ns INFO [00008012] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0 + 8010.50ns INFO [00008012] * RD COMPARE * port=1 adr=07 act=E959422957C11A64EC exp=E959422957C11A64EC + 8011.50ns INFO [00008013] Port=1 RD @01 + 8012.50ns INFO [00008014] Port=0 RD @04 + 8013.50ns INFO [00008015] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053 + 8013.50ns INFO [00008015] Port=0 RD @03 + 8014.50ns INFO [00008016] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0 + 8014.50ns INFO [00008016] Port=0 RD @07 + 8014.50ns INFO [00008016] Port=1 RD @04 + 8015.50ns INFO [00008017] * RD COMPARE * port=0 adr=03 act=B0E453882D8F03C82C exp=B0E453882D8F03C82C + 8015.50ns INFO [00008017] Port=0 WR @06=DE31EF2BA0F4721D8E + 8015.50ns INFO [00008017] Port=1 RD @01 + 8016.50ns INFO [00008018] * RD COMPARE * port=0 adr=07 act=E959422957C11A64EC exp=E959422957C11A64EC + 8016.50ns INFO [00008018] * RD COMPARE * port=1 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0 + 8016.50ns INFO [00008018] Port=0 WR @00=5D0465F56EF9FEB552 + 8017.50ns INFO [00008019] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053 + 8017.50ns INFO [00008019] Port=0 WR @06=0CCF436E09F68D9F8D + 8017.50ns INFO [00008019] Port=0 RD @00 + 8019.50ns INFO [00008021] * RD COMPARE * port=0 adr=00 act=5D0465F56EF9FEB552 exp=5D0465F56EF9FEB552 + 8019.50ns INFO [00008021] Port=0 WR @05=D7859381F123F7E446 + 8020.50ns INFO [00008022] Port=0 WR @00=442690B9BCF2033962 + 8021.50ns INFO [00008023] Port=1 RD @07 + 8022.50ns INFO [00008024] Port=0 WR @00=90FDC76E28F67F6BD6 + 8022.50ns INFO [00008024] Port=0 RD @05 + 8023.50ns INFO [00008025] * RD COMPARE * port=1 adr=07 act=E959422957C11A64EC exp=E959422957C11A64EC + 8023.50ns INFO [00008025] Port=0 RD @03 + 8024.50ns INFO [00008026] * RD COMPARE * port=0 adr=05 act=D7859381F123F7E446 exp=D7859381F123F7E446 + 8025.50ns INFO [00008027] * RD COMPARE * port=0 adr=03 act=B0E453882D8F03C82C exp=B0E453882D8F03C82C + 8025.50ns INFO [00008027] Port=1 RD @02 + 8027.50ns INFO [00008029] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 8027.50ns INFO [00008029] Port=1 RD @00 + 8028.50ns INFO [00008030] Port=1 RD @06 + 8029.50ns INFO [00008031] * RD COMPARE * port=1 adr=00 act=90FDC76E28F67F6BD6 exp=90FDC76E28F67F6BD6 + 8029.50ns INFO [00008031] Port=0 RD @06 + 8029.50ns INFO [00008031] Port=1 RD @00 + 8030.50ns INFO [00008032] * RD COMPARE * port=1 adr=06 act=0CCF436E09F68D9F8D exp=0CCF436E09F68D9F8D + 8030.50ns INFO [00008032] Port=0 WR @00=5B9012CEEA786A44E2 + 8031.50ns INFO [00008033] * RD COMPARE * port=0 adr=06 act=0CCF436E09F68D9F8D exp=0CCF436E09F68D9F8D + 8031.50ns INFO [00008033] * RD COMPARE * port=1 adr=00 act=90FDC76E28F67F6BD6 exp=90FDC76E28F67F6BD6 + 8031.50ns INFO [00008033] Port=1 RD @01 + 8032.50ns INFO [00008034] Port=0 RD @04 + 8032.50ns INFO [00008034] Port=1 RD @00 + 8033.50ns INFO [00008035] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053 + 8033.50ns INFO [00008035] Port=0 WR @03=4E61F75D3B55B684A2 + 8033.50ns INFO [00008035] Port=1 RD @04 + 8034.50ns INFO [00008036] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0 + 8034.50ns INFO [00008036] * RD COMPARE * port=1 adr=00 act=5B9012CEEA786A44E2 exp=5B9012CEEA786A44E2 + 8034.50ns INFO [00008036] Port=1 RD @03 + 8035.50ns INFO [00008037] * RD COMPARE * port=1 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0 + 8035.50ns INFO [00008037] Port=0 WR @07=4669EF1237F0BCF047 + 8035.50ns INFO [00008037] Port=1 RD @05 + 8036.50ns INFO [00008038] * RD COMPARE * port=1 adr=03 act=4E61F75D3B55B684A2 exp=4E61F75D3B55B684A2 + 8036.50ns INFO [00008038] Port=0 RD @07 + 8037.50ns INFO [00008039] * RD COMPARE * port=1 adr=05 act=D7859381F123F7E446 exp=D7859381F123F7E446 + 8037.50ns INFO [00008039] Port=0 WR @01=FE34B0141864C69338 + 8038.50ns INFO [00008040] * RD COMPARE * port=0 adr=07 act=4669EF1237F0BCF047 exp=4669EF1237F0BCF047 + 8038.50ns INFO [00008040] Port=0 WR @00=C893D087478923594E + 8038.50ns INFO [00008040] Port=0 RD @06 + 8039.50ns INFO [00008041] Port=0 RD @01 + 8039.50ns INFO [00008041] Port=1 RD @03 + 8040.50ns INFO [00008042] * RD COMPARE * port=0 adr=06 act=0CCF436E09F68D9F8D exp=0CCF436E09F68D9F8D + 8040.50ns INFO [00008042] Port=0 WR @06=EDA75DD0D24D64BA6C + 8040.50ns INFO [00008042] Port=0 RD @03 + 8041.50ns INFO [00008043] * RD COMPARE * port=0 adr=01 act=FE34B0141864C69338 exp=FE34B0141864C69338 + 8041.50ns INFO [00008043] * RD COMPARE * port=1 adr=03 act=4E61F75D3B55B684A2 exp=4E61F75D3B55B684A2 + 8042.50ns INFO [00008044] * RD COMPARE * port=0 adr=03 act=4E61F75D3B55B684A2 exp=4E61F75D3B55B684A2 + 8042.50ns INFO [00008044] Port=0 WR @05=C8CA18314DDD45E561 + 8042.50ns INFO [00008044] Port=0 RD @04 + 8043.50ns INFO [00008045] Port=0 RD @01 + 8044.50ns INFO [00008046] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0 + 8044.50ns INFO [00008046] Port=0 RD @02 + 8045.50ns INFO [00008047] * RD COMPARE * port=0 adr=01 act=FE34B0141864C69338 exp=FE34B0141864C69338 + 8045.50ns INFO [00008047] Port=0 WR @07=3B0C19B27FF9844DDC + 8045.50ns INFO [00008047] Port=0 RD @06 + 8045.50ns INFO [00008047] Port=1 RD @06 + 8046.50ns INFO [00008048] * RD COMPARE * port=0 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657 + 8047.50ns INFO [00008049] * RD COMPARE * port=0 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C + 8047.50ns INFO [00008049] * RD COMPARE * port=1 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C + 8047.50ns INFO [00008049] Port=0 RD @04 + 8047.50ns INFO [00008049] Port=1 RD @05 + 8048.50ns INFO [00008050] Port=0 WR @03=014A0FB16BE5ABFA2B + 8049.50ns INFO [00008051] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0 + 8049.50ns INFO [00008051] * RD COMPARE * port=1 adr=05 act=C8CA18314DDD45E561 exp=C8CA18314DDD45E561 + 8049.50ns INFO [00008051] Port=0 WR @05=101BB585209A3D0F6B + 8049.50ns INFO [00008051] Port=0 RD @01 + 8051.50ns INFO [00008053] * RD COMPARE * port=0 adr=01 act=FE34B0141864C69338 exp=FE34B0141864C69338 + 8052.50ns INFO [00008054] Port=1 RD @07 + 8053.50ns INFO [00008055] Port=1 RD @05 + 8054.50ns INFO [00008056] * RD COMPARE * port=1 adr=07 act=3B0C19B27FF9844DDC exp=3B0C19B27FF9844DDC + 8054.50ns INFO [00008056] Port=0 WR @01=9408ABF82E90359159 + 8055.50ns INFO [00008057] * RD COMPARE * port=1 adr=05 act=101BB585209A3D0F6B exp=101BB585209A3D0F6B + 8055.50ns INFO [00008057] Port=0 WR @03=A09781D4A5BD7F7DE6 + 8056.50ns INFO [00008058] Port=0 RD @01 + 8056.50ns INFO [00008058] Port=1 RD @00 + 8057.50ns INFO [00008059] Port=0 WR @07=E5C52C0BE06718DD5A + 8058.50ns INFO [00008060] * RD COMPARE * port=0 adr=01 act=9408ABF82E90359159 exp=9408ABF82E90359159 + 8058.50ns INFO [00008060] * RD COMPARE * port=1 adr=00 act=C893D087478923594E exp=C893D087478923594E + 8058.50ns INFO [00008060] Port=0 RD @07 + 8058.50ns INFO [00008060] Port=1 RD @06 + 8059.50ns INFO [00008061] Port=1 RD @05 + 8060.50ns INFO [00008062] * RD COMPARE * port=0 adr=07 act=E5C52C0BE06718DD5A exp=E5C52C0BE06718DD5A + 8060.50ns INFO [00008062] * RD COMPARE * port=1 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C + 8060.50ns INFO [00008062] Port=1 RD @04 + 8061.50ns INFO [00008063] * RD COMPARE * port=1 adr=05 act=101BB585209A3D0F6B exp=101BB585209A3D0F6B + 8061.50ns INFO [00008063] Port=1 RD @01 + 8062.50ns INFO [00008064] * RD COMPARE * port=1 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0 + 8062.50ns INFO [00008064] Port=1 RD @05 + 8063.50ns INFO [00008065] * RD COMPARE * port=1 adr=01 act=9408ABF82E90359159 exp=9408ABF82E90359159 + 8063.50ns INFO [00008065] Port=0 WR @03=3B01DA5D459241126E + 8064.50ns INFO [00008066] * RD COMPARE * port=1 adr=05 act=101BB585209A3D0F6B exp=101BB585209A3D0F6B + 8064.50ns INFO [00008066] Port=0 WR @01=E29D1A588252EF2973 + 8065.50ns INFO [00008067] Port=0 WR @00=95C9E81E44CC2C1330 + 8065.50ns INFO [00008067] Port=0 RD @04 + 8066.50ns INFO [00008068] Port=0 WR @02=BF625B3B5DB5D87FE2 + 8066.50ns INFO [00008068] Port=1 RD @07 + 8067.50ns INFO [00008069] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0 + 8067.50ns INFO [00008069] Port=1 RD @06 + 8068.50ns INFO [00008070] * RD COMPARE * port=1 adr=07 act=E5C52C0BE06718DD5A exp=E5C52C0BE06718DD5A + 8068.50ns INFO [00008070] Port=0 WR @02=0FC8268A6DD25A7B8B + 8068.50ns INFO [00008070] Port=0 RD @06 + 8068.50ns INFO [00008070] Port=1 RD @05 + 8069.50ns INFO [00008071] * RD COMPARE * port=1 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C + 8069.50ns INFO [00008071] Port=0 WR @04=0591C2F8EEE1C83A57 + 8069.50ns INFO [00008071] Port=1 RD @06 + 8070.50ns INFO [00008072] * RD COMPARE * port=0 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C + 8070.50ns INFO [00008072] * RD COMPARE * port=1 adr=05 act=101BB585209A3D0F6B exp=101BB585209A3D0F6B + 8070.50ns INFO [00008072] Port=0 WR @05=42E9D86FDEC95880E4 + 8070.50ns INFO [00008072] Port=0 RD @06 + 8070.50ns INFO [00008072] Port=1 RD @03 + 8071.50ns INFO [00008073] * RD COMPARE * port=1 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C + 8071.50ns INFO [00008073] Port=0 WR @01=B773A52C5C131BEA82 + 8071.50ns INFO [00008073] Port=0 RD @05 + 8071.50ns INFO [00008073] Port=1 RD @06 + 8072.50ns INFO [00008074] * RD COMPARE * port=0 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C + 8072.50ns INFO [00008074] * RD COMPARE * port=1 adr=03 act=3B01DA5D459241126E exp=3B01DA5D459241126E + 8072.50ns INFO [00008074] Port=0 RD @04 + 8073.50ns INFO [00008075] * RD COMPARE * port=0 adr=05 act=42E9D86FDEC95880E4 exp=42E9D86FDEC95880E4 + 8073.50ns INFO [00008075] * RD COMPARE * port=1 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C + 8073.50ns INFO [00008075] Port=0 WR @01=F402F8AA99FD1F12DF + 8073.50ns INFO [00008075] Port=0 RD @03 + 8074.50ns INFO [00008076] * RD COMPARE * port=0 adr=04 act=0591C2F8EEE1C83A57 exp=0591C2F8EEE1C83A57 + 8074.50ns INFO [00008076] Port=0 RD @02 + 8075.50ns INFO [00008077] * RD COMPARE * port=0 adr=03 act=3B01DA5D459241126E exp=3B01DA5D459241126E + 8075.50ns INFO [00008077] Port=0 RD @00 + 8076.50ns INFO [00008078] * RD COMPARE * port=0 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B + 8076.50ns INFO [00008078] Port=0 WR @06=3976C1C546C7FE25D1 + 8077.50ns INFO [00008079] * RD COMPARE * port=0 adr=00 act=95C9E81E44CC2C1330 exp=95C9E81E44CC2C1330 + 8077.50ns INFO [00008079] Port=0 WR @03=0C4FB55ABFB037885E + 8077.50ns INFO [00008079] Port=1 RD @00 + 8078.50ns INFO [00008080] Port=0 WR @03=9EDE847D04FCC4110C + 8078.50ns INFO [00008080] Port=1 RD @02 + 8079.50ns INFO [00008081] * RD COMPARE * port=1 adr=00 act=95C9E81E44CC2C1330 exp=95C9E81E44CC2C1330 + 8079.50ns INFO [00008081] Port=0 WR @07=001E53B8C09DCC45C0 + 8079.50ns INFO [00008081] Port=1 RD @05 + 8080.50ns INFO [00008082] * RD COMPARE * port=1 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B + 8080.50ns INFO [00008082] Port=0 WR @04=046D91513FC99D9984 + 8081.50ns INFO [00008083] * RD COMPARE * port=1 adr=05 act=42E9D86FDEC95880E4 exp=42E9D86FDEC95880E4 + 8081.50ns INFO [00008083] Port=0 WR @04=15C28C4B299B110513 + 8081.50ns INFO [00008083] Port=1 RD @05 + 8083.50ns INFO [00008085] * RD COMPARE * port=1 adr=05 act=42E9D86FDEC95880E4 exp=42E9D86FDEC95880E4 + 8083.50ns INFO [00008085] Port=0 WR @00=64929B342D4C86ECA8 + 8083.50ns INFO [00008085] Port=0 RD @02 + 8084.50ns INFO [00008086] Port=0 RD @05 + 8084.50ns INFO [00008086] Port=1 RD @05 + 8085.50ns INFO [00008087] * RD COMPARE * port=0 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B + 8086.50ns INFO [00008088] * RD COMPARE * port=0 adr=05 act=42E9D86FDEC95880E4 exp=42E9D86FDEC95880E4 + 8086.50ns INFO [00008088] * RD COMPARE * port=1 adr=05 act=42E9D86FDEC95880E4 exp=42E9D86FDEC95880E4 + 8086.50ns INFO [00008088] Port=1 RD @02 + 8087.50ns INFO [00008089] Port=0 RD @07 + 8087.50ns INFO [00008089] Port=1 RD @02 + 8088.50ns INFO [00008090] * RD COMPARE * port=1 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B + 8088.50ns INFO [00008090] Port=0 RD @04 + 8088.50ns INFO [00008090] Port=1 RD @04 + 8089.50ns INFO [00008091] * RD COMPARE * port=0 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0 + 8089.50ns INFO [00008091] * RD COMPARE * port=1 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B + 8089.50ns INFO [00008091] Port=0 WR @00=2CDD09EF107C96B989 + 8089.50ns INFO [00008091] Port=1 RD @06 + 8090.50ns INFO [00008092] * RD COMPARE * port=0 adr=04 act=15C28C4B299B110513 exp=15C28C4B299B110513 + 8090.50ns INFO [00008092] * RD COMPARE * port=1 adr=04 act=15C28C4B299B110513 exp=15C28C4B299B110513 + 8090.50ns INFO [00008092] Port=0 RD @07 + 8091.50ns INFO [00008093] * RD COMPARE * port=1 adr=06 act=3976C1C546C7FE25D1 exp=3976C1C546C7FE25D1 + 8091.50ns INFO [00008093] Port=0 WR @05=C3215300B17EEBBE53 + 8091.50ns INFO [00008093] Port=0 RD @04 + 8091.50ns INFO [00008093] Port=1 RD @01 + 8092.50ns INFO [00008094] * RD COMPARE * port=0 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0 + 8092.50ns INFO [00008094] Port=0 WR @04=E7E838118FAE500090 + 8092.50ns INFO [00008094] Port=0 RD @07 + 8093.50ns INFO [00008095] * RD COMPARE * port=0 adr=04 act=15C28C4B299B110513 exp=15C28C4B299B110513 + 8093.50ns INFO [00008095] * RD COMPARE * port=1 adr=01 act=F402F8AA99FD1F12DF exp=F402F8AA99FD1F12DF + 8094.50ns INFO [00008096] * RD COMPARE * port=0 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0 + 8094.50ns INFO [00008096] Port=0 WR @04=D648CE75C203C79174 + 8095.50ns INFO [00008097] Port=0 RD @00 + 8096.50ns INFO [00008098] Port=0 WR @06=C2BC5629BF2B23904E + 8096.50ns INFO [00008098] Port=0 RD @02 + 8096.50ns INFO [00008098] Port=1 RD @05 + 8097.50ns INFO [00008099] * RD COMPARE * port=0 adr=00 act=2CDD09EF107C96B989 exp=2CDD09EF107C96B989 + 8097.50ns INFO [00008099] Port=0 WR @05=2859FCDB62B28CC0EE + 8097.50ns INFO [00008099] Port=1 RD @04 + 8098.00ns INFO [00008100] [00008100] ...tick... + 8098.50ns INFO [00008100] * RD COMPARE * port=0 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B + 8098.50ns INFO [00008100] * RD COMPARE * port=1 adr=05 act=C3215300B17EEBBE53 exp=C3215300B17EEBBE53 + 8099.50ns INFO [00008101] * RD COMPARE * port=1 adr=04 act=D648CE75C203C79174 exp=D648CE75C203C79174 + 8099.50ns INFO [00008101] Port=0 RD @02 + 8100.50ns INFO [00008102] Port=0 WR @01=E07EBABF74CD15AB3E + 8100.50ns INFO [00008102] Port=1 RD @06 + 8101.50ns INFO [00008103] * RD COMPARE * port=0 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B + 8101.50ns INFO [00008103] Port=0 RD @01 + 8102.50ns INFO [00008104] * RD COMPARE * port=1 adr=06 act=C2BC5629BF2B23904E exp=C2BC5629BF2B23904E + 8103.50ns INFO [00008105] * RD COMPARE * port=0 adr=01 act=E07EBABF74CD15AB3E exp=E07EBABF74CD15AB3E + 8103.50ns INFO [00008105] Port=0 RD @03 + 8104.50ns INFO [00008106] Port=0 WR @01=8C0E626B4E7DBB38BF + 8104.50ns INFO [00008106] Port=1 RD @02 + 8105.50ns INFO [00008107] * RD COMPARE * port=0 adr=03 act=9EDE847D04FCC4110C exp=9EDE847D04FCC4110C + 8105.50ns INFO [00008107] Port=0 WR @03=BF3C4DC9E185F24A66 + 8105.50ns INFO [00008107] Port=1 RD @07 + 8106.50ns INFO [00008108] * RD COMPARE * port=1 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B + 8106.50ns INFO [00008108] Port=0 WR @06=C656171E42B858B55B + 8107.50ns INFO [00008109] * RD COMPARE * port=1 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0 + 8107.50ns INFO [00008109] Port=0 RD @04 + 8108.50ns INFO [00008110] Port=0 WR @05=DC6DC3DC82A7121D2B + 8109.50ns INFO [00008111] * RD COMPARE * port=0 adr=04 act=D648CE75C203C79174 exp=D648CE75C203C79174 + 8110.50ns INFO [00008112] Port=0 WR @00=419609EA6D484D2A1E + 8112.50ns INFO [00008114] Port=1 RD @03 + 8113.50ns INFO [00008115] Port=0 RD @01 + 8113.50ns INFO [00008115] Port=1 RD @01 + 8114.50ns INFO [00008116] * RD COMPARE * port=1 adr=03 act=BF3C4DC9E185F24A66 exp=BF3C4DC9E185F24A66 + 8114.50ns INFO [00008116] Port=0 WR @00=BAA87771F17377E6F3 + 8115.50ns INFO [00008117] * RD COMPARE * port=0 adr=01 act=8C0E626B4E7DBB38BF exp=8C0E626B4E7DBB38BF + 8115.50ns INFO [00008117] * RD COMPARE * port=1 adr=01 act=8C0E626B4E7DBB38BF exp=8C0E626B4E7DBB38BF + 8115.50ns INFO [00008117] Port=0 WR @03=9376121C77AC316C91 + 8115.50ns INFO [00008117] Port=0 RD @06 + 8116.50ns INFO [00008118] Port=0 WR @02=48B6B895384F0A0193 + 8116.50ns INFO [00008118] Port=0 RD @07 + 8117.50ns INFO [00008119] * RD COMPARE * port=0 adr=06 act=C656171E42B858B55B exp=C656171E42B858B55B + 8118.50ns INFO [00008120] * RD COMPARE * port=0 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0 + 8118.50ns INFO [00008120] Port=0 WR @06=F7EC8F85166AD0BDC3 + 8118.50ns INFO [00008120] Port=1 RD @02 + 8119.50ns INFO [00008121] Port=0 WR @00=21F5643746849B59D4 + 8119.50ns INFO [00008121] Port=1 RD @05 + 8120.50ns INFO [00008122] * RD COMPARE * port=1 adr=02 act=48B6B895384F0A0193 exp=48B6B895384F0A0193 + 8120.50ns INFO [00008122] Port=0 RD @01 + 8121.50ns INFO [00008123] * RD COMPARE * port=1 adr=05 act=DC6DC3DC82A7121D2B exp=DC6DC3DC82A7121D2B + 8122.50ns INFO [00008124] * RD COMPARE * port=0 adr=01 act=8C0E626B4E7DBB38BF exp=8C0E626B4E7DBB38BF + 8122.50ns INFO [00008124] Port=0 WR @02=BE732C937E407049EB + 8122.50ns INFO [00008124] Port=1 RD @07 + 8123.50ns INFO [00008125] Port=0 WR @07=E2E615244B271C25C1 + 8124.50ns INFO [00008126] * RD COMPARE * port=1 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0 + 8124.50ns INFO [00008126] Port=1 RD @02 + 8126.50ns INFO [00008128] * RD COMPARE * port=1 adr=02 act=BE732C937E407049EB exp=BE732C937E407049EB + 8126.50ns INFO [00008128] Port=1 RD @00 + 8127.50ns INFO [00008129] Port=0 WR @00=0FA71EF23583BD4096 + 8127.50ns INFO [00008129] Port=0 RD @07 + 8127.50ns INFO [00008129] Port=1 RD @05 + 8128.50ns INFO [00008130] * RD COMPARE * port=1 adr=00 act=21F5643746849B59D4 exp=21F5643746849B59D4 + 8128.50ns INFO [00008130] Port=0 WR @05=857562937448D71295 + 8129.50ns INFO [00008131] * RD COMPARE * port=0 adr=07 act=E2E615244B271C25C1 exp=E2E615244B271C25C1 + 8129.50ns INFO [00008131] * RD COMPARE * port=1 adr=05 act=DC6DC3DC82A7121D2B exp=DC6DC3DC82A7121D2B + 8130.50ns INFO [00008132] Port=0 WR @06=E646F6CCD0132F8645 + 8131.50ns INFO [00008133] Port=0 WR @01=B3FB6D24F2F39E4EAC + 8131.50ns INFO [00008133] Port=0 RD @02 + 8131.50ns INFO [00008133] Port=1 RD @02 + 8132.50ns INFO [00008134] Port=0 RD @07 + 8133.50ns INFO [00008135] * RD COMPARE * port=0 adr=02 act=BE732C937E407049EB exp=BE732C937E407049EB + 8133.50ns INFO [00008135] * RD COMPARE * port=1 adr=02 act=BE732C937E407049EB exp=BE732C937E407049EB + 8133.50ns INFO [00008135] Port=1 RD @04 + 8134.50ns INFO [00008136] * RD COMPARE * port=0 adr=07 act=E2E615244B271C25C1 exp=E2E615244B271C25C1 + 8134.50ns INFO [00008136] Port=1 RD @02 + 8135.50ns INFO [00008137] * RD COMPARE * port=1 adr=04 act=D648CE75C203C79174 exp=D648CE75C203C79174 + 8135.50ns INFO [00008137] Port=0 WR @05=8B65D21F30037A68A6 + 8136.50ns INFO [00008138] * RD COMPARE * port=1 adr=02 act=BE732C937E407049EB exp=BE732C937E407049EB + 8137.50ns INFO [00008139] Port=0 WR @02=66B24D32E23E383EEF + 8139.50ns INFO [00008141] Port=0 RD @07 + 8141.50ns INFO [00008143] * RD COMPARE * port=0 adr=07 act=E2E615244B271C25C1 exp=E2E615244B271C25C1 + 8141.50ns INFO [00008143] Port=0 RD @03 + 8141.50ns INFO [00008143] Port=1 RD @02 + 8142.50ns INFO [00008144] Port=0 WR @07=6C63487FB3DD1A754A + 8142.50ns INFO [00008144] Port=1 RD @05 + 8143.50ns INFO [00008145] * RD COMPARE * port=0 adr=03 act=9376121C77AC316C91 exp=9376121C77AC316C91 + 8143.50ns INFO [00008145] * RD COMPARE * port=1 adr=02 act=66B24D32E23E383EEF exp=66B24D32E23E383EEF + 8144.50ns INFO [00008146] * RD COMPARE * port=1 adr=05 act=8B65D21F30037A68A6 exp=8B65D21F30037A68A6 + 8144.50ns INFO [00008146] Port=0 RD @07 + 8144.50ns INFO [00008146] Port=1 RD @07 + 8145.50ns INFO [00008147] Port=0 WR @05=AD7090AF71DB124BBF + 8146.50ns INFO [00008148] * RD COMPARE * port=0 adr=07 act=6C63487FB3DD1A754A exp=6C63487FB3DD1A754A + 8146.50ns INFO [00008148] * RD COMPARE * port=1 adr=07 act=6C63487FB3DD1A754A exp=6C63487FB3DD1A754A + 8146.50ns INFO [00008148] Port=0 WR @04=117B47E6FC8BCA3D31 + 8146.50ns INFO [00008148] Port=0 RD @03 + 8147.50ns INFO [00008149] Port=0 WR @01=DC74ED255E2DB1E31D + 8147.50ns INFO [00008149] Port=1 RD @05 + 8148.50ns INFO [00008150] * RD COMPARE * port=0 adr=03 act=9376121C77AC316C91 exp=9376121C77AC316C91 + 8148.50ns INFO [00008150] Port=0 WR @00=725CF8FA29EC66F8E4 + 8149.50ns INFO [00008151] * RD COMPARE * port=1 adr=05 act=AD7090AF71DB124BBF exp=AD7090AF71DB124BBF + 8149.50ns INFO [00008151] Port=0 RD @03 + 8150.50ns INFO [00008152] Port=0 WR @04=B28CEF6CBCDDBBCC59 + 8150.50ns INFO [00008152] Port=0 RD @01 + 8151.50ns INFO [00008153] * RD COMPARE * port=0 adr=03 act=9376121C77AC316C91 exp=9376121C77AC316C91 + 8152.50ns INFO [00008154] * RD COMPARE * port=0 adr=01 act=DC74ED255E2DB1E31D exp=DC74ED255E2DB1E31D + 8152.50ns INFO [00008154] Port=0 WR @06=FD67DE0CB626DCE133 + 8153.50ns INFO [00008155] Port=0 RD @01 + 8155.50ns INFO [00008157] * RD COMPARE * port=0 adr=01 act=DC74ED255E2DB1E31D exp=DC74ED255E2DB1E31D + 8155.50ns INFO [00008157] Port=0 WR @04=2EF72CFFE4B7FC2ED8 + 8156.50ns INFO [00008158] Port=0 WR @01=D076D0BF7B9AC572CD + 8156.50ns INFO [00008158] Port=0 RD @04 + 8158.50ns INFO [00008160] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8 + 8159.50ns INFO [00008161] Port=1 RD @04 + 8160.50ns INFO [00008162] Port=1 RD @01 + 8161.50ns INFO [00008163] * RD COMPARE * port=1 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8 + 8162.50ns INFO [00008164] * RD COMPARE * port=1 adr=01 act=D076D0BF7B9AC572CD exp=D076D0BF7B9AC572CD + 8162.50ns INFO [00008164] Port=1 RD @02 + 8163.50ns INFO [00008165] Port=0 WR @03=ACBC61074A6F7D9DF5 + 8163.50ns INFO [00008165] Port=0 RD @00 + 8164.50ns INFO [00008166] * RD COMPARE * port=1 adr=02 act=66B24D32E23E383EEF exp=66B24D32E23E383EEF + 8165.50ns INFO [00008167] * RD COMPARE * port=0 adr=00 act=725CF8FA29EC66F8E4 exp=725CF8FA29EC66F8E4 + 8165.50ns INFO [00008167] Port=1 RD @02 + 8167.50ns INFO [00008169] * RD COMPARE * port=1 adr=02 act=66B24D32E23E383EEF exp=66B24D32E23E383EEF + 8167.50ns INFO [00008169] Port=0 RD @07 + 8167.50ns INFO [00008169] Port=1 RD @00 + 8169.50ns INFO [00008171] * RD COMPARE * port=0 adr=07 act=6C63487FB3DD1A754A exp=6C63487FB3DD1A754A + 8169.50ns INFO [00008171] * RD COMPARE * port=1 adr=00 act=725CF8FA29EC66F8E4 exp=725CF8FA29EC66F8E4 + 8169.50ns INFO [00008171] Port=0 RD @06 + 8170.50ns INFO [00008172] Port=1 RD @01 + 8171.50ns INFO [00008173] * RD COMPARE * port=0 adr=06 act=FD67DE0CB626DCE133 exp=FD67DE0CB626DCE133 + 8172.50ns INFO [00008174] * RD COMPARE * port=1 adr=01 act=D076D0BF7B9AC572CD exp=D076D0BF7B9AC572CD + 8172.50ns INFO [00008174] Port=0 RD @07 + 8173.50ns INFO [00008175] Port=0 RD @06 + 8173.50ns INFO [00008175] Port=1 RD @05 + 8174.50ns INFO [00008176] * RD COMPARE * port=0 adr=07 act=6C63487FB3DD1A754A exp=6C63487FB3DD1A754A + 8174.50ns INFO [00008176] Port=1 RD @00 + 8175.50ns INFO [00008177] * RD COMPARE * port=0 adr=06 act=FD67DE0CB626DCE133 exp=FD67DE0CB626DCE133 + 8175.50ns INFO [00008177] * RD COMPARE * port=1 adr=05 act=AD7090AF71DB124BBF exp=AD7090AF71DB124BBF + 8175.50ns INFO [00008177] Port=0 RD @06 + 8176.50ns INFO [00008178] * RD COMPARE * port=1 adr=00 act=725CF8FA29EC66F8E4 exp=725CF8FA29EC66F8E4 + 8177.50ns INFO [00008179] * RD COMPARE * port=0 adr=06 act=FD67DE0CB626DCE133 exp=FD67DE0CB626DCE133 + 8179.50ns INFO [00008181] Port=0 RD @00 + 8180.50ns INFO [00008182] Port=0 RD @04 + 8180.50ns INFO [00008182] Port=1 RD @03 + 8181.50ns INFO [00008183] * RD COMPARE * port=0 adr=00 act=725CF8FA29EC66F8E4 exp=725CF8FA29EC66F8E4 + 8181.50ns INFO [00008183] Port=0 WR @06=6FF0F80236DD5F090C + 8182.50ns INFO [00008184] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8 + 8182.50ns INFO [00008184] * RD COMPARE * port=1 adr=03 act=ACBC61074A6F7D9DF5 exp=ACBC61074A6F7D9DF5 + 8182.50ns INFO [00008184] Port=1 RD @06 + 8183.50ns INFO [00008185] Port=0 WR @03=5864B7D2937B6A6285 + 8183.50ns INFO [00008185] Port=0 RD @02 + 8183.50ns INFO [00008185] Port=1 RD @01 + 8184.50ns INFO [00008186] * RD COMPARE * port=1 adr=06 act=6FF0F80236DD5F090C exp=6FF0F80236DD5F090C + 8184.50ns INFO [00008186] Port=0 WR @07=6D82140DB8BECB52BD + 8184.50ns INFO [00008186] Port=1 RD @03 + 8185.50ns INFO [00008187] * RD COMPARE * port=0 adr=02 act=66B24D32E23E383EEF exp=66B24D32E23E383EEF + 8185.50ns INFO [00008187] * RD COMPARE * port=1 adr=01 act=D076D0BF7B9AC572CD exp=D076D0BF7B9AC572CD + 8186.50ns INFO [00008188] * RD COMPARE * port=1 adr=03 act=5864B7D2937B6A6285 exp=5864B7D2937B6A6285 + 8186.50ns INFO [00008188] Port=0 RD @07 + 8187.50ns INFO [00008189] Port=0 RD @07 + 8188.50ns INFO [00008190] * RD COMPARE * port=0 adr=07 act=6D82140DB8BECB52BD exp=6D82140DB8BECB52BD + 8189.50ns INFO [00008191] * RD COMPARE * port=0 adr=07 act=6D82140DB8BECB52BD exp=6D82140DB8BECB52BD + 8189.50ns INFO [00008191] Port=0 RD @01 + 8189.50ns INFO [00008191] Port=1 RD @06 + 8190.50ns INFO [00008192] Port=0 WR @07=943860E962D033B45A + 8190.50ns INFO [00008192] Port=0 RD @06 + 8191.50ns INFO [00008193] * RD COMPARE * port=0 adr=01 act=D076D0BF7B9AC572CD exp=D076D0BF7B9AC572CD + 8191.50ns INFO [00008193] * RD COMPARE * port=1 adr=06 act=6FF0F80236DD5F090C exp=6FF0F80236DD5F090C + 8191.50ns INFO [00008193] Port=0 WR @06=A4E2E6D16222B8D889 + 8192.50ns INFO [00008194] * RD COMPARE * port=0 adr=06 act=6FF0F80236DD5F090C exp=6FF0F80236DD5F090C + 8193.50ns INFO [00008195] Port=0 WR @03=BAA2301D933B024A86 + 8193.50ns INFO [00008195] Port=0 RD @04 + 8193.50ns INFO [00008195] Port=1 RD @05 + 8194.50ns INFO [00008196] Port=1 RD @07 + 8195.50ns INFO [00008197] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8 + 8195.50ns INFO [00008197] * RD COMPARE * port=1 adr=05 act=AD7090AF71DB124BBF exp=AD7090AF71DB124BBF + 8195.50ns INFO [00008197] Port=0 WR @05=9786BDD4E57A4D3BCC + 8195.50ns INFO [00008197] Port=0 RD @02 + 8196.50ns INFO [00008198] * RD COMPARE * port=1 adr=07 act=943860E962D033B45A exp=943860E962D033B45A + 8196.50ns INFO [00008198] Port=0 WR @02=A2803C2F8E4002E9AE + 8196.50ns INFO [00008198] Port=0 RD @04 + 8197.50ns INFO [00008199] * RD COMPARE * port=0 adr=02 act=66B24D32E23E383EEF exp=66B24D32E23E383EEF + 8197.50ns INFO [00008199] Port=0 WR @00=1043D0A0A84CE09C95 + 8198.00ns INFO [00008200] [00008200] ...tick... + 8198.50ns INFO [00008200] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8 + 8198.50ns INFO [00008200] Port=0 WR @03=7D5CC711F92F0E99F3 + 8198.50ns INFO [00008200] Port=1 RD @07 + 8200.50ns INFO [00008202] * RD COMPARE * port=1 adr=07 act=943860E962D033B45A exp=943860E962D033B45A + 8200.50ns INFO [00008202] Port=0 WR @01=EC496903C996207359 + 8200.50ns INFO [00008202] Port=0 RD @04 + 8200.50ns INFO [00008202] Port=1 RD @07 + 8201.50ns INFO [00008203] Port=0 WR @00=22E33ED327D8D871B3 + 8201.50ns INFO [00008203] Port=1 RD @03 + 8202.50ns INFO [00008204] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8 + 8202.50ns INFO [00008204] * RD COMPARE * port=1 adr=07 act=943860E962D033B45A exp=943860E962D033B45A + 8202.50ns INFO [00008204] Port=0 RD @04 + 8203.50ns INFO [00008205] * RD COMPARE * port=1 adr=03 act=7D5CC711F92F0E99F3 exp=7D5CC711F92F0E99F3 + 8203.50ns INFO [00008205] Port=0 RD @02 + 8204.50ns INFO [00008206] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8 + 8204.50ns INFO [00008206] Port=0 RD @03 + 8205.50ns INFO [00008207] * RD COMPARE * port=0 adr=02 act=A2803C2F8E4002E9AE exp=A2803C2F8E4002E9AE + 8205.50ns INFO [00008207] Port=0 WR @00=49086E901AB6090A9F + 8205.50ns INFO [00008207] Port=1 RD @04 + 8206.50ns INFO [00008208] * RD COMPARE * port=0 adr=03 act=7D5CC711F92F0E99F3 exp=7D5CC711F92F0E99F3 + 8206.50ns INFO [00008208] Port=0 WR @05=AD8426D37AF6D66FAD + 8206.50ns INFO [00008208] Port=1 RD @06 + 8207.50ns INFO [00008209] * RD COMPARE * port=1 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8 + 8207.50ns INFO [00008209] Port=0 WR @03=5C5163F984713C0919 + 8208.50ns INFO [00008210] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8208.50ns INFO [00008210] Port=0 WR @04=5F8D4AC38081B7C926 + 8208.50ns INFO [00008210] Port=0 RD @07 + 8210.50ns INFO [00008212] * RD COMPARE * port=0 adr=07 act=943860E962D033B45A exp=943860E962D033B45A + 8211.50ns INFO [00008213] Port=0 WR @03=A3F572FCB877C68E07 + 8212.50ns INFO [00008214] Port=0 RD @03 + 8212.50ns INFO [00008214] Port=1 RD @05 + 8213.50ns INFO [00008215] Port=0 WR @03=75DFC1F74276F16358 + 8213.50ns INFO [00008215] Port=0 RD @02 + 8214.50ns INFO [00008216] * RD COMPARE * port=0 adr=03 act=A3F572FCB877C68E07 exp=A3F572FCB877C68E07 + 8214.50ns INFO [00008216] * RD COMPARE * port=1 adr=05 act=AD8426D37AF6D66FAD exp=AD8426D37AF6D66FAD + 8214.50ns INFO [00008216] Port=0 WR @02=BC200E487C3CB757F1 + 8214.50ns INFO [00008216] Port=0 RD @01 + 8215.50ns INFO [00008217] * RD COMPARE * port=0 adr=02 act=A2803C2F8E4002E9AE exp=A2803C2F8E4002E9AE + 8215.50ns INFO [00008217] Port=0 WR @03=F21CC422B0D774012C + 8215.50ns INFO [00008217] Port=1 RD @01 + 8216.50ns INFO [00008218] * RD COMPARE * port=0 adr=01 act=EC496903C996207359 exp=EC496903C996207359 + 8216.50ns INFO [00008218] Port=0 WR @00=6D3C71094B6B52733D + 8217.50ns INFO [00008219] * RD COMPARE * port=1 adr=01 act=EC496903C996207359 exp=EC496903C996207359 + 8218.50ns INFO [00008220] Port=0 WR @01=7F526CCFCB3749ED57 + 8218.50ns INFO [00008220] Port=1 RD @04 + 8219.50ns INFO [00008221] Port=1 RD @07 + 8220.50ns INFO [00008222] * RD COMPARE * port=1 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926 + 8220.50ns INFO [00008222] Port=0 WR @00=14D2DA0CED9BB0B263 + 8220.50ns INFO [00008222] Port=0 RD @04 + 8220.50ns INFO [00008222] Port=1 RD @01 + 8221.50ns INFO [00008223] * RD COMPARE * port=1 adr=07 act=943860E962D033B45A exp=943860E962D033B45A + 8221.50ns INFO [00008223] Port=0 WR @03=20861149A6913A3C5C + 8221.50ns INFO [00008223] Port=0 RD @04 + 8222.50ns INFO [00008224] * RD COMPARE * port=0 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926 + 8222.50ns INFO [00008224] * RD COMPARE * port=1 adr=01 act=7F526CCFCB3749ED57 exp=7F526CCFCB3749ED57 + 8222.50ns INFO [00008224] Port=1 RD @06 + 8223.50ns INFO [00008225] * RD COMPARE * port=0 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926 + 8223.50ns INFO [00008225] Port=0 RD @01 + 8223.50ns INFO [00008225] Port=1 RD @05 + 8224.50ns INFO [00008226] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8224.50ns INFO [00008226] Port=0 RD @01 + 8224.50ns INFO [00008226] Port=1 RD @07 + 8225.50ns INFO [00008227] * RD COMPARE * port=0 adr=01 act=7F526CCFCB3749ED57 exp=7F526CCFCB3749ED57 + 8225.50ns INFO [00008227] * RD COMPARE * port=1 adr=05 act=AD8426D37AF6D66FAD exp=AD8426D37AF6D66FAD + 8226.50ns INFO [00008228] * RD COMPARE * port=0 adr=01 act=7F526CCFCB3749ED57 exp=7F526CCFCB3749ED57 + 8226.50ns INFO [00008228] * RD COMPARE * port=1 adr=07 act=943860E962D033B45A exp=943860E962D033B45A + 8226.50ns INFO [00008228] Port=0 WR @00=69B34F1E8A3F3A04E0 + 8227.50ns INFO [00008229] Port=0 WR @01=1EFD8C3A3F251284D2 + 8227.50ns INFO [00008229] Port=1 RD @00 + 8228.50ns INFO [00008230] Port=0 WR @03=96D5D2A1F6B88C0311 + 8228.50ns INFO [00008230] Port=0 RD @07 + 8228.50ns INFO [00008230] Port=1 RD @06 + 8229.50ns INFO [00008231] * RD COMPARE * port=1 adr=00 act=69B34F1E8A3F3A04E0 exp=69B34F1E8A3F3A04E0 + 8230.50ns INFO [00008232] * RD COMPARE * port=0 adr=07 act=943860E962D033B45A exp=943860E962D033B45A + 8230.50ns INFO [00008232] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8231.50ns INFO [00008233] Port=0 WR @05=9143A78B903DE185D3 + 8231.50ns INFO [00008233] Port=0 RD @03 + 8231.50ns INFO [00008233] Port=1 RD @01 + 8232.50ns INFO [00008234] Port=0 WR @02=4569A8C0C3B0ADFF50 + 8232.50ns INFO [00008234] Port=1 RD @00 + 8233.50ns INFO [00008235] * RD COMPARE * port=0 adr=03 act=96D5D2A1F6B88C0311 exp=96D5D2A1F6B88C0311 + 8233.50ns INFO [00008235] * RD COMPARE * port=1 adr=01 act=1EFD8C3A3F251284D2 exp=1EFD8C3A3F251284D2 + 8233.50ns INFO [00008235] Port=0 RD @01 + 8234.50ns INFO [00008236] * RD COMPARE * port=1 adr=00 act=69B34F1E8A3F3A04E0 exp=69B34F1E8A3F3A04E0 + 8234.50ns INFO [00008236] Port=0 RD @03 + 8234.50ns INFO [00008236] Port=1 RD @00 + 8235.50ns INFO [00008237] * RD COMPARE * port=0 adr=01 act=1EFD8C3A3F251284D2 exp=1EFD8C3A3F251284D2 + 8236.50ns INFO [00008238] * RD COMPARE * port=0 adr=03 act=96D5D2A1F6B88C0311 exp=96D5D2A1F6B88C0311 + 8236.50ns INFO [00008238] * RD COMPARE * port=1 adr=00 act=69B34F1E8A3F3A04E0 exp=69B34F1E8A3F3A04E0 + 8237.50ns INFO [00008239] Port=0 WR @07=66C837D09754513419 + 8238.50ns INFO [00008240] Port=1 RD @04 + 8239.50ns INFO [00008241] Port=0 WR @02=6999D4453A28E7C78D + 8239.50ns INFO [00008241] Port=1 RD @04 + 8240.50ns INFO [00008242] * RD COMPARE * port=1 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926 + 8240.50ns INFO [00008242] Port=0 WR @05=BAD74DF7D81DED7C95 + 8240.50ns INFO [00008242] Port=0 RD @07 + 8240.50ns INFO [00008242] Port=1 RD @01 + 8241.50ns INFO [00008243] * RD COMPARE * port=1 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926 + 8242.50ns INFO [00008244] * RD COMPARE * port=0 adr=07 act=66C837D09754513419 exp=66C837D09754513419 + 8242.50ns INFO [00008244] * RD COMPARE * port=1 adr=01 act=1EFD8C3A3F251284D2 exp=1EFD8C3A3F251284D2 + 8243.50ns INFO [00008245] Port=0 WR @05=BE084E3529D838133B + 8243.50ns INFO [00008245] Port=1 RD @03 + 8244.50ns INFO [00008246] Port=1 RD @00 + 8245.50ns INFO [00008247] * RD COMPARE * port=1 adr=03 act=96D5D2A1F6B88C0311 exp=96D5D2A1F6B88C0311 + 8246.50ns INFO [00008248] * RD COMPARE * port=1 adr=00 act=69B34F1E8A3F3A04E0 exp=69B34F1E8A3F3A04E0 + 8246.50ns INFO [00008248] Port=0 WR @07=25DB443FC15DEFF665 + 8246.50ns INFO [00008248] Port=0 RD @04 + 8247.50ns INFO [00008249] Port=0 RD @01 + 8248.50ns INFO [00008250] * RD COMPARE * port=0 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926 + 8249.50ns INFO [00008251] * RD COMPARE * port=0 adr=01 act=1EFD8C3A3F251284D2 exp=1EFD8C3A3F251284D2 + 8250.50ns INFO [00008252] Port=0 RD @00 + 8252.50ns INFO [00008254] * RD COMPARE * port=0 adr=00 act=69B34F1E8A3F3A04E0 exp=69B34F1E8A3F3A04E0 + 8252.50ns INFO [00008254] Port=0 RD @04 + 8254.50ns INFO [00008256] * RD COMPARE * port=0 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926 + 8255.50ns INFO [00008257] Port=0 WR @00=F2F6DD57BD3966718A + 8256.50ns INFO [00008258] Port=1 RD @00 + 8257.50ns INFO [00008259] Port=0 RD @07 + 8257.50ns INFO [00008259] Port=1 RD @07 + 8258.50ns INFO [00008260] * RD COMPARE * port=1 adr=00 act=F2F6DD57BD3966718A exp=F2F6DD57BD3966718A + 8258.50ns INFO [00008260] Port=0 RD @06 + 8259.50ns INFO [00008261] * RD COMPARE * port=0 adr=07 act=25DB443FC15DEFF665 exp=25DB443FC15DEFF665 + 8259.50ns INFO [00008261] * RD COMPARE * port=1 adr=07 act=25DB443FC15DEFF665 exp=25DB443FC15DEFF665 + 8260.50ns INFO [00008262] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8261.50ns INFO [00008263] Port=0 RD @05 + 8262.50ns INFO [00008264] Port=0 WR @01=0EDA75119811C86EAF + 8262.50ns INFO [00008264] Port=0 RD @06 + 8262.50ns INFO [00008264] Port=1 RD @00 + 8263.50ns INFO [00008265] * RD COMPARE * port=0 adr=05 act=BE084E3529D838133B exp=BE084E3529D838133B + 8263.50ns INFO [00008265] Port=1 RD @06 + 8264.50ns INFO [00008266] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8264.50ns INFO [00008266] * RD COMPARE * port=1 adr=00 act=F2F6DD57BD3966718A exp=F2F6DD57BD3966718A + 8264.50ns INFO [00008266] Port=0 WR @05=747348FF7EBFC1238C + 8265.50ns INFO [00008267] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8267.50ns INFO [00008269] Port=0 WR @05=7D0887E212680A6396 + 8267.50ns INFO [00008269] Port=0 RD @02 + 8269.50ns INFO [00008271] * RD COMPARE * port=0 adr=02 act=6999D4453A28E7C78D exp=6999D4453A28E7C78D + 8269.50ns INFO [00008271] Port=0 RD @02 + 8270.50ns INFO [00008272] Port=0 WR @03=0C58823D27A0AC9B3E + 8271.50ns INFO [00008273] * RD COMPARE * port=0 adr=02 act=6999D4453A28E7C78D exp=6999D4453A28E7C78D + 8271.50ns INFO [00008273] Port=0 WR @02=18951171922AA56F5D + 8271.50ns INFO [00008273] Port=1 RD @01 + 8272.50ns INFO [00008274] Port=0 WR @07=19C3006D61B4F9C720 + 8272.50ns INFO [00008274] Port=0 RD @01 + 8272.50ns INFO [00008274] Port=1 RD @05 + 8273.50ns INFO [00008275] * RD COMPARE * port=1 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF + 8273.50ns INFO [00008275] Port=0 WR @04=24BFE131E793048E3F + 8274.50ns INFO [00008276] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF + 8274.50ns INFO [00008276] * RD COMPARE * port=1 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396 + 8278.50ns INFO [00008280] Port=0 WR @03=FD11A13AA6723C2B81 + 8280.50ns INFO [00008282] Port=0 RD @05 + 8282.50ns INFO [00008284] * RD COMPARE * port=0 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396 + 8282.50ns INFO [00008284] Port=0 RD @07 + 8283.50ns INFO [00008285] Port=0 RD @06 + 8283.50ns INFO [00008285] Port=1 RD @03 + 8284.50ns INFO [00008286] * RD COMPARE * port=0 adr=07 act=19C3006D61B4F9C720 exp=19C3006D61B4F9C720 + 8284.50ns INFO [00008286] Port=0 RD @07 + 8284.50ns INFO [00008286] Port=1 RD @04 + 8285.50ns INFO [00008287] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8285.50ns INFO [00008287] * RD COMPARE * port=1 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81 + 8285.50ns INFO [00008287] Port=0 WR @07=9213C701A666209028 + 8285.50ns INFO [00008287] Port=0 RD @06 + 8285.50ns INFO [00008287] Port=1 RD @02 + 8286.50ns INFO [00008288] * RD COMPARE * port=0 adr=07 act=19C3006D61B4F9C720 exp=19C3006D61B4F9C720 + 8286.50ns INFO [00008288] * RD COMPARE * port=1 adr=04 act=24BFE131E793048E3F exp=24BFE131E793048E3F + 8286.50ns INFO [00008288] Port=0 WR @00=D15E4760A77C3E693C + 8286.50ns INFO [00008288] Port=0 RD @01 + 8287.50ns INFO [00008289] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8287.50ns INFO [00008289] * RD COMPARE * port=1 adr=02 act=18951171922AA56F5D exp=18951171922AA56F5D + 8287.50ns INFO [00008289] Port=1 RD @07 + 8288.50ns INFO [00008290] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF + 8289.50ns INFO [00008291] * RD COMPARE * port=1 adr=07 act=9213C701A666209028 exp=9213C701A666209028 + 8289.50ns INFO [00008291] Port=0 RD @06 + 8290.50ns INFO [00008292] Port=0 WR @00=434DA616BC8F047180 + 8290.50ns INFO [00008292] Port=0 RD @03 + 8290.50ns INFO [00008292] Port=1 RD @03 + 8291.50ns INFO [00008293] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8291.50ns INFO [00008293] Port=0 WR @04=C5032F382C1CC9AF96 + 8291.50ns INFO [00008293] Port=0 RD @06 + 8292.50ns INFO [00008294] * RD COMPARE * port=0 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81 + 8292.50ns INFO [00008294] * RD COMPARE * port=1 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81 + 8292.50ns INFO [00008294] Port=1 RD @03 + 8293.50ns INFO [00008295] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8293.50ns INFO [00008295] Port=0 RD @06 + 8293.50ns INFO [00008295] Port=1 RD @00 + 8294.50ns INFO [00008296] * RD COMPARE * port=1 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81 + 8295.50ns INFO [00008297] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8295.50ns INFO [00008297] * RD COMPARE * port=1 adr=00 act=434DA616BC8F047180 exp=434DA616BC8F047180 + 8296.50ns INFO [00008298] Port=0 RD @00 + 8297.50ns INFO [00008299] Port=0 RD @02 + 8298.00ns INFO [00008300] [00008300] ...tick... + 8298.50ns INFO [00008300] * RD COMPARE * port=0 adr=00 act=434DA616BC8F047180 exp=434DA616BC8F047180 + 8298.50ns INFO [00008300] Port=0 WR @02=4CEA08AA255D885D12 + 8298.50ns INFO [00008300] Port=1 RD @04 + 8299.50ns INFO [00008301] * RD COMPARE * port=0 adr=02 act=18951171922AA56F5D exp=18951171922AA56F5D + 8299.50ns INFO [00008301] Port=0 WR @00=C2D5A95013A1969561 + 8299.50ns INFO [00008301] Port=1 RD @05 + 8300.50ns INFO [00008302] * RD COMPARE * port=1 adr=04 act=C5032F382C1CC9AF96 exp=C5032F382C1CC9AF96 + 8301.50ns INFO [00008303] * RD COMPARE * port=1 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396 + 8301.50ns INFO [00008303] Port=0 RD @01 + 8302.50ns INFO [00008304] Port=0 RD @00 + 8302.50ns INFO [00008304] Port=1 RD @00 + 8303.50ns INFO [00008305] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF + 8303.50ns INFO [00008305] Port=0 RD @06 + 8304.50ns INFO [00008306] * RD COMPARE * port=0 adr=00 act=C2D5A95013A1969561 exp=C2D5A95013A1969561 + 8304.50ns INFO [00008306] * RD COMPARE * port=1 adr=00 act=C2D5A95013A1969561 exp=C2D5A95013A1969561 + 8304.50ns INFO [00008306] Port=0 WR @00=3FB95AC93E900DC903 + 8304.50ns INFO [00008306] Port=0 RD @06 + 8305.50ns INFO [00008307] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8305.50ns INFO [00008307] Port=0 RD @05 + 8306.50ns INFO [00008308] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8306.50ns INFO [00008308] Port=0 RD @05 + 8306.50ns INFO [00008308] Port=1 RD @07 + 8307.50ns INFO [00008309] * RD COMPARE * port=0 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396 + 8308.50ns INFO [00008310] * RD COMPARE * port=0 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396 + 8308.50ns INFO [00008310] * RD COMPARE * port=1 adr=07 act=9213C701A666209028 exp=9213C701A666209028 + 8308.50ns INFO [00008310] Port=1 RD @02 + 8310.50ns INFO [00008312] * RD COMPARE * port=1 adr=02 act=4CEA08AA255D885D12 exp=4CEA08AA255D885D12 + 8310.50ns INFO [00008312] Port=0 RD @03 + 8310.50ns INFO [00008312] Port=1 RD @04 + 8311.50ns INFO [00008313] Port=0 WR @07=9C09C0B2142476E45A + 8311.50ns INFO [00008313] Port=0 RD @01 + 8312.50ns INFO [00008314] * RD COMPARE * port=0 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81 + 8312.50ns INFO [00008314] * RD COMPARE * port=1 adr=04 act=C5032F382C1CC9AF96 exp=C5032F382C1CC9AF96 + 8312.50ns INFO [00008314] Port=0 WR @07=9A1D0C8385AB92F702 + 8312.50ns INFO [00008314] Port=1 RD @03 + 8313.50ns INFO [00008315] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF + 8313.50ns INFO [00008315] Port=0 RD @01 + 8313.50ns INFO [00008315] Port=1 RD @00 + 8314.50ns INFO [00008316] * RD COMPARE * port=1 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81 + 8314.50ns INFO [00008316] Port=0 RD @05 + 8315.50ns INFO [00008317] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF + 8315.50ns INFO [00008317] * RD COMPARE * port=1 adr=00 act=3FB95AC93E900DC903 exp=3FB95AC93E900DC903 + 8315.50ns INFO [00008317] Port=0 WR @05=4AA287E0DBFB958EB1 + 8316.50ns INFO [00008318] * RD COMPARE * port=0 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396 + 8316.50ns INFO [00008318] Port=1 RD @07 + 8317.50ns INFO [00008319] Port=0 RD @00 + 8317.50ns INFO [00008319] Port=1 RD @01 + 8318.50ns INFO [00008320] * RD COMPARE * port=1 adr=07 act=9A1D0C8385AB92F702 exp=9A1D0C8385AB92F702 + 8319.50ns INFO [00008321] * RD COMPARE * port=0 adr=00 act=3FB95AC93E900DC903 exp=3FB95AC93E900DC903 + 8319.50ns INFO [00008321] * RD COMPARE * port=1 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF + 8319.50ns INFO [00008321] Port=0 WR @05=7322194C054E76BB7D + 8319.50ns INFO [00008321] Port=0 RD @03 + 8320.50ns INFO [00008322] Port=0 WR @02=125ECC270A60BE89F3 + 8321.50ns INFO [00008323] * RD COMPARE * port=0 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81 + 8322.50ns INFO [00008324] Port=0 WR @00=9B97702BCDB76C18E6 + 8322.50ns INFO [00008324] Port=0 RD @02 + 8323.50ns INFO [00008325] Port=1 RD @05 + 8324.50ns INFO [00008326] * RD COMPARE * port=0 adr=02 act=125ECC270A60BE89F3 exp=125ECC270A60BE89F3 + 8324.50ns INFO [00008326] Port=0 WR @07=76870F490B6D23EFE1 + 8325.50ns INFO [00008327] * RD COMPARE * port=1 adr=05 act=7322194C054E76BB7D exp=7322194C054E76BB7D + 8325.50ns INFO [00008327] Port=0 RD @01 + 8326.50ns INFO [00008328] Port=0 WR @04=1E6D917F8723E886AD + 8326.50ns INFO [00008328] Port=1 RD @07 + 8327.50ns INFO [00008329] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF + 8327.50ns INFO [00008329] Port=0 WR @00=5C5BF0926CAA003089 + 8327.50ns INFO [00008329] Port=1 RD @02 + 8328.50ns INFO [00008330] * RD COMPARE * port=1 adr=07 act=76870F490B6D23EFE1 exp=76870F490B6D23EFE1 + 8328.50ns INFO [00008330] Port=0 WR @01=1FB5563870C9A4EC14 + 8328.50ns INFO [00008330] Port=1 RD @04 + 8329.50ns INFO [00008331] * RD COMPARE * port=1 adr=02 act=125ECC270A60BE89F3 exp=125ECC270A60BE89F3 + 8329.50ns INFO [00008331] Port=0 WR @01=CEFA56CE9F3F2E8A88 + 8329.50ns INFO [00008331] Port=0 RD @07 + 8330.50ns INFO [00008332] * RD COMPARE * port=1 adr=04 act=1E6D917F8723E886AD exp=1E6D917F8723E886AD + 8330.50ns INFO [00008332] Port=0 WR @03=FC974F0C5A700D6F83 + 8330.50ns INFO [00008332] Port=0 RD @00 + 8330.50ns INFO [00008332] Port=1 RD @05 + 8331.50ns INFO [00008333] * RD COMPARE * port=0 adr=07 act=76870F490B6D23EFE1 exp=76870F490B6D23EFE1 + 8332.50ns INFO [00008334] * RD COMPARE * port=0 adr=00 act=5C5BF0926CAA003089 exp=5C5BF0926CAA003089 + 8332.50ns INFO [00008334] * RD COMPARE * port=1 adr=05 act=7322194C054E76BB7D exp=7322194C054E76BB7D + 8332.50ns INFO [00008334] Port=0 WR @01=9573EE09FE4DDF7EB8 + 8333.50ns INFO [00008335] Port=1 RD @07 + 8334.50ns INFO [00008336] Port=0 WR @01=CF32448CD7FDA334B7 + 8334.50ns INFO [00008336] Port=0 RD @03 + 8335.50ns INFO [00008337] * RD COMPARE * port=1 adr=07 act=76870F490B6D23EFE1 exp=76870F490B6D23EFE1 + 8335.50ns INFO [00008337] Port=0 WR @02=5823AFAE3F533B6645 + 8335.50ns INFO [00008337] Port=1 RD @07 + 8336.50ns INFO [00008338] * RD COMPARE * port=0 adr=03 act=FC974F0C5A700D6F83 exp=FC974F0C5A700D6F83 + 8336.50ns INFO [00008338] Port=1 RD @06 + 8337.50ns INFO [00008339] * RD COMPARE * port=1 adr=07 act=76870F490B6D23EFE1 exp=76870F490B6D23EFE1 + 8337.50ns INFO [00008339] Port=0 WR @05=A900E3F5F0B5246F50 + 8337.50ns INFO [00008339] Port=1 RD @06 + 8338.50ns INFO [00008340] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8338.50ns INFO [00008340] Port=0 WR @02=11962875CE55EDB88F + 8339.50ns INFO [00008341] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889 + 8339.50ns INFO [00008341] Port=1 RD @04 + 8341.50ns INFO [00008343] * RD COMPARE * port=1 adr=04 act=1E6D917F8723E886AD exp=1E6D917F8723E886AD + 8341.50ns INFO [00008343] Port=0 WR @01=E7C4C82E8D46D7F9DD + 8341.50ns INFO [00008343] Port=1 RD @05 + 8342.50ns INFO [00008344] Port=0 WR @03=6B66C8ADF5682EB927 + 8342.50ns INFO [00008344] Port=0 RD @00 + 8343.50ns INFO [00008345] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50 + 8344.50ns INFO [00008346] * RD COMPARE * port=0 adr=00 act=5C5BF0926CAA003089 exp=5C5BF0926CAA003089 + 8344.50ns INFO [00008346] Port=0 WR @01=62AD84E5ED085BFC81 + 8345.50ns INFO [00008347] Port=0 WR @01=486A70A506AE8D45CB + 8345.50ns INFO [00008347] Port=0 RD @03 + 8345.50ns INFO [00008347] Port=1 RD @05 + 8346.50ns INFO [00008348] Port=0 RD @03 + 8347.50ns INFO [00008349] * RD COMPARE * port=0 adr=03 act=6B66C8ADF5682EB927 exp=6B66C8ADF5682EB927 + 8347.50ns INFO [00008349] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50 + 8347.50ns INFO [00008349] Port=0 RD @02 + 8348.50ns INFO [00008350] * RD COMPARE * port=0 adr=03 act=6B66C8ADF5682EB927 exp=6B66C8ADF5682EB927 + 8348.50ns INFO [00008350] Port=0 WR @06=6B9DD0A3B324CE5137 + 8348.50ns INFO [00008350] Port=0 RD @04 + 8349.50ns INFO [00008351] * RD COMPARE * port=0 adr=02 act=11962875CE55EDB88F exp=11962875CE55EDB88F + 8349.50ns INFO [00008351] Port=0 RD @05 + 8349.50ns INFO [00008351] Port=1 RD @02 + 8350.50ns INFO [00008352] * RD COMPARE * port=0 adr=04 act=1E6D917F8723E886AD exp=1E6D917F8723E886AD + 8350.50ns INFO [00008352] Port=0 WR @00=F466171C211FB36267 + 8351.50ns INFO [00008353] * RD COMPARE * port=0 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50 + 8351.50ns INFO [00008353] * RD COMPARE * port=1 adr=02 act=11962875CE55EDB88F exp=11962875CE55EDB88F + 8351.50ns INFO [00008353] Port=0 RD @00 + 8351.50ns INFO [00008353] Port=1 RD @05 + 8352.50ns INFO [00008354] Port=1 RD @03 + 8353.50ns INFO [00008355] * RD COMPARE * port=0 adr=00 act=F466171C211FB36267 exp=F466171C211FB36267 + 8353.50ns INFO [00008355] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50 + 8353.50ns INFO [00008355] Port=0 WR @02=8260AA9E28D9703826 + 8353.50ns INFO [00008355] Port=0 RD @07 + 8353.50ns INFO [00008355] Port=1 RD @00 + 8354.50ns INFO [00008356] * RD COMPARE * port=1 adr=03 act=6B66C8ADF5682EB927 exp=6B66C8ADF5682EB927 + 8354.50ns INFO [00008356] Port=1 RD @05 + 8355.50ns INFO [00008357] * RD COMPARE * port=0 adr=07 act=76870F490B6D23EFE1 exp=76870F490B6D23EFE1 + 8355.50ns INFO [00008357] * RD COMPARE * port=1 adr=00 act=F466171C211FB36267 exp=F466171C211FB36267 + 8355.50ns INFO [00008357] Port=0 WR @02=C918C9ECF24BBB7422 + 8355.50ns INFO [00008357] Port=1 RD @04 + 8356.50ns INFO [00008358] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50 + 8356.50ns INFO [00008358] Port=0 RD @03 + 8357.50ns INFO [00008359] * RD COMPARE * port=1 adr=04 act=1E6D917F8723E886AD exp=1E6D917F8723E886AD + 8358.50ns INFO [00008360] * RD COMPARE * port=0 adr=03 act=6B66C8ADF5682EB927 exp=6B66C8ADF5682EB927 + 8358.50ns INFO [00008360] Port=1 RD @01 + 8360.50ns INFO [00008362] * RD COMPARE * port=1 adr=01 act=486A70A506AE8D45CB exp=486A70A506AE8D45CB + 8360.50ns INFO [00008362] Port=0 RD @01 + 8360.50ns INFO [00008362] Port=1 RD @06 + 8361.50ns INFO [00008363] Port=0 WR @00=E462BCD161495C4FBF + 8361.50ns INFO [00008363] Port=1 RD @05 + 8362.50ns INFO [00008364] * RD COMPARE * port=0 adr=01 act=486A70A506AE8D45CB exp=486A70A506AE8D45CB + 8362.50ns INFO [00008364] * RD COMPARE * port=1 adr=06 act=6B9DD0A3B324CE5137 exp=6B9DD0A3B324CE5137 + 8363.50ns INFO [00008365] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50 + 8363.50ns INFO [00008365] Port=0 WR @03=157E1E5A0AC71C38F7 + 8363.50ns INFO [00008365] Port=1 RD @04 + 8364.50ns INFO [00008366] Port=0 WR @04=3ABFA3BC6AC1BDEED7 + 8364.50ns INFO [00008366] Port=0 RD @03 + 8364.50ns INFO [00008366] Port=1 RD @06 + 8365.50ns INFO [00008367] * RD COMPARE * port=1 adr=04 act=1E6D917F8723E886AD exp=1E6D917F8723E886AD + 8365.50ns INFO [00008367] Port=0 WR @01=C2627FEC77B68D85BA + 8366.50ns INFO [00008368] * RD COMPARE * port=0 adr=03 act=157E1E5A0AC71C38F7 exp=157E1E5A0AC71C38F7 + 8366.50ns INFO [00008368] * RD COMPARE * port=1 adr=06 act=6B9DD0A3B324CE5137 exp=6B9DD0A3B324CE5137 + 8367.50ns INFO [00008369] Port=0 WR @07=043C3EA8AE860E27E8 + 8367.50ns INFO [00008369] Port=1 RD @02 + 8369.50ns INFO [00008371] * RD COMPARE * port=1 adr=02 act=C918C9ECF24BBB7422 exp=C918C9ECF24BBB7422 + 8369.50ns INFO [00008371] Port=0 WR @06=4F453F802985D0B105 + 8370.50ns INFO [00008372] Port=1 RD @02 + 8371.50ns INFO [00008373] Port=0 WR @01=C24443757F166A12F4 + 8371.50ns INFO [00008373] Port=0 RD @07 + 8371.50ns INFO [00008373] Port=1 RD @04 + 8372.50ns INFO [00008374] * RD COMPARE * port=1 adr=02 act=C918C9ECF24BBB7422 exp=C918C9ECF24BBB7422 + 8373.50ns INFO [00008375] * RD COMPARE * port=0 adr=07 act=043C3EA8AE860E27E8 exp=043C3EA8AE860E27E8 + 8373.50ns INFO [00008375] * RD COMPARE * port=1 adr=04 act=3ABFA3BC6AC1BDEED7 exp=3ABFA3BC6AC1BDEED7 + 8373.50ns INFO [00008375] Port=0 RD @03 + 8374.50ns INFO [00008376] Port=0 WR @07=B99275D409610332B7 + 8374.50ns INFO [00008376] Port=0 RD @03 + 8374.50ns INFO [00008376] Port=1 RD @00 + 8375.50ns INFO [00008377] * RD COMPARE * port=0 adr=03 act=157E1E5A0AC71C38F7 exp=157E1E5A0AC71C38F7 + 8376.50ns INFO [00008378] * RD COMPARE * port=0 adr=03 act=157E1E5A0AC71C38F7 exp=157E1E5A0AC71C38F7 + 8376.50ns INFO [00008378] * RD COMPARE * port=1 adr=00 act=E462BCD161495C4FBF exp=E462BCD161495C4FBF + 8378.50ns INFO [00008380] Port=0 WR @07=1ED7639AEBBDB24C2E + 8378.50ns INFO [00008380] Port=0 RD @00 + 8378.50ns INFO [00008380] Port=1 RD @03 + 8379.50ns INFO [00008381] Port=0 WR @02=6BCF4DDB542F041C20 + 8379.50ns INFO [00008381] Port=1 RD @07 + 8380.50ns INFO [00008382] * RD COMPARE * port=0 adr=00 act=E462BCD161495C4FBF exp=E462BCD161495C4FBF + 8380.50ns INFO [00008382] * RD COMPARE * port=1 adr=03 act=157E1E5A0AC71C38F7 exp=157E1E5A0AC71C38F7 + 8381.50ns INFO [00008383] * RD COMPARE * port=1 adr=07 act=1ED7639AEBBDB24C2E exp=1ED7639AEBBDB24C2E + 8381.50ns INFO [00008383] Port=0 RD @00 + 8381.50ns INFO [00008383] Port=1 RD @01 + 8383.50ns INFO [00008385] * RD COMPARE * port=0 adr=00 act=E462BCD161495C4FBF exp=E462BCD161495C4FBF + 8383.50ns INFO [00008385] * RD COMPARE * port=1 adr=01 act=C24443757F166A12F4 exp=C24443757F166A12F4 + 8384.50ns INFO [00008386] Port=0 WR @03=68933E441388A99C95 + 8385.50ns INFO [00008387] Port=1 RD @07 + 8386.50ns INFO [00008388] Port=0 RD @07 + 8387.50ns INFO [00008389] * RD COMPARE * port=1 adr=07 act=1ED7639AEBBDB24C2E exp=1ED7639AEBBDB24C2E + 8387.50ns INFO [00008389] Port=0 WR @04=8AD9603B057BF1A9CE + 8388.50ns INFO [00008390] * RD COMPARE * port=0 adr=07 act=1ED7639AEBBDB24C2E exp=1ED7639AEBBDB24C2E + 8388.50ns INFO [00008390] Port=0 RD @07 + 8389.50ns INFO [00008391] Port=0 WR @06=A9C0BA3169E5C1C7EE + 8389.50ns INFO [00008391] Port=0 RD @02 + 8390.50ns INFO [00008392] * RD COMPARE * port=0 adr=07 act=1ED7639AEBBDB24C2E exp=1ED7639AEBBDB24C2E + 8391.50ns INFO [00008393] * RD COMPARE * port=0 adr=02 act=6BCF4DDB542F041C20 exp=6BCF4DDB542F041C20 + 8391.50ns INFO [00008393] Port=1 RD @03 + 8393.50ns INFO [00008395] * RD COMPARE * port=1 adr=03 act=68933E441388A99C95 exp=68933E441388A99C95 + 8393.50ns INFO [00008395] Port=0 RD @04 + 8395.50ns INFO [00008397] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE + 8395.50ns INFO [00008397] Port=0 RD @04 + 8396.50ns INFO [00008398] Port=0 WR @07=E3822BA28548292A10 + 8397.50ns INFO [00008399] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE + 8398.00ns INFO [00008400] [00008400] ...tick... + 8398.50ns INFO [00008400] Port=0 RD @01 + 8399.50ns INFO [00008401] Port=0 RD @02 + 8400.50ns INFO [00008402] * RD COMPARE * port=0 adr=01 act=C24443757F166A12F4 exp=C24443757F166A12F4 + 8400.50ns INFO [00008402] Port=0 WR @06=85C439A326D925DBE1 + 8401.50ns INFO [00008403] * RD COMPARE * port=0 adr=02 act=6BCF4DDB542F041C20 exp=6BCF4DDB542F041C20 + 8401.50ns INFO [00008403] Port=0 RD @04 + 8401.50ns INFO [00008403] Port=1 RD @03 + 8402.50ns INFO [00008404] Port=1 RD @00 + 8403.50ns INFO [00008405] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE + 8403.50ns INFO [00008405] * RD COMPARE * port=1 adr=03 act=68933E441388A99C95 exp=68933E441388A99C95 + 8403.50ns INFO [00008405] Port=0 WR @00=EA3088E6319294295A + 8404.50ns INFO [00008406] * RD COMPARE * port=1 adr=00 act=E462BCD161495C4FBF exp=E462BCD161495C4FBF + 8404.50ns INFO [00008406] Port=0 RD @02 + 8405.50ns INFO [00008407] Port=0 RD @03 + 8406.50ns INFO [00008408] * RD COMPARE * port=0 adr=02 act=6BCF4DDB542F041C20 exp=6BCF4DDB542F041C20 + 8407.50ns INFO [00008409] * RD COMPARE * port=0 adr=03 act=68933E441388A99C95 exp=68933E441388A99C95 + 8407.50ns INFO [00008409] Port=0 RD @04 + 8407.50ns INFO [00008409] Port=1 RD @07 + 8409.50ns INFO [00008411] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE + 8409.50ns INFO [00008411] * RD COMPARE * port=1 adr=07 act=E3822BA28548292A10 exp=E3822BA28548292A10 + 8409.50ns INFO [00008411] Port=0 WR @01=A944A8AABC362CB492 + 8409.50ns INFO [00008411] Port=0 RD @00 + 8410.50ns INFO [00008412] Port=0 WR @01=15C8C8976A99C4DB78 + 8410.50ns INFO [00008412] Port=1 RD @07 + 8411.50ns INFO [00008413] * RD COMPARE * port=0 adr=00 act=EA3088E6319294295A exp=EA3088E6319294295A + 8411.50ns INFO [00008413] Port=0 RD @04 + 8412.50ns INFO [00008414] * RD COMPARE * port=1 adr=07 act=E3822BA28548292A10 exp=E3822BA28548292A10 + 8412.50ns INFO [00008414] Port=0 WR @02=35AA1D6C42212B2525 + 8413.50ns INFO [00008415] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE + 8413.50ns INFO [00008415] Port=0 WR @03=CEEFDCC79776F79174 + 8414.50ns INFO [00008416] Port=0 RD @04 + 8416.50ns INFO [00008418] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE + 8416.50ns INFO [00008418] Port=1 RD @02 + 8417.50ns INFO [00008419] Port=1 RD @05 + 8418.50ns INFO [00008420] * RD COMPARE * port=1 adr=02 act=35AA1D6C42212B2525 exp=35AA1D6C42212B2525 + 8418.50ns INFO [00008420] Port=0 RD @00 + 8418.50ns INFO [00008420] Port=1 RD @05 + 8419.50ns INFO [00008421] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50 + 8420.50ns INFO [00008422] * RD COMPARE * port=0 adr=00 act=EA3088E6319294295A exp=EA3088E6319294295A + 8420.50ns INFO [00008422] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50 + 8421.50ns INFO [00008423] Port=0 RD @04 + 8422.50ns INFO [00008424] Port=0 WR @01=C686AAC943E67BBF00 + 8423.50ns INFO [00008425] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE + 8423.50ns INFO [00008425] Port=0 WR @06=B752B55A70D88B2B77 + 8423.50ns INFO [00008425] Port=0 RD @02 + 8424.50ns INFO [00008426] Port=0 WR @02=2273B428E7701268D0 + 8424.50ns INFO [00008426] Port=0 RD @03 + 8424.50ns INFO [00008426] Port=1 RD @01 + 8425.50ns INFO [00008427] * RD COMPARE * port=0 adr=02 act=35AA1D6C42212B2525 exp=35AA1D6C42212B2525 + 8425.50ns INFO [00008427] Port=0 WR @02=844E81E7EE1B3FD6AC + 8425.50ns INFO [00008427] Port=0 RD @03 + 8426.50ns INFO [00008428] * RD COMPARE * port=0 adr=03 act=CEEFDCC79776F79174 exp=CEEFDCC79776F79174 + 8426.50ns INFO [00008428] * RD COMPARE * port=1 adr=01 act=C686AAC943E67BBF00 exp=C686AAC943E67BBF00 + 8426.50ns INFO [00008428] Port=0 WR @06=1E09756F9A98D58DCC + 8426.50ns INFO [00008428] Port=1 RD @07 + 8427.50ns INFO [00008429] * RD COMPARE * port=0 adr=03 act=CEEFDCC79776F79174 exp=CEEFDCC79776F79174 + 8427.50ns INFO [00008429] Port=0 WR @00=A0C726AC345337250D + 8428.50ns INFO [00008430] * RD COMPARE * port=1 adr=07 act=E3822BA28548292A10 exp=E3822BA28548292A10 + 8429.50ns INFO [00008431] Port=0 WR @03=85559DCE9B68965133 + 8429.50ns INFO [00008431] Port=1 RD @07 + 8430.50ns INFO [00008432] Port=0 RD @06 + 8431.50ns INFO [00008433] * RD COMPARE * port=1 adr=07 act=E3822BA28548292A10 exp=E3822BA28548292A10 + 8431.50ns INFO [00008433] Port=1 RD @02 + 8432.50ns INFO [00008434] * RD COMPARE * port=0 adr=06 act=1E09756F9A98D58DCC exp=1E09756F9A98D58DCC + 8432.50ns INFO [00008434] Port=0 WR @05=B5B009EF03E2EAB04B + 8432.50ns INFO [00008434] Port=0 RD @06 + 8433.50ns INFO [00008435] * RD COMPARE * port=1 adr=02 act=844E81E7EE1B3FD6AC exp=844E81E7EE1B3FD6AC + 8434.50ns INFO [00008436] * RD COMPARE * port=0 adr=06 act=1E09756F9A98D58DCC exp=1E09756F9A98D58DCC + 8435.50ns INFO [00008437] Port=0 WR @01=59AC4D72CA2EE18CE7 + 8435.50ns INFO [00008437] Port=0 RD @03 + 8436.50ns INFO [00008438] Port=0 RD @06 + 8437.50ns INFO [00008439] * RD COMPARE * port=0 adr=03 act=85559DCE9B68965133 exp=85559DCE9B68965133 + 8437.50ns INFO [00008439] Port=0 RD @01 + 8437.50ns INFO [00008439] Port=1 RD @02 + 8438.50ns INFO [00008440] * RD COMPARE * port=0 adr=06 act=1E09756F9A98D58DCC exp=1E09756F9A98D58DCC + 8438.50ns INFO [00008440] Port=0 WR @06=48D2EDF87D011EC933 + 8439.50ns INFO [00008441] * RD COMPARE * port=0 adr=01 act=59AC4D72CA2EE18CE7 exp=59AC4D72CA2EE18CE7 + 8439.50ns INFO [00008441] * RD COMPARE * port=1 adr=02 act=844E81E7EE1B3FD6AC exp=844E81E7EE1B3FD6AC + 8439.50ns INFO [00008441] Port=0 WR @01=076C1E7B64E5D864B4 + 8440.50ns INFO [00008442] Port=1 RD @03 + 8441.50ns INFO [00008443] Port=0 WR @07=DBD64D057120991C24 + 8441.50ns INFO [00008443] Port=0 RD @01 + 8442.50ns INFO [00008444] * RD COMPARE * port=1 adr=03 act=85559DCE9B68965133 exp=85559DCE9B68965133 + 8442.50ns INFO [00008444] Port=0 WR @06=A806FB7F66AC4066AF + 8443.50ns INFO [00008445] * RD COMPARE * port=0 adr=01 act=076C1E7B64E5D864B4 exp=076C1E7B64E5D864B4 + 8443.50ns INFO [00008445] Port=0 WR @07=F2335A4B00523FF559 + 8446.50ns INFO [00008448] Port=0 WR @05=45D89E94171EC7E455 + 8448.50ns INFO [00008450] Port=0 RD @06 + 8448.50ns INFO [00008450] Port=1 RD @07 + 8449.50ns INFO [00008451] Port=0 RD @00 + 8450.50ns INFO [00008452] * RD COMPARE * port=0 adr=06 act=A806FB7F66AC4066AF exp=A806FB7F66AC4066AF + 8450.50ns INFO [00008452] * RD COMPARE * port=1 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559 + 8450.50ns INFO [00008452] Port=0 WR @04=97A3F2BDED55FADF7A + 8450.50ns INFO [00008452] Port=0 RD @01 + 8450.50ns INFO [00008452] Port=1 RD @03 + 8451.50ns INFO [00008453] * RD COMPARE * port=0 adr=00 act=A0C726AC345337250D exp=A0C726AC345337250D + 8451.50ns INFO [00008453] Port=0 WR @03=2E004FAB2E856AC8A1 + 8451.50ns INFO [00008453] Port=0 RD @02 + 8452.50ns INFO [00008454] * RD COMPARE * port=0 adr=01 act=076C1E7B64E5D864B4 exp=076C1E7B64E5D864B4 + 8452.50ns INFO [00008454] * RD COMPARE * port=1 adr=03 act=85559DCE9B68965133 exp=85559DCE9B68965133 + 8452.50ns INFO [00008454] Port=0 WR @06=87F92FFFC4AE86C292 + 8452.50ns INFO [00008454] Port=0 RD @01 + 8452.50ns INFO [00008454] Port=1 RD @00 + 8453.50ns INFO [00008455] * RD COMPARE * port=0 adr=02 act=844E81E7EE1B3FD6AC exp=844E81E7EE1B3FD6AC + 8453.50ns INFO [00008455] Port=0 RD @07 + 8453.50ns INFO [00008455] Port=1 RD @00 + 8454.50ns INFO [00008456] * RD COMPARE * port=0 adr=01 act=076C1E7B64E5D864B4 exp=076C1E7B64E5D864B4 + 8454.50ns INFO [00008456] * RD COMPARE * port=1 adr=00 act=A0C726AC345337250D exp=A0C726AC345337250D + 8454.50ns INFO [00008456] Port=0 WR @05=22394C9102E52E783A + 8454.50ns INFO [00008456] Port=1 RD @01 + 8455.50ns INFO [00008457] * RD COMPARE * port=0 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559 + 8455.50ns INFO [00008457] * RD COMPARE * port=1 adr=00 act=A0C726AC345337250D exp=A0C726AC345337250D + 8456.50ns INFO [00008458] * RD COMPARE * port=1 adr=01 act=076C1E7B64E5D864B4 exp=076C1E7B64E5D864B4 + 8456.50ns INFO [00008458] Port=1 RD @00 + 8457.50ns INFO [00008459] Port=0 RD @05 + 8458.50ns INFO [00008460] * RD COMPARE * port=1 adr=00 act=A0C726AC345337250D exp=A0C726AC345337250D + 8458.50ns INFO [00008460] Port=1 RD @02 + 8459.50ns INFO [00008461] * RD COMPARE * port=0 adr=05 act=22394C9102E52E783A exp=22394C9102E52E783A + 8459.50ns INFO [00008461] Port=0 RD @00 + 8459.50ns INFO [00008461] Port=1 RD @01 + 8460.50ns INFO [00008462] * RD COMPARE * port=1 adr=02 act=844E81E7EE1B3FD6AC exp=844E81E7EE1B3FD6AC + 8461.50ns INFO [00008463] * RD COMPARE * port=0 adr=00 act=A0C726AC345337250D exp=A0C726AC345337250D + 8461.50ns INFO [00008463] * RD COMPARE * port=1 adr=01 act=076C1E7B64E5D864B4 exp=076C1E7B64E5D864B4 + 8461.50ns INFO [00008463] Port=0 RD @05 + 8462.50ns INFO [00008464] Port=0 WR @00=A31703C564BFAFF01D + 8463.50ns INFO [00008465] * RD COMPARE * port=0 adr=05 act=22394C9102E52E783A exp=22394C9102E52E783A + 8463.50ns INFO [00008465] Port=1 RD @06 + 8464.50ns INFO [00008466] Port=0 WR @05=AAEADE369AFD183E01 + 8464.50ns INFO [00008466] Port=1 RD @07 + 8465.50ns INFO [00008467] * RD COMPARE * port=1 adr=06 act=87F92FFFC4AE86C292 exp=87F92FFFC4AE86C292 + 8465.50ns INFO [00008467] Port=0 WR @01=272EB19D3E150BFFDD + 8466.50ns INFO [00008468] * RD COMPARE * port=1 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559 + 8466.50ns INFO [00008468] Port=0 WR @06=5B4D978377F202007B + 8466.50ns INFO [00008468] Port=0 RD @03 + 8466.50ns INFO [00008468] Port=1 RD @03 + 8467.50ns INFO [00008469] Port=0 RD @06 + 8468.50ns INFO [00008470] * RD COMPARE * port=0 adr=03 act=2E004FAB2E856AC8A1 exp=2E004FAB2E856AC8A1 + 8468.50ns INFO [00008470] * RD COMPARE * port=1 adr=03 act=2E004FAB2E856AC8A1 exp=2E004FAB2E856AC8A1 + 8468.50ns INFO [00008470] Port=1 RD @06 + 8469.50ns INFO [00008471] * RD COMPARE * port=0 adr=06 act=5B4D978377F202007B exp=5B4D978377F202007B + 8469.50ns INFO [00008471] Port=0 WR @02=6D670DE1A04E480518 + 8470.50ns INFO [00008472] * RD COMPARE * port=1 adr=06 act=5B4D978377F202007B exp=5B4D978377F202007B + 8471.50ns INFO [00008473] Port=0 WR @04=605B062A25669282E3 + 8473.50ns INFO [00008475] Port=1 RD @01 + 8474.50ns INFO [00008476] Port=1 RD @07 + 8475.50ns INFO [00008477] * RD COMPARE * port=1 adr=01 act=272EB19D3E150BFFDD exp=272EB19D3E150BFFDD + 8476.50ns INFO [00008478] * RD COMPARE * port=1 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559 + 8476.50ns INFO [00008478] Port=0 WR @06=50110F5F33C576884F + 8476.50ns INFO [00008478] Port=0 RD @04 + 8476.50ns INFO [00008478] Port=1 RD @00 + 8477.50ns INFO [00008479] Port=0 WR @00=0EE1DC0620CBF860EC + 8477.50ns INFO [00008479] Port=0 RD @07 + 8478.50ns INFO [00008480] * RD COMPARE * port=0 adr=04 act=605B062A25669282E3 exp=605B062A25669282E3 + 8478.50ns INFO [00008480] * RD COMPARE * port=1 adr=00 act=A31703C564BFAFF01D exp=A31703C564BFAFF01D + 8479.50ns INFO [00008481] * RD COMPARE * port=0 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559 + 8479.50ns INFO [00008481] Port=0 WR @04=1F83E2A4F4F32192F6 + 8479.50ns INFO [00008481] Port=0 RD @05 + 8480.50ns INFO [00008482] Port=1 RD @00 + 8481.50ns INFO [00008483] * RD COMPARE * port=0 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01 + 8481.50ns INFO [00008483] Port=0 WR @01=C32755FD2A0AB28A1F + 8481.50ns INFO [00008483] Port=0 RD @00 + 8482.50ns INFO [00008484] * RD COMPARE * port=1 adr=00 act=0EE1DC0620CBF860EC exp=0EE1DC0620CBF860EC + 8482.50ns INFO [00008484] Port=1 RD @06 + 8483.50ns INFO [00008485] * RD COMPARE * port=0 adr=00 act=0EE1DC0620CBF860EC exp=0EE1DC0620CBF860EC + 8484.50ns INFO [00008486] * RD COMPARE * port=1 adr=06 act=50110F5F33C576884F exp=50110F5F33C576884F + 8484.50ns INFO [00008486] Port=0 WR @06=0D7F5A019CF03B6187 + 8484.50ns INFO [00008486] Port=1 RD @04 + 8486.50ns INFO [00008488] * RD COMPARE * port=1 adr=04 act=1F83E2A4F4F32192F6 exp=1F83E2A4F4F32192F6 + 8487.50ns INFO [00008489] Port=0 RD @00 + 8488.50ns INFO [00008490] Port=1 RD @04 + 8489.50ns INFO [00008491] * RD COMPARE * port=0 adr=00 act=0EE1DC0620CBF860EC exp=0EE1DC0620CBF860EC + 8489.50ns INFO [00008491] Port=1 RD @00 + 8490.50ns INFO [00008492] * RD COMPARE * port=1 adr=04 act=1F83E2A4F4F32192F6 exp=1F83E2A4F4F32192F6 + 8490.50ns INFO [00008492] Port=0 WR @04=0D920E84FE8DAC51FB + 8490.50ns INFO [00008492] Port=1 RD @05 + 8491.50ns INFO [00008493] * RD COMPARE * port=1 adr=00 act=0EE1DC0620CBF860EC exp=0EE1DC0620CBF860EC + 8491.50ns INFO [00008493] Port=0 WR @03=2AB0A28EAE54E070C7 + 8491.50ns INFO [00008493] Port=1 RD @05 + 8492.50ns INFO [00008494] * RD COMPARE * port=1 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01 + 8492.50ns INFO [00008494] Port=1 RD @00 + 8493.50ns INFO [00008495] * RD COMPARE * port=1 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01 + 8493.50ns INFO [00008495] Port=0 WR @00=4B93FC8D891DBF7487 + 8493.50ns INFO [00008495] Port=1 RD @05 + 8494.50ns INFO [00008496] * RD COMPARE * port=1 adr=00 act=0EE1DC0620CBF860EC exp=0EE1DC0620CBF860EC + 8494.50ns INFO [00008496] Port=0 RD @05 + 8495.50ns INFO [00008497] * RD COMPARE * port=1 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01 + 8495.50ns INFO [00008497] Port=1 RD @04 + 8496.50ns INFO [00008498] * RD COMPARE * port=0 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01 + 8496.50ns INFO [00008498] Port=0 WR @02=B4159F6D5FA92FBA25 + 8496.50ns INFO [00008498] Port=0 RD @00 + 8497.50ns INFO [00008499] * RD COMPARE * port=1 adr=04 act=0D920E84FE8DAC51FB exp=0D920E84FE8DAC51FB + 8497.50ns INFO [00008499] Port=0 RD @05 + 8498.00ns INFO [00008500] [00008500] ...tick... + 8498.50ns INFO [00008500] * RD COMPARE * port=0 adr=00 act=4B93FC8D891DBF7487 exp=4B93FC8D891DBF7487 + 8498.50ns INFO [00008500] Port=0 WR @00=91A94A9FD428E9ACD3 + 8499.50ns INFO [00008501] * RD COMPARE * port=0 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01 + 8499.50ns INFO [00008501] Port=0 WR @02=DD7ABBF6147B005ECA + 8499.50ns INFO [00008501] Port=0 RD @07 + 8500.50ns INFO [00008502] Port=0 WR @01=4446F10DDB149D7CC9 + 8501.50ns INFO [00008503] * RD COMPARE * port=0 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559 + 8501.50ns INFO [00008503] Port=0 WR @00=1A35CB2E8E1A756B41 + 8503.50ns INFO [00008505] Port=0 WR @02=C3B408C7CF501BC882 + 8503.50ns INFO [00008505] Port=0 RD @06 + 8505.50ns INFO [00008507] * RD COMPARE * port=0 adr=06 act=0D7F5A019CF03B6187 exp=0D7F5A019CF03B6187 + 8505.50ns INFO [00008507] Port=0 RD @04 + 8506.50ns INFO [00008508] Port=0 WR @00=275114C7835AB2B136 + 8506.50ns INFO [00008508] Port=1 RD @02 + 8507.50ns INFO [00008509] * RD COMPARE * port=0 adr=04 act=0D920E84FE8DAC51FB exp=0D920E84FE8DAC51FB + 8507.50ns INFO [00008509] Port=0 WR @03=5BFD666F0B479520C2 + 8507.50ns INFO [00008509] Port=0 RD @06 + 8508.50ns INFO [00008510] * RD COMPARE * port=1 adr=02 act=C3B408C7CF501BC882 exp=C3B408C7CF501BC882 + 8509.50ns INFO [00008511] * RD COMPARE * port=0 adr=06 act=0D7F5A019CF03B6187 exp=0D7F5A019CF03B6187 + 8509.50ns INFO [00008511] Port=0 WR @07=B5D190B78E795CDB3B + 8509.50ns INFO [00008511] Port=0 RD @02 + 8511.50ns INFO [00008513] * RD COMPARE * port=0 adr=02 act=C3B408C7CF501BC882 exp=C3B408C7CF501BC882 + 8511.50ns INFO [00008513] Port=0 RD @05 + 8513.50ns INFO [00008515] * RD COMPARE * port=0 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01 + 8514.50ns INFO [00008516] Port=0 WR @03=87A9E02116A5E0E177 + 8515.50ns INFO [00008517] Port=0 WR @05=C5BCEA83F04D94E4DC + 8516.50ns INFO [00008518] Port=0 RD @07 + 8516.50ns INFO [00008518] Port=1 RD @07 + 8517.50ns INFO [00008519] Port=0 RD @04 + 8518.50ns INFO [00008520] * RD COMPARE * port=0 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B + 8518.50ns INFO [00008520] * RD COMPARE * port=1 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B + 8518.50ns INFO [00008520] Port=0 RD @03 + 8518.50ns INFO [00008520] Port=1 RD @07 + 8519.50ns INFO [00008521] * RD COMPARE * port=0 adr=04 act=0D920E84FE8DAC51FB exp=0D920E84FE8DAC51FB + 8519.50ns INFO [00008521] Port=0 RD @02 + 8520.50ns INFO [00008522] * RD COMPARE * port=0 adr=03 act=87A9E02116A5E0E177 exp=87A9E02116A5E0E177 + 8520.50ns INFO [00008522] * RD COMPARE * port=1 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B + 8520.50ns INFO [00008522] Port=0 WR @05=61DA881D6640E1ABFE + 8520.50ns INFO [00008522] Port=1 RD @06 + 8521.50ns INFO [00008523] * RD COMPARE * port=0 adr=02 act=C3B408C7CF501BC882 exp=C3B408C7CF501BC882 + 8521.50ns INFO [00008523] Port=0 WR @02=323C2470E2DAE61A59 + 8521.50ns INFO [00008523] Port=1 RD @05 + 8522.50ns INFO [00008524] * RD COMPARE * port=1 adr=06 act=0D7F5A019CF03B6187 exp=0D7F5A019CF03B6187 + 8522.50ns INFO [00008524] Port=1 RD @01 + 8523.50ns INFO [00008525] * RD COMPARE * port=1 adr=05 act=61DA881D6640E1ABFE exp=61DA881D6640E1ABFE + 8524.50ns INFO [00008526] * RD COMPARE * port=1 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9 + 8524.50ns INFO [00008526] Port=0 RD @03 + 8525.50ns INFO [00008527] Port=0 RD @02 + 8526.50ns INFO [00008528] * RD COMPARE * port=0 adr=03 act=87A9E02116A5E0E177 exp=87A9E02116A5E0E177 + 8527.50ns INFO [00008529] * RD COMPARE * port=0 adr=02 act=323C2470E2DAE61A59 exp=323C2470E2DAE61A59 + 8527.50ns INFO [00008529] Port=0 RD @05 + 8527.50ns INFO [00008529] Port=1 RD @03 + 8528.50ns INFO [00008530] Port=1 RD @02 + 8529.50ns INFO [00008531] * RD COMPARE * port=0 adr=05 act=61DA881D6640E1ABFE exp=61DA881D6640E1ABFE + 8529.50ns INFO [00008531] * RD COMPARE * port=1 adr=03 act=87A9E02116A5E0E177 exp=87A9E02116A5E0E177 + 8529.50ns INFO [00008531] Port=0 WR @00=51B79EB35331F76A61 + 8529.50ns INFO [00008531] Port=1 RD @07 + 8530.50ns INFO [00008532] * RD COMPARE * port=1 adr=02 act=323C2470E2DAE61A59 exp=323C2470E2DAE61A59 + 8530.50ns INFO [00008532] Port=0 WR @03=FD15CF67BC45BDC1EC + 8530.50ns INFO [00008532] Port=0 RD @06 + 8531.50ns INFO [00008533] * RD COMPARE * port=1 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B + 8532.50ns INFO [00008534] * RD COMPARE * port=0 adr=06 act=0D7F5A019CF03B6187 exp=0D7F5A019CF03B6187 + 8533.50ns INFO [00008535] Port=0 WR @05=CED7D942BE5CF4E5F2 + 8533.50ns INFO [00008535] Port=1 RD @06 + 8534.50ns INFO [00008536] Port=0 WR @02=4DF76AD615FF62EF36 + 8534.50ns INFO [00008536] Port=0 RD @07 + 8535.50ns INFO [00008537] * RD COMPARE * port=1 adr=06 act=0D7F5A019CF03B6187 exp=0D7F5A019CF03B6187 + 8536.50ns INFO [00008538] * RD COMPARE * port=0 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B + 8536.50ns INFO [00008538] Port=0 RD @01 + 8537.50ns INFO [00008539] Port=0 WR @04=FF34E1CCDEE207A770 + 8537.50ns INFO [00008539] Port=1 RD @03 + 8538.50ns INFO [00008540] * RD COMPARE * port=0 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9 + 8539.50ns INFO [00008541] * RD COMPARE * port=1 adr=03 act=FD15CF67BC45BDC1EC exp=FD15CF67BC45BDC1EC + 8539.50ns INFO [00008541] Port=0 WR @06=79AA596DF5FCC3C421 + 8540.50ns INFO [00008542] Port=0 WR @05=B0CCD1D03E6AFB7D75 + 8540.50ns INFO [00008542] Port=1 RD @01 + 8541.50ns INFO [00008543] Port=0 WR @03=BCF00D515D94DE085B + 8542.50ns INFO [00008544] * RD COMPARE * port=1 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9 + 8542.50ns INFO [00008544] Port=0 RD @07 + 8544.50ns INFO [00008546] * RD COMPARE * port=0 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B + 8544.50ns INFO [00008546] Port=0 WR @00=8C12726FA0EA9FCB6A + 8544.50ns INFO [00008546] Port=0 RD @06 + 8545.50ns INFO [00008547] Port=0 WR @06=6C527BA362191A19DB + 8545.50ns INFO [00008547] Port=0 RD @00 + 8546.50ns INFO [00008548] * RD COMPARE * port=0 adr=06 act=79AA596DF5FCC3C421 exp=79AA596DF5FCC3C421 + 8547.50ns INFO [00008549] * RD COMPARE * port=0 adr=00 act=8C12726FA0EA9FCB6A exp=8C12726FA0EA9FCB6A + 8547.50ns INFO [00008549] Port=1 RD @02 + 8549.50ns INFO [00008551] * RD COMPARE * port=1 adr=02 act=4DF76AD615FF62EF36 exp=4DF76AD615FF62EF36 + 8549.50ns INFO [00008551] Port=1 RD @01 + 8551.50ns INFO [00008553] * RD COMPARE * port=1 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9 + 8551.50ns INFO [00008553] Port=1 RD @01 + 8552.50ns INFO [00008554] Port=0 RD @01 + 8553.50ns INFO [00008555] * RD COMPARE * port=1 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9 + 8553.50ns INFO [00008555] Port=0 WR @06=C88912F933EC2EC33C + 8554.50ns INFO [00008556] * RD COMPARE * port=0 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9 + 8554.50ns INFO [00008556] Port=0 WR @00=D53871744D9D0F7F37 + 8555.50ns INFO [00008557] Port=0 WR @00=FF8FEBCCEB0F523008 + 8555.50ns INFO [00008557] Port=0 RD @01 + 8555.50ns INFO [00008557] Port=1 RD @07 + 8556.50ns INFO [00008558] Port=0 WR @00=EC574CC4DDB7636601 + 8556.50ns INFO [00008558] Port=1 RD @03 + 8557.50ns INFO [00008559] * RD COMPARE * port=0 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9 + 8557.50ns INFO [00008559] * RD COMPARE * port=1 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B + 8557.50ns INFO [00008559] Port=0 WR @07=90EF52CDA51AEF7E72 + 8558.50ns INFO [00008560] * RD COMPARE * port=1 adr=03 act=BCF00D515D94DE085B exp=BCF00D515D94DE085B + 8560.50ns INFO [00008562] Port=0 WR @01=9EB56802536BF49868 + 8560.50ns INFO [00008562] Port=0 RD @05 + 8560.50ns INFO [00008562] Port=1 RD @05 + 8561.50ns INFO [00008563] Port=0 WR @06=319CCDA67FF10F6B50 + 8562.50ns INFO [00008564] * RD COMPARE * port=0 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75 + 8562.50ns INFO [00008564] * RD COMPARE * port=1 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75 + 8563.50ns INFO [00008565] Port=0 WR @02=01927ABFFA9D4903EB + 8564.50ns INFO [00008566] Port=0 WR @06=1EB510F098931505C5 + 8564.50ns INFO [00008566] Port=0 RD @05 + 8565.50ns INFO [00008567] Port=0 RD @01 + 8566.50ns INFO [00008568] * RD COMPARE * port=0 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75 + 8566.50ns INFO [00008568] Port=1 RD @02 + 8567.50ns INFO [00008569] * RD COMPARE * port=0 adr=01 act=9EB56802536BF49868 exp=9EB56802536BF49868 + 8568.50ns INFO [00008570] * RD COMPARE * port=1 adr=02 act=01927ABFFA9D4903EB exp=01927ABFFA9D4903EB + 8569.50ns INFO [00008571] Port=0 WR @07=4CD2E448956AC77B42 + 8569.50ns INFO [00008571] Port=0 RD @05 + 8569.50ns INFO [00008571] Port=1 RD @06 + 8570.50ns INFO [00008572] Port=0 WR @01=F2C74FE7056037E492 + 8571.50ns INFO [00008573] * RD COMPARE * port=0 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75 + 8571.50ns INFO [00008573] * RD COMPARE * port=1 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5 + 8571.50ns INFO [00008573] Port=0 WR @03=833CD021A3C72BD422 + 8571.50ns INFO [00008573] Port=0 RD @05 + 8571.50ns INFO [00008573] Port=1 RD @01 + 8573.50ns INFO [00008575] * RD COMPARE * port=0 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75 + 8573.50ns INFO [00008575] * RD COMPARE * port=1 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492 + 8573.50ns INFO [00008575] Port=1 RD @05 + 8574.50ns INFO [00008576] Port=0 RD @02 + 8574.50ns INFO [00008576] Port=1 RD @00 + 8575.50ns INFO [00008577] * RD COMPARE * port=1 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75 + 8575.50ns INFO [00008577] Port=1 RD @00 + 8576.50ns INFO [00008578] * RD COMPARE * port=0 adr=02 act=01927ABFFA9D4903EB exp=01927ABFFA9D4903EB + 8576.50ns INFO [00008578] * RD COMPARE * port=1 adr=00 act=EC574CC4DDB7636601 exp=EC574CC4DDB7636601 + 8577.50ns INFO [00008579] * RD COMPARE * port=1 adr=00 act=EC574CC4DDB7636601 exp=EC574CC4DDB7636601 + 8577.50ns INFO [00008579] Port=0 WR @05=04280F95CAB8BD7520 + 8578.50ns INFO [00008580] Port=0 RD @04 + 8579.50ns INFO [00008581] Port=0 RD @03 + 8580.50ns INFO [00008582] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770 + 8580.50ns INFO [00008582] Port=0 RD @02 + 8580.50ns INFO [00008582] Port=1 RD @05 + 8581.50ns INFO [00008583] * RD COMPARE * port=0 adr=03 act=833CD021A3C72BD422 exp=833CD021A3C72BD422 + 8581.50ns INFO [00008583] Port=0 RD @05 + 8581.50ns INFO [00008583] Port=1 RD @07 + 8582.50ns INFO [00008584] * RD COMPARE * port=0 adr=02 act=01927ABFFA9D4903EB exp=01927ABFFA9D4903EB + 8582.50ns INFO [00008584] * RD COMPARE * port=1 adr=05 act=04280F95CAB8BD7520 exp=04280F95CAB8BD7520 + 8582.50ns INFO [00008584] Port=1 RD @03 + 8583.50ns INFO [00008585] * RD COMPARE * port=0 adr=05 act=04280F95CAB8BD7520 exp=04280F95CAB8BD7520 + 8583.50ns INFO [00008585] * RD COMPARE * port=1 adr=07 act=4CD2E448956AC77B42 exp=4CD2E448956AC77B42 + 8584.50ns INFO [00008586] * RD COMPARE * port=1 adr=03 act=833CD021A3C72BD422 exp=833CD021A3C72BD422 + 8584.50ns INFO [00008586] Port=0 WR @07=E6CE6D9B5C5681233A + 8584.50ns INFO [00008586] Port=1 RD @04 + 8585.50ns INFO [00008587] Port=0 RD @01 + 8586.50ns INFO [00008588] * RD COMPARE * port=1 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770 + 8587.50ns INFO [00008589] * RD COMPARE * port=0 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492 + 8587.50ns INFO [00008589] Port=0 WR @03=EB2C5548B3CA0AE172 + 8588.50ns INFO [00008590] Port=1 RD @05 + 8589.50ns INFO [00008591] Port=1 RD @01 + 8590.50ns INFO [00008592] * RD COMPARE * port=1 adr=05 act=04280F95CAB8BD7520 exp=04280F95CAB8BD7520 + 8591.50ns INFO [00008593] * RD COMPARE * port=1 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492 + 8591.50ns INFO [00008593] Port=0 WR @03=0227BE2399F876E2D3 + 8591.50ns INFO [00008593] Port=0 RD @04 + 8592.50ns INFO [00008594] Port=0 RD @00 + 8593.50ns INFO [00008595] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770 + 8593.50ns INFO [00008595] Port=0 RD @04 + 8593.50ns INFO [00008595] Port=1 RD @03 + 8594.50ns INFO [00008596] * RD COMPARE * port=0 adr=00 act=EC574CC4DDB7636601 exp=EC574CC4DDB7636601 + 8595.50ns INFO [00008597] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770 + 8595.50ns INFO [00008597] * RD COMPARE * port=1 adr=03 act=0227BE2399F876E2D3 exp=0227BE2399F876E2D3 + 8595.50ns INFO [00008597] Port=1 RD @05 + 8596.50ns INFO [00008598] Port=0 RD @07 + 8596.50ns INFO [00008598] Port=1 RD @02 + 8597.50ns INFO [00008599] * RD COMPARE * port=1 adr=05 act=04280F95CAB8BD7520 exp=04280F95CAB8BD7520 + 8597.50ns INFO [00008599] Port=0 WR @05=C9FEB4772A50B913D4 + 8598.00ns INFO [00008600] [00008600] ...tick... + 8598.50ns INFO [00008600] * RD COMPARE * port=0 adr=07 act=E6CE6D9B5C5681233A exp=E6CE6D9B5C5681233A + 8598.50ns INFO [00008600] * RD COMPARE * port=1 adr=02 act=01927ABFFA9D4903EB exp=01927ABFFA9D4903EB + 8598.50ns INFO [00008600] Port=0 WR @07=102347CE958A5C1CA4 + 8599.50ns INFO [00008601] Port=1 RD @01 + 8600.50ns INFO [00008602] Port=0 WR @00=DC9BF2315D40D20494 + 8600.50ns INFO [00008602] Port=0 RD @03 + 8601.50ns INFO [00008603] * RD COMPARE * port=1 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492 + 8601.50ns INFO [00008603] Port=1 RD @01 + 8602.50ns INFO [00008604] * RD COMPARE * port=0 adr=03 act=0227BE2399F876E2D3 exp=0227BE2399F876E2D3 + 8602.50ns INFO [00008604] Port=0 RD @01 + 8603.50ns INFO [00008605] * RD COMPARE * port=1 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492 + 8603.50ns INFO [00008605] Port=0 WR @03=A89277C42025D5636E + 8603.50ns INFO [00008605] Port=0 RD @06 + 8603.50ns INFO [00008605] Port=1 RD @01 + 8604.50ns INFO [00008606] * RD COMPARE * port=0 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492 + 8604.50ns INFO [00008606] Port=0 RD @03 + 8604.50ns INFO [00008606] Port=1 RD @06 + 8605.50ns INFO [00008607] * RD COMPARE * port=0 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5 + 8605.50ns INFO [00008607] * RD COMPARE * port=1 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492 + 8605.50ns INFO [00008607] Port=0 RD @05 + 8606.50ns INFO [00008608] * RD COMPARE * port=0 adr=03 act=A89277C42025D5636E exp=A89277C42025D5636E + 8606.50ns INFO [00008608] * RD COMPARE * port=1 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5 + 8606.50ns INFO [00008608] Port=0 WR @01=77ACD8BF8C5F3955EE + 8607.50ns INFO [00008609] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4 + 8607.50ns INFO [00008609] Port=0 WR @07=BE445371BF58D99667 + 8607.50ns INFO [00008609] Port=0 RD @02 + 8608.50ns INFO [00008610] Port=0 WR @02=7217BD4ADA680D4426 + 8608.50ns INFO [00008610] Port=1 RD @00 + 8609.50ns INFO [00008611] * RD COMPARE * port=0 adr=02 act=01927ABFFA9D4903EB exp=01927ABFFA9D4903EB + 8609.50ns INFO [00008611] Port=0 RD @04 + 8610.50ns INFO [00008612] * RD COMPARE * port=1 adr=00 act=DC9BF2315D40D20494 exp=DC9BF2315D40D20494 + 8611.50ns INFO [00008613] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770 + 8612.50ns INFO [00008614] Port=0 RD @06 + 8612.50ns INFO [00008614] Port=1 RD @00 + 8613.50ns INFO [00008615] Port=0 RD @06 + 8613.50ns INFO [00008615] Port=1 RD @06 + 8614.50ns INFO [00008616] * RD COMPARE * port=0 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5 + 8614.50ns INFO [00008616] * RD COMPARE * port=1 adr=00 act=DC9BF2315D40D20494 exp=DC9BF2315D40D20494 + 8614.50ns INFO [00008616] Port=0 WR @01=7A8106B3BFC467CDC7 + 8614.50ns INFO [00008616] Port=1 RD @06 + 8615.50ns INFO [00008617] * RD COMPARE * port=0 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5 + 8615.50ns INFO [00008617] * RD COMPARE * port=1 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5 + 8616.50ns INFO [00008618] * RD COMPARE * port=1 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5 + 8616.50ns INFO [00008618] Port=0 WR @06=4BEBD713DCCF9D6AE1 + 8616.50ns INFO [00008618] Port=0 RD @02 + 8617.50ns INFO [00008619] Port=0 RD @06 + 8618.50ns INFO [00008620] * RD COMPARE * port=0 adr=02 act=7217BD4ADA680D4426 exp=7217BD4ADA680D4426 + 8618.50ns INFO [00008620] Port=0 WR @00=89FEE6087E71B09820 + 8619.50ns INFO [00008621] * RD COMPARE * port=0 adr=06 act=4BEBD713DCCF9D6AE1 exp=4BEBD713DCCF9D6AE1 + 8619.50ns INFO [00008621] Port=0 WR @02=03A5F474CB36759A6C + 8619.50ns INFO [00008621] Port=0 RD @06 + 8619.50ns INFO [00008621] Port=1 RD @05 + 8620.50ns INFO [00008622] Port=0 RD @04 + 8620.50ns INFO [00008622] Port=1 RD @01 + 8621.50ns INFO [00008623] * RD COMPARE * port=0 adr=06 act=4BEBD713DCCF9D6AE1 exp=4BEBD713DCCF9D6AE1 + 8621.50ns INFO [00008623] * RD COMPARE * port=1 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4 + 8622.50ns INFO [00008624] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770 + 8622.50ns INFO [00008624] * RD COMPARE * port=1 adr=01 act=7A8106B3BFC467CDC7 exp=7A8106B3BFC467CDC7 + 8623.50ns INFO [00008625] Port=0 WR @06=9F19734A9A089BF09F + 8623.50ns INFO [00008625] Port=1 RD @04 + 8624.50ns INFO [00008626] Port=0 WR @03=4F36B3267D93B912D6 + 8625.50ns INFO [00008627] * RD COMPARE * port=1 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770 + 8625.50ns INFO [00008627] Port=0 RD @06 + 8625.50ns INFO [00008627] Port=1 RD @06 + 8626.50ns INFO [00008628] Port=0 RD @05 + 8626.50ns INFO [00008628] Port=1 RD @07 + 8627.50ns INFO [00008629] * RD COMPARE * port=0 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F + 8627.50ns INFO [00008629] * RD COMPARE * port=1 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F + 8627.50ns INFO [00008629] Port=0 WR @00=8F1596CE65968E857F + 8627.50ns INFO [00008629] Port=0 RD @02 + 8628.50ns INFO [00008630] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4 + 8628.50ns INFO [00008630] * RD COMPARE * port=1 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667 + 8628.50ns INFO [00008630] Port=0 WR @01=1DAC364078A8D2A42E + 8628.50ns INFO [00008630] Port=0 RD @04 + 8628.50ns INFO [00008630] Port=1 RD @05 + 8629.50ns INFO [00008631] * RD COMPARE * port=0 adr=02 act=03A5F474CB36759A6C exp=03A5F474CB36759A6C + 8629.50ns INFO [00008631] Port=0 WR @00=B3EB4CDBA08F4C2E53 + 8630.50ns INFO [00008632] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770 + 8630.50ns INFO [00008632] * RD COMPARE * port=1 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4 + 8630.50ns INFO [00008632] Port=0 RD @04 + 8630.50ns INFO [00008632] Port=1 RD @06 + 8631.50ns INFO [00008633] Port=0 RD @05 + 8631.50ns INFO [00008633] Port=1 RD @04 + 8632.50ns INFO [00008634] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770 + 8632.50ns INFO [00008634] * RD COMPARE * port=1 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F + 8632.50ns INFO [00008634] Port=0 RD @01 + 8632.50ns INFO [00008634] Port=1 RD @00 + 8633.50ns INFO [00008635] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4 + 8633.50ns INFO [00008635] * RD COMPARE * port=1 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770 + 8633.50ns INFO [00008635] Port=0 RD @07 + 8634.50ns INFO [00008636] * RD COMPARE * port=0 adr=01 act=1DAC364078A8D2A42E exp=1DAC364078A8D2A42E + 8634.50ns INFO [00008636] * RD COMPARE * port=1 adr=00 act=B3EB4CDBA08F4C2E53 exp=B3EB4CDBA08F4C2E53 + 8634.50ns INFO [00008636] Port=0 RD @01 + 8635.50ns INFO [00008637] * RD COMPARE * port=0 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667 + 8635.50ns INFO [00008637] Port=0 RD @05 + 8635.50ns INFO [00008637] Port=1 RD @00 + 8636.50ns INFO [00008638] * RD COMPARE * port=0 adr=01 act=1DAC364078A8D2A42E exp=1DAC364078A8D2A42E + 8636.50ns INFO [00008638] Port=0 WR @00=F4E982E51B96999811 + 8636.50ns INFO [00008638] Port=0 RD @06 + 8637.50ns INFO [00008639] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4 + 8637.50ns INFO [00008639] * RD COMPARE * port=1 adr=00 act=B3EB4CDBA08F4C2E53 exp=B3EB4CDBA08F4C2E53 + 8637.50ns INFO [00008639] Port=0 WR @01=5DC673DC182291208C + 8637.50ns INFO [00008639] Port=0 RD @05 + 8638.50ns INFO [00008640] * RD COMPARE * port=0 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F + 8639.50ns INFO [00008641] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4 + 8639.50ns INFO [00008641] Port=0 RD @00 + 8641.50ns INFO [00008643] * RD COMPARE * port=0 adr=00 act=F4E982E51B96999811 exp=F4E982E51B96999811 + 8642.50ns INFO [00008644] Port=0 RD @05 + 8642.50ns INFO [00008644] Port=1 RD @03 + 8643.50ns INFO [00008645] Port=0 RD @00 + 8643.50ns INFO [00008645] Port=1 RD @01 + 8644.50ns INFO [00008646] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4 + 8644.50ns INFO [00008646] * RD COMPARE * port=1 adr=03 act=4F36B3267D93B912D6 exp=4F36B3267D93B912D6 + 8644.50ns INFO [00008646] Port=0 WR @01=CAAD34425F41990F40 + 8645.50ns INFO [00008647] * RD COMPARE * port=0 adr=00 act=F4E982E51B96999811 exp=F4E982E51B96999811 + 8645.50ns INFO [00008647] * RD COMPARE * port=1 adr=01 act=5DC673DC182291208C exp=5DC673DC182291208C + 8646.50ns INFO [00008648] Port=0 RD @06 + 8646.50ns INFO [00008648] Port=1 RD @06 + 8647.50ns INFO [00008649] Port=0 WR @04=FCF13488C6A6B58A2B + 8648.50ns INFO [00008650] * RD COMPARE * port=0 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F + 8648.50ns INFO [00008650] * RD COMPARE * port=1 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F + 8649.50ns INFO [00008651] Port=0 WR @00=5C1FD644025B94E009 + 8649.50ns INFO [00008651] Port=0 RD @03 + 8649.50ns INFO [00008651] Port=1 RD @02 + 8650.50ns INFO [00008652] Port=1 RD @01 + 8651.50ns INFO [00008653] * RD COMPARE * port=0 adr=03 act=4F36B3267D93B912D6 exp=4F36B3267D93B912D6 + 8651.50ns INFO [00008653] * RD COMPARE * port=1 adr=02 act=03A5F474CB36759A6C exp=03A5F474CB36759A6C + 8651.50ns INFO [00008653] Port=0 WR @04=8E555E9B46188FC047 + 8651.50ns INFO [00008653] Port=0 RD @02 + 8652.50ns INFO [00008654] * RD COMPARE * port=1 adr=01 act=CAAD34425F41990F40 exp=CAAD34425F41990F40 + 8652.50ns INFO [00008654] Port=0 WR @04=11836BA760E54EDA93 + 8653.50ns INFO [00008655] * RD COMPARE * port=0 adr=02 act=03A5F474CB36759A6C exp=03A5F474CB36759A6C + 8653.50ns INFO [00008655] Port=0 WR @03=8DB73703E2E7C9D642 + 8654.50ns INFO [00008656] Port=0 RD @06 + 8654.50ns INFO [00008656] Port=1 RD @05 + 8655.50ns INFO [00008657] Port=1 RD @02 + 8656.50ns INFO [00008658] * RD COMPARE * port=0 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F + 8656.50ns INFO [00008658] * RD COMPARE * port=1 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4 + 8657.50ns INFO [00008659] * RD COMPARE * port=1 adr=02 act=03A5F474CB36759A6C exp=03A5F474CB36759A6C + 8657.50ns INFO [00008659] Port=0 RD @07 + 8658.50ns INFO [00008660] Port=0 RD @06 + 8659.50ns INFO [00008661] * RD COMPARE * port=0 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667 + 8659.50ns INFO [00008661] Port=0 WR @02=0F46CD7B1F61E00450 + 8660.50ns INFO [00008662] * RD COMPARE * port=0 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F + 8661.50ns INFO [00008663] Port=0 RD @03 + 8661.50ns INFO [00008663] Port=1 RD @04 + 8662.50ns INFO [00008664] Port=0 WR @06=61CF868BA8844304F2 + 8663.50ns INFO [00008665] * RD COMPARE * port=0 adr=03 act=8DB73703E2E7C9D642 exp=8DB73703E2E7C9D642 + 8663.50ns INFO [00008665] * RD COMPARE * port=1 adr=04 act=11836BA760E54EDA93 exp=11836BA760E54EDA93 + 8663.50ns INFO [00008665] Port=0 WR @05=EFBEA203AD82F6F7CD + 8664.50ns INFO [00008666] Port=0 RD @07 + 8665.50ns INFO [00008667] Port=0 RD @01 + 8665.50ns INFO [00008667] Port=1 RD @05 + 8666.50ns INFO [00008668] * RD COMPARE * port=0 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667 + 8667.50ns INFO [00008669] * RD COMPARE * port=0 adr=01 act=CAAD34425F41990F40 exp=CAAD34425F41990F40 + 8667.50ns INFO [00008669] * RD COMPARE * port=1 adr=05 act=EFBEA203AD82F6F7CD exp=EFBEA203AD82F6F7CD + 8667.50ns INFO [00008669] Port=1 RD @03 + 8668.50ns INFO [00008670] Port=0 WR @01=6FF76035E967DE2CC6 + 8668.50ns INFO [00008670] Port=0 RD @06 + 8669.50ns INFO [00008671] * RD COMPARE * port=1 adr=03 act=8DB73703E2E7C9D642 exp=8DB73703E2E7C9D642 + 8670.50ns INFO [00008672] * RD COMPARE * port=0 adr=06 act=61CF868BA8844304F2 exp=61CF868BA8844304F2 + 8670.50ns INFO [00008672] Port=0 WR @01=0F3A7F27696AAC0D89 + 8671.50ns INFO [00008673] Port=0 WR @06=DA2BD4ACC173B2836C + 8671.50ns INFO [00008673] Port=0 RD @04 + 8671.50ns INFO [00008673] Port=1 RD @05 + 8672.50ns INFO [00008674] Port=0 RD @07 + 8673.50ns INFO [00008675] * RD COMPARE * port=0 adr=04 act=11836BA760E54EDA93 exp=11836BA760E54EDA93 + 8673.50ns INFO [00008675] * RD COMPARE * port=1 adr=05 act=EFBEA203AD82F6F7CD exp=EFBEA203AD82F6F7CD + 8673.50ns INFO [00008675] Port=0 RD @00 + 8673.50ns INFO [00008675] Port=1 RD @01 + 8674.50ns INFO [00008676] * RD COMPARE * port=0 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667 + 8674.50ns INFO [00008676] Port=0 RD @01 + 8674.50ns INFO [00008676] Port=1 RD @03 + 8675.50ns INFO [00008677] * RD COMPARE * port=0 adr=00 act=5C1FD644025B94E009 exp=5C1FD644025B94E009 + 8675.50ns INFO [00008677] * RD COMPARE * port=1 adr=01 act=0F3A7F27696AAC0D89 exp=0F3A7F27696AAC0D89 + 8676.50ns INFO [00008678] * RD COMPARE * port=0 adr=01 act=0F3A7F27696AAC0D89 exp=0F3A7F27696AAC0D89 + 8676.50ns INFO [00008678] * RD COMPARE * port=1 adr=03 act=8DB73703E2E7C9D642 exp=8DB73703E2E7C9D642 + 8676.50ns INFO [00008678] Port=0 WR @05=B7745B43E1677EA348 + 8676.50ns INFO [00008678] Port=0 RD @04 + 8678.50ns INFO [00008680] * RD COMPARE * port=0 adr=04 act=11836BA760E54EDA93 exp=11836BA760E54EDA93 + 8679.50ns INFO [00008681] Port=0 WR @01=3FE1880E91C26BB305 + 8679.50ns INFO [00008681] Port=1 RD @06 + 8680.50ns INFO [00008682] Port=0 WR @04=197D783AA3EB3AE165 + 8681.50ns INFO [00008683] * RD COMPARE * port=1 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C + 8681.50ns INFO [00008683] Port=0 WR @01=19A6B078584F10FA41 + 8683.50ns INFO [00008685] Port=0 RD @05 + 8683.50ns INFO [00008685] Port=1 RD @00 + 8684.50ns INFO [00008686] Port=1 RD @05 + 8685.50ns INFO [00008687] * RD COMPARE * port=0 adr=05 act=B7745B43E1677EA348 exp=B7745B43E1677EA348 + 8685.50ns INFO [00008687] * RD COMPARE * port=1 adr=00 act=5C1FD644025B94E009 exp=5C1FD644025B94E009 + 8685.50ns INFO [00008687] Port=0 WR @03=2A2EEC28B07FA01880 + 8685.50ns INFO [00008687] Port=1 RD @01 + 8686.50ns INFO [00008688] * RD COMPARE * port=1 adr=05 act=B7745B43E1677EA348 exp=B7745B43E1677EA348 + 8686.50ns INFO [00008688] Port=0 WR @03=866C821139BB7DFD7D + 8686.50ns INFO [00008688] Port=1 RD @06 + 8687.50ns INFO [00008689] * RD COMPARE * port=1 adr=01 act=19A6B078584F10FA41 exp=19A6B078584F10FA41 + 8687.50ns INFO [00008689] Port=1 RD @03 + 8688.50ns INFO [00008690] * RD COMPARE * port=1 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C + 8688.50ns INFO [00008690] Port=1 RD @01 + 8689.50ns INFO [00008691] * RD COMPARE * port=1 adr=03 act=866C821139BB7DFD7D exp=866C821139BB7DFD7D + 8689.50ns INFO [00008691] Port=0 WR @00=C0B31BB8194EDF5060 + 8690.50ns INFO [00008692] * RD COMPARE * port=1 adr=01 act=19A6B078584F10FA41 exp=19A6B078584F10FA41 + 8690.50ns INFO [00008692] Port=0 WR @01=026046967947938ED3 + 8690.50ns INFO [00008692] Port=1 RD @00 + 8691.50ns INFO [00008693] Port=0 RD @02 + 8691.50ns INFO [00008693] Port=1 RD @07 + 8692.50ns INFO [00008694] * RD COMPARE * port=1 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060 + 8692.50ns INFO [00008694] Port=0 WR @04=575B63257074F24BEC + 8692.50ns INFO [00008694] Port=0 RD @02 + 8693.50ns INFO [00008695] * RD COMPARE * port=0 adr=02 act=0F46CD7B1F61E00450 exp=0F46CD7B1F61E00450 + 8693.50ns INFO [00008695] * RD COMPARE * port=1 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667 + 8693.50ns INFO [00008695] Port=0 WR @07=6962CB2ACC3AD44384 + 8693.50ns INFO [00008695] Port=0 RD @02 + 8694.50ns INFO [00008696] * RD COMPARE * port=0 adr=02 act=0F46CD7B1F61E00450 exp=0F46CD7B1F61E00450 + 8694.50ns INFO [00008696] Port=0 RD @03 + 8694.50ns INFO [00008696] Port=1 RD @01 + 8695.50ns INFO [00008697] * RD COMPARE * port=0 adr=02 act=0F46CD7B1F61E00450 exp=0F46CD7B1F61E00450 + 8695.50ns INFO [00008697] Port=1 RD @00 + 8696.50ns INFO [00008698] * RD COMPARE * port=0 adr=03 act=866C821139BB7DFD7D exp=866C821139BB7DFD7D + 8696.50ns INFO [00008698] * RD COMPARE * port=1 adr=01 act=026046967947938ED3 exp=026046967947938ED3 + 8696.50ns INFO [00008698] Port=0 WR @01=D383E46C5B81948843 + 8697.50ns INFO [00008699] * RD COMPARE * port=1 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060 + 8697.50ns INFO [00008699] Port=0 RD @00 + 8698.00ns INFO [00008700] [00008700] ...tick... + 8698.50ns INFO [00008700] Port=0 WR @02=0018AC7AF57C3D9C02 + 8698.50ns INFO [00008700] Port=0 RD @05 + 8698.50ns INFO [00008700] Port=1 RD @03 + 8699.50ns INFO [00008701] * RD COMPARE * port=0 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060 + 8699.50ns INFO [00008701] Port=0 WR @07=AE487B718EABA0C335 + 8699.50ns INFO [00008701] Port=0 RD @04 + 8699.50ns INFO [00008701] Port=1 RD @06 + 8700.50ns INFO [00008702] * RD COMPARE * port=0 adr=05 act=B7745B43E1677EA348 exp=B7745B43E1677EA348 + 8700.50ns INFO [00008702] * RD COMPARE * port=1 adr=03 act=866C821139BB7DFD7D exp=866C821139BB7DFD7D + 8700.50ns INFO [00008702] Port=0 WR @05=60BB3B2F2A5E6586F1 + 8700.50ns INFO [00008702] Port=0 RD @06 + 8701.50ns INFO [00008703] * RD COMPARE * port=0 adr=04 act=575B63257074F24BEC exp=575B63257074F24BEC + 8701.50ns INFO [00008703] * RD COMPARE * port=1 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C + 8701.50ns INFO [00008703] Port=0 WR @03=EC2A3762A606CFD79C + 8701.50ns INFO [00008703] Port=0 RD @00 + 8702.50ns INFO [00008704] * RD COMPARE * port=0 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C + 8702.50ns INFO [00008704] Port=1 RD @03 + 8703.50ns INFO [00008705] * RD COMPARE * port=0 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060 + 8703.50ns INFO [00008705] Port=0 RD @07 + 8704.50ns INFO [00008706] * RD COMPARE * port=1 adr=03 act=EC2A3762A606CFD79C exp=EC2A3762A606CFD79C + 8704.50ns INFO [00008706] Port=0 RD @06 + 8705.50ns INFO [00008707] * RD COMPARE * port=0 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335 + 8705.50ns INFO [00008707] Port=0 WR @01=87C9AEDCABDC2F655D + 8706.50ns INFO [00008708] * RD COMPARE * port=0 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C + 8706.50ns INFO [00008708] Port=0 RD @03 + 8707.50ns INFO [00008709] Port=1 RD @02 + 8708.50ns INFO [00008710] * RD COMPARE * port=0 adr=03 act=EC2A3762A606CFD79C exp=EC2A3762A606CFD79C + 8708.50ns INFO [00008710] Port=1 RD @06 + 8709.50ns INFO [00008711] * RD COMPARE * port=1 adr=02 act=0018AC7AF57C3D9C02 exp=0018AC7AF57C3D9C02 + 8709.50ns INFO [00008711] Port=0 RD @02 + 8710.50ns INFO [00008712] * RD COMPARE * port=1 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C + 8710.50ns INFO [00008712] Port=0 RD @04 + 8711.50ns INFO [00008713] * RD COMPARE * port=0 adr=02 act=0018AC7AF57C3D9C02 exp=0018AC7AF57C3D9C02 + 8711.50ns INFO [00008713] Port=0 RD @01 + 8712.50ns INFO [00008714] * RD COMPARE * port=0 adr=04 act=575B63257074F24BEC exp=575B63257074F24BEC + 8712.50ns INFO [00008714] Port=1 RD @07 + 8713.50ns INFO [00008715] * RD COMPARE * port=0 adr=01 act=87C9AEDCABDC2F655D exp=87C9AEDCABDC2F655D + 8714.50ns INFO [00008716] * RD COMPARE * port=1 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335 + 8714.50ns INFO [00008716] Port=0 RD @01 + 8715.50ns INFO [00008717] Port=0 WR @05=8CA5B3ED693CAACBEE + 8715.50ns INFO [00008717] Port=0 RD @04 + 8716.50ns INFO [00008718] * RD COMPARE * port=0 adr=01 act=87C9AEDCABDC2F655D exp=87C9AEDCABDC2F655D + 8716.50ns INFO [00008718] Port=0 RD @03 + 8717.50ns INFO [00008719] * RD COMPARE * port=0 adr=04 act=575B63257074F24BEC exp=575B63257074F24BEC + 8717.50ns INFO [00008719] Port=0 WR @05=D32FD333FE0A622987 + 8717.50ns INFO [00008719] Port=1 RD @01 + 8718.50ns INFO [00008720] * RD COMPARE * port=0 adr=03 act=EC2A3762A606CFD79C exp=EC2A3762A606CFD79C + 8718.50ns INFO [00008720] Port=0 RD @03 + 8719.50ns INFO [00008721] * RD COMPARE * port=1 adr=01 act=87C9AEDCABDC2F655D exp=87C9AEDCABDC2F655D + 8720.50ns INFO [00008722] * RD COMPARE * port=0 adr=03 act=EC2A3762A606CFD79C exp=EC2A3762A606CFD79C + 8720.50ns INFO [00008722] Port=0 RD @07 + 8721.50ns INFO [00008723] Port=0 WR @02=065CC484150845364A + 8721.50ns INFO [00008723] Port=1 RD @00 + 8722.50ns INFO [00008724] * RD COMPARE * port=0 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335 + 8722.50ns INFO [00008724] Port=0 WR @03=BB53E4EE137289BD7D + 8723.50ns INFO [00008725] * RD COMPARE * port=1 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060 + 8723.50ns INFO [00008725] Port=0 RD @00 + 8724.50ns INFO [00008726] Port=0 RD @07 + 8725.50ns INFO [00008727] * RD COMPARE * port=0 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060 + 8725.50ns INFO [00008727] Port=0 WR @05=A4BEB317E0C33244E1 + 8726.50ns INFO [00008728] * RD COMPARE * port=0 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335 + 8726.50ns INFO [00008728] Port=0 WR @01=844CF78A36256115CB + 8726.50ns INFO [00008728] Port=1 RD @02 + 8728.50ns INFO [00008730] * RD COMPARE * port=1 adr=02 act=065CC484150845364A exp=065CC484150845364A + 8730.50ns INFO [00008732] Port=0 RD @04 + 8732.50ns INFO [00008734] * RD COMPARE * port=0 adr=04 act=575B63257074F24BEC exp=575B63257074F24BEC + 8732.50ns INFO [00008734] Port=0 RD @03 + 8732.50ns INFO [00008734] Port=1 RD @02 + 8733.50ns INFO [00008735] Port=0 WR @01=4F4A9E68E22BF17096 + 8733.50ns INFO [00008735] Port=0 RD @07 + 8733.50ns INFO [00008735] Port=1 RD @03 + 8734.50ns INFO [00008736] * RD COMPARE * port=0 adr=03 act=BB53E4EE137289BD7D exp=BB53E4EE137289BD7D + 8734.50ns INFO [00008736] * RD COMPARE * port=1 adr=02 act=065CC484150845364A exp=065CC484150845364A + 8734.50ns INFO [00008736] Port=0 WR @03=E2DCF7EE9F319A601A + 8734.50ns INFO [00008736] Port=1 RD @05 + 8735.50ns INFO [00008737] * RD COMPARE * port=0 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335 + 8735.50ns INFO [00008737] * RD COMPARE * port=1 adr=03 act=BB53E4EE137289BD7D exp=BB53E4EE137289BD7D + 8736.50ns INFO [00008738] * RD COMPARE * port=1 adr=05 act=A4BEB317E0C33244E1 exp=A4BEB317E0C33244E1 + 8736.50ns INFO [00008738] Port=0 WR @06=AF0E77D07177C6CCD9 + 8736.50ns INFO [00008738] Port=0 RD @03 + 8737.50ns INFO [00008739] Port=0 RD @03 + 8738.50ns INFO [00008740] * RD COMPARE * port=0 adr=03 act=E2DCF7EE9F319A601A exp=E2DCF7EE9F319A601A + 8739.50ns INFO [00008741] * RD COMPARE * port=0 adr=03 act=E2DCF7EE9F319A601A exp=E2DCF7EE9F319A601A + 8739.50ns INFO [00008741] Port=0 RD @02 + 8740.50ns INFO [00008742] Port=0 WR @03=CB8040B41487433AC6 + 8740.50ns INFO [00008742] Port=0 RD @00 + 8741.50ns INFO [00008743] * RD COMPARE * port=0 adr=02 act=065CC484150845364A exp=065CC484150845364A + 8741.50ns INFO [00008743] Port=1 RD @05 + 8742.50ns INFO [00008744] * RD COMPARE * port=0 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060 + 8742.50ns INFO [00008744] Port=1 RD @07 + 8743.50ns INFO [00008745] * RD COMPARE * port=1 adr=05 act=A4BEB317E0C33244E1 exp=A4BEB317E0C33244E1 + 8743.50ns INFO [00008745] Port=1 RD @01 + 8744.50ns INFO [00008746] * RD COMPARE * port=1 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335 + 8744.50ns INFO [00008746] Port=0 WR @07=7ED6CD6CB26A694A7B + 8744.50ns INFO [00008746] Port=1 RD @01 + 8745.50ns INFO [00008747] * RD COMPARE * port=1 adr=01 act=4F4A9E68E22BF17096 exp=4F4A9E68E22BF17096 + 8745.50ns INFO [00008747] Port=0 WR @04=4E4565EA3A2EC9F0A0 + 8745.50ns INFO [00008747] Port=0 RD @02 + 8745.50ns INFO [00008747] Port=1 RD @06 + 8746.50ns INFO [00008748] * RD COMPARE * port=1 adr=01 act=4F4A9E68E22BF17096 exp=4F4A9E68E22BF17096 + 8746.50ns INFO [00008748] Port=0 WR @00=51919BD3A10D463156 + 8747.50ns INFO [00008749] * RD COMPARE * port=0 adr=02 act=065CC484150845364A exp=065CC484150845364A + 8747.50ns INFO [00008749] * RD COMPARE * port=1 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9 + 8747.50ns INFO [00008749] Port=0 RD @03 + 8747.50ns INFO [00008749] Port=1 RD @01 + 8748.50ns INFO [00008750] Port=1 RD @05 + 8749.50ns INFO [00008751] * RD COMPARE * port=0 adr=03 act=CB8040B41487433AC6 exp=CB8040B41487433AC6 + 8749.50ns INFO [00008751] * RD COMPARE * port=1 adr=01 act=4F4A9E68E22BF17096 exp=4F4A9E68E22BF17096 + 8749.50ns INFO [00008751] Port=0 WR @05=2AEC73196A757C9DF0 + 8750.50ns INFO [00008752] * RD COMPARE * port=1 adr=05 act=A4BEB317E0C33244E1 exp=A4BEB317E0C33244E1 + 8750.50ns INFO [00008752] Port=0 WR @05=9B17A3228E48BF2472 + 8751.50ns INFO [00008753] Port=0 RD @06 + 8752.50ns INFO [00008754] Port=0 RD @05 + 8753.50ns INFO [00008755] * RD COMPARE * port=0 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9 + 8753.50ns INFO [00008755] Port=0 WR @05=AA6C01A10DE94CF04D + 8754.50ns INFO [00008756] * RD COMPARE * port=0 adr=05 act=9B17A3228E48BF2472 exp=9B17A3228E48BF2472 + 8754.50ns INFO [00008756] Port=0 WR @05=728CD1AA106C1F9E31 + 8754.50ns INFO [00008756] Port=0 RD @01 + 8755.50ns INFO [00008757] Port=1 RD @02 + 8756.50ns INFO [00008758] * RD COMPARE * port=0 adr=01 act=4F4A9E68E22BF17096 exp=4F4A9E68E22BF17096 + 8757.50ns INFO [00008759] * RD COMPARE * port=1 adr=02 act=065CC484150845364A exp=065CC484150845364A + 8757.50ns INFO [00008759] Port=0 RD @02 + 8758.50ns INFO [00008760] Port=0 RD @00 + 8758.50ns INFO [00008760] Port=1 RD @03 + 8759.50ns INFO [00008761] * RD COMPARE * port=0 adr=02 act=065CC484150845364A exp=065CC484150845364A + 8760.50ns INFO [00008762] * RD COMPARE * port=0 adr=00 act=51919BD3A10D463156 exp=51919BD3A10D463156 + 8760.50ns INFO [00008762] * RD COMPARE * port=1 adr=03 act=CB8040B41487433AC6 exp=CB8040B41487433AC6 + 8760.50ns INFO [00008762] Port=0 WR @00=62898CBAFA7480ECE0 + 8760.50ns INFO [00008762] Port=1 RD @03 + 8761.50ns INFO [00008763] Port=0 WR @04=A34A73DEED44459ED2 + 8761.50ns INFO [00008763] Port=0 RD @07 + 8762.50ns INFO [00008764] * RD COMPARE * port=1 adr=03 act=CB8040B41487433AC6 exp=CB8040B41487433AC6 + 8762.50ns INFO [00008764] Port=0 WR @03=F2618F849312DCDF32 + 8763.50ns INFO [00008765] * RD COMPARE * port=0 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B + 8763.50ns INFO [00008765] Port=0 WR @01=C1D1FF945CD02E0F36 + 8763.50ns INFO [00008765] Port=0 RD @02 + 8764.50ns INFO [00008766] Port=0 WR @01=9FE61BB13086A29E41 + 8764.50ns INFO [00008766] Port=0 RD @06 + 8765.50ns INFO [00008767] * RD COMPARE * port=0 adr=02 act=065CC484150845364A exp=065CC484150845364A + 8765.50ns INFO [00008767] Port=0 WR @04=E53E581D1CE099DF78 + 8765.50ns INFO [00008767] Port=0 RD @03 + 8766.50ns INFO [00008768] * RD COMPARE * port=0 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9 + 8766.50ns INFO [00008768] Port=1 RD @01 + 8767.50ns INFO [00008769] * RD COMPARE * port=0 adr=03 act=F2618F849312DCDF32 exp=F2618F849312DCDF32 + 8767.50ns INFO [00008769] Port=1 RD @01 + 8768.50ns INFO [00008770] * RD COMPARE * port=1 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41 + 8768.50ns INFO [00008770] Port=1 RD @04 + 8769.50ns INFO [00008771] * RD COMPARE * port=1 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41 + 8769.50ns INFO [00008771] Port=0 WR @03=E00A2C618D09EC86F5 + 8769.50ns INFO [00008771] Port=0 RD @02 + 8770.50ns INFO [00008772] * RD COMPARE * port=1 adr=04 act=E53E581D1CE099DF78 exp=E53E581D1CE099DF78 + 8770.50ns INFO [00008772] Port=0 RD @07 + 8771.50ns INFO [00008773] * RD COMPARE * port=0 adr=02 act=065CC484150845364A exp=065CC484150845364A + 8771.50ns INFO [00008773] Port=0 RD @00 + 8772.50ns INFO [00008774] * RD COMPARE * port=0 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B + 8772.50ns INFO [00008774] Port=0 WR @05=A2071C29DA2393284C + 8772.50ns INFO [00008774] Port=1 RD @06 + 8773.50ns INFO [00008775] * RD COMPARE * port=0 adr=00 act=62898CBAFA7480ECE0 exp=62898CBAFA7480ECE0 + 8773.50ns INFO [00008775] Port=0 RD @01 + 8774.50ns INFO [00008776] * RD COMPARE * port=1 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9 + 8774.50ns INFO [00008776] Port=0 RD @00 + 8775.50ns INFO [00008777] * RD COMPARE * port=0 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41 + 8775.50ns INFO [00008777] Port=0 RD @04 + 8776.50ns INFO [00008778] * RD COMPARE * port=0 adr=00 act=62898CBAFA7480ECE0 exp=62898CBAFA7480ECE0 + 8776.50ns INFO [00008778] Port=0 WR @05=A11DBBCB1437874405 + 8776.50ns INFO [00008778] Port=0 RD @06 + 8776.50ns INFO [00008778] Port=1 RD @02 + 8777.50ns INFO [00008779] * RD COMPARE * port=0 adr=04 act=E53E581D1CE099DF78 exp=E53E581D1CE099DF78 + 8777.50ns INFO [00008779] Port=0 WR @02=FFC0120BD362D2F54D + 8777.50ns INFO [00008779] Port=0 RD @01 + 8778.50ns INFO [00008780] * RD COMPARE * port=0 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9 + 8778.50ns INFO [00008780] * RD COMPARE * port=1 adr=02 act=065CC484150845364A exp=065CC484150845364A + 8778.50ns INFO [00008780] Port=1 RD @01 + 8779.50ns INFO [00008781] * RD COMPARE * port=0 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41 + 8780.50ns INFO [00008782] * RD COMPARE * port=1 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41 + 8781.50ns INFO [00008783] Port=0 WR @04=D4DF9A266006343661 + 8781.50ns INFO [00008783] Port=0 RD @00 + 8781.50ns INFO [00008783] Port=1 RD @01 + 8782.50ns INFO [00008784] Port=1 RD @05 + 8783.50ns INFO [00008785] * RD COMPARE * port=0 adr=00 act=62898CBAFA7480ECE0 exp=62898CBAFA7480ECE0 + 8783.50ns INFO [00008785] * RD COMPARE * port=1 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41 + 8783.50ns INFO [00008785] Port=0 WR @01=D99B64830651CC6B1C + 8784.50ns INFO [00008786] * RD COMPARE * port=1 adr=05 act=A11DBBCB1437874405 exp=A11DBBCB1437874405 + 8784.50ns INFO [00008786] Port=0 RD @01 + 8786.50ns INFO [00008788] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C + 8786.50ns INFO [00008788] Port=1 RD @03 + 8788.50ns INFO [00008790] * RD COMPARE * port=1 adr=03 act=E00A2C618D09EC86F5 exp=E00A2C618D09EC86F5 + 8788.50ns INFO [00008790] Port=0 WR @03=24E59C927E0D505C36 + 8789.50ns INFO [00008791] Port=0 WR @02=32A47450BC69747C7B + 8789.50ns INFO [00008791] Port=0 RD @01 + 8789.50ns INFO [00008791] Port=1 RD @04 + 8790.50ns INFO [00008792] Port=0 RD @07 + 8791.50ns INFO [00008793] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C + 8791.50ns INFO [00008793] * RD COMPARE * port=1 adr=04 act=D4DF9A266006343661 exp=D4DF9A266006343661 + 8791.50ns INFO [00008793] Port=0 WR @03=1182EC5181AB3D2413 + 8791.50ns INFO [00008793] Port=0 RD @01 + 8791.50ns INFO [00008793] Port=1 RD @05 + 8792.50ns INFO [00008794] * RD COMPARE * port=0 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B + 8793.50ns INFO [00008795] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C + 8793.50ns INFO [00008795] * RD COMPARE * port=1 adr=05 act=A11DBBCB1437874405 exp=A11DBBCB1437874405 + 8793.50ns INFO [00008795] Port=0 RD @04 + 8793.50ns INFO [00008795] Port=1 RD @05 + 8794.50ns INFO [00008796] Port=0 WR @02=B7BDB97E633223B353 + 8794.50ns INFO [00008796] Port=1 RD @04 + 8795.50ns INFO [00008797] * RD COMPARE * port=0 adr=04 act=D4DF9A266006343661 exp=D4DF9A266006343661 + 8795.50ns INFO [00008797] * RD COMPARE * port=1 adr=05 act=A11DBBCB1437874405 exp=A11DBBCB1437874405 + 8795.50ns INFO [00008797] Port=0 WR @03=1B8267B0A08959DDCA + 8795.50ns INFO [00008797] Port=0 RD @04 + 8795.50ns INFO [00008797] Port=1 RD @04 + 8796.50ns INFO [00008798] * RD COMPARE * port=1 adr=04 act=D4DF9A266006343661 exp=D4DF9A266006343661 + 8796.50ns INFO [00008798] Port=0 RD @00 + 8797.50ns INFO [00008799] * RD COMPARE * port=0 adr=04 act=D4DF9A266006343661 exp=D4DF9A266006343661 + 8797.50ns INFO [00008799] * RD COMPARE * port=1 adr=04 act=D4DF9A266006343661 exp=D4DF9A266006343661 + 8797.50ns INFO [00008799] Port=0 RD @06 + 8798.00ns INFO [00008800] [00008800] ...tick... + 8798.50ns INFO [00008800] * RD COMPARE * port=0 adr=00 act=62898CBAFA7480ECE0 exp=62898CBAFA7480ECE0 + 8798.50ns INFO [00008800] Port=1 RD @07 + 8799.50ns INFO [00008801] * RD COMPARE * port=0 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9 + 8799.50ns INFO [00008801] Port=0 RD @05 + 8800.50ns INFO [00008802] * RD COMPARE * port=1 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B + 8800.50ns INFO [00008802] Port=0 WR @00=C3E02CE01739FE9887 + 8801.50ns INFO [00008803] * RD COMPARE * port=0 adr=05 act=A11DBBCB1437874405 exp=A11DBBCB1437874405 + 8802.50ns INFO [00008804] Port=0 WR @02=D2F4D5EC2B83BFA261 + 8802.50ns INFO [00008804] Port=0 RD @07 + 8804.50ns INFO [00008806] * RD COMPARE * port=0 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B + 8804.50ns INFO [00008806] Port=0 WR @04=935490A3940BB4A57D + 8804.50ns INFO [00008806] Port=1 RD @06 + 8806.50ns INFO [00008808] * RD COMPARE * port=1 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9 + 8806.50ns INFO [00008808] Port=0 RD @01 + 8807.50ns INFO [00008809] Port=0 RD @07 + 8808.50ns INFO [00008810] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C + 8808.50ns INFO [00008810] Port=1 RD @05 + 8809.50ns INFO [00008811] * RD COMPARE * port=0 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B + 8809.50ns INFO [00008811] Port=0 WR @04=3197B46FC539261C03 + 8809.50ns INFO [00008811] Port=0 RD @01 + 8810.50ns INFO [00008812] * RD COMPARE * port=1 adr=05 act=A11DBBCB1437874405 exp=A11DBBCB1437874405 + 8810.50ns INFO [00008812] Port=0 WR @02=46ED2483B1254E2A44 + 8811.50ns INFO [00008813] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C + 8811.50ns INFO [00008813] Port=0 RD @01 + 8811.50ns INFO [00008813] Port=1 RD @01 + 8812.50ns INFO [00008814] Port=1 RD @06 + 8813.50ns INFO [00008815] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C + 8813.50ns INFO [00008815] * RD COMPARE * port=1 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C + 8813.50ns INFO [00008815] Port=0 WR @07=B14673FD68AE0B6D45 + 8814.50ns INFO [00008816] * RD COMPARE * port=1 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9 + 8814.50ns INFO [00008816] Port=0 RD @07 + 8815.50ns INFO [00008817] Port=0 WR @00=FA212BF68CB9F01753 + 8816.50ns INFO [00008818] * RD COMPARE * port=0 adr=07 act=B14673FD68AE0B6D45 exp=B14673FD68AE0B6D45 + 8816.50ns INFO [00008818] Port=1 RD @02 + 8817.50ns INFO [00008819] Port=0 WR @05=F75FED768EDC1AF595 + 8818.50ns INFO [00008820] * RD COMPARE * port=1 adr=02 act=46ED2483B1254E2A44 exp=46ED2483B1254E2A44 + 8818.50ns INFO [00008820] Port=0 RD @04 + 8818.50ns INFO [00008820] Port=1 RD @00 + 8819.50ns INFO [00008821] Port=0 WR @03=03F9F135D79F966E1C + 8820.50ns INFO [00008822] * RD COMPARE * port=0 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03 + 8820.50ns INFO [00008822] * RD COMPARE * port=1 adr=00 act=FA212BF68CB9F01753 exp=FA212BF68CB9F01753 + 8820.50ns INFO [00008822] Port=0 RD @04 + 8821.50ns INFO [00008823] Port=0 RD @05 + 8822.50ns INFO [00008824] * RD COMPARE * port=0 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03 + 8823.50ns INFO [00008825] * RD COMPARE * port=0 adr=05 act=F75FED768EDC1AF595 exp=F75FED768EDC1AF595 + 8823.50ns INFO [00008825] Port=1 RD @07 + 8824.50ns INFO [00008826] Port=1 RD @02 + 8825.50ns INFO [00008827] * RD COMPARE * port=1 adr=07 act=B14673FD68AE0B6D45 exp=B14673FD68AE0B6D45 + 8826.50ns INFO [00008828] * RD COMPARE * port=1 adr=02 act=46ED2483B1254E2A44 exp=46ED2483B1254E2A44 + 8826.50ns INFO [00008828] Port=0 WR @06=F0C8A68590D49F2A01 + 8826.50ns INFO [00008828] Port=0 RD @05 + 8826.50ns INFO [00008828] Port=1 RD @03 + 8827.50ns INFO [00008829] Port=0 RD @02 + 8827.50ns INFO [00008829] Port=1 RD @06 + 8828.50ns INFO [00008830] * RD COMPARE * port=0 adr=05 act=F75FED768EDC1AF595 exp=F75FED768EDC1AF595 + 8828.50ns INFO [00008830] * RD COMPARE * port=1 adr=03 act=03F9F135D79F966E1C exp=03F9F135D79F966E1C + 8828.50ns INFO [00008830] Port=0 RD @01 + 8828.50ns INFO [00008830] Port=1 RD @06 + 8829.50ns INFO [00008831] * RD COMPARE * port=0 adr=02 act=46ED2483B1254E2A44 exp=46ED2483B1254E2A44 + 8829.50ns INFO [00008831] * RD COMPARE * port=1 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01 + 8829.50ns INFO [00008831] Port=0 RD @04 + 8830.50ns INFO [00008832] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C + 8830.50ns INFO [00008832] * RD COMPARE * port=1 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01 + 8831.50ns INFO [00008833] * RD COMPARE * port=0 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03 + 8831.50ns INFO [00008833] Port=1 RD @04 + 8832.50ns INFO [00008834] Port=0 WR @02=31720A69322FC4F30F + 8832.50ns INFO [00008834] Port=1 RD @04 + 8833.50ns INFO [00008835] * RD COMPARE * port=1 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03 + 8834.50ns INFO [00008836] * RD COMPARE * port=1 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03 + 8834.50ns INFO [00008836] Port=0 WR @03=FD7DFA33067EB2D528 + 8835.50ns INFO [00008837] Port=0 RD @06 + 8836.50ns INFO [00008838] Port=0 RD @03 + 8837.50ns INFO [00008839] * RD COMPARE * port=0 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01 + 8837.50ns INFO [00008839] Port=0 WR @00=66AEFC07794B23D794 + 8837.50ns INFO [00008839] Port=0 RD @02 + 8838.50ns INFO [00008840] * RD COMPARE * port=0 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8838.50ns INFO [00008840] Port=0 RD @05 + 8839.50ns INFO [00008841] * RD COMPARE * port=0 adr=02 act=31720A69322FC4F30F exp=31720A69322FC4F30F + 8839.50ns INFO [00008841] Port=0 WR @07=98AE1F2DF2A1A16C6A + 8840.50ns INFO [00008842] * RD COMPARE * port=0 adr=05 act=F75FED768EDC1AF595 exp=F75FED768EDC1AF595 + 8840.50ns INFO [00008842] Port=0 WR @07=5C117776E510CE5113 + 8840.50ns INFO [00008842] Port=0 RD @06 + 8840.50ns INFO [00008842] Port=1 RD @03 + 8841.50ns INFO [00008843] Port=1 RD @06 + 8842.50ns INFO [00008844] * RD COMPARE * port=0 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01 + 8842.50ns INFO [00008844] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8842.50ns INFO [00008844] Port=1 RD @00 + 8843.50ns INFO [00008845] * RD COMPARE * port=1 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01 + 8843.50ns INFO [00008845] Port=0 WR @02=B6AAD6906D7BD2BD8F + 8844.50ns INFO [00008846] * RD COMPARE * port=1 adr=00 act=66AEFC07794B23D794 exp=66AEFC07794B23D794 + 8844.50ns INFO [00008846] Port=0 WR @00=394EDE9ABB647D6499 + 8844.50ns INFO [00008846] Port=0 RD @06 + 8844.50ns INFO [00008846] Port=1 RD @04 + 8845.50ns INFO [00008847] Port=1 RD @03 + 8846.50ns INFO [00008848] * RD COMPARE * port=0 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01 + 8846.50ns INFO [00008848] * RD COMPARE * port=1 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03 + 8847.50ns INFO [00008849] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8847.50ns INFO [00008849] Port=0 RD @06 + 8847.50ns INFO [00008849] Port=1 RD @03 + 8848.50ns INFO [00008850] Port=1 RD @06 + 8849.50ns INFO [00008851] * RD COMPARE * port=0 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01 + 8849.50ns INFO [00008851] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8849.50ns INFO [00008851] Port=0 WR @04=AB427466878278E4A2 + 8849.50ns INFO [00008851] Port=0 RD @01 + 8850.50ns INFO [00008852] * RD COMPARE * port=1 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01 + 8850.50ns INFO [00008852] Port=1 RD @07 + 8851.50ns INFO [00008853] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C + 8851.50ns INFO [00008853] Port=1 RD @03 + 8852.50ns INFO [00008854] * RD COMPARE * port=1 adr=07 act=5C117776E510CE5113 exp=5C117776E510CE5113 + 8852.50ns INFO [00008854] Port=0 WR @02=531DDAEFBE7F401EE0 + 8853.50ns INFO [00008855] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8854.50ns INFO [00008856] Port=1 RD @03 + 8855.50ns INFO [00008857] Port=0 RD @02 + 8856.50ns INFO [00008858] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8856.50ns INFO [00008858] Port=0 WR @01=6FB3AC517881FE0D71 + 8856.50ns INFO [00008858] Port=1 RD @05 + 8857.50ns INFO [00008859] * RD COMPARE * port=0 adr=02 act=531DDAEFBE7F401EE0 exp=531DDAEFBE7F401EE0 + 8857.50ns INFO [00008859] Port=0 WR @02=A19408C9043DE0601E + 8857.50ns INFO [00008859] Port=1 RD @03 + 8858.50ns INFO [00008860] * RD COMPARE * port=1 adr=05 act=F75FED768EDC1AF595 exp=F75FED768EDC1AF595 + 8858.50ns INFO [00008860] Port=0 RD @00 + 8858.50ns INFO [00008860] Port=1 RD @05 + 8859.50ns INFO [00008861] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8859.50ns INFO [00008861] Port=0 WR @06=FF077DD7753A766672 + 8859.50ns INFO [00008861] Port=1 RD @04 + 8860.50ns INFO [00008862] * RD COMPARE * port=0 adr=00 act=394EDE9ABB647D6499 exp=394EDE9ABB647D6499 + 8860.50ns INFO [00008862] * RD COMPARE * port=1 adr=05 act=F75FED768EDC1AF595 exp=F75FED768EDC1AF595 + 8860.50ns INFO [00008862] Port=0 RD @07 + 8860.50ns INFO [00008862] Port=1 RD @00 + 8861.50ns INFO [00008863] * RD COMPARE * port=1 adr=04 act=AB427466878278E4A2 exp=AB427466878278E4A2 + 8862.50ns INFO [00008864] * RD COMPARE * port=0 adr=07 act=5C117776E510CE5113 exp=5C117776E510CE5113 + 8862.50ns INFO [00008864] * RD COMPARE * port=1 adr=00 act=394EDE9ABB647D6499 exp=394EDE9ABB647D6499 + 8862.50ns INFO [00008864] Port=0 WR @06=7469BE3A299FB988A3 + 8863.50ns INFO [00008865] Port=0 WR @02=4DCA10E320F6D7C618 + 8863.50ns INFO [00008865] Port=0 RD @00 + 8864.50ns INFO [00008866] Port=0 WR @02=4B987371E908817D8B + 8864.50ns INFO [00008866] Port=0 RD @01 + 8864.50ns INFO [00008866] Port=1 RD @04 + 8865.50ns INFO [00008867] * RD COMPARE * port=0 adr=00 act=394EDE9ABB647D6499 exp=394EDE9ABB647D6499 + 8866.50ns INFO [00008868] * RD COMPARE * port=0 adr=01 act=6FB3AC517881FE0D71 exp=6FB3AC517881FE0D71 + 8866.50ns INFO [00008868] * RD COMPARE * port=1 adr=04 act=AB427466878278E4A2 exp=AB427466878278E4A2 + 8866.50ns INFO [00008868] Port=0 RD @02 + 8867.50ns INFO [00008869] Port=1 RD @03 + 8868.50ns INFO [00008870] * RD COMPARE * port=0 adr=02 act=4B987371E908817D8B exp=4B987371E908817D8B + 8868.50ns INFO [00008870] Port=0 WR @02=B73DBC36B4DC85CE7F + 8869.50ns INFO [00008871] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8870.50ns INFO [00008872] Port=0 RD @01 + 8870.50ns INFO [00008872] Port=1 RD @00 + 8871.50ns INFO [00008873] Port=0 RD @06 + 8872.50ns INFO [00008874] * RD COMPARE * port=0 adr=01 act=6FB3AC517881FE0D71 exp=6FB3AC517881FE0D71 + 8872.50ns INFO [00008874] * RD COMPARE * port=1 adr=00 act=394EDE9ABB647D6499 exp=394EDE9ABB647D6499 + 8872.50ns INFO [00008874] Port=0 WR @00=8471F045325A749AAA + 8873.50ns INFO [00008875] * RD COMPARE * port=0 adr=06 act=7469BE3A299FB988A3 exp=7469BE3A299FB988A3 + 8874.50ns INFO [00008876] Port=0 RD @03 + 8874.50ns INFO [00008876] Port=1 RD @02 + 8875.50ns INFO [00008877] Port=0 WR @05=5628ABE9DF389A9A9B + 8875.50ns INFO [00008877] Port=1 RD @07 + 8876.50ns INFO [00008878] * RD COMPARE * port=0 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8876.50ns INFO [00008878] * RD COMPARE * port=1 adr=02 act=B73DBC36B4DC85CE7F exp=B73DBC36B4DC85CE7F + 8876.50ns INFO [00008878] Port=0 WR @00=2358F72CDE46C42469 + 8877.50ns INFO [00008879] * RD COMPARE * port=1 adr=07 act=5C117776E510CE5113 exp=5C117776E510CE5113 + 8877.50ns INFO [00008879] Port=0 WR @00=F2EDDCA3EC6C06B209 + 8877.50ns INFO [00008879] Port=1 RD @05 + 8878.50ns INFO [00008880] Port=0 WR @01=2953CA05DE7E3E6804 + 8879.50ns INFO [00008881] * RD COMPARE * port=1 adr=05 act=5628ABE9DF389A9A9B exp=5628ABE9DF389A9A9B + 8879.50ns INFO [00008881] Port=1 RD @03 + 8880.50ns INFO [00008882] Port=0 WR @02=83A113CC67D195C44D + 8880.50ns INFO [00008882] Port=1 RD @03 + 8881.50ns INFO [00008883] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8882.50ns INFO [00008884] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8882.50ns INFO [00008884] Port=0 WR @02=0766F0506E7EA12789 + 8883.50ns INFO [00008885] Port=0 RD @03 + 8884.50ns INFO [00008886] Port=1 RD @07 + 8885.50ns INFO [00008887] * RD COMPARE * port=0 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528 + 8885.50ns INFO [00008887] Port=0 WR @03=D3B0E801D8CBDEBA88 + 8886.50ns INFO [00008888] * RD COMPARE * port=1 adr=07 act=5C117776E510CE5113 exp=5C117776E510CE5113 + 8887.50ns INFO [00008889] Port=0 WR @06=CAA77AFC9BB813AEBC + 8887.50ns INFO [00008889] Port=0 RD @02 + 8888.50ns INFO [00008890] Port=0 WR @01=8F21780C4100DB2813 + 8888.50ns INFO [00008890] Port=0 RD @02 + 8889.50ns INFO [00008891] * RD COMPARE * port=0 adr=02 act=0766F0506E7EA12789 exp=0766F0506E7EA12789 + 8890.50ns INFO [00008892] * RD COMPARE * port=0 adr=02 act=0766F0506E7EA12789 exp=0766F0506E7EA12789 + 8890.50ns INFO [00008892] Port=0 WR @03=3253CC069314447CF9 + 8891.50ns INFO [00008893] Port=0 WR @00=44E1C22D00906514C9 + 8892.50ns INFO [00008894] Port=0 WR @00=25C9E210ED1EC170AB + 8892.50ns INFO [00008894] Port=0 RD @06 + 8893.50ns INFO [00008895] Port=0 WR @03=EC1A222FA8B0264D76 + 8894.50ns INFO [00008896] * RD COMPARE * port=0 adr=06 act=CAA77AFC9BB813AEBC exp=CAA77AFC9BB813AEBC + 8894.50ns INFO [00008896] Port=0 WR @05=50993CC257FBC8876E + 8894.50ns INFO [00008896] Port=0 RD @04 + 8894.50ns INFO [00008896] Port=1 RD @01 + 8895.50ns INFO [00008897] Port=0 RD @06 + 8896.50ns INFO [00008898] * RD COMPARE * port=0 adr=04 act=AB427466878278E4A2 exp=AB427466878278E4A2 + 8896.50ns INFO [00008898] * RD COMPARE * port=1 adr=01 act=8F21780C4100DB2813 exp=8F21780C4100DB2813 + 8897.50ns INFO [00008899] * RD COMPARE * port=0 adr=06 act=CAA77AFC9BB813AEBC exp=CAA77AFC9BB813AEBC + 8897.50ns INFO [00008899] Port=1 RD @03 + 8898.00ns INFO [00008900] [00008900] ...tick... + 8898.50ns INFO [00008900] Port=0 WR @05=8FA97E1CD2BC9E09FC + 8898.50ns INFO [00008900] Port=1 RD @06 + 8899.50ns INFO [00008901] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76 + 8899.50ns INFO [00008901] Port=0 WR @04=53040CF3840F4DF2D4 + 8899.50ns INFO [00008901] Port=1 RD @02 + 8900.50ns INFO [00008902] * RD COMPARE * port=1 adr=06 act=CAA77AFC9BB813AEBC exp=CAA77AFC9BB813AEBC + 8900.50ns INFO [00008902] Port=1 RD @00 + 8901.50ns INFO [00008903] * RD COMPARE * port=1 adr=02 act=0766F0506E7EA12789 exp=0766F0506E7EA12789 + 8902.50ns INFO [00008904] * RD COMPARE * port=1 adr=00 act=25C9E210ED1EC170AB exp=25C9E210ED1EC170AB + 8902.50ns INFO [00008904] Port=0 WR @07=96A3FA6A64558B3F9D + 8904.50ns INFO [00008906] Port=0 RD @05 + 8904.50ns INFO [00008906] Port=1 RD @07 + 8905.50ns INFO [00008907] Port=0 RD @06 + 8906.50ns INFO [00008908] * RD COMPARE * port=0 adr=05 act=8FA97E1CD2BC9E09FC exp=8FA97E1CD2BC9E09FC + 8906.50ns INFO [00008908] * RD COMPARE * port=1 adr=07 act=96A3FA6A64558B3F9D exp=96A3FA6A64558B3F9D + 8907.50ns INFO [00008909] * RD COMPARE * port=0 adr=06 act=CAA77AFC9BB813AEBC exp=CAA77AFC9BB813AEBC + 8907.50ns INFO [00008909] Port=0 WR @02=7D77E59373F2A2D1BE + 8909.50ns INFO [00008911] Port=0 RD @00 + 8910.50ns INFO [00008912] Port=0 WR @05=710CE568D31DD3C56B + 8910.50ns INFO [00008912] Port=0 RD @00 + 8911.50ns INFO [00008913] * RD COMPARE * port=0 adr=00 act=25C9E210ED1EC170AB exp=25C9E210ED1EC170AB + 8912.50ns INFO [00008914] * RD COMPARE * port=0 adr=00 act=25C9E210ED1EC170AB exp=25C9E210ED1EC170AB + 8912.50ns INFO [00008914] Port=0 RD @04 + 8913.50ns INFO [00008915] Port=0 WR @06=808C39A064CBBB7CFD + 8913.50ns INFO [00008915] Port=1 RD @02 + 8914.50ns INFO [00008916] * RD COMPARE * port=0 adr=04 act=53040CF3840F4DF2D4 exp=53040CF3840F4DF2D4 + 8914.50ns INFO [00008916] Port=0 RD @04 + 8914.50ns INFO [00008916] Port=1 RD @03 + 8915.50ns INFO [00008917] * RD COMPARE * port=1 adr=02 act=7D77E59373F2A2D1BE exp=7D77E59373F2A2D1BE + 8915.50ns INFO [00008917] Port=0 WR @04=7C35A98C7B8718C660 + 8916.50ns INFO [00008918] * RD COMPARE * port=0 adr=04 act=53040CF3840F4DF2D4 exp=53040CF3840F4DF2D4 + 8916.50ns INFO [00008918] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76 + 8916.50ns INFO [00008918] Port=0 WR @00=228A7D73357AB69010 + 8918.50ns INFO [00008920] Port=0 WR @01=D07CBDA7BD9EA02DDA + 8919.50ns INFO [00008921] Port=0 WR @00=D2D6DE158C163C3A01 + 8919.50ns INFO [00008921] Port=0 RD @05 + 8920.50ns INFO [00008922] Port=0 WR @06=4F8314DE6D40007AFD + 8920.50ns INFO [00008922] Port=0 RD @00 + 8921.50ns INFO [00008923] * RD COMPARE * port=0 adr=05 act=710CE568D31DD3C56B exp=710CE568D31DD3C56B + 8921.50ns INFO [00008923] Port=0 RD @01 + 8921.50ns INFO [00008923] Port=1 RD @06 + 8922.50ns INFO [00008924] * RD COMPARE * port=0 adr=00 act=D2D6DE158C163C3A01 exp=D2D6DE158C163C3A01 + 8923.50ns INFO [00008925] * RD COMPARE * port=0 adr=01 act=D07CBDA7BD9EA02DDA exp=D07CBDA7BD9EA02DDA + 8923.50ns INFO [00008925] * RD COMPARE * port=1 adr=06 act=4F8314DE6D40007AFD exp=4F8314DE6D40007AFD + 8923.50ns INFO [00008925] Port=0 RD @06 + 8923.50ns INFO [00008925] Port=1 RD @07 + 8924.50ns INFO [00008926] Port=0 RD @06 + 8924.50ns INFO [00008926] Port=1 RD @06 + 8925.50ns INFO [00008927] * RD COMPARE * port=0 adr=06 act=4F8314DE6D40007AFD exp=4F8314DE6D40007AFD + 8925.50ns INFO [00008927] * RD COMPARE * port=1 adr=07 act=96A3FA6A64558B3F9D exp=96A3FA6A64558B3F9D + 8925.50ns INFO [00008927] Port=0 WR @06=6A18F3AB587FD153AD + 8925.50ns INFO [00008927] Port=1 RD @01 + 8926.50ns INFO [00008928] * RD COMPARE * port=0 adr=06 act=4F8314DE6D40007AFD exp=4F8314DE6D40007AFD + 8926.50ns INFO [00008928] * RD COMPARE * port=1 adr=06 act=4F8314DE6D40007AFD exp=4F8314DE6D40007AFD + 8927.50ns INFO [00008929] * RD COMPARE * port=1 adr=01 act=D07CBDA7BD9EA02DDA exp=D07CBDA7BD9EA02DDA + 8927.50ns INFO [00008929] Port=1 RD @00 + 8929.50ns INFO [00008931] * RD COMPARE * port=1 adr=00 act=D2D6DE158C163C3A01 exp=D2D6DE158C163C3A01 + 8929.50ns INFO [00008931] Port=0 WR @06=D6A57D5338827C9936 + 8929.50ns INFO [00008931] Port=0 RD @04 + 8929.50ns INFO [00008931] Port=1 RD @03 + 8930.50ns INFO [00008932] Port=0 WR @07=5E3CDBA45BFC9F914E + 8931.50ns INFO [00008933] * RD COMPARE * port=0 adr=04 act=7C35A98C7B8718C660 exp=7C35A98C7B8718C660 + 8931.50ns INFO [00008933] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76 + 8931.50ns INFO [00008933] Port=0 WR @02=80B589FA4D0CB59395 + 8932.50ns INFO [00008934] Port=0 WR @06=BB3D90D4930DB693F2 + 8933.50ns INFO [00008935] Port=0 WR @00=36A251D8EC17B79904 + 8933.50ns INFO [00008935] Port=1 RD @03 + 8935.50ns INFO [00008937] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76 + 8936.50ns INFO [00008938] Port=1 RD @05 + 8937.50ns INFO [00008939] Port=1 RD @01 + 8938.50ns INFO [00008940] * RD COMPARE * port=1 adr=05 act=710CE568D31DD3C56B exp=710CE568D31DD3C56B + 8938.50ns INFO [00008940] Port=0 WR @07=81823E6E5877A51946 + 8938.50ns INFO [00008940] Port=0 RD @01 + 8939.50ns INFO [00008941] * RD COMPARE * port=1 adr=01 act=D07CBDA7BD9EA02DDA exp=D07CBDA7BD9EA02DDA + 8939.50ns INFO [00008941] Port=0 WR @07=AD82B2CA78DC50B0EB + 8939.50ns INFO [00008941] Port=1 RD @00 + 8940.50ns INFO [00008942] * RD COMPARE * port=0 adr=01 act=D07CBDA7BD9EA02DDA exp=D07CBDA7BD9EA02DDA + 8940.50ns INFO [00008942] Port=0 WR @01=CDE497DEC97B684A4A + 8940.50ns INFO [00008942] Port=0 RD @03 + 8940.50ns INFO [00008942] Port=1 RD @06 + 8941.50ns INFO [00008943] * RD COMPARE * port=1 adr=00 act=36A251D8EC17B79904 exp=36A251D8EC17B79904 + 8941.50ns INFO [00008943] Port=0 RD @03 + 8941.50ns INFO [00008943] Port=1 RD @06 + 8942.50ns INFO [00008944] * RD COMPARE * port=0 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76 + 8942.50ns INFO [00008944] * RD COMPARE * port=1 adr=06 act=BB3D90D4930DB693F2 exp=BB3D90D4930DB693F2 + 8942.50ns INFO [00008944] Port=0 WR @04=634F6AE0C49DF1698D + 8942.50ns INFO [00008944] Port=1 RD @02 + 8943.50ns INFO [00008945] * RD COMPARE * port=0 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76 + 8943.50ns INFO [00008945] * RD COMPARE * port=1 adr=06 act=BB3D90D4930DB693F2 exp=BB3D90D4930DB693F2 + 8943.50ns INFO [00008945] Port=0 WR @02=0452FC02A4590A54F3 + 8943.50ns INFO [00008945] Port=1 RD @04 + 8944.50ns INFO [00008946] * RD COMPARE * port=1 adr=02 act=80B589FA4D0CB59395 exp=80B589FA4D0CB59395 + 8945.50ns INFO [00008947] * RD COMPARE * port=1 adr=04 act=634F6AE0C49DF1698D exp=634F6AE0C49DF1698D + 8945.50ns INFO [00008947] Port=0 RD @07 + 8945.50ns INFO [00008947] Port=1 RD @02 + 8946.50ns INFO [00008948] Port=0 WR @07=68348C682535334C12 + 8946.50ns INFO [00008948] Port=0 RD @06 + 8946.50ns INFO [00008948] Port=1 RD @03 + 8947.50ns INFO [00008949] * RD COMPARE * port=0 adr=07 act=AD82B2CA78DC50B0EB exp=AD82B2CA78DC50B0EB + 8947.50ns INFO [00008949] * RD COMPARE * port=1 adr=02 act=0452FC02A4590A54F3 exp=0452FC02A4590A54F3 + 8947.50ns INFO [00008949] Port=0 WR @02=88943B07342D3DEA72 + 8947.50ns INFO [00008949] Port=1 RD @03 + 8948.50ns INFO [00008950] * RD COMPARE * port=0 adr=06 act=BB3D90D4930DB693F2 exp=BB3D90D4930DB693F2 + 8948.50ns INFO [00008950] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76 + 8948.50ns INFO [00008950] Port=1 RD @00 + 8949.50ns INFO [00008951] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76 + 8950.50ns INFO [00008952] * RD COMPARE * port=1 adr=00 act=36A251D8EC17B79904 exp=36A251D8EC17B79904 + 8950.50ns INFO [00008952] Port=0 RD @01 + 8951.50ns INFO [00008953] Port=0 WR @06=BC658E5EFE133AEEEB + 8951.50ns INFO [00008953] Port=0 RD @01 + 8952.50ns INFO [00008954] * RD COMPARE * port=0 adr=01 act=CDE497DEC97B684A4A exp=CDE497DEC97B684A4A + 8953.50ns INFO [00008955] * RD COMPARE * port=0 adr=01 act=CDE497DEC97B684A4A exp=CDE497DEC97B684A4A + 8953.50ns INFO [00008955] Port=0 WR @04=CC1F70D2B7B700FAD3 + 8953.50ns INFO [00008955] Port=0 RD @06 + 8954.50ns INFO [00008956] Port=0 WR @00=3EF8073E54FE4722C8 + 8955.50ns INFO [00008957] * RD COMPARE * port=0 adr=06 act=BC658E5EFE133AEEEB exp=BC658E5EFE133AEEEB + 8955.50ns INFO [00008957] Port=0 WR @01=52A2D7BA1203C364FB + 8956.50ns INFO [00008958] Port=1 RD @06 + 8957.50ns INFO [00008959] Port=0 RD @00 + 8958.50ns INFO [00008960] * RD COMPARE * port=1 adr=06 act=BC658E5EFE133AEEEB exp=BC658E5EFE133AEEEB + 8958.50ns INFO [00008960] Port=0 RD @03 + 8959.50ns INFO [00008961] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8 + 8960.50ns INFO [00008962] * RD COMPARE * port=0 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76 + 8960.50ns INFO [00008962] Port=1 RD @06 + 8961.50ns INFO [00008963] Port=0 WR @06=A4B3106F79165FF784 + 8961.50ns INFO [00008963] Port=1 RD @02 + 8962.50ns INFO [00008964] * RD COMPARE * port=1 adr=06 act=BC658E5EFE133AEEEB exp=BC658E5EFE133AEEEB + 8962.50ns INFO [00008964] Port=0 RD @07 + 8963.50ns INFO [00008965] * RD COMPARE * port=1 adr=02 act=88943B07342D3DEA72 exp=88943B07342D3DEA72 + 8963.50ns INFO [00008965] Port=1 RD @02 + 8964.50ns INFO [00008966] * RD COMPARE * port=0 adr=07 act=68348C682535334C12 exp=68348C682535334C12 + 8965.50ns INFO [00008967] * RD COMPARE * port=1 adr=02 act=88943B07342D3DEA72 exp=88943B07342D3DEA72 + 8965.50ns INFO [00008967] Port=0 RD @07 + 8965.50ns INFO [00008967] Port=1 RD @04 + 8967.50ns INFO [00008969] * RD COMPARE * port=0 adr=07 act=68348C682535334C12 exp=68348C682535334C12 + 8967.50ns INFO [00008969] * RD COMPARE * port=1 adr=04 act=CC1F70D2B7B700FAD3 exp=CC1F70D2B7B700FAD3 + 8967.50ns INFO [00008969] Port=0 RD @02 + 8967.50ns INFO [00008969] Port=1 RD @01 + 8968.50ns INFO [00008970] Port=0 RD @02 + 8969.50ns INFO [00008971] * RD COMPARE * port=0 adr=02 act=88943B07342D3DEA72 exp=88943B07342D3DEA72 + 8969.50ns INFO [00008971] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 8969.50ns INFO [00008971] Port=0 WR @03=0EDF59E23B38A5DE60 + 8969.50ns INFO [00008971] Port=0 RD @00 + 8969.50ns INFO [00008971] Port=1 RD @00 + 8970.50ns INFO [00008972] * RD COMPARE * port=0 adr=02 act=88943B07342D3DEA72 exp=88943B07342D3DEA72 + 8970.50ns INFO [00008972] Port=0 WR @02=0E11309E84CCB9F2D8 + 8970.50ns INFO [00008972] Port=0 RD @00 + 8971.50ns INFO [00008973] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8 + 8971.50ns INFO [00008973] * RD COMPARE * port=1 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8 + 8972.50ns INFO [00008974] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8 + 8972.50ns INFO [00008974] Port=0 WR @02=7BC0299DC34A507B0E + 8972.50ns INFO [00008974] Port=1 RD @06 + 8974.50ns INFO [00008976] * RD COMPARE * port=1 adr=06 act=A4B3106F79165FF784 exp=A4B3106F79165FF784 + 8974.50ns INFO [00008976] Port=0 WR @04=D0CF06366633402B25 + 8974.50ns INFO [00008976] Port=0 RD @00 + 8975.50ns INFO [00008977] Port=0 RD @01 + 8976.50ns INFO [00008978] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8 + 8976.50ns INFO [00008978] Port=1 RD @03 + 8977.50ns INFO [00008979] * RD COMPARE * port=0 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 8978.50ns INFO [00008980] * RD COMPARE * port=1 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60 + 8978.50ns INFO [00008980] Port=0 RD @04 + 8979.50ns INFO [00008981] Port=1 RD @03 + 8980.50ns INFO [00008982] * RD COMPARE * port=0 adr=04 act=D0CF06366633402B25 exp=D0CF06366633402B25 + 8980.50ns INFO [00008982] Port=0 RD @01 + 8980.50ns INFO [00008982] Port=1 RD @06 + 8981.50ns INFO [00008983] * RD COMPARE * port=1 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60 + 8982.50ns INFO [00008984] * RD COMPARE * port=0 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 8982.50ns INFO [00008984] * RD COMPARE * port=1 adr=06 act=A4B3106F79165FF784 exp=A4B3106F79165FF784 + 8982.50ns INFO [00008984] Port=0 WR @05=4227CDF2F42E6E187B + 8982.50ns INFO [00008984] Port=1 RD @01 + 8983.50ns INFO [00008985] Port=0 RD @00 + 8984.50ns INFO [00008986] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 8985.50ns INFO [00008987] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8 + 8985.50ns INFO [00008987] Port=1 RD @06 + 8987.50ns INFO [00008989] * RD COMPARE * port=1 adr=06 act=A4B3106F79165FF784 exp=A4B3106F79165FF784 + 8987.50ns INFO [00008989] Port=0 RD @02 + 8987.50ns INFO [00008989] Port=1 RD @02 + 8988.50ns INFO [00008990] Port=0 WR @06=775D63432B6439EA66 + 8988.50ns INFO [00008990] Port=0 RD @00 + 8989.50ns INFO [00008991] * RD COMPARE * port=0 adr=02 act=7BC0299DC34A507B0E exp=7BC0299DC34A507B0E + 8989.50ns INFO [00008991] * RD COMPARE * port=1 adr=02 act=7BC0299DC34A507B0E exp=7BC0299DC34A507B0E + 8989.50ns INFO [00008991] Port=0 RD @01 + 8989.50ns INFO [00008991] Port=1 RD @03 + 8990.50ns INFO [00008992] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8 + 8990.50ns INFO [00008992] Port=0 WR @00=6906D351399762D591 + 8990.50ns INFO [00008992] Port=0 RD @05 + 8991.50ns INFO [00008993] * RD COMPARE * port=0 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 8991.50ns INFO [00008993] * RD COMPARE * port=1 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60 + 8991.50ns INFO [00008993] Port=0 RD @03 + 8992.50ns INFO [00008994] * RD COMPARE * port=0 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B + 8992.50ns INFO [00008994] Port=0 RD @06 + 8993.50ns INFO [00008995] * RD COMPARE * port=0 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60 + 8993.50ns INFO [00008995] Port=0 RD @03 + 8994.50ns INFO [00008996] * RD COMPARE * port=0 adr=06 act=775D63432B6439EA66 exp=775D63432B6439EA66 + 8994.50ns INFO [00008996] Port=0 RD @07 + 8995.50ns INFO [00008997] * RD COMPARE * port=0 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60 + 8995.50ns INFO [00008997] Port=0 WR @00=933AF13B48F0EE07F6 + 8995.50ns INFO [00008997] Port=1 RD @03 + 8996.50ns INFO [00008998] * RD COMPARE * port=0 adr=07 act=68348C682535334C12 exp=68348C682535334C12 + 8996.50ns INFO [00008998] Port=0 WR @06=6C3643D128B5D9FB6B + 8996.50ns INFO [00008998] Port=1 RD @03 + 8997.50ns INFO [00008999] * RD COMPARE * port=1 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60 + 8997.50ns INFO [00008999] Port=0 WR @03=BD5233C2545C37DD3C + 8998.00ns INFO [00009000] [00009000] ...tick... + 8998.50ns INFO [00009000] * RD COMPARE * port=1 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60 + 8998.50ns INFO [00009000] Port=0 RD @05 + 8999.50ns INFO [00009001] Port=1 RD @02 + 9000.50ns INFO [00009002] * RD COMPARE * port=0 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B + 9000.50ns INFO [00009002] Port=0 WR @02=9F99FC679349153D04 + 9001.50ns INFO [00009003] * RD COMPARE * port=1 adr=02 act=7BC0299DC34A507B0E exp=7BC0299DC34A507B0E + 9001.50ns INFO [00009003] Port=0 RD @05 + 9002.50ns INFO [00009004] Port=1 RD @00 + 9003.50ns INFO [00009005] * RD COMPARE * port=0 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B + 9003.50ns INFO [00009005] Port=1 RD @03 + 9004.50ns INFO [00009006] * RD COMPARE * port=1 adr=00 act=933AF13B48F0EE07F6 exp=933AF13B48F0EE07F6 + 9004.50ns INFO [00009006] Port=0 RD @04 + 9004.50ns INFO [00009006] Port=1 RD @01 + 9005.50ns INFO [00009007] * RD COMPARE * port=1 adr=03 act=BD5233C2545C37DD3C exp=BD5233C2545C37DD3C + 9005.50ns INFO [00009007] Port=0 WR @06=B509CCD36D3597764E + 9005.50ns INFO [00009007] Port=1 RD @05 + 9006.50ns INFO [00009008] * RD COMPARE * port=0 adr=04 act=D0CF06366633402B25 exp=D0CF06366633402B25 + 9006.50ns INFO [00009008] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 9006.50ns INFO [00009008] Port=0 WR @04=5F50C7A440B28DE066 + 9006.50ns INFO [00009008] Port=1 RD @06 + 9007.50ns INFO [00009009] * RD COMPARE * port=1 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B + 9007.50ns INFO [00009009] Port=1 RD @04 + 9008.50ns INFO [00009010] * RD COMPARE * port=1 adr=06 act=B509CCD36D3597764E exp=B509CCD36D3597764E + 9008.50ns INFO [00009010] Port=0 RD @06 + 9009.50ns INFO [00009011] * RD COMPARE * port=1 adr=04 act=5F50C7A440B28DE066 exp=5F50C7A440B28DE066 + 9010.50ns INFO [00009012] * RD COMPARE * port=0 adr=06 act=B509CCD36D3597764E exp=B509CCD36D3597764E + 9010.50ns INFO [00009012] Port=1 RD @07 + 9011.50ns INFO [00009013] Port=0 WR @02=D854F0F07B876CEB94 + 9012.50ns INFO [00009014] * RD COMPARE * port=1 adr=07 act=68348C682535334C12 exp=68348C682535334C12 + 9012.50ns INFO [00009014] Port=0 WR @07=96C2DA93C6AFB7D082 + 9012.50ns INFO [00009014] Port=0 RD @01 + 9012.50ns INFO [00009014] Port=1 RD @01 + 9014.50ns INFO [00009016] * RD COMPARE * port=0 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 9014.50ns INFO [00009016] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 9015.50ns INFO [00009017] Port=0 RD @07 + 9016.50ns INFO [00009018] Port=0 RD @06 + 9017.50ns INFO [00009019] * RD COMPARE * port=0 adr=07 act=96C2DA93C6AFB7D082 exp=96C2DA93C6AFB7D082 + 9017.50ns INFO [00009019] Port=0 WR @07=CA6DC01486D17DD25A + 9017.50ns INFO [00009019] Port=0 RD @02 + 9017.50ns INFO [00009019] Port=1 RD @01 + 9018.50ns INFO [00009020] * RD COMPARE * port=0 adr=06 act=B509CCD36D3597764E exp=B509CCD36D3597764E + 9019.50ns INFO [00009021] * RD COMPARE * port=0 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94 + 9019.50ns INFO [00009021] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 9019.50ns INFO [00009021] Port=0 RD @07 + 9020.50ns INFO [00009022] Port=0 RD @07 + 9021.50ns INFO [00009023] * RD COMPARE * port=0 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A + 9021.50ns INFO [00009023] Port=0 WR @00=17C56FCDE6B9AEC35D + 9022.50ns INFO [00009024] * RD COMPARE * port=0 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A + 9022.50ns INFO [00009024] Port=0 WR @03=4A7EC259DE1C75FE13 + 9023.50ns INFO [00009025] Port=1 RD @02 + 9024.50ns INFO [00009026] Port=0 WR @00=F2256274B3382871E7 + 9024.50ns INFO [00009026] Port=0 RD @07 + 9024.50ns INFO [00009026] Port=1 RD @03 + 9025.50ns INFO [00009027] * RD COMPARE * port=1 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94 + 9025.50ns INFO [00009027] Port=0 WR @00=436CF161106DE583B1 + 9025.50ns INFO [00009027] Port=0 RD @05 + 9025.50ns INFO [00009027] Port=1 RD @03 + 9026.50ns INFO [00009028] * RD COMPARE * port=0 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A + 9026.50ns INFO [00009028] * RD COMPARE * port=1 adr=03 act=4A7EC259DE1C75FE13 exp=4A7EC259DE1C75FE13 + 9026.50ns INFO [00009028] Port=0 WR @00=FA29B9DF573BBA088F + 9026.50ns INFO [00009028] Port=0 RD @05 + 9026.50ns INFO [00009028] Port=1 RD @07 + 9027.50ns INFO [00009029] * RD COMPARE * port=0 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B + 9027.50ns INFO [00009029] * RD COMPARE * port=1 adr=03 act=4A7EC259DE1C75FE13 exp=4A7EC259DE1C75FE13 + 9027.50ns INFO [00009029] Port=0 RD @02 + 9027.50ns INFO [00009029] Port=1 RD @03 + 9028.50ns INFO [00009030] * RD COMPARE * port=0 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B + 9028.50ns INFO [00009030] * RD COMPARE * port=1 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A + 9029.50ns INFO [00009031] * RD COMPARE * port=0 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94 + 9029.50ns INFO [00009031] * RD COMPARE * port=1 adr=03 act=4A7EC259DE1C75FE13 exp=4A7EC259DE1C75FE13 + 9030.50ns INFO [00009032] Port=0 WR @04=84807D888A5AA9CB6D + 9030.50ns INFO [00009032] Port=1 RD @05 + 9032.50ns INFO [00009034] * RD COMPARE * port=1 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B + 9034.50ns INFO [00009036] Port=0 RD @07 + 9035.50ns INFO [00009037] Port=0 WR @05=E42DD187537E250557 + 9035.50ns INFO [00009037] Port=0 RD @06 + 9036.50ns INFO [00009038] * RD COMPARE * port=0 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A + 9037.50ns INFO [00009039] * RD COMPARE * port=0 adr=06 act=B509CCD36D3597764E exp=B509CCD36D3597764E + 9037.50ns INFO [00009039] Port=0 WR @04=7B475709C5DA619B48 + 9037.50ns INFO [00009039] Port=1 RD @03 + 9038.50ns INFO [00009040] Port=0 RD @02 + 9038.50ns INFO [00009040] Port=1 RD @01 + 9039.50ns INFO [00009041] * RD COMPARE * port=1 adr=03 act=4A7EC259DE1C75FE13 exp=4A7EC259DE1C75FE13 + 9039.50ns INFO [00009041] Port=0 RD @07 + 9039.50ns INFO [00009041] Port=1 RD @02 + 9040.50ns INFO [00009042] * RD COMPARE * port=0 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94 + 9040.50ns INFO [00009042] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 9040.50ns INFO [00009042] Port=0 WR @07=E0C642B7F4B482E419 + 9041.50ns INFO [00009043] * RD COMPARE * port=0 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A + 9041.50ns INFO [00009043] * RD COMPARE * port=1 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94 + 9041.50ns INFO [00009043] Port=0 RD @04 + 9041.50ns INFO [00009043] Port=1 RD @05 + 9043.50ns INFO [00009045] * RD COMPARE * port=0 adr=04 act=7B475709C5DA619B48 exp=7B475709C5DA619B48 + 9043.50ns INFO [00009045] * RD COMPARE * port=1 adr=05 act=E42DD187537E250557 exp=E42DD187537E250557 + 9043.50ns INFO [00009045] Port=1 RD @01 + 9044.50ns INFO [00009046] Port=0 WR @01=E791DEE542D4D2059C + 9045.50ns INFO [00009047] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB + 9046.50ns INFO [00009048] Port=0 WR @06=29D642677AC2898084 + 9046.50ns INFO [00009048] Port=0 RD @01 + 9046.50ns INFO [00009048] Port=1 RD @01 + 9047.50ns INFO [00009049] Port=0 WR @01=A92731215ED99D8AB2 + 9047.50ns INFO [00009049] Port=0 RD @04 + 9047.50ns INFO [00009049] Port=1 RD @00 + 9048.50ns INFO [00009050] * RD COMPARE * port=0 adr=01 act=E791DEE542D4D2059C exp=E791DEE542D4D2059C + 9048.50ns INFO [00009050] * RD COMPARE * port=1 adr=01 act=E791DEE542D4D2059C exp=E791DEE542D4D2059C + 9048.50ns INFO [00009050] Port=0 WR @05=FC3525A98574FEDBC3 + 9048.50ns INFO [00009050] Port=1 RD @00 + 9049.50ns INFO [00009051] * RD COMPARE * port=0 adr=04 act=7B475709C5DA619B48 exp=7B475709C5DA619B48 + 9049.50ns INFO [00009051] * RD COMPARE * port=1 adr=00 act=FA29B9DF573BBA088F exp=FA29B9DF573BBA088F + 9049.50ns INFO [00009051] Port=0 WR @05=317B82F2EC266490F8 + 9049.50ns INFO [00009051] Port=1 RD @07 + 9050.50ns INFO [00009052] * RD COMPARE * port=1 adr=00 act=FA29B9DF573BBA088F exp=FA29B9DF573BBA088F + 9051.50ns INFO [00009053] * RD COMPARE * port=1 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419 + 9051.50ns INFO [00009053] Port=1 RD @07 + 9052.50ns INFO [00009054] Port=0 RD @01 + 9052.50ns INFO [00009054] Port=1 RD @05 + 9053.50ns INFO [00009055] * RD COMPARE * port=1 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419 + 9053.50ns INFO [00009055] Port=0 WR @05=B825947DAF9AD7E41A + 9053.50ns INFO [00009055] Port=0 RD @07 + 9054.50ns INFO [00009056] * RD COMPARE * port=0 adr=01 act=A92731215ED99D8AB2 exp=A92731215ED99D8AB2 + 9054.50ns INFO [00009056] * RD COMPARE * port=1 adr=05 act=317B82F2EC266490F8 exp=317B82F2EC266490F8 + 9054.50ns INFO [00009056] Port=0 WR @05=773507ECCB8907951C + 9054.50ns INFO [00009056] Port=1 RD @06 + 9055.50ns INFO [00009057] * RD COMPARE * port=0 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419 + 9055.50ns INFO [00009057] Port=0 WR @03=C78CC6F65D32460E16 + 9055.50ns INFO [00009057] Port=0 RD @07 + 9055.50ns INFO [00009057] Port=1 RD @01 + 9056.50ns INFO [00009058] * RD COMPARE * port=1 adr=06 act=29D642677AC2898084 exp=29D642677AC2898084 + 9056.50ns INFO [00009058] Port=0 WR @01=9BC64A6CECBD2DEF9D + 9056.50ns INFO [00009058] Port=0 RD @02 + 9057.50ns INFO [00009059] * RD COMPARE * port=0 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419 + 9057.50ns INFO [00009059] * RD COMPARE * port=1 adr=01 act=A92731215ED99D8AB2 exp=A92731215ED99D8AB2 + 9057.50ns INFO [00009059] Port=0 RD @02 + 9058.50ns INFO [00009060] * RD COMPARE * port=0 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94 + 9058.50ns INFO [00009060] Port=0 RD @00 + 9059.50ns INFO [00009061] * RD COMPARE * port=0 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94 + 9059.50ns INFO [00009061] Port=0 RD @03 + 9060.50ns INFO [00009062] * RD COMPARE * port=0 adr=00 act=FA29B9DF573BBA088F exp=FA29B9DF573BBA088F + 9060.50ns INFO [00009062] Port=0 WR @00=F5FD13B1C65D1A764A + 9060.50ns INFO [00009062] Port=0 RD @01 + 9061.50ns INFO [00009063] * RD COMPARE * port=0 adr=03 act=C78CC6F65D32460E16 exp=C78CC6F65D32460E16 + 9061.50ns INFO [00009063] Port=1 RD @07 + 9062.50ns INFO [00009064] * RD COMPARE * port=0 adr=01 act=9BC64A6CECBD2DEF9D exp=9BC64A6CECBD2DEF9D + 9062.50ns INFO [00009064] Port=0 WR @05=408FD3AC0FBCE79274 + 9062.50ns INFO [00009064] Port=1 RD @04 + 9063.50ns INFO [00009065] * RD COMPARE * port=1 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419 + 9063.50ns INFO [00009065] Port=0 WR @04=71BAF77B00065C0A87 + 9063.50ns INFO [00009065] Port=0 RD @03 + 9064.50ns INFO [00009066] * RD COMPARE * port=1 adr=04 act=7B475709C5DA619B48 exp=7B475709C5DA619B48 + 9064.50ns INFO [00009066] Port=0 RD @00 + 9065.50ns INFO [00009067] * RD COMPARE * port=0 adr=03 act=C78CC6F65D32460E16 exp=C78CC6F65D32460E16 + 9065.50ns INFO [00009067] Port=0 WR @02=A1F421DDD5A5F8AA76 + 9065.50ns INFO [00009067] Port=0 RD @05 + 9066.50ns INFO [00009068] * RD COMPARE * port=0 adr=00 act=F5FD13B1C65D1A764A exp=F5FD13B1C65D1A764A + 9066.50ns INFO [00009068] Port=0 WR @03=54A00225A42B55549A + 9066.50ns INFO [00009068] Port=1 RD @02 + 9067.50ns INFO [00009069] * RD COMPARE * port=0 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274 + 9067.50ns INFO [00009069] Port=1 RD @00 + 9068.50ns INFO [00009070] * RD COMPARE * port=1 adr=02 act=A1F421DDD5A5F8AA76 exp=A1F421DDD5A5F8AA76 + 9068.50ns INFO [00009070] Port=0 WR @06=8FEEAF5A72BA293BAF + 9068.50ns INFO [00009070] Port=0 RD @02 + 9069.50ns INFO [00009071] * RD COMPARE * port=1 adr=00 act=F5FD13B1C65D1A764A exp=F5FD13B1C65D1A764A + 9069.50ns INFO [00009071] Port=1 RD @05 + 9070.50ns INFO [00009072] * RD COMPARE * port=0 adr=02 act=A1F421DDD5A5F8AA76 exp=A1F421DDD5A5F8AA76 + 9070.50ns INFO [00009072] Port=0 WR @02=653BC3A54F20289416 + 9070.50ns INFO [00009072] Port=0 RD @07 + 9071.50ns INFO [00009073] * RD COMPARE * port=1 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274 + 9072.50ns INFO [00009074] * RD COMPARE * port=0 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419 + 9072.50ns INFO [00009074] Port=0 RD @06 + 9073.50ns INFO [00009075] Port=0 WR @04=6FC13F151B4E1722C5 + 9073.50ns INFO [00009075] Port=0 RD @07 + 9074.50ns INFO [00009076] * RD COMPARE * port=0 adr=06 act=8FEEAF5A72BA293BAF exp=8FEEAF5A72BA293BAF + 9074.50ns INFO [00009076] Port=0 WR @00=2E781F1C3400C5033C + 9074.50ns INFO [00009076] Port=0 RD @06 + 9074.50ns INFO [00009076] Port=1 RD @05 + 9075.50ns INFO [00009077] * RD COMPARE * port=0 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419 + 9075.50ns INFO [00009077] Port=0 RD @01 + 9076.50ns INFO [00009078] * RD COMPARE * port=0 adr=06 act=8FEEAF5A72BA293BAF exp=8FEEAF5A72BA293BAF + 9076.50ns INFO [00009078] * RD COMPARE * port=1 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274 + 9076.50ns INFO [00009078] Port=0 WR @07=AEF7A24D86E98935F8 + 9077.50ns INFO [00009079] * RD COMPARE * port=0 adr=01 act=9BC64A6CECBD2DEF9D exp=9BC64A6CECBD2DEF9D + 9077.50ns INFO [00009079] Port=1 RD @06 + 9078.50ns INFO [00009080] Port=0 WR @04=44833909A3D14A0107 + 9078.50ns INFO [00009080] Port=0 RD @02 + 9078.50ns INFO [00009080] Port=1 RD @02 + 9079.50ns INFO [00009081] * RD COMPARE * port=1 adr=06 act=8FEEAF5A72BA293BAF exp=8FEEAF5A72BA293BAF + 9079.50ns INFO [00009081] Port=0 RD @04 + 9080.50ns INFO [00009082] * RD COMPARE * port=0 adr=02 act=653BC3A54F20289416 exp=653BC3A54F20289416 + 9080.50ns INFO [00009082] * RD COMPARE * port=1 adr=02 act=653BC3A54F20289416 exp=653BC3A54F20289416 + 9081.50ns INFO [00009083] * RD COMPARE * port=0 adr=04 act=44833909A3D14A0107 exp=44833909A3D14A0107 + 9081.50ns INFO [00009083] Port=0 WR @01=E5141B0B40514EDC38 + 9082.50ns INFO [00009084] Port=0 WR @06=3B0AF80490139110A4 + 9082.50ns INFO [00009084] Port=0 RD @07 + 9084.50ns INFO [00009086] * RD COMPARE * port=0 adr=07 act=AEF7A24D86E98935F8 exp=AEF7A24D86E98935F8 + 9084.50ns INFO [00009086] Port=0 WR @01=DE52E603CE42A6B742 + 9084.50ns INFO [00009086] Port=0 RD @00 + 9085.50ns INFO [00009087] Port=0 RD @00 + 9086.50ns INFO [00009088] * RD COMPARE * port=0 adr=00 act=2E781F1C3400C5033C exp=2E781F1C3400C5033C + 9087.50ns INFO [00009089] * RD COMPARE * port=0 adr=00 act=2E781F1C3400C5033C exp=2E781F1C3400C5033C + 9088.50ns INFO [00009090] Port=0 WR @02=8E7FC8F60CB53A510A + 9089.50ns INFO [00009091] Port=0 RD @07 + 9090.50ns INFO [00009092] Port=0 WR @00=3EED84D8C63796FBF6 + 9090.50ns INFO [00009092] Port=0 RD @01 + 9091.50ns INFO [00009093] * RD COMPARE * port=0 adr=07 act=AEF7A24D86E98935F8 exp=AEF7A24D86E98935F8 + 9092.50ns INFO [00009094] * RD COMPARE * port=0 adr=01 act=DE52E603CE42A6B742 exp=DE52E603CE42A6B742 + 9092.50ns INFO [00009094] Port=1 RD @04 + 9093.50ns INFO [00009095] Port=1 RD @02 + 9094.50ns INFO [00009096] * RD COMPARE * port=1 adr=04 act=44833909A3D14A0107 exp=44833909A3D14A0107 + 9094.50ns INFO [00009096] Port=0 WR @07=77DAEC2D53B63BA863 + 9095.50ns INFO [00009097] * RD COMPARE * port=1 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A + 9097.50ns INFO [00009099] Port=0 WR @07=BB004D062936B63A45 + 9097.50ns INFO [00009099] Port=1 RD @04 + 9098.00ns INFO [00009100] [00009100] ...tick... + 9099.50ns INFO [00009101] * RD COMPARE * port=1 adr=04 act=44833909A3D14A0107 exp=44833909A3D14A0107 + 9099.50ns INFO [00009101] Port=0 WR @03=1E7CBFD2DCEB41A9B5 + 9099.50ns INFO [00009101] Port=0 RD @06 + 9099.50ns INFO [00009101] Port=1 RD @04 + 9100.50ns INFO [00009102] Port=0 RD @07 + 9100.50ns INFO [00009102] Port=1 RD @06 + 9101.50ns INFO [00009103] * RD COMPARE * port=0 adr=06 act=3B0AF80490139110A4 exp=3B0AF80490139110A4 + 9101.50ns INFO [00009103] * RD COMPARE * port=1 adr=04 act=44833909A3D14A0107 exp=44833909A3D14A0107 + 9102.50ns INFO [00009104] * RD COMPARE * port=0 adr=07 act=BB004D062936B63A45 exp=BB004D062936B63A45 + 9102.50ns INFO [00009104] * RD COMPARE * port=1 adr=06 act=3B0AF80490139110A4 exp=3B0AF80490139110A4 + 9103.50ns INFO [00009105] Port=0 RD @00 + 9103.50ns INFO [00009105] Port=1 RD @00 + 9104.50ns INFO [00009106] Port=0 RD @06 + 9104.50ns INFO [00009106] Port=1 RD @01 + 9105.50ns INFO [00009107] * RD COMPARE * port=0 adr=00 act=3EED84D8C63796FBF6 exp=3EED84D8C63796FBF6 + 9105.50ns INFO [00009107] * RD COMPARE * port=1 adr=00 act=3EED84D8C63796FBF6 exp=3EED84D8C63796FBF6 + 9106.50ns INFO [00009108] * RD COMPARE * port=0 adr=06 act=3B0AF80490139110A4 exp=3B0AF80490139110A4 + 9106.50ns INFO [00009108] * RD COMPARE * port=1 adr=01 act=DE52E603CE42A6B742 exp=DE52E603CE42A6B742 + 9106.50ns INFO [00009108] Port=1 RD @02 + 9108.50ns INFO [00009110] * RD COMPARE * port=1 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A + 9108.50ns INFO [00009110] Port=0 RD @02 + 9108.50ns INFO [00009110] Port=1 RD @01 + 9109.50ns INFO [00009111] Port=0 WR @06=E0D015D25235896B03 + 9110.50ns INFO [00009112] * RD COMPARE * port=0 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A + 9110.50ns INFO [00009112] * RD COMPARE * port=1 adr=01 act=DE52E603CE42A6B742 exp=DE52E603CE42A6B742 + 9110.50ns INFO [00009112] Port=1 RD @04 + 9111.50ns INFO [00009113] Port=0 WR @04=5182AD2767F4737D6A + 9112.50ns INFO [00009114] * RD COMPARE * port=1 adr=04 act=44833909A3D14A0107 exp=44833909A3D14A0107 + 9112.50ns INFO [00009114] Port=0 RD @06 + 9113.50ns INFO [00009115] Port=0 WR @00=AAABE4BD0B32DA9628 + 9113.50ns INFO [00009115] Port=0 RD @05 + 9113.50ns INFO [00009115] Port=1 RD @07 + 9114.50ns INFO [00009116] * RD COMPARE * port=0 adr=06 act=E0D015D25235896B03 exp=E0D015D25235896B03 + 9114.50ns INFO [00009116] Port=0 WR @07=2EBDAD0E3DDD9C22B6 + 9114.50ns INFO [00009116] Port=0 RD @03 + 9115.50ns INFO [00009117] * RD COMPARE * port=0 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274 + 9115.50ns INFO [00009117] * RD COMPARE * port=1 adr=07 act=BB004D062936B63A45 exp=BB004D062936B63A45 + 9115.50ns INFO [00009117] Port=0 RD @04 + 9116.50ns INFO [00009118] * RD COMPARE * port=0 adr=03 act=1E7CBFD2DCEB41A9B5 exp=1E7CBFD2DCEB41A9B5 + 9117.50ns INFO [00009119] * RD COMPARE * port=0 adr=04 act=5182AD2767F4737D6A exp=5182AD2767F4737D6A + 9117.50ns INFO [00009119] Port=0 WR @01=FD789AE4CF5082F004 + 9118.50ns INFO [00009120] Port=0 WR @01=7DFA465F4180CE1062 + 9120.50ns INFO [00009122] Port=1 RD @02 + 9122.50ns INFO [00009124] * RD COMPARE * port=1 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A + 9122.50ns INFO [00009124] Port=0 WR @06=E8D81E2C622EC71170 + 9123.50ns INFO [00009125] Port=0 WR @07=D943B7F0FBD1188F3A + 9125.50ns INFO [00009127] Port=0 RD @01 + 9126.50ns INFO [00009128] Port=0 WR @01=A55812458992522738 + 9127.50ns INFO [00009129] * RD COMPARE * port=0 adr=01 act=7DFA465F4180CE1062 exp=7DFA465F4180CE1062 + 9127.50ns INFO [00009129] Port=0 WR @01=05326D2A2DFC583C47 + 9127.50ns INFO [00009129] Port=1 RD @05 + 9128.50ns INFO [00009130] Port=1 RD @07 + 9129.50ns INFO [00009131] * RD COMPARE * port=1 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274 + 9130.50ns INFO [00009132] * RD COMPARE * port=1 adr=07 act=D943B7F0FBD1188F3A exp=D943B7F0FBD1188F3A + 9130.50ns INFO [00009132] Port=0 WR @07=BC720ED06F336082B4 + 9131.50ns INFO [00009133] Port=0 WR @07=303C28328B7EDC43A7 + 9132.50ns INFO [00009134] Port=0 WR @03=AC1F33DCD75B3DD6BA + 9132.50ns INFO [00009134] Port=0 RD @00 + 9133.50ns INFO [00009135] Port=0 WR @01=55B3A89D9A342C4D0C + 9133.50ns INFO [00009135] Port=0 RD @02 + 9134.50ns INFO [00009136] * RD COMPARE * port=0 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628 + 9134.50ns INFO [00009136] Port=0 WR @03=976DB6A25F9716AAD2 + 9134.50ns INFO [00009136] Port=0 RD @05 + 9134.50ns INFO [00009136] Port=1 RD @05 + 9135.50ns INFO [00009137] * RD COMPARE * port=0 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A + 9136.50ns INFO [00009138] * RD COMPARE * port=0 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274 + 9136.50ns INFO [00009138] * RD COMPARE * port=1 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274 + 9136.50ns INFO [00009138] Port=0 WR @06=3C6CA1FEB78D48E6B5 + 9136.50ns INFO [00009138] Port=0 RD @02 + 9137.50ns INFO [00009139] Port=0 WR @05=9E80B5492EFE181B15 + 9138.50ns INFO [00009140] * RD COMPARE * port=0 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A + 9138.50ns INFO [00009140] Port=1 RD @01 + 9140.50ns INFO [00009142] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C + 9140.50ns INFO [00009142] Port=1 RD @01 + 9141.50ns INFO [00009143] Port=0 WR @03=520F9579CD76AD0094 + 9141.50ns INFO [00009143] Port=0 RD @06 + 9142.50ns INFO [00009144] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C + 9142.50ns INFO [00009144] Port=0 RD @00 + 9142.50ns INFO [00009144] Port=1 RD @04 + 9143.50ns INFO [00009145] * RD COMPARE * port=0 adr=06 act=3C6CA1FEB78D48E6B5 exp=3C6CA1FEB78D48E6B5 + 9143.50ns INFO [00009145] Port=0 WR @03=752EED5AAA9B72B7C9 + 9143.50ns INFO [00009145] Port=1 RD @00 + 9144.50ns INFO [00009146] * RD COMPARE * port=0 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628 + 9144.50ns INFO [00009146] * RD COMPARE * port=1 adr=04 act=5182AD2767F4737D6A exp=5182AD2767F4737D6A + 9144.50ns INFO [00009146] Port=1 RD @07 + 9145.50ns INFO [00009147] * RD COMPARE * port=1 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628 + 9145.50ns INFO [00009147] Port=1 RD @01 + 9146.50ns INFO [00009148] * RD COMPARE * port=1 adr=07 act=303C28328B7EDC43A7 exp=303C28328B7EDC43A7 + 9146.50ns INFO [00009148] Port=0 RD @05 + 9147.50ns INFO [00009149] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C + 9147.50ns INFO [00009149] Port=1 RD @07 + 9148.50ns INFO [00009150] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15 + 9148.50ns INFO [00009150] Port=0 RD @05 + 9149.50ns INFO [00009151] * RD COMPARE * port=1 adr=07 act=303C28328B7EDC43A7 exp=303C28328B7EDC43A7 + 9149.50ns INFO [00009151] Port=0 WR @07=D7BE918F8F781ABFB6 + 9149.50ns INFO [00009151] Port=1 RD @00 + 9150.50ns INFO [00009152] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15 + 9151.50ns INFO [00009153] * RD COMPARE * port=1 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628 + 9153.50ns INFO [00009155] Port=1 RD @05 + 9154.50ns INFO [00009156] Port=0 RD @03 + 9155.50ns INFO [00009157] * RD COMPARE * port=1 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15 + 9155.50ns INFO [00009157] Port=1 RD @00 + 9156.50ns INFO [00009158] * RD COMPARE * port=0 adr=03 act=752EED5AAA9B72B7C9 exp=752EED5AAA9B72B7C9 + 9156.50ns INFO [00009158] Port=0 WR @06=013E96D72623EFFE24 + 9157.50ns INFO [00009159] * RD COMPARE * port=1 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628 + 9157.50ns INFO [00009159] Port=0 RD @05 + 9157.50ns INFO [00009159] Port=1 RD @01 + 9158.50ns INFO [00009160] Port=0 RD @03 + 9159.50ns INFO [00009161] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15 + 9159.50ns INFO [00009161] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C + 9159.50ns INFO [00009161] Port=1 RD @05 + 9160.50ns INFO [00009162] * RD COMPARE * port=0 adr=03 act=752EED5AAA9B72B7C9 exp=752EED5AAA9B72B7C9 + 9160.50ns INFO [00009162] Port=0 RD @02 + 9161.50ns INFO [00009163] * RD COMPARE * port=1 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15 + 9161.50ns INFO [00009163] Port=0 WR @06=D4760D2424F4EABBE7 + 9161.50ns INFO [00009163] Port=1 RD @07 + 9162.50ns INFO [00009164] * RD COMPARE * port=0 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A + 9162.50ns INFO [00009164] Port=0 RD @03 + 9162.50ns INFO [00009164] Port=1 RD @00 + 9163.50ns INFO [00009165] * RD COMPARE * port=1 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6 + 9163.50ns INFO [00009165] Port=0 WR @04=7E6B332F98AC6D5A52 + 9164.50ns INFO [00009166] * RD COMPARE * port=0 adr=03 act=752EED5AAA9B72B7C9 exp=752EED5AAA9B72B7C9 + 9164.50ns INFO [00009166] * RD COMPARE * port=1 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628 + 9164.50ns INFO [00009166] Port=0 WR @04=AF2E2120F9FB3AD064 + 9164.50ns INFO [00009166] Port=1 RD @03 + 9166.50ns INFO [00009168] * RD COMPARE * port=1 adr=03 act=752EED5AAA9B72B7C9 exp=752EED5AAA9B72B7C9 + 9166.50ns INFO [00009168] Port=0 WR @00=A2DB1860BFA6FBD586 + 9167.50ns INFO [00009169] Port=0 RD @02 + 9167.50ns INFO [00009169] Port=1 RD @06 + 9169.50ns INFO [00009171] * RD COMPARE * port=0 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A + 9169.50ns INFO [00009171] * RD COMPARE * port=1 adr=06 act=D4760D2424F4EABBE7 exp=D4760D2424F4EABBE7 + 9169.50ns INFO [00009171] Port=0 RD @00 + 9171.50ns INFO [00009173] * RD COMPARE * port=0 adr=00 act=A2DB1860BFA6FBD586 exp=A2DB1860BFA6FBD586 + 9171.50ns INFO [00009173] Port=0 RD @05 + 9171.50ns INFO [00009173] Port=1 RD @01 + 9173.50ns INFO [00009175] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15 + 9173.50ns INFO [00009175] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C + 9173.50ns INFO [00009175] Port=1 RD @07 + 9174.50ns INFO [00009176] Port=1 RD @01 + 9175.50ns INFO [00009177] * RD COMPARE * port=1 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6 + 9176.50ns INFO [00009178] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C + 9176.50ns INFO [00009178] Port=0 WR @03=C3C593F80BC2BFD76C + 9177.50ns INFO [00009179] Port=0 RD @05 + 9177.50ns INFO [00009179] Port=1 RD @06 + 9178.50ns INFO [00009180] Port=0 WR @06=C7BB5FB39A8BDEB214 + 9178.50ns INFO [00009180] Port=1 RD @03 + 9179.50ns INFO [00009181] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15 + 9179.50ns INFO [00009181] * RD COMPARE * port=1 adr=06 act=D4760D2424F4EABBE7 exp=D4760D2424F4EABBE7 + 9179.50ns INFO [00009181] Port=0 WR @00=FF52EA12FC8648359B + 9180.50ns INFO [00009182] * RD COMPARE * port=1 adr=03 act=C3C593F80BC2BFD76C exp=C3C593F80BC2BFD76C + 9181.50ns INFO [00009183] Port=0 RD @06 + 9181.50ns INFO [00009183] Port=1 RD @01 + 9182.50ns INFO [00009184] Port=0 WR @03=6E3A2FC06FE4F5B3F0 + 9182.50ns INFO [00009184] Port=1 RD @04 + 9183.50ns INFO [00009185] * RD COMPARE * port=0 adr=06 act=C7BB5FB39A8BDEB214 exp=C7BB5FB39A8BDEB214 + 9183.50ns INFO [00009185] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C + 9183.50ns INFO [00009185] Port=1 RD @04 + 9184.50ns INFO [00009186] * RD COMPARE * port=1 adr=04 act=AF2E2120F9FB3AD064 exp=AF2E2120F9FB3AD064 + 9184.50ns INFO [00009186] Port=0 RD @07 + 9185.50ns INFO [00009187] * RD COMPARE * port=1 adr=04 act=AF2E2120F9FB3AD064 exp=AF2E2120F9FB3AD064 + 9185.50ns INFO [00009187] Port=0 RD @05 + 9185.50ns INFO [00009187] Port=1 RD @04 + 9186.50ns INFO [00009188] * RD COMPARE * port=0 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6 + 9186.50ns INFO [00009188] Port=0 RD @06 + 9186.50ns INFO [00009188] Port=1 RD @07 + 9187.50ns INFO [00009189] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15 + 9187.50ns INFO [00009189] * RD COMPARE * port=1 adr=04 act=AF2E2120F9FB3AD064 exp=AF2E2120F9FB3AD064 + 9187.50ns INFO [00009189] Port=0 WR @01=7FC6F1D18BE982E5D2 + 9187.50ns INFO [00009189] Port=0 RD @05 + 9187.50ns INFO [00009189] Port=1 RD @05 + 9188.50ns INFO [00009190] * RD COMPARE * port=0 adr=06 act=C7BB5FB39A8BDEB214 exp=C7BB5FB39A8BDEB214 + 9188.50ns INFO [00009190] * RD COMPARE * port=1 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6 + 9188.50ns INFO [00009190] Port=1 RD @07 + 9189.50ns INFO [00009191] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15 + 9189.50ns INFO [00009191] * RD COMPARE * port=1 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15 + 9190.50ns INFO [00009192] * RD COMPARE * port=1 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6 + 9190.50ns INFO [00009192] Port=0 RD @03 + 9190.50ns INFO [00009192] Port=1 RD @02 + 9191.50ns INFO [00009193] Port=0 RD @03 + 9191.50ns INFO [00009193] Port=1 RD @07 + 9192.50ns INFO [00009194] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9192.50ns INFO [00009194] * RD COMPARE * port=1 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A + 9192.50ns INFO [00009194] Port=0 WR @07=F407D679D7B5112C1F + 9192.50ns INFO [00009194] Port=0 RD @04 + 9193.50ns INFO [00009195] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9193.50ns INFO [00009195] * RD COMPARE * port=1 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6 + 9193.50ns INFO [00009195] Port=1 RD @00 + 9194.50ns INFO [00009196] * RD COMPARE * port=0 adr=04 act=AF2E2120F9FB3AD064 exp=AF2E2120F9FB3AD064 + 9194.50ns INFO [00009196] Port=0 WR @02=634EC5B8C2592E36B4 + 9195.50ns INFO [00009197] * RD COMPARE * port=1 adr=00 act=FF52EA12FC8648359B exp=FF52EA12FC8648359B + 9195.50ns INFO [00009197] Port=0 WR @05=D18FD8DC5A96FB11D6 + 9195.50ns INFO [00009197] Port=0 RD @06 + 9196.50ns INFO [00009198] Port=0 WR @01=CF72B11A317411C952 + 9196.50ns INFO [00009198] Port=1 RD @00 + 9197.50ns INFO [00009199] * RD COMPARE * port=0 adr=06 act=C7BB5FB39A8BDEB214 exp=C7BB5FB39A8BDEB214 + 9197.50ns INFO [00009199] Port=0 RD @05 + 9198.00ns INFO [00009200] [00009200] ...tick... + 9198.50ns INFO [00009200] * RD COMPARE * port=1 adr=00 act=FF52EA12FC8648359B exp=FF52EA12FC8648359B + 9199.50ns INFO [00009201] * RD COMPARE * port=0 adr=05 act=D18FD8DC5A96FB11D6 exp=D18FD8DC5A96FB11D6 + 9199.50ns INFO [00009201] Port=0 RD @07 + 9200.50ns INFO [00009202] Port=0 WR @04=A94F4161DFAED3D9DB + 9200.50ns INFO [00009202] Port=0 RD @01 + 9201.50ns INFO [00009203] * RD COMPARE * port=0 adr=07 act=F407D679D7B5112C1F exp=F407D679D7B5112C1F + 9201.50ns INFO [00009203] Port=0 RD @06 + 9201.50ns INFO [00009203] Port=1 RD @06 + 9202.50ns INFO [00009204] * RD COMPARE * port=0 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952 + 9202.50ns INFO [00009204] Port=0 WR @06=A4D730DB4CA6B65EAA + 9203.50ns INFO [00009205] * RD COMPARE * port=0 adr=06 act=C7BB5FB39A8BDEB214 exp=C7BB5FB39A8BDEB214 + 9203.50ns INFO [00009205] * RD COMPARE * port=1 adr=06 act=C7BB5FB39A8BDEB214 exp=C7BB5FB39A8BDEB214 + 9204.50ns INFO [00009206] Port=0 WR @06=DF1A7005DD33F5A823 + 9204.50ns INFO [00009206] Port=0 RD @00 + 9204.50ns INFO [00009206] Port=1 RD @03 + 9206.50ns INFO [00009208] * RD COMPARE * port=0 adr=00 act=FF52EA12FC8648359B exp=FF52EA12FC8648359B + 9206.50ns INFO [00009208] * RD COMPARE * port=1 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9206.50ns INFO [00009208] Port=0 WR @06=6FF44D7C085BC11315 + 9206.50ns INFO [00009208] Port=0 RD @03 + 9206.50ns INFO [00009208] Port=1 RD @01 + 9207.50ns INFO [00009209] Port=0 RD @06 + 9207.50ns INFO [00009209] Port=1 RD @05 + 9208.50ns INFO [00009210] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9208.50ns INFO [00009210] * RD COMPARE * port=1 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952 + 9208.50ns INFO [00009210] Port=0 RD @00 + 9209.50ns INFO [00009211] * RD COMPARE * port=0 adr=06 act=6FF44D7C085BC11315 exp=6FF44D7C085BC11315 + 9209.50ns INFO [00009211] * RD COMPARE * port=1 adr=05 act=D18FD8DC5A96FB11D6 exp=D18FD8DC5A96FB11D6 + 9209.50ns INFO [00009211] Port=0 RD @04 + 9209.50ns INFO [00009211] Port=1 RD @02 + 9210.50ns INFO [00009212] * RD COMPARE * port=0 adr=00 act=FF52EA12FC8648359B exp=FF52EA12FC8648359B + 9211.50ns INFO [00009213] * RD COMPARE * port=0 adr=04 act=A94F4161DFAED3D9DB exp=A94F4161DFAED3D9DB + 9211.50ns INFO [00009213] * RD COMPARE * port=1 adr=02 act=634EC5B8C2592E36B4 exp=634EC5B8C2592E36B4 + 9211.50ns INFO [00009213] Port=0 RD @06 + 9213.50ns INFO [00009215] * RD COMPARE * port=0 adr=06 act=6FF44D7C085BC11315 exp=6FF44D7C085BC11315 + 9213.50ns INFO [00009215] Port=0 RD @01 + 9214.50ns INFO [00009216] Port=0 RD @01 + 9214.50ns INFO [00009216] Port=1 RD @01 + 9215.50ns INFO [00009217] * RD COMPARE * port=0 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952 + 9215.50ns INFO [00009217] Port=0 WR @07=79431EFC60D7ADBBD6 + 9215.50ns INFO [00009217] Port=1 RD @00 + 9216.50ns INFO [00009218] * RD COMPARE * port=0 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952 + 9216.50ns INFO [00009218] * RD COMPARE * port=1 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952 + 9217.50ns INFO [00009219] * RD COMPARE * port=1 adr=00 act=FF52EA12FC8648359B exp=FF52EA12FC8648359B + 9217.50ns INFO [00009219] Port=0 WR @02=E607BBA12F4115B0BA + 9221.50ns INFO [00009223] Port=0 RD @01 + 9223.50ns INFO [00009225] * RD COMPARE * port=0 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952 + 9223.50ns INFO [00009225] Port=0 WR @04=9672FE868F69797DB3 + 9224.50ns INFO [00009226] Port=0 RD @02 + 9224.50ns INFO [00009226] Port=1 RD @06 + 9225.50ns INFO [00009227] Port=1 RD @03 + 9226.50ns INFO [00009228] * RD COMPARE * port=0 adr=02 act=E607BBA12F4115B0BA exp=E607BBA12F4115B0BA + 9226.50ns INFO [00009228] * RD COMPARE * port=1 adr=06 act=6FF44D7C085BC11315 exp=6FF44D7C085BC11315 + 9226.50ns INFO [00009228] Port=0 WR @04=4C1432C69D60CC8020 + 9227.50ns INFO [00009229] * RD COMPARE * port=1 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9227.50ns INFO [00009229] Port=0 WR @06=DD9F0659CE461B4634 + 9228.50ns INFO [00009230] Port=0 WR @02=B9394272F9E0BA65C7 + 9228.50ns INFO [00009230] Port=0 RD @03 + 9229.50ns INFO [00009231] Port=0 WR @01=6D193CE25679BC4859 + 9230.50ns INFO [00009232] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9230.50ns INFO [00009232] Port=1 RD @03 + 9231.50ns INFO [00009233] Port=0 WR @07=B13A9619589948176F + 9231.50ns INFO [00009233] Port=0 RD @05 + 9232.50ns INFO [00009234] * RD COMPARE * port=1 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9232.50ns INFO [00009234] Port=0 WR @06=F39AAEEFAB15A6B97E + 9232.50ns INFO [00009234] Port=1 RD @01 + 9233.50ns INFO [00009235] * RD COMPARE * port=0 adr=05 act=D18FD8DC5A96FB11D6 exp=D18FD8DC5A96FB11D6 + 9234.50ns INFO [00009236] * RD COMPARE * port=1 adr=01 act=6D193CE25679BC4859 exp=6D193CE25679BC4859 + 9236.50ns INFO [00009238] Port=0 WR @00=74ADA60D719B73D156 + 9236.50ns INFO [00009238] Port=1 RD @01 + 9237.50ns INFO [00009239] Port=0 WR @07=A9ABC961E9FBC3448C + 9238.50ns INFO [00009240] * RD COMPARE * port=1 adr=01 act=6D193CE25679BC4859 exp=6D193CE25679BC4859 + 9238.50ns INFO [00009240] Port=0 RD @00 + 9238.50ns INFO [00009240] Port=1 RD @07 + 9239.50ns INFO [00009241] Port=1 RD @02 + 9240.50ns INFO [00009242] * RD COMPARE * port=0 adr=00 act=74ADA60D719B73D156 exp=74ADA60D719B73D156 + 9240.50ns INFO [00009242] * RD COMPARE * port=1 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C + 9240.50ns INFO [00009242] Port=0 WR @02=48522EFD0AB3EC9709 + 9240.50ns INFO [00009242] Port=0 RD @03 + 9241.50ns INFO [00009243] * RD COMPARE * port=1 adr=02 act=B9394272F9E0BA65C7 exp=B9394272F9E0BA65C7 + 9241.50ns INFO [00009243] Port=0 RD @03 + 9242.50ns INFO [00009244] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9243.50ns INFO [00009245] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9244.50ns INFO [00009246] Port=0 RD @05 + 9244.50ns INFO [00009246] Port=1 RD @06 + 9245.50ns INFO [00009247] Port=0 WR @05=A8D89FA33B333A6928 + 9246.50ns INFO [00009248] * RD COMPARE * port=0 adr=05 act=D18FD8DC5A96FB11D6 exp=D18FD8DC5A96FB11D6 + 9246.50ns INFO [00009248] * RD COMPARE * port=1 adr=06 act=F39AAEEFAB15A6B97E exp=F39AAEEFAB15A6B97E + 9246.50ns INFO [00009248] Port=0 RD @03 + 9246.50ns INFO [00009248] Port=1 RD @03 + 9247.50ns INFO [00009249] Port=0 RD @05 + 9247.50ns INFO [00009249] Port=1 RD @07 + 9248.50ns INFO [00009250] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9248.50ns INFO [00009250] * RD COMPARE * port=1 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0 + 9249.50ns INFO [00009251] * RD COMPARE * port=0 adr=05 act=A8D89FA33B333A6928 exp=A8D89FA33B333A6928 + 9249.50ns INFO [00009251] * RD COMPARE * port=1 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C + 9249.50ns INFO [00009251] Port=0 RD @04 + 9249.50ns INFO [00009251] Port=1 RD @06 + 9250.50ns INFO [00009252] Port=1 RD @04 + 9251.50ns INFO [00009253] * RD COMPARE * port=0 adr=04 act=4C1432C69D60CC8020 exp=4C1432C69D60CC8020 + 9251.50ns INFO [00009253] * RD COMPARE * port=1 adr=06 act=F39AAEEFAB15A6B97E exp=F39AAEEFAB15A6B97E + 9251.50ns INFO [00009253] Port=0 WR @03=96D9102A2A9EE94614 + 9251.50ns INFO [00009253] Port=0 RD @07 + 9252.50ns INFO [00009254] * RD COMPARE * port=1 adr=04 act=4C1432C69D60CC8020 exp=4C1432C69D60CC8020 + 9252.50ns INFO [00009254] Port=0 WR @03=863A2ACFE6BE993ABA + 9252.50ns INFO [00009254] Port=0 RD @07 + 9253.50ns INFO [00009255] * RD COMPARE * port=0 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C + 9253.50ns INFO [00009255] Port=1 RD @00 + 9254.50ns INFO [00009256] * RD COMPARE * port=0 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C + 9254.50ns INFO [00009256] Port=1 RD @07 + 9255.50ns INFO [00009257] * RD COMPARE * port=1 adr=00 act=74ADA60D719B73D156 exp=74ADA60D719B73D156 + 9256.50ns INFO [00009258] * RD COMPARE * port=1 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C + 9256.50ns INFO [00009258] Port=0 WR @06=26939044601EB83800 + 9256.50ns INFO [00009258] Port=0 RD @00 + 9257.50ns INFO [00009259] Port=0 WR @03=A547775A14D2B6CB0F + 9257.50ns INFO [00009259] Port=0 RD @04 + 9257.50ns INFO [00009259] Port=1 RD @02 + 9258.50ns INFO [00009260] * RD COMPARE * port=0 adr=00 act=74ADA60D719B73D156 exp=74ADA60D719B73D156 + 9258.50ns INFO [00009260] Port=0 WR @06=408D447F698F9797A6 + 9258.50ns INFO [00009260] Port=1 RD @03 + 9259.50ns INFO [00009261] * RD COMPARE * port=0 adr=04 act=4C1432C69D60CC8020 exp=4C1432C69D60CC8020 + 9259.50ns INFO [00009261] * RD COMPARE * port=1 adr=02 act=48522EFD0AB3EC9709 exp=48522EFD0AB3EC9709 + 9259.50ns INFO [00009261] Port=0 RD @06 + 9259.50ns INFO [00009261] Port=1 RD @04 + 9260.50ns INFO [00009262] * RD COMPARE * port=1 adr=03 act=A547775A14D2B6CB0F exp=A547775A14D2B6CB0F + 9260.50ns INFO [00009262] Port=0 WR @03=FE6C3AC6B8F1D9F4F4 + 9260.50ns INFO [00009262] Port=0 RD @07 + 9261.50ns INFO [00009263] * RD COMPARE * port=0 adr=06 act=408D447F698F9797A6 exp=408D447F698F9797A6 + 9261.50ns INFO [00009263] * RD COMPARE * port=1 adr=04 act=4C1432C69D60CC8020 exp=4C1432C69D60CC8020 + 9261.50ns INFO [00009263] Port=0 WR @04=457A413AE1CE4C4789 + 9261.50ns INFO [00009263] Port=1 RD @03 + 9262.50ns INFO [00009264] * RD COMPARE * port=0 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C + 9262.50ns INFO [00009264] Port=0 WR @06=7FDC8083161E66F660 + 9262.50ns INFO [00009264] Port=1 RD @04 + 9263.50ns INFO [00009265] * RD COMPARE * port=1 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4 + 9263.50ns INFO [00009265] Port=0 RD @07 + 9264.50ns INFO [00009266] * RD COMPARE * port=1 adr=04 act=457A413AE1CE4C4789 exp=457A413AE1CE4C4789 + 9265.50ns INFO [00009267] * RD COMPARE * port=0 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C + 9266.50ns INFO [00009268] Port=0 WR @02=80CC2468F7CF463DC3 + 9266.50ns INFO [00009268] Port=1 RD @07 + 9267.50ns INFO [00009269] Port=0 WR @07=DED6CA8B271FA2A51B + 9267.50ns INFO [00009269] Port=0 RD @01 + 9267.50ns INFO [00009269] Port=1 RD @05 + 9268.50ns INFO [00009270] * RD COMPARE * port=1 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C + 9268.50ns INFO [00009270] Port=0 RD @05 + 9268.50ns INFO [00009270] Port=1 RD @00 + 9269.50ns INFO [00009271] * RD COMPARE * port=0 adr=01 act=6D193CE25679BC4859 exp=6D193CE25679BC4859 + 9269.50ns INFO [00009271] * RD COMPARE * port=1 adr=05 act=A8D89FA33B333A6928 exp=A8D89FA33B333A6928 + 9269.50ns INFO [00009271] Port=0 WR @00=8CE317E9E4FB24FD0D + 9270.50ns INFO [00009272] * RD COMPARE * port=0 adr=05 act=A8D89FA33B333A6928 exp=A8D89FA33B333A6928 + 9270.50ns INFO [00009272] * RD COMPARE * port=1 adr=00 act=74ADA60D719B73D156 exp=74ADA60D719B73D156 + 9270.50ns INFO [00009272] Port=0 RD @03 + 9271.50ns INFO [00009273] Port=0 RD @05 + 9272.50ns INFO [00009274] * RD COMPARE * port=0 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4 + 9273.50ns INFO [00009275] * RD COMPARE * port=0 adr=05 act=A8D89FA33B333A6928 exp=A8D89FA33B333A6928 + 9273.50ns INFO [00009275] Port=0 RD @00 + 9273.50ns INFO [00009275] Port=1 RD @06 + 9274.50ns INFO [00009276] Port=0 RD @06 + 9275.50ns INFO [00009277] * RD COMPARE * port=0 adr=00 act=8CE317E9E4FB24FD0D exp=8CE317E9E4FB24FD0D + 9275.50ns INFO [00009277] * RD COMPARE * port=1 adr=06 act=7FDC8083161E66F660 exp=7FDC8083161E66F660 + 9275.50ns INFO [00009277] Port=1 RD @05 + 9276.50ns INFO [00009278] * RD COMPARE * port=0 adr=06 act=7FDC8083161E66F660 exp=7FDC8083161E66F660 + 9276.50ns INFO [00009278] Port=0 WR @05=642D726FC4FF905001 + 9276.50ns INFO [00009278] Port=1 RD @04 + 9277.50ns INFO [00009279] * RD COMPARE * port=1 adr=05 act=A8D89FA33B333A6928 exp=A8D89FA33B333A6928 + 9277.50ns INFO [00009279] Port=0 RD @05 + 9277.50ns INFO [00009279] Port=1 RD @02 + 9278.50ns INFO [00009280] * RD COMPARE * port=1 adr=04 act=457A413AE1CE4C4789 exp=457A413AE1CE4C4789 + 9278.50ns INFO [00009280] Port=1 RD @02 + 9279.50ns INFO [00009281] * RD COMPARE * port=0 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001 + 9279.50ns INFO [00009281] * RD COMPARE * port=1 adr=02 act=80CC2468F7CF463DC3 exp=80CC2468F7CF463DC3 + 9279.50ns INFO [00009281] Port=0 WR @01=FB2F2D0D88CE9E93EC + 9280.50ns INFO [00009282] * RD COMPARE * port=1 adr=02 act=80CC2468F7CF463DC3 exp=80CC2468F7CF463DC3 + 9280.50ns INFO [00009282] Port=0 WR @04=CA74DC23F135A0A709 + 9280.50ns INFO [00009282] Port=0 RD @00 + 9281.50ns INFO [00009283] Port=0 RD @03 + 9282.50ns INFO [00009284] * RD COMPARE * port=0 adr=00 act=8CE317E9E4FB24FD0D exp=8CE317E9E4FB24FD0D + 9282.50ns INFO [00009284] Port=0 RD @05 + 9283.50ns INFO [00009285] * RD COMPARE * port=0 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4 + 9284.50ns INFO [00009286] * RD COMPARE * port=0 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001 + 9285.50ns INFO [00009287] Port=0 RD @06 + 9286.50ns INFO [00009288] Port=1 RD @03 + 9287.50ns INFO [00009289] * RD COMPARE * port=0 adr=06 act=7FDC8083161E66F660 exp=7FDC8083161E66F660 + 9288.50ns INFO [00009290] * RD COMPARE * port=1 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4 + 9288.50ns INFO [00009290] Port=0 WR @04=50D7DD46213BAF5C9F + 9289.50ns INFO [00009291] Port=0 RD @05 + 9291.50ns INFO [00009293] * RD COMPARE * port=0 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001 + 9291.50ns INFO [00009293] Port=0 RD @06 + 9291.50ns INFO [00009293] Port=1 RD @05 + 9292.50ns INFO [00009294] Port=0 WR @06=AED182147321C69D27 + 9292.50ns INFO [00009294] Port=1 RD @01 + 9293.50ns INFO [00009295] * RD COMPARE * port=0 adr=06 act=7FDC8083161E66F660 exp=7FDC8083161E66F660 + 9293.50ns INFO [00009295] * RD COMPARE * port=1 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001 + 9293.50ns INFO [00009295] Port=1 RD @04 + 9294.50ns INFO [00009296] * RD COMPARE * port=1 adr=01 act=FB2F2D0D88CE9E93EC exp=FB2F2D0D88CE9E93EC + 9295.50ns INFO [00009297] * RD COMPARE * port=1 adr=04 act=50D7DD46213BAF5C9F exp=50D7DD46213BAF5C9F + 9295.50ns INFO [00009297] Port=0 WR @00=0B09E75DCF78B4ADE0 + 9296.50ns INFO [00009298] Port=0 WR @06=CD0CB589BB6E1DD1C3 + 9297.50ns INFO [00009299] Port=0 WR @06=448374725E98887AD6 + 9297.50ns INFO [00009299] Port=0 RD @05 + 9298.00ns INFO [00009300] [00009300] ...tick... + 9298.50ns INFO [00009300] Port=0 RD @06 + 9298.50ns INFO [00009300] Port=1 RD @05 + 9299.50ns INFO [00009301] * RD COMPARE * port=0 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001 + 9299.50ns INFO [00009301] Port=1 RD @07 + 9300.50ns INFO [00009302] * RD COMPARE * port=0 adr=06 act=448374725E98887AD6 exp=448374725E98887AD6 + 9300.50ns INFO [00009302] * RD COMPARE * port=1 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001 + 9300.50ns INFO [00009302] Port=1 RD @01 + 9301.50ns INFO [00009303] * RD COMPARE * port=1 adr=07 act=DED6CA8B271FA2A51B exp=DED6CA8B271FA2A51B + 9301.50ns INFO [00009303] Port=0 RD @05 + 9302.50ns INFO [00009304] * RD COMPARE * port=1 adr=01 act=FB2F2D0D88CE9E93EC exp=FB2F2D0D88CE9E93EC + 9302.50ns INFO [00009304] Port=0 WR @05=F5B7D90DC779B390F9 + 9302.50ns INFO [00009304] Port=0 RD @01 + 9303.50ns INFO [00009305] * RD COMPARE * port=0 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001 + 9304.50ns INFO [00009306] * RD COMPARE * port=0 adr=01 act=FB2F2D0D88CE9E93EC exp=FB2F2D0D88CE9E93EC + 9304.50ns INFO [00009306] Port=0 WR @05=BC27D8506621AE4827 + 9304.50ns INFO [00009306] Port=1 RD @07 + 9306.50ns INFO [00009308] * RD COMPARE * port=1 adr=07 act=DED6CA8B271FA2A51B exp=DED6CA8B271FA2A51B + 9306.50ns INFO [00009308] Port=0 WR @05=5CA4EE41CA98A9604B + 9306.50ns INFO [00009308] Port=0 RD @04 + 9306.50ns INFO [00009308] Port=1 RD @01 + 9308.50ns INFO [00009310] * RD COMPARE * port=0 adr=04 act=50D7DD46213BAF5C9F exp=50D7DD46213BAF5C9F + 9308.50ns INFO [00009310] * RD COMPARE * port=1 adr=01 act=FB2F2D0D88CE9E93EC exp=FB2F2D0D88CE9E93EC + 9308.50ns INFO [00009310] Port=0 RD @06 + 9309.50ns INFO [00009311] Port=1 RD @07 + 9310.50ns INFO [00009312] * RD COMPARE * port=0 adr=06 act=448374725E98887AD6 exp=448374725E98887AD6 + 9310.50ns INFO [00009312] Port=0 RD @00 + 9310.50ns INFO [00009312] Port=1 RD @04 + 9311.50ns INFO [00009313] * RD COMPARE * port=1 adr=07 act=DED6CA8B271FA2A51B exp=DED6CA8B271FA2A51B + 9311.50ns INFO [00009313] Port=1 RD @05 + 9312.50ns INFO [00009314] * RD COMPARE * port=0 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9312.50ns INFO [00009314] * RD COMPARE * port=1 adr=04 act=50D7DD46213BAF5C9F exp=50D7DD46213BAF5C9F + 9312.50ns INFO [00009314] Port=0 RD @05 + 9313.50ns INFO [00009315] * RD COMPARE * port=1 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B + 9313.50ns INFO [00009315] Port=0 RD @00 + 9314.50ns INFO [00009316] * RD COMPARE * port=0 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B + 9315.50ns INFO [00009317] * RD COMPARE * port=0 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9315.50ns INFO [00009317] Port=0 RD @05 + 9315.50ns INFO [00009317] Port=1 RD @02 + 9316.50ns INFO [00009318] Port=1 RD @04 + 9317.50ns INFO [00009319] * RD COMPARE * port=0 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B + 9317.50ns INFO [00009319] * RD COMPARE * port=1 adr=02 act=80CC2468F7CF463DC3 exp=80CC2468F7CF463DC3 + 9317.50ns INFO [00009319] Port=0 WR @04=9D012F9B71CC73E112 + 9317.50ns INFO [00009319] Port=0 RD @05 + 9317.50ns INFO [00009319] Port=1 RD @03 + 9318.50ns INFO [00009320] * RD COMPARE * port=1 adr=04 act=50D7DD46213BAF5C9F exp=50D7DD46213BAF5C9F + 9318.50ns INFO [00009320] Port=0 WR @06=356EA0F9F51FF54D9A + 9318.50ns INFO [00009320] Port=0 RD @01 + 9319.50ns INFO [00009321] * RD COMPARE * port=0 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B + 9319.50ns INFO [00009321] * RD COMPARE * port=1 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4 + 9320.50ns INFO [00009322] * RD COMPARE * port=0 adr=01 act=FB2F2D0D88CE9E93EC exp=FB2F2D0D88CE9E93EC + 9320.50ns INFO [00009322] Port=0 WR @01=F50F7C258612DC1A9B + 9322.50ns INFO [00009324] Port=0 WR @07=143630DA475CBB9D99 + 9322.50ns INFO [00009324] Port=0 RD @03 + 9323.50ns INFO [00009325] Port=0 RD @05 + 9324.50ns INFO [00009326] * RD COMPARE * port=0 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4 + 9324.50ns INFO [00009326] Port=0 WR @03=ACFD91722ACAD8E690 + 9324.50ns INFO [00009326] Port=0 RD @04 + 9325.50ns INFO [00009327] * RD COMPARE * port=0 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B + 9325.50ns INFO [00009327] Port=1 RD @04 + 9326.50ns INFO [00009328] * RD COMPARE * port=0 adr=04 act=9D012F9B71CC73E112 exp=9D012F9B71CC73E112 + 9326.50ns INFO [00009328] Port=0 WR @02=1CB708466758658BAB + 9327.50ns INFO [00009329] * RD COMPARE * port=1 adr=04 act=9D012F9B71CC73E112 exp=9D012F9B71CC73E112 + 9327.50ns INFO [00009329] Port=0 WR @07=2979DE9BE6C2F9DB58 + 9327.50ns INFO [00009329] Port=0 RD @03 + 9327.50ns INFO [00009329] Port=1 RD @04 + 9328.50ns INFO [00009330] Port=1 RD @05 + 9329.50ns INFO [00009331] * RD COMPARE * port=0 adr=03 act=ACFD91722ACAD8E690 exp=ACFD91722ACAD8E690 + 9329.50ns INFO [00009331] * RD COMPARE * port=1 adr=04 act=9D012F9B71CC73E112 exp=9D012F9B71CC73E112 + 9329.50ns INFO [00009331] Port=0 WR @06=E7DE6543219597B6C0 + 9330.50ns INFO [00009332] * RD COMPARE * port=1 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B + 9330.50ns INFO [00009332] Port=1 RD @03 + 9331.50ns INFO [00009333] Port=0 RD @01 + 9332.50ns INFO [00009334] * RD COMPARE * port=1 adr=03 act=ACFD91722ACAD8E690 exp=ACFD91722ACAD8E690 + 9332.50ns INFO [00009334] Port=0 RD @03 + 9332.50ns INFO [00009334] Port=1 RD @00 + 9333.50ns INFO [00009335] * RD COMPARE * port=0 adr=01 act=F50F7C258612DC1A9B exp=F50F7C258612DC1A9B + 9333.50ns INFO [00009335] Port=0 WR @05=6766054D3859C5AA23 + 9333.50ns INFO [00009335] Port=0 RD @06 + 9334.50ns INFO [00009336] * RD COMPARE * port=0 adr=03 act=ACFD91722ACAD8E690 exp=ACFD91722ACAD8E690 + 9334.50ns INFO [00009336] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9335.50ns INFO [00009337] * RD COMPARE * port=0 adr=06 act=E7DE6543219597B6C0 exp=E7DE6543219597B6C0 + 9335.50ns INFO [00009337] Port=0 RD @03 + 9336.50ns INFO [00009338] Port=0 WR @03=1E95737C84BBB2A1A3 + 9336.50ns INFO [00009338] Port=0 RD @06 + 9337.50ns INFO [00009339] * RD COMPARE * port=0 adr=03 act=ACFD91722ACAD8E690 exp=ACFD91722ACAD8E690 + 9337.50ns INFO [00009339] Port=1 RD @00 + 9338.50ns INFO [00009340] * RD COMPARE * port=0 adr=06 act=E7DE6543219597B6C0 exp=E7DE6543219597B6C0 + 9339.50ns INFO [00009341] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9339.50ns INFO [00009341] Port=1 RD @04 + 9340.50ns INFO [00009342] Port=0 WR @01=CBF1E112D0FBC237A9 + 9340.50ns INFO [00009342] Port=1 RD @00 + 9341.50ns INFO [00009343] * RD COMPARE * port=1 adr=04 act=9D012F9B71CC73E112 exp=9D012F9B71CC73E112 + 9342.50ns INFO [00009344] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9342.50ns INFO [00009344] Port=0 RD @07 + 9342.50ns INFO [00009344] Port=1 RD @04 + 9343.50ns INFO [00009345] Port=1 RD @01 + 9344.50ns INFO [00009346] * RD COMPARE * port=0 adr=07 act=2979DE9BE6C2F9DB58 exp=2979DE9BE6C2F9DB58 + 9344.50ns INFO [00009346] * RD COMPARE * port=1 adr=04 act=9D012F9B71CC73E112 exp=9D012F9B71CC73E112 + 9344.50ns INFO [00009346] Port=0 WR @05=A28E613F72EB992BBD + 9345.50ns INFO [00009347] * RD COMPARE * port=1 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9 + 9345.50ns INFO [00009347] Port=1 RD @00 + 9346.50ns INFO [00009348] Port=0 WR @05=DC3DC9B44FFB0049B0 + 9346.50ns INFO [00009348] Port=0 RD @07 + 9346.50ns INFO [00009348] Port=1 RD @06 + 9347.50ns INFO [00009349] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9348.50ns INFO [00009350] * RD COMPARE * port=0 adr=07 act=2979DE9BE6C2F9DB58 exp=2979DE9BE6C2F9DB58 + 9348.50ns INFO [00009350] * RD COMPARE * port=1 adr=06 act=E7DE6543219597B6C0 exp=E7DE6543219597B6C0 + 9348.50ns INFO [00009350] Port=0 RD @00 + 9349.50ns INFO [00009351] Port=0 RD @05 + 9350.50ns INFO [00009352] * RD COMPARE * port=0 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9350.50ns INFO [00009352] Port=0 WR @02=9A0A945D1E29E718EA + 9350.50ns INFO [00009352] Port=1 RD @00 + 9351.50ns INFO [00009353] * RD COMPARE * port=0 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0 + 9352.50ns INFO [00009354] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9352.50ns INFO [00009354] Port=0 WR @06=237295C5FDD803C0C4 + 9352.50ns INFO [00009354] Port=0 RD @01 + 9353.50ns INFO [00009355] Port=0 WR @02=688C2E8BEDE27690F5 + 9353.50ns INFO [00009355] Port=0 RD @01 + 9354.50ns INFO [00009356] * RD COMPARE * port=0 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9 + 9355.50ns INFO [00009357] * RD COMPARE * port=0 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9 + 9355.50ns INFO [00009357] Port=1 RD @01 + 9356.50ns INFO [00009358] Port=0 WR @04=CC382627029370E66F + 9357.50ns INFO [00009359] * RD COMPARE * port=1 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9 + 9358.50ns INFO [00009360] Port=0 RD @05 + 9359.50ns INFO [00009361] Port=0 WR @04=54BA2577D36CFBFEBB + 9359.50ns INFO [00009361] Port=1 RD @06 + 9360.50ns INFO [00009362] * RD COMPARE * port=0 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0 + 9360.50ns INFO [00009362] Port=0 RD @04 + 9361.50ns INFO [00009363] * RD COMPARE * port=1 adr=06 act=237295C5FDD803C0C4 exp=237295C5FDD803C0C4 + 9362.50ns INFO [00009364] * RD COMPARE * port=0 adr=04 act=54BA2577D36CFBFEBB exp=54BA2577D36CFBFEBB + 9362.50ns INFO [00009364] Port=0 RD @01 + 9362.50ns INFO [00009364] Port=1 RD @02 + 9363.50ns INFO [00009365] Port=1 RD @03 + 9364.50ns INFO [00009366] * RD COMPARE * port=0 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9 + 9364.50ns INFO [00009366] * RD COMPARE * port=1 adr=02 act=688C2E8BEDE27690F5 exp=688C2E8BEDE27690F5 + 9364.50ns INFO [00009366] Port=0 WR @07=17939703AE0F3C9D3E + 9365.50ns INFO [00009367] * RD COMPARE * port=1 adr=03 act=1E95737C84BBB2A1A3 exp=1E95737C84BBB2A1A3 + 9365.50ns INFO [00009367] Port=0 WR @02=76414B54436BB1F508 + 9365.50ns INFO [00009367] Port=0 RD @07 + 9365.50ns INFO [00009367] Port=1 RD @04 + 9366.50ns INFO [00009368] Port=0 WR @06=98B79284F865F7397C + 9366.50ns INFO [00009368] Port=0 RD @03 + 9367.50ns INFO [00009369] * RD COMPARE * port=0 adr=07 act=17939703AE0F3C9D3E exp=17939703AE0F3C9D3E + 9367.50ns INFO [00009369] * RD COMPARE * port=1 adr=04 act=54BA2577D36CFBFEBB exp=54BA2577D36CFBFEBB + 9368.50ns INFO [00009370] * RD COMPARE * port=0 adr=03 act=1E95737C84BBB2A1A3 exp=1E95737C84BBB2A1A3 + 9368.50ns INFO [00009370] Port=1 RD @07 + 9369.50ns INFO [00009371] Port=0 WR @06=8B89E220F25C4926DD + 9370.50ns INFO [00009372] * RD COMPARE * port=1 adr=07 act=17939703AE0F3C9D3E exp=17939703AE0F3C9D3E + 9370.50ns INFO [00009372] Port=1 RD @07 + 9371.50ns INFO [00009373] Port=0 WR @06=7AB72EFF7A6C588E78 + 9372.50ns INFO [00009374] * RD COMPARE * port=1 adr=07 act=17939703AE0F3C9D3E exp=17939703AE0F3C9D3E + 9373.50ns INFO [00009375] Port=0 RD @05 + 9373.50ns INFO [00009375] Port=1 RD @01 + 9374.50ns INFO [00009376] Port=1 RD @05 + 9375.50ns INFO [00009377] * RD COMPARE * port=0 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0 + 9375.50ns INFO [00009377] * RD COMPARE * port=1 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9 + 9376.50ns INFO [00009378] * RD COMPARE * port=1 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0 + 9376.50ns INFO [00009378] Port=1 RD @02 + 9378.50ns INFO [00009380] * RD COMPARE * port=1 adr=02 act=76414B54436BB1F508 exp=76414B54436BB1F508 + 9379.50ns INFO [00009381] Port=0 RD @04 + 9380.50ns INFO [00009382] Port=0 RD @05 + 9380.50ns INFO [00009382] Port=1 RD @04 + 9381.50ns INFO [00009383] * RD COMPARE * port=0 adr=04 act=54BA2577D36CFBFEBB exp=54BA2577D36CFBFEBB + 9381.50ns INFO [00009383] Port=0 WR @03=4579A0BBAD03947918 + 9382.50ns INFO [00009384] * RD COMPARE * port=0 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0 + 9382.50ns INFO [00009384] * RD COMPARE * port=1 adr=04 act=54BA2577D36CFBFEBB exp=54BA2577D36CFBFEBB + 9384.50ns INFO [00009386] Port=0 WR @07=3BE22B2C89DDDAA1BC + 9384.50ns INFO [00009386] Port=0 RD @05 + 9385.50ns INFO [00009387] Port=0 WR @05=69844284967B0C294E + 9386.50ns INFO [00009388] * RD COMPARE * port=0 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0 + 9386.50ns INFO [00009388] Port=0 WR @06=ADBDF6A6AE8150C432 + 9386.50ns INFO [00009388] Port=0 RD @05 + 9386.50ns INFO [00009388] Port=1 RD @02 + 9388.50ns INFO [00009390] * RD COMPARE * port=0 adr=05 act=69844284967B0C294E exp=69844284967B0C294E + 9388.50ns INFO [00009390] * RD COMPARE * port=1 adr=02 act=76414B54436BB1F508 exp=76414B54436BB1F508 + 9388.50ns INFO [00009390] Port=1 RD @05 + 9389.50ns INFO [00009391] Port=0 WR @05=6A6F477C695CF7B411 + 9390.50ns INFO [00009392] * RD COMPARE * port=1 adr=05 act=69844284967B0C294E exp=69844284967B0C294E + 9390.50ns INFO [00009392] Port=0 RD @00 + 9390.50ns INFO [00009392] Port=1 RD @04 + 9391.50ns INFO [00009393] Port=0 WR @01=94C88A2B4D821301DE + 9391.50ns INFO [00009393] Port=0 RD @06 + 9392.50ns INFO [00009394] * RD COMPARE * port=0 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9392.50ns INFO [00009394] * RD COMPARE * port=1 adr=04 act=54BA2577D36CFBFEBB exp=54BA2577D36CFBFEBB + 9392.50ns INFO [00009394] Port=0 RD @05 + 9393.50ns INFO [00009395] * RD COMPARE * port=0 adr=06 act=ADBDF6A6AE8150C432 exp=ADBDF6A6AE8150C432 + 9393.50ns INFO [00009395] Port=0 WR @01=5FB97A9550604F273A + 9394.50ns INFO [00009396] * RD COMPARE * port=0 adr=05 act=6A6F477C695CF7B411 exp=6A6F477C695CF7B411 + 9394.50ns INFO [00009396] Port=0 RD @07 + 9394.50ns INFO [00009396] Port=1 RD @01 + 9395.50ns INFO [00009397] Port=0 RD @05 + 9395.50ns INFO [00009397] Port=1 RD @00 + 9396.50ns INFO [00009398] * RD COMPARE * port=0 adr=07 act=3BE22B2C89DDDAA1BC exp=3BE22B2C89DDDAA1BC + 9396.50ns INFO [00009398] * RD COMPARE * port=1 adr=01 act=5FB97A9550604F273A exp=5FB97A9550604F273A + 9396.50ns INFO [00009398] Port=0 WR @07=1BFB59FBEDA306C7CA + 9396.50ns INFO [00009398] Port=1 RD @02 + 9397.50ns INFO [00009399] * RD COMPARE * port=0 adr=05 act=6A6F477C695CF7B411 exp=6A6F477C695CF7B411 + 9397.50ns INFO [00009399] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9397.50ns INFO [00009399] Port=0 WR @02=61FA6B2BA1956F4E26 + 9397.50ns INFO [00009399] Port=0 RD @06 + 9397.50ns INFO [00009399] Port=1 RD @06 + 9398.00ns INFO [00009400] [00009400] ...tick... + 9398.50ns INFO [00009400] * RD COMPARE * port=1 adr=02 act=76414B54436BB1F508 exp=76414B54436BB1F508 + 9398.50ns INFO [00009400] Port=1 RD @00 + 9399.50ns INFO [00009401] * RD COMPARE * port=0 adr=06 act=ADBDF6A6AE8150C432 exp=ADBDF6A6AE8150C432 + 9399.50ns INFO [00009401] * RD COMPARE * port=1 adr=06 act=ADBDF6A6AE8150C432 exp=ADBDF6A6AE8150C432 + 9400.50ns INFO [00009402] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9400.50ns INFO [00009402] Port=0 WR @04=FBD810B2F04A991244 + 9401.50ns INFO [00009403] Port=0 WR @05=CA89BD53732BD2E858 + 9402.50ns INFO [00009404] Port=1 RD @04 + 9403.50ns INFO [00009405] Port=0 WR @05=8B9093FFB4D2384F0D + 9403.50ns INFO [00009405] Port=0 RD @00 + 9403.50ns INFO [00009405] Port=1 RD @00 + 9404.50ns INFO [00009406] * RD COMPARE * port=1 adr=04 act=FBD810B2F04A991244 exp=FBD810B2F04A991244 + 9404.50ns INFO [00009406] Port=0 RD @02 + 9404.50ns INFO [00009406] Port=1 RD @01 + 9405.50ns INFO [00009407] * RD COMPARE * port=0 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9405.50ns INFO [00009407] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9405.50ns INFO [00009407] Port=0 WR @03=F8341C948C2DED28EF + 9405.50ns INFO [00009407] Port=1 RD @06 + 9406.50ns INFO [00009408] * RD COMPARE * port=0 adr=02 act=61FA6B2BA1956F4E26 exp=61FA6B2BA1956F4E26 + 9406.50ns INFO [00009408] * RD COMPARE * port=1 adr=01 act=5FB97A9550604F273A exp=5FB97A9550604F273A + 9407.50ns INFO [00009409] * RD COMPARE * port=1 adr=06 act=ADBDF6A6AE8150C432 exp=ADBDF6A6AE8150C432 + 9407.50ns INFO [00009409] Port=0 WR @05=22F68C228C395AD17E + 9408.50ns INFO [00009410] Port=0 WR @06=93683511ED034B04C2 + 9409.50ns INFO [00009411] Port=0 WR @07=2582F91CE493E319DD + 9410.50ns INFO [00009412] Port=0 WR @01=DD56DFE39EFD9EBAB4 + 9410.50ns INFO [00009412] Port=0 RD @05 + 9411.50ns INFO [00009413] Port=0 RD @06 + 9412.50ns INFO [00009414] * RD COMPARE * port=0 adr=05 act=22F68C228C395AD17E exp=22F68C228C395AD17E + 9413.50ns INFO [00009415] * RD COMPARE * port=0 adr=06 act=93683511ED034B04C2 exp=93683511ED034B04C2 + 9413.50ns INFO [00009415] Port=0 RD @03 + 9413.50ns INFO [00009415] Port=1 RD @00 + 9415.50ns INFO [00009417] * RD COMPARE * port=0 adr=03 act=F8341C948C2DED28EF exp=F8341C948C2DED28EF + 9415.50ns INFO [00009417] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0 + 9415.50ns INFO [00009417] Port=1 RD @03 + 9416.50ns INFO [00009418] Port=0 WR @04=5F2BDB61F1C55A712E + 9416.50ns INFO [00009418] Port=0 RD @03 + 9416.50ns INFO [00009418] Port=1 RD @05 + 9417.50ns INFO [00009419] * RD COMPARE * port=1 adr=03 act=F8341C948C2DED28EF exp=F8341C948C2DED28EF + 9417.50ns INFO [00009419] Port=0 WR @00=ADF0464648DF863047 + 9418.50ns INFO [00009420] * RD COMPARE * port=0 adr=03 act=F8341C948C2DED28EF exp=F8341C948C2DED28EF + 9418.50ns INFO [00009420] * RD COMPARE * port=1 adr=05 act=22F68C228C395AD17E exp=22F68C228C395AD17E + 9419.50ns INFO [00009421] Port=0 RD @01 + 9419.50ns INFO [00009421] Port=1 RD @06 + 9420.50ns INFO [00009422] Port=0 WR @02=81883512FFEB4039CE + 9420.50ns INFO [00009422] Port=0 RD @06 + 9420.50ns INFO [00009422] Port=1 RD @04 + 9421.50ns INFO [00009423] * RD COMPARE * port=0 adr=01 act=DD56DFE39EFD9EBAB4 exp=DD56DFE39EFD9EBAB4 + 9421.50ns INFO [00009423] * RD COMPARE * port=1 adr=06 act=93683511ED034B04C2 exp=93683511ED034B04C2 + 9421.50ns INFO [00009423] Port=0 WR @03=5E15D219FABA965918 + 9422.50ns INFO [00009424] * RD COMPARE * port=0 adr=06 act=93683511ED034B04C2 exp=93683511ED034B04C2 + 9422.50ns INFO [00009424] * RD COMPARE * port=1 adr=04 act=5F2BDB61F1C55A712E exp=5F2BDB61F1C55A712E + 9422.50ns INFO [00009424] Port=0 WR @02=6F9EB9953BB4923686 + 9423.50ns INFO [00009425] Port=0 RD @06 + 9425.50ns INFO [00009427] * RD COMPARE * port=0 adr=06 act=93683511ED034B04C2 exp=93683511ED034B04C2 + 9425.50ns INFO [00009427] Port=0 WR @00=5EEEE7FB78FACD0849 + 9426.50ns INFO [00009428] Port=0 WR @03=C1101688EC2543E0FD + 9428.50ns INFO [00009430] Port=0 WR @04=0041372CA6F91AEC49 + 9428.50ns INFO [00009430] Port=1 RD @03 + 9430.50ns INFO [00009432] * RD COMPARE * port=1 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD + 9430.50ns INFO [00009432] Port=0 WR @04=8E3BA20DE570450178 + 9430.50ns INFO [00009432] Port=0 RD @05 + 9430.50ns INFO [00009432] Port=1 RD @00 + 9431.50ns INFO [00009433] Port=0 WR @02=0B6A3C4B1567367289 + 9432.50ns INFO [00009434] * RD COMPARE * port=0 adr=05 act=22F68C228C395AD17E exp=22F68C228C395AD17E + 9432.50ns INFO [00009434] * RD COMPARE * port=1 adr=00 act=5EEEE7FB78FACD0849 exp=5EEEE7FB78FACD0849 + 9432.50ns INFO [00009434] Port=0 WR @07=549C2855D6343F2B8D + 9433.50ns INFO [00009435] Port=0 WR @05=260941F6785AA293E0 + 9433.50ns INFO [00009435] Port=1 RD @01 + 9434.50ns INFO [00009436] Port=1 RD @04 + 9435.50ns INFO [00009437] * RD COMPARE * port=1 adr=01 act=DD56DFE39EFD9EBAB4 exp=DD56DFE39EFD9EBAB4 + 9435.50ns INFO [00009437] Port=0 WR @02=EB014A25CA3202DF9D + 9435.50ns INFO [00009437] Port=0 RD @01 + 9436.50ns INFO [00009438] * RD COMPARE * port=1 adr=04 act=8E3BA20DE570450178 exp=8E3BA20DE570450178 + 9436.50ns INFO [00009438] Port=1 RD @07 + 9437.50ns INFO [00009439] * RD COMPARE * port=0 adr=01 act=DD56DFE39EFD9EBAB4 exp=DD56DFE39EFD9EBAB4 + 9437.50ns INFO [00009439] Port=0 WR @02=A7D6B54752605145AD + 9437.50ns INFO [00009439] Port=1 RD @03 + 9438.50ns INFO [00009440] * RD COMPARE * port=1 adr=07 act=549C2855D6343F2B8D exp=549C2855D6343F2B8D + 9438.50ns INFO [00009440] Port=0 RD @05 + 9439.50ns INFO [00009441] * RD COMPARE * port=1 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD + 9439.50ns INFO [00009441] Port=0 RD @01 + 9440.50ns INFO [00009442] * RD COMPARE * port=0 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0 + 9440.50ns INFO [00009442] Port=1 RD @04 + 9441.50ns INFO [00009443] * RD COMPARE * port=0 adr=01 act=DD56DFE39EFD9EBAB4 exp=DD56DFE39EFD9EBAB4 + 9441.50ns INFO [00009443] Port=0 WR @07=FC48D2E52DAEC9FF5E + 9442.50ns INFO [00009444] * RD COMPARE * port=1 adr=04 act=8E3BA20DE570450178 exp=8E3BA20DE570450178 + 9442.50ns INFO [00009444] Port=0 RD @05 + 9444.50ns INFO [00009446] * RD COMPARE * port=0 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0 + 9445.50ns INFO [00009447] Port=0 RD @03 + 9445.50ns INFO [00009447] Port=1 RD @00 + 9447.50ns INFO [00009449] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD + 9447.50ns INFO [00009449] * RD COMPARE * port=1 adr=00 act=5EEEE7FB78FACD0849 exp=5EEEE7FB78FACD0849 + 9448.50ns INFO [00009450] Port=1 RD @07 + 9450.50ns INFO [00009452] * RD COMPARE * port=1 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E + 9450.50ns INFO [00009452] Port=0 RD @01 + 9451.50ns INFO [00009453] Port=0 WR @01=613AF30B2843A4A52C + 9452.50ns INFO [00009454] * RD COMPARE * port=0 adr=01 act=DD56DFE39EFD9EBAB4 exp=DD56DFE39EFD9EBAB4 + 9452.50ns INFO [00009454] Port=0 RD @03 + 9453.50ns INFO [00009455] Port=0 RD @05 + 9454.50ns INFO [00009456] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD + 9454.50ns INFO [00009456] Port=0 RD @05 + 9455.50ns INFO [00009457] * RD COMPARE * port=0 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0 + 9456.50ns INFO [00009458] * RD COMPARE * port=0 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0 + 9456.50ns INFO [00009458] Port=0 WR @04=5666BAE1732DE9F2FC + 9456.50ns INFO [00009458] Port=0 RD @03 + 9456.50ns INFO [00009458] Port=1 RD @00 + 9457.50ns INFO [00009459] Port=0 WR @00=CFC63BF1AFDD90844D + 9458.50ns INFO [00009460] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD + 9458.50ns INFO [00009460] * RD COMPARE * port=1 adr=00 act=5EEEE7FB78FACD0849 exp=5EEEE7FB78FACD0849 + 9458.50ns INFO [00009460] Port=0 RD @03 + 9458.50ns INFO [00009460] Port=1 RD @06 + 9460.50ns INFO [00009462] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD + 9460.50ns INFO [00009462] * RD COMPARE * port=1 adr=06 act=93683511ED034B04C2 exp=93683511ED034B04C2 + 9460.50ns INFO [00009462] Port=0 RD @03 + 9461.50ns INFO [00009463] Port=0 RD @07 + 9461.50ns INFO [00009463] Port=1 RD @07 + 9462.50ns INFO [00009464] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD + 9462.50ns INFO [00009464] Port=1 RD @01 + 9463.50ns INFO [00009465] * RD COMPARE * port=0 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E + 9463.50ns INFO [00009465] * RD COMPARE * port=1 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E + 9463.50ns INFO [00009465] Port=0 RD @07 + 9464.50ns INFO [00009466] * RD COMPARE * port=1 adr=01 act=613AF30B2843A4A52C exp=613AF30B2843A4A52C + 9464.50ns INFO [00009466] Port=1 RD @07 + 9465.50ns INFO [00009467] * RD COMPARE * port=0 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E + 9465.50ns INFO [00009467] Port=1 RD @05 + 9466.50ns INFO [00009468] * RD COMPARE * port=1 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E + 9466.50ns INFO [00009468] Port=0 WR @01=1A16DA7C021A8E7696 + 9466.50ns INFO [00009468] Port=0 RD @04 + 9466.50ns INFO [00009468] Port=1 RD @00 + 9467.50ns INFO [00009469] * RD COMPARE * port=1 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0 + 9467.50ns INFO [00009469] Port=0 WR @00=AA91AAA56BC9B6304C + 9467.50ns INFO [00009469] Port=0 RD @03 + 9467.50ns INFO [00009469] Port=1 RD @03 + 9468.50ns INFO [00009470] * RD COMPARE * port=0 adr=04 act=5666BAE1732DE9F2FC exp=5666BAE1732DE9F2FC + 9468.50ns INFO [00009470] * RD COMPARE * port=1 adr=00 act=CFC63BF1AFDD90844D exp=CFC63BF1AFDD90844D + 9469.50ns INFO [00009471] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD + 9469.50ns INFO [00009471] * RD COMPARE * port=1 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD + 9469.50ns INFO [00009471] Port=1 RD @05 + 9470.50ns INFO [00009472] Port=0 WR @06=7CE602F3108C60F283 + 9470.50ns INFO [00009472] Port=0 RD @04 + 9471.50ns INFO [00009473] * RD COMPARE * port=1 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0 + 9471.50ns INFO [00009473] Port=0 RD @01 + 9471.50ns INFO [00009473] Port=1 RD @03 + 9472.50ns INFO [00009474] * RD COMPARE * port=0 adr=04 act=5666BAE1732DE9F2FC exp=5666BAE1732DE9F2FC + 9472.50ns INFO [00009474] Port=0 WR @04=CFA8249E2898DA018D + 9472.50ns INFO [00009474] Port=0 RD @02 + 9473.50ns INFO [00009475] * RD COMPARE * port=0 adr=01 act=1A16DA7C021A8E7696 exp=1A16DA7C021A8E7696 + 9473.50ns INFO [00009475] * RD COMPARE * port=1 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD + 9473.50ns INFO [00009475] Port=0 WR @03=03F072C38DD51C1E71 + 9473.50ns INFO [00009475] Port=0 RD @02 + 9474.50ns INFO [00009476] * RD COMPARE * port=0 adr=02 act=A7D6B54752605145AD exp=A7D6B54752605145AD + 9475.50ns INFO [00009477] * RD COMPARE * port=0 adr=02 act=A7D6B54752605145AD exp=A7D6B54752605145AD + 9475.50ns INFO [00009477] Port=0 WR @03=F2AE4B377027CE6A18 + 9475.50ns INFO [00009477] Port=0 RD @02 + 9475.50ns INFO [00009477] Port=1 RD @04 + 9476.50ns INFO [00009478] Port=0 WR @01=E9546F3E2E92554218 + 9476.50ns INFO [00009478] Port=1 RD @06 + 9477.50ns INFO [00009479] * RD COMPARE * port=0 adr=02 act=A7D6B54752605145AD exp=A7D6B54752605145AD + 9477.50ns INFO [00009479] * RD COMPARE * port=1 adr=04 act=CFA8249E2898DA018D exp=CFA8249E2898DA018D + 9477.50ns INFO [00009479] Port=0 RD @07 + 9477.50ns INFO [00009479] Port=1 RD @05 + 9478.50ns INFO [00009480] * RD COMPARE * port=1 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283 + 9478.50ns INFO [00009480] Port=1 RD @01 + 9479.50ns INFO [00009481] * RD COMPARE * port=0 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E + 9479.50ns INFO [00009481] * RD COMPARE * port=1 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0 + 9480.50ns INFO [00009482] * RD COMPARE * port=1 adr=01 act=E9546F3E2E92554218 exp=E9546F3E2E92554218 + 9480.50ns INFO [00009482] Port=0 WR @02=5724B04738782384CD + 9480.50ns INFO [00009482] Port=1 RD @04 + 9481.50ns INFO [00009483] Port=0 RD @01 + 9482.50ns INFO [00009484] * RD COMPARE * port=1 adr=04 act=CFA8249E2898DA018D exp=CFA8249E2898DA018D + 9482.50ns INFO [00009484] Port=0 WR @00=E9EB06E324A3E774C6 + 9482.50ns INFO [00009484] Port=1 RD @05 + 9483.50ns INFO [00009485] * RD COMPARE * port=0 adr=01 act=E9546F3E2E92554218 exp=E9546F3E2E92554218 + 9483.50ns INFO [00009485] Port=0 WR @05=D8B0A5B32AAEB7063B + 9483.50ns INFO [00009485] Port=0 RD @01 + 9483.50ns INFO [00009485] Port=1 RD @02 + 9484.50ns INFO [00009486] * RD COMPARE * port=1 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0 + 9484.50ns INFO [00009486] Port=0 WR @00=4FEE49E42999D02D67 + 9484.50ns INFO [00009486] Port=1 RD @05 + 9485.50ns INFO [00009487] * RD COMPARE * port=0 adr=01 act=E9546F3E2E92554218 exp=E9546F3E2E92554218 + 9485.50ns INFO [00009487] * RD COMPARE * port=1 adr=02 act=5724B04738782384CD exp=5724B04738782384CD + 9485.50ns INFO [00009487] Port=0 WR @05=19EA129E8419D721AC + 9486.50ns INFO [00009488] * RD COMPARE * port=1 adr=05 act=D8B0A5B32AAEB7063B exp=D8B0A5B32AAEB7063B + 9486.50ns INFO [00009488] Port=0 WR @00=C5BCEFA54EF9F52B76 + 9486.50ns INFO [00009488] Port=0 RD @04 + 9486.50ns INFO [00009488] Port=1 RD @01 + 9487.50ns INFO [00009489] Port=0 RD @03 + 9487.50ns INFO [00009489] Port=1 RD @00 + 9488.50ns INFO [00009490] * RD COMPARE * port=0 adr=04 act=CFA8249E2898DA018D exp=CFA8249E2898DA018D + 9488.50ns INFO [00009490] * RD COMPARE * port=1 adr=01 act=E9546F3E2E92554218 exp=E9546F3E2E92554218 + 9488.50ns INFO [00009490] Port=0 WR @04=C3A55C5C3CAD5C8E3B + 9488.50ns INFO [00009490] Port=1 RD @06 + 9489.50ns INFO [00009491] * RD COMPARE * port=0 adr=03 act=F2AE4B377027CE6A18 exp=F2AE4B377027CE6A18 + 9489.50ns INFO [00009491] * RD COMPARE * port=1 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76 + 9489.50ns INFO [00009491] Port=0 WR @02=90678890DD77B3E16B + 9489.50ns INFO [00009491] Port=0 RD @04 + 9490.50ns INFO [00009492] * RD COMPARE * port=1 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283 + 9490.50ns INFO [00009492] Port=0 WR @01=9F68DAC196245085A4 + 9491.50ns INFO [00009493] * RD COMPARE * port=0 adr=04 act=C3A55C5C3CAD5C8E3B exp=C3A55C5C3CAD5C8E3B + 9491.50ns INFO [00009493] Port=1 RD @01 + 9492.50ns INFO [00009494] Port=0 RD @00 + 9493.50ns INFO [00009495] * RD COMPARE * port=1 adr=01 act=9F68DAC196245085A4 exp=9F68DAC196245085A4 + 9493.50ns INFO [00009495] Port=0 WR @01=421174E4A31C9D2EE5 + 9493.50ns INFO [00009495] Port=0 RD @04 + 9494.50ns INFO [00009496] * RD COMPARE * port=0 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76 + 9494.50ns INFO [00009496] Port=0 RD @03 + 9494.50ns INFO [00009496] Port=1 RD @07 + 9495.50ns INFO [00009497] * RD COMPARE * port=0 adr=04 act=C3A55C5C3CAD5C8E3B exp=C3A55C5C3CAD5C8E3B + 9496.50ns INFO [00009498] * RD COMPARE * port=0 adr=03 act=F2AE4B377027CE6A18 exp=F2AE4B377027CE6A18 + 9496.50ns INFO [00009498] * RD COMPARE * port=1 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E + 9496.50ns INFO [00009498] Port=1 RD @00 + 9497.50ns INFO [00009499] Port=0 WR @03=3FAABAB984A720EB92 + 9497.50ns INFO [00009499] Port=1 RD @07 + 9498.00ns INFO [00009500] [00009500] ...tick... + 9498.50ns INFO [00009500] * RD COMPARE * port=1 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76 + 9499.50ns INFO [00009501] * RD COMPARE * port=1 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E + 9499.50ns INFO [00009501] Port=0 WR @01=909E3B6FD0C1C243F5 + 9499.50ns INFO [00009501] Port=1 RD @05 + 9500.50ns INFO [00009502] Port=0 WR @07=A5535D0FD96C1E0A5B + 9501.50ns INFO [00009503] * RD COMPARE * port=1 adr=05 act=19EA129E8419D721AC exp=19EA129E8419D721AC + 9502.50ns INFO [00009504] Port=0 WR @04=CDA56C6F3C9A61501B + 9502.50ns INFO [00009504] Port=1 RD @02 + 9504.50ns INFO [00009506] * RD COMPARE * port=1 adr=02 act=90678890DD77B3E16B exp=90678890DD77B3E16B + 9504.50ns INFO [00009506] Port=0 WR @02=02D78211640AFCB2CF + 9504.50ns INFO [00009506] Port=1 RD @07 + 9505.50ns INFO [00009507] Port=0 WR @05=248AC521655E1AAB92 + 9505.50ns INFO [00009507] Port=0 RD @03 + 9506.50ns INFO [00009508] * RD COMPARE * port=1 adr=07 act=A5535D0FD96C1E0A5B exp=A5535D0FD96C1E0A5B + 9506.50ns INFO [00009508] Port=1 RD @00 + 9507.50ns INFO [00009509] * RD COMPARE * port=0 adr=03 act=3FAABAB984A720EB92 exp=3FAABAB984A720EB92 + 9507.50ns INFO [00009509] Port=0 RD @03 + 9507.50ns INFO [00009509] Port=1 RD @06 + 9508.50ns INFO [00009510] * RD COMPARE * port=1 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76 + 9508.50ns INFO [00009510] Port=0 WR @02=99835889A18FE3F16C + 9508.50ns INFO [00009510] Port=0 RD @00 + 9509.50ns INFO [00009511] * RD COMPARE * port=0 adr=03 act=3FAABAB984A720EB92 exp=3FAABAB984A720EB92 + 9509.50ns INFO [00009511] * RD COMPARE * port=1 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283 + 9509.50ns INFO [00009511] Port=0 WR @04=A1893987E373C57D5C + 9510.50ns INFO [00009512] * RD COMPARE * port=0 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76 + 9510.50ns INFO [00009512] Port=0 WR @03=E9B3605F0DBD7607D5 + 9510.50ns INFO [00009512] Port=0 RD @05 + 9511.50ns INFO [00009513] Port=0 RD @02 + 9512.50ns INFO [00009514] * RD COMPARE * port=0 adr=05 act=248AC521655E1AAB92 exp=248AC521655E1AAB92 + 9512.50ns INFO [00009514] Port=0 WR @02=2496CFA3F98C03A0AC + 9513.50ns INFO [00009515] * RD COMPARE * port=0 adr=02 act=99835889A18FE3F16C exp=99835889A18FE3F16C + 9515.50ns INFO [00009517] Port=0 RD @06 + 9515.50ns INFO [00009517] Port=1 RD @07 + 9516.50ns INFO [00009518] Port=0 RD @06 + 9517.50ns INFO [00009519] * RD COMPARE * port=0 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283 + 9517.50ns INFO [00009519] * RD COMPARE * port=1 adr=07 act=A5535D0FD96C1E0A5B exp=A5535D0FD96C1E0A5B + 9517.50ns INFO [00009519] Port=1 RD @06 + 9518.50ns INFO [00009520] * RD COMPARE * port=0 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283 + 9518.50ns INFO [00009520] Port=0 WR @05=D5A587CB30704507B6 + 9519.50ns INFO [00009521] * RD COMPARE * port=1 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283 + 9519.50ns INFO [00009521] Port=0 WR @06=7351DC7B2353BF8443 + 9520.50ns INFO [00009522] Port=1 RD @04 + 9521.50ns INFO [00009523] Port=0 WR @03=6D1D7A8533C033DF73 + 9521.50ns INFO [00009523] Port=0 RD @05 + 9522.50ns INFO [00009524] * RD COMPARE * port=1 adr=04 act=A1893987E373C57D5C exp=A1893987E373C57D5C + 9522.50ns INFO [00009524] Port=0 WR @03=FC26A6CC26E27583B9 + 9523.50ns INFO [00009525] * RD COMPARE * port=0 adr=05 act=D5A587CB30704507B6 exp=D5A587CB30704507B6 + 9523.50ns INFO [00009525] Port=0 WR @01=C71D5D887A60342FCD + 9523.50ns INFO [00009525] Port=1 RD @05 + 9525.50ns INFO [00009527] * RD COMPARE * port=1 adr=05 act=D5A587CB30704507B6 exp=D5A587CB30704507B6 + 9525.50ns INFO [00009527] Port=0 RD @07 + 9526.50ns INFO [00009528] Port=0 RD @04 + 9526.50ns INFO [00009528] Port=1 RD @00 + 9527.50ns INFO [00009529] * RD COMPARE * port=0 adr=07 act=A5535D0FD96C1E0A5B exp=A5535D0FD96C1E0A5B + 9527.50ns INFO [00009529] Port=0 RD @01 + 9528.50ns INFO [00009530] * RD COMPARE * port=0 adr=04 act=A1893987E373C57D5C exp=A1893987E373C57D5C + 9528.50ns INFO [00009530] * RD COMPARE * port=1 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76 + 9528.50ns INFO [00009530] Port=0 RD @04 + 9528.50ns INFO [00009530] Port=1 RD @05 + 9529.50ns INFO [00009531] * RD COMPARE * port=0 adr=01 act=C71D5D887A60342FCD exp=C71D5D887A60342FCD + 9529.50ns INFO [00009531] Port=0 WR @05=139F341E5746CEABD9 + 9529.50ns INFO [00009531] Port=0 RD @02 + 9530.50ns INFO [00009532] * RD COMPARE * port=0 adr=04 act=A1893987E373C57D5C exp=A1893987E373C57D5C + 9530.50ns INFO [00009532] * RD COMPARE * port=1 adr=05 act=D5A587CB30704507B6 exp=D5A587CB30704507B6 + 9531.50ns INFO [00009533] * RD COMPARE * port=0 adr=02 act=2496CFA3F98C03A0AC exp=2496CFA3F98C03A0AC + 9531.50ns INFO [00009533] Port=1 RD @07 + 9533.50ns INFO [00009535] * RD COMPARE * port=1 adr=07 act=A5535D0FD96C1E0A5B exp=A5535D0FD96C1E0A5B + 9533.50ns INFO [00009535] Port=1 RD @05 + 9534.50ns INFO [00009536] Port=0 WR @00=6BB0F73D6DD953A294 + 9535.50ns INFO [00009537] * RD COMPARE * port=1 adr=05 act=139F341E5746CEABD9 exp=139F341E5746CEABD9 + 9535.50ns INFO [00009537] Port=0 WR @01=A3A1E9CDE7460A10E6 + 9536.50ns INFO [00009538] Port=0 RD @07 + 9537.50ns INFO [00009539] Port=0 WR @07=D67770E0675C7C0AEB + 9537.50ns INFO [00009539] Port=0 RD @03 + 9537.50ns INFO [00009539] Port=1 RD @03 + 9538.50ns INFO [00009540] * RD COMPARE * port=0 adr=07 act=A5535D0FD96C1E0A5B exp=A5535D0FD96C1E0A5B + 9538.50ns INFO [00009540] Port=0 RD @02 + 9539.50ns INFO [00009541] * RD COMPARE * port=0 adr=03 act=FC26A6CC26E27583B9 exp=FC26A6CC26E27583B9 + 9539.50ns INFO [00009541] * RD COMPARE * port=1 adr=03 act=FC26A6CC26E27583B9 exp=FC26A6CC26E27583B9 + 9540.50ns INFO [00009542] * RD COMPARE * port=0 adr=02 act=2496CFA3F98C03A0AC exp=2496CFA3F98C03A0AC + 9540.50ns INFO [00009542] Port=0 RD @00 + 9541.50ns INFO [00009543] Port=0 RD @05 + 9541.50ns INFO [00009543] Port=1 RD @02 + 9542.50ns INFO [00009544] * RD COMPARE * port=0 adr=00 act=6BB0F73D6DD953A294 exp=6BB0F73D6DD953A294 + 9543.50ns INFO [00009545] * RD COMPARE * port=0 adr=05 act=139F341E5746CEABD9 exp=139F341E5746CEABD9 + 9543.50ns INFO [00009545] * RD COMPARE * port=1 adr=02 act=2496CFA3F98C03A0AC exp=2496CFA3F98C03A0AC + 9545.50ns INFO [00009547] Port=0 WR @02=D0312F402F4F18FEA7 + 9547.50ns INFO [00009549] Port=1 RD @05 + 9548.50ns INFO [00009550] Port=1 RD @01 + 9549.50ns INFO [00009551] * RD COMPARE * port=1 adr=05 act=139F341E5746CEABD9 exp=139F341E5746CEABD9 + 9550.50ns INFO [00009552] * RD COMPARE * port=1 adr=01 act=A3A1E9CDE7460A10E6 exp=A3A1E9CDE7460A10E6 + 9550.50ns INFO [00009552] Port=0 RD @07 + 9551.50ns INFO [00009553] Port=0 WR @07=5381C1A7599122901B + 9551.50ns INFO [00009553] Port=1 RD @03 + 9552.50ns INFO [00009554] * RD COMPARE * port=0 adr=07 act=D67770E0675C7C0AEB exp=D67770E0675C7C0AEB + 9552.50ns INFO [00009554] Port=1 RD @03 + 9553.50ns INFO [00009555] * RD COMPARE * port=1 adr=03 act=FC26A6CC26E27583B9 exp=FC26A6CC26E27583B9 + 9553.50ns INFO [00009555] Port=0 WR @01=3F97C9A94FEBFAD8A6 + 9553.50ns INFO [00009555] Port=0 RD @06 + 9554.50ns INFO [00009556] * RD COMPARE * port=1 adr=03 act=FC26A6CC26E27583B9 exp=FC26A6CC26E27583B9 + 9554.50ns INFO [00009556] Port=0 RD @00 + 9555.50ns INFO [00009557] * RD COMPARE * port=0 adr=06 act=7351DC7B2353BF8443 exp=7351DC7B2353BF8443 + 9555.50ns INFO [00009557] Port=0 WR @06=A3D22CF453B67096C5 + 9556.50ns INFO [00009558] * RD COMPARE * port=0 adr=00 act=6BB0F73D6DD953A294 exp=6BB0F73D6DD953A294 + 9556.50ns INFO [00009558] Port=0 WR @05=1804A94A47CAB1AD53 + 9557.50ns INFO [00009559] Port=0 WR @06=5C1BB38F35499F9A64 + 9558.50ns INFO [00009560] Port=0 RD @03 + 9559.50ns INFO [00009561] Port=1 RD @01 + 9560.50ns INFO [00009562] * RD COMPARE * port=0 adr=03 act=FC26A6CC26E27583B9 exp=FC26A6CC26E27583B9 + 9561.50ns INFO [00009563] * RD COMPARE * port=1 adr=01 act=3F97C9A94FEBFAD8A6 exp=3F97C9A94FEBFAD8A6 + 9561.50ns INFO [00009563] Port=0 WR @00=88C9D554E6169D14A7 + 9561.50ns INFO [00009563] Port=0 RD @06 + 9562.50ns INFO [00009564] Port=0 RD @06 + 9563.50ns INFO [00009565] * RD COMPARE * port=0 adr=06 act=5C1BB38F35499F9A64 exp=5C1BB38F35499F9A64 + 9563.50ns INFO [00009565] Port=0 WR @03=866D42A5DE22EE1A91 + 9563.50ns INFO [00009565] Port=0 RD @01 + 9564.50ns INFO [00009566] * RD COMPARE * port=0 adr=06 act=5C1BB38F35499F9A64 exp=5C1BB38F35499F9A64 + 9564.50ns INFO [00009566] Port=0 WR @00=23B441339AB23D7292 + 9564.50ns INFO [00009566] Port=0 RD @06 + 9564.50ns INFO [00009566] Port=1 RD @04 + 9565.50ns INFO [00009567] * RD COMPARE * port=0 adr=01 act=3F97C9A94FEBFAD8A6 exp=3F97C9A94FEBFAD8A6 + 9566.50ns INFO [00009568] * RD COMPARE * port=0 adr=06 act=5C1BB38F35499F9A64 exp=5C1BB38F35499F9A64 + 9566.50ns INFO [00009568] * RD COMPARE * port=1 adr=04 act=A1893987E373C57D5C exp=A1893987E373C57D5C + 9566.50ns INFO [00009568] Port=0 WR @02=C516C939797270FB31 + 9566.50ns INFO [00009568] Port=1 RD @01 + 9567.50ns INFO [00009569] Port=0 WR @04=5D727BBEA1B5AA4B20 + 9567.50ns INFO [00009569] Port=0 RD @06 + 9568.50ns INFO [00009570] * RD COMPARE * port=1 adr=01 act=3F97C9A94FEBFAD8A6 exp=3F97C9A94FEBFAD8A6 + 9568.50ns INFO [00009570] Port=0 RD @04 + 9569.50ns INFO [00009571] * RD COMPARE * port=0 adr=06 act=5C1BB38F35499F9A64 exp=5C1BB38F35499F9A64 + 9569.50ns INFO [00009571] Port=0 WR @04=AFB6FDE0447B028476 + 9569.50ns INFO [00009571] Port=1 RD @07 + 9570.50ns INFO [00009572] * RD COMPARE * port=0 adr=04 act=5D727BBEA1B5AA4B20 exp=5D727BBEA1B5AA4B20 + 9570.50ns INFO [00009572] Port=0 WR @06=CB454E45B6EB06C1AE + 9571.50ns INFO [00009573] * RD COMPARE * port=1 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B + 9571.50ns INFO [00009573] Port=0 RD @06 + 9571.50ns INFO [00009573] Port=1 RD @01 + 9572.50ns INFO [00009574] Port=0 RD @03 + 9572.50ns INFO [00009574] Port=1 RD @07 + 9573.50ns INFO [00009575] * RD COMPARE * port=0 adr=06 act=CB454E45B6EB06C1AE exp=CB454E45B6EB06C1AE + 9573.50ns INFO [00009575] * RD COMPARE * port=1 adr=01 act=3F97C9A94FEBFAD8A6 exp=3F97C9A94FEBFAD8A6 + 9573.50ns INFO [00009575] Port=0 RD @01 + 9574.50ns INFO [00009576] * RD COMPARE * port=0 adr=03 act=866D42A5DE22EE1A91 exp=866D42A5DE22EE1A91 + 9574.50ns INFO [00009576] * RD COMPARE * port=1 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B + 9574.50ns INFO [00009576] Port=0 WR @04=81747E44F8568C2DBF + 9574.50ns INFO [00009576] Port=0 RD @02 + 9574.50ns INFO [00009576] Port=1 RD @05 + 9575.50ns INFO [00009577] * RD COMPARE * port=0 adr=01 act=3F97C9A94FEBFAD8A6 exp=3F97C9A94FEBFAD8A6 + 9575.50ns INFO [00009577] Port=0 WR @04=1511DC7EC35A25E464 + 9575.50ns INFO [00009577] Port=0 RD @00 + 9576.50ns INFO [00009578] * RD COMPARE * port=0 adr=02 act=C516C939797270FB31 exp=C516C939797270FB31 + 9576.50ns INFO [00009578] * RD COMPARE * port=1 adr=05 act=1804A94A47CAB1AD53 exp=1804A94A47CAB1AD53 + 9576.50ns INFO [00009578] Port=0 WR @06=ECA3DB1B52FBFEA91E + 9576.50ns INFO [00009578] Port=0 RD @00 + 9577.50ns INFO [00009579] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292 + 9578.50ns INFO [00009580] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292 + 9578.50ns INFO [00009580] Port=1 RD @06 + 9579.50ns INFO [00009581] Port=0 WR @01=6B19EFFFA3CE9AE768 + 9580.50ns INFO [00009582] * RD COMPARE * port=1 adr=06 act=ECA3DB1B52FBFEA91E exp=ECA3DB1B52FBFEA91E + 9582.50ns INFO [00009584] Port=0 RD @05 + 9583.50ns INFO [00009585] Port=0 WR @04=EBDEC96AD92C73B06D + 9584.50ns INFO [00009586] * RD COMPARE * port=0 adr=05 act=1804A94A47CAB1AD53 exp=1804A94A47CAB1AD53 + 9584.50ns INFO [00009586] Port=0 WR @03=8B0FA33F1EC477EE1A + 9584.50ns INFO [00009586] Port=0 RD @02 + 9585.50ns INFO [00009587] Port=0 RD @05 + 9586.50ns INFO [00009588] * RD COMPARE * port=0 adr=02 act=C516C939797270FB31 exp=C516C939797270FB31 + 9586.50ns INFO [00009588] Port=0 WR @03=813C9CF4127B081D77 + 9586.50ns INFO [00009588] Port=0 RD @07 + 9586.50ns INFO [00009588] Port=1 RD @02 + 9587.50ns INFO [00009589] * RD COMPARE * port=0 adr=05 act=1804A94A47CAB1AD53 exp=1804A94A47CAB1AD53 + 9587.50ns INFO [00009589] Port=0 RD @06 + 9587.50ns INFO [00009589] Port=1 RD @03 + 9588.50ns INFO [00009590] * RD COMPARE * port=0 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B + 9588.50ns INFO [00009590] * RD COMPARE * port=1 adr=02 act=C516C939797270FB31 exp=C516C939797270FB31 + 9588.50ns INFO [00009590] Port=0 RD @06 + 9588.50ns INFO [00009590] Port=1 RD @00 + 9589.50ns INFO [00009591] * RD COMPARE * port=0 adr=06 act=ECA3DB1B52FBFEA91E exp=ECA3DB1B52FBFEA91E + 9589.50ns INFO [00009591] * RD COMPARE * port=1 adr=03 act=813C9CF4127B081D77 exp=813C9CF4127B081D77 + 9589.50ns INFO [00009591] Port=0 RD @00 + 9589.50ns INFO [00009591] Port=1 RD @07 + 9590.50ns INFO [00009592] * RD COMPARE * port=0 adr=06 act=ECA3DB1B52FBFEA91E exp=ECA3DB1B52FBFEA91E + 9590.50ns INFO [00009592] * RD COMPARE * port=1 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292 + 9591.50ns INFO [00009593] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292 + 9591.50ns INFO [00009593] * RD COMPARE * port=1 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B + 9591.50ns INFO [00009593] Port=0 RD @03 + 9591.50ns INFO [00009593] Port=1 RD @04 + 9593.50ns INFO [00009595] * RD COMPARE * port=0 adr=03 act=813C9CF4127B081D77 exp=813C9CF4127B081D77 + 9593.50ns INFO [00009595] * RD COMPARE * port=1 adr=04 act=EBDEC96AD92C73B06D exp=EBDEC96AD92C73B06D + 9593.50ns INFO [00009595] Port=1 RD @02 + 9594.50ns INFO [00009596] Port=0 RD @04 + 9595.50ns INFO [00009597] * RD COMPARE * port=1 adr=02 act=C516C939797270FB31 exp=C516C939797270FB31 + 9595.50ns INFO [00009597] Port=1 RD @01 + 9596.50ns INFO [00009598] * RD COMPARE * port=0 adr=04 act=EBDEC96AD92C73B06D exp=EBDEC96AD92C73B06D + 9596.50ns INFO [00009598] Port=0 WR @03=C7356A5186FD242D66 + 9597.50ns INFO [00009599] * RD COMPARE * port=1 adr=01 act=6B19EFFFA3CE9AE768 exp=6B19EFFFA3CE9AE768 + 9598.00ns INFO [00009600] [00009600] ...tick... + 9598.50ns INFO [00009600] Port=0 RD @07 + 9598.50ns INFO [00009600] Port=1 RD @02 + 9599.50ns INFO [00009601] Port=0 WR @03=558E17FEC1124A2801 + 9599.50ns INFO [00009601] Port=0 RD @07 + 9600.50ns INFO [00009602] * RD COMPARE * port=0 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B + 9600.50ns INFO [00009602] * RD COMPARE * port=1 adr=02 act=C516C939797270FB31 exp=C516C939797270FB31 + 9600.50ns INFO [00009602] Port=0 WR @07=359800579BCF4F11C9 + 9600.50ns INFO [00009602] Port=0 RD @00 + 9601.50ns INFO [00009603] * RD COMPARE * port=0 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B + 9602.50ns INFO [00009604] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292 + 9603.50ns INFO [00009605] Port=0 WR @03=F81273FF612381FD4F + 9606.50ns INFO [00009608] Port=0 WR @03=66AE784418CA84CD20 + 9607.50ns INFO [00009609] Port=0 RD @06 + 9607.50ns INFO [00009609] Port=1 RD @04 + 9608.50ns INFO [00009610] Port=0 RD @01 + 9608.50ns INFO [00009610] Port=1 RD @07 + 9609.50ns INFO [00009611] * RD COMPARE * port=0 adr=06 act=ECA3DB1B52FBFEA91E exp=ECA3DB1B52FBFEA91E + 9609.50ns INFO [00009611] * RD COMPARE * port=1 adr=04 act=EBDEC96AD92C73B06D exp=EBDEC96AD92C73B06D + 9609.50ns INFO [00009611] Port=0 RD @01 + 9610.50ns INFO [00009612] * RD COMPARE * port=0 adr=01 act=6B19EFFFA3CE9AE768 exp=6B19EFFFA3CE9AE768 + 9610.50ns INFO [00009612] * RD COMPARE * port=1 adr=07 act=359800579BCF4F11C9 exp=359800579BCF4F11C9 + 9610.50ns INFO [00009612] Port=0 RD @03 + 9610.50ns INFO [00009612] Port=1 RD @03 + 9611.50ns INFO [00009613] * RD COMPARE * port=0 adr=01 act=6B19EFFFA3CE9AE768 exp=6B19EFFFA3CE9AE768 + 9611.50ns INFO [00009613] Port=0 WR @04=3AB409C06F60C0A746 + 9611.50ns INFO [00009613] Port=0 RD @00 + 9612.50ns INFO [00009614] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9612.50ns INFO [00009614] * RD COMPARE * port=1 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9612.50ns INFO [00009614] Port=0 RD @03 + 9613.50ns INFO [00009615] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292 + 9613.50ns INFO [00009615] Port=0 WR @02=29411B07333787B634 + 9614.50ns INFO [00009616] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9615.50ns INFO [00009617] Port=0 WR @06=9F5F749A6324FDC898 + 9616.50ns INFO [00009618] Port=0 RD @01 + 9617.50ns INFO [00009619] Port=0 WR @01=22BE7EC5892C701E00 + 9618.50ns INFO [00009620] * RD COMPARE * port=0 adr=01 act=6B19EFFFA3CE9AE768 exp=6B19EFFFA3CE9AE768 + 9618.50ns INFO [00009620] Port=0 WR @04=43713460422882D15E + 9619.50ns INFO [00009621] Port=0 RD @03 + 9621.50ns INFO [00009623] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9621.50ns INFO [00009623] Port=0 WR @06=7567F83B854E5E547A + 9621.50ns INFO [00009623] Port=0 RD @01 + 9621.50ns INFO [00009623] Port=1 RD @04 + 9623.50ns INFO [00009625] * RD COMPARE * port=0 adr=01 act=22BE7EC5892C701E00 exp=22BE7EC5892C701E00 + 9623.50ns INFO [00009625] * RD COMPARE * port=1 adr=04 act=43713460422882D15E exp=43713460422882D15E + 9623.50ns INFO [00009625] Port=0 WR @06=194FBA75FD2F6ED3D2 + 9624.50ns INFO [00009626] Port=0 WR @06=E6D3D618065A2DD3B6 + 9625.50ns INFO [00009627] Port=0 WR @06=92854D40EFA6CD39FB + 9625.50ns INFO [00009627] Port=0 RD @05 + 9626.50ns INFO [00009628] Port=0 WR @07=2D27E3BE69AFCDF4B0 + 9627.50ns INFO [00009629] * RD COMPARE * port=0 adr=05 act=1804A94A47CAB1AD53 exp=1804A94A47CAB1AD53 + 9627.50ns INFO [00009629] Port=0 WR @05=B5AF12F14C4DB12616 + 9627.50ns INFO [00009629] Port=0 RD @00 + 9628.50ns INFO [00009630] Port=0 RD @07 + 9629.50ns INFO [00009631] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292 + 9629.50ns INFO [00009631] Port=0 RD @01 + 9630.50ns INFO [00009632] * RD COMPARE * port=0 adr=07 act=2D27E3BE69AFCDF4B0 exp=2D27E3BE69AFCDF4B0 + 9630.50ns INFO [00009632] Port=1 RD @06 + 9631.50ns INFO [00009633] * RD COMPARE * port=0 adr=01 act=22BE7EC5892C701E00 exp=22BE7EC5892C701E00 + 9632.50ns INFO [00009634] * RD COMPARE * port=1 adr=06 act=92854D40EFA6CD39FB exp=92854D40EFA6CD39FB + 9632.50ns INFO [00009634] Port=1 RD @01 + 9633.50ns INFO [00009635] Port=0 WR @07=1CF3F01C8F0B6E81E0 + 9633.50ns INFO [00009635] Port=1 RD @06 + 9634.50ns INFO [00009636] * RD COMPARE * port=1 adr=01 act=22BE7EC5892C701E00 exp=22BE7EC5892C701E00 + 9634.50ns INFO [00009636] Port=0 WR @00=7F475B22D409AEE72B + 9634.50ns INFO [00009636] Port=0 RD @01 + 9634.50ns INFO [00009636] Port=1 RD @06 + 9635.50ns INFO [00009637] * RD COMPARE * port=1 adr=06 act=92854D40EFA6CD39FB exp=92854D40EFA6CD39FB + 9636.50ns INFO [00009638] * RD COMPARE * port=0 adr=01 act=22BE7EC5892C701E00 exp=22BE7EC5892C701E00 + 9636.50ns INFO [00009638] * RD COMPARE * port=1 adr=06 act=92854D40EFA6CD39FB exp=92854D40EFA6CD39FB + 9636.50ns INFO [00009638] Port=0 RD @05 + 9638.50ns INFO [00009640] * RD COMPARE * port=0 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616 + 9638.50ns INFO [00009640] Port=0 RD @00 + 9639.50ns INFO [00009641] Port=1 RD @03 + 9640.50ns INFO [00009642] * RD COMPARE * port=0 adr=00 act=7F475B22D409AEE72B exp=7F475B22D409AEE72B + 9640.50ns INFO [00009642] Port=0 WR @02=BD1AFC853006E9D3D7 + 9641.50ns INFO [00009643] * RD COMPARE * port=1 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9641.50ns INFO [00009643] Port=0 RD @02 + 9642.50ns INFO [00009644] Port=0 WR @04=E35C6384EF7B2B4F9F + 9642.50ns INFO [00009644] Port=1 RD @01 + 9643.50ns INFO [00009645] * RD COMPARE * port=0 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7 + 9644.50ns INFO [00009646] * RD COMPARE * port=1 adr=01 act=22BE7EC5892C701E00 exp=22BE7EC5892C701E00 + 9645.50ns INFO [00009647] Port=0 WR @07=B20AEE3873C4DCF48C + 9645.50ns INFO [00009647] Port=1 RD @02 + 9646.50ns INFO [00009648] Port=1 RD @03 + 9647.50ns INFO [00009649] * RD COMPARE * port=1 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7 + 9647.50ns INFO [00009649] Port=1 RD @06 + 9648.50ns INFO [00009650] * RD COMPARE * port=1 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9649.50ns INFO [00009651] * RD COMPARE * port=1 adr=06 act=92854D40EFA6CD39FB exp=92854D40EFA6CD39FB + 9649.50ns INFO [00009651] Port=0 RD @04 + 9650.50ns INFO [00009652] Port=0 RD @02 + 9651.50ns INFO [00009653] * RD COMPARE * port=0 adr=04 act=E35C6384EF7B2B4F9F exp=E35C6384EF7B2B4F9F + 9652.50ns INFO [00009654] * RD COMPARE * port=0 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7 + 9652.50ns INFO [00009654] Port=0 WR @01=CE964583BB9591582A + 9653.50ns INFO [00009655] Port=0 WR @00=69A1B33A61A63650B0 + 9653.50ns INFO [00009655] Port=0 RD @06 + 9654.50ns INFO [00009656] Port=1 RD @03 + 9655.50ns INFO [00009657] * RD COMPARE * port=0 adr=06 act=92854D40EFA6CD39FB exp=92854D40EFA6CD39FB + 9655.50ns INFO [00009657] Port=0 RD @02 + 9656.50ns INFO [00009658] * RD COMPARE * port=1 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9656.50ns INFO [00009658] Port=0 RD @07 + 9657.50ns INFO [00009659] * RD COMPARE * port=0 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7 + 9657.50ns INFO [00009659] Port=0 WR @04=B8B577CF01F73C4064 + 9658.50ns INFO [00009660] * RD COMPARE * port=0 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C + 9658.50ns INFO [00009660] Port=1 RD @02 + 9660.50ns INFO [00009662] * RD COMPARE * port=1 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7 + 9660.50ns INFO [00009662] Port=0 WR @06=20C1F7FBB6C3333303 + 9661.50ns INFO [00009663] Port=0 RD @03 + 9662.50ns INFO [00009664] Port=0 WR @06=D7458607C87064F0A1 + 9662.50ns INFO [00009664] Port=1 RD @00 + 9663.50ns INFO [00009665] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9664.50ns INFO [00009666] * RD COMPARE * port=1 adr=00 act=69A1B33A61A63650B0 exp=69A1B33A61A63650B0 + 9665.50ns INFO [00009667] Port=0 RD @01 + 9666.50ns INFO [00009668] Port=0 RD @03 + 9667.50ns INFO [00009669] * RD COMPARE * port=0 adr=01 act=CE964583BB9591582A exp=CE964583BB9591582A + 9667.50ns INFO [00009669] Port=0 RD @02 + 9668.50ns INFO [00009670] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9668.50ns INFO [00009670] Port=0 RD @00 + 9669.50ns INFO [00009671] * RD COMPARE * port=0 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7 + 9669.50ns INFO [00009671] Port=0 WR @06=EA85A11370281B9C8E + 9670.50ns INFO [00009672] * RD COMPARE * port=0 adr=00 act=69A1B33A61A63650B0 exp=69A1B33A61A63650B0 + 9671.50ns INFO [00009673] Port=0 RD @07 + 9672.50ns INFO [00009674] Port=0 RD @03 + 9673.50ns INFO [00009675] * RD COMPARE * port=0 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C + 9673.50ns INFO [00009675] Port=1 RD @07 + 9674.50ns INFO [00009676] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9674.50ns INFO [00009676] Port=0 WR @02=9CEF09D706106CD58E + 9674.50ns INFO [00009676] Port=1 RD @00 + 9675.50ns INFO [00009677] * RD COMPARE * port=1 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C + 9676.50ns INFO [00009678] * RD COMPARE * port=1 adr=00 act=69A1B33A61A63650B0 exp=69A1B33A61A63650B0 + 9676.50ns INFO [00009678] Port=0 WR @02=EAEEFBCADF0B679741 + 9677.50ns INFO [00009679] Port=0 RD @02 + 9677.50ns INFO [00009679] Port=1 RD @02 + 9679.50ns INFO [00009681] * RD COMPARE * port=0 adr=02 act=EAEEFBCADF0B679741 exp=EAEEFBCADF0B679741 + 9679.50ns INFO [00009681] * RD COMPARE * port=1 adr=02 act=EAEEFBCADF0B679741 exp=EAEEFBCADF0B679741 + 9679.50ns INFO [00009681] Port=1 RD @03 + 9681.50ns INFO [00009683] * RD COMPARE * port=1 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9681.50ns INFO [00009683] Port=0 RD @02 + 9682.50ns INFO [00009684] Port=0 WR @01=6884904746463AD7DC + 9683.50ns INFO [00009685] * RD COMPARE * port=0 adr=02 act=EAEEFBCADF0B679741 exp=EAEEFBCADF0B679741 + 9684.50ns INFO [00009686] Port=1 RD @02 + 9685.50ns INFO [00009687] Port=0 WR @01=A91FA336C2D28F5B48 + 9685.50ns INFO [00009687] Port=1 RD @05 + 9686.50ns INFO [00009688] * RD COMPARE * port=1 adr=02 act=EAEEFBCADF0B679741 exp=EAEEFBCADF0B679741 + 9686.50ns INFO [00009688] Port=0 RD @06 + 9686.50ns INFO [00009688] Port=1 RD @06 + 9687.50ns INFO [00009689] * RD COMPARE * port=1 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616 + 9687.50ns INFO [00009689] Port=0 RD @05 + 9687.50ns INFO [00009689] Port=1 RD @05 + 9688.50ns INFO [00009690] * RD COMPARE * port=0 adr=06 act=EA85A11370281B9C8E exp=EA85A11370281B9C8E + 9688.50ns INFO [00009690] * RD COMPARE * port=1 adr=06 act=EA85A11370281B9C8E exp=EA85A11370281B9C8E + 9688.50ns INFO [00009690] Port=0 WR @06=90222C7ACB8CA0AE4F + 9688.50ns INFO [00009690] Port=1 RD @05 + 9689.50ns INFO [00009691] * RD COMPARE * port=0 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616 + 9689.50ns INFO [00009691] * RD COMPARE * port=1 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616 + 9690.50ns INFO [00009692] * RD COMPARE * port=1 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616 + 9690.50ns INFO [00009692] Port=0 WR @01=F59AC76B831F79B10A + 9692.50ns INFO [00009694] Port=0 RD @03 + 9692.50ns INFO [00009694] Port=1 RD @05 + 9693.50ns INFO [00009695] Port=0 WR @02=01707FDC04CC8085E7 + 9694.50ns INFO [00009696] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20 + 9694.50ns INFO [00009696] * RD COMPARE * port=1 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616 + 9694.50ns INFO [00009696] Port=0 RD @01 + 9694.50ns INFO [00009696] Port=1 RD @07 + 9695.50ns INFO [00009697] Port=1 RD @07 + 9696.50ns INFO [00009698] * RD COMPARE * port=0 adr=01 act=F59AC76B831F79B10A exp=F59AC76B831F79B10A + 9696.50ns INFO [00009698] * RD COMPARE * port=1 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C + 9696.50ns INFO [00009698] Port=0 WR @06=821C0B6BFA0BD7A4CF + 9696.50ns INFO [00009698] Port=0 RD @01 + 9697.50ns INFO [00009699] * RD COMPARE * port=1 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C + 9697.50ns INFO [00009699] Port=0 WR @03=176ABA64F92A124860 + 9697.50ns INFO [00009699] Port=0 RD @01 + 9697.50ns INFO [00009699] Port=1 RD @07 + 9698.00ns INFO [00009700] [00009700] ...tick... + 9698.50ns INFO [00009700] * RD COMPARE * port=0 adr=01 act=F59AC76B831F79B10A exp=F59AC76B831F79B10A + 9698.50ns INFO [00009700] Port=1 RD @06 + 9699.50ns INFO [00009701] * RD COMPARE * port=0 adr=01 act=F59AC76B831F79B10A exp=F59AC76B831F79B10A + 9699.50ns INFO [00009701] * RD COMPARE * port=1 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C + 9700.50ns INFO [00009702] * RD COMPARE * port=1 adr=06 act=821C0B6BFA0BD7A4CF exp=821C0B6BFA0BD7A4CF + 9700.50ns INFO [00009702] Port=0 WR @02=79BAF4B522B64CCB72 + 9700.50ns INFO [00009702] Port=0 RD @04 + 9700.50ns INFO [00009702] Port=1 RD @04 + 9701.50ns INFO [00009703] Port=0 RD @04 + 9702.50ns INFO [00009704] * RD COMPARE * port=0 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064 + 9702.50ns INFO [00009704] * RD COMPARE * port=1 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064 + 9702.50ns INFO [00009704] Port=0 WR @05=F91F3C47BFAA94B977 + 9702.50ns INFO [00009704] Port=0 RD @02 + 9702.50ns INFO [00009704] Port=1 RD @06 + 9703.50ns INFO [00009705] * RD COMPARE * port=0 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064 + 9703.50ns INFO [00009705] Port=0 RD @03 + 9704.50ns INFO [00009706] * RD COMPARE * port=0 adr=02 act=79BAF4B522B64CCB72 exp=79BAF4B522B64CCB72 + 9704.50ns INFO [00009706] * RD COMPARE * port=1 adr=06 act=821C0B6BFA0BD7A4CF exp=821C0B6BFA0BD7A4CF + 9704.50ns INFO [00009706] Port=0 WR @01=7846E5E0CCB2A64B6B + 9705.50ns INFO [00009707] * RD COMPARE * port=0 adr=03 act=176ABA64F92A124860 exp=176ABA64F92A124860 + 9705.50ns INFO [00009707] Port=0 WR @02=5A4F0285BBD3FDBA17 + 9705.50ns INFO [00009707] Port=1 RD @06 + 9706.50ns INFO [00009708] Port=1 RD @04 + 9707.50ns INFO [00009709] * RD COMPARE * port=1 adr=06 act=821C0B6BFA0BD7A4CF exp=821C0B6BFA0BD7A4CF + 9708.50ns INFO [00009710] * RD COMPARE * port=1 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064 + 9708.50ns INFO [00009710] Port=0 RD @02 + 9709.50ns INFO [00009711] Port=0 RD @05 + 9710.50ns INFO [00009712] * RD COMPARE * port=0 adr=02 act=5A4F0285BBD3FDBA17 exp=5A4F0285BBD3FDBA17 + 9711.50ns INFO [00009713] * RD COMPARE * port=0 adr=05 act=F91F3C47BFAA94B977 exp=F91F3C47BFAA94B977 + 9711.50ns INFO [00009713] Port=0 WR @00=C31123FCB0028BBF17 + 9711.50ns INFO [00009713] Port=0 RD @07 + 9713.50ns INFO [00009715] * RD COMPARE * port=0 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C + 9713.50ns INFO [00009715] Port=0 WR @02=06D17CE89C53893392 + 9713.50ns INFO [00009715] Port=0 RD @07 + 9714.50ns INFO [00009716] Port=0 WR @01=DE02AE8F90A1B0509A + 9715.50ns INFO [00009717] * RD COMPARE * port=0 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C + 9715.50ns INFO [00009717] Port=0 RD @01 + 9717.50ns INFO [00009719] * RD COMPARE * port=0 adr=01 act=DE02AE8F90A1B0509A exp=DE02AE8F90A1B0509A + 9717.50ns INFO [00009719] Port=0 WR @06=1B5A49A6ED940B886F + 9717.50ns INFO [00009719] Port=0 RD @05 + 9719.50ns INFO [00009721] * RD COMPARE * port=0 adr=05 act=F91F3C47BFAA94B977 exp=F91F3C47BFAA94B977 + 9719.50ns INFO [00009721] Port=0 WR @00=70090EE0B25C923259 + 9719.50ns INFO [00009721] Port=0 RD @05 + 9719.50ns INFO [00009721] Port=1 RD @05 + 9720.50ns INFO [00009722] Port=0 RD @06 + 9721.50ns INFO [00009723] * RD COMPARE * port=0 adr=05 act=F91F3C47BFAA94B977 exp=F91F3C47BFAA94B977 + 9721.50ns INFO [00009723] * RD COMPARE * port=1 adr=05 act=F91F3C47BFAA94B977 exp=F91F3C47BFAA94B977 + 9721.50ns INFO [00009723] Port=1 RD @04 + 9722.50ns INFO [00009724] * RD COMPARE * port=0 adr=06 act=1B5A49A6ED940B886F exp=1B5A49A6ED940B886F + 9722.50ns INFO [00009724] Port=1 RD @04 + 9723.50ns INFO [00009725] * RD COMPARE * port=1 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064 + 9724.50ns INFO [00009726] * RD COMPARE * port=1 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064 + 9724.50ns INFO [00009726] Port=0 RD @00 + 9724.50ns INFO [00009726] Port=1 RD @01 + 9725.50ns INFO [00009727] Port=0 RD @02 + 9725.50ns INFO [00009727] Port=1 RD @07 + 9726.50ns INFO [00009728] * RD COMPARE * port=0 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259 + 9726.50ns INFO [00009728] * RD COMPARE * port=1 adr=01 act=DE02AE8F90A1B0509A exp=DE02AE8F90A1B0509A + 9726.50ns INFO [00009728] Port=0 RD @00 + 9727.50ns INFO [00009729] * RD COMPARE * port=0 adr=02 act=06D17CE89C53893392 exp=06D17CE89C53893392 + 9727.50ns INFO [00009729] * RD COMPARE * port=1 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C + 9727.50ns INFO [00009729] Port=0 WR @01=8D7D1AA07094D276F6 + 9728.50ns INFO [00009730] * RD COMPARE * port=0 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259 + 9728.50ns INFO [00009730] Port=1 RD @00 + 9729.50ns INFO [00009731] Port=1 RD @06 + 9730.50ns INFO [00009732] * RD COMPARE * port=1 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259 + 9730.50ns INFO [00009732] Port=0 RD @03 + 9731.50ns INFO [00009733] * RD COMPARE * port=1 adr=06 act=1B5A49A6ED940B886F exp=1B5A49A6ED940B886F + 9731.50ns INFO [00009733] Port=0 RD @03 + 9732.50ns INFO [00009734] * RD COMPARE * port=0 adr=03 act=176ABA64F92A124860 exp=176ABA64F92A124860 + 9733.50ns INFO [00009735] * RD COMPARE * port=0 adr=03 act=176ABA64F92A124860 exp=176ABA64F92A124860 + 9733.50ns INFO [00009735] Port=0 WR @05=45394BD0BF34483816 + 9734.50ns INFO [00009736] Port=1 RD @00 + 9735.50ns INFO [00009737] Port=1 RD @00 + 9736.50ns INFO [00009738] * RD COMPARE * port=1 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259 + 9737.50ns INFO [00009739] * RD COMPARE * port=1 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259 + 9737.50ns INFO [00009739] Port=0 RD @00 + 9738.50ns INFO [00009740] Port=0 WR @05=83370FD5A58BAC0638 + 9739.50ns INFO [00009741] * RD COMPARE * port=0 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259 + 9739.50ns INFO [00009741] Port=0 WR @07=137A84F2B9079FB875 + 9739.50ns INFO [00009741] Port=1 RD @02 + 9741.50ns INFO [00009743] * RD COMPARE * port=1 adr=02 act=06D17CE89C53893392 exp=06D17CE89C53893392 + 9744.50ns INFO [00009746] Port=0 WR @03=121BADD7C09375FEC5 + 9744.50ns INFO [00009746] Port=0 RD @00 + 9744.50ns INFO [00009746] Port=1 RD @05 + 9745.50ns INFO [00009747] Port=0 WR @04=7B913E3D1044BD5C0C + 9746.50ns INFO [00009748] * RD COMPARE * port=0 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259 + 9746.50ns INFO [00009748] * RD COMPARE * port=1 adr=05 act=83370FD5A58BAC0638 exp=83370FD5A58BAC0638 + 9749.50ns INFO [00009751] Port=0 WR @06=9AD44A97A6AAF7B21E + 9749.50ns INFO [00009751] Port=1 RD @07 + 9750.50ns INFO [00009752] Port=0 WR @05=A2DFD88D2DF94F33B2 + 9750.50ns INFO [00009752] Port=1 RD @02 + 9751.50ns INFO [00009753] * RD COMPARE * port=1 adr=07 act=137A84F2B9079FB875 exp=137A84F2B9079FB875 + 9752.50ns INFO [00009754] * RD COMPARE * port=1 adr=02 act=06D17CE89C53893392 exp=06D17CE89C53893392 + 9753.50ns INFO [00009755] Port=0 RD @02 + 9754.50ns INFO [00009756] Port=0 RD @01 + 9755.50ns INFO [00009757] * RD COMPARE * port=0 adr=02 act=06D17CE89C53893392 exp=06D17CE89C53893392 + 9755.50ns INFO [00009757] Port=0 WR @05=6C1C3CB49BCA52AFB1 + 9755.50ns INFO [00009757] Port=1 RD @01 + 9756.50ns INFO [00009758] * RD COMPARE * port=0 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6 + 9756.50ns INFO [00009758] Port=0 RD @06 + 9756.50ns INFO [00009758] Port=1 RD @05 + 9757.50ns INFO [00009759] * RD COMPARE * port=1 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6 + 9757.50ns INFO [00009759] Port=0 WR @07=51AD090938C281264E + 9758.50ns INFO [00009760] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E + 9758.50ns INFO [00009760] * RD COMPARE * port=1 adr=05 act=6C1C3CB49BCA52AFB1 exp=6C1C3CB49BCA52AFB1 + 9758.50ns INFO [00009760] Port=0 RD @06 + 9760.50ns INFO [00009762] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E + 9760.50ns INFO [00009762] Port=0 RD @06 + 9761.50ns INFO [00009763] Port=1 RD @04 + 9762.50ns INFO [00009764] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E + 9763.50ns INFO [00009765] * RD COMPARE * port=1 adr=04 act=7B913E3D1044BD5C0C exp=7B913E3D1044BD5C0C + 9763.50ns INFO [00009765] Port=0 WR @05=BC87CCE72F76B371E1 + 9764.50ns INFO [00009766] Port=0 RD @07 + 9766.50ns INFO [00009768] * RD COMPARE * port=0 adr=07 act=51AD090938C281264E exp=51AD090938C281264E + 9766.50ns INFO [00009768] Port=1 RD @01 + 9767.50ns INFO [00009769] Port=0 RD @03 + 9767.50ns INFO [00009769] Port=1 RD @02 + 9768.50ns INFO [00009770] * RD COMPARE * port=1 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6 + 9768.50ns INFO [00009770] Port=0 WR @03=A77A711B2DF56B4854 + 9768.50ns INFO [00009770] Port=0 RD @01 + 9769.50ns INFO [00009771] * RD COMPARE * port=0 adr=03 act=121BADD7C09375FEC5 exp=121BADD7C09375FEC5 + 9769.50ns INFO [00009771] * RD COMPARE * port=1 adr=02 act=06D17CE89C53893392 exp=06D17CE89C53893392 + 9769.50ns INFO [00009771] Port=0 WR @02=65251223761707F5C4 + 9769.50ns INFO [00009771] Port=0 RD @05 + 9770.50ns INFO [00009772] * RD COMPARE * port=0 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6 + 9770.50ns INFO [00009772] Port=0 RD @07 + 9771.50ns INFO [00009773] * RD COMPARE * port=0 adr=05 act=BC87CCE72F76B371E1 exp=BC87CCE72F76B371E1 + 9771.50ns INFO [00009773] Port=1 RD @06 + 9772.50ns INFO [00009774] * RD COMPARE * port=0 adr=07 act=51AD090938C281264E exp=51AD090938C281264E + 9772.50ns INFO [00009774] Port=1 RD @07 + 9773.50ns INFO [00009775] * RD COMPARE * port=1 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E + 9773.50ns INFO [00009775] Port=0 WR @04=6772173815275CF4C8 + 9774.50ns INFO [00009776] * RD COMPARE * port=1 adr=07 act=51AD090938C281264E exp=51AD090938C281264E + 9774.50ns INFO [00009776] Port=1 RD @01 + 9776.50ns INFO [00009778] * RD COMPARE * port=1 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6 + 9776.50ns INFO [00009778] Port=1 RD @05 + 9777.50ns INFO [00009779] Port=0 RD @01 + 9778.50ns INFO [00009780] * RD COMPARE * port=1 adr=05 act=BC87CCE72F76B371E1 exp=BC87CCE72F76B371E1 + 9778.50ns INFO [00009780] Port=0 WR @07=EB1BDC30141D06E64D + 9778.50ns INFO [00009780] Port=0 RD @06 + 9779.50ns INFO [00009781] * RD COMPARE * port=0 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6 + 9780.50ns INFO [00009782] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E + 9780.50ns INFO [00009782] Port=0 RD @00 + 9780.50ns INFO [00009782] Port=1 RD @04 + 9782.50ns INFO [00009784] * RD COMPARE * port=0 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259 + 9782.50ns INFO [00009784] * RD COMPARE * port=1 adr=04 act=6772173815275CF4C8 exp=6772173815275CF4C8 + 9782.50ns INFO [00009784] Port=0 WR @01=9826172A79DD445408 + 9782.50ns INFO [00009784] Port=0 RD @02 + 9783.50ns INFO [00009785] Port=0 RD @05 + 9784.50ns INFO [00009786] * RD COMPARE * port=0 adr=02 act=65251223761707F5C4 exp=65251223761707F5C4 + 9784.50ns INFO [00009786] Port=1 RD @07 + 9785.50ns INFO [00009787] * RD COMPARE * port=0 adr=05 act=BC87CCE72F76B371E1 exp=BC87CCE72F76B371E1 + 9785.50ns INFO [00009787] Port=1 RD @07 + 9786.50ns INFO [00009788] * RD COMPARE * port=1 adr=07 act=EB1BDC30141D06E64D exp=EB1BDC30141D06E64D + 9787.50ns INFO [00009789] * RD COMPARE * port=1 adr=07 act=EB1BDC30141D06E64D exp=EB1BDC30141D06E64D + 9787.50ns INFO [00009789] Port=1 RD @02 + 9788.50ns INFO [00009790] Port=0 WR @01=9C226C24932C44B2B5 + 9788.50ns INFO [00009790] Port=0 RD @05 + 9788.50ns INFO [00009790] Port=1 RD @04 + 9789.50ns INFO [00009791] * RD COMPARE * port=1 adr=02 act=65251223761707F5C4 exp=65251223761707F5C4 + 9789.50ns INFO [00009791] Port=0 WR @07=833C8172186505DC61 + 9790.50ns INFO [00009792] * RD COMPARE * port=0 adr=05 act=BC87CCE72F76B371E1 exp=BC87CCE72F76B371E1 + 9790.50ns INFO [00009792] * RD COMPARE * port=1 adr=04 act=6772173815275CF4C8 exp=6772173815275CF4C8 + 9790.50ns INFO [00009792] Port=0 RD @01 + 9791.50ns INFO [00009793] Port=0 WR @02=DA806A509F7BA98031 + 9792.50ns INFO [00009794] * RD COMPARE * port=0 adr=01 act=9C226C24932C44B2B5 exp=9C226C24932C44B2B5 + 9792.50ns INFO [00009794] Port=0 WR @01=8218663E16670C13B1 + 9792.50ns INFO [00009794] Port=0 RD @06 + 9792.50ns INFO [00009794] Port=1 RD @03 + 9793.50ns INFO [00009795] Port=0 WR @03=F842EC94EC6CDA98DA + 9793.50ns INFO [00009795] Port=0 RD @02 + 9794.50ns INFO [00009796] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E + 9794.50ns INFO [00009796] * RD COMPARE * port=1 adr=03 act=A77A711B2DF56B4854 exp=A77A711B2DF56B4854 + 9795.50ns INFO [00009797] * RD COMPARE * port=0 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031 + 9795.50ns INFO [00009797] Port=0 WR @01=D27A1898FE641C46CA + 9796.50ns INFO [00009798] Port=0 WR @07=BA9E68F1FC343DCFD1 + 9797.50ns INFO [00009799] Port=1 RD @07 + 9798.00ns INFO [00009800] [00009800] ...tick... + 9798.50ns INFO [00009800] Port=0 WR @04=B3722E18B98EE517E1 + 9798.50ns INFO [00009800] Port=0 RD @02 + 9799.50ns INFO [00009801] * RD COMPARE * port=1 adr=07 act=BA9E68F1FC343DCFD1 exp=BA9E68F1FC343DCFD1 + 9800.50ns INFO [00009802] * RD COMPARE * port=0 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031 + 9800.50ns INFO [00009802] Port=1 RD @07 + 9801.50ns INFO [00009803] Port=0 WR @07=099C69038427E1A506 + 9802.50ns INFO [00009804] * RD COMPARE * port=1 adr=07 act=BA9E68F1FC343DCFD1 exp=BA9E68F1FC343DCFD1 + 9802.50ns INFO [00009804] Port=1 RD @03 + 9804.50ns INFO [00009806] * RD COMPARE * port=1 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA + 9806.50ns INFO [00009808] Port=1 RD @03 + 9807.50ns INFO [00009809] Port=0 WR @04=CA564A79CB616C7D91 + 9807.50ns INFO [00009809] Port=0 RD @07 + 9807.50ns INFO [00009809] Port=1 RD @05 + 9808.50ns INFO [00009810] * RD COMPARE * port=1 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA + 9809.50ns INFO [00009811] * RD COMPARE * port=0 adr=07 act=099C69038427E1A506 exp=099C69038427E1A506 + 9809.50ns INFO [00009811] * RD COMPARE * port=1 adr=05 act=BC87CCE72F76B371E1 exp=BC87CCE72F76B371E1 + 9809.50ns INFO [00009811] Port=0 RD @07 + 9809.50ns INFO [00009811] Port=1 RD @04 + 9810.50ns INFO [00009812] Port=0 WR @01=24C92287F91290E138 + 9810.50ns INFO [00009812] Port=1 RD @04 + 9811.50ns INFO [00009813] * RD COMPARE * port=0 adr=07 act=099C69038427E1A506 exp=099C69038427E1A506 + 9811.50ns INFO [00009813] * RD COMPARE * port=1 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91 + 9811.50ns INFO [00009813] Port=0 RD @01 + 9812.50ns INFO [00009814] * RD COMPARE * port=1 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91 + 9812.50ns INFO [00009814] Port=1 RD @06 + 9813.50ns INFO [00009815] * RD COMPARE * port=0 adr=01 act=24C92287F91290E138 exp=24C92287F91290E138 + 9813.50ns INFO [00009815] Port=0 RD @06 + 9813.50ns INFO [00009815] Port=1 RD @04 + 9814.50ns INFO [00009816] * RD COMPARE * port=1 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E + 9814.50ns INFO [00009816] Port=1 RD @02 + 9815.50ns INFO [00009817] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E + 9815.50ns INFO [00009817] * RD COMPARE * port=1 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91 + 9815.50ns INFO [00009817] Port=0 WR @06=5AB5699E3953A59F68 + 9815.50ns INFO [00009817] Port=1 RD @02 + 9816.50ns INFO [00009818] * RD COMPARE * port=1 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031 + 9816.50ns INFO [00009818] Port=0 WR @06=54CB468FA2AAA0519E + 9817.50ns INFO [00009819] * RD COMPARE * port=1 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031 + 9817.50ns INFO [00009819] Port=0 RD @02 + 9819.50ns INFO [00009821] * RD COMPARE * port=0 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031 + 9819.50ns INFO [00009821] Port=1 RD @04 + 9821.50ns INFO [00009823] * RD COMPARE * port=1 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91 + 9822.50ns INFO [00009824] Port=0 RD @04 + 9823.50ns INFO [00009825] Port=0 RD @01 + 9823.50ns INFO [00009825] Port=1 RD @00 + 9824.50ns INFO [00009826] * RD COMPARE * port=0 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91 + 9825.50ns INFO [00009827] * RD COMPARE * port=0 adr=01 act=24C92287F91290E138 exp=24C92287F91290E138 + 9825.50ns INFO [00009827] * RD COMPARE * port=1 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259 + 9825.50ns INFO [00009827] Port=0 WR @00=604A200EA9DBF28BC5 + 9826.50ns INFO [00009828] Port=0 WR @05=C6E013F0B1AE188808 + 9826.50ns INFO [00009828] Port=1 RD @07 + 9827.50ns INFO [00009829] Port=1 RD @03 + 9828.50ns INFO [00009830] * RD COMPARE * port=1 adr=07 act=099C69038427E1A506 exp=099C69038427E1A506 + 9828.50ns INFO [00009830] Port=0 RD @03 + 9829.50ns INFO [00009831] * RD COMPARE * port=1 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA + 9829.50ns INFO [00009831] Port=1 RD @06 + 9830.50ns INFO [00009832] * RD COMPARE * port=0 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA + 9830.50ns INFO [00009832] Port=0 WR @01=1B6878CD5D3632959B + 9831.50ns INFO [00009833] * RD COMPARE * port=1 adr=06 act=54CB468FA2AAA0519E exp=54CB468FA2AAA0519E + 9832.50ns INFO [00009834] Port=0 WR @07=95007A0CB4B2B4E746 + 9832.50ns INFO [00009834] Port=1 RD @06 + 9833.50ns INFO [00009835] Port=1 RD @06 + 9834.50ns INFO [00009836] * RD COMPARE * port=1 adr=06 act=54CB468FA2AAA0519E exp=54CB468FA2AAA0519E + 9834.50ns INFO [00009836] Port=0 RD @07 + 9835.50ns INFO [00009837] * RD COMPARE * port=1 adr=06 act=54CB468FA2AAA0519E exp=54CB468FA2AAA0519E + 9835.50ns INFO [00009837] Port=1 RD @01 + 9836.50ns INFO [00009838] * RD COMPARE * port=0 adr=07 act=95007A0CB4B2B4E746 exp=95007A0CB4B2B4E746 + 9836.50ns INFO [00009838] Port=1 RD @06 + 9837.50ns INFO [00009839] * RD COMPARE * port=1 adr=01 act=1B6878CD5D3632959B exp=1B6878CD5D3632959B + 9837.50ns INFO [00009839] Port=0 WR @06=57554A2195763922DB + 9837.50ns INFO [00009839] Port=0 RD @03 + 9837.50ns INFO [00009839] Port=1 RD @05 + 9838.50ns INFO [00009840] * RD COMPARE * port=1 adr=06 act=54CB468FA2AAA0519E exp=54CB468FA2AAA0519E + 9838.50ns INFO [00009840] Port=0 RD @05 + 9839.50ns INFO [00009841] * RD COMPARE * port=0 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA + 9839.50ns INFO [00009841] * RD COMPARE * port=1 adr=05 act=C6E013F0B1AE188808 exp=C6E013F0B1AE188808 + 9840.50ns INFO [00009842] * RD COMPARE * port=0 adr=05 act=C6E013F0B1AE188808 exp=C6E013F0B1AE188808 + 9840.50ns INFO [00009842] Port=0 RD @02 + 9840.50ns INFO [00009842] Port=1 RD @03 + 9841.50ns INFO [00009843] Port=0 WR @00=3802B74246D7912F2B + 9841.50ns INFO [00009843] Port=0 RD @04 + 9842.50ns INFO [00009844] * RD COMPARE * port=0 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031 + 9842.50ns INFO [00009844] * RD COMPARE * port=1 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA + 9842.50ns INFO [00009844] Port=1 RD @00 + 9843.50ns INFO [00009845] * RD COMPARE * port=0 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91 + 9843.50ns INFO [00009845] Port=0 WR @04=304FB61F5C6FF434DD + 9844.50ns INFO [00009846] * RD COMPARE * port=1 adr=00 act=3802B74246D7912F2B exp=3802B74246D7912F2B + 9846.50ns INFO [00009848] Port=0 WR @02=F8749ABF8E3F2525CE + 9846.50ns INFO [00009848] Port=0 RD @05 + 9846.50ns INFO [00009848] Port=1 RD @01 + 9847.50ns INFO [00009849] Port=0 RD @03 + 9847.50ns INFO [00009849] Port=1 RD @01 + 9848.50ns INFO [00009850] * RD COMPARE * port=0 adr=05 act=C6E013F0B1AE188808 exp=C6E013F0B1AE188808 + 9848.50ns INFO [00009850] * RD COMPARE * port=1 adr=01 act=1B6878CD5D3632959B exp=1B6878CD5D3632959B + 9848.50ns INFO [00009850] Port=0 RD @07 + 9848.50ns INFO [00009850] Port=1 RD @01 + 9849.50ns INFO [00009851] * RD COMPARE * port=0 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA + 9849.50ns INFO [00009851] * RD COMPARE * port=1 adr=01 act=1B6878CD5D3632959B exp=1B6878CD5D3632959B + 9849.50ns INFO [00009851] Port=0 RD @00 + 9849.50ns INFO [00009851] Port=1 RD @01 + 9850.50ns INFO [00009852] * RD COMPARE * port=0 adr=07 act=95007A0CB4B2B4E746 exp=95007A0CB4B2B4E746 + 9850.50ns INFO [00009852] * RD COMPARE * port=1 adr=01 act=1B6878CD5D3632959B exp=1B6878CD5D3632959B + 9850.50ns INFO [00009852] Port=0 RD @06 + 9851.50ns INFO [00009853] * RD COMPARE * port=0 adr=00 act=3802B74246D7912F2B exp=3802B74246D7912F2B + 9851.50ns INFO [00009853] * RD COMPARE * port=1 adr=01 act=1B6878CD5D3632959B exp=1B6878CD5D3632959B + 9851.50ns INFO [00009853] Port=0 WR @06=2286D3F983653D654F + 9851.50ns INFO [00009853] Port=1 RD @07 + 9852.50ns INFO [00009854] * RD COMPARE * port=0 adr=06 act=57554A2195763922DB exp=57554A2195763922DB + 9852.50ns INFO [00009854] Port=0 WR @07=CF85FDE7F3B3A77794 + 9852.50ns INFO [00009854] Port=0 RD @03 + 9852.50ns INFO [00009854] Port=1 RD @00 + 9853.50ns INFO [00009855] * RD COMPARE * port=1 adr=07 act=95007A0CB4B2B4E746 exp=95007A0CB4B2B4E746 + 9853.50ns INFO [00009855] Port=1 RD @00 + 9854.50ns INFO [00009856] * RD COMPARE * port=0 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA + 9854.50ns INFO [00009856] * RD COMPARE * port=1 adr=00 act=3802B74246D7912F2B exp=3802B74246D7912F2B + 9854.50ns INFO [00009856] Port=0 WR @01=4C2015DBAF601DCE8C + 9855.50ns INFO [00009857] * RD COMPARE * port=1 adr=00 act=3802B74246D7912F2B exp=3802B74246D7912F2B + 9855.50ns INFO [00009857] Port=0 WR @05=F7078A24D378286450 + 9856.50ns INFO [00009858] Port=0 WR @00=07CE7307D190CAFF9A + 9856.50ns INFO [00009858] Port=0 RD @07 + 9857.50ns INFO [00009859] Port=0 WR @07=24A24645650F161146 + 9858.50ns INFO [00009860] * RD COMPARE * port=0 adr=07 act=CF85FDE7F3B3A77794 exp=CF85FDE7F3B3A77794 + 9858.50ns INFO [00009860] Port=0 WR @04=2A738090B983F14590 + 9858.50ns INFO [00009860] Port=0 RD @00 + 9859.50ns INFO [00009861] Port=1 RD @02 + 9860.50ns INFO [00009862] * RD COMPARE * port=0 adr=00 act=07CE7307D190CAFF9A exp=07CE7307D190CAFF9A + 9860.50ns INFO [00009862] Port=1 RD @02 + 9861.50ns INFO [00009863] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE + 9861.50ns INFO [00009863] Port=0 WR @05=8F3DE3FCC86EF49597 + 9861.50ns INFO [00009863] Port=0 RD @07 + 9862.50ns INFO [00009864] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE + 9863.50ns INFO [00009865] * RD COMPARE * port=0 adr=07 act=24A24645650F161146 exp=24A24645650F161146 + 9864.50ns INFO [00009866] Port=0 RD @03 + 9864.50ns INFO [00009866] Port=1 RD @04 + 9865.50ns INFO [00009867] Port=0 WR @01=1576A785E68F2C8BB5 + 9865.50ns INFO [00009867] Port=0 RD @07 + 9866.50ns INFO [00009868] * RD COMPARE * port=0 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA + 9866.50ns INFO [00009868] * RD COMPARE * port=1 adr=04 act=2A738090B983F14590 exp=2A738090B983F14590 + 9866.50ns INFO [00009868] Port=0 WR @07=AEB6F77CB72CDF7BCA + 9866.50ns INFO [00009868] Port=1 RD @00 + 9867.50ns INFO [00009869] * RD COMPARE * port=0 adr=07 act=24A24645650F161146 exp=24A24645650F161146 + 9867.50ns INFO [00009869] Port=0 WR @07=265F218320F1669A35 + 9867.50ns INFO [00009869] Port=0 RD @01 + 9868.50ns INFO [00009870] * RD COMPARE * port=1 adr=00 act=07CE7307D190CAFF9A exp=07CE7307D190CAFF9A + 9868.50ns INFO [00009870] Port=0 WR @03=281269F9B04530E203 + 9868.50ns INFO [00009870] Port=1 RD @07 + 9869.50ns INFO [00009871] * RD COMPARE * port=0 adr=01 act=1576A785E68F2C8BB5 exp=1576A785E68F2C8BB5 + 9869.50ns INFO [00009871] Port=1 RD @02 + 9870.50ns INFO [00009872] * RD COMPARE * port=1 adr=07 act=265F218320F1669A35 exp=265F218320F1669A35 + 9870.50ns INFO [00009872] Port=0 RD @06 + 9871.50ns INFO [00009873] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE + 9871.50ns INFO [00009873] Port=0 WR @07=9FC131F51423F55D32 + 9871.50ns INFO [00009873] Port=0 RD @01 + 9871.50ns INFO [00009873] Port=1 RD @03 + 9872.50ns INFO [00009874] * RD COMPARE * port=0 adr=06 act=2286D3F983653D654F exp=2286D3F983653D654F + 9872.50ns INFO [00009874] Port=0 WR @06=FA653F9023BA79349D + 9872.50ns INFO [00009874] Port=0 RD @01 + 9873.50ns INFO [00009875] * RD COMPARE * port=0 adr=01 act=1576A785E68F2C8BB5 exp=1576A785E68F2C8BB5 + 9873.50ns INFO [00009875] * RD COMPARE * port=1 adr=03 act=281269F9B04530E203 exp=281269F9B04530E203 + 9873.50ns INFO [00009875] Port=0 WR @05=EA9C8798308DF91816 + 9873.50ns INFO [00009875] Port=1 RD @04 + 9874.50ns INFO [00009876] * RD COMPARE * port=0 adr=01 act=1576A785E68F2C8BB5 exp=1576A785E68F2C8BB5 + 9875.50ns INFO [00009877] * RD COMPARE * port=1 adr=04 act=2A738090B983F14590 exp=2A738090B983F14590 + 9875.50ns INFO [00009877] Port=0 RD @03 + 9875.50ns INFO [00009877] Port=1 RD @01 + 9876.50ns INFO [00009878] Port=0 WR @06=BAAD7F55B38C5E6A25 + 9877.50ns INFO [00009879] * RD COMPARE * port=0 adr=03 act=281269F9B04530E203 exp=281269F9B04530E203 + 9877.50ns INFO [00009879] * RD COMPARE * port=1 adr=01 act=1576A785E68F2C8BB5 exp=1576A785E68F2C8BB5 + 9877.50ns INFO [00009879] Port=0 RD @04 + 9878.50ns INFO [00009880] Port=0 RD @05 + 9879.50ns INFO [00009881] * RD COMPARE * port=0 adr=04 act=2A738090B983F14590 exp=2A738090B983F14590 + 9879.50ns INFO [00009881] Port=0 WR @03=D17D9FF7ED240B00C0 + 9879.50ns INFO [00009881] Port=1 RD @02 + 9880.50ns INFO [00009882] * RD COMPARE * port=0 adr=05 act=EA9C8798308DF91816 exp=EA9C8798308DF91816 + 9880.50ns INFO [00009882] Port=0 RD @07 + 9881.50ns INFO [00009883] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE + 9881.50ns INFO [00009883] Port=0 WR @07=73621720B1D9E4D3D0 + 9881.50ns INFO [00009883] Port=0 RD @05 + 9881.50ns INFO [00009883] Port=1 RD @04 + 9882.50ns INFO [00009884] * RD COMPARE * port=0 adr=07 act=9FC131F51423F55D32 exp=9FC131F51423F55D32 + 9883.50ns INFO [00009885] * RD COMPARE * port=0 adr=05 act=EA9C8798308DF91816 exp=EA9C8798308DF91816 + 9883.50ns INFO [00009885] * RD COMPARE * port=1 adr=04 act=2A738090B983F14590 exp=2A738090B983F14590 + 9883.50ns INFO [00009885] Port=0 WR @03=FC1C77DBB37D9CDE78 + 9883.50ns INFO [00009885] Port=1 RD @01 + 9884.50ns INFO [00009886] Port=0 WR @04=0B2EBB347D21BF7CE3 + 9884.50ns INFO [00009886] Port=0 RD @07 + 9884.50ns INFO [00009886] Port=1 RD @05 + 9885.50ns INFO [00009887] * RD COMPARE * port=1 adr=01 act=1576A785E68F2C8BB5 exp=1576A785E68F2C8BB5 + 9885.50ns INFO [00009887] Port=0 WR @05=18B458F7CF3980D64B + 9886.50ns INFO [00009888] * RD COMPARE * port=0 adr=07 act=73621720B1D9E4D3D0 exp=73621720B1D9E4D3D0 + 9886.50ns INFO [00009888] * RD COMPARE * port=1 adr=05 act=EA9C8798308DF91816 exp=EA9C8798308DF91816 + 9886.50ns INFO [00009888] Port=1 RD @05 + 9887.50ns INFO [00009889] Port=1 RD @03 + 9888.50ns INFO [00009890] * RD COMPARE * port=1 adr=05 act=18B458F7CF3980D64B exp=18B458F7CF3980D64B + 9888.50ns INFO [00009890] Port=0 WR @06=B7361222D1960A07D6 + 9888.50ns INFO [00009890] Port=1 RD @07 + 9889.50ns INFO [00009891] * RD COMPARE * port=1 adr=03 act=FC1C77DBB37D9CDE78 exp=FC1C77DBB37D9CDE78 + 9890.50ns INFO [00009892] * RD COMPARE * port=1 adr=07 act=73621720B1D9E4D3D0 exp=73621720B1D9E4D3D0 + 9890.50ns INFO [00009892] Port=1 RD @00 + 9891.50ns INFO [00009893] Port=0 WR @01=A70E1F3410AD9D798E + 9891.50ns INFO [00009893] Port=1 RD @06 + 9892.50ns INFO [00009894] * RD COMPARE * port=1 adr=00 act=07CE7307D190CAFF9A exp=07CE7307D190CAFF9A + 9892.50ns INFO [00009894] Port=1 RD @01 + 9893.50ns INFO [00009895] * RD COMPARE * port=1 adr=06 act=B7361222D1960A07D6 exp=B7361222D1960A07D6 + 9893.50ns INFO [00009895] Port=1 RD @01 + 9894.50ns INFO [00009896] * RD COMPARE * port=1 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E + 9894.50ns INFO [00009896] Port=0 RD @00 + 9895.50ns INFO [00009897] * RD COMPARE * port=1 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E + 9895.50ns INFO [00009897] Port=0 RD @05 + 9896.50ns INFO [00009898] * RD COMPARE * port=0 adr=00 act=07CE7307D190CAFF9A exp=07CE7307D190CAFF9A + 9896.50ns INFO [00009898] Port=0 RD @02 + 9896.50ns INFO [00009898] Port=1 RD @05 + 9897.50ns INFO [00009899] * RD COMPARE * port=0 adr=05 act=18B458F7CF3980D64B exp=18B458F7CF3980D64B + 9897.50ns INFO [00009899] Port=0 RD @06 + 9898.00ns INFO [00009900] [00009900] ...tick... + 9898.50ns INFO [00009900] * RD COMPARE * port=0 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE + 9898.50ns INFO [00009900] * RD COMPARE * port=1 adr=05 act=18B458F7CF3980D64B exp=18B458F7CF3980D64B + 9898.50ns INFO [00009900] Port=0 WR @00=54FE2D607070BEED1B + 9898.50ns INFO [00009900] Port=1 RD @05 + 9899.50ns INFO [00009901] * RD COMPARE * port=0 adr=06 act=B7361222D1960A07D6 exp=B7361222D1960A07D6 + 9899.50ns INFO [00009901] Port=0 WR @07=7AC93B51C1938B5693 + 9900.50ns INFO [00009902] * RD COMPARE * port=1 adr=05 act=18B458F7CF3980D64B exp=18B458F7CF3980D64B + 9901.50ns INFO [00009903] Port=0 WR @06=7EE43F42ED36CF0A26 + 9903.50ns INFO [00009905] Port=1 RD @02 + 9904.50ns INFO [00009906] Port=0 RD @05 + 9905.50ns INFO [00009907] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE + 9905.50ns INFO [00009907] Port=0 WR @05=7808C10477EABDE471 + 9906.50ns INFO [00009908] * RD COMPARE * port=0 adr=05 act=18B458F7CF3980D64B exp=18B458F7CF3980D64B + 9907.50ns INFO [00009909] Port=0 WR @06=7A9A91F459BC03E7CB + 9907.50ns INFO [00009909] Port=0 RD @00 + 9909.50ns INFO [00009911] * RD COMPARE * port=0 adr=00 act=54FE2D607070BEED1B exp=54FE2D607070BEED1B + 9909.50ns INFO [00009911] Port=1 RD @07 + 9910.50ns INFO [00009912] Port=0 WR @07=746FA28FEAD43CBFB9 + 9910.50ns INFO [00009912] Port=0 RD @04 + 9911.50ns INFO [00009913] * RD COMPARE * port=1 adr=07 act=7AC93B51C1938B5693 exp=7AC93B51C1938B5693 + 9911.50ns INFO [00009913] Port=0 RD @01 + 9911.50ns INFO [00009913] Port=1 RD @01 + 9912.50ns INFO [00009914] * RD COMPARE * port=0 adr=04 act=0B2EBB347D21BF7CE3 exp=0B2EBB347D21BF7CE3 + 9912.50ns INFO [00009914] Port=0 WR @07=B58FA7BD7424B1C609 + 9912.50ns INFO [00009914] Port=0 RD @00 + 9913.50ns INFO [00009915] * RD COMPARE * port=0 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E + 9913.50ns INFO [00009915] * RD COMPARE * port=1 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E + 9913.50ns INFO [00009915] Port=0 WR @07=6BB8719A1A7C31CE45 + 9913.50ns INFO [00009915] Port=0 RD @00 + 9914.50ns INFO [00009916] * RD COMPARE * port=0 adr=00 act=54FE2D607070BEED1B exp=54FE2D607070BEED1B + 9914.50ns INFO [00009916] Port=0 RD @05 + 9915.50ns INFO [00009917] * RD COMPARE * port=0 adr=00 act=54FE2D607070BEED1B exp=54FE2D607070BEED1B + 9915.50ns INFO [00009917] Port=1 RD @00 + 9916.50ns INFO [00009918] * RD COMPARE * port=0 adr=05 act=7808C10477EABDE471 exp=7808C10477EABDE471 + 9916.50ns INFO [00009918] Port=0 WR @07=D7F5CD581B293B9634 + 9916.50ns INFO [00009918] Port=0 RD @01 + 9917.50ns INFO [00009919] * RD COMPARE * port=1 adr=00 act=54FE2D607070BEED1B exp=54FE2D607070BEED1B + 9917.50ns INFO [00009919] Port=0 WR @03=18269D9FBE6B05F971 + 9918.50ns INFO [00009920] * RD COMPARE * port=0 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E + 9919.50ns INFO [00009921] Port=1 RD @05 + 9921.50ns INFO [00009923] * RD COMPARE * port=1 adr=05 act=7808C10477EABDE471 exp=7808C10477EABDE471 + 9921.50ns INFO [00009923] Port=1 RD @04 + 9922.50ns INFO [00009924] Port=0 WR @00=23AB31A9594CAFDF39 + 9922.50ns INFO [00009924] Port=0 RD @07 + 9923.50ns INFO [00009925] * RD COMPARE * port=1 adr=04 act=0B2EBB347D21BF7CE3 exp=0B2EBB347D21BF7CE3 + 9923.50ns INFO [00009925] Port=0 WR @06=ACCFCE860ADAE5D6E4 + 9923.50ns INFO [00009925] Port=0 RD @05 + 9923.50ns INFO [00009925] Port=1 RD @01 + 9924.50ns INFO [00009926] * RD COMPARE * port=0 adr=07 act=D7F5CD581B293B9634 exp=D7F5CD581B293B9634 + 9924.50ns INFO [00009926] Port=1 RD @03 + 9925.50ns INFO [00009927] * RD COMPARE * port=0 adr=05 act=7808C10477EABDE471 exp=7808C10477EABDE471 + 9925.50ns INFO [00009927] * RD COMPARE * port=1 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E + 9925.50ns INFO [00009927] Port=0 WR @06=3C0FD40B212724FF7A + 9925.50ns INFO [00009927] Port=0 RD @07 + 9926.50ns INFO [00009928] * RD COMPARE * port=1 adr=03 act=18269D9FBE6B05F971 exp=18269D9FBE6B05F971 + 9927.50ns INFO [00009929] * RD COMPARE * port=0 adr=07 act=D7F5CD581B293B9634 exp=D7F5CD581B293B9634 + 9928.50ns INFO [00009930] Port=0 WR @04=86C88F31F56BD9AA30 + 9929.50ns INFO [00009931] Port=0 RD @02 + 9929.50ns INFO [00009931] Port=1 RD @05 + 9930.50ns INFO [00009932] Port=1 RD @02 + 9931.50ns INFO [00009933] * RD COMPARE * port=0 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE + 9931.50ns INFO [00009933] * RD COMPARE * port=1 adr=05 act=7808C10477EABDE471 exp=7808C10477EABDE471 + 9932.50ns INFO [00009934] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE + 9933.50ns INFO [00009935] Port=0 WR @03=DF3BDC18AEC16209E8 + 9934.50ns INFO [00009936] Port=0 WR @04=0C41AEFC5109DD80CD + 9934.50ns INFO [00009936] Port=0 RD @00 + 9935.50ns INFO [00009937] Port=0 WR @07=ED68EBC4A755EC0757 + 9935.50ns INFO [00009937] Port=0 RD @05 + 9936.50ns INFO [00009938] * RD COMPARE * port=0 adr=00 act=23AB31A9594CAFDF39 exp=23AB31A9594CAFDF39 + 9937.50ns INFO [00009939] * RD COMPARE * port=0 adr=05 act=7808C10477EABDE471 exp=7808C10477EABDE471 + 9937.50ns INFO [00009939] Port=0 WR @02=EBF4F3C7EFF980924C + 9938.50ns INFO [00009940] Port=0 RD @02 + 9939.50ns INFO [00009941] Port=0 RD @06 + 9940.50ns INFO [00009942] * RD COMPARE * port=0 adr=02 act=EBF4F3C7EFF980924C exp=EBF4F3C7EFF980924C + 9940.50ns INFO [00009942] Port=0 WR @04=7DDB22372A3A6C602C + 9940.50ns INFO [00009942] Port=0 RD @03 + 9940.50ns INFO [00009942] Port=1 RD @02 + 9941.50ns INFO [00009943] * RD COMPARE * port=0 adr=06 act=3C0FD40B212724FF7A exp=3C0FD40B212724FF7A + 9941.50ns INFO [00009943] Port=1 RD @04 + 9942.50ns INFO [00009944] * RD COMPARE * port=0 adr=03 act=DF3BDC18AEC16209E8 exp=DF3BDC18AEC16209E8 + 9942.50ns INFO [00009944] * RD COMPARE * port=1 adr=02 act=EBF4F3C7EFF980924C exp=EBF4F3C7EFF980924C + 9943.50ns INFO [00009945] * RD COMPARE * port=1 adr=04 act=7DDB22372A3A6C602C exp=7DDB22372A3A6C602C + 9944.50ns INFO [00009946] Port=0 RD @02 + 9945.50ns INFO [00009947] Port=0 RD @01 + 9946.50ns INFO [00009948] * RD COMPARE * port=0 adr=02 act=EBF4F3C7EFF980924C exp=EBF4F3C7EFF980924C + 9946.50ns INFO [00009948] Port=0 WR @03=DB9FD4F05C226EB7A8 + 9946.50ns INFO [00009948] Port=0 RD @01 + 9946.50ns INFO [00009948] Port=1 RD @07 + 9947.50ns INFO [00009949] * RD COMPARE * port=0 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E + 9947.50ns INFO [00009949] Port=1 RD @02 + 9948.50ns INFO [00009950] * RD COMPARE * port=0 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E + 9948.50ns INFO [00009950] * RD COMPARE * port=1 adr=07 act=ED68EBC4A755EC0757 exp=ED68EBC4A755EC0757 + 9948.50ns INFO [00009950] Port=0 RD @04 + 9948.50ns INFO [00009950] Port=1 RD @06 + 9949.50ns INFO [00009951] * RD COMPARE * port=1 adr=02 act=EBF4F3C7EFF980924C exp=EBF4F3C7EFF980924C + 9949.50ns INFO [00009951] Port=0 WR @03=A8133047FB725C2FCA + 9950.50ns INFO [00009952] * RD COMPARE * port=0 adr=04 act=7DDB22372A3A6C602C exp=7DDB22372A3A6C602C + 9950.50ns INFO [00009952] * RD COMPARE * port=1 adr=06 act=3C0FD40B212724FF7A exp=3C0FD40B212724FF7A + 9950.50ns INFO [00009952] Port=0 WR @01=B3C674BAFE6191FBC2 + 9950.50ns INFO [00009952] Port=1 RD @07 + 9952.50ns INFO [00009954] * RD COMPARE * port=1 adr=07 act=ED68EBC4A755EC0757 exp=ED68EBC4A755EC0757 + 9952.50ns INFO [00009954] Port=1 RD @01 + 9953.50ns INFO [00009955] Port=0 WR @07=C4E0D2345BC8CA90F8 + 9954.50ns INFO [00009956] * RD COMPARE * port=1 adr=01 act=B3C674BAFE6191FBC2 exp=B3C674BAFE6191FBC2 + 9954.50ns INFO [00009956] Port=0 WR @02=F5CD710914E623C53D + 9955.50ns INFO [00009957] Port=0 WR @04=C0717D9B901B614ABA + 9956.50ns INFO [00009958] Port=0 WR @07=47D49E34D765201EF8 + 9956.50ns INFO [00009958] Port=1 RD @00 + 9958.50ns INFO [00009960] * RD COMPARE * port=1 adr=00 act=23AB31A9594CAFDF39 exp=23AB31A9594CAFDF39 + 9958.50ns INFO [00009960] Port=0 WR @03=D4C918FE1BABF5E57C + 9959.50ns INFO [00009961] Port=0 RD @03 + 9960.50ns INFO [00009962] Port=0 RD @04 + 9961.50ns INFO [00009963] * RD COMPARE * port=0 adr=03 act=D4C918FE1BABF5E57C exp=D4C918FE1BABF5E57C + 9961.50ns INFO [00009963] Port=0 WR @07=32353BF0F614303E8E + 9961.50ns INFO [00009963] Port=1 RD @06 + 9962.50ns INFO [00009964] * RD COMPARE * port=0 adr=04 act=C0717D9B901B614ABA exp=C0717D9B901B614ABA + 9963.50ns INFO [00009965] * RD COMPARE * port=1 adr=06 act=3C0FD40B212724FF7A exp=3C0FD40B212724FF7A + 9963.50ns INFO [00009965] Port=0 WR @05=CBE9652121A36C8CCD + 9964.50ns INFO [00009966] Port=0 RD @07 + 9966.50ns INFO [00009968] * RD COMPARE * port=0 adr=07 act=32353BF0F614303E8E exp=32353BF0F614303E8E + 9966.50ns INFO [00009968] Port=1 RD @00 + 9967.50ns INFO [00009969] Port=0 WR @02=88B3797D368A4F87AB + 9968.50ns INFO [00009970] * RD COMPARE * port=1 adr=00 act=23AB31A9594CAFDF39 exp=23AB31A9594CAFDF39 + 9968.50ns INFO [00009970] Port=1 RD @06 + 9970.50ns INFO [00009972] * RD COMPARE * port=1 adr=06 act=3C0FD40B212724FF7A exp=3C0FD40B212724FF7A + 9970.50ns INFO [00009972] Port=0 WR @00=6BE7FF1707DBF321C3 + 9971.50ns INFO [00009973] Port=0 RD @02 + 9971.50ns INFO [00009973] Port=1 RD @06 + 9972.50ns INFO [00009974] Port=0 RD @03 + 9973.50ns INFO [00009975] * RD COMPARE * port=0 adr=02 act=88B3797D368A4F87AB exp=88B3797D368A4F87AB + 9973.50ns INFO [00009975] * RD COMPARE * port=1 adr=06 act=3C0FD40B212724FF7A exp=3C0FD40B212724FF7A + 9974.50ns INFO [00009976] * RD COMPARE * port=0 adr=03 act=D4C918FE1BABF5E57C exp=D4C918FE1BABF5E57C + 9974.50ns INFO [00009976] Port=0 WR @03=BA06A52990FEF60952 + 9974.50ns INFO [00009976] Port=1 RD @04 + 9975.50ns INFO [00009977] Port=1 RD @01 + 9976.50ns INFO [00009978] * RD COMPARE * port=1 adr=04 act=C0717D9B901B614ABA exp=C0717D9B901B614ABA + 9976.50ns INFO [00009978] Port=0 WR @03=F4D88497125A6BABD9 + 9977.50ns INFO [00009979] * RD COMPARE * port=1 adr=01 act=B3C674BAFE6191FBC2 exp=B3C674BAFE6191FBC2 + 9977.50ns INFO [00009979] Port=0 RD @04 + 9978.50ns INFO [00009980] Port=0 RD @04 + 9978.50ns INFO [00009980] Port=1 RD @05 + 9979.50ns INFO [00009981] * RD COMPARE * port=0 adr=04 act=C0717D9B901B614ABA exp=C0717D9B901B614ABA + 9979.50ns INFO [00009981] Port=0 RD @03 + 9980.50ns INFO [00009982] * RD COMPARE * port=0 adr=04 act=C0717D9B901B614ABA exp=C0717D9B901B614ABA + 9980.50ns INFO [00009982] * RD COMPARE * port=1 adr=05 act=CBE9652121A36C8CCD exp=CBE9652121A36C8CCD + 9981.50ns INFO [00009983] * RD COMPARE * port=0 adr=03 act=F4D88497125A6BABD9 exp=F4D88497125A6BABD9 + 9981.50ns INFO [00009983] Port=0 WR @01=F886B0665F57D8429B + 9982.50ns INFO [00009984] Port=0 WR @04=B18CCD4E4AA3822CFD + 9983.50ns INFO [00009985] Port=1 RD @02 + 9984.50ns INFO [00009986] Port=1 RD @03 + 9985.50ns INFO [00009987] * RD COMPARE * port=1 adr=02 act=88B3797D368A4F87AB exp=88B3797D368A4F87AB + 9985.50ns INFO [00009987] Port=0 WR @01=435D277CD3492E558A + 9986.50ns INFO [00009988] * RD COMPARE * port=1 adr=03 act=F4D88497125A6BABD9 exp=F4D88497125A6BABD9 + 9987.50ns INFO [00009989] Port=0 RD @07 + 9988.50ns INFO [00009990] Port=0 WR @00=30B2C84E3C3FCF4630 + 9988.50ns INFO [00009990] Port=0 RD @01 + 9988.50ns INFO [00009990] Port=1 RD @05 + 9989.50ns INFO [00009991] * RD COMPARE * port=0 adr=07 act=32353BF0F614303E8E exp=32353BF0F614303E8E + 9989.50ns INFO [00009991] Port=0 WR @04=1560480EDFDB8C3CC9 + 9989.50ns INFO [00009991] Port=0 RD @05 + 9990.50ns INFO [00009992] * RD COMPARE * port=0 adr=01 act=435D277CD3492E558A exp=435D277CD3492E558A + 9990.50ns INFO [00009992] * RD COMPARE * port=1 adr=05 act=CBE9652121A36C8CCD exp=CBE9652121A36C8CCD + 9990.50ns INFO [00009992] Port=0 WR @07=BC250CD84AEB2177BC + 9990.50ns INFO [00009992] Port=0 RD @03 + 9991.50ns INFO [00009993] * RD COMPARE * port=0 adr=05 act=CBE9652121A36C8CCD exp=CBE9652121A36C8CCD + 9991.50ns INFO [00009993] Port=0 WR @06=0170277E788D840352 + 9991.50ns INFO [00009993] Port=0 RD @02 + 9992.50ns INFO [00009994] * RD COMPARE * port=0 adr=03 act=F4D88497125A6BABD9 exp=F4D88497125A6BABD9 + 9992.50ns INFO [00009994] Port=0 WR @02=AC70277864E99D11CE + 9992.50ns INFO [00009994] Port=1 RD @00 + 9993.50ns INFO [00009995] * RD COMPARE * port=0 adr=02 act=88B3797D368A4F87AB exp=88B3797D368A4F87AB + 9993.50ns INFO [00009995] Port=0 WR @02=0350E30ED039F739DE + 9993.50ns INFO [00009995] Port=1 RD @07 + 9994.50ns INFO [00009996] * RD COMPARE * port=1 adr=00 act=30B2C84E3C3FCF4630 exp=30B2C84E3C3FCF4630 + 9994.50ns INFO [00009996] Port=0 RD @01 + 9994.50ns INFO [00009996] Port=1 RD @03 + 9995.50ns INFO [00009997] * RD COMPARE * port=1 adr=07 act=BC250CD84AEB2177BC exp=BC250CD84AEB2177BC + 9995.50ns INFO [00009997] Port=1 RD @01 + 9996.50ns INFO [00009998] * RD COMPARE * port=0 adr=01 act=435D277CD3492E558A exp=435D277CD3492E558A + 9996.50ns INFO [00009998] * RD COMPARE * port=1 adr=03 act=F4D88497125A6BABD9 exp=F4D88497125A6BABD9 + 9996.50ns INFO [00009998] Port=1 RD @06 + 9997.50ns INFO [00009999] * RD COMPARE * port=1 adr=01 act=435D277CD3492E558A exp=435D277CD3492E558A + 9997.50ns INFO [00009999] Port=0 WR @00=269CF20DEEF286C4D9 + 9997.50ns INFO [00009999] Port=1 RD @02 + 9998.00ns INFO [00010000] [00010000] ...tick... + 9998.50ns INFO [00010000] * RD COMPARE * port=1 adr=06 act=0170277E788D840352 exp=0170277E788D840352 + 9999.50ns INFO [00010001] * RD COMPARE * port=1 adr=02 act=0350E30ED039F739DE exp=0350E30ED039F739DE + 9999.50ns INFO [00010001] Port=0 RD @06 + 9999.50ns INFO [00010001] Port=1 RD @07 + 10000.50ns INFO [00010002] Port=1 RD @00 + 10001.50ns INFO [00010003] * RD COMPARE * port=0 adr=06 act=0170277E788D840352 exp=0170277E788D840352 + 10001.50ns INFO [00010003] * RD COMPARE * port=1 adr=07 act=BC250CD84AEB2177BC exp=BC250CD84AEB2177BC + 10001.50ns INFO [00010003] Port=0 RD @07 + 10001.50ns INFO [00010003] Port=1 RD @02 + 10002.50ns INFO [00010004] * RD COMPARE * port=1 adr=00 act=269CF20DEEF286C4D9 exp=269CF20DEEF286C4D9 + 10002.50ns INFO [00010004] Port=0 WR @02=26B89281510151D281 + 10002.50ns INFO [00010004] Port=0 RD @05 + 10003.50ns INFO [00010005] * RD COMPARE * port=0 adr=07 act=BC250CD84AEB2177BC exp=BC250CD84AEB2177BC + 10003.50ns INFO [00010005] * RD COMPARE * port=1 adr=02 act=0350E30ED039F739DE exp=0350E30ED039F739DE + 10003.50ns INFO [00010005] Port=0 WR @05=D9A1C78FF3F7346916 + 10003.50ns INFO [00010005] Port=0 RD @01 + 10003.50ns INFO [00010005] Port=1 RD @06 + 10004.50ns INFO [00010006] * RD COMPARE * port=0 adr=05 act=CBE9652121A36C8CCD exp=CBE9652121A36C8CCD + 10004.50ns INFO [00010006] Port=0 WR @02=530E863D6D7268EE38 + 10004.50ns INFO [00010006] Port=0 RD @06 + 10004.50ns INFO [00010006] Port=1 RD @07 + 10005.50ns INFO [00010007] * RD COMPARE * port=0 adr=01 act=435D277CD3492E558A exp=435D277CD3492E558A + 10005.50ns INFO [00010007] * RD COMPARE * port=1 adr=06 act=0170277E788D840352 exp=0170277E788D840352 + 10005.50ns INFO [00010007] Port=1 RD @02 + 10006.50ns INFO [00010008] * RD COMPARE * port=0 adr=06 act=0170277E788D840352 exp=0170277E788D840352 + 10006.50ns INFO [00010008] * RD COMPARE * port=1 adr=07 act=BC250CD84AEB2177BC exp=BC250CD84AEB2177BC + 10006.50ns INFO [00010008] Port=1 RD @05 + 10007.50ns INFO [00010009] * RD COMPARE * port=1 adr=02 act=530E863D6D7268EE38 exp=530E863D6D7268EE38 + 10007.50ns INFO [00010009] Port=0 WR @02=81F091D61B0B5DEA70 + 10008.50ns INFO [00010010] * RD COMPARE * port=1 adr=05 act=D9A1C78FF3F7346916 exp=D9A1C78FF3F7346916 + 10008.50ns INFO [00010010] Port=0 RD @03 + 10009.50ns INFO [00010011] Port=0 WR @02=4DBFEE39AEE64A3959 + 10009.50ns INFO [00010011] Port=0 RD @04 + 10010.50ns INFO [00010012] * RD COMPARE * port=0 adr=03 act=F4D88497125A6BABD9 exp=F4D88497125A6BABD9 + 10010.50ns INFO [00010012] Port=0 RD @07 + 10010.50ns INFO [00010012] Port=1 RD @04 + 10011.50ns INFO [00010013] * RD COMPARE * port=0 adr=04 act=1560480EDFDB8C3CC9 exp=1560480EDFDB8C3CC9 + 10011.50ns INFO [00010013] Port=1 RD @02 + 10012.50ns INFO [00010014] * RD COMPARE * port=0 adr=07 act=BC250CD84AEB2177BC exp=BC250CD84AEB2177BC + 10012.50ns INFO [00010014] * RD COMPARE * port=1 adr=04 act=1560480EDFDB8C3CC9 exp=1560480EDFDB8C3CC9 + 10012.50ns INFO [00010014] Port=0 WR @05=F696F4B3590E2856FD + 10013.50ns INFO [00010015] * RD COMPARE * port=1 adr=02 act=4DBFEE39AEE64A3959 exp=4DBFEE39AEE64A3959 + 10014.50ns INFO [00010016] Port=0 WR @03=45BAE5C3F898C39A42 + 10014.50ns INFO [00010016] Port=0 RD @06 + 10015.50ns INFO [00010017] Port=0 WR @02=86E28B04506D620681 + 10015.50ns INFO [00010017] Port=0 RD @01 + 10016.50ns INFO [00010018] * RD COMPARE * port=0 adr=06 act=0170277E788D840352 exp=0170277E788D840352 + 10016.50ns INFO [00010018] Port=0 WR @01=A9AAB48CB8779222D2 + 10017.50ns INFO [00010019] * RD COMPARE * port=0 adr=01 act=435D277CD3492E558A exp=435D277CD3492E558A + 10018.50ns INFO [00010020] Port=0 RD @02 + 10020.50ns INFO [00010022] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681 + 10023.50ns INFO [00010025] Port=0 WR @01=9A68EC35809B73C29F + 10023.50ns INFO [00010025] Port=0 RD @05 + 10023.50ns INFO [00010025] Port=1 RD @02 + 10024.50ns INFO [00010026] Port=0 WR @07=C0F760ED6CCCC13CF3 + 10025.50ns INFO [00010027] * RD COMPARE * port=0 adr=05 act=F696F4B3590E2856FD exp=F696F4B3590E2856FD + 10025.50ns INFO [00010027] * RD COMPARE * port=1 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681 + 10025.50ns INFO [00010027] Port=0 RD @03 + 10025.50ns INFO [00010027] Port=1 RD @04 + 10026.50ns INFO [00010028] Port=0 WR @03=FDC27C1F5780AC31BB + 10026.50ns INFO [00010028] Port=1 RD @06 + 10027.50ns INFO [00010029] * RD COMPARE * port=0 adr=03 act=45BAE5C3F898C39A42 exp=45BAE5C3F898C39A42 + 10027.50ns INFO [00010029] * RD COMPARE * port=1 adr=04 act=1560480EDFDB8C3CC9 exp=1560480EDFDB8C3CC9 + 10027.50ns INFO [00010029] Port=0 WR @03=D21919337B8D39EA42 + 10028.50ns INFO [00010030] * RD COMPARE * port=1 adr=06 act=0170277E788D840352 exp=0170277E788D840352 + 10028.50ns INFO [00010030] Port=1 RD @05 + 10029.50ns INFO [00010031] Port=0 RD @05 + 10029.50ns INFO [00010031] Port=1 RD @06 + 10030.50ns INFO [00010032] * RD COMPARE * port=1 adr=05 act=F696F4B3590E2856FD exp=F696F4B3590E2856FD + 10031.50ns INFO [00010033] * RD COMPARE * port=0 adr=05 act=F696F4B3590E2856FD exp=F696F4B3590E2856FD + 10031.50ns INFO [00010033] * RD COMPARE * port=1 adr=06 act=0170277E788D840352 exp=0170277E788D840352 + 10031.50ns INFO [00010033] Port=0 RD @06 + 10032.50ns INFO [00010034] Port=0 WR @07=23A50AE7FAA63B4775 + 10033.50ns INFO [00010035] * RD COMPARE * port=0 adr=06 act=0170277E788D840352 exp=0170277E788D840352 + 10033.50ns INFO [00010035] Port=0 RD @07 + 10033.50ns INFO [00010035] Port=1 RD @03 + 10035.50ns INFO [00010037] * RD COMPARE * port=0 adr=07 act=23A50AE7FAA63B4775 exp=23A50AE7FAA63B4775 + 10035.50ns INFO [00010037] * RD COMPARE * port=1 adr=03 act=D21919337B8D39EA42 exp=D21919337B8D39EA42 + 10035.50ns INFO [00010037] Port=0 WR @05=F9DEE7E36253BCB092 + 10035.50ns INFO [00010037] Port=0 RD @00 + 10036.50ns INFO [00010038] Port=0 WR @04=D375FBBE0722AEE8A0 + 10037.50ns INFO [00010039] * RD COMPARE * port=0 adr=00 act=269CF20DEEF286C4D9 exp=269CF20DEEF286C4D9 + 10037.50ns INFO [00010039] Port=0 WR @04=006A5940D6974F1EED + 10037.50ns INFO [00010039] Port=0 RD @02 + 10037.50ns INFO [00010039] Port=1 RD @01 + 10039.50ns INFO [00010041] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681 + 10039.50ns INFO [00010041] * RD COMPARE * port=1 adr=01 act=9A68EC35809B73C29F exp=9A68EC35809B73C29F + 10039.50ns INFO [00010041] Port=0 WR @00=35B1CED2FF2F6C3554 + 10039.50ns INFO [00010041] Port=1 RD @03 + 10040.50ns INFO [00010042] Port=0 WR @07=7189C315E459C3CF1E + 10040.50ns INFO [00010042] Port=0 RD @01 + 10041.50ns INFO [00010043] * RD COMPARE * port=1 adr=03 act=D21919337B8D39EA42 exp=D21919337B8D39EA42 + 10041.50ns INFO [00010043] Port=0 RD @02 + 10042.50ns INFO [00010044] * RD COMPARE * port=0 adr=01 act=9A68EC35809B73C29F exp=9A68EC35809B73C29F + 10042.50ns INFO [00010044] Port=0 WR @01=98CB0985C182EC1FC1 + 10042.50ns INFO [00010044] Port=1 RD @05 + 10043.50ns INFO [00010045] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681 + 10043.50ns INFO [00010045] Port=0 RD @01 + 10043.50ns INFO [00010045] Port=1 RD @00 + 10044.50ns INFO [00010046] * RD COMPARE * port=1 adr=05 act=F9DEE7E36253BCB092 exp=F9DEE7E36253BCB092 + 10044.50ns INFO [00010046] Port=0 RD @02 + 10044.50ns INFO [00010046] Port=1 RD @04 + 10045.50ns INFO [00010047] * RD COMPARE * port=0 adr=01 act=98CB0985C182EC1FC1 exp=98CB0985C182EC1FC1 + 10045.50ns INFO [00010047] * RD COMPARE * port=1 adr=00 act=35B1CED2FF2F6C3554 exp=35B1CED2FF2F6C3554 + 10046.50ns INFO [00010048] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681 + 10046.50ns INFO [00010048] * RD COMPARE * port=1 adr=04 act=006A5940D6974F1EED exp=006A5940D6974F1EED + 10047.50ns INFO [00010049] Port=0 RD @00 + 10048.50ns INFO [00010050] Port=0 WR @06=F88CF4EA2066F84B84 + 10049.50ns INFO [00010051] * RD COMPARE * port=0 adr=00 act=35B1CED2FF2F6C3554 exp=35B1CED2FF2F6C3554 + 10049.50ns INFO [00010051] Port=0 RD @02 + 10049.50ns INFO [00010051] Port=1 RD @04 + 10050.50ns INFO [00010052] Port=0 RD @02 + 10051.50ns INFO [00010053] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681 + 10051.50ns INFO [00010053] * RD COMPARE * port=1 adr=04 act=006A5940D6974F1EED exp=006A5940D6974F1EED + 10051.50ns INFO [00010053] Port=0 RD @02 + 10051.50ns INFO [00010053] Port=1 RD @03 + 10052.50ns INFO [00010054] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681 + 10052.50ns INFO [00010054] Port=0 WR @03=1B4D6EC163FB0CE984 + 10052.50ns INFO [00010054] Port=0 RD @00 + 10052.50ns INFO [00010054] Port=1 RD @04 + 10053.50ns INFO [00010055] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681 + 10053.50ns INFO [00010055] * RD COMPARE * port=1 adr=03 act=D21919337B8D39EA42 exp=D21919337B8D39EA42 + 10054.50ns INFO [00010056] * RD COMPARE * port=0 adr=00 act=35B1CED2FF2F6C3554 exp=35B1CED2FF2F6C3554 + 10054.50ns INFO [00010056] * RD COMPARE * port=1 adr=04 act=006A5940D6974F1EED exp=006A5940D6974F1EED + 10054.50ns INFO [00010056] Port=0 RD @06 + 10055.50ns INFO [00010057] Port=0 RD @03 + 10056.50ns INFO [00010058] * RD COMPARE * port=0 adr=06 act=F88CF4EA2066F84B84 exp=F88CF4EA2066F84B84 + 10056.50ns INFO [00010058] Port=1 RD @06 + 10057.50ns INFO [00010059] * RD COMPARE * port=0 adr=03 act=1B4D6EC163FB0CE984 exp=1B4D6EC163FB0CE984 + 10057.50ns INFO [00010059] Port=0 RD @00 + 10058.50ns INFO [00010060] * RD COMPARE * port=1 adr=06 act=F88CF4EA2066F84B84 exp=F88CF4EA2066F84B84 + 10058.50ns INFO [00010060] Port=0 WR @06=55BF577980933C4D39 + 10058.50ns INFO [00010060] Port=0 RD @04 + 10059.50ns INFO [00010061] * RD COMPARE * port=0 adr=00 act=35B1CED2FF2F6C3554 exp=35B1CED2FF2F6C3554 + 10059.50ns INFO [00010061] Port=0 RD @00 + 10060.50ns INFO [00010062] * RD COMPARE * port=0 adr=04 act=006A5940D6974F1EED exp=006A5940D6974F1EED + 10060.50ns INFO [00010062] Port=0 WR @00=1BEB1DCBC6A1F0560C + 10060.50ns INFO [00010062] Port=1 RD @03 + 10061.50ns INFO [00010063] * RD COMPARE * port=0 adr=00 act=35B1CED2FF2F6C3554 exp=35B1CED2FF2F6C3554 + 10061.50ns INFO [00010063] Port=0 WR @04=6221A902B5EF57D1A5 + 10062.50ns INFO [00010064] * RD COMPARE * port=1 adr=03 act=1B4D6EC163FB0CE984 exp=1B4D6EC163FB0CE984 + 10062.50ns INFO [00010064] Port=1 RD @03 +VCD info: dumpfile test_ra_sdr_64x72.vcd opened for output. +VCD warning: $dumpvars: Package ($unit) is not dumpable with VCD. +** VVP Stop(0) ** +** Flushing output streams. +** Current simulation time is 10062500 ticks. +> +> ^C^C^C +Unknown command:  +Try the help command to get a summary +of available commands. +> +> +> ^C +> +> +> ^C^C^C +> +> +> +> +> +> +> ^C^C^C +> +> ^C +> ^C^C +> +> +> ^C +> ^C +> +> ^C^C +> +> +> +> ^C^C^C +> ^C +> ^C +> ^C +> +> ^C +> ^C^C^C^C^C +> +> ^C^C^C^C^C^C^C^C^C^C^C +> +> +> +> make[1]: *** [/home/wtf/.local/lib/python3.8/site-packages/cocotb/share/makefiles/simulators/Makefile.icarus:76: results.xml] Killed +make: *** [/home/wtf/.local/lib/python3.8/site-packages/cocotb/share/makefiles/Makefile.inc:40: sim] Interrupt diff --git a/rtl/sim/coco/readme.md b/rtl/sim/coco/readme.md new file mode 100644 index 0000000..cbd24fe --- /dev/null +++ b/rtl/sim/coco/readme.md @@ -0,0 +1,174 @@ +# Cocotb + Icarus Verilog Array Sim + +Cocotb test created from original pyverilator version - run random commands using 64x72 logical array. + +## Array Wrapper + +* compile and run + +``` +make -f Makefile_sdr_32x32 build + +``` + +* just run (tb.py changes, etc.) + +``` +make -f Makefile_sdr_32x32 run + +``` + +* results + +``` +make -f Makefile_sdr_32x32 run >& sim_32x32.txt + +MODULE=tb TESTCASE=tb_32x32 TOPLEVEL=test_ra_sdr_32x32 TOPLEVEL_LANG=verilog \ + /usr/local/bin/vvp -M /home/wtf/.local/lib/python3.8/site-packages/cocotb/libs -m libcocotbvpi_icarus build_32x32/sim.vvp + -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:76 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter + -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:99 in gpi_print_registered_impl VPI registered + 0.00ns INFO Running on Icarus Verilog version 12.0 (devel) + 0.00ns INFO Running tests with cocotb v1.7.0.dev0 from /home/wtf/.local/lib/python3.8/site-packages/cocotb + 0.00ns INFO Seeding Python random module with 1654704020 + 0.00ns INFO Found test tb.tb_32x32 + 0.00ns INFO running tb_32x32 (1/0) + ToySRAM 32x32 array test + 0.00ns INFO [00000001] [00000001] Resetting... + 9.00ns INFO [00000010] [00000010] Releasing reset. + 25.00ns INFO [00000027] Initializing array... + 25.00ns INFO [00000027] Port=0 WR @00=00555500 + 26.00ns INFO [00000028] Port=0 WR @01=01555501 + 27.00ns INFO [00000029] Port=0 WR @02=02555502 + 28.00ns INFO [00000030] Port=0 WR @03=03555503 + 29.00ns INFO [00000031] Port=0 WR @04=04555504 + 30.00ns INFO [00000032] Port=0 WR @05=05555505 + 31.00ns INFO [00000033] Port=0 WR @06=06555506 + 32.00ns INFO [00000034] Port=0 WR @07=07555507 + 33.00ns INFO [00000035] Port=0 WR @08=08555508 +... + 10037.50ns INFO [00010039] Port=0 WR @12=6C6FD11E + 10038.50ns INFO [00010040] Port=0 WR @17=545B517F + 10039.50ns INFO [00010041] Port=0 RD @08 + 10039.50ns INFO [00010041] Port=1 RD @0E + 10041.50ns INFO [00010043] * RD COMPARE * port=0 adr=08 act=BE99B13E exp=BE99B13E + 10041.50ns INFO [00010043] * RD COMPARE * port=1 adr=0E act=97A2D496 exp=97A2D496 + 10041.50ns INFO [00010043] Port=0 WR @1A=76434F37 + 10041.50ns INFO [00010043] Port=1 RD @0D + 10042.50ns INFO [00010044] Port=0 WR @12=069ECCCE + 10042.50ns INFO [00010044] Port=0 RD @13 + 10043.50ns INFO [00010045] * RD COMPARE * port=1 adr=0D act=C1C0D7D8 exp=C1C0D7D8 + 10043.50ns INFO [00010045] Port=0 WR @05=58E318E7 + 10043.50ns INFO [00010045] Port=0 RD @10 + 10043.50ns INFO [00010045] Port=1 RD @00 + 10044.50ns INFO [00010046] * RD COMPARE * port=0 adr=13 act=1D975E90 exp=1D975E90 + 10044.50ns INFO [00010046] Port=0 RD @14 + 10044.50ns INFO [00010046] Port=1 RD @1D + 10045.50ns INFO [00010047] * RD COMPARE * port=0 adr=10 act=F82AB140 exp=F82AB140 + 10045.50ns INFO [00010047] * RD COMPARE * port=1 adr=00 act=3C2E724D exp=3C2E724D + 10046.50ns INFO [00010048] * RD COMPARE * port=0 adr=14 act=1A27AA07 exp=1A27AA07 + 10046.50ns INFO [00010048] * RD COMPARE * port=1 adr=1D act=5B9AE71C exp=5B9AE71C + 10047.50ns INFO [00010049] Quiescing... + 10057.00ns INFO [00010059] Done. + 10057.00ns INFO [00010059] Final State + +Reads Port 0: 4005 +Reads Port 1: 4052 +Writes Port 0: 4055 + 10057.00ns INFO [00010059] [00010059] You has opulence. + 10057.00ns INFO tb_32x32 passed + 10057.00ns INFO ************************************************************************************** + ** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) ** + ************************************************************************************** + ** tb.tb_32x32 PASS 10057.00 8.54 1177.70 ** + ************************************************************************************** + ** TESTS=0 PASS=1 FAIL=0 SKIP=0 10057.00 8.56 1174.42 ** + ************************************************************************************** + +VCD info: dumpfile test_ra_sdr_32x32.vcd opened for output. +VCD warning: $dumpvars: Package ($unit) is not dumpable with VCD. +make[1]: Leaving directory '/media/wtf/WD_USBC_4T/projects/toy-sram/rtl/sim/coco' +vcd2fst test_ra_sdr_32x32.vcd test_ra_sdr_32x32.fst +#rm test_ra_sdr_32x32.vcd + +``` + +``` +gtkwave test_ra_sdr_32x32.fst wtf_test_ra_sdr_32x32.gtkw +``` + +## Test Site + +* compile and run + +``` +make -f Makefile_site build + +``` + +* just run (tb.py changes, etc.) + +``` +make -f Makefile_site run + +``` + +* results + +``` + 0.00ns INFO Running on Icarus Verilog version 12.0 (devel) + 0.00ns INFO Running tests with cocotb v1.7.0.dev0 from /home/wtf/.local/lib/python3.8/site-packages/cocotb + 0.00ns INFO Seeding Python random module with 1655136638 + 0.00ns INFO Found test tb.tb_site + 0.00ns INFO running tb_site (1/0) + ToySRAM site test +VCD info: dumpfile test_site.vcd opened for output. +VCD warning: $dumpvars: Package ($unit) is not dumpable with VCD. + 0.00ns INFO [00000001] Resetting... + 9.00ns INFO [00000010] Releasing reset. + 15.00ns INFO [00000017] Writing Port 0 @00100000 00=633212F3 + 17.00ns INFO [00000019] Reading Port 0 @00100000 00 + 22.00ns INFO [00000024] Read Data: 633212F3 + 22.00ns INFO [00000024] Writing Port 0 @00100001 01=6A0278C9 + 24.00ns INFO [00000026] Reading Port 0 @00100001 01 + 29.00ns INFO [00000031] Read Data: 6A0278C9 +... + 1769.00ns INFO [00001770] Writing W0@15=08675309... + 1769.00ns INFO [00001770] Scanning in... + 1798.00ns INFO [00001800] ...tick... + 1898.00ns INFO [00001900] ...tick... + 1998.00ns INFO [00002000] ...tick... + 2075.00ns INFO [00002076] Blipping RA0 clk... + 2098.00ns INFO [00002100] ...tick... + 2175.00ns INFO [00002176] Reading R0@15, R1@16... + 2175.00ns INFO [00002176] Scanning in... + 2198.00ns INFO [00002200] ...tick... + 2298.00ns INFO [00002300] ...tick... + 2398.00ns INFO [00002400] ...tick... + 2481.00ns INFO [00002482] Blipping RA0 clk... + 2498.00ns INFO [00002500] ...tick... + 2581.00ns INFO [00002582] Blipping RA0 clk... + 2598.00ns INFO [00002600] ...tick... + 2681.00ns INFO [00002682] Blipping RA0 clk... + 2698.00ns INFO [00002700] ...tick... + 2781.00ns INFO [00002782] Scanning out... + 2798.00ns INFO [00002800] ...tick... + 2898.00ns INFO [00002900] ...tick... + 2998.00ns INFO [00003000] ...tick... + 3035.00ns INFO [00003036] ScanData=78433A984C075227A100000000000000 + 3035.00ns INFO [00003036] r0 adr:0F + 3035.00ns INFO [00003036] r0 dat:08675309 + 3035.00ns INFO [00003036] r1 adr:10 + 3035.00ns INFO [00003036] r1 dat:1D489E84 + 3035.00ns INFO [00003036] w0 adr:00 + 3035.00ns INFO [00003036] w0 dat:00000000 + 3035.00ns INFO [00003036] cfg:00000 + 3035.00ns INFO [00003036] Done + 3044.00ns INFO tb_site passed + 3044.00ns INFO ************************************************************************************** + ** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) ** + ************************************************************************************** + ** tb.tb_site PASS 3044.00 0.87 3517.25 ** + ************************************************************************************** + ** TESTS=0 PASS=1 FAIL=0 SKIP=0 3044.00 0.89 3420.87 ** + ************************************************************************************** +``` \ No newline at end of file diff --git a/rtl/sim/coco/sim.pdf b/rtl/sim/coco/sim.pdf new file mode 100644 index 0000000..2605974 Binary files /dev/null and b/rtl/sim/coco/sim.pdf differ diff --git a/rtl/sim/coco/sim.txt b/rtl/sim/coco/sim.txt new file mode 100644 index 0000000..f6ffe34 --- /dev/null +++ b/rtl/sim/coco/sim.txt @@ -0,0 +1,99887 @@ +make -f Makefile.sdr results.xml +make[1]: Entering directory '/home/wtf/projects/toysram-opf/rtl/sim/coco' +MODULE=tb TESTCASE= TOPLEVEL=test_ra_sdr_64x72 TOPLEVEL_LANG=verilog \ + /usr/local/bin/vvp -M /home/wtf/.local/lib/python3.8/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp + -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:76 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter + -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:99 in gpi_print_registered_impl VPI registered + 0.00ns INFO Running on Icarus Verilog version 12.0 (devel) + 0.00ns INFO Running tests with cocotb v1.7.0.dev0 from /home/wtf/.local/lib/python3.8/site-packages/cocotb + 0.00ns INFO Seeding Python random module with 1653599663 + 0.00ns INFO Found test tb.tb + 0.00ns INFO running tb (1/0) + ToySRAM array test + 0.00ns INFO [00000001] [00000001] Resetting... + 9.00ns INFO [00000010] [00000010] Releasing reset. + 25.00ns INFO [00000027] Initializing array... + 25.00ns INFO [00000027] Port=0 WR @00=005555555555555500 + 26.00ns INFO [00000028] Port=0 WR @01=015555555555555501 + 27.00ns INFO [00000029] Port=0 WR @02=025555555555555502 + 28.00ns INFO [00000030] Port=0 WR @03=035555555555555503 + 29.00ns INFO [00000031] Port=0 WR @04=045555555555555504 + 30.00ns INFO [00000032] Port=0 WR @05=055555555555555505 + 31.00ns INFO [00000033] Port=0 WR @06=065555555555555506 + 32.00ns INFO [00000034] Port=0 WR @07=075555555555555507 + 33.00ns INFO [00000035] Port=0 WR @08=085555555555555508 + 34.00ns INFO [00000036] Port=0 WR @09=095555555555555509 + 35.00ns INFO [00000037] Port=0 WR @0A=0A555555555555550A + 36.00ns INFO [00000038] Port=0 WR @0B=0B555555555555550B + 37.00ns INFO [00000039] Port=0 WR @0C=0C555555555555550C + 38.00ns INFO [00000040] Port=0 WR @0D=0D555555555555550D + 39.00ns INFO [00000041] Port=0 WR @0E=0E555555555555550E + 40.00ns INFO [00000042] Port=0 WR @0F=0F555555555555550F + 41.00ns INFO [00000043] Port=0 WR @10=105555555555555510 + 42.00ns INFO [00000044] Port=0 WR @11=115555555555555511 + 43.00ns INFO [00000045] Port=0 WR @12=125555555555555512 + 44.00ns INFO [00000046] Port=0 WR @13=135555555555555513 + 45.00ns INFO [00000047] Port=0 WR @14=145555555555555514 + 46.00ns INFO [00000048] Port=0 WR @15=155555555555555515 + 47.00ns INFO [00000049] Port=0 WR @16=165555555555555516 + 48.00ns INFO [00000050] Port=0 WR @17=175555555555555517 + 49.00ns INFO [00000051] Port=0 WR @18=185555555555555518 + 50.00ns INFO [00000052] Port=0 WR @19=195555555555555519 + 51.00ns INFO [00000053] Port=0 WR @1A=1A555555555555551A + 52.00ns INFO [00000054] Port=0 WR @1B=1B555555555555551B + 53.00ns INFO [00000055] Port=0 WR @1C=1C555555555555551C + 54.00ns INFO [00000056] Port=0 WR @1D=1D555555555555551D + 55.00ns INFO [00000057] Port=0 WR @1E=1E555555555555551E + 56.00ns INFO [00000058] Port=0 WR @1F=1F555555555555551F + 57.00ns INFO [00000059] Port=0 WR @20=205555555555555520 + 58.00ns INFO [00000060] Port=0 WR @21=215555555555555521 + 59.00ns INFO [00000061] Port=0 WR @22=225555555555555522 + 60.00ns INFO [00000062] Port=0 WR @23=235555555555555523 + 61.00ns INFO [00000063] Port=0 WR @24=245555555555555524 + 62.00ns INFO [00000064] Port=0 WR @25=255555555555555525 + 63.00ns INFO [00000065] Port=0 WR @26=265555555555555526 + 64.00ns INFO [00000066] Port=0 WR @27=275555555555555527 + 65.00ns INFO [00000067] Port=0 WR @28=285555555555555528 + 66.00ns INFO [00000068] Port=0 WR @29=295555555555555529 + 67.00ns INFO [00000069] Port=0 WR @2A=2A555555555555552A + 68.00ns INFO [00000070] Port=0 WR @2B=2B555555555555552B + 69.00ns INFO [00000071] Port=0 WR @2C=2C555555555555552C + 70.00ns INFO [00000072] Port=0 WR @2D=2D555555555555552D + 71.00ns INFO [00000073] Port=0 WR @2E=2E555555555555552E + 72.00ns INFO [00000074] Port=0 WR @2F=2F555555555555552F + 73.00ns INFO [00000075] Port=0 WR @30=305555555555555530 + 74.00ns INFO [00000076] Port=0 WR @31=315555555555555531 + 75.00ns INFO [00000077] Port=0 WR @32=325555555555555532 + 76.00ns INFO [00000078] Port=0 WR @33=335555555555555533 + 77.00ns INFO [00000079] Port=0 WR @34=345555555555555534 + 78.00ns INFO [00000080] Port=0 WR @35=355555555555555535 + 79.00ns INFO [00000081] Port=0 WR @36=365555555555555536 + 80.00ns INFO [00000082] Port=0 WR @37=375555555555555537 + 81.00ns INFO [00000083] Port=0 WR @38=385555555555555538 + 82.00ns INFO [00000084] Port=0 WR @39=395555555555555539 + 83.00ns INFO [00000085] Port=0 WR @3A=3A555555555555553A + 84.00ns INFO [00000086] Port=0 WR @3B=3B555555555555553B + 85.00ns INFO [00000087] Port=0 WR @3C=3C555555555555553C + 86.00ns INFO [00000088] Port=0 WR @3D=3D555555555555553D + 87.00ns INFO [00000089] Port=0 WR @3E=3E555555555555553E + 88.00ns INFO [00000090] Port=0 WR @3F=3F555555555555553F + 89.00ns INFO [00000091] Running random commands... + 89.50ns INFO [00000091] Port=1 RD @07 + 90.50ns INFO [00000092] Port=0 WR @03=907C9C1C13F252CC10 + 90.50ns INFO [00000092] Port=0 RD @06 + 91.50ns INFO [00000093] * RD COMPARE * port=1 adr=07 act=075555555555555507 exp=075555555555555507 + 92.50ns INFO [00000094] * RD COMPARE * port=0 adr=06 act=065555555555555506 exp=065555555555555506 + 92.50ns INFO [00000094] Port=0 RD @02 + 93.50ns INFO [00000095] Port=0 WR @07=4FBD58694BF7B21EC0 + 93.50ns INFO [00000095] Port=0 RD @01 + 93.50ns INFO [00000095] Port=1 RD @04 + 94.50ns INFO [00000096] * RD COMPARE * port=0 adr=02 act=025555555555555502 exp=025555555555555502 + 94.50ns INFO [00000096] Port=0 WR @05=16EDF077E96749B51E + 94.50ns INFO [00000096] Port=0 RD @00 + 94.50ns INFO [00000096] Port=1 RD @00 + 95.50ns INFO [00000097] * RD COMPARE * port=0 adr=01 act=015555555555555501 exp=015555555555555501 + 95.50ns INFO [00000097] * RD COMPARE * port=1 adr=04 act=045555555555555504 exp=045555555555555504 + 95.50ns INFO [00000097] Port=1 RD @02 + 96.50ns INFO [00000098] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500 + 96.50ns INFO [00000098] * RD COMPARE * port=1 adr=00 act=005555555555555500 exp=005555555555555500 + 96.50ns INFO [00000098] Port=0 RD @05 + 97.50ns INFO [00000099] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502 + 97.50ns INFO [00000099] Port=0 WR @00=085F379E40E23D86BB + 98.00ns INFO [00000100] [00000100] ...tick... + 98.50ns INFO [00000100] * RD COMPARE * port=0 adr=05 act=16EDF077E96749B51E exp=16EDF077E96749B51E + 98.50ns INFO [00000100] Port=0 WR @05=3DCC2F31A654A0E998 + 98.50ns INFO [00000100] Port=1 RD @02 + 99.50ns INFO [00000101] Port=0 RD @04 + 100.50ns INFO [00000102] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502 + 100.50ns INFO [00000102] Port=1 RD @01 + 101.50ns INFO [00000103] * RD COMPARE * port=0 adr=04 act=045555555555555504 exp=045555555555555504 + 101.50ns INFO [00000103] Port=0 WR @03=866D96E20CC39F4301 + 102.50ns INFO [00000104] * RD COMPARE * port=1 adr=01 act=015555555555555501 exp=015555555555555501 + 102.50ns INFO [00000104] Port=0 RD @05 + 103.50ns INFO [00000105] Port=1 RD @03 + 104.50ns INFO [00000106] * RD COMPARE * port=0 adr=05 act=3DCC2F31A654A0E998 exp=3DCC2F31A654A0E998 + 104.50ns INFO [00000106] Port=0 WR @02=A2A255BB663B6E95FD + 104.50ns INFO [00000106] Port=0 RD @04 + 105.50ns INFO [00000107] * RD COMPARE * port=1 adr=03 act=866D96E20CC39F4301 exp=866D96E20CC39F4301 + 105.50ns INFO [00000107] Port=0 WR @01=B27100115FBB6C3F8B + 105.50ns INFO [00000107] Port=1 RD @07 + 106.50ns INFO [00000108] * RD COMPARE * port=0 adr=04 act=045555555555555504 exp=045555555555555504 + 106.50ns INFO [00000108] Port=0 WR @07=7AA35E1ACBF9CEE523 + 106.50ns INFO [00000108] Port=0 RD @04 + 106.50ns INFO [00000108] Port=1 RD @02 + 107.50ns INFO [00000109] * RD COMPARE * port=1 adr=07 act=4FBD58694BF7B21EC0 exp=4FBD58694BF7B21EC0 + 107.50ns INFO [00000109] Port=0 WR @02=B2DF5472B947B44FF2 + 107.50ns INFO [00000109] Port=0 RD @05 + 108.50ns INFO [00000110] * RD COMPARE * port=0 adr=04 act=045555555555555504 exp=045555555555555504 + 108.50ns INFO [00000110] * RD COMPARE * port=1 adr=02 act=A2A255BB663B6E95FD exp=A2A255BB663B6E95FD + 108.50ns INFO [00000110] Port=0 WR @07=73BFAE542F1A7011D2 + 109.50ns INFO [00000111] * RD COMPARE * port=0 adr=05 act=3DCC2F31A654A0E998 exp=3DCC2F31A654A0E998 + 109.50ns INFO [00000111] Port=0 WR @03=049F7E3CDF5A54D724 + 109.50ns INFO [00000111] Port=0 RD @00 + 109.50ns INFO [00000111] Port=1 RD @04 + 111.50ns INFO [00000113] * RD COMPARE * port=0 adr=00 act=085F379E40E23D86BB exp=085F379E40E23D86BB + 111.50ns INFO [00000113] * RD COMPARE * port=1 adr=04 act=045555555555555504 exp=045555555555555504 + 113.50ns INFO [00000115] Port=0 WR @01=57638C9D14EAC6A430 + 114.50ns INFO [00000116] Port=0 WR @02=1061121E98B34BBF38 + 114.50ns INFO [00000116] Port=0 RD @03 + 116.50ns INFO [00000118] * RD COMPARE * port=0 adr=03 act=049F7E3CDF5A54D724 exp=049F7E3CDF5A54D724 + 116.50ns INFO [00000118] Port=1 RD @01 + 117.50ns INFO [00000119] Port=0 RD @04 + 117.50ns INFO [00000119] Port=1 RD @06 + 118.50ns INFO [00000120] * RD COMPARE * port=1 adr=01 act=57638C9D14EAC6A430 exp=57638C9D14EAC6A430 + 118.50ns INFO [00000120] Port=0 RD @00 + 118.50ns INFO [00000120] Port=1 RD @02 + 119.50ns INFO [00000121] * RD COMPARE * port=0 adr=04 act=045555555555555504 exp=045555555555555504 + 119.50ns INFO [00000121] * RD COMPARE * port=1 adr=06 act=065555555555555506 exp=065555555555555506 + 119.50ns INFO [00000121] Port=0 WR @00=B1D7AD8EAE792055DA + 119.50ns INFO [00000121] Port=0 RD @05 + 119.50ns INFO [00000121] Port=1 RD @01 + 120.50ns INFO [00000122] * RD COMPARE * port=0 adr=00 act=085F379E40E23D86BB exp=085F379E40E23D86BB + 120.50ns INFO [00000122] * RD COMPARE * port=1 adr=02 act=1061121E98B34BBF38 exp=1061121E98B34BBF38 + 120.50ns INFO [00000122] Port=0 WR @05=BC41EE5FEB58E86524 + 120.50ns INFO [00000122] Port=1 RD @02 + 121.50ns INFO [00000123] * RD COMPARE * port=0 adr=05 act=3DCC2F31A654A0E998 exp=3DCC2F31A654A0E998 + 121.50ns INFO [00000123] * RD COMPARE * port=1 adr=01 act=57638C9D14EAC6A430 exp=57638C9D14EAC6A430 + 121.50ns INFO [00000123] Port=0 WR @02=4DB61B965DE470DA2D + 121.50ns INFO [00000123] Port=0 RD @00 + 122.50ns INFO [00000124] * RD COMPARE * port=1 adr=02 act=1061121E98B34BBF38 exp=1061121E98B34BBF38 + 122.50ns INFO [00000124] Port=0 WR @06=3CAAB908A120D46835 + 122.50ns INFO [00000124] Port=1 RD @05 + 123.50ns INFO [00000125] * RD COMPARE * port=0 adr=00 act=B1D7AD8EAE792055DA exp=B1D7AD8EAE792055DA + 123.50ns INFO [00000125] Port=0 WR @00=CE350EC3EEFA049516 + 123.50ns INFO [00000125] Port=0 RD @02 + 124.50ns INFO [00000126] * RD COMPARE * port=1 adr=05 act=BC41EE5FEB58E86524 exp=BC41EE5FEB58E86524 + 124.50ns INFO [00000126] Port=0 RD @02 + 124.50ns INFO [00000126] Port=1 RD @03 + 125.50ns INFO [00000127] * RD COMPARE * port=0 adr=02 act=4DB61B965DE470DA2D exp=4DB61B965DE470DA2D + 126.50ns INFO [00000128] * RD COMPARE * port=0 adr=02 act=4DB61B965DE470DA2D exp=4DB61B965DE470DA2D + 126.50ns INFO [00000128] * RD COMPARE * port=1 adr=03 act=049F7E3CDF5A54D724 exp=049F7E3CDF5A54D724 + 126.50ns INFO [00000128] Port=0 RD @07 + 127.50ns INFO [00000129] Port=0 WR @02=313C43FA8D203C8E1A + 127.50ns INFO [00000129] Port=0 RD @01 + 128.50ns INFO [00000130] * RD COMPARE * port=0 adr=07 act=73BFAE542F1A7011D2 exp=73BFAE542F1A7011D2 + 129.50ns INFO [00000131] * RD COMPARE * port=0 adr=01 act=57638C9D14EAC6A430 exp=57638C9D14EAC6A430 + 130.50ns INFO [00000132] Port=0 WR @00=CB5CD05E00480657E3 + 130.50ns INFO [00000132] Port=1 RD @04 + 131.50ns INFO [00000133] Port=0 WR @03=7873D58019BC6E328A + 132.50ns INFO [00000134] * RD COMPARE * port=1 adr=04 act=045555555555555504 exp=045555555555555504 + 133.50ns INFO [00000135] Port=0 WR @06=14B47CBB9291DE998C + 133.50ns INFO [00000135] Port=1 RD @05 + 134.50ns INFO [00000136] Port=0 WR @02=88E30B8E22DDFF3ABA + 135.50ns INFO [00000137] * RD COMPARE * port=1 adr=05 act=BC41EE5FEB58E86524 exp=BC41EE5FEB58E86524 + 135.50ns INFO [00000137] Port=0 WR @06=29D7FBDCBA0B2DF8A6 + 135.50ns INFO [00000137] Port=1 RD @03 + 136.50ns INFO [00000138] Port=0 WR @01=E9C3FA9F0CBBBB69A8 + 136.50ns INFO [00000138] Port=0 RD @02 + 136.50ns INFO [00000138] Port=1 RD @02 + 137.50ns INFO [00000139] * RD COMPARE * port=1 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 137.50ns INFO [00000139] Port=0 WR @04=219A233B7AE4EDFFDB + 137.50ns INFO [00000139] Port=0 RD @02 + 137.50ns INFO [00000139] Port=1 RD @06 + 138.50ns INFO [00000140] * RD COMPARE * port=0 adr=02 act=88E30B8E22DDFF3ABA exp=88E30B8E22DDFF3ABA + 138.50ns INFO [00000140] * RD COMPARE * port=1 adr=02 act=88E30B8E22DDFF3ABA exp=88E30B8E22DDFF3ABA + 138.50ns INFO [00000140] Port=0 WR @04=A6C20774D791084812 + 138.50ns INFO [00000140] Port=1 RD @03 + 139.50ns INFO [00000141] * RD COMPARE * port=0 adr=02 act=88E30B8E22DDFF3ABA exp=88E30B8E22DDFF3ABA + 139.50ns INFO [00000141] * RD COMPARE * port=1 adr=06 act=29D7FBDCBA0B2DF8A6 exp=29D7FBDCBA0B2DF8A6 + 140.50ns INFO [00000142] * RD COMPARE * port=1 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 141.50ns INFO [00000143] Port=0 RD @03 + 141.50ns INFO [00000143] Port=1 RD @01 + 142.50ns INFO [00000144] Port=0 RD @04 + 143.50ns INFO [00000145] * RD COMPARE * port=0 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 143.50ns INFO [00000145] * RD COMPARE * port=1 adr=01 act=E9C3FA9F0CBBBB69A8 exp=E9C3FA9F0CBBBB69A8 + 143.50ns INFO [00000145] Port=0 RD @05 + 143.50ns INFO [00000145] Port=1 RD @05 + 144.50ns INFO [00000146] * RD COMPARE * port=0 adr=04 act=A6C20774D791084812 exp=A6C20774D791084812 + 145.50ns INFO [00000147] * RD COMPARE * port=0 adr=05 act=BC41EE5FEB58E86524 exp=BC41EE5FEB58E86524 + 145.50ns INFO [00000147] * RD COMPARE * port=1 adr=05 act=BC41EE5FEB58E86524 exp=BC41EE5FEB58E86524 + 145.50ns INFO [00000147] Port=0 RD @00 + 145.50ns INFO [00000147] Port=1 RD @07 + 146.50ns INFO [00000148] Port=0 WR @05=B8EA8049762B0BD923 + 147.50ns INFO [00000149] * RD COMPARE * port=0 adr=00 act=CB5CD05E00480657E3 exp=CB5CD05E00480657E3 + 147.50ns INFO [00000149] * RD COMPARE * port=1 adr=07 act=73BFAE542F1A7011D2 exp=73BFAE542F1A7011D2 + 147.50ns INFO [00000149] Port=0 RD @03 + 149.50ns INFO [00000151] * RD COMPARE * port=0 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 149.50ns INFO [00000151] Port=0 WR @02=9E57C184173AD40E60 + 149.50ns INFO [00000151] Port=0 RD @00 + 151.50ns INFO [00000153] * RD COMPARE * port=0 adr=00 act=CB5CD05E00480657E3 exp=CB5CD05E00480657E3 + 151.50ns INFO [00000153] Port=0 RD @05 + 152.50ns INFO [00000154] Port=0 RD @05 + 153.50ns INFO [00000155] * RD COMPARE * port=0 adr=05 act=B8EA8049762B0BD923 exp=B8EA8049762B0BD923 + 154.50ns INFO [00000156] * RD COMPARE * port=0 adr=05 act=B8EA8049762B0BD923 exp=B8EA8049762B0BD923 + 154.50ns INFO [00000156] Port=1 RD @04 + 155.50ns INFO [00000157] Port=0 WR @00=9284C13E0BC1356AAF + 155.50ns INFO [00000157] Port=0 RD @06 + 156.50ns INFO [00000158] * RD COMPARE * port=1 adr=04 act=A6C20774D791084812 exp=A6C20774D791084812 + 156.50ns INFO [00000158] Port=1 RD @02 + 157.50ns INFO [00000159] * RD COMPARE * port=0 adr=06 act=29D7FBDCBA0B2DF8A6 exp=29D7FBDCBA0B2DF8A6 + 157.50ns INFO [00000159] Port=0 RD @02 + 158.50ns INFO [00000160] * RD COMPARE * port=1 adr=02 act=9E57C184173AD40E60 exp=9E57C184173AD40E60 + 158.50ns INFO [00000160] Port=0 RD @03 + 158.50ns INFO [00000160] Port=1 RD @03 + 159.50ns INFO [00000161] * RD COMPARE * port=0 adr=02 act=9E57C184173AD40E60 exp=9E57C184173AD40E60 + 160.50ns INFO [00000162] * RD COMPARE * port=0 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 160.50ns INFO [00000162] * RD COMPARE * port=1 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 160.50ns INFO [00000162] Port=0 RD @00 + 161.50ns INFO [00000163] Port=0 RD @03 + 162.50ns INFO [00000164] * RD COMPARE * port=0 adr=00 act=9284C13E0BC1356AAF exp=9284C13E0BC1356AAF + 162.50ns INFO [00000164] Port=0 WR @06=B7248438C54EEFF494 + 162.50ns INFO [00000164] Port=0 RD @00 + 163.50ns INFO [00000165] * RD COMPARE * port=0 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 163.50ns INFO [00000165] Port=0 RD @02 + 163.50ns INFO [00000165] Port=1 RD @01 + 164.50ns INFO [00000166] * RD COMPARE * port=0 adr=00 act=9284C13E0BC1356AAF exp=9284C13E0BC1356AAF + 164.50ns INFO [00000166] Port=1 RD @07 + 165.50ns INFO [00000167] * RD COMPARE * port=0 adr=02 act=9E57C184173AD40E60 exp=9E57C184173AD40E60 + 165.50ns INFO [00000167] * RD COMPARE * port=1 adr=01 act=E9C3FA9F0CBBBB69A8 exp=E9C3FA9F0CBBBB69A8 + 165.50ns INFO [00000167] Port=0 WR @07=884F4AEA1643446132 + 165.50ns INFO [00000167] Port=1 RD @00 + 166.50ns INFO [00000168] * RD COMPARE * port=1 adr=07 act=73BFAE542F1A7011D2 exp=73BFAE542F1A7011D2 + 166.50ns INFO [00000168] Port=0 RD @03 + 166.50ns INFO [00000168] Port=1 RD @01 + 167.50ns INFO [00000169] * RD COMPARE * port=1 adr=00 act=9284C13E0BC1356AAF exp=9284C13E0BC1356AAF + 167.50ns INFO [00000169] Port=0 RD @06 + 168.50ns INFO [00000170] * RD COMPARE * port=0 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 168.50ns INFO [00000170] * RD COMPARE * port=1 adr=01 act=E9C3FA9F0CBBBB69A8 exp=E9C3FA9F0CBBBB69A8 + 169.50ns INFO [00000171] * RD COMPARE * port=0 adr=06 act=B7248438C54EEFF494 exp=B7248438C54EEFF494 + 169.50ns INFO [00000171] Port=1 RD @01 + 171.50ns INFO [00000173] * RD COMPARE * port=1 adr=01 act=E9C3FA9F0CBBBB69A8 exp=E9C3FA9F0CBBBB69A8 + 172.50ns INFO [00000174] Port=0 RD @07 + 172.50ns INFO [00000174] Port=1 RD @05 + 173.50ns INFO [00000175] Port=0 RD @03 + 173.50ns INFO [00000175] Port=1 RD @06 + 174.50ns INFO [00000176] * RD COMPARE * port=0 adr=07 act=884F4AEA1643446132 exp=884F4AEA1643446132 + 174.50ns INFO [00000176] * RD COMPARE * port=1 adr=05 act=B8EA8049762B0BD923 exp=B8EA8049762B0BD923 + 174.50ns INFO [00000176] Port=0 WR @00=8638E4A756BD6E83B5 + 174.50ns INFO [00000176] Port=0 RD @01 + 175.50ns INFO [00000177] * RD COMPARE * port=0 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 175.50ns INFO [00000177] * RD COMPARE * port=1 adr=06 act=B7248438C54EEFF494 exp=B7248438C54EEFF494 + 175.50ns INFO [00000177] Port=0 WR @06=D7E125B3478F9C7299 + 175.50ns INFO [00000177] Port=1 RD @00 + 176.50ns INFO [00000178] * RD COMPARE * port=0 adr=01 act=E9C3FA9F0CBBBB69A8 exp=E9C3FA9F0CBBBB69A8 + 176.50ns INFO [00000178] Port=1 RD @00 + 177.50ns INFO [00000179] * RD COMPARE * port=1 adr=00 act=8638E4A756BD6E83B5 exp=8638E4A756BD6E83B5 + 177.50ns INFO [00000179] Port=0 RD @01 + 177.50ns INFO [00000179] Port=1 RD @01 + 178.50ns INFO [00000180] * RD COMPARE * port=1 adr=00 act=8638E4A756BD6E83B5 exp=8638E4A756BD6E83B5 + 178.50ns INFO [00000180] Port=0 RD @06 + 179.50ns INFO [00000181] * RD COMPARE * port=0 adr=01 act=E9C3FA9F0CBBBB69A8 exp=E9C3FA9F0CBBBB69A8 + 179.50ns INFO [00000181] * RD COMPARE * port=1 adr=01 act=E9C3FA9F0CBBBB69A8 exp=E9C3FA9F0CBBBB69A8 + 179.50ns INFO [00000181] Port=1 RD @00 + 180.50ns INFO [00000182] * RD COMPARE * port=0 adr=06 act=D7E125B3478F9C7299 exp=D7E125B3478F9C7299 + 180.50ns INFO [00000182] Port=0 RD @04 + 181.50ns INFO [00000183] * RD COMPARE * port=1 adr=00 act=8638E4A756BD6E83B5 exp=8638E4A756BD6E83B5 + 181.50ns INFO [00000183] Port=1 RD @03 + 182.50ns INFO [00000184] * RD COMPARE * port=0 adr=04 act=A6C20774D791084812 exp=A6C20774D791084812 + 182.50ns INFO [00000184] Port=0 RD @07 + 183.50ns INFO [00000185] * RD COMPARE * port=1 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 183.50ns INFO [00000185] Port=0 RD @03 + 184.50ns INFO [00000186] * RD COMPARE * port=0 adr=07 act=884F4AEA1643446132 exp=884F4AEA1643446132 + 184.50ns INFO [00000186] Port=0 WR @01=6CCEAD86B05CEBC701 + 185.50ns INFO [00000187] * RD COMPARE * port=0 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 185.50ns INFO [00000187] Port=0 WR @05=5170988B92071BFBBE + 186.50ns INFO [00000188] Port=0 RD @02 + 186.50ns INFO [00000188] Port=1 RD @05 + 187.50ns INFO [00000189] Port=0 WR @01=E2D7EAB923701EACFC + 187.50ns INFO [00000189] Port=0 RD @04 + 188.50ns INFO [00000190] * RD COMPARE * port=0 adr=02 act=9E57C184173AD40E60 exp=9E57C184173AD40E60 + 188.50ns INFO [00000190] * RD COMPARE * port=1 adr=05 act=5170988B92071BFBBE exp=5170988B92071BFBBE + 188.50ns INFO [00000190] Port=1 RD @02 + 189.50ns INFO [00000191] * RD COMPARE * port=0 adr=04 act=A6C20774D791084812 exp=A6C20774D791084812 + 189.50ns INFO [00000191] Port=0 WR @05=54A34C81B459A72A67 + 190.50ns INFO [00000192] * RD COMPARE * port=1 adr=02 act=9E57C184173AD40E60 exp=9E57C184173AD40E60 + 190.50ns INFO [00000192] Port=0 WR @00=2454A94A9041EB4E1A + 190.50ns INFO [00000192] Port=0 RD @01 + 191.50ns INFO [00000193] Port=0 WR @00=B9C218176C0B58A35C + 192.50ns INFO [00000194] * RD COMPARE * port=0 adr=01 act=E2D7EAB923701EACFC exp=E2D7EAB923701EACFC + 192.50ns INFO [00000194] Port=0 RD @05 + 193.50ns INFO [00000195] Port=1 RD @03 + 194.50ns INFO [00000196] * RD COMPARE * port=0 adr=05 act=54A34C81B459A72A67 exp=54A34C81B459A72A67 + 194.50ns INFO [00000196] Port=0 WR @03=9957079E6B5DB3C614 + 195.50ns INFO [00000197] * RD COMPARE * port=1 adr=03 act=7873D58019BC6E328A exp=7873D58019BC6E328A + 195.50ns INFO [00000197] Port=0 WR @06=EA3FEF0467069E8E05 + 196.50ns INFO [00000198] Port=1 RD @07 + 197.50ns INFO [00000199] Port=1 RD @01 + 198.00ns INFO [00000200] [00000200] ...tick... + 198.50ns INFO [00000200] * RD COMPARE * port=1 adr=07 act=884F4AEA1643446132 exp=884F4AEA1643446132 + 198.50ns INFO [00000200] Port=0 WR @06=1CDD2F280BD391642B + 198.50ns INFO [00000200] Port=0 RD @07 + 198.50ns INFO [00000200] Port=1 RD @02 + 199.50ns INFO [00000201] * RD COMPARE * port=1 adr=01 act=E2D7EAB923701EACFC exp=E2D7EAB923701EACFC + 200.50ns INFO [00000202] * RD COMPARE * port=0 adr=07 act=884F4AEA1643446132 exp=884F4AEA1643446132 + 200.50ns INFO [00000202] * RD COMPARE * port=1 adr=02 act=9E57C184173AD40E60 exp=9E57C184173AD40E60 + 201.50ns INFO [00000203] Port=1 RD @02 + 202.50ns INFO [00000204] Port=1 RD @07 + 203.50ns INFO [00000205] * RD COMPARE * port=1 adr=02 act=9E57C184173AD40E60 exp=9E57C184173AD40E60 + 203.50ns INFO [00000205] Port=1 RD @05 + 204.50ns INFO [00000206] * RD COMPARE * port=1 adr=07 act=884F4AEA1643446132 exp=884F4AEA1643446132 + 204.50ns INFO [00000206] Port=0 WR @05=16F01375DD1E35D6B5 + 204.50ns INFO [00000206] Port=0 RD @04 + 205.50ns INFO [00000207] * RD COMPARE * port=1 adr=05 act=54A34C81B459A72A67 exp=54A34C81B459A72A67 + 205.50ns INFO [00000207] Port=1 RD @03 + 206.50ns INFO [00000208] * RD COMPARE * port=0 adr=04 act=A6C20774D791084812 exp=A6C20774D791084812 + 207.50ns INFO [00000209] * RD COMPARE * port=1 adr=03 act=9957079E6B5DB3C614 exp=9957079E6B5DB3C614 + 207.50ns INFO [00000209] Port=0 RD @04 + 209.50ns INFO [00000211] * RD COMPARE * port=0 adr=04 act=A6C20774D791084812 exp=A6C20774D791084812 + 209.50ns INFO [00000211] Port=0 WR @01=9907D84692F244880B + 209.50ns INFO [00000211] Port=0 RD @06 + 210.50ns INFO [00000212] Port=0 RD @00 + 210.50ns INFO [00000212] Port=1 RD @00 + 211.50ns INFO [00000213] * RD COMPARE * port=0 adr=06 act=1CDD2F280BD391642B exp=1CDD2F280BD391642B + 211.50ns INFO [00000213] Port=0 RD @02 + 211.50ns INFO [00000213] Port=1 RD @06 + 212.50ns INFO [00000214] * RD COMPARE * port=0 adr=00 act=B9C218176C0B58A35C exp=B9C218176C0B58A35C + 212.50ns INFO [00000214] * RD COMPARE * port=1 adr=00 act=B9C218176C0B58A35C exp=B9C218176C0B58A35C + 212.50ns INFO [00000214] Port=0 WR @00=CEE97E81EFEFE7D6F8 + 212.50ns INFO [00000214] Port=1 RD @01 + 213.50ns INFO [00000215] * RD COMPARE * port=0 adr=02 act=9E57C184173AD40E60 exp=9E57C184173AD40E60 + 213.50ns INFO [00000215] * RD COMPARE * port=1 adr=06 act=1CDD2F280BD391642B exp=1CDD2F280BD391642B + 213.50ns INFO [00000215] Port=0 RD @06 + 213.50ns INFO [00000215] Port=1 RD @00 + 214.50ns INFO [00000216] * RD COMPARE * port=1 adr=01 act=9907D84692F244880B exp=9907D84692F244880B + 214.50ns INFO [00000216] Port=1 RD @03 + 215.50ns INFO [00000217] * RD COMPARE * port=0 adr=06 act=1CDD2F280BD391642B exp=1CDD2F280BD391642B + 215.50ns INFO [00000217] * RD COMPARE * port=1 adr=00 act=CEE97E81EFEFE7D6F8 exp=CEE97E81EFEFE7D6F8 + 215.50ns INFO [00000217] Port=1 RD @06 + 216.50ns INFO [00000218] * RD COMPARE * port=1 adr=03 act=9957079E6B5DB3C614 exp=9957079E6B5DB3C614 + 216.50ns INFO [00000218] Port=0 RD @04 + 217.50ns INFO [00000219] * RD COMPARE * port=1 adr=06 act=1CDD2F280BD391642B exp=1CDD2F280BD391642B + 217.50ns INFO [00000219] Port=0 RD @05 + 218.50ns INFO [00000220] * RD COMPARE * port=0 adr=04 act=A6C20774D791084812 exp=A6C20774D791084812 + 218.50ns INFO [00000220] Port=1 RD @02 + 219.50ns INFO [00000221] * RD COMPARE * port=0 adr=05 act=16F01375DD1E35D6B5 exp=16F01375DD1E35D6B5 + 219.50ns INFO [00000221] Port=1 RD @01 + 220.50ns INFO [00000222] * RD COMPARE * port=1 adr=02 act=9E57C184173AD40E60 exp=9E57C184173AD40E60 + 220.50ns INFO [00000222] Port=0 RD @05 + 221.50ns INFO [00000223] * RD COMPARE * port=1 adr=01 act=9907D84692F244880B exp=9907D84692F244880B + 221.50ns INFO [00000223] Port=0 RD @07 + 222.50ns INFO [00000224] * RD COMPARE * port=0 adr=05 act=16F01375DD1E35D6B5 exp=16F01375DD1E35D6B5 + 222.50ns INFO [00000224] Port=0 WR @02=E78101D9AD9AD8FAA4 + 223.50ns INFO [00000225] * RD COMPARE * port=0 adr=07 act=884F4AEA1643446132 exp=884F4AEA1643446132 + 223.50ns INFO [00000225] Port=0 RD @02 + 225.50ns INFO [00000227] * RD COMPARE * port=0 adr=02 act=E78101D9AD9AD8FAA4 exp=E78101D9AD9AD8FAA4 + 225.50ns INFO [00000227] Port=0 RD @04 + 226.50ns INFO [00000228] Port=0 WR @04=D4EBD107B046D49669 + 227.50ns INFO [00000229] * RD COMPARE * port=0 adr=04 act=A6C20774D791084812 exp=A6C20774D791084812 + 228.50ns INFO [00000230] Port=0 RD @00 + 228.50ns INFO [00000230] Port=1 RD @02 + 229.50ns INFO [00000231] Port=0 WR @07=5E62FAC74CD7EAA302 + 229.50ns INFO [00000231] Port=1 RD @02 + 230.50ns INFO [00000232] * RD COMPARE * port=0 adr=00 act=CEE97E81EFEFE7D6F8 exp=CEE97E81EFEFE7D6F8 + 230.50ns INFO [00000232] * RD COMPARE * port=1 adr=02 act=E78101D9AD9AD8FAA4 exp=E78101D9AD9AD8FAA4 + 230.50ns INFO [00000232] Port=0 RD @06 + 230.50ns INFO [00000232] Port=1 RD @05 + 231.50ns INFO [00000233] * RD COMPARE * port=1 adr=02 act=E78101D9AD9AD8FAA4 exp=E78101D9AD9AD8FAA4 + 231.50ns INFO [00000233] Port=0 RD @02 + 232.50ns INFO [00000234] * RD COMPARE * port=0 adr=06 act=1CDD2F280BD391642B exp=1CDD2F280BD391642B + 232.50ns INFO [00000234] * RD COMPARE * port=1 adr=05 act=16F01375DD1E35D6B5 exp=16F01375DD1E35D6B5 + 232.50ns INFO [00000234] Port=0 WR @02=E2418395454253EFE6 + 233.50ns INFO [00000235] * RD COMPARE * port=0 adr=02 act=E78101D9AD9AD8FAA4 exp=E78101D9AD9AD8FAA4 + 233.50ns INFO [00000235] Port=0 WR @04=90CE96F839E97880AB + 233.50ns INFO [00000235] Port=1 RD @02 + 235.50ns INFO [00000237] * RD COMPARE * port=1 adr=02 act=E2418395454253EFE6 exp=E2418395454253EFE6 + 235.50ns INFO [00000237] Port=0 WR @01=F7112D4AC7B8EA2511 + 235.50ns INFO [00000237] Port=0 RD @07 + 235.50ns INFO [00000237] Port=1 RD @05 + 236.50ns INFO [00000238] Port=0 WR @01=7E04CDD75716B9AD01 + 237.50ns INFO [00000239] * RD COMPARE * port=0 adr=07 act=5E62FAC74CD7EAA302 exp=5E62FAC74CD7EAA302 + 237.50ns INFO [00000239] * RD COMPARE * port=1 adr=05 act=16F01375DD1E35D6B5 exp=16F01375DD1E35D6B5 + 239.50ns INFO [00000241] Port=0 WR @04=24994704C586EF2E39 + 239.50ns INFO [00000241] Port=0 RD @06 + 240.50ns INFO [00000242] Port=0 WR @06=398936872579CE6ED6 + 240.50ns INFO [00000242] Port=0 RD @07 + 240.50ns INFO [00000242] Port=1 RD @03 + 241.50ns INFO [00000243] * RD COMPARE * port=0 adr=06 act=1CDD2F280BD391642B exp=1CDD2F280BD391642B + 241.50ns INFO [00000243] Port=0 WR @05=6BF30B60AD9A3494F4 + 241.50ns INFO [00000243] Port=0 RD @03 + 242.50ns INFO [00000244] * RD COMPARE * port=0 adr=07 act=5E62FAC74CD7EAA302 exp=5E62FAC74CD7EAA302 + 242.50ns INFO [00000244] * RD COMPARE * port=1 adr=03 act=9957079E6B5DB3C614 exp=9957079E6B5DB3C614 + 243.50ns INFO [00000245] * RD COMPARE * port=0 adr=03 act=9957079E6B5DB3C614 exp=9957079E6B5DB3C614 + 244.50ns INFO [00000246] Port=0 WR @00=9CF1FD547D3A370D9F + 244.50ns INFO [00000246] Port=0 RD @03 + 246.50ns INFO [00000248] * RD COMPARE * port=0 adr=03 act=9957079E6B5DB3C614 exp=9957079E6B5DB3C614 + 246.50ns INFO [00000248] Port=0 WR @01=AEC362510BEF6BF60E + 247.50ns INFO [00000249] Port=0 WR @00=4A89F96541E8C28229 + 248.50ns INFO [00000250] Port=0 WR @05=5DAC9818D35EDFD9DB + 248.50ns INFO [00000250] Port=0 RD @04 + 249.50ns INFO [00000251] Port=0 WR @01=8C70C916ED4973001D + 249.50ns INFO [00000251] Port=0 RD @07 + 250.50ns INFO [00000252] * RD COMPARE * port=0 adr=04 act=24994704C586EF2E39 exp=24994704C586EF2E39 + 250.50ns INFO [00000252] Port=0 WR @04=EC361075861D25C32D + 251.50ns INFO [00000253] * RD COMPARE * port=0 adr=07 act=5E62FAC74CD7EAA302 exp=5E62FAC74CD7EAA302 + 251.50ns INFO [00000253] Port=0 WR @01=2D474C8176D0EE9585 + 251.50ns INFO [00000253] Port=0 RD @06 + 251.50ns INFO [00000253] Port=1 RD @02 + 252.50ns INFO [00000254] Port=0 WR @05=6519A97B5BDF9A3997 + 252.50ns INFO [00000254] Port=0 RD @07 + 253.50ns INFO [00000255] * RD COMPARE * port=0 adr=06 act=398936872579CE6ED6 exp=398936872579CE6ED6 + 253.50ns INFO [00000255] * RD COMPARE * port=1 adr=02 act=E2418395454253EFE6 exp=E2418395454253EFE6 + 253.50ns INFO [00000255] Port=0 WR @07=2B11D0060DC1327773 + 254.50ns INFO [00000256] * RD COMPARE * port=0 adr=07 act=5E62FAC74CD7EAA302 exp=5E62FAC74CD7EAA302 + 254.50ns INFO [00000256] Port=0 WR @07=1AF2D041470429AB9F + 254.50ns INFO [00000256] Port=1 RD @00 + 255.50ns INFO [00000257] Port=0 WR @05=8A6A965CFDF55B019D + 255.50ns INFO [00000257] Port=1 RD @00 + 256.50ns INFO [00000258] * RD COMPARE * port=1 adr=00 act=4A89F96541E8C28229 exp=4A89F96541E8C28229 + 256.50ns INFO [00000258] Port=0 RD @00 + 257.50ns INFO [00000259] * RD COMPARE * port=1 adr=00 act=4A89F96541E8C28229 exp=4A89F96541E8C28229 + 257.50ns INFO [00000259] Port=0 WR @03=29E56A3C7D5E3C369C + 257.50ns INFO [00000259] Port=1 RD @04 + 258.50ns INFO [00000260] * RD COMPARE * port=0 adr=00 act=4A89F96541E8C28229 exp=4A89F96541E8C28229 + 258.50ns INFO [00000260] Port=0 RD @04 + 258.50ns INFO [00000260] Port=1 RD @06 + 259.50ns INFO [00000261] * RD COMPARE * port=1 adr=04 act=EC361075861D25C32D exp=EC361075861D25C32D + 259.50ns INFO [00000261] Port=0 RD @00 + 260.50ns INFO [00000262] * RD COMPARE * port=0 adr=04 act=EC361075861D25C32D exp=EC361075861D25C32D + 260.50ns INFO [00000262] * RD COMPARE * port=1 adr=06 act=398936872579CE6ED6 exp=398936872579CE6ED6 + 260.50ns INFO [00000262] Port=0 WR @07=0BC4109C81F56B23BE + 261.50ns INFO [00000263] * RD COMPARE * port=0 adr=00 act=4A89F96541E8C28229 exp=4A89F96541E8C28229 + 261.50ns INFO [00000263] Port=0 WR @02=7B6B60468D220FF0B0 + 261.50ns INFO [00000263] Port=1 RD @04 + 262.50ns INFO [00000264] Port=0 RD @07 + 263.50ns INFO [00000265] * RD COMPARE * port=1 adr=04 act=EC361075861D25C32D exp=EC361075861D25C32D + 263.50ns INFO [00000265] Port=0 WR @03=687B630011FADF6C81 + 263.50ns INFO [00000265] Port=0 RD @06 + 263.50ns INFO [00000265] Port=1 RD @02 + 264.50ns INFO [00000266] * RD COMPARE * port=0 adr=07 act=0BC4109C81F56B23BE exp=0BC4109C81F56B23BE + 264.50ns INFO [00000266] Port=0 RD @05 + 265.50ns INFO [00000267] * RD COMPARE * port=0 adr=06 act=398936872579CE6ED6 exp=398936872579CE6ED6 + 265.50ns INFO [00000267] * RD COMPARE * port=1 adr=02 act=7B6B60468D220FF0B0 exp=7B6B60468D220FF0B0 + 265.50ns INFO [00000267] Port=0 WR @04=FFB4B979B29C4246A3 + 266.50ns INFO [00000268] * RD COMPARE * port=0 adr=05 act=8A6A965CFDF55B019D exp=8A6A965CFDF55B019D + 267.50ns INFO [00000269] Port=1 RD @02 + 269.50ns INFO [00000271] * RD COMPARE * port=1 adr=02 act=7B6B60468D220FF0B0 exp=7B6B60468D220FF0B0 + 269.50ns INFO [00000271] Port=0 WR @06=F0B763B984A919211B + 270.50ns INFO [00000272] Port=0 WR @06=9350143F42012B8BE0 + 271.50ns INFO [00000273] Port=0 RD @05 + 271.50ns INFO [00000273] Port=1 RD @03 + 273.50ns INFO [00000275] * RD COMPARE * port=0 adr=05 act=8A6A965CFDF55B019D exp=8A6A965CFDF55B019D + 273.50ns INFO [00000275] * RD COMPARE * port=1 adr=03 act=687B630011FADF6C81 exp=687B630011FADF6C81 + 273.50ns INFO [00000275] Port=0 RD @00 + 274.50ns INFO [00000276] Port=1 RD @04 + 275.50ns INFO [00000277] * RD COMPARE * port=0 adr=00 act=4A89F96541E8C28229 exp=4A89F96541E8C28229 + 276.50ns INFO [00000278] * RD COMPARE * port=1 adr=04 act=FFB4B979B29C4246A3 exp=FFB4B979B29C4246A3 + 276.50ns INFO [00000278] Port=0 WR @04=0FD47A981B9D66F751 + 279.50ns INFO [00000281] Port=0 RD @00 + 280.50ns INFO [00000282] Port=1 RD @00 + 281.50ns INFO [00000283] * RD COMPARE * port=0 adr=00 act=4A89F96541E8C28229 exp=4A89F96541E8C28229 + 281.50ns INFO [00000283] Port=0 RD @04 + 281.50ns INFO [00000283] Port=1 RD @07 + 282.50ns INFO [00000284] * RD COMPARE * port=1 adr=00 act=4A89F96541E8C28229 exp=4A89F96541E8C28229 + 282.50ns INFO [00000284] Port=0 RD @02 + 283.50ns INFO [00000285] * RD COMPARE * port=0 adr=04 act=0FD47A981B9D66F751 exp=0FD47A981B9D66F751 + 283.50ns INFO [00000285] * RD COMPARE * port=1 adr=07 act=0BC4109C81F56B23BE exp=0BC4109C81F56B23BE + 283.50ns INFO [00000285] Port=0 WR @04=D78A7041EF3983E521 + 283.50ns INFO [00000285] Port=1 RD @00 + 284.50ns INFO [00000286] * RD COMPARE * port=0 adr=02 act=7B6B60468D220FF0B0 exp=7B6B60468D220FF0B0 + 284.50ns INFO [00000286] Port=0 WR @01=70B946E8634D9F46BF + 285.50ns INFO [00000287] * RD COMPARE * port=1 adr=00 act=4A89F96541E8C28229 exp=4A89F96541E8C28229 + 285.50ns INFO [00000287] Port=0 WR @00=C4C41E3AF6A4AE4377 + 285.50ns INFO [00000287] Port=1 RD @01 + 286.50ns INFO [00000288] Port=0 WR @01=A929FD03DDD3B4828D + 287.50ns INFO [00000289] * RD COMPARE * port=1 adr=01 act=70B946E8634D9F46BF exp=70B946E8634D9F46BF + 287.50ns INFO [00000289] Port=0 WR @02=57E0A2D4CC40401BCF + 287.50ns INFO [00000289] Port=1 RD @03 + 288.50ns INFO [00000290] Port=0 RD @02 + 288.50ns INFO [00000290] Port=1 RD @06 + 289.50ns INFO [00000291] * RD COMPARE * port=1 adr=03 act=687B630011FADF6C81 exp=687B630011FADF6C81 + 289.50ns INFO [00000291] Port=0 RD @02 + 289.50ns INFO [00000291] Port=1 RD @04 + 290.50ns INFO [00000292] * RD COMPARE * port=0 adr=02 act=57E0A2D4CC40401BCF exp=57E0A2D4CC40401BCF + 290.50ns INFO [00000292] * RD COMPARE * port=1 adr=06 act=9350143F42012B8BE0 exp=9350143F42012B8BE0 + 290.50ns INFO [00000292] Port=0 WR @04=CA2673E4DFA1313F1D + 291.50ns INFO [00000293] * RD COMPARE * port=0 adr=02 act=57E0A2D4CC40401BCF exp=57E0A2D4CC40401BCF + 291.50ns INFO [00000293] * RD COMPARE * port=1 adr=04 act=D78A7041EF3983E521 exp=D78A7041EF3983E521 + 291.50ns INFO [00000293] Port=0 WR @02=20350EBF529502CF86 + 291.50ns INFO [00000293] Port=0 RD @03 + 291.50ns INFO [00000293] Port=1 RD @01 + 292.50ns INFO [00000294] Port=0 WR @01=D61AFD331689F4BEAE + 293.50ns INFO [00000295] * RD COMPARE * port=0 adr=03 act=687B630011FADF6C81 exp=687B630011FADF6C81 + 293.50ns INFO [00000295] * RD COMPARE * port=1 adr=01 act=A929FD03DDD3B4828D exp=A929FD03DDD3B4828D + 293.50ns INFO [00000295] Port=0 RD @02 + 294.50ns INFO [00000296] Port=0 WR @02=BB190A0078B03E3B5D + 295.50ns INFO [00000297] * RD COMPARE * port=0 adr=02 act=20350EBF529502CF86 exp=20350EBF529502CF86 + 295.50ns INFO [00000297] Port=0 WR @00=E8AED163B9520FE4CF + 295.50ns INFO [00000297] Port=0 RD @07 + 296.50ns INFO [00000298] Port=1 RD @05 + 297.50ns INFO [00000299] * RD COMPARE * port=0 adr=07 act=0BC4109C81F56B23BE exp=0BC4109C81F56B23BE + 298.00ns INFO [00000300] [00000300] ...tick... + 298.50ns INFO [00000300] * RD COMPARE * port=1 adr=05 act=8A6A965CFDF55B019D exp=8A6A965CFDF55B019D + 298.50ns INFO [00000300] Port=0 WR @06=5986E2BDF25F900F41 + 298.50ns INFO [00000300] Port=0 RD @01 + 299.50ns INFO [00000301] Port=1 RD @03 + 300.50ns INFO [00000302] * RD COMPARE * port=0 adr=01 act=D61AFD331689F4BEAE exp=D61AFD331689F4BEAE + 300.50ns INFO [00000302] Port=0 WR @04=AD1B98805189A77EFE + 301.50ns INFO [00000303] * RD COMPARE * port=1 adr=03 act=687B630011FADF6C81 exp=687B630011FADF6C81 + 302.50ns INFO [00000304] Port=0 WR @06=D3EBDD59D38FF1862E + 302.50ns INFO [00000304] Port=1 RD @00 + 303.50ns INFO [00000305] Port=0 WR @05=5DFE36E29654D69F47 + 303.50ns INFO [00000305] Port=1 RD @04 + 304.50ns INFO [00000306] * RD COMPARE * port=1 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 305.50ns INFO [00000307] * RD COMPARE * port=1 adr=04 act=AD1B98805189A77EFE exp=AD1B98805189A77EFE + 305.50ns INFO [00000307] Port=1 RD @04 + 306.50ns INFO [00000308] Port=0 WR @05=88FAD7B30A7F05964A + 306.50ns INFO [00000308] Port=0 RD @02 + 307.50ns INFO [00000309] * RD COMPARE * port=1 adr=04 act=AD1B98805189A77EFE exp=AD1B98805189A77EFE + 307.50ns INFO [00000309] Port=0 WR @07=4B11A0F5FAF6A55B04 + 307.50ns INFO [00000309] Port=0 RD @01 + 308.50ns INFO [00000310] * RD COMPARE * port=0 adr=02 act=BB190A0078B03E3B5D exp=BB190A0078B03E3B5D + 308.50ns INFO [00000310] Port=0 RD @06 + 308.50ns INFO [00000310] Port=1 RD @06 + 309.50ns INFO [00000311] * RD COMPARE * port=0 adr=01 act=D61AFD331689F4BEAE exp=D61AFD331689F4BEAE + 309.50ns INFO [00000311] Port=0 WR @01=BFF302AFFF6CA70645 + 310.50ns INFO [00000312] * RD COMPARE * port=0 adr=06 act=D3EBDD59D38FF1862E exp=D3EBDD59D38FF1862E + 310.50ns INFO [00000312] * RD COMPARE * port=1 adr=06 act=D3EBDD59D38FF1862E exp=D3EBDD59D38FF1862E + 310.50ns INFO [00000312] Port=1 RD @07 + 312.50ns INFO [00000314] * RD COMPARE * port=1 adr=07 act=4B11A0F5FAF6A55B04 exp=4B11A0F5FAF6A55B04 + 312.50ns INFO [00000314] Port=0 WR @02=1B34C5C54A6903CB1D + 313.50ns INFO [00000315] Port=0 WR @03=16251AC1EC5C8DE289 + 313.50ns INFO [00000315] Port=0 RD @04 + 314.50ns INFO [00000316] Port=0 RD @00 + 315.50ns INFO [00000317] * RD COMPARE * port=0 adr=04 act=AD1B98805189A77EFE exp=AD1B98805189A77EFE + 316.50ns INFO [00000318] * RD COMPARE * port=0 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 317.50ns INFO [00000319] Port=0 RD @06 + 318.50ns INFO [00000320] Port=0 WR @02=2C95C3C6B951CA1FC7 + 318.50ns INFO [00000320] Port=0 RD @05 + 319.50ns INFO [00000321] * RD COMPARE * port=0 adr=06 act=D3EBDD59D38FF1862E exp=D3EBDD59D38FF1862E + 319.50ns INFO [00000321] Port=0 RD @00 + 320.50ns INFO [00000322] * RD COMPARE * port=0 adr=05 act=88FAD7B30A7F05964A exp=88FAD7B30A7F05964A + 320.50ns INFO [00000322] Port=0 WR @05=148FD9C3714CD637AB + 320.50ns INFO [00000322] Port=0 RD @00 + 321.50ns INFO [00000323] * RD COMPARE * port=0 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 321.50ns INFO [00000323] Port=0 WR @02=34B3A251468EF82B44 + 322.50ns INFO [00000324] * RD COMPARE * port=0 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 323.50ns INFO [00000325] Port=1 RD @04 + 324.50ns INFO [00000326] Port=1 RD @02 + 325.50ns INFO [00000327] * RD COMPARE * port=1 adr=04 act=AD1B98805189A77EFE exp=AD1B98805189A77EFE + 325.50ns INFO [00000327] Port=0 RD @05 + 326.50ns INFO [00000328] * RD COMPARE * port=1 adr=02 act=34B3A251468EF82B44 exp=34B3A251468EF82B44 + 326.50ns INFO [00000328] Port=0 RD @02 + 327.50ns INFO [00000329] * RD COMPARE * port=0 adr=05 act=148FD9C3714CD637AB exp=148FD9C3714CD637AB + 327.50ns INFO [00000329] Port=0 RD @07 + 328.50ns INFO [00000330] * RD COMPARE * port=0 adr=02 act=34B3A251468EF82B44 exp=34B3A251468EF82B44 + 328.50ns INFO [00000330] Port=1 RD @02 + 329.50ns INFO [00000331] * RD COMPARE * port=0 adr=07 act=4B11A0F5FAF6A55B04 exp=4B11A0F5FAF6A55B04 + 329.50ns INFO [00000331] Port=0 WR @07=B1C84887B7B45019C7 + 329.50ns INFO [00000331] Port=0 RD @01 + 329.50ns INFO [00000331] Port=1 RD @00 + 330.50ns INFO [00000332] * RD COMPARE * port=1 adr=02 act=34B3A251468EF82B44 exp=34B3A251468EF82B44 + 331.50ns INFO [00000333] * RD COMPARE * port=0 adr=01 act=BFF302AFFF6CA70645 exp=BFF302AFFF6CA70645 + 331.50ns INFO [00000333] * RD COMPARE * port=1 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 332.50ns INFO [00000334] Port=0 WR @04=0EA7DADA8B259D09E8 + 333.50ns INFO [00000335] Port=0 RD @04 + 335.50ns INFO [00000337] * RD COMPARE * port=0 adr=04 act=0EA7DADA8B259D09E8 exp=0EA7DADA8B259D09E8 + 335.50ns INFO [00000337] Port=0 RD @01 + 336.50ns INFO [00000338] Port=0 WR @07=ABCD5CF68E18273051 + 337.50ns INFO [00000339] * RD COMPARE * port=0 adr=01 act=BFF302AFFF6CA70645 exp=BFF302AFFF6CA70645 + 337.50ns INFO [00000339] Port=0 RD @00 + 337.50ns INFO [00000339] Port=1 RD @00 + 339.50ns INFO [00000341] * RD COMPARE * port=0 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 339.50ns INFO [00000341] * RD COMPARE * port=1 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 339.50ns INFO [00000341] Port=0 WR @06=F5937C83FB3244C5A4 + 339.50ns INFO [00000341] Port=0 RD @03 + 340.50ns INFO [00000342] Port=0 RD @06 + 341.50ns INFO [00000343] * RD COMPARE * port=0 adr=03 act=16251AC1EC5C8DE289 exp=16251AC1EC5C8DE289 + 341.50ns INFO [00000343] Port=0 RD @02 + 342.50ns INFO [00000344] * RD COMPARE * port=0 adr=06 act=F5937C83FB3244C5A4 exp=F5937C83FB3244C5A4 + 342.50ns INFO [00000344] Port=0 WR @04=B7FD067147CAB6B979 + 343.50ns INFO [00000345] * RD COMPARE * port=0 adr=02 act=34B3A251468EF82B44 exp=34B3A251468EF82B44 + 343.50ns INFO [00000345] Port=0 WR @03=FA81B16B3282890DE1 + 344.50ns INFO [00000346] Port=0 RD @05 + 344.50ns INFO [00000346] Port=1 RD @03 + 345.50ns INFO [00000347] Port=0 RD @06 + 346.50ns INFO [00000348] * RD COMPARE * port=0 adr=05 act=148FD9C3714CD637AB exp=148FD9C3714CD637AB + 346.50ns INFO [00000348] * RD COMPARE * port=1 adr=03 act=FA81B16B3282890DE1 exp=FA81B16B3282890DE1 + 346.50ns INFO [00000348] Port=0 RD @04 + 347.50ns INFO [00000349] * RD COMPARE * port=0 adr=06 act=F5937C83FB3244C5A4 exp=F5937C83FB3244C5A4 + 347.50ns INFO [00000349] Port=0 WR @06=930457E80659C365A4 + 348.50ns INFO [00000350] * RD COMPARE * port=0 adr=04 act=B7FD067147CAB6B979 exp=B7FD067147CAB6B979 + 348.50ns INFO [00000350] Port=0 WR @01=93E4AC59136D1A72F3 + 348.50ns INFO [00000350] Port=0 RD @00 + 349.50ns INFO [00000351] Port=0 WR @05=48BF8A837074C49AD1 + 349.50ns INFO [00000351] Port=1 RD @04 + 350.50ns INFO [00000352] * RD COMPARE * port=0 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 350.50ns INFO [00000352] Port=0 RD @06 + 351.50ns INFO [00000353] * RD COMPARE * port=1 adr=04 act=B7FD067147CAB6B979 exp=B7FD067147CAB6B979 + 351.50ns INFO [00000353] Port=0 RD @04 + 352.50ns INFO [00000354] * RD COMPARE * port=0 adr=06 act=930457E80659C365A4 exp=930457E80659C365A4 + 352.50ns INFO [00000354] Port=0 RD @02 + 352.50ns INFO [00000354] Port=1 RD @04 + 353.50ns INFO [00000355] * RD COMPARE * port=0 adr=04 act=B7FD067147CAB6B979 exp=B7FD067147CAB6B979 + 354.50ns INFO [00000356] * RD COMPARE * port=0 adr=02 act=34B3A251468EF82B44 exp=34B3A251468EF82B44 + 354.50ns INFO [00000356] * RD COMPARE * port=1 adr=04 act=B7FD067147CAB6B979 exp=B7FD067147CAB6B979 + 354.50ns INFO [00000356] Port=0 WR @07=A4E39017156D33DEA1 + 354.50ns INFO [00000356] Port=1 RD @03 + 355.50ns INFO [00000357] Port=0 RD @02 + 356.50ns INFO [00000358] * RD COMPARE * port=1 adr=03 act=FA81B16B3282890DE1 exp=FA81B16B3282890DE1 + 356.50ns INFO [00000358] Port=0 RD @02 + 357.50ns INFO [00000359] * RD COMPARE * port=0 adr=02 act=34B3A251468EF82B44 exp=34B3A251468EF82B44 + 357.50ns INFO [00000359] Port=0 WR @07=6FB2B63615496128D9 + 358.50ns INFO [00000360] * RD COMPARE * port=0 adr=02 act=34B3A251468EF82B44 exp=34B3A251468EF82B44 + 358.50ns INFO [00000360] Port=0 WR @04=C828545A59A977A645 + 358.50ns INFO [00000360] Port=1 RD @01 + 359.50ns INFO [00000361] Port=0 RD @07 + 359.50ns INFO [00000361] Port=1 RD @01 + 360.50ns INFO [00000362] * RD COMPARE * port=1 adr=01 act=93E4AC59136D1A72F3 exp=93E4AC59136D1A72F3 + 360.50ns INFO [00000362] Port=0 WR @02=0F1DAA69C39C1E596E + 360.50ns INFO [00000362] Port=0 RD @01 + 360.50ns INFO [00000362] Port=1 RD @05 + 361.50ns INFO [00000363] * RD COMPARE * port=0 adr=07 act=6FB2B63615496128D9 exp=6FB2B63615496128D9 + 361.50ns INFO [00000363] * RD COMPARE * port=1 adr=01 act=93E4AC59136D1A72F3 exp=93E4AC59136D1A72F3 + 361.50ns INFO [00000363] Port=0 WR @06=79225B45DC7A123A33 + 362.50ns INFO [00000364] * RD COMPARE * port=0 adr=01 act=93E4AC59136D1A72F3 exp=93E4AC59136D1A72F3 + 362.50ns INFO [00000364] * RD COMPARE * port=1 adr=05 act=48BF8A837074C49AD1 exp=48BF8A837074C49AD1 + 362.50ns INFO [00000364] Port=0 RD @03 + 363.50ns INFO [00000365] Port=0 WR @02=0981EAD93D342B27DD + 364.50ns INFO [00000366] * RD COMPARE * port=0 adr=03 act=FA81B16B3282890DE1 exp=FA81B16B3282890DE1 + 365.50ns INFO [00000367] Port=0 WR @03=9F4E40101634D3B34C + 365.50ns INFO [00000367] Port=0 RD @06 + 367.50ns INFO [00000369] * RD COMPARE * port=0 adr=06 act=79225B45DC7A123A33 exp=79225B45DC7A123A33 + 367.50ns INFO [00000369] Port=0 WR @01=59FB66BD1FDE61092A + 367.50ns INFO [00000369] Port=0 RD @00 + 367.50ns INFO [00000369] Port=1 RD @03 + 368.50ns INFO [00000370] Port=0 WR @05=8FECA5E9F005A71DFD + 369.50ns INFO [00000371] * RD COMPARE * port=0 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 369.50ns INFO [00000371] * RD COMPARE * port=1 adr=03 act=9F4E40101634D3B34C exp=9F4E40101634D3B34C + 369.50ns INFO [00000371] Port=1 RD @05 + 370.50ns INFO [00000372] Port=1 RD @02 + 371.50ns INFO [00000373] * RD COMPARE * port=1 adr=05 act=8FECA5E9F005A71DFD exp=8FECA5E9F005A71DFD + 371.50ns INFO [00000373] Port=0 RD @03 + 371.50ns INFO [00000373] Port=1 RD @05 + 372.50ns INFO [00000374] * RD COMPARE * port=1 adr=02 act=0981EAD93D342B27DD exp=0981EAD93D342B27DD + 372.50ns INFO [00000374] Port=0 WR @02=A5DD56B60F494EADC7 + 373.50ns INFO [00000375] * RD COMPARE * port=0 adr=03 act=9F4E40101634D3B34C exp=9F4E40101634D3B34C + 373.50ns INFO [00000375] * RD COMPARE * port=1 adr=05 act=8FECA5E9F005A71DFD exp=8FECA5E9F005A71DFD + 373.50ns INFO [00000375] Port=0 RD @02 + 374.50ns INFO [00000376] Port=0 WR @02=89A4DFFD70D159C4A3 + 375.50ns INFO [00000377] * RD COMPARE * port=0 adr=02 act=A5DD56B60F494EADC7 exp=A5DD56B60F494EADC7 + 376.50ns INFO [00000378] Port=1 RD @02 + 377.50ns INFO [00000379] Port=0 WR @03=CB29E502F6CD8CF9F3 + 377.50ns INFO [00000379] Port=1 RD @05 + 378.50ns INFO [00000380] * RD COMPARE * port=1 adr=02 act=89A4DFFD70D159C4A3 exp=89A4DFFD70D159C4A3 + 379.50ns INFO [00000381] * RD COMPARE * port=1 adr=05 act=8FECA5E9F005A71DFD exp=8FECA5E9F005A71DFD + 379.50ns INFO [00000381] Port=0 WR @01=EFF7DCCF83A0291F79 + 380.50ns INFO [00000382] Port=0 WR @02=B503D5A05B98BE81F5 + 380.50ns INFO [00000382] Port=1 RD @07 + 381.50ns INFO [00000383] Port=0 WR @02=1B37EC03FDFFD914A4 + 381.50ns INFO [00000383] Port=1 RD @04 + 382.50ns INFO [00000384] * RD COMPARE * port=1 adr=07 act=6FB2B63615496128D9 exp=6FB2B63615496128D9 + 382.50ns INFO [00000384] Port=0 WR @06=C9F8CE3FD41651816F + 382.50ns INFO [00000384] Port=1 RD @00 + 383.50ns INFO [00000385] * RD COMPARE * port=1 adr=04 act=C828545A59A977A645 exp=C828545A59A977A645 + 383.50ns INFO [00000385] Port=0 WR @05=2B0708EDB3E53A2885 + 383.50ns INFO [00000385] Port=0 RD @04 + 383.50ns INFO [00000385] Port=1 RD @07 + 384.50ns INFO [00000386] * RD COMPARE * port=1 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 385.50ns INFO [00000387] * RD COMPARE * port=0 adr=04 act=C828545A59A977A645 exp=C828545A59A977A645 + 385.50ns INFO [00000387] * RD COMPARE * port=1 adr=07 act=6FB2B63615496128D9 exp=6FB2B63615496128D9 + 385.50ns INFO [00000387] Port=0 WR @06=22BBE5EA65016681FE + 385.50ns INFO [00000387] Port=1 RD @04 + 387.50ns INFO [00000389] * RD COMPARE * port=1 adr=04 act=C828545A59A977A645 exp=C828545A59A977A645 + 387.50ns INFO [00000389] Port=0 WR @05=4857E2ACB72D93D07C + 389.50ns INFO [00000391] Port=1 RD @02 + 391.50ns INFO [00000393] * RD COMPARE * port=1 adr=02 act=1B37EC03FDFFD914A4 exp=1B37EC03FDFFD914A4 + 391.50ns INFO [00000393] Port=0 RD @00 + 391.50ns INFO [00000393] Port=1 RD @02 + 392.50ns INFO [00000394] Port=0 WR @02=C692CB56140E06BE46 + 392.50ns INFO [00000394] Port=0 RD @03 + 392.50ns INFO [00000394] Port=1 RD @07 + 393.50ns INFO [00000395] * RD COMPARE * port=0 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 393.50ns INFO [00000395] * RD COMPARE * port=1 adr=02 act=1B37EC03FDFFD914A4 exp=1B37EC03FDFFD914A4 + 393.50ns INFO [00000395] Port=0 RD @01 + 393.50ns INFO [00000395] Port=1 RD @04 + 394.50ns INFO [00000396] * RD COMPARE * port=0 adr=03 act=CB29E502F6CD8CF9F3 exp=CB29E502F6CD8CF9F3 + 394.50ns INFO [00000396] * RD COMPARE * port=1 adr=07 act=6FB2B63615496128D9 exp=6FB2B63615496128D9 + 395.50ns INFO [00000397] * RD COMPARE * port=0 adr=01 act=EFF7DCCF83A0291F79 exp=EFF7DCCF83A0291F79 + 395.50ns INFO [00000397] * RD COMPARE * port=1 adr=04 act=C828545A59A977A645 exp=C828545A59A977A645 + 395.50ns INFO [00000397] Port=0 WR @04=14E180A9644C8DEBEE + 395.50ns INFO [00000397] Port=0 RD @03 + 395.50ns INFO [00000397] Port=1 RD @05 + 396.50ns INFO [00000398] Port=1 RD @00 + 397.50ns INFO [00000399] * RD COMPARE * port=0 adr=03 act=CB29E502F6CD8CF9F3 exp=CB29E502F6CD8CF9F3 + 397.50ns INFO [00000399] * RD COMPARE * port=1 adr=05 act=4857E2ACB72D93D07C exp=4857E2ACB72D93D07C + 397.50ns INFO [00000399] Port=0 WR @07=1A0679F87931E629BB + 397.50ns INFO [00000399] Port=1 RD @04 + 398.00ns INFO [00000400] [00000400] ...tick... + 398.50ns INFO [00000400] * RD COMPARE * port=1 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 398.50ns INFO [00000400] Port=0 WR @03=9C0B1D0AA24B0CD12D + 398.50ns INFO [00000400] Port=0 RD @05 + 399.50ns INFO [00000401] * RD COMPARE * port=1 adr=04 act=14E180A9644C8DEBEE exp=14E180A9644C8DEBEE + 399.50ns INFO [00000401] Port=0 RD @00 + 400.50ns INFO [00000402] * RD COMPARE * port=0 adr=05 act=4857E2ACB72D93D07C exp=4857E2ACB72D93D07C + 400.50ns INFO [00000402] Port=1 RD @06 + 401.50ns INFO [00000403] * RD COMPARE * port=0 adr=00 act=E8AED163B9520FE4CF exp=E8AED163B9520FE4CF + 402.50ns INFO [00000404] * RD COMPARE * port=1 adr=06 act=22BBE5EA65016681FE exp=22BBE5EA65016681FE + 402.50ns INFO [00000404] Port=0 WR @03=0DE5A376D45C5C8828 + 402.50ns INFO [00000404] Port=0 RD @02 + 403.50ns INFO [00000405] Port=0 RD @01 + 404.50ns INFO [00000406] * RD COMPARE * port=0 adr=02 act=C692CB56140E06BE46 exp=C692CB56140E06BE46 + 404.50ns INFO [00000406] Port=0 RD @03 + 404.50ns INFO [00000406] Port=1 RD @02 + 405.50ns INFO [00000407] * RD COMPARE * port=0 adr=01 act=EFF7DCCF83A0291F79 exp=EFF7DCCF83A0291F79 + 405.50ns INFO [00000407] Port=1 RD @04 + 406.50ns INFO [00000408] * RD COMPARE * port=0 adr=03 act=0DE5A376D45C5C8828 exp=0DE5A376D45C5C8828 + 406.50ns INFO [00000408] * RD COMPARE * port=1 adr=02 act=C692CB56140E06BE46 exp=C692CB56140E06BE46 + 406.50ns INFO [00000408] Port=0 WR @05=DE526B26F94421C646 + 406.50ns INFO [00000408] Port=0 RD @07 + 406.50ns INFO [00000408] Port=1 RD @03 + 407.50ns INFO [00000409] * RD COMPARE * port=1 adr=04 act=14E180A9644C8DEBEE exp=14E180A9644C8DEBEE + 407.50ns INFO [00000409] Port=0 WR @00=CF8D94CB9FCCD47F2D + 408.50ns INFO [00000410] * RD COMPARE * port=0 adr=07 act=1A0679F87931E629BB exp=1A0679F87931E629BB + 408.50ns INFO [00000410] * RD COMPARE * port=1 adr=03 act=0DE5A376D45C5C8828 exp=0DE5A376D45C5C8828 + 409.50ns INFO [00000411] Port=0 RD @04 + 411.50ns INFO [00000413] * RD COMPARE * port=0 adr=04 act=14E180A9644C8DEBEE exp=14E180A9644C8DEBEE + 411.50ns INFO [00000413] Port=1 RD @03 + 412.50ns INFO [00000414] Port=0 WR @01=368228C3ED49CEE56E + 413.50ns INFO [00000415] * RD COMPARE * port=1 adr=03 act=0DE5A376D45C5C8828 exp=0DE5A376D45C5C8828 + 413.50ns INFO [00000415] Port=0 WR @06=030FE08D6D28927C60 + 413.50ns INFO [00000415] Port=1 RD @00 + 414.50ns INFO [00000416] Port=0 WR @04=7846318A6A09E7404B + 414.50ns INFO [00000416] Port=1 RD @06 + 415.50ns INFO [00000417] * RD COMPARE * port=1 adr=00 act=CF8D94CB9FCCD47F2D exp=CF8D94CB9FCCD47F2D + 415.50ns INFO [00000417] Port=0 WR @06=F9338BD76A816BEAA6 + 416.50ns INFO [00000418] * RD COMPARE * port=1 adr=06 act=030FE08D6D28927C60 exp=030FE08D6D28927C60 + 417.50ns INFO [00000419] Port=0 WR @01=2989F8604BC3724560 + 417.50ns INFO [00000419] Port=1 RD @07 + 419.50ns INFO [00000421] * RD COMPARE * port=1 adr=07 act=1A0679F87931E629BB exp=1A0679F87931E629BB + 419.50ns INFO [00000421] Port=0 WR @01=AEDD2B28018DF47845 + 419.50ns INFO [00000421] Port=1 RD @03 + 420.50ns INFO [00000422] Port=0 RD @01 + 421.50ns INFO [00000423] * RD COMPARE * port=1 adr=03 act=0DE5A376D45C5C8828 exp=0DE5A376D45C5C8828 + 421.50ns INFO [00000423] Port=1 RD @03 + 422.50ns INFO [00000424] * RD COMPARE * port=0 adr=01 act=AEDD2B28018DF47845 exp=AEDD2B28018DF47845 + 423.50ns INFO [00000425] * RD COMPARE * port=1 adr=03 act=0DE5A376D45C5C8828 exp=0DE5A376D45C5C8828 + 424.50ns INFO [00000426] Port=0 WR @04=054BC772A842D84409 + 424.50ns INFO [00000426] Port=0 RD @01 + 426.50ns INFO [00000428] * RD COMPARE * port=0 adr=01 act=AEDD2B28018DF47845 exp=AEDD2B28018DF47845 + 427.50ns INFO [00000429] Port=0 WR @06=02E41ACD5E85E34E62 + 427.50ns INFO [00000429] Port=0 RD @00 + 428.50ns INFO [00000430] Port=0 WR @04=57C8359CD28FB7DCB2 + 428.50ns INFO [00000430] Port=1 RD @06 + 429.50ns INFO [00000431] * RD COMPARE * port=0 adr=00 act=CF8D94CB9FCCD47F2D exp=CF8D94CB9FCCD47F2D + 429.50ns INFO [00000431] Port=1 RD @00 + 430.50ns INFO [00000432] * RD COMPARE * port=1 adr=06 act=02E41ACD5E85E34E62 exp=02E41ACD5E85E34E62 + 430.50ns INFO [00000432] Port=0 WR @04=248E6431C862F72B35 + 430.50ns INFO [00000432] Port=0 RD @03 + 430.50ns INFO [00000432] Port=1 RD @02 + 431.50ns INFO [00000433] * RD COMPARE * port=1 adr=00 act=CF8D94CB9FCCD47F2D exp=CF8D94CB9FCCD47F2D + 431.50ns INFO [00000433] Port=1 RD @05 + 432.50ns INFO [00000434] * RD COMPARE * port=0 adr=03 act=0DE5A376D45C5C8828 exp=0DE5A376D45C5C8828 + 432.50ns INFO [00000434] * RD COMPARE * port=1 adr=02 act=C692CB56140E06BE46 exp=C692CB56140E06BE46 + 433.50ns INFO [00000435] * RD COMPARE * port=1 adr=05 act=DE526B26F94421C646 exp=DE526B26F94421C646 + 433.50ns INFO [00000435] Port=0 WR @02=512DEEC489A76CE0A1 + 433.50ns INFO [00000435] Port=0 RD @01 + 434.50ns INFO [00000436] Port=0 RD @05 + 435.50ns INFO [00000437] * RD COMPARE * port=0 adr=01 act=AEDD2B28018DF47845 exp=AEDD2B28018DF47845 + 435.50ns INFO [00000437] Port=0 WR @07=FB7C27ECFB4FACCB93 + 435.50ns INFO [00000437] Port=0 RD @01 + 436.50ns INFO [00000438] * RD COMPARE * port=0 adr=05 act=DE526B26F94421C646 exp=DE526B26F94421C646 + 436.50ns INFO [00000438] Port=1 RD @00 + 437.50ns INFO [00000439] * RD COMPARE * port=0 adr=01 act=AEDD2B28018DF47845 exp=AEDD2B28018DF47845 + 437.50ns INFO [00000439] Port=0 WR @07=2E12A651F1B41D63E0 + 437.50ns INFO [00000439] Port=0 RD @01 + 438.50ns INFO [00000440] * RD COMPARE * port=1 adr=00 act=CF8D94CB9FCCD47F2D exp=CF8D94CB9FCCD47F2D + 438.50ns INFO [00000440] Port=0 WR @07=DA9DC0E9A7CBD5A010 + 439.50ns INFO [00000441] * RD COMPARE * port=0 adr=01 act=AEDD2B28018DF47845 exp=AEDD2B28018DF47845 + 439.50ns INFO [00000441] Port=0 RD @04 + 439.50ns INFO [00000441] Port=1 RD @04 + 440.50ns INFO [00000442] Port=1 RD @07 + 441.50ns INFO [00000443] * RD COMPARE * port=0 adr=04 act=248E6431C862F72B35 exp=248E6431C862F72B35 + 441.50ns INFO [00000443] * RD COMPARE * port=1 adr=04 act=248E6431C862F72B35 exp=248E6431C862F72B35 + 442.50ns INFO [00000444] * RD COMPARE * port=1 adr=07 act=DA9DC0E9A7CBD5A010 exp=DA9DC0E9A7CBD5A010 + 443.50ns INFO [00000445] Port=0 RD @06 + 443.50ns INFO [00000445] Port=1 RD @00 + 444.50ns INFO [00000446] Port=0 WR @05=414AA00914B2525A6F + 445.50ns INFO [00000447] * RD COMPARE * port=0 adr=06 act=02E41ACD5E85E34E62 exp=02E41ACD5E85E34E62 + 445.50ns INFO [00000447] * RD COMPARE * port=1 adr=00 act=CF8D94CB9FCCD47F2D exp=CF8D94CB9FCCD47F2D + 445.50ns INFO [00000447] Port=0 WR @06=1F1391DF9ABC0EEAE2 + 445.50ns INFO [00000447] Port=0 RD @04 + 446.50ns INFO [00000448] Port=0 RD @00 + 447.50ns INFO [00000449] * RD COMPARE * port=0 adr=04 act=248E6431C862F72B35 exp=248E6431C862F72B35 + 447.50ns INFO [00000449] Port=1 RD @07 + 448.50ns INFO [00000450] * RD COMPARE * port=0 adr=00 act=CF8D94CB9FCCD47F2D exp=CF8D94CB9FCCD47F2D + 448.50ns INFO [00000450] Port=0 WR @03=B851F5EBDEBB0DC01A + 448.50ns INFO [00000450] Port=0 RD @01 + 449.50ns INFO [00000451] * RD COMPARE * port=1 adr=07 act=DA9DC0E9A7CBD5A010 exp=DA9DC0E9A7CBD5A010 + 449.50ns INFO [00000451] Port=0 RD @06 + 449.50ns INFO [00000451] Port=1 RD @07 + 450.50ns INFO [00000452] * RD COMPARE * port=0 adr=01 act=AEDD2B28018DF47845 exp=AEDD2B28018DF47845 + 451.50ns INFO [00000453] * RD COMPARE * port=0 adr=06 act=1F1391DF9ABC0EEAE2 exp=1F1391DF9ABC0EEAE2 + 451.50ns INFO [00000453] * RD COMPARE * port=1 adr=07 act=DA9DC0E9A7CBD5A010 exp=DA9DC0E9A7CBD5A010 + 452.50ns INFO [00000454] Port=0 WR @07=EB576AE693642EC686 + 453.50ns INFO [00000455] Port=0 RD @01 + 453.50ns INFO [00000455] Port=1 RD @00 + 454.50ns INFO [00000456] Port=0 RD @02 + 454.50ns INFO [00000456] Port=1 RD @02 + 455.50ns INFO [00000457] * RD COMPARE * port=0 adr=01 act=AEDD2B28018DF47845 exp=AEDD2B28018DF47845 + 455.50ns INFO [00000457] * RD COMPARE * port=1 adr=00 act=CF8D94CB9FCCD47F2D exp=CF8D94CB9FCCD47F2D + 455.50ns INFO [00000457] Port=0 WR @07=A696F2F16DB234CE62 + 455.50ns INFO [00000457] Port=0 RD @05 + 455.50ns INFO [00000457] Port=1 RD @02 + 456.50ns INFO [00000458] * RD COMPARE * port=0 adr=02 act=512DEEC489A76CE0A1 exp=512DEEC489A76CE0A1 + 456.50ns INFO [00000458] * RD COMPARE * port=1 adr=02 act=512DEEC489A76CE0A1 exp=512DEEC489A76CE0A1 + 456.50ns INFO [00000458] Port=0 WR @05=D4365D74678EDFF7D0 + 457.50ns INFO [00000459] * RD COMPARE * port=0 adr=05 act=414AA00914B2525A6F exp=414AA00914B2525A6F + 457.50ns INFO [00000459] * RD COMPARE * port=1 adr=02 act=512DEEC489A76CE0A1 exp=512DEEC489A76CE0A1 + 458.50ns INFO [00000460] Port=0 RD @01 + 460.50ns INFO [00000462] * RD COMPARE * port=0 adr=01 act=AEDD2B28018DF47845 exp=AEDD2B28018DF47845 + 460.50ns INFO [00000462] Port=0 RD @06 + 461.50ns INFO [00000463] Port=0 WR @01=AE41980429FFE6A729 + 462.50ns INFO [00000464] * RD COMPARE * port=0 adr=06 act=1F1391DF9ABC0EEAE2 exp=1F1391DF9ABC0EEAE2 + 462.50ns INFO [00000464] Port=1 RD @07 + 463.50ns INFO [00000465] Port=0 RD @03 + 464.50ns INFO [00000466] * RD COMPARE * port=1 adr=07 act=A696F2F16DB234CE62 exp=A696F2F16DB234CE62 + 465.50ns INFO [00000467] * RD COMPARE * port=0 adr=03 act=B851F5EBDEBB0DC01A exp=B851F5EBDEBB0DC01A + 465.50ns INFO [00000467] Port=0 RD @04 + 466.50ns INFO [00000468] Port=0 WR @05=FD340C7FE7E3616CDB + 467.50ns INFO [00000469] * RD COMPARE * port=0 adr=04 act=248E6431C862F72B35 exp=248E6431C862F72B35 + 467.50ns INFO [00000469] Port=0 RD @01 + 467.50ns INFO [00000469] Port=1 RD @03 + 468.50ns INFO [00000470] Port=0 WR @05=68618FA6BEA0BDA38B + 469.50ns INFO [00000471] * RD COMPARE * port=0 adr=01 act=AE41980429FFE6A729 exp=AE41980429FFE6A729 + 469.50ns INFO [00000471] * RD COMPARE * port=1 adr=03 act=B851F5EBDEBB0DC01A exp=B851F5EBDEBB0DC01A + 469.50ns INFO [00000471] Port=1 RD @06 + 470.50ns INFO [00000472] Port=0 WR @07=7368051F5A82ADDB29 + 470.50ns INFO [00000472] Port=1 RD @03 + 471.50ns INFO [00000473] * RD COMPARE * port=1 adr=06 act=1F1391DF9ABC0EEAE2 exp=1F1391DF9ABC0EEAE2 + 471.50ns INFO [00000473] Port=1 RD @00 + 472.50ns INFO [00000474] * RD COMPARE * port=1 adr=03 act=B851F5EBDEBB0DC01A exp=B851F5EBDEBB0DC01A + 472.50ns INFO [00000474] Port=0 WR @05=AAFE8702818B4533D5 + 472.50ns INFO [00000474] Port=0 RD @03 + 473.50ns INFO [00000475] * RD COMPARE * port=1 adr=00 act=CF8D94CB9FCCD47F2D exp=CF8D94CB9FCCD47F2D + 473.50ns INFO [00000475] Port=0 WR @05=5F1A5B89AF0B79F102 + 473.50ns INFO [00000475] Port=0 RD @02 + 473.50ns INFO [00000475] Port=1 RD @04 + 474.50ns INFO [00000476] * RD COMPARE * port=0 adr=03 act=B851F5EBDEBB0DC01A exp=B851F5EBDEBB0DC01A + 475.50ns INFO [00000477] * RD COMPARE * port=0 adr=02 act=512DEEC489A76CE0A1 exp=512DEEC489A76CE0A1 + 475.50ns INFO [00000477] * RD COMPARE * port=1 adr=04 act=248E6431C862F72B35 exp=248E6431C862F72B35 + 476.50ns INFO [00000478] Port=0 RD @04 + 476.50ns INFO [00000478] Port=1 RD @07 + 477.50ns INFO [00000479] Port=0 WR @07=D3CA0A329798425DCC + 478.50ns INFO [00000480] * RD COMPARE * port=0 adr=04 act=248E6431C862F72B35 exp=248E6431C862F72B35 + 478.50ns INFO [00000480] * RD COMPARE * port=1 adr=07 act=7368051F5A82ADDB29 exp=7368051F5A82ADDB29 + 478.50ns INFO [00000480] Port=0 WR @03=4737D9E9B60279A47B + 480.50ns INFO [00000482] Port=0 WR @07=9E0A6B2B2E48A9A935 + 482.50ns INFO [00000484] Port=1 RD @03 + 483.50ns INFO [00000485] Port=1 RD @07 + 484.50ns INFO [00000486] * RD COMPARE * port=1 adr=03 act=4737D9E9B60279A47B exp=4737D9E9B60279A47B + 484.50ns INFO [00000486] Port=0 RD @01 + 484.50ns INFO [00000486] Port=1 RD @05 + 485.50ns INFO [00000487] * RD COMPARE * port=1 adr=07 act=9E0A6B2B2E48A9A935 exp=9E0A6B2B2E48A9A935 + 486.50ns INFO [00000488] * RD COMPARE * port=0 adr=01 act=AE41980429FFE6A729 exp=AE41980429FFE6A729 + 486.50ns INFO [00000488] * RD COMPARE * port=1 adr=05 act=5F1A5B89AF0B79F102 exp=5F1A5B89AF0B79F102 + 486.50ns INFO [00000488] Port=0 WR @06=20D3D556B2B5D05385 + 486.50ns INFO [00000488] Port=1 RD @01 + 487.50ns INFO [00000489] Port=1 RD @01 + 488.50ns INFO [00000490] * RD COMPARE * port=1 adr=01 act=AE41980429FFE6A729 exp=AE41980429FFE6A729 + 488.50ns INFO [00000490] Port=0 RD @02 + 488.50ns INFO [00000490] Port=1 RD @00 + 489.50ns INFO [00000491] * RD COMPARE * port=1 adr=01 act=AE41980429FFE6A729 exp=AE41980429FFE6A729 + 489.50ns INFO [00000491] Port=1 RD @00 + 490.50ns INFO [00000492] * RD COMPARE * port=0 adr=02 act=512DEEC489A76CE0A1 exp=512DEEC489A76CE0A1 + 490.50ns INFO [00000492] * RD COMPARE * port=1 adr=00 act=CF8D94CB9FCCD47F2D exp=CF8D94CB9FCCD47F2D + 490.50ns INFO [00000492] Port=0 WR @06=D1DFCA4BB4A64FAFA2 + 491.50ns INFO [00000493] * RD COMPARE * port=1 adr=00 act=CF8D94CB9FCCD47F2D exp=CF8D94CB9FCCD47F2D + 491.50ns INFO [00000493] Port=0 RD @03 + 493.50ns INFO [00000495] * RD COMPARE * port=0 adr=03 act=4737D9E9B60279A47B exp=4737D9E9B60279A47B + 493.50ns INFO [00000495] Port=1 RD @01 + 495.50ns INFO [00000497] * RD COMPARE * port=1 adr=01 act=AE41980429FFE6A729 exp=AE41980429FFE6A729 + 495.50ns INFO [00000497] Port=1 RD @03 + 496.50ns INFO [00000498] Port=0 WR @02=8335E2B56E7DDC563A + 496.50ns INFO [00000498] Port=0 RD @01 + 497.50ns INFO [00000499] * RD COMPARE * port=1 adr=03 act=4737D9E9B60279A47B exp=4737D9E9B60279A47B + 497.50ns INFO [00000499] Port=0 WR @04=99D717299C9AB19E65 + 497.50ns INFO [00000499] Port=0 RD @01 + 497.50ns INFO [00000499] Port=1 RD @02 + 498.00ns INFO [00000500] [00000500] ...tick... + 498.50ns INFO [00000500] * RD COMPARE * port=0 adr=01 act=AE41980429FFE6A729 exp=AE41980429FFE6A729 + 498.50ns INFO [00000500] Port=1 RD @01 + 499.50ns INFO [00000501] * RD COMPARE * port=0 adr=01 act=AE41980429FFE6A729 exp=AE41980429FFE6A729 + 499.50ns INFO [00000501] * RD COMPARE * port=1 adr=02 act=8335E2B56E7DDC563A exp=8335E2B56E7DDC563A + 499.50ns INFO [00000501] Port=0 WR @03=B0026A390DCA2BEFDE + 499.50ns INFO [00000501] Port=1 RD @01 + 500.50ns INFO [00000502] * RD COMPARE * port=1 adr=01 act=AE41980429FFE6A729 exp=AE41980429FFE6A729 + 501.50ns INFO [00000503] * RD COMPARE * port=1 adr=01 act=AE41980429FFE6A729 exp=AE41980429FFE6A729 + 501.50ns INFO [00000503] Port=1 RD @02 + 502.50ns INFO [00000504] Port=0 WR @05=26DA0F7B5C59865104 + 502.50ns INFO [00000504] Port=0 RD @06 + 502.50ns INFO [00000504] Port=1 RD @03 + 503.50ns INFO [00000505] * RD COMPARE * port=1 adr=02 act=8335E2B56E7DDC563A exp=8335E2B56E7DDC563A + 504.50ns INFO [00000506] * RD COMPARE * port=0 adr=06 act=D1DFCA4BB4A64FAFA2 exp=D1DFCA4BB4A64FAFA2 + 504.50ns INFO [00000506] * RD COMPARE * port=1 adr=03 act=B0026A390DCA2BEFDE exp=B0026A390DCA2BEFDE + 504.50ns INFO [00000506] Port=0 WR @01=7897FB3F1651BAE26D + 505.50ns INFO [00000507] Port=1 RD @02 + 506.50ns INFO [00000508] Port=0 WR @06=1EB77E6DF32093BE02 + 506.50ns INFO [00000508] Port=0 RD @02 + 506.50ns INFO [00000508] Port=1 RD @01 + 507.50ns INFO [00000509] * RD COMPARE * port=1 adr=02 act=8335E2B56E7DDC563A exp=8335E2B56E7DDC563A + 507.50ns INFO [00000509] Port=0 WR @03=5B32B8FF0ACCF07542 + 508.50ns INFO [00000510] * RD COMPARE * port=0 adr=02 act=8335E2B56E7DDC563A exp=8335E2B56E7DDC563A + 508.50ns INFO [00000510] * RD COMPARE * port=1 adr=01 act=7897FB3F1651BAE26D exp=7897FB3F1651BAE26D + 508.50ns INFO [00000510] Port=0 WR @03=9BD3FC0E82B2E7D31C + 508.50ns INFO [00000510] Port=0 RD @05 + 508.50ns INFO [00000510] Port=1 RD @02 + 509.50ns INFO [00000511] Port=0 WR @05=AE061C969D7C94A041 + 510.50ns INFO [00000512] * RD COMPARE * port=0 adr=05 act=26DA0F7B5C59865104 exp=26DA0F7B5C59865104 + 510.50ns INFO [00000512] * RD COMPARE * port=1 adr=02 act=8335E2B56E7DDC563A exp=8335E2B56E7DDC563A + 510.50ns INFO [00000512] Port=0 RD @03 + 510.50ns INFO [00000512] Port=1 RD @02 + 511.50ns INFO [00000513] Port=0 WR @01=BFCC38E651E01C25D3 + 512.50ns INFO [00000514] * RD COMPARE * port=0 adr=03 act=9BD3FC0E82B2E7D31C exp=9BD3FC0E82B2E7D31C + 512.50ns INFO [00000514] * RD COMPARE * port=1 adr=02 act=8335E2B56E7DDC563A exp=8335E2B56E7DDC563A + 512.50ns INFO [00000514] Port=0 WR @02=83280739FC50CD30C8 + 513.50ns INFO [00000515] Port=0 WR @05=062F3BB8D4291AE359 + 513.50ns INFO [00000515] Port=0 RD @03 + 514.50ns INFO [00000516] Port=0 WR @04=6AFEDF859166011947 + 515.50ns INFO [00000517] * RD COMPARE * port=0 adr=03 act=9BD3FC0E82B2E7D31C exp=9BD3FC0E82B2E7D31C + 515.50ns INFO [00000517] Port=0 WR @00=6D8FDB4413AAEC82C9 + 515.50ns INFO [00000517] Port=1 RD @07 + 516.50ns INFO [00000518] Port=0 WR @03=98BAFDFEAEAAEB1C27 + 516.50ns INFO [00000518] Port=0 RD @04 + 516.50ns INFO [00000518] Port=1 RD @07 + 517.50ns INFO [00000519] * RD COMPARE * port=1 adr=07 act=9E0A6B2B2E48A9A935 exp=9E0A6B2B2E48A9A935 + 518.50ns INFO [00000520] * RD COMPARE * port=0 adr=04 act=6AFEDF859166011947 exp=6AFEDF859166011947 + 518.50ns INFO [00000520] * RD COMPARE * port=1 adr=07 act=9E0A6B2B2E48A9A935 exp=9E0A6B2B2E48A9A935 + 518.50ns INFO [00000520] Port=0 WR @03=CAFEC487C291270018 + 518.50ns INFO [00000520] Port=0 RD @07 + 518.50ns INFO [00000520] Port=1 RD @06 + 519.50ns INFO [00000521] Port=1 RD @06 + 520.50ns INFO [00000522] * RD COMPARE * port=0 adr=07 act=9E0A6B2B2E48A9A935 exp=9E0A6B2B2E48A9A935 + 520.50ns INFO [00000522] * RD COMPARE * port=1 adr=06 act=1EB77E6DF32093BE02 exp=1EB77E6DF32093BE02 + 520.50ns INFO [00000522] Port=0 RD @01 + 520.50ns INFO [00000522] Port=1 RD @01 + 521.50ns INFO [00000523] * RD COMPARE * port=1 adr=06 act=1EB77E6DF32093BE02 exp=1EB77E6DF32093BE02 + 521.50ns INFO [00000523] Port=1 RD @05 + 522.50ns INFO [00000524] * RD COMPARE * port=0 adr=01 act=BFCC38E651E01C25D3 exp=BFCC38E651E01C25D3 + 522.50ns INFO [00000524] * RD COMPARE * port=1 adr=01 act=BFCC38E651E01C25D3 exp=BFCC38E651E01C25D3 + 522.50ns INFO [00000524] Port=0 WR @05=3A0FBD9D7C0CFC3B68 + 522.50ns INFO [00000524] Port=0 RD @03 + 522.50ns INFO [00000524] Port=1 RD @03 + 523.50ns INFO [00000525] * RD COMPARE * port=1 adr=05 act=062F3BB8D4291AE359 exp=062F3BB8D4291AE359 + 523.50ns INFO [00000525] Port=0 WR @04=0B3B4E90EAB7E8200D + 523.50ns INFO [00000525] Port=0 RD @03 + 523.50ns INFO [00000525] Port=1 RD @07 + 524.50ns INFO [00000526] * RD COMPARE * port=0 adr=03 act=CAFEC487C291270018 exp=CAFEC487C291270018 + 524.50ns INFO [00000526] * RD COMPARE * port=1 adr=03 act=CAFEC487C291270018 exp=CAFEC487C291270018 + 524.50ns INFO [00000526] Port=0 WR @06=8B920AD6EB846FAF5C + 525.50ns INFO [00000527] * RD COMPARE * port=0 adr=03 act=CAFEC487C291270018 exp=CAFEC487C291270018 + 525.50ns INFO [00000527] * RD COMPARE * port=1 adr=07 act=9E0A6B2B2E48A9A935 exp=9E0A6B2B2E48A9A935 + 527.50ns INFO [00000529] Port=1 RD @07 + 528.50ns INFO [00000530] Port=1 RD @06 + 529.50ns INFO [00000531] * RD COMPARE * port=1 adr=07 act=9E0A6B2B2E48A9A935 exp=9E0A6B2B2E48A9A935 + 529.50ns INFO [00000531] Port=1 RD @02 + 530.50ns INFO [00000532] * RD COMPARE * port=1 adr=06 act=8B920AD6EB846FAF5C exp=8B920AD6EB846FAF5C + 531.50ns INFO [00000533] * RD COMPARE * port=1 adr=02 act=83280739FC50CD30C8 exp=83280739FC50CD30C8 + 531.50ns INFO [00000533] Port=1 RD @04 + 532.50ns INFO [00000534] Port=0 WR @03=36EA36D108B4F10FFA + 532.50ns INFO [00000534] Port=0 RD @01 + 533.50ns INFO [00000535] * RD COMPARE * port=1 adr=04 act=0B3B4E90EAB7E8200D exp=0B3B4E90EAB7E8200D + 534.50ns INFO [00000536] * RD COMPARE * port=0 adr=01 act=BFCC38E651E01C25D3 exp=BFCC38E651E01C25D3 + 534.50ns INFO [00000536] Port=0 RD @04 + 535.50ns INFO [00000537] Port=0 WR @02=9A5164F3948E4B8F4C + 536.50ns INFO [00000538] * RD COMPARE * port=0 adr=04 act=0B3B4E90EAB7E8200D exp=0B3B4E90EAB7E8200D + 536.50ns INFO [00000538] Port=0 WR @04=80BA385DD887B875AA + 537.50ns INFO [00000539] Port=0 RD @03 + 539.50ns INFO [00000541] * RD COMPARE * port=0 adr=03 act=36EA36D108B4F10FFA exp=36EA36D108B4F10FFA + 539.50ns INFO [00000541] Port=1 RD @01 + 540.50ns INFO [00000542] Port=0 RD @02 + 541.50ns INFO [00000543] * RD COMPARE * port=1 adr=01 act=BFCC38E651E01C25D3 exp=BFCC38E651E01C25D3 + 541.50ns INFO [00000543] Port=0 RD @02 + 542.50ns INFO [00000544] * RD COMPARE * port=0 adr=02 act=9A5164F3948E4B8F4C exp=9A5164F3948E4B8F4C + 543.50ns INFO [00000545] * RD COMPARE * port=0 adr=02 act=9A5164F3948E4B8F4C exp=9A5164F3948E4B8F4C + 543.50ns INFO [00000545] Port=0 RD @06 + 545.50ns INFO [00000547] * RD COMPARE * port=0 adr=06 act=8B920AD6EB846FAF5C exp=8B920AD6EB846FAF5C + 545.50ns INFO [00000547] Port=0 WR @01=7B1E19E2C96E7C58D8 + 545.50ns INFO [00000547] Port=0 RD @05 + 547.50ns INFO [00000549] * RD COMPARE * port=0 adr=05 act=3A0FBD9D7C0CFC3B68 exp=3A0FBD9D7C0CFC3B68 + 547.50ns INFO [00000549] Port=0 RD @01 + 549.50ns INFO [00000551] * RD COMPARE * port=0 adr=01 act=7B1E19E2C96E7C58D8 exp=7B1E19E2C96E7C58D8 + 549.50ns INFO [00000551] Port=0 RD @00 + 551.50ns INFO [00000553] * RD COMPARE * port=0 adr=00 act=6D8FDB4413AAEC82C9 exp=6D8FDB4413AAEC82C9 + 551.50ns INFO [00000553] Port=0 WR @05=5F19FCD17EB83BD9C5 + 551.50ns INFO [00000553] Port=0 RD @01 + 551.50ns INFO [00000553] Port=1 RD @06 + 553.50ns INFO [00000555] * RD COMPARE * port=0 adr=01 act=7B1E19E2C96E7C58D8 exp=7B1E19E2C96E7C58D8 + 553.50ns INFO [00000555] * RD COMPARE * port=1 adr=06 act=8B920AD6EB846FAF5C exp=8B920AD6EB846FAF5C + 553.50ns INFO [00000555] Port=0 WR @01=39D6A31061E247FA3B + 553.50ns INFO [00000555] Port=1 RD @07 + 554.50ns INFO [00000556] Port=0 RD @02 + 555.50ns INFO [00000557] * RD COMPARE * port=1 adr=07 act=9E0A6B2B2E48A9A935 exp=9E0A6B2B2E48A9A935 + 555.50ns INFO [00000557] Port=0 RD @02 + 556.50ns INFO [00000558] * RD COMPARE * port=0 adr=02 act=9A5164F3948E4B8F4C exp=9A5164F3948E4B8F4C + 556.50ns INFO [00000558] Port=0 RD @03 + 556.50ns INFO [00000558] Port=1 RD @03 + 557.50ns INFO [00000559] * RD COMPARE * port=0 adr=02 act=9A5164F3948E4B8F4C exp=9A5164F3948E4B8F4C + 557.50ns INFO [00000559] Port=0 WR @03=A6309DD7CB875B7EE3 + 558.50ns INFO [00000560] * RD COMPARE * port=0 adr=03 act=36EA36D108B4F10FFA exp=36EA36D108B4F10FFA + 558.50ns INFO [00000560] * RD COMPARE * port=1 adr=03 act=36EA36D108B4F10FFA exp=36EA36D108B4F10FFA + 558.50ns INFO [00000560] Port=0 WR @01=B510530F9DE6A61C83 + 559.50ns INFO [00000561] Port=1 RD @00 + 560.50ns INFO [00000562] Port=0 WR @04=D039BFC385E7ED3BD7 + 560.50ns INFO [00000562] Port=0 RD @00 + 561.50ns INFO [00000563] * RD COMPARE * port=1 adr=00 act=6D8FDB4413AAEC82C9 exp=6D8FDB4413AAEC82C9 + 561.50ns INFO [00000563] Port=0 WR @05=E02BECFF8ACDDC7E95 + 561.50ns INFO [00000563] Port=0 RD @07 + 562.50ns INFO [00000564] * RD COMPARE * port=0 adr=00 act=6D8FDB4413AAEC82C9 exp=6D8FDB4413AAEC82C9 + 562.50ns INFO [00000564] Port=1 RD @03 + 563.50ns INFO [00000565] * RD COMPARE * port=0 adr=07 act=9E0A6B2B2E48A9A935 exp=9E0A6B2B2E48A9A935 + 563.50ns INFO [00000565] Port=0 WR @05=C3732E402C5BF64E6B + 564.50ns INFO [00000566] * RD COMPARE * port=1 adr=03 act=A6309DD7CB875B7EE3 exp=A6309DD7CB875B7EE3 + 564.50ns INFO [00000566] Port=0 WR @02=497A8B0495DD6EBBB0 + 564.50ns INFO [00000566] Port=0 RD @03 + 565.50ns INFO [00000567] Port=0 WR @05=618C50B8E0C9C07659 + 565.50ns INFO [00000567] Port=0 RD @03 + 566.50ns INFO [00000568] * RD COMPARE * port=0 adr=03 act=A6309DD7CB875B7EE3 exp=A6309DD7CB875B7EE3 + 566.50ns INFO [00000568] Port=0 WR @07=4C525EDFCC27E4375A + 567.50ns INFO [00000569] * RD COMPARE * port=0 adr=03 act=A6309DD7CB875B7EE3 exp=A6309DD7CB875B7EE3 + 567.50ns INFO [00000569] Port=1 RD @04 + 568.50ns INFO [00000570] Port=0 WR @04=281C71CB296A9A1069 + 568.50ns INFO [00000570] Port=0 RD @03 + 568.50ns INFO [00000570] Port=1 RD @05 + 569.50ns INFO [00000571] * RD COMPARE * port=1 adr=04 act=D039BFC385E7ED3BD7 exp=D039BFC385E7ED3BD7 + 570.50ns INFO [00000572] * RD COMPARE * port=0 adr=03 act=A6309DD7CB875B7EE3 exp=A6309DD7CB875B7EE3 + 570.50ns INFO [00000572] * RD COMPARE * port=1 adr=05 act=618C50B8E0C9C07659 exp=618C50B8E0C9C07659 + 571.50ns INFO [00000573] Port=0 RD @02 + 572.50ns INFO [00000574] Port=0 WR @07=8322C07149F9D4C34D + 572.50ns INFO [00000574] Port=0 RD @01 + 573.50ns INFO [00000575] * RD COMPARE * port=0 adr=02 act=497A8B0495DD6EBBB0 exp=497A8B0495DD6EBBB0 + 573.50ns INFO [00000575] Port=0 RD @03 + 574.50ns INFO [00000576] * RD COMPARE * port=0 adr=01 act=B510530F9DE6A61C83 exp=B510530F9DE6A61C83 + 574.50ns INFO [00000576] Port=1 RD @01 + 575.50ns INFO [00000577] * RD COMPARE * port=0 adr=03 act=A6309DD7CB875B7EE3 exp=A6309DD7CB875B7EE3 + 575.50ns INFO [00000577] Port=1 RD @03 + 576.50ns INFO [00000578] * RD COMPARE * port=1 adr=01 act=B510530F9DE6A61C83 exp=B510530F9DE6A61C83 + 576.50ns INFO [00000578] Port=0 WR @07=FDDEBFE72C2F43947C + 576.50ns INFO [00000578] Port=0 RD @04 + 577.50ns INFO [00000579] * RD COMPARE * port=1 adr=03 act=A6309DD7CB875B7EE3 exp=A6309DD7CB875B7EE3 + 577.50ns INFO [00000579] Port=0 WR @06=555CB9FC2960286E8B + 578.50ns INFO [00000580] * RD COMPARE * port=0 adr=04 act=281C71CB296A9A1069 exp=281C71CB296A9A1069 + 578.50ns INFO [00000580] Port=0 RD @07 + 579.50ns INFO [00000581] Port=0 WR @06=C22203109C8999CBC7 + 579.50ns INFO [00000581] Port=0 RD @03 + 580.50ns INFO [00000582] * RD COMPARE * port=0 adr=07 act=FDDEBFE72C2F43947C exp=FDDEBFE72C2F43947C + 580.50ns INFO [00000582] Port=0 RD @00 + 581.50ns INFO [00000583] * RD COMPARE * port=0 adr=03 act=A6309DD7CB875B7EE3 exp=A6309DD7CB875B7EE3 + 581.50ns INFO [00000583] Port=0 RD @04 + 581.50ns INFO [00000583] Port=1 RD @05 + 582.50ns INFO [00000584] * RD COMPARE * port=0 adr=00 act=6D8FDB4413AAEC82C9 exp=6D8FDB4413AAEC82C9 + 582.50ns INFO [00000584] Port=1 RD @03 + 583.50ns INFO [00000585] * RD COMPARE * port=0 adr=04 act=281C71CB296A9A1069 exp=281C71CB296A9A1069 + 583.50ns INFO [00000585] * RD COMPARE * port=1 adr=05 act=618C50B8E0C9C07659 exp=618C50B8E0C9C07659 + 583.50ns INFO [00000585] Port=0 RD @01 + 583.50ns INFO [00000585] Port=1 RD @00 + 584.50ns INFO [00000586] * RD COMPARE * port=1 adr=03 act=A6309DD7CB875B7EE3 exp=A6309DD7CB875B7EE3 + 584.50ns INFO [00000586] Port=1 RD @07 + 585.50ns INFO [00000587] * RD COMPARE * port=0 adr=01 act=B510530F9DE6A61C83 exp=B510530F9DE6A61C83 + 585.50ns INFO [00000587] * RD COMPARE * port=1 adr=00 act=6D8FDB4413AAEC82C9 exp=6D8FDB4413AAEC82C9 + 585.50ns INFO [00000587] Port=1 RD @02 + 586.50ns INFO [00000588] * RD COMPARE * port=1 adr=07 act=FDDEBFE72C2F43947C exp=FDDEBFE72C2F43947C + 586.50ns INFO [00000588] Port=0 RD @00 + 587.50ns INFO [00000589] * RD COMPARE * port=1 adr=02 act=497A8B0495DD6EBBB0 exp=497A8B0495DD6EBBB0 + 587.50ns INFO [00000589] Port=0 WR @06=D48756AE4D11913EEB + 587.50ns INFO [00000589] Port=0 RD @05 + 588.50ns INFO [00000590] * RD COMPARE * port=0 adr=00 act=6D8FDB4413AAEC82C9 exp=6D8FDB4413AAEC82C9 + 589.50ns INFO [00000591] * RD COMPARE * port=0 adr=05 act=618C50B8E0C9C07659 exp=618C50B8E0C9C07659 + 590.50ns INFO [00000592] Port=1 RD @04 + 591.50ns INFO [00000593] Port=1 RD @01 + 592.50ns INFO [00000594] * RD COMPARE * port=1 adr=04 act=281C71CB296A9A1069 exp=281C71CB296A9A1069 + 592.50ns INFO [00000594] Port=0 RD @03 + 593.50ns INFO [00000595] * RD COMPARE * port=1 adr=01 act=B510530F9DE6A61C83 exp=B510530F9DE6A61C83 + 593.50ns INFO [00000595] Port=0 WR @00=4C1AFD8DEBCF135AD2 + 593.50ns INFO [00000595] Port=1 RD @04 + 594.50ns INFO [00000596] * RD COMPARE * port=0 adr=03 act=A6309DD7CB875B7EE3 exp=A6309DD7CB875B7EE3 + 594.50ns INFO [00000596] Port=0 WR @04=2AAEFC669AA288035E + 595.50ns INFO [00000597] * RD COMPARE * port=1 adr=04 act=281C71CB296A9A1069 exp=281C71CB296A9A1069 + 595.50ns INFO [00000597] Port=0 RD @02 + 596.50ns INFO [00000598] Port=0 RD @07 + 596.50ns INFO [00000598] Port=1 RD @03 + 597.50ns INFO [00000599] * RD COMPARE * port=0 adr=02 act=497A8B0495DD6EBBB0 exp=497A8B0495DD6EBBB0 + 597.50ns INFO [00000599] Port=0 WR @06=F3C256127F9FE34051 + 598.00ns INFO [00000600] [00000600] ...tick... + 598.50ns INFO [00000600] * RD COMPARE * port=0 adr=07 act=FDDEBFE72C2F43947C exp=FDDEBFE72C2F43947C + 598.50ns INFO [00000600] * RD COMPARE * port=1 adr=03 act=A6309DD7CB875B7EE3 exp=A6309DD7CB875B7EE3 + 598.50ns INFO [00000600] Port=0 WR @00=A1CA916CB6B31B7D00 + 599.50ns INFO [00000601] Port=0 WR @03=B3CE366CFA1D172398 + 599.50ns INFO [00000601] Port=0 RD @00 + 601.50ns INFO [00000603] * RD COMPARE * port=0 adr=00 act=A1CA916CB6B31B7D00 exp=A1CA916CB6B31B7D00 + 602.50ns INFO [00000604] Port=1 RD @06 + 603.50ns INFO [00000605] Port=0 WR @05=7BB0DD463D0304B9BF + 603.50ns INFO [00000605] Port=1 RD @00 + 604.50ns INFO [00000606] * RD COMPARE * port=1 adr=06 act=F3C256127F9FE34051 exp=F3C256127F9FE34051 + 604.50ns INFO [00000606] Port=0 WR @05=867C59F7E92CD54868 + 605.50ns INFO [00000607] * RD COMPARE * port=1 adr=00 act=A1CA916CB6B31B7D00 exp=A1CA916CB6B31B7D00 + 605.50ns INFO [00000607] Port=0 WR @05=70FD50307222B17599 + 606.50ns INFO [00000608] Port=0 WR @00=7886E79FA8420ED3FD + 606.50ns INFO [00000608] Port=0 RD @05 + 606.50ns INFO [00000608] Port=1 RD @03 + 608.50ns INFO [00000610] * RD COMPARE * port=0 adr=05 act=70FD50307222B17599 exp=70FD50307222B17599 + 608.50ns INFO [00000610] * RD COMPARE * port=1 adr=03 act=B3CE366CFA1D172398 exp=B3CE366CFA1D172398 + 608.50ns INFO [00000610] Port=0 WR @06=5B3C7E10A39094FE30 + 608.50ns INFO [00000610] Port=0 RD @03 + 608.50ns INFO [00000610] Port=1 RD @02 + 609.50ns INFO [00000611] Port=1 RD @06 + 610.50ns INFO [00000612] * RD COMPARE * port=0 adr=03 act=B3CE366CFA1D172398 exp=B3CE366CFA1D172398 + 610.50ns INFO [00000612] * RD COMPARE * port=1 adr=02 act=497A8B0495DD6EBBB0 exp=497A8B0495DD6EBBB0 + 610.50ns INFO [00000612] Port=0 RD @00 + 611.50ns INFO [00000613] * RD COMPARE * port=1 adr=06 act=5B3C7E10A39094FE30 exp=5B3C7E10A39094FE30 + 612.50ns INFO [00000614] * RD COMPARE * port=0 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 612.50ns INFO [00000614] Port=1 RD @03 + 613.50ns INFO [00000615] Port=0 WR @07=B3FC2E981A9C042136 + 614.50ns INFO [00000616] * RD COMPARE * port=1 adr=03 act=B3CE366CFA1D172398 exp=B3CE366CFA1D172398 + 615.50ns INFO [00000617] Port=0 RD @07 + 616.50ns INFO [00000618] Port=0 WR @04=C985BF7E8C095C61D8 + 617.50ns INFO [00000619] * RD COMPARE * port=0 adr=07 act=B3FC2E981A9C042136 exp=B3FC2E981A9C042136 + 617.50ns INFO [00000619] Port=0 WR @06=BA5D17E3177AA9F915 + 618.50ns INFO [00000620] Port=0 RD @07 + 618.50ns INFO [00000620] Port=1 RD @00 + 619.50ns INFO [00000621] Port=1 RD @06 + 620.50ns INFO [00000622] * RD COMPARE * port=0 adr=07 act=B3FC2E981A9C042136 exp=B3FC2E981A9C042136 + 620.50ns INFO [00000622] * RD COMPARE * port=1 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 621.50ns INFO [00000623] * RD COMPARE * port=1 adr=06 act=BA5D17E3177AA9F915 exp=BA5D17E3177AA9F915 + 621.50ns INFO [00000623] Port=1 RD @00 + 623.50ns INFO [00000625] * RD COMPARE * port=1 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 624.50ns INFO [00000626] Port=0 WR @01=BF8D1F44948174D991 + 625.50ns INFO [00000627] Port=0 WR @04=945491BAB23E8C4251 + 626.50ns INFO [00000628] Port=0 WR @01=2A20D33913533B5C8F + 626.50ns INFO [00000628] Port=0 RD @03 + 626.50ns INFO [00000628] Port=1 RD @06 + 628.50ns INFO [00000630] * RD COMPARE * port=0 adr=03 act=B3CE366CFA1D172398 exp=B3CE366CFA1D172398 + 628.50ns INFO [00000630] * RD COMPARE * port=1 adr=06 act=BA5D17E3177AA9F915 exp=BA5D17E3177AA9F915 + 628.50ns INFO [00000630] Port=1 RD @06 + 629.50ns INFO [00000631] Port=1 RD @06 + 630.50ns INFO [00000632] * RD COMPARE * port=1 adr=06 act=BA5D17E3177AA9F915 exp=BA5D17E3177AA9F915 + 631.50ns INFO [00000633] * RD COMPARE * port=1 adr=06 act=BA5D17E3177AA9F915 exp=BA5D17E3177AA9F915 + 631.50ns INFO [00000633] Port=0 WR @06=D1A030536729761CFC + 631.50ns INFO [00000633] Port=1 RD @03 + 632.50ns INFO [00000634] Port=1 RD @03 + 633.50ns INFO [00000635] * RD COMPARE * port=1 adr=03 act=B3CE366CFA1D172398 exp=B3CE366CFA1D172398 + 633.50ns INFO [00000635] Port=0 WR @02=DDC9AA76BE1CE77FED + 634.50ns INFO [00000636] * RD COMPARE * port=1 adr=03 act=B3CE366CFA1D172398 exp=B3CE366CFA1D172398 + 634.50ns INFO [00000636] Port=0 RD @03 + 635.50ns INFO [00000637] Port=0 WR @01=C063D60C5A877E2F1E + 635.50ns INFO [00000637] Port=0 RD @04 + 635.50ns INFO [00000637] Port=1 RD @00 + 636.50ns INFO [00000638] * RD COMPARE * port=0 adr=03 act=B3CE366CFA1D172398 exp=B3CE366CFA1D172398 + 637.50ns INFO [00000639] * RD COMPARE * port=0 adr=04 act=945491BAB23E8C4251 exp=945491BAB23E8C4251 + 637.50ns INFO [00000639] * RD COMPARE * port=1 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 637.50ns INFO [00000639] Port=1 RD @00 + 638.50ns INFO [00000640] Port=0 RD @03 + 639.50ns INFO [00000641] * RD COMPARE * port=1 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 639.50ns INFO [00000641] Port=1 RD @03 + 640.50ns INFO [00000642] * RD COMPARE * port=0 adr=03 act=B3CE366CFA1D172398 exp=B3CE366CFA1D172398 + 640.50ns INFO [00000642] Port=0 WR @04=2B56FCB3E191E1904E + 640.50ns INFO [00000642] Port=0 RD @01 + 640.50ns INFO [00000642] Port=1 RD @03 + 641.50ns INFO [00000643] * RD COMPARE * port=1 adr=03 act=B3CE366CFA1D172398 exp=B3CE366CFA1D172398 + 641.50ns INFO [00000643] Port=0 RD @01 + 641.50ns INFO [00000643] Port=1 RD @00 + 642.50ns INFO [00000644] * RD COMPARE * port=0 adr=01 act=C063D60C5A877E2F1E exp=C063D60C5A877E2F1E + 642.50ns INFO [00000644] * RD COMPARE * port=1 adr=03 act=B3CE366CFA1D172398 exp=B3CE366CFA1D172398 + 642.50ns INFO [00000644] Port=0 WR @07=8C8D0C2E401F4F3651 + 642.50ns INFO [00000644] Port=0 RD @02 + 643.50ns INFO [00000645] * RD COMPARE * port=0 adr=01 act=C063D60C5A877E2F1E exp=C063D60C5A877E2F1E + 643.50ns INFO [00000645] * RD COMPARE * port=1 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 643.50ns INFO [00000645] Port=1 RD @00 + 644.50ns INFO [00000646] * RD COMPARE * port=0 adr=02 act=DDC9AA76BE1CE77FED exp=DDC9AA76BE1CE77FED + 645.50ns INFO [00000647] * RD COMPARE * port=1 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 645.50ns INFO [00000647] Port=0 WR @02=BEACDCE653C0D45152 + 645.50ns INFO [00000647] Port=1 RD @01 + 646.50ns INFO [00000648] Port=0 RD @00 + 646.50ns INFO [00000648] Port=1 RD @06 + 647.50ns INFO [00000649] * RD COMPARE * port=1 adr=01 act=C063D60C5A877E2F1E exp=C063D60C5A877E2F1E + 647.50ns INFO [00000649] Port=0 RD @05 + 647.50ns INFO [00000649] Port=1 RD @07 + 648.50ns INFO [00000650] * RD COMPARE * port=0 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 648.50ns INFO [00000650] * RD COMPARE * port=1 adr=06 act=D1A030536729761CFC exp=D1A030536729761CFC + 649.50ns INFO [00000651] * RD COMPARE * port=0 adr=05 act=70FD50307222B17599 exp=70FD50307222B17599 + 649.50ns INFO [00000651] * RD COMPARE * port=1 adr=07 act=8C8D0C2E401F4F3651 exp=8C8D0C2E401F4F3651 + 649.50ns INFO [00000651] Port=1 RD @05 + 650.50ns INFO [00000652] Port=0 WR @01=77B857B2AEE224E15A + 651.50ns INFO [00000653] * RD COMPARE * port=1 adr=05 act=70FD50307222B17599 exp=70FD50307222B17599 + 651.50ns INFO [00000653] Port=0 RD @05 + 651.50ns INFO [00000653] Port=1 RD @07 + 652.50ns INFO [00000654] Port=0 WR @07=2EE2C3FB2113BCC79C + 653.50ns INFO [00000655] * RD COMPARE * port=0 adr=05 act=70FD50307222B17599 exp=70FD50307222B17599 + 653.50ns INFO [00000655] * RD COMPARE * port=1 adr=07 act=8C8D0C2E401F4F3651 exp=8C8D0C2E401F4F3651 + 653.50ns INFO [00000655] Port=1 RD @04 + 655.50ns INFO [00000657] * RD COMPARE * port=1 adr=04 act=2B56FCB3E191E1904E exp=2B56FCB3E191E1904E + 655.50ns INFO [00000657] Port=0 RD @06 + 656.50ns INFO [00000658] Port=1 RD @06 + 657.50ns INFO [00000659] * RD COMPARE * port=0 adr=06 act=D1A030536729761CFC exp=D1A030536729761CFC + 657.50ns INFO [00000659] Port=0 RD @06 + 658.50ns INFO [00000660] * RD COMPARE * port=1 adr=06 act=D1A030536729761CFC exp=D1A030536729761CFC + 659.50ns INFO [00000661] * RD COMPARE * port=0 adr=06 act=D1A030536729761CFC exp=D1A030536729761CFC + 659.50ns INFO [00000661] Port=0 WR @03=266499B5C4E9A55DA7 + 659.50ns INFO [00000661] Port=0 RD @00 + 659.50ns INFO [00000661] Port=1 RD @04 + 660.50ns INFO [00000662] Port=0 WR @06=58A67C3F37F141183E + 661.50ns INFO [00000663] * RD COMPARE * port=0 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 661.50ns INFO [00000663] * RD COMPARE * port=1 adr=04 act=2B56FCB3E191E1904E exp=2B56FCB3E191E1904E + 661.50ns INFO [00000663] Port=1 RD @00 + 663.50ns INFO [00000665] * RD COMPARE * port=1 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 663.50ns INFO [00000665] Port=0 RD @06 + 665.50ns INFO [00000667] * RD COMPARE * port=0 adr=06 act=58A67C3F37F141183E exp=58A67C3F37F141183E + 665.50ns INFO [00000667] Port=0 RD @07 + 665.50ns INFO [00000667] Port=1 RD @03 + 666.50ns INFO [00000668] Port=0 RD @01 + 666.50ns INFO [00000668] Port=1 RD @07 + 667.50ns INFO [00000669] * RD COMPARE * port=0 adr=07 act=2EE2C3FB2113BCC79C exp=2EE2C3FB2113BCC79C + 667.50ns INFO [00000669] * RD COMPARE * port=1 adr=03 act=266499B5C4E9A55DA7 exp=266499B5C4E9A55DA7 + 667.50ns INFO [00000669] Port=0 WR @07=2E7133C97BC33163A3 + 668.50ns INFO [00000670] * RD COMPARE * port=0 adr=01 act=77B857B2AEE224E15A exp=77B857B2AEE224E15A + 668.50ns INFO [00000670] * RD COMPARE * port=1 adr=07 act=2EE2C3FB2113BCC79C exp=2EE2C3FB2113BCC79C + 669.50ns INFO [00000671] Port=0 RD @03 + 670.50ns INFO [00000672] Port=1 RD @02 + 671.50ns INFO [00000673] * RD COMPARE * port=0 adr=03 act=266499B5C4E9A55DA7 exp=266499B5C4E9A55DA7 + 671.50ns INFO [00000673] Port=0 WR @06=EDCB8956AA95B3C962 + 672.50ns INFO [00000674] * RD COMPARE * port=1 adr=02 act=BEACDCE653C0D45152 exp=BEACDCE653C0D45152 + 672.50ns INFO [00000674] Port=0 WR @04=0577B79E00F6BE481E + 672.50ns INFO [00000674] Port=1 RD @06 + 673.50ns INFO [00000675] Port=0 RD @02 + 673.50ns INFO [00000675] Port=1 RD @00 + 674.50ns INFO [00000676] * RD COMPARE * port=1 adr=06 act=EDCB8956AA95B3C962 exp=EDCB8956AA95B3C962 + 674.50ns INFO [00000676] Port=0 WR @00=F5696611864FD12540 + 675.50ns INFO [00000677] * RD COMPARE * port=0 adr=02 act=BEACDCE653C0D45152 exp=BEACDCE653C0D45152 + 675.50ns INFO [00000677] * RD COMPARE * port=1 adr=00 act=7886E79FA8420ED3FD exp=7886E79FA8420ED3FD + 675.50ns INFO [00000677] Port=0 WR @03=91E0D184D9BD35C1F1 + 675.50ns INFO [00000677] Port=0 RD @07 + 677.50ns INFO [00000679] * RD COMPARE * port=0 adr=07 act=2E7133C97BC33163A3 exp=2E7133C97BC33163A3 + 677.50ns INFO [00000679] Port=1 RD @01 + 678.50ns INFO [00000680] Port=0 WR @00=BFC9F2ECFF48032E7A + 678.50ns INFO [00000680] Port=0 RD @02 + 679.50ns INFO [00000681] * RD COMPARE * port=1 adr=01 act=77B857B2AEE224E15A exp=77B857B2AEE224E15A + 679.50ns INFO [00000681] Port=0 WR @04=B8E2AE9FD1071902D9 + 679.50ns INFO [00000681] Port=1 RD @06 + 680.50ns INFO [00000682] * RD COMPARE * port=0 adr=02 act=BEACDCE653C0D45152 exp=BEACDCE653C0D45152 + 680.50ns INFO [00000682] Port=1 RD @06 + 681.50ns INFO [00000683] * RD COMPARE * port=1 adr=06 act=EDCB8956AA95B3C962 exp=EDCB8956AA95B3C962 + 681.50ns INFO [00000683] Port=0 RD @07 + 681.50ns INFO [00000683] Port=1 RD @04 + 682.50ns INFO [00000684] * RD COMPARE * port=1 adr=06 act=EDCB8956AA95B3C962 exp=EDCB8956AA95B3C962 + 682.50ns INFO [00000684] Port=0 WR @01=08D44C522EDCF8995C + 683.50ns INFO [00000685] * RD COMPARE * port=0 adr=07 act=2E7133C97BC33163A3 exp=2E7133C97BC33163A3 + 683.50ns INFO [00000685] * RD COMPARE * port=1 adr=04 act=B8E2AE9FD1071902D9 exp=B8E2AE9FD1071902D9 + 684.50ns INFO [00000686] Port=0 RD @00 + 685.50ns INFO [00000687] Port=1 RD @04 + 686.50ns INFO [00000688] * RD COMPARE * port=0 adr=00 act=BFC9F2ECFF48032E7A exp=BFC9F2ECFF48032E7A + 686.50ns INFO [00000688] Port=0 WR @03=FFF722E8FBD8607297 + 686.50ns INFO [00000688] Port=0 RD @07 + 686.50ns INFO [00000688] Port=1 RD @04 + 687.50ns INFO [00000689] * RD COMPARE * port=1 adr=04 act=B8E2AE9FD1071902D9 exp=B8E2AE9FD1071902D9 + 687.50ns INFO [00000689] Port=0 RD @00 + 688.50ns INFO [00000690] * RD COMPARE * port=0 adr=07 act=2E7133C97BC33163A3 exp=2E7133C97BC33163A3 + 688.50ns INFO [00000690] * RD COMPARE * port=1 adr=04 act=B8E2AE9FD1071902D9 exp=B8E2AE9FD1071902D9 + 689.50ns INFO [00000691] * RD COMPARE * port=0 adr=00 act=BFC9F2ECFF48032E7A exp=BFC9F2ECFF48032E7A + 689.50ns INFO [00000691] Port=0 WR @01=0D7EC37ABF2C7E11CF + 689.50ns INFO [00000691] Port=0 RD @07 + 690.50ns INFO [00000692] Port=0 WR @07=3E7425F3F74FA57589 + 690.50ns INFO [00000692] Port=1 RD @00 + 691.50ns INFO [00000693] * RD COMPARE * port=0 adr=07 act=2E7133C97BC33163A3 exp=2E7133C97BC33163A3 + 691.50ns INFO [00000693] Port=0 WR @06=568EF27B331DCB9013 + 692.50ns INFO [00000694] * RD COMPARE * port=1 adr=00 act=BFC9F2ECFF48032E7A exp=BFC9F2ECFF48032E7A + 692.50ns INFO [00000694] Port=0 RD @04 + 694.50ns INFO [00000696] * RD COMPARE * port=0 adr=04 act=B8E2AE9FD1071902D9 exp=B8E2AE9FD1071902D9 + 694.50ns INFO [00000696] Port=1 RD @07 + 695.50ns INFO [00000697] Port=0 WR @04=458B71501198E07337 + 696.50ns INFO [00000698] * RD COMPARE * port=1 adr=07 act=3E7425F3F74FA57589 exp=3E7425F3F74FA57589 + 696.50ns INFO [00000698] Port=1 RD @01 + 698.00ns INFO [00000700] [00000700] ...tick... + 698.50ns INFO [00000700] * RD COMPARE * port=1 adr=01 act=0D7EC37ABF2C7E11CF exp=0D7EC37ABF2C7E11CF + 699.50ns INFO [00000701] Port=0 WR @03=9331F11528AED8510A + 699.50ns INFO [00000701] Port=1 RD @06 + 700.50ns INFO [00000702] Port=0 RD @03 + 701.50ns INFO [00000703] * RD COMPARE * port=1 adr=06 act=568EF27B331DCB9013 exp=568EF27B331DCB9013 + 702.50ns INFO [00000704] * RD COMPARE * port=0 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 702.50ns INFO [00000704] Port=1 RD @05 + 703.50ns INFO [00000705] Port=0 WR @01=7796896D831597E782 + 703.50ns INFO [00000705] Port=1 RD @02 + 704.50ns INFO [00000706] * RD COMPARE * port=1 adr=05 act=70FD50307222B17599 exp=70FD50307222B17599 + 704.50ns INFO [00000706] Port=0 WR @02=56423ECE7F0C19744F + 704.50ns INFO [00000706] Port=0 RD @03 + 705.50ns INFO [00000707] * RD COMPARE * port=1 adr=02 act=BEACDCE653C0D45152 exp=BEACDCE653C0D45152 + 705.50ns INFO [00000707] Port=1 RD @01 + 706.50ns INFO [00000708] * RD COMPARE * port=0 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 706.50ns INFO [00000708] Port=0 RD @06 + 707.50ns INFO [00000709] * RD COMPARE * port=1 adr=01 act=7796896D831597E782 exp=7796896D831597E782 + 707.50ns INFO [00000709] Port=1 RD @07 + 708.50ns INFO [00000710] * RD COMPARE * port=0 adr=06 act=568EF27B331DCB9013 exp=568EF27B331DCB9013 + 708.50ns INFO [00000710] Port=0 WR @01=70AAA826AB41E69C7D + 709.50ns INFO [00000711] * RD COMPARE * port=1 adr=07 act=3E7425F3F74FA57589 exp=3E7425F3F74FA57589 + 709.50ns INFO [00000711] Port=0 RD @03 + 710.50ns INFO [00000712] Port=0 RD @01 + 711.50ns INFO [00000713] * RD COMPARE * port=0 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 711.50ns INFO [00000713] Port=1 RD @05 + 712.50ns INFO [00000714] * RD COMPARE * port=0 adr=01 act=70AAA826AB41E69C7D exp=70AAA826AB41E69C7D + 712.50ns INFO [00000714] Port=0 RD @02 + 713.50ns INFO [00000715] * RD COMPARE * port=1 adr=05 act=70FD50307222B17599 exp=70FD50307222B17599 + 713.50ns INFO [00000715] Port=0 WR @06=97328B3DF823544A62 + 713.50ns INFO [00000715] Port=0 RD @00 + 713.50ns INFO [00000715] Port=1 RD @02 + 714.50ns INFO [00000716] * RD COMPARE * port=0 adr=02 act=56423ECE7F0C19744F exp=56423ECE7F0C19744F + 714.50ns INFO [00000716] Port=0 WR @01=83092DB73271EF5C6F + 715.50ns INFO [00000717] * RD COMPARE * port=0 adr=00 act=BFC9F2ECFF48032E7A exp=BFC9F2ECFF48032E7A + 715.50ns INFO [00000717] * RD COMPARE * port=1 adr=02 act=56423ECE7F0C19744F exp=56423ECE7F0C19744F + 715.50ns INFO [00000717] Port=0 WR @07=4D6551C7E4AC8AEDBC + 715.50ns INFO [00000717] Port=0 RD @01 + 715.50ns INFO [00000717] Port=1 RD @01 + 716.50ns INFO [00000718] Port=0 WR @01=C853B435A85A3A239B + 716.50ns INFO [00000718] Port=0 RD @05 + 717.50ns INFO [00000719] * RD COMPARE * port=0 adr=01 act=83092DB73271EF5C6F exp=83092DB73271EF5C6F + 717.50ns INFO [00000719] * RD COMPARE * port=1 adr=01 act=83092DB73271EF5C6F exp=83092DB73271EF5C6F + 717.50ns INFO [00000719] Port=0 WR @07=D18ED70B346995327B + 717.50ns INFO [00000719] Port=0 RD @01 + 718.50ns INFO [00000720] * RD COMPARE * port=0 adr=05 act=70FD50307222B17599 exp=70FD50307222B17599 + 718.50ns INFO [00000720] Port=0 WR @06=AA53DA6F63052D437D + 718.50ns INFO [00000720] Port=0 RD @07 + 719.50ns INFO [00000721] * RD COMPARE * port=0 adr=01 act=C853B435A85A3A239B exp=C853B435A85A3A239B + 719.50ns INFO [00000721] Port=0 RD @03 + 719.50ns INFO [00000721] Port=1 RD @03 + 720.50ns INFO [00000722] * RD COMPARE * port=0 adr=07 act=D18ED70B346995327B exp=D18ED70B346995327B + 720.50ns INFO [00000722] Port=1 RD @03 + 721.50ns INFO [00000723] * RD COMPARE * port=0 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 721.50ns INFO [00000723] * RD COMPARE * port=1 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 721.50ns INFO [00000723] Port=0 RD @05 + 722.50ns INFO [00000724] * RD COMPARE * port=1 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 723.50ns INFO [00000725] * RD COMPARE * port=0 adr=05 act=70FD50307222B17599 exp=70FD50307222B17599 + 723.50ns INFO [00000725] Port=1 RD @06 + 724.50ns INFO [00000726] Port=0 WR @05=C1D243E75ED820D0D4 + 724.50ns INFO [00000726] Port=0 RD @06 + 724.50ns INFO [00000726] Port=1 RD @07 + 725.50ns INFO [00000727] * RD COMPARE * port=1 adr=06 act=AA53DA6F63052D437D exp=AA53DA6F63052D437D + 725.50ns INFO [00000727] Port=0 WR @01=9F20FF4E2B8A2E301C + 725.50ns INFO [00000727] Port=1 RD @03 + 726.50ns INFO [00000728] * RD COMPARE * port=0 adr=06 act=AA53DA6F63052D437D exp=AA53DA6F63052D437D + 726.50ns INFO [00000728] * RD COMPARE * port=1 adr=07 act=D18ED70B346995327B exp=D18ED70B346995327B + 726.50ns INFO [00000728] Port=0 WR @06=E5025076BC011C343A + 726.50ns INFO [00000728] Port=1 RD @04 + 727.50ns INFO [00000729] * RD COMPARE * port=1 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 727.50ns INFO [00000729] Port=1 RD @05 + 728.50ns INFO [00000730] * RD COMPARE * port=1 adr=04 act=458B71501198E07337 exp=458B71501198E07337 + 728.50ns INFO [00000730] Port=0 WR @06=905F1036ABE9F0D71D + 728.50ns INFO [00000730] Port=0 RD @07 + 728.50ns INFO [00000730] Port=1 RD @03 + 729.50ns INFO [00000731] * RD COMPARE * port=1 adr=05 act=C1D243E75ED820D0D4 exp=C1D243E75ED820D0D4 + 729.50ns INFO [00000731] Port=0 WR @00=7FA0825F057D2525E4 + 730.50ns INFO [00000732] * RD COMPARE * port=0 adr=07 act=D18ED70B346995327B exp=D18ED70B346995327B + 730.50ns INFO [00000732] * RD COMPARE * port=1 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 733.50ns INFO [00000735] Port=1 RD @03 + 734.50ns INFO [00000736] Port=0 WR @04=68B453DD455CFB7EE9 + 734.50ns INFO [00000736] Port=0 RD @03 + 734.50ns INFO [00000736] Port=1 RD @03 + 735.50ns INFO [00000737] * RD COMPARE * port=1 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 735.50ns INFO [00000737] Port=0 RD @06 + 736.50ns INFO [00000738] * RD COMPARE * port=0 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 736.50ns INFO [00000738] * RD COMPARE * port=1 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 736.50ns INFO [00000738] Port=0 WR @05=2BEF483AE3B0988CF6 + 737.50ns INFO [00000739] * RD COMPARE * port=0 adr=06 act=905F1036ABE9F0D71D exp=905F1036ABE9F0D71D + 737.50ns INFO [00000739] Port=0 WR @05=B66E6F27A8E5D8F11B + 739.50ns INFO [00000741] Port=0 RD @00 + 739.50ns INFO [00000741] Port=1 RD @04 + 740.50ns INFO [00000742] Port=0 WR @00=AFFA4BFF573D408471 + 740.50ns INFO [00000742] Port=0 RD @05 + 741.50ns INFO [00000743] * RD COMPARE * port=0 adr=00 act=7FA0825F057D2525E4 exp=7FA0825F057D2525E4 + 741.50ns INFO [00000743] * RD COMPARE * port=1 adr=04 act=68B453DD455CFB7EE9 exp=68B453DD455CFB7EE9 + 741.50ns INFO [00000743] Port=0 RD @06 + 742.50ns INFO [00000744] * RD COMPARE * port=0 adr=05 act=B66E6F27A8E5D8F11B exp=B66E6F27A8E5D8F11B + 742.50ns INFO [00000744] Port=0 RD @03 + 743.50ns INFO [00000745] * RD COMPARE * port=0 adr=06 act=905F1036ABE9F0D71D exp=905F1036ABE9F0D71D + 743.50ns INFO [00000745] Port=0 WR @04=BAEA7095DFCC309B43 + 743.50ns INFO [00000745] Port=0 RD @00 + 743.50ns INFO [00000745] Port=1 RD @02 + 744.50ns INFO [00000746] * RD COMPARE * port=0 adr=03 act=9331F11528AED8510A exp=9331F11528AED8510A + 744.50ns INFO [00000746] Port=0 RD @04 + 745.50ns INFO [00000747] * RD COMPARE * port=0 adr=00 act=AFFA4BFF573D408471 exp=AFFA4BFF573D408471 + 745.50ns INFO [00000747] * RD COMPARE * port=1 adr=02 act=56423ECE7F0C19744F exp=56423ECE7F0C19744F + 745.50ns INFO [00000747] Port=0 RD @04 + 745.50ns INFO [00000747] Port=1 RD @00 + 746.50ns INFO [00000748] * RD COMPARE * port=0 adr=04 act=BAEA7095DFCC309B43 exp=BAEA7095DFCC309B43 + 746.50ns INFO [00000748] Port=0 RD @05 + 747.50ns INFO [00000749] * RD COMPARE * port=0 adr=04 act=BAEA7095DFCC309B43 exp=BAEA7095DFCC309B43 + 747.50ns INFO [00000749] * RD COMPARE * port=1 adr=00 act=AFFA4BFF573D408471 exp=AFFA4BFF573D408471 + 747.50ns INFO [00000749] Port=0 WR @03=CD1D064A23B97BA1BB + 748.50ns INFO [00000750] * RD COMPARE * port=0 adr=05 act=B66E6F27A8E5D8F11B exp=B66E6F27A8E5D8F11B + 748.50ns INFO [00000750] Port=0 RD @04 + 749.50ns INFO [00000751] Port=0 RD @01 + 750.50ns INFO [00000752] * RD COMPARE * port=0 adr=04 act=BAEA7095DFCC309B43 exp=BAEA7095DFCC309B43 + 750.50ns INFO [00000752] Port=1 RD @02 + 751.50ns INFO [00000753] * RD COMPARE * port=0 adr=01 act=9F20FF4E2B8A2E301C exp=9F20FF4E2B8A2E301C + 751.50ns INFO [00000753] Port=0 WR @03=91E0874410110CB1F4 + 751.50ns INFO [00000753] Port=0 RD @01 + 752.50ns INFO [00000754] * RD COMPARE * port=1 adr=02 act=56423ECE7F0C19744F exp=56423ECE7F0C19744F + 752.50ns INFO [00000754] Port=1 RD @05 + 753.50ns INFO [00000755] * RD COMPARE * port=0 adr=01 act=9F20FF4E2B8A2E301C exp=9F20FF4E2B8A2E301C + 753.50ns INFO [00000755] Port=0 WR @01=26DA8CCBF4B5D29AF5 + 753.50ns INFO [00000755] Port=1 RD @07 + 754.50ns INFO [00000756] * RD COMPARE * port=1 adr=05 act=B66E6F27A8E5D8F11B exp=B66E6F27A8E5D8F11B + 754.50ns INFO [00000756] Port=1 RD @03 + 755.50ns INFO [00000757] * RD COMPARE * port=1 adr=07 act=D18ED70B346995327B exp=D18ED70B346995327B + 755.50ns INFO [00000757] Port=0 WR @04=B83FDBF5B6822871CD + 756.50ns INFO [00000758] * RD COMPARE * port=1 adr=03 act=91E0874410110CB1F4 exp=91E0874410110CB1F4 + 759.50ns INFO [00000761] Port=0 WR @03=4EC122619824015E2C + 759.50ns INFO [00000761] Port=0 RD @01 + 760.50ns INFO [00000762] Port=0 RD @07 + 761.50ns INFO [00000763] * RD COMPARE * port=0 adr=01 act=26DA8CCBF4B5D29AF5 exp=26DA8CCBF4B5D29AF5 + 761.50ns INFO [00000763] Port=0 RD @00 + 762.50ns INFO [00000764] * RD COMPARE * port=0 adr=07 act=D18ED70B346995327B exp=D18ED70B346995327B + 762.50ns INFO [00000764] Port=1 RD @01 + 763.50ns INFO [00000765] * RD COMPARE * port=0 adr=00 act=AFFA4BFF573D408471 exp=AFFA4BFF573D408471 + 763.50ns INFO [00000765] Port=1 RD @03 + 764.50ns INFO [00000766] * RD COMPARE * port=1 adr=01 act=26DA8CCBF4B5D29AF5 exp=26DA8CCBF4B5D29AF5 + 765.50ns INFO [00000767] * RD COMPARE * port=1 adr=03 act=4EC122619824015E2C exp=4EC122619824015E2C + 765.50ns INFO [00000767] Port=0 WR @05=A183A0E5DD6E50B91F + 765.50ns INFO [00000767] Port=0 RD @04 + 765.50ns INFO [00000767] Port=1 RD @01 + 766.50ns INFO [00000768] Port=1 RD @03 + 767.50ns INFO [00000769] * RD COMPARE * port=0 adr=04 act=B83FDBF5B6822871CD exp=B83FDBF5B6822871CD + 767.50ns INFO [00000769] * RD COMPARE * port=1 adr=01 act=26DA8CCBF4B5D29AF5 exp=26DA8CCBF4B5D29AF5 + 767.50ns INFO [00000769] Port=0 WR @01=8CC1DBA8DECF32019A + 767.50ns INFO [00000769] Port=0 RD @06 + 768.50ns INFO [00000770] * RD COMPARE * port=1 adr=03 act=4EC122619824015E2C exp=4EC122619824015E2C + 768.50ns INFO [00000770] Port=1 RD @06 + 769.50ns INFO [00000771] * RD COMPARE * port=0 adr=06 act=905F1036ABE9F0D71D exp=905F1036ABE9F0D71D + 770.50ns INFO [00000772] * RD COMPARE * port=1 adr=06 act=905F1036ABE9F0D71D exp=905F1036ABE9F0D71D + 770.50ns INFO [00000772] Port=0 WR @05=667FB51BF84635F890 + 771.50ns INFO [00000773] Port=0 WR @02=D90287B20AAAAE3738 + 772.50ns INFO [00000774] Port=0 WR @03=D52D1E301B2E8BB77B + 773.50ns INFO [00000775] Port=0 WR @00=26EE2A88A07E209884 + 773.50ns INFO [00000775] Port=0 RD @03 + 774.50ns INFO [00000776] Port=1 RD @03 + 775.50ns INFO [00000777] * RD COMPARE * port=0 adr=03 act=D52D1E301B2E8BB77B exp=D52D1E301B2E8BB77B + 775.50ns INFO [00000777] Port=0 WR @02=DE3C68C708BC398899 + 775.50ns INFO [00000777] Port=0 RD @05 + 776.50ns INFO [00000778] * RD COMPARE * port=1 adr=03 act=D52D1E301B2E8BB77B exp=D52D1E301B2E8BB77B + 776.50ns INFO [00000778] Port=0 RD @07 + 777.50ns INFO [00000779] * RD COMPARE * port=0 adr=05 act=667FB51BF84635F890 exp=667FB51BF84635F890 + 777.50ns INFO [00000779] Port=0 WR @03=141C7E898345C8C9C6 + 777.50ns INFO [00000779] Port=1 RD @07 + 778.50ns INFO [00000780] * RD COMPARE * port=0 adr=07 act=D18ED70B346995327B exp=D18ED70B346995327B + 778.50ns INFO [00000780] Port=0 RD @00 + 779.50ns INFO [00000781] * RD COMPARE * port=1 adr=07 act=D18ED70B346995327B exp=D18ED70B346995327B + 779.50ns INFO [00000781] Port=0 WR @07=A45C65AE72CCD15D2E + 779.50ns INFO [00000781] Port=0 RD @06 + 780.50ns INFO [00000782] * RD COMPARE * port=0 adr=00 act=26EE2A88A07E209884 exp=26EE2A88A07E209884 + 780.50ns INFO [00000782] Port=0 RD @02 + 780.50ns INFO [00000782] Port=1 RD @00 + 781.50ns INFO [00000783] * RD COMPARE * port=0 adr=06 act=905F1036ABE9F0D71D exp=905F1036ABE9F0D71D + 781.50ns INFO [00000783] Port=1 RD @06 + 782.50ns INFO [00000784] * RD COMPARE * port=0 adr=02 act=DE3C68C708BC398899 exp=DE3C68C708BC398899 + 782.50ns INFO [00000784] * RD COMPARE * port=1 adr=00 act=26EE2A88A07E209884 exp=26EE2A88A07E209884 + 782.50ns INFO [00000784] Port=0 WR @06=9DED7B022EB43C8D70 + 783.50ns INFO [00000785] * RD COMPARE * port=1 adr=06 act=905F1036ABE9F0D71D exp=905F1036ABE9F0D71D + 783.50ns INFO [00000785] Port=0 WR @00=8248A43C6D848337EA + 784.50ns INFO [00000786] Port=0 WR @06=0BF123564AF284530F + 784.50ns INFO [00000786] Port=0 RD @02 + 785.50ns INFO [00000787] Port=0 RD @05 + 786.50ns INFO [00000788] * RD COMPARE * port=0 adr=02 act=DE3C68C708BC398899 exp=DE3C68C708BC398899 + 786.50ns INFO [00000788] Port=0 WR @05=4A988FD5E0CCB7F173 + 787.50ns INFO [00000789] * RD COMPARE * port=0 adr=05 act=667FB51BF84635F890 exp=667FB51BF84635F890 + 788.50ns INFO [00000790] Port=0 WR @01=D9E4ECF83AF8689881 + 789.50ns INFO [00000791] Port=0 WR @01=BD3F25ACCFDDB55A52 + 789.50ns INFO [00000791] Port=0 RD @02 + 790.50ns INFO [00000792] Port=0 WR @04=C105CB1153436E64B5 + 790.50ns INFO [00000792] Port=0 RD @06 + 791.50ns INFO [00000793] * RD COMPARE * port=0 adr=02 act=DE3C68C708BC398899 exp=DE3C68C708BC398899 + 791.50ns INFO [00000793] Port=0 WR @04=49E294406EB6EC974D + 792.50ns INFO [00000794] * RD COMPARE * port=0 adr=06 act=0BF123564AF284530F exp=0BF123564AF284530F + 792.50ns INFO [00000794] Port=0 WR @04=5626F0ED53F67233D9 + 792.50ns INFO [00000794] Port=0 RD @00 + 792.50ns INFO [00000794] Port=1 RD @07 + 793.50ns INFO [00000795] Port=0 RD @06 + 794.50ns INFO [00000796] * RD COMPARE * port=0 adr=00 act=8248A43C6D848337EA exp=8248A43C6D848337EA + 794.50ns INFO [00000796] * RD COMPARE * port=1 adr=07 act=A45C65AE72CCD15D2E exp=A45C65AE72CCD15D2E + 794.50ns INFO [00000796] Port=0 WR @05=4EC53B4D123323A48C + 794.50ns INFO [00000796] Port=0 RD @00 + 795.50ns INFO [00000797] * RD COMPARE * port=0 adr=06 act=0BF123564AF284530F exp=0BF123564AF284530F + 795.50ns INFO [00000797] Port=0 WR @06=E36D1D3C2E2D74EDE9 + 795.50ns INFO [00000797] Port=1 RD @05 + 796.50ns INFO [00000798] * RD COMPARE * port=0 adr=00 act=8248A43C6D848337EA exp=8248A43C6D848337EA + 796.50ns INFO [00000798] Port=0 WR @04=277727771D44DF1722 + 796.50ns INFO [00000798] Port=0 RD @06 + 796.50ns INFO [00000798] Port=1 RD @05 + 797.50ns INFO [00000799] * RD COMPARE * port=1 adr=05 act=4EC53B4D123323A48C exp=4EC53B4D123323A48C + 797.50ns INFO [00000799] Port=0 WR @03=165A5FF05C98E9F7B3 + 798.00ns INFO [00000800] [00000800] ...tick... + 798.50ns INFO [00000800] * RD COMPARE * port=0 adr=06 act=E36D1D3C2E2D74EDE9 exp=E36D1D3C2E2D74EDE9 + 798.50ns INFO [00000800] * RD COMPARE * port=1 adr=05 act=4EC53B4D123323A48C exp=4EC53B4D123323A48C + 798.50ns INFO [00000800] Port=1 RD @01 + 799.50ns INFO [00000801] Port=1 RD @02 + 800.50ns INFO [00000802] * RD COMPARE * port=1 adr=01 act=BD3F25ACCFDDB55A52 exp=BD3F25ACCFDDB55A52 + 800.50ns INFO [00000802] Port=0 WR @00=A4B8891E1A46AF0E4E + 801.50ns INFO [00000803] * RD COMPARE * port=1 adr=02 act=DE3C68C708BC398899 exp=DE3C68C708BC398899 + 801.50ns INFO [00000803] Port=0 RD @01 + 802.50ns INFO [00000804] Port=0 WR @05=B9422B1C99AAE35517 + 802.50ns INFO [00000804] Port=0 RD @03 + 802.50ns INFO [00000804] Port=1 RD @03 + 803.50ns INFO [00000805] * RD COMPARE * port=0 adr=01 act=BD3F25ACCFDDB55A52 exp=BD3F25ACCFDDB55A52 + 803.50ns INFO [00000805] Port=0 RD @00 + 804.50ns INFO [00000806] * RD COMPARE * port=0 adr=03 act=165A5FF05C98E9F7B3 exp=165A5FF05C98E9F7B3 + 804.50ns INFO [00000806] * RD COMPARE * port=1 adr=03 act=165A5FF05C98E9F7B3 exp=165A5FF05C98E9F7B3 + 804.50ns INFO [00000806] Port=0 WR @06=3596125B068C1542F3 + 804.50ns INFO [00000806] Port=0 RD @05 + 805.50ns INFO [00000807] * RD COMPARE * port=0 adr=00 act=A4B8891E1A46AF0E4E exp=A4B8891E1A46AF0E4E + 805.50ns INFO [00000807] Port=0 WR @00=D7AC95E8C7918CA6C6 + 806.50ns INFO [00000808] * RD COMPARE * port=0 adr=05 act=B9422B1C99AAE35517 exp=B9422B1C99AAE35517 + 806.50ns INFO [00000808] Port=1 RD @07 + 807.50ns INFO [00000809] Port=0 WR @00=C1788B1499A224A2A0 + 808.50ns INFO [00000810] * RD COMPARE * port=1 adr=07 act=A45C65AE72CCD15D2E exp=A45C65AE72CCD15D2E + 808.50ns INFO [00000810] Port=0 WR @03=A51DA1DCFF33E13F2C + 808.50ns INFO [00000810] Port=1 RD @06 + 809.50ns INFO [00000811] Port=0 WR @05=A325175721648BE4C5 + 809.50ns INFO [00000811] Port=0 RD @03 + 809.50ns INFO [00000811] Port=1 RD @01 + 810.50ns INFO [00000812] * RD COMPARE * port=1 adr=06 act=3596125B068C1542F3 exp=3596125B068C1542F3 + 810.50ns INFO [00000812] Port=0 WR @06=50695A923F596931FE + 811.50ns INFO [00000813] * RD COMPARE * port=0 adr=03 act=A51DA1DCFF33E13F2C exp=A51DA1DCFF33E13F2C + 811.50ns INFO [00000813] * RD COMPARE * port=1 adr=01 act=BD3F25ACCFDDB55A52 exp=BD3F25ACCFDDB55A52 + 811.50ns INFO [00000813] Port=0 RD @00 + 811.50ns INFO [00000813] Port=1 RD @06 + 813.50ns INFO [00000815] * RD COMPARE * port=0 adr=00 act=C1788B1499A224A2A0 exp=C1788B1499A224A2A0 + 813.50ns INFO [00000815] * RD COMPARE * port=1 adr=06 act=50695A923F596931FE exp=50695A923F596931FE + 813.50ns INFO [00000815] Port=0 WR @07=436CD02AD55DE51D69 + 813.50ns INFO [00000815] Port=1 RD @04 + 814.50ns INFO [00000816] Port=1 RD @06 + 815.50ns INFO [00000817] * RD COMPARE * port=1 adr=04 act=277727771D44DF1722 exp=277727771D44DF1722 + 816.50ns INFO [00000818] * RD COMPARE * port=1 adr=06 act=50695A923F596931FE exp=50695A923F596931FE + 818.50ns INFO [00000820] Port=0 WR @03=CA912B0CF81DF682EB + 818.50ns INFO [00000820] Port=1 RD @01 + 819.50ns INFO [00000821] Port=0 WR @07=658827B28B0AED072D + 819.50ns INFO [00000821] Port=1 RD @02 + 820.50ns INFO [00000822] * RD COMPARE * port=1 adr=01 act=BD3F25ACCFDDB55A52 exp=BD3F25ACCFDDB55A52 + 821.50ns INFO [00000823] * RD COMPARE * port=1 adr=02 act=DE3C68C708BC398899 exp=DE3C68C708BC398899 + 821.50ns INFO [00000823] Port=0 WR @06=BDAA7D6FFE7BB2B618 + 821.50ns INFO [00000823] Port=0 RD @01 + 821.50ns INFO [00000823] Port=1 RD @03 + 823.50ns INFO [00000825] * RD COMPARE * port=0 adr=01 act=BD3F25ACCFDDB55A52 exp=BD3F25ACCFDDB55A52 + 823.50ns INFO [00000825] * RD COMPARE * port=1 adr=03 act=CA912B0CF81DF682EB exp=CA912B0CF81DF682EB + 826.50ns INFO [00000828] Port=0 RD @01 + 827.50ns INFO [00000829] Port=0 WR @07=9486D1465C26099383 + 827.50ns INFO [00000829] Port=0 RD @02 + 828.50ns INFO [00000830] * RD COMPARE * port=0 adr=01 act=BD3F25ACCFDDB55A52 exp=BD3F25ACCFDDB55A52 + 828.50ns INFO [00000830] Port=0 WR @03=2259C9EE95A2ABD412 + 828.50ns INFO [00000830] Port=1 RD @06 + 829.50ns INFO [00000831] * RD COMPARE * port=0 adr=02 act=DE3C68C708BC398899 exp=DE3C68C708BC398899 + 830.50ns INFO [00000832] * RD COMPARE * port=1 adr=06 act=BDAA7D6FFE7BB2B618 exp=BDAA7D6FFE7BB2B618 + 830.50ns INFO [00000832] Port=1 RD @01 + 831.50ns INFO [00000833] Port=0 WR @00=06E0E4492C731CDBED + 831.50ns INFO [00000833] Port=1 RD @07 + 832.50ns INFO [00000834] * RD COMPARE * port=1 adr=01 act=BD3F25ACCFDDB55A52 exp=BD3F25ACCFDDB55A52 + 832.50ns INFO [00000834] Port=0 RD @06 + 832.50ns INFO [00000834] Port=1 RD @03 + 833.50ns INFO [00000835] * RD COMPARE * port=1 adr=07 act=9486D1465C26099383 exp=9486D1465C26099383 + 833.50ns INFO [00000835] Port=0 WR @06=A619E5B432E3C808EF + 833.50ns INFO [00000835] Port=0 RD @04 + 833.50ns INFO [00000835] Port=1 RD @03 + 834.50ns INFO [00000836] * RD COMPARE * port=0 adr=06 act=BDAA7D6FFE7BB2B618 exp=BDAA7D6FFE7BB2B618 + 834.50ns INFO [00000836] * RD COMPARE * port=1 adr=03 act=2259C9EE95A2ABD412 exp=2259C9EE95A2ABD412 + 834.50ns INFO [00000836] Port=0 RD @04 + 835.50ns INFO [00000837] * RD COMPARE * port=0 adr=04 act=277727771D44DF1722 exp=277727771D44DF1722 + 835.50ns INFO [00000837] * RD COMPARE * port=1 adr=03 act=2259C9EE95A2ABD412 exp=2259C9EE95A2ABD412 + 835.50ns INFO [00000837] Port=0 WR @06=04E994B461DDEF1430 + 835.50ns INFO [00000837] Port=1 RD @07 + 836.50ns INFO [00000838] * RD COMPARE * port=0 adr=04 act=277727771D44DF1722 exp=277727771D44DF1722 + 836.50ns INFO [00000838] Port=0 WR @06=A5B229316A835B92CC + 836.50ns INFO [00000838] Port=0 RD @05 + 836.50ns INFO [00000838] Port=1 RD @07 + 837.50ns INFO [00000839] * RD COMPARE * port=1 adr=07 act=9486D1465C26099383 exp=9486D1465C26099383 + 838.50ns INFO [00000840] * RD COMPARE * port=0 adr=05 act=A325175721648BE4C5 exp=A325175721648BE4C5 + 838.50ns INFO [00000840] * RD COMPARE * port=1 adr=07 act=9486D1465C26099383 exp=9486D1465C26099383 + 838.50ns INFO [00000840] Port=0 WR @03=FA2C26A095543C3E84 + 839.50ns INFO [00000841] Port=1 RD @03 + 841.50ns INFO [00000843] * RD COMPARE * port=1 adr=03 act=FA2C26A095543C3E84 exp=FA2C26A095543C3E84 + 841.50ns INFO [00000843] Port=1 RD @06 + 842.50ns INFO [00000844] Port=0 WR @07=4CBAB2D5847E73CFF5 + 842.50ns INFO [00000844] Port=0 RD @04 + 843.50ns INFO [00000845] * RD COMPARE * port=1 adr=06 act=A5B229316A835B92CC exp=A5B229316A835B92CC + 844.50ns INFO [00000846] * RD COMPARE * port=0 adr=04 act=277727771D44DF1722 exp=277727771D44DF1722 + 844.50ns INFO [00000846] Port=0 RD @06 + 844.50ns INFO [00000846] Port=1 RD @06 + 845.50ns INFO [00000847] Port=0 WR @01=ABF96AA9FA3692299F + 846.50ns INFO [00000848] * RD COMPARE * port=0 adr=06 act=A5B229316A835B92CC exp=A5B229316A835B92CC + 846.50ns INFO [00000848] * RD COMPARE * port=1 adr=06 act=A5B229316A835B92CC exp=A5B229316A835B92CC + 846.50ns INFO [00000848] Port=0 WR @05=178B30A5039AC8416D + 847.50ns INFO [00000849] Port=0 WR @03=95902F425C1C30E974 + 847.50ns INFO [00000849] Port=1 RD @04 + 848.50ns INFO [00000850] Port=0 RD @03 + 849.50ns INFO [00000851] * RD COMPARE * port=1 adr=04 act=277727771D44DF1722 exp=277727771D44DF1722 + 850.50ns INFO [00000852] * RD COMPARE * port=0 adr=03 act=95902F425C1C30E974 exp=95902F425C1C30E974 + 850.50ns INFO [00000852] Port=0 WR @02=61DF894F12D4751653 + 851.50ns INFO [00000853] Port=0 WR @01=2F308414BC215BE786 + 851.50ns INFO [00000853] Port=0 RD @00 + 853.50ns INFO [00000855] * RD COMPARE * port=0 adr=00 act=06E0E4492C731CDBED exp=06E0E4492C731CDBED + 854.50ns INFO [00000856] Port=0 WR @06=01805033D14FE379EF + 854.50ns INFO [00000856] Port=1 RD @01 + 855.50ns INFO [00000857] Port=0 WR @06=89F3CBF6DB604B6FD1 + 855.50ns INFO [00000857] Port=1 RD @05 + 856.50ns INFO [00000858] * RD COMPARE * port=1 adr=01 act=2F308414BC215BE786 exp=2F308414BC215BE786 + 856.50ns INFO [00000858] Port=0 RD @01 + 856.50ns INFO [00000858] Port=1 RD @06 + 857.50ns INFO [00000859] * RD COMPARE * port=1 adr=05 act=178B30A5039AC8416D exp=178B30A5039AC8416D + 858.50ns INFO [00000860] * RD COMPARE * port=0 adr=01 act=2F308414BC215BE786 exp=2F308414BC215BE786 + 858.50ns INFO [00000860] * RD COMPARE * port=1 adr=06 act=89F3CBF6DB604B6FD1 exp=89F3CBF6DB604B6FD1 + 858.50ns INFO [00000860] Port=1 RD @03 + 859.50ns INFO [00000861] Port=0 RD @01 + 860.50ns INFO [00000862] * RD COMPARE * port=1 adr=03 act=95902F425C1C30E974 exp=95902F425C1C30E974 + 861.50ns INFO [00000863] * RD COMPARE * port=0 adr=01 act=2F308414BC215BE786 exp=2F308414BC215BE786 + 861.50ns INFO [00000863] Port=0 WR @03=1EB9EB6E45028C8634 + 861.50ns INFO [00000863] Port=0 RD @06 + 863.50ns INFO [00000865] * RD COMPARE * port=0 adr=06 act=89F3CBF6DB604B6FD1 exp=89F3CBF6DB604B6FD1 + 863.50ns INFO [00000865] Port=0 WR @00=1290B307133A2D83DB + 863.50ns INFO [00000865] Port=0 RD @02 + 865.50ns INFO [00000867] * RD COMPARE * port=0 adr=02 act=61DF894F12D4751653 exp=61DF894F12D4751653 + 865.50ns INFO [00000867] Port=0 RD @05 + 866.50ns INFO [00000868] Port=0 WR @00=B6F8A3C1C5836F1474 + 867.50ns INFO [00000869] * RD COMPARE * port=0 adr=05 act=178B30A5039AC8416D exp=178B30A5039AC8416D + 867.50ns INFO [00000869] Port=0 WR @02=B5D13624759AB98E3F + 867.50ns INFO [00000869] Port=0 RD @07 + 867.50ns INFO [00000869] Port=1 RD @05 + 868.50ns INFO [00000870] Port=0 WR @02=0F558C1188D4D89252 + 868.50ns INFO [00000870] Port=0 RD @00 + 868.50ns INFO [00000870] Port=1 RD @04 + 869.50ns INFO [00000871] * RD COMPARE * port=0 adr=07 act=4CBAB2D5847E73CFF5 exp=4CBAB2D5847E73CFF5 + 869.50ns INFO [00000871] * RD COMPARE * port=1 adr=05 act=178B30A5039AC8416D exp=178B30A5039AC8416D + 869.50ns INFO [00000871] Port=0 WR @01=F9BEE21EE20CDA0C69 + 869.50ns INFO [00000871] Port=0 RD @05 + 870.50ns INFO [00000872] * RD COMPARE * port=0 adr=00 act=B6F8A3C1C5836F1474 exp=B6F8A3C1C5836F1474 + 870.50ns INFO [00000872] * RD COMPARE * port=1 adr=04 act=277727771D44DF1722 exp=277727771D44DF1722 + 870.50ns INFO [00000872] Port=0 RD @03 + 871.50ns INFO [00000873] * RD COMPARE * port=0 adr=05 act=178B30A5039AC8416D exp=178B30A5039AC8416D + 871.50ns INFO [00000873] Port=0 RD @00 + 872.50ns INFO [00000874] * RD COMPARE * port=0 adr=03 act=1EB9EB6E45028C8634 exp=1EB9EB6E45028C8634 + 872.50ns INFO [00000874] Port=0 RD @05 + 873.50ns INFO [00000875] * RD COMPARE * port=0 adr=00 act=B6F8A3C1C5836F1474 exp=B6F8A3C1C5836F1474 + 873.50ns INFO [00000875] Port=0 RD @07 + 874.50ns INFO [00000876] * RD COMPARE * port=0 adr=05 act=178B30A5039AC8416D exp=178B30A5039AC8416D + 875.50ns INFO [00000877] * RD COMPARE * port=0 adr=07 act=4CBAB2D5847E73CFF5 exp=4CBAB2D5847E73CFF5 + 876.50ns INFO [00000878] Port=1 RD @02 + 877.50ns INFO [00000879] Port=0 WR @01=8BFF27BB2B14A46808 + 878.50ns INFO [00000880] * RD COMPARE * port=1 adr=02 act=0F558C1188D4D89252 exp=0F558C1188D4D89252 + 878.50ns INFO [00000880] Port=0 RD @07 + 878.50ns INFO [00000880] Port=1 RD @07 + 879.50ns INFO [00000881] Port=0 WR @03=1297D32A1229554429 + 879.50ns INFO [00000881] Port=0 RD @05 + 879.50ns INFO [00000881] Port=1 RD @05 + 880.50ns INFO [00000882] * RD COMPARE * port=0 adr=07 act=4CBAB2D5847E73CFF5 exp=4CBAB2D5847E73CFF5 + 880.50ns INFO [00000882] * RD COMPARE * port=1 adr=07 act=4CBAB2D5847E73CFF5 exp=4CBAB2D5847E73CFF5 + 881.50ns INFO [00000883] * RD COMPARE * port=0 adr=05 act=178B30A5039AC8416D exp=178B30A5039AC8416D + 881.50ns INFO [00000883] * RD COMPARE * port=1 adr=05 act=178B30A5039AC8416D exp=178B30A5039AC8416D + 881.50ns INFO [00000883] Port=1 RD @05 + 882.50ns INFO [00000884] Port=0 WR @07=05067E67E0AC339270 + 882.50ns INFO [00000884] Port=0 RD @04 + 882.50ns INFO [00000884] Port=1 RD @05 + 883.50ns INFO [00000885] * RD COMPARE * port=1 adr=05 act=178B30A5039AC8416D exp=178B30A5039AC8416D + 883.50ns INFO [00000885] Port=0 WR @01=5E536621F431125392 + 884.50ns INFO [00000886] * RD COMPARE * port=0 adr=04 act=277727771D44DF1722 exp=277727771D44DF1722 + 884.50ns INFO [00000886] * RD COMPARE * port=1 adr=05 act=178B30A5039AC8416D exp=178B30A5039AC8416D + 885.50ns INFO [00000887] Port=0 WR @01=390E53F84E29209F89 + 885.50ns INFO [00000887] Port=1 RD @03 + 886.50ns INFO [00000888] Port=0 RD @00 + 887.50ns INFO [00000889] * RD COMPARE * port=1 adr=03 act=1297D32A1229554429 exp=1297D32A1229554429 + 887.50ns INFO [00000889] Port=0 WR @01=29FB101D5EF2D0F83F + 888.50ns INFO [00000890] * RD COMPARE * port=0 adr=00 act=B6F8A3C1C5836F1474 exp=B6F8A3C1C5836F1474 + 888.50ns INFO [00000890] Port=1 RD @07 + 890.50ns INFO [00000892] * RD COMPARE * port=1 adr=07 act=05067E67E0AC339270 exp=05067E67E0AC339270 + 890.50ns INFO [00000892] Port=0 WR @03=0868C669AD9E9C16E8 + 890.50ns INFO [00000892] Port=0 RD @07 + 890.50ns INFO [00000892] Port=1 RD @07 + 891.50ns INFO [00000893] Port=0 WR @04=1723CDAA1952B0F1F5 + 891.50ns INFO [00000893] Port=0 RD @02 + 891.50ns INFO [00000893] Port=1 RD @01 + 892.50ns INFO [00000894] * RD COMPARE * port=0 adr=07 act=05067E67E0AC339270 exp=05067E67E0AC339270 + 892.50ns INFO [00000894] * RD COMPARE * port=1 adr=07 act=05067E67E0AC339270 exp=05067E67E0AC339270 + 892.50ns INFO [00000894] Port=1 RD @04 + 893.50ns INFO [00000895] * RD COMPARE * port=0 adr=02 act=0F558C1188D4D89252 exp=0F558C1188D4D89252 + 893.50ns INFO [00000895] * RD COMPARE * port=1 adr=01 act=29FB101D5EF2D0F83F exp=29FB101D5EF2D0F83F + 893.50ns INFO [00000895] Port=0 WR @00=BD5DBA16536D123627 + 893.50ns INFO [00000895] Port=0 RD @02 + 894.50ns INFO [00000896] * RD COMPARE * port=1 adr=04 act=1723CDAA1952B0F1F5 exp=1723CDAA1952B0F1F5 + 894.50ns INFO [00000896] Port=0 WR @03=8C2D64B91B4609B869 + 894.50ns INFO [00000896] Port=1 RD @00 + 895.50ns INFO [00000897] * RD COMPARE * port=0 adr=02 act=0F558C1188D4D89252 exp=0F558C1188D4D89252 + 895.50ns INFO [00000897] Port=0 WR @02=A363505798211B8A72 + 895.50ns INFO [00000897] Port=1 RD @07 + 896.50ns INFO [00000898] * RD COMPARE * port=1 adr=00 act=BD5DBA16536D123627 exp=BD5DBA16536D123627 + 896.50ns INFO [00000898] Port=0 WR @05=B50DEDAF5726F7905F + 897.50ns INFO [00000899] * RD COMPARE * port=1 adr=07 act=05067E67E0AC339270 exp=05067E67E0AC339270 + 898.00ns INFO [00000900] [00000900] ...tick... + 898.50ns INFO [00000900] Port=0 WR @04=EABDF157CE57609ED7 + 898.50ns INFO [00000900] Port=0 RD @02 + 899.50ns INFO [00000901] Port=0 WR @03=A128B41928ECF1704C + 899.50ns INFO [00000901] Port=0 RD @07 + 900.50ns INFO [00000902] * RD COMPARE * port=0 adr=02 act=A363505798211B8A72 exp=A363505798211B8A72 + 900.50ns INFO [00000902] Port=0 RD @04 + 900.50ns INFO [00000902] Port=1 RD @06 + 901.50ns INFO [00000903] * RD COMPARE * port=0 adr=07 act=05067E67E0AC339270 exp=05067E67E0AC339270 + 901.50ns INFO [00000903] Port=0 WR @06=166658C0BE195A4062 + 901.50ns INFO [00000903] Port=0 RD @04 + 902.50ns INFO [00000904] * RD COMPARE * port=0 adr=04 act=EABDF157CE57609ED7 exp=EABDF157CE57609ED7 + 902.50ns INFO [00000904] * RD COMPARE * port=1 adr=06 act=89F3CBF6DB604B6FD1 exp=89F3CBF6DB604B6FD1 + 902.50ns INFO [00000904] Port=0 RD @00 + 902.50ns INFO [00000904] Port=1 RD @04 + 903.50ns INFO [00000905] * RD COMPARE * port=0 adr=04 act=EABDF157CE57609ED7 exp=EABDF157CE57609ED7 + 903.50ns INFO [00000905] Port=0 RD @00 + 904.50ns INFO [00000906] * RD COMPARE * port=0 adr=00 act=BD5DBA16536D123627 exp=BD5DBA16536D123627 + 904.50ns INFO [00000906] * RD COMPARE * port=1 adr=04 act=EABDF157CE57609ED7 exp=EABDF157CE57609ED7 + 904.50ns INFO [00000906] Port=1 RD @05 + 905.50ns INFO [00000907] * RD COMPARE * port=0 adr=00 act=BD5DBA16536D123627 exp=BD5DBA16536D123627 + 905.50ns INFO [00000907] Port=0 WR @05=E4522E1DBF347D088B + 905.50ns INFO [00000907] Port=0 RD @01 + 905.50ns INFO [00000907] Port=1 RD @06 + 906.50ns INFO [00000908] * RD COMPARE * port=1 adr=05 act=B50DEDAF5726F7905F exp=B50DEDAF5726F7905F + 906.50ns INFO [00000908] Port=0 RD @00 + 906.50ns INFO [00000908] Port=1 RD @05 + 907.50ns INFO [00000909] * RD COMPARE * port=0 adr=01 act=29FB101D5EF2D0F83F exp=29FB101D5EF2D0F83F + 907.50ns INFO [00000909] * RD COMPARE * port=1 adr=06 act=166658C0BE195A4062 exp=166658C0BE195A4062 + 907.50ns INFO [00000909] Port=0 RD @02 + 908.50ns INFO [00000910] * RD COMPARE * port=0 adr=00 act=BD5DBA16536D123627 exp=BD5DBA16536D123627 + 908.50ns INFO [00000910] * RD COMPARE * port=1 adr=05 act=E4522E1DBF347D088B exp=E4522E1DBF347D088B + 908.50ns INFO [00000910] Port=0 WR @04=382163E523EAC297A3 + 909.50ns INFO [00000911] * RD COMPARE * port=0 adr=02 act=A363505798211B8A72 exp=A363505798211B8A72 + 909.50ns INFO [00000911] Port=1 RD @02 + 910.50ns INFO [00000912] Port=1 RD @02 + 911.50ns INFO [00000913] * RD COMPARE * port=1 adr=02 act=A363505798211B8A72 exp=A363505798211B8A72 + 911.50ns INFO [00000913] Port=0 WR @02=83E8CF4824D20049BD + 912.50ns INFO [00000914] * RD COMPARE * port=1 adr=02 act=A363505798211B8A72 exp=A363505798211B8A72 + 912.50ns INFO [00000914] Port=0 WR @02=8123F3B33B168A4852 + 912.50ns INFO [00000914] Port=0 RD @06 + 913.50ns INFO [00000915] Port=0 WR @04=9EC2628F3F596F82EA + 913.50ns INFO [00000915] Port=0 RD @05 + 913.50ns INFO [00000915] Port=1 RD @01 + 914.50ns INFO [00000916] * RD COMPARE * port=0 adr=06 act=166658C0BE195A4062 exp=166658C0BE195A4062 + 914.50ns INFO [00000916] Port=0 RD @05 + 914.50ns INFO [00000916] Port=1 RD @02 + 915.50ns INFO [00000917] * RD COMPARE * port=0 adr=05 act=E4522E1DBF347D088B exp=E4522E1DBF347D088B + 915.50ns INFO [00000917] * RD COMPARE * port=1 adr=01 act=29FB101D5EF2D0F83F exp=29FB101D5EF2D0F83F + 916.50ns INFO [00000918] * RD COMPARE * port=0 adr=05 act=E4522E1DBF347D088B exp=E4522E1DBF347D088B + 916.50ns INFO [00000918] * RD COMPARE * port=1 adr=02 act=8123F3B33B168A4852 exp=8123F3B33B168A4852 + 916.50ns INFO [00000918] Port=0 WR @00=DC80025B838DBB9A99 + 916.50ns INFO [00000918] Port=0 RD @02 + 916.50ns INFO [00000918] Port=1 RD @06 + 917.50ns INFO [00000919] Port=0 WR @07=9132CB268F6BBB722A + 917.50ns INFO [00000919] Port=1 RD @03 + 918.50ns INFO [00000920] * RD COMPARE * port=0 adr=02 act=8123F3B33B168A4852 exp=8123F3B33B168A4852 + 918.50ns INFO [00000920] * RD COMPARE * port=1 adr=06 act=166658C0BE195A4062 exp=166658C0BE195A4062 + 918.50ns INFO [00000920] Port=1 RD @04 + 919.50ns INFO [00000921] * RD COMPARE * port=1 adr=03 act=A128B41928ECF1704C exp=A128B41928ECF1704C + 919.50ns INFO [00000921] Port=1 RD @00 + 920.50ns INFO [00000922] * RD COMPARE * port=1 adr=04 act=9EC2628F3F596F82EA exp=9EC2628F3F596F82EA + 920.50ns INFO [00000922] Port=0 WR @01=4D03BC5ACD72AD1856 + 920.50ns INFO [00000922] Port=0 RD @04 + 921.50ns INFO [00000923] * RD COMPARE * port=1 adr=00 act=DC80025B838DBB9A99 exp=DC80025B838DBB9A99 + 921.50ns INFO [00000923] Port=0 WR @00=2D8F1A53D2EB939947 + 922.50ns INFO [00000924] * RD COMPARE * port=0 adr=04 act=9EC2628F3F596F82EA exp=9EC2628F3F596F82EA + 922.50ns INFO [00000924] Port=0 RD @00 + 923.50ns INFO [00000925] Port=0 RD @04 + 924.50ns INFO [00000926] * RD COMPARE * port=0 adr=00 act=2D8F1A53D2EB939947 exp=2D8F1A53D2EB939947 + 924.50ns INFO [00000926] Port=0 RD @04 + 925.50ns INFO [00000927] * RD COMPARE * port=0 adr=04 act=9EC2628F3F596F82EA exp=9EC2628F3F596F82EA + 925.50ns INFO [00000927] Port=0 RD @03 + 925.50ns INFO [00000927] Port=1 RD @01 + 926.50ns INFO [00000928] * RD COMPARE * port=0 adr=04 act=9EC2628F3F596F82EA exp=9EC2628F3F596F82EA + 926.50ns INFO [00000928] Port=0 WR @00=F133D8A5E653A1FBA1 + 926.50ns INFO [00000928] Port=0 RD @02 + 926.50ns INFO [00000928] Port=1 RD @03 + 927.50ns INFO [00000929] * RD COMPARE * port=0 adr=03 act=A128B41928ECF1704C exp=A128B41928ECF1704C + 927.50ns INFO [00000929] * RD COMPARE * port=1 adr=01 act=4D03BC5ACD72AD1856 exp=4D03BC5ACD72AD1856 + 928.50ns INFO [00000930] * RD COMPARE * port=0 adr=02 act=8123F3B33B168A4852 exp=8123F3B33B168A4852 + 928.50ns INFO [00000930] * RD COMPARE * port=1 adr=03 act=A128B41928ECF1704C exp=A128B41928ECF1704C + 928.50ns INFO [00000930] Port=0 RD @05 + 928.50ns INFO [00000930] Port=1 RD @01 + 929.50ns INFO [00000931] Port=0 WR @00=057A300FAAAD49D373 + 930.50ns INFO [00000932] * RD COMPARE * port=0 adr=05 act=E4522E1DBF347D088B exp=E4522E1DBF347D088B + 930.50ns INFO [00000932] * RD COMPARE * port=1 adr=01 act=4D03BC5ACD72AD1856 exp=4D03BC5ACD72AD1856 + 930.50ns INFO [00000932] Port=0 RD @04 + 930.50ns INFO [00000932] Port=1 RD @03 + 931.50ns INFO [00000933] Port=0 WR @07=69D8ADB23F0100B21B + 931.50ns INFO [00000933] Port=1 RD @06 + 932.50ns INFO [00000934] * RD COMPARE * port=0 adr=04 act=9EC2628F3F596F82EA exp=9EC2628F3F596F82EA + 932.50ns INFO [00000934] * RD COMPARE * port=1 adr=03 act=A128B41928ECF1704C exp=A128B41928ECF1704C + 932.50ns INFO [00000934] Port=1 RD @04 + 933.50ns INFO [00000935] * RD COMPARE * port=1 adr=06 act=166658C0BE195A4062 exp=166658C0BE195A4062 + 934.50ns INFO [00000936] * RD COMPARE * port=1 adr=04 act=9EC2628F3F596F82EA exp=9EC2628F3F596F82EA + 936.50ns INFO [00000938] Port=1 RD @06 + 937.50ns INFO [00000939] Port=0 RD @07 + 938.50ns INFO [00000940] * RD COMPARE * port=1 adr=06 act=166658C0BE195A4062 exp=166658C0BE195A4062 + 938.50ns INFO [00000940] Port=0 RD @03 + 939.50ns INFO [00000941] * RD COMPARE * port=0 adr=07 act=69D8ADB23F0100B21B exp=69D8ADB23F0100B21B + 939.50ns INFO [00000941] Port=0 RD @07 + 939.50ns INFO [00000941] Port=1 RD @01 + 940.50ns INFO [00000942] * RD COMPARE * port=0 adr=03 act=A128B41928ECF1704C exp=A128B41928ECF1704C + 940.50ns INFO [00000942] Port=0 WR @02=380CF11AE3366A7162 + 940.50ns INFO [00000942] Port=0 RD @01 + 940.50ns INFO [00000942] Port=1 RD @01 + 941.50ns INFO [00000943] * RD COMPARE * port=0 adr=07 act=69D8ADB23F0100B21B exp=69D8ADB23F0100B21B + 941.50ns INFO [00000943] * RD COMPARE * port=1 adr=01 act=4D03BC5ACD72AD1856 exp=4D03BC5ACD72AD1856 + 941.50ns INFO [00000943] Port=0 RD @01 + 942.50ns INFO [00000944] * RD COMPARE * port=0 adr=01 act=4D03BC5ACD72AD1856 exp=4D03BC5ACD72AD1856 + 942.50ns INFO [00000944] * RD COMPARE * port=1 adr=01 act=4D03BC5ACD72AD1856 exp=4D03BC5ACD72AD1856 + 942.50ns INFO [00000944] Port=0 WR @02=F02DA9E81A1ECB7F95 + 943.50ns INFO [00000945] * RD COMPARE * port=0 adr=01 act=4D03BC5ACD72AD1856 exp=4D03BC5ACD72AD1856 + 944.50ns INFO [00000946] Port=0 RD @01 + 944.50ns INFO [00000946] Port=1 RD @03 + 946.50ns INFO [00000948] * RD COMPARE * port=0 adr=01 act=4D03BC5ACD72AD1856 exp=4D03BC5ACD72AD1856 + 946.50ns INFO [00000948] * RD COMPARE * port=1 adr=03 act=A128B41928ECF1704C exp=A128B41928ECF1704C + 946.50ns INFO [00000948] Port=1 RD @06 + 947.50ns INFO [00000949] Port=0 WR @01=61E25272118C2C474D + 948.50ns INFO [00000950] * RD COMPARE * port=1 adr=06 act=166658C0BE195A4062 exp=166658C0BE195A4062 + 948.50ns INFO [00000950] Port=0 WR @01=61E20743B599D8B075 + 948.50ns INFO [00000950] Port=0 RD @02 + 950.50ns INFO [00000952] * RD COMPARE * port=0 adr=02 act=F02DA9E81A1ECB7F95 exp=F02DA9E81A1ECB7F95 + 950.50ns INFO [00000952] Port=0 WR @03=1E9139A7D1F7CC2394 + 951.50ns INFO [00000953] Port=0 WR @06=8A6278990F8712C901 + 951.50ns INFO [00000953] Port=1 RD @03 + 953.50ns INFO [00000955] * RD COMPARE * port=1 adr=03 act=1E9139A7D1F7CC2394 exp=1E9139A7D1F7CC2394 + 954.50ns INFO [00000956] Port=1 RD @05 + 955.50ns INFO [00000957] Port=1 RD @00 + 956.50ns INFO [00000958] * RD COMPARE * port=1 adr=05 act=E4522E1DBF347D088B exp=E4522E1DBF347D088B + 956.50ns INFO [00000958] Port=0 RD @06 + 956.50ns INFO [00000958] Port=1 RD @07 + 957.50ns INFO [00000959] * RD COMPARE * port=1 adr=00 act=057A300FAAAD49D373 exp=057A300FAAAD49D373 + 957.50ns INFO [00000959] Port=0 RD @02 + 957.50ns INFO [00000959] Port=1 RD @05 + 958.50ns INFO [00000960] * RD COMPARE * port=0 adr=06 act=8A6278990F8712C901 exp=8A6278990F8712C901 + 958.50ns INFO [00000960] * RD COMPARE * port=1 adr=07 act=69D8ADB23F0100B21B exp=69D8ADB23F0100B21B + 958.50ns INFO [00000960] Port=0 WR @05=65126DE27EA5365B19 + 958.50ns INFO [00000960] Port=1 RD @04 + 959.50ns INFO [00000961] * RD COMPARE * port=0 adr=02 act=F02DA9E81A1ECB7F95 exp=F02DA9E81A1ECB7F95 + 959.50ns INFO [00000961] * RD COMPARE * port=1 adr=05 act=E4522E1DBF347D088B exp=E4522E1DBF347D088B + 959.50ns INFO [00000961] Port=0 WR @05=5C0990387E45BF40F6 + 960.50ns INFO [00000962] * RD COMPARE * port=1 adr=04 act=9EC2628F3F596F82EA exp=9EC2628F3F596F82EA + 960.50ns INFO [00000962] Port=0 RD @06 + 960.50ns INFO [00000962] Port=1 RD @07 + 962.50ns INFO [00000964] * RD COMPARE * port=0 adr=06 act=8A6278990F8712C901 exp=8A6278990F8712C901 + 962.50ns INFO [00000964] * RD COMPARE * port=1 adr=07 act=69D8ADB23F0100B21B exp=69D8ADB23F0100B21B + 962.50ns INFO [00000964] Port=0 WR @06=D39DD293EB883F8897 + 964.50ns INFO [00000966] Port=0 RD @03 + 965.50ns INFO [00000967] Port=0 RD @06 + 966.50ns INFO [00000968] * RD COMPARE * port=0 adr=03 act=1E9139A7D1F7CC2394 exp=1E9139A7D1F7CC2394 + 966.50ns INFO [00000968] Port=0 WR @07=659880360D4E3B5687 + 966.50ns INFO [00000968] Port=0 RD @00 + 967.50ns INFO [00000969] * RD COMPARE * port=0 adr=06 act=D39DD293EB883F8897 exp=D39DD293EB883F8897 + 968.50ns INFO [00000970] * RD COMPARE * port=0 adr=00 act=057A300FAAAD49D373 exp=057A300FAAAD49D373 + 970.50ns INFO [00000972] Port=0 WR @05=22337CDCB3E75B9855 + 970.50ns INFO [00000972] Port=0 RD @02 + 971.50ns INFO [00000973] Port=0 RD @01 + 972.50ns INFO [00000974] * RD COMPARE * port=0 adr=02 act=F02DA9E81A1ECB7F95 exp=F02DA9E81A1ECB7F95 + 972.50ns INFO [00000974] Port=0 RD @04 + 972.50ns INFO [00000974] Port=1 RD @02 + 973.50ns INFO [00000975] * RD COMPARE * port=0 adr=01 act=61E20743B599D8B075 exp=61E20743B599D8B075 + 973.50ns INFO [00000975] Port=0 WR @02=3549AAE6740F86EF4C + 973.50ns INFO [00000975] Port=0 RD @04 + 974.50ns INFO [00000976] * RD COMPARE * port=0 adr=04 act=9EC2628F3F596F82EA exp=9EC2628F3F596F82EA + 974.50ns INFO [00000976] * RD COMPARE * port=1 adr=02 act=F02DA9E81A1ECB7F95 exp=F02DA9E81A1ECB7F95 + 974.50ns INFO [00000976] Port=1 RD @07 + 975.50ns INFO [00000977] * RD COMPARE * port=0 adr=04 act=9EC2628F3F596F82EA exp=9EC2628F3F596F82EA + 976.50ns INFO [00000978] * RD COMPARE * port=1 adr=07 act=659880360D4E3B5687 exp=659880360D4E3B5687 + 978.50ns INFO [00000980] Port=0 RD @00 + 978.50ns INFO [00000980] Port=1 RD @04 + 979.50ns INFO [00000981] Port=0 WR @04=E76608C71D197C2866 + 979.50ns INFO [00000981] Port=0 RD @01 + 980.50ns INFO [00000982] * RD COMPARE * port=0 adr=00 act=057A300FAAAD49D373 exp=057A300FAAAD49D373 + 980.50ns INFO [00000982] * RD COMPARE * port=1 adr=04 act=9EC2628F3F596F82EA exp=9EC2628F3F596F82EA + 980.50ns INFO [00000982] Port=0 RD @02 + 981.50ns INFO [00000983] * RD COMPARE * port=0 adr=01 act=61E20743B599D8B075 exp=61E20743B599D8B075 + 981.50ns INFO [00000983] Port=0 WR @02=4CCB963AF2D896C28D + 981.50ns INFO [00000983] Port=0 RD @03 + 982.50ns INFO [00000984] * RD COMPARE * port=0 adr=02 act=3549AAE6740F86EF4C exp=3549AAE6740F86EF4C + 982.50ns INFO [00000984] Port=0 RD @02 + 983.50ns INFO [00000985] * RD COMPARE * port=0 adr=03 act=1E9139A7D1F7CC2394 exp=1E9139A7D1F7CC2394 + 983.50ns INFO [00000985] Port=0 WR @06=C47CCBA726529BD9D9 + 983.50ns INFO [00000985] Port=0 RD @05 + 983.50ns INFO [00000985] Port=1 RD @00 + 984.50ns INFO [00000986] * RD COMPARE * port=0 adr=02 act=4CCB963AF2D896C28D exp=4CCB963AF2D896C28D + 984.50ns INFO [00000986] Port=1 RD @06 + 985.50ns INFO [00000987] * RD COMPARE * port=0 adr=05 act=22337CDCB3E75B9855 exp=22337CDCB3E75B9855 + 985.50ns INFO [00000987] * RD COMPARE * port=1 adr=00 act=057A300FAAAD49D373 exp=057A300FAAAD49D373 + 985.50ns INFO [00000987] Port=0 WR @03=AE2CDB4B341AFEB8CA + 985.50ns INFO [00000987] Port=0 RD @02 + 985.50ns INFO [00000987] Port=1 RD @06 + 986.50ns INFO [00000988] * RD COMPARE * port=1 adr=06 act=C47CCBA726529BD9D9 exp=C47CCBA726529BD9D9 + 987.50ns INFO [00000989] * RD COMPARE * port=0 adr=02 act=4CCB963AF2D896C28D exp=4CCB963AF2D896C28D + 987.50ns INFO [00000989] * RD COMPARE * port=1 adr=06 act=C47CCBA726529BD9D9 exp=C47CCBA726529BD9D9 + 987.50ns INFO [00000989] Port=0 WR @01=CA4038473402929316 + 987.50ns INFO [00000989] Port=0 RD @06 + 988.50ns INFO [00000990] Port=1 RD @03 + 989.50ns INFO [00000991] * RD COMPARE * port=0 adr=06 act=C47CCBA726529BD9D9 exp=C47CCBA726529BD9D9 + 989.50ns INFO [00000991] Port=1 RD @04 + 990.50ns INFO [00000992] * RD COMPARE * port=1 adr=03 act=AE2CDB4B341AFEB8CA exp=AE2CDB4B341AFEB8CA + 990.50ns INFO [00000992] Port=0 WR @04=F43967CD751BA0D99B + 990.50ns INFO [00000992] Port=0 RD @02 + 991.50ns INFO [00000993] * RD COMPARE * port=1 adr=04 act=E76608C71D197C2866 exp=E76608C71D197C2866 + 991.50ns INFO [00000993] Port=1 RD @05 + 992.50ns INFO [00000994] * RD COMPARE * port=0 adr=02 act=4CCB963AF2D896C28D exp=4CCB963AF2D896C28D + 992.50ns INFO [00000994] Port=1 RD @00 + 993.50ns INFO [00000995] * RD COMPARE * port=1 adr=05 act=22337CDCB3E75B9855 exp=22337CDCB3E75B9855 + 993.50ns INFO [00000995] Port=0 RD @07 + 993.50ns INFO [00000995] Port=1 RD @05 + 994.50ns INFO [00000996] * RD COMPARE * port=1 adr=00 act=057A300FAAAD49D373 exp=057A300FAAAD49D373 + 994.50ns INFO [00000996] Port=1 RD @00 + 995.50ns INFO [00000997] * RD COMPARE * port=0 adr=07 act=659880360D4E3B5687 exp=659880360D4E3B5687 + 995.50ns INFO [00000997] * RD COMPARE * port=1 adr=05 act=22337CDCB3E75B9855 exp=22337CDCB3E75B9855 + 996.50ns INFO [00000998] * RD COMPARE * port=1 adr=00 act=057A300FAAAD49D373 exp=057A300FAAAD49D373 + 996.50ns INFO [00000998] Port=0 RD @04 + 998.00ns INFO [00001000] [00001000] ...tick... + 998.50ns INFO [00001000] * RD COMPARE * port=0 adr=04 act=F43967CD751BA0D99B exp=F43967CD751BA0D99B + 998.50ns INFO [00001000] Port=0 WR @00=82186C2C871F03F66C + 999.50ns INFO [00001001] Port=0 WR @04=9ECABF1703AB3FA27C + 1001.50ns INFO [00001003] Port=0 WR @07=7B3D091A12978797A2 + 1002.50ns INFO [00001004] Port=1 RD @01 + 1003.50ns INFO [00001005] Port=0 WR @07=77D07CDD5B913B4CA9 + 1003.50ns INFO [00001005] Port=0 RD @05 + 1003.50ns INFO [00001005] Port=1 RD @06 + 1004.50ns INFO [00001006] * RD COMPARE * port=1 adr=01 act=CA4038473402929316 exp=CA4038473402929316 + 1004.50ns INFO [00001006] Port=0 RD @05 + 1005.50ns INFO [00001007] * RD COMPARE * port=0 adr=05 act=22337CDCB3E75B9855 exp=22337CDCB3E75B9855 + 1005.50ns INFO [00001007] * RD COMPARE * port=1 adr=06 act=C47CCBA726529BD9D9 exp=C47CCBA726529BD9D9 + 1005.50ns INFO [00001007] Port=0 WR @06=508D461A9C2A7B5951 + 1005.50ns INFO [00001007] Port=0 RD @05 + 1006.50ns INFO [00001008] * RD COMPARE * port=0 adr=05 act=22337CDCB3E75B9855 exp=22337CDCB3E75B9855 + 1006.50ns INFO [00001008] Port=0 WR @02=FF749AA32C94F58CFF + 1006.50ns INFO [00001008] Port=0 RD @06 + 1007.50ns INFO [00001009] * RD COMPARE * port=0 adr=05 act=22337CDCB3E75B9855 exp=22337CDCB3E75B9855 + 1007.50ns INFO [00001009] Port=0 RD @00 + 1007.50ns INFO [00001009] Port=1 RD @01 + 1008.50ns INFO [00001010] * RD COMPARE * port=0 adr=06 act=508D461A9C2A7B5951 exp=508D461A9C2A7B5951 + 1009.50ns INFO [00001011] * RD COMPARE * port=0 adr=00 act=82186C2C871F03F66C exp=82186C2C871F03F66C + 1009.50ns INFO [00001011] * RD COMPARE * port=1 adr=01 act=CA4038473402929316 exp=CA4038473402929316 + 1011.50ns INFO [00001013] Port=1 RD @00 + 1013.50ns INFO [00001015] * RD COMPARE * port=1 adr=00 act=82186C2C871F03F66C exp=82186C2C871F03F66C + 1013.50ns INFO [00001015] Port=0 WR @05=08F251A386890643F9 + 1013.50ns INFO [00001015] Port=0 RD @04 + 1015.50ns INFO [00001017] * RD COMPARE * port=0 adr=04 act=9ECABF1703AB3FA27C exp=9ECABF1703AB3FA27C + 1015.50ns INFO [00001017] Port=0 WR @06=84077E2596AF7471E5 + 1015.50ns INFO [00001017] Port=1 RD @00 + 1016.50ns INFO [00001018] Port=0 WR @01=3D594ED388727D31BB + 1016.50ns INFO [00001018] Port=1 RD @03 + 1017.50ns INFO [00001019] * RD COMPARE * port=1 adr=00 act=82186C2C871F03F66C exp=82186C2C871F03F66C + 1018.50ns INFO [00001020] * RD COMPARE * port=1 adr=03 act=AE2CDB4B341AFEB8CA exp=AE2CDB4B341AFEB8CA + 1018.50ns INFO [00001020] Port=0 RD @05 + 1019.50ns INFO [00001021] Port=0 WR @03=EF41461D351EEBC912 + 1019.50ns INFO [00001021] Port=1 RD @05 + 1020.50ns INFO [00001022] * RD COMPARE * port=0 adr=05 act=08F251A386890643F9 exp=08F251A386890643F9 + 1020.50ns INFO [00001022] Port=0 WR @03=C50C4000D0A1A8FF20 + 1020.50ns INFO [00001022] Port=0 RD @04 + 1021.50ns INFO [00001023] * RD COMPARE * port=1 adr=05 act=08F251A386890643F9 exp=08F251A386890643F9 + 1021.50ns INFO [00001023] Port=0 WR @04=1B4FC5CA753C95AECC + 1021.50ns INFO [00001023] Port=1 RD @07 + 1022.50ns INFO [00001024] * RD COMPARE * port=0 adr=04 act=9ECABF1703AB3FA27C exp=9ECABF1703AB3FA27C + 1022.50ns INFO [00001024] Port=0 RD @06 + 1022.50ns INFO [00001024] Port=1 RD @05 + 1023.50ns INFO [00001025] * RD COMPARE * port=1 adr=07 act=77D07CDD5B913B4CA9 exp=77D07CDD5B913B4CA9 + 1023.50ns INFO [00001025] Port=1 RD @06 + 1024.50ns INFO [00001026] * RD COMPARE * port=0 adr=06 act=84077E2596AF7471E5 exp=84077E2596AF7471E5 + 1024.50ns INFO [00001026] * RD COMPARE * port=1 adr=05 act=08F251A386890643F9 exp=08F251A386890643F9 + 1024.50ns INFO [00001026] Port=0 WR @01=CD276385BD08B2707C + 1024.50ns INFO [00001026] Port=0 RD @05 + 1025.50ns INFO [00001027] * RD COMPARE * port=1 adr=06 act=84077E2596AF7471E5 exp=84077E2596AF7471E5 + 1025.50ns INFO [00001027] Port=0 RD @00 + 1025.50ns INFO [00001027] Port=1 RD @05 + 1026.50ns INFO [00001028] * RD COMPARE * port=0 adr=05 act=08F251A386890643F9 exp=08F251A386890643F9 + 1026.50ns INFO [00001028] Port=0 RD @00 + 1027.50ns INFO [00001029] * RD COMPARE * port=0 adr=00 act=82186C2C871F03F66C exp=82186C2C871F03F66C + 1027.50ns INFO [00001029] * RD COMPARE * port=1 adr=05 act=08F251A386890643F9 exp=08F251A386890643F9 + 1027.50ns INFO [00001029] Port=1 RD @05 + 1028.50ns INFO [00001030] * RD COMPARE * port=0 adr=00 act=82186C2C871F03F66C exp=82186C2C871F03F66C + 1028.50ns INFO [00001030] Port=0 RD @00 + 1029.50ns INFO [00001031] * RD COMPARE * port=1 adr=05 act=08F251A386890643F9 exp=08F251A386890643F9 + 1029.50ns INFO [00001031] Port=0 WR @03=24028AF388531D49E8 + 1030.50ns INFO [00001032] * RD COMPARE * port=0 adr=00 act=82186C2C871F03F66C exp=82186C2C871F03F66C + 1030.50ns INFO [00001032] Port=1 RD @05 + 1031.50ns INFO [00001033] Port=0 WR @07=3B634326B1FFDF21E2 + 1031.50ns INFO [00001033] Port=1 RD @02 + 1032.50ns INFO [00001034] * RD COMPARE * port=1 adr=05 act=08F251A386890643F9 exp=08F251A386890643F9 + 1032.50ns INFO [00001034] Port=0 RD @06 + 1033.50ns INFO [00001035] * RD COMPARE * port=1 adr=02 act=FF749AA32C94F58CFF exp=FF749AA32C94F58CFF + 1033.50ns INFO [00001035] Port=0 WR @05=C412B08BCC1B8DA1FE + 1034.50ns INFO [00001036] * RD COMPARE * port=0 adr=06 act=84077E2596AF7471E5 exp=84077E2596AF7471E5 + 1034.50ns INFO [00001036] Port=0 WR @04=0E2634A252CCFA875C + 1034.50ns INFO [00001036] Port=0 RD @05 + 1035.50ns INFO [00001037] Port=0 WR @00=621F8854A25BC54C96 + 1035.50ns INFO [00001037] Port=0 RD @06 + 1035.50ns INFO [00001037] Port=1 RD @02 + 1036.50ns INFO [00001038] * RD COMPARE * port=0 adr=05 act=C412B08BCC1B8DA1FE exp=C412B08BCC1B8DA1FE + 1036.50ns INFO [00001038] Port=0 WR @04=DB42708B70038D907A + 1036.50ns INFO [00001038] Port=0 RD @03 + 1037.50ns INFO [00001039] * RD COMPARE * port=0 adr=06 act=84077E2596AF7471E5 exp=84077E2596AF7471E5 + 1037.50ns INFO [00001039] * RD COMPARE * port=1 adr=02 act=FF749AA32C94F58CFF exp=FF749AA32C94F58CFF + 1037.50ns INFO [00001039] Port=0 WR @07=6D7392D5168EC381ED + 1037.50ns INFO [00001039] Port=0 RD @06 + 1037.50ns INFO [00001039] Port=1 RD @05 + 1038.50ns INFO [00001040] * RD COMPARE * port=0 adr=03 act=24028AF388531D49E8 exp=24028AF388531D49E8 + 1038.50ns INFO [00001040] Port=1 RD @04 + 1039.50ns INFO [00001041] * RD COMPARE * port=0 adr=06 act=84077E2596AF7471E5 exp=84077E2596AF7471E5 + 1039.50ns INFO [00001041] * RD COMPARE * port=1 adr=05 act=C412B08BCC1B8DA1FE exp=C412B08BCC1B8DA1FE + 1039.50ns INFO [00001041] Port=1 RD @00 + 1040.50ns INFO [00001042] * RD COMPARE * port=1 adr=04 act=DB42708B70038D907A exp=DB42708B70038D907A + 1040.50ns INFO [00001042] Port=0 WR @07=6D718A47D85207653A + 1041.50ns INFO [00001043] * RD COMPARE * port=1 adr=00 act=621F8854A25BC54C96 exp=621F8854A25BC54C96 + 1041.50ns INFO [00001043] Port=1 RD @00 + 1043.50ns INFO [00001045] * RD COMPARE * port=1 adr=00 act=621F8854A25BC54C96 exp=621F8854A25BC54C96 + 1043.50ns INFO [00001045] Port=0 RD @04 + 1043.50ns INFO [00001045] Port=1 RD @02 + 1044.50ns INFO [00001046] Port=0 WR @06=71CD840FEEC58DD088 + 1044.50ns INFO [00001046] Port=0 RD @03 + 1045.50ns INFO [00001047] * RD COMPARE * port=0 adr=04 act=DB42708B70038D907A exp=DB42708B70038D907A + 1045.50ns INFO [00001047] * RD COMPARE * port=1 adr=02 act=FF749AA32C94F58CFF exp=FF749AA32C94F58CFF + 1045.50ns INFO [00001047] Port=0 WR @00=FCCE44EAA43E5044BF + 1046.50ns INFO [00001048] * RD COMPARE * port=0 adr=03 act=24028AF388531D49E8 exp=24028AF388531D49E8 + 1046.50ns INFO [00001048] Port=1 RD @07 + 1047.50ns INFO [00001049] Port=0 WR @03=8E3D8284E80F6DEFEF + 1048.50ns INFO [00001050] * RD COMPARE * port=1 adr=07 act=6D718A47D85207653A exp=6D718A47D85207653A + 1050.50ns INFO [00001052] Port=0 RD @02 + 1052.50ns INFO [00001054] * RD COMPARE * port=0 adr=02 act=FF749AA32C94F58CFF exp=FF749AA32C94F58CFF + 1052.50ns INFO [00001054] Port=0 WR @02=F13BACA9B3E8FC518E + 1053.50ns INFO [00001055] Port=0 RD @03 + 1054.50ns INFO [00001056] Port=0 RD @07 + 1055.50ns INFO [00001057] * RD COMPARE * port=0 adr=03 act=8E3D8284E80F6DEFEF exp=8E3D8284E80F6DEFEF + 1055.50ns INFO [00001057] Port=0 WR @02=57976050F57644B422 + 1055.50ns INFO [00001057] Port=1 RD @04 + 1056.50ns INFO [00001058] * RD COMPARE * port=0 adr=07 act=6D718A47D85207653A exp=6D718A47D85207653A + 1057.50ns INFO [00001059] * RD COMPARE * port=1 adr=04 act=DB42708B70038D907A exp=DB42708B70038D907A + 1057.50ns INFO [00001059] Port=0 WR @04=FA929D30AB8E61E20E + 1057.50ns INFO [00001059] Port=0 RD @01 + 1059.50ns INFO [00001061] * RD COMPARE * port=0 adr=01 act=CD276385BD08B2707C exp=CD276385BD08B2707C + 1060.50ns INFO [00001062] Port=1 RD @07 + 1061.50ns INFO [00001063] Port=1 RD @01 + 1062.50ns INFO [00001064] * RD COMPARE * port=1 adr=07 act=6D718A47D85207653A exp=6D718A47D85207653A + 1062.50ns INFO [00001064] Port=0 WR @04=43FEBB135A23B968A0 + 1062.50ns INFO [00001064] Port=1 RD @07 + 1063.50ns INFO [00001065] * RD COMPARE * port=1 adr=01 act=CD276385BD08B2707C exp=CD276385BD08B2707C + 1063.50ns INFO [00001065] Port=0 RD @05 + 1064.50ns INFO [00001066] * RD COMPARE * port=1 adr=07 act=6D718A47D85207653A exp=6D718A47D85207653A + 1064.50ns INFO [00001066] Port=0 WR @07=5506BBD056F4FFE95D + 1064.50ns INFO [00001066] Port=0 RD @02 + 1065.50ns INFO [00001067] * RD COMPARE * port=0 adr=05 act=C412B08BCC1B8DA1FE exp=C412B08BCC1B8DA1FE + 1065.50ns INFO [00001067] Port=0 WR @05=6CDE6F0BF2995DF029 + 1065.50ns INFO [00001067] Port=0 RD @01 + 1065.50ns INFO [00001067] Port=1 RD @01 + 1066.50ns INFO [00001068] * RD COMPARE * port=0 adr=02 act=57976050F57644B422 exp=57976050F57644B422 + 1066.50ns INFO [00001068] Port=0 WR @03=70F0A8D7CC3DA28AEB + 1066.50ns INFO [00001068] Port=0 RD @07 + 1067.50ns INFO [00001069] * RD COMPARE * port=0 adr=01 act=CD276385BD08B2707C exp=CD276385BD08B2707C + 1067.50ns INFO [00001069] * RD COMPARE * port=1 adr=01 act=CD276385BD08B2707C exp=CD276385BD08B2707C + 1068.50ns INFO [00001070] * RD COMPARE * port=0 adr=07 act=5506BBD056F4FFE95D exp=5506BBD056F4FFE95D + 1068.50ns INFO [00001070] Port=0 WR @03=1B5508968EC6FA27F3 + 1069.50ns INFO [00001071] Port=0 RD @04 + 1071.50ns INFO [00001073] * RD COMPARE * port=0 adr=04 act=43FEBB135A23B968A0 exp=43FEBB135A23B968A0 + 1071.50ns INFO [00001073] Port=1 RD @02 + 1073.50ns INFO [00001075] * RD COMPARE * port=1 adr=02 act=57976050F57644B422 exp=57976050F57644B422 + 1074.50ns INFO [00001076] Port=0 WR @00=C5AF4A50664C6D424C + 1075.50ns INFO [00001077] Port=1 RD @00 + 1076.50ns INFO [00001078] Port=0 WR @03=29D1FBB4A750BF3060 + 1076.50ns INFO [00001078] Port=0 RD @05 + 1077.50ns INFO [00001079] * RD COMPARE * port=1 adr=00 act=C5AF4A50664C6D424C exp=C5AF4A50664C6D424C + 1078.50ns INFO [00001080] * RD COMPARE * port=0 adr=05 act=6CDE6F0BF2995DF029 exp=6CDE6F0BF2995DF029 + 1078.50ns INFO [00001080] Port=0 RD @06 + 1078.50ns INFO [00001080] Port=1 RD @05 + 1079.50ns INFO [00001081] Port=1 RD @02 + 1080.50ns INFO [00001082] * RD COMPARE * port=0 adr=06 act=71CD840FEEC58DD088 exp=71CD840FEEC58DD088 + 1080.50ns INFO [00001082] * RD COMPARE * port=1 adr=05 act=6CDE6F0BF2995DF029 exp=6CDE6F0BF2995DF029 + 1080.50ns INFO [00001082] Port=0 WR @05=B55BF5EECD1DC32367 + 1080.50ns INFO [00001082] Port=1 RD @06 + 1081.50ns INFO [00001083] * RD COMPARE * port=1 adr=02 act=57976050F57644B422 exp=57976050F57644B422 + 1081.50ns INFO [00001083] Port=1 RD @07 + 1082.50ns INFO [00001084] * RD COMPARE * port=1 adr=06 act=71CD840FEEC58DD088 exp=71CD840FEEC58DD088 + 1082.50ns INFO [00001084] Port=0 WR @00=73B78A283ECB4324E2 + 1082.50ns INFO [00001084] Port=1 RD @02 + 1083.50ns INFO [00001085] * RD COMPARE * port=1 adr=07 act=5506BBD056F4FFE95D exp=5506BBD056F4FFE95D + 1083.50ns INFO [00001085] Port=0 WR @02=B10A6620FCC0BF4E84 + 1083.50ns INFO [00001085] Port=0 RD @04 + 1083.50ns INFO [00001085] Port=1 RD @03 + 1084.50ns INFO [00001086] * RD COMPARE * port=1 adr=02 act=57976050F57644B422 exp=57976050F57644B422 + 1084.50ns INFO [00001086] Port=0 WR @03=AF398B91006A23B571 + 1085.50ns INFO [00001087] * RD COMPARE * port=0 adr=04 act=43FEBB135A23B968A0 exp=43FEBB135A23B968A0 + 1085.50ns INFO [00001087] * RD COMPARE * port=1 adr=03 act=29D1FBB4A750BF3060 exp=29D1FBB4A750BF3060 + 1085.50ns INFO [00001087] Port=0 WR @07=C29F05E19C6EB43BE5 + 1085.50ns INFO [00001087] Port=0 RD @02 + 1085.50ns INFO [00001087] Port=1 RD @02 + 1087.50ns INFO [00001089] * RD COMPARE * port=0 adr=02 act=B10A6620FCC0BF4E84 exp=B10A6620FCC0BF4E84 + 1087.50ns INFO [00001089] * RD COMPARE * port=1 adr=02 act=B10A6620FCC0BF4E84 exp=B10A6620FCC0BF4E84 + 1087.50ns INFO [00001089] Port=1 RD @00 + 1088.50ns INFO [00001090] Port=0 WR @01=28996D4EE2262CB8DA + 1088.50ns INFO [00001090] Port=0 RD @05 + 1088.50ns INFO [00001090] Port=1 RD @03 + 1089.50ns INFO [00001091] * RD COMPARE * port=1 adr=00 act=73B78A283ECB4324E2 exp=73B78A283ECB4324E2 + 1089.50ns INFO [00001091] Port=0 WR @01=61C53C34E9CFB213AF + 1089.50ns INFO [00001091] Port=0 RD @04 + 1090.50ns INFO [00001092] * RD COMPARE * port=0 adr=05 act=B55BF5EECD1DC32367 exp=B55BF5EECD1DC32367 + 1090.50ns INFO [00001092] * RD COMPARE * port=1 adr=03 act=AF398B91006A23B571 exp=AF398B91006A23B571 + 1090.50ns INFO [00001092] Port=0 WR @05=E1E4913F6F0699C289 + 1090.50ns INFO [00001092] Port=0 RD @07 + 1091.50ns INFO [00001093] * RD COMPARE * port=0 adr=04 act=43FEBB135A23B968A0 exp=43FEBB135A23B968A0 + 1091.50ns INFO [00001093] Port=0 RD @07 + 1092.50ns INFO [00001094] * RD COMPARE * port=0 adr=07 act=C29F05E19C6EB43BE5 exp=C29F05E19C6EB43BE5 + 1092.50ns INFO [00001094] Port=0 WR @02=57CE2574D5A5107AA0 + 1092.50ns INFO [00001094] Port=0 RD @07 + 1093.50ns INFO [00001095] * RD COMPARE * port=0 adr=07 act=C29F05E19C6EB43BE5 exp=C29F05E19C6EB43BE5 + 1093.50ns INFO [00001095] Port=1 RD @05 + 1094.50ns INFO [00001096] * RD COMPARE * port=0 adr=07 act=C29F05E19C6EB43BE5 exp=C29F05E19C6EB43BE5 + 1094.50ns INFO [00001096] Port=0 WR @05=0D40E00B5BE98C7669 + 1095.50ns INFO [00001097] * RD COMPARE * port=1 adr=05 act=E1E4913F6F0699C289 exp=E1E4913F6F0699C289 + 1096.50ns INFO [00001098] Port=1 RD @00 + 1097.50ns INFO [00001099] Port=1 RD @04 + 1098.00ns INFO [00001100] [00001100] ...tick... + 1098.50ns INFO [00001100] * RD COMPARE * port=1 adr=00 act=73B78A283ECB4324E2 exp=73B78A283ECB4324E2 + 1098.50ns INFO [00001100] Port=0 RD @03 + 1099.50ns INFO [00001101] * RD COMPARE * port=1 adr=04 act=43FEBB135A23B968A0 exp=43FEBB135A23B968A0 + 1099.50ns INFO [00001101] Port=0 RD @05 + 1099.50ns INFO [00001101] Port=1 RD @01 + 1100.50ns INFO [00001102] * RD COMPARE * port=0 adr=03 act=AF398B91006A23B571 exp=AF398B91006A23B571 + 1100.50ns INFO [00001102] Port=0 RD @04 + 1101.50ns INFO [00001103] * RD COMPARE * port=0 adr=05 act=0D40E00B5BE98C7669 exp=0D40E00B5BE98C7669 + 1101.50ns INFO [00001103] * RD COMPARE * port=1 adr=01 act=61C53C34E9CFB213AF exp=61C53C34E9CFB213AF + 1102.50ns INFO [00001104] * RD COMPARE * port=0 adr=04 act=43FEBB135A23B968A0 exp=43FEBB135A23B968A0 + 1102.50ns INFO [00001104] Port=0 WR @03=D6E9B372EC888EE25E + 1102.50ns INFO [00001104] Port=0 RD @05 + 1103.50ns INFO [00001105] Port=0 WR @06=1CAC9C53EE86298451 + 1104.50ns INFO [00001106] * RD COMPARE * port=0 adr=05 act=0D40E00B5BE98C7669 exp=0D40E00B5BE98C7669 + 1104.50ns INFO [00001106] Port=0 RD @03 + 1104.50ns INFO [00001106] Port=1 RD @06 + 1105.50ns INFO [00001107] Port=0 RD @06 + 1106.50ns INFO [00001108] * RD COMPARE * port=0 adr=03 act=D6E9B372EC888EE25E exp=D6E9B372EC888EE25E + 1106.50ns INFO [00001108] * RD COMPARE * port=1 adr=06 act=1CAC9C53EE86298451 exp=1CAC9C53EE86298451 + 1106.50ns INFO [00001108] Port=0 WR @03=D11A2A27E99B40F391 + 1106.50ns INFO [00001108] Port=1 RD @01 + 1107.50ns INFO [00001109] * RD COMPARE * port=0 adr=06 act=1CAC9C53EE86298451 exp=1CAC9C53EE86298451 + 1107.50ns INFO [00001109] Port=0 RD @01 + 1107.50ns INFO [00001109] Port=1 RD @05 + 1108.50ns INFO [00001110] * RD COMPARE * port=1 adr=01 act=61C53C34E9CFB213AF exp=61C53C34E9CFB213AF + 1108.50ns INFO [00001110] Port=0 WR @01=327EDB22047E6BC143 + 1108.50ns INFO [00001110] Port=0 RD @06 + 1109.50ns INFO [00001111] * RD COMPARE * port=0 adr=01 act=61C53C34E9CFB213AF exp=61C53C34E9CFB213AF + 1109.50ns INFO [00001111] * RD COMPARE * port=1 adr=05 act=0D40E00B5BE98C7669 exp=0D40E00B5BE98C7669 + 1110.50ns INFO [00001112] * RD COMPARE * port=0 adr=06 act=1CAC9C53EE86298451 exp=1CAC9C53EE86298451 + 1110.50ns INFO [00001112] Port=1 RD @00 + 1111.50ns INFO [00001113] Port=0 WR @07=EA5A66006D62A7FB26 + 1111.50ns INFO [00001113] Port=0 RD @06 + 1112.50ns INFO [00001114] * RD COMPARE * port=1 adr=00 act=73B78A283ECB4324E2 exp=73B78A283ECB4324E2 + 1112.50ns INFO [00001114] Port=0 RD @03 + 1113.50ns INFO [00001115] * RD COMPARE * port=0 adr=06 act=1CAC9C53EE86298451 exp=1CAC9C53EE86298451 + 1113.50ns INFO [00001115] Port=0 RD @07 + 1114.50ns INFO [00001116] * RD COMPARE * port=0 adr=03 act=D11A2A27E99B40F391 exp=D11A2A27E99B40F391 + 1115.50ns INFO [00001117] * RD COMPARE * port=0 adr=07 act=EA5A66006D62A7FB26 exp=EA5A66006D62A7FB26 + 1117.50ns INFO [00001119] Port=0 WR @04=3B9A98DFDEC97C93E9 + 1117.50ns INFO [00001119] Port=1 RD @00 + 1118.50ns INFO [00001120] Port=1 RD @04 + 1119.50ns INFO [00001121] * RD COMPARE * port=1 adr=00 act=73B78A283ECB4324E2 exp=73B78A283ECB4324E2 + 1119.50ns INFO [00001121] Port=0 WR @06=0899F54DB18F035DAF + 1119.50ns INFO [00001121] Port=0 RD @03 + 1120.50ns INFO [00001122] * RD COMPARE * port=1 adr=04 act=3B9A98DFDEC97C93E9 exp=3B9A98DFDEC97C93E9 + 1120.50ns INFO [00001122] Port=0 WR @03=4486C8903529953A6B + 1121.50ns INFO [00001123] * RD COMPARE * port=0 adr=03 act=D11A2A27E99B40F391 exp=D11A2A27E99B40F391 + 1122.50ns INFO [00001124] Port=1 RD @02 + 1124.50ns INFO [00001126] * RD COMPARE * port=1 adr=02 act=57CE2574D5A5107AA0 exp=57CE2574D5A5107AA0 + 1124.50ns INFO [00001126] Port=0 RD @04 + 1124.50ns INFO [00001126] Port=1 RD @02 + 1125.50ns INFO [00001127] Port=0 WR @04=3B09FD3FC41C7EBD41 + 1125.50ns INFO [00001127] Port=0 RD @01 + 1125.50ns INFO [00001127] Port=1 RD @00 + 1126.50ns INFO [00001128] * RD COMPARE * port=0 adr=04 act=3B9A98DFDEC97C93E9 exp=3B9A98DFDEC97C93E9 + 1126.50ns INFO [00001128] * RD COMPARE * port=1 adr=02 act=57CE2574D5A5107AA0 exp=57CE2574D5A5107AA0 + 1126.50ns INFO [00001128] Port=0 WR @00=8818615343B918CC0A + 1127.50ns INFO [00001129] * RD COMPARE * port=0 adr=01 act=327EDB22047E6BC143 exp=327EDB22047E6BC143 + 1127.50ns INFO [00001129] * RD COMPARE * port=1 adr=00 act=73B78A283ECB4324E2 exp=73B78A283ECB4324E2 + 1127.50ns INFO [00001129] Port=0 RD @03 + 1127.50ns INFO [00001129] Port=1 RD @06 + 1128.50ns INFO [00001130] Port=0 WR @06=2CDD51E22A35790EDB + 1128.50ns INFO [00001130] Port=1 RD @00 + 1129.50ns INFO [00001131] * RD COMPARE * port=0 adr=03 act=4486C8903529953A6B exp=4486C8903529953A6B + 1129.50ns INFO [00001131] * RD COMPARE * port=1 adr=06 act=0899F54DB18F035DAF exp=0899F54DB18F035DAF + 1129.50ns INFO [00001131] Port=0 RD @00 + 1130.50ns INFO [00001132] * RD COMPARE * port=1 adr=00 act=8818615343B918CC0A exp=8818615343B918CC0A + 1130.50ns INFO [00001132] Port=1 RD @04 + 1131.50ns INFO [00001133] * RD COMPARE * port=0 adr=00 act=8818615343B918CC0A exp=8818615343B918CC0A + 1131.50ns INFO [00001133] Port=1 RD @04 + 1132.50ns INFO [00001134] * RD COMPARE * port=1 adr=04 act=3B09FD3FC41C7EBD41 exp=3B09FD3FC41C7EBD41 + 1132.50ns INFO [00001134] Port=0 RD @01 + 1133.50ns INFO [00001135] * RD COMPARE * port=1 adr=04 act=3B09FD3FC41C7EBD41 exp=3B09FD3FC41C7EBD41 + 1133.50ns INFO [00001135] Port=0 WR @00=579ED9DFD43E100A6C + 1134.50ns INFO [00001136] * RD COMPARE * port=0 adr=01 act=327EDB22047E6BC143 exp=327EDB22047E6BC143 + 1134.50ns INFO [00001136] Port=0 RD @04 + 1135.50ns INFO [00001137] Port=0 WR @05=3EE1B1C65EC4066632 + 1135.50ns INFO [00001137] Port=0 RD @02 + 1135.50ns INFO [00001137] Port=1 RD @07 + 1136.50ns INFO [00001138] * RD COMPARE * port=0 adr=04 act=3B09FD3FC41C7EBD41 exp=3B09FD3FC41C7EBD41 + 1136.50ns INFO [00001138] Port=1 RD @02 + 1137.50ns INFO [00001139] * RD COMPARE * port=0 adr=02 act=57CE2574D5A5107AA0 exp=57CE2574D5A5107AA0 + 1137.50ns INFO [00001139] * RD COMPARE * port=1 adr=07 act=EA5A66006D62A7FB26 exp=EA5A66006D62A7FB26 + 1137.50ns INFO [00001139] Port=1 RD @02 + 1138.50ns INFO [00001140] * RD COMPARE * port=1 adr=02 act=57CE2574D5A5107AA0 exp=57CE2574D5A5107AA0 + 1138.50ns INFO [00001140] Port=0 WR @05=9241FD404EFB106CC8 + 1139.50ns INFO [00001141] * RD COMPARE * port=1 adr=02 act=57CE2574D5A5107AA0 exp=57CE2574D5A5107AA0 + 1141.50ns INFO [00001143] Port=0 WR @03=E47D9B9BEE00C62C8B + 1143.50ns INFO [00001145] Port=0 RD @04 + 1145.50ns INFO [00001147] * RD COMPARE * port=0 adr=04 act=3B09FD3FC41C7EBD41 exp=3B09FD3FC41C7EBD41 + 1145.50ns INFO [00001147] Port=1 RD @04 + 1147.50ns INFO [00001149] * RD COMPARE * port=1 adr=04 act=3B09FD3FC41C7EBD41 exp=3B09FD3FC41C7EBD41 + 1147.50ns INFO [00001149] Port=0 WR @06=03893316943E429ED4 + 1148.50ns INFO [00001150] Port=0 WR @01=83F60BF7F137EF8D6C + 1148.50ns INFO [00001150] Port=0 RD @05 + 1149.50ns INFO [00001151] Port=0 WR @04=BCD410F60C1D055BCF + 1149.50ns INFO [00001151] Port=0 RD @00 + 1150.50ns INFO [00001152] * RD COMPARE * port=0 adr=05 act=9241FD404EFB106CC8 exp=9241FD404EFB106CC8 + 1150.50ns INFO [00001152] Port=0 WR @04=F8A8CE959D91252435 + 1150.50ns INFO [00001152] Port=0 RD @03 + 1151.50ns INFO [00001153] * RD COMPARE * port=0 adr=00 act=579ED9DFD43E100A6C exp=579ED9DFD43E100A6C + 1151.50ns INFO [00001153] Port=0 RD @07 + 1152.50ns INFO [00001154] * RD COMPARE * port=0 adr=03 act=E47D9B9BEE00C62C8B exp=E47D9B9BEE00C62C8B + 1152.50ns INFO [00001154] Port=0 RD @02 + 1153.50ns INFO [00001155] * RD COMPARE * port=0 adr=07 act=EA5A66006D62A7FB26 exp=EA5A66006D62A7FB26 + 1153.50ns INFO [00001155] Port=0 WR @00=91CF7FD13F706005E9 + 1153.50ns INFO [00001155] Port=0 RD @07 + 1154.50ns INFO [00001156] * RD COMPARE * port=0 adr=02 act=57CE2574D5A5107AA0 exp=57CE2574D5A5107AA0 + 1154.50ns INFO [00001156] Port=1 RD @03 + 1155.50ns INFO [00001157] * RD COMPARE * port=0 adr=07 act=EA5A66006D62A7FB26 exp=EA5A66006D62A7FB26 + 1155.50ns INFO [00001157] Port=0 RD @07 + 1155.50ns INFO [00001157] Port=1 RD @06 + 1156.50ns INFO [00001158] * RD COMPARE * port=1 adr=03 act=E47D9B9BEE00C62C8B exp=E47D9B9BEE00C62C8B + 1157.50ns INFO [00001159] * RD COMPARE * port=0 adr=07 act=EA5A66006D62A7FB26 exp=EA5A66006D62A7FB26 + 1157.50ns INFO [00001159] * RD COMPARE * port=1 adr=06 act=03893316943E429ED4 exp=03893316943E429ED4 + 1157.50ns INFO [00001159] Port=0 WR @02=7FEE47E51A9FF72B99 + 1157.50ns INFO [00001159] Port=0 RD @00 + 1158.50ns INFO [00001160] Port=1 RD @05 + 1159.50ns INFO [00001161] * RD COMPARE * port=0 adr=00 act=91CF7FD13F706005E9 exp=91CF7FD13F706005E9 + 1159.50ns INFO [00001161] Port=0 WR @04=724F2CBDFBFA9BF949 + 1160.50ns INFO [00001162] * RD COMPARE * port=1 adr=05 act=9241FD404EFB106CC8 exp=9241FD404EFB106CC8 + 1160.50ns INFO [00001162] Port=1 RD @01 + 1161.50ns INFO [00001163] Port=0 RD @00 + 1162.50ns INFO [00001164] * RD COMPARE * port=1 adr=01 act=83F60BF7F137EF8D6C exp=83F60BF7F137EF8D6C + 1162.50ns INFO [00001164] Port=0 RD @07 + 1163.50ns INFO [00001165] * RD COMPARE * port=0 adr=00 act=91CF7FD13F706005E9 exp=91CF7FD13F706005E9 + 1163.50ns INFO [00001165] Port=0 RD @06 + 1164.50ns INFO [00001166] * RD COMPARE * port=0 adr=07 act=EA5A66006D62A7FB26 exp=EA5A66006D62A7FB26 + 1164.50ns INFO [00001166] Port=0 WR @07=F0120C2913615D8353 + 1165.50ns INFO [00001167] * RD COMPARE * port=0 adr=06 act=03893316943E429ED4 exp=03893316943E429ED4 + 1165.50ns INFO [00001167] Port=0 WR @06=6B406E6CF4503EC5BF + 1165.50ns INFO [00001167] Port=0 RD @03 + 1166.50ns INFO [00001168] Port=0 RD @00 + 1166.50ns INFO [00001168] Port=1 RD @06 + 1167.50ns INFO [00001169] * RD COMPARE * port=0 adr=03 act=E47D9B9BEE00C62C8B exp=E47D9B9BEE00C62C8B + 1167.50ns INFO [00001169] Port=0 WR @05=F62F307AD4FF4BCB0B + 1168.50ns INFO [00001170] * RD COMPARE * port=0 adr=00 act=91CF7FD13F706005E9 exp=91CF7FD13F706005E9 + 1168.50ns INFO [00001170] * RD COMPARE * port=1 adr=06 act=6B406E6CF4503EC5BF exp=6B406E6CF4503EC5BF + 1168.50ns INFO [00001170] Port=0 RD @01 + 1168.50ns INFO [00001170] Port=1 RD @06 + 1169.50ns INFO [00001171] Port=1 RD @02 + 1170.50ns INFO [00001172] * RD COMPARE * port=0 adr=01 act=83F60BF7F137EF8D6C exp=83F60BF7F137EF8D6C + 1170.50ns INFO [00001172] * RD COMPARE * port=1 adr=06 act=6B406E6CF4503EC5BF exp=6B406E6CF4503EC5BF + 1171.50ns INFO [00001173] * RD COMPARE * port=1 adr=02 act=7FEE47E51A9FF72B99 exp=7FEE47E51A9FF72B99 + 1172.50ns INFO [00001174] Port=0 WR @00=BCBD9B936A88345515 + 1172.50ns INFO [00001174] Port=0 RD @02 + 1173.50ns INFO [00001175] Port=0 WR @02=BB22385D8A7225AAFC + 1173.50ns INFO [00001175] Port=0 RD @01 + 1173.50ns INFO [00001175] Port=1 RD @06 + 1174.50ns INFO [00001176] * RD COMPARE * port=0 adr=02 act=7FEE47E51A9FF72B99 exp=7FEE47E51A9FF72B99 + 1174.50ns INFO [00001176] Port=0 WR @05=CA508757F1EA5799AA + 1174.50ns INFO [00001176] Port=1 RD @02 + 1175.50ns INFO [00001177] * RD COMPARE * port=0 adr=01 act=83F60BF7F137EF8D6C exp=83F60BF7F137EF8D6C + 1175.50ns INFO [00001177] * RD COMPARE * port=1 adr=06 act=6B406E6CF4503EC5BF exp=6B406E6CF4503EC5BF + 1175.50ns INFO [00001177] Port=0 RD @03 + 1176.50ns INFO [00001178] * RD COMPARE * port=1 adr=02 act=BB22385D8A7225AAFC exp=BB22385D8A7225AAFC + 1177.50ns INFO [00001179] * RD COMPARE * port=0 adr=03 act=E47D9B9BEE00C62C8B exp=E47D9B9BEE00C62C8B + 1178.50ns INFO [00001180] Port=0 WR @03=634692DFF12B8100D6 + 1178.50ns INFO [00001180] Port=1 RD @04 + 1179.50ns INFO [00001181] Port=0 RD @05 + 1180.50ns INFO [00001182] * RD COMPARE * port=1 adr=04 act=724F2CBDFBFA9BF949 exp=724F2CBDFBFA9BF949 + 1180.50ns INFO [00001182] Port=1 RD @04 + 1181.50ns INFO [00001183] * RD COMPARE * port=0 adr=05 act=CA508757F1EA5799AA exp=CA508757F1EA5799AA + 1181.50ns INFO [00001183] Port=0 WR @03=0AE9CAF5B3795C13A9 + 1181.50ns INFO [00001183] Port=0 RD @05 + 1181.50ns INFO [00001183] Port=1 RD @04 + 1182.50ns INFO [00001184] * RD COMPARE * port=1 adr=04 act=724F2CBDFBFA9BF949 exp=724F2CBDFBFA9BF949 + 1182.50ns INFO [00001184] Port=0 RD @06 + 1183.50ns INFO [00001185] * RD COMPARE * port=0 adr=05 act=CA508757F1EA5799AA exp=CA508757F1EA5799AA + 1183.50ns INFO [00001185] * RD COMPARE * port=1 adr=04 act=724F2CBDFBFA9BF949 exp=724F2CBDFBFA9BF949 + 1183.50ns INFO [00001185] Port=0 WR @07=7E3856B842F6190B7F + 1184.50ns INFO [00001186] * RD COMPARE * port=0 adr=06 act=6B406E6CF4503EC5BF exp=6B406E6CF4503EC5BF + 1184.50ns INFO [00001186] Port=0 WR @06=FED6C068C9B12F7DD7 + 1185.50ns INFO [00001187] Port=0 RD @07 + 1185.50ns INFO [00001187] Port=1 RD @03 + 1186.50ns INFO [00001188] Port=0 WR @04=C456625CDFE51CE9E6 + 1186.50ns INFO [00001188] Port=1 RD @00 + 1187.50ns INFO [00001189] * RD COMPARE * port=0 adr=07 act=7E3856B842F6190B7F exp=7E3856B842F6190B7F + 1187.50ns INFO [00001189] * RD COMPARE * port=1 adr=03 act=0AE9CAF5B3795C13A9 exp=0AE9CAF5B3795C13A9 + 1187.50ns INFO [00001189] Port=0 WR @04=C762FEA14F14ABD374 + 1188.50ns INFO [00001190] * RD COMPARE * port=1 adr=00 act=BCBD9B936A88345515 exp=BCBD9B936A88345515 + 1190.50ns INFO [00001192] Port=0 WR @01=0237745E2C7056F50D + 1190.50ns INFO [00001192] Port=0 RD @03 + 1192.50ns INFO [00001194] * RD COMPARE * port=0 adr=03 act=0AE9CAF5B3795C13A9 exp=0AE9CAF5B3795C13A9 + 1192.50ns INFO [00001194] Port=1 RD @06 + 1194.50ns INFO [00001196] * RD COMPARE * port=1 adr=06 act=FED6C068C9B12F7DD7 exp=FED6C068C9B12F7DD7 + 1196.50ns INFO [00001198] Port=0 WR @03=52A202BA44F7EE8436 + 1197.50ns INFO [00001199] Port=1 RD @01 + 1198.00ns INFO [00001200] [00001200] ...tick... + 1198.50ns INFO [00001200] Port=0 WR @00=8F17FE09AEFFF6ED2D + 1198.50ns INFO [00001200] Port=0 RD @04 + 1199.50ns INFO [00001201] * RD COMPARE * port=1 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1199.50ns INFO [00001201] Port=1 RD @00 + 1200.50ns INFO [00001202] * RD COMPARE * port=0 adr=04 act=C762FEA14F14ABD374 exp=C762FEA14F14ABD374 + 1200.50ns INFO [00001202] Port=1 RD @01 + 1201.50ns INFO [00001203] * RD COMPARE * port=1 adr=00 act=8F17FE09AEFFF6ED2D exp=8F17FE09AEFFF6ED2D + 1201.50ns INFO [00001203] Port=0 RD @05 + 1202.50ns INFO [00001204] * RD COMPARE * port=1 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1202.50ns INFO [00001204] Port=0 RD @06 + 1203.50ns INFO [00001205] * RD COMPARE * port=0 adr=05 act=CA508757F1EA5799AA exp=CA508757F1EA5799AA + 1203.50ns INFO [00001205] Port=0 RD @06 + 1203.50ns INFO [00001205] Port=1 RD @04 + 1204.50ns INFO [00001206] * RD COMPARE * port=0 adr=06 act=FED6C068C9B12F7DD7 exp=FED6C068C9B12F7DD7 + 1204.50ns INFO [00001206] Port=0 WR @03=3ED28F20A7F9393EB5 + 1204.50ns INFO [00001206] Port=1 RD @06 + 1205.50ns INFO [00001207] * RD COMPARE * port=0 adr=06 act=FED6C068C9B12F7DD7 exp=FED6C068C9B12F7DD7 + 1205.50ns INFO [00001207] * RD COMPARE * port=1 adr=04 act=C762FEA14F14ABD374 exp=C762FEA14F14ABD374 + 1205.50ns INFO [00001207] Port=0 WR @04=4929810A51CAF6560A + 1205.50ns INFO [00001207] Port=0 RD @01 + 1206.50ns INFO [00001208] * RD COMPARE * port=1 adr=06 act=FED6C068C9B12F7DD7 exp=FED6C068C9B12F7DD7 + 1206.50ns INFO [00001208] Port=0 RD @01 + 1206.50ns INFO [00001208] Port=1 RD @00 + 1207.50ns INFO [00001209] * RD COMPARE * port=0 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1207.50ns INFO [00001209] Port=0 RD @04 + 1208.50ns INFO [00001210] * RD COMPARE * port=0 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1208.50ns INFO [00001210] * RD COMPARE * port=1 adr=00 act=8F17FE09AEFFF6ED2D exp=8F17FE09AEFFF6ED2D + 1208.50ns INFO [00001210] Port=0 WR @03=F3837B33424338A6B1 + 1209.50ns INFO [00001211] * RD COMPARE * port=0 adr=04 act=4929810A51CAF6560A exp=4929810A51CAF6560A + 1210.50ns INFO [00001212] Port=1 RD @02 + 1212.50ns INFO [00001214] * RD COMPARE * port=1 adr=02 act=BB22385D8A7225AAFC exp=BB22385D8A7225AAFC + 1212.50ns INFO [00001214] Port=0 WR @06=20AC2799D066C1D25B + 1212.50ns INFO [00001214] Port=0 RD @01 + 1213.50ns INFO [00001215] Port=0 RD @00 + 1214.50ns INFO [00001216] * RD COMPARE * port=0 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1214.50ns INFO [00001216] Port=0 WR @02=49CA5489961475C4C0 + 1214.50ns INFO [00001216] Port=1 RD @01 + 1215.50ns INFO [00001217] * RD COMPARE * port=0 adr=00 act=8F17FE09AEFFF6ED2D exp=8F17FE09AEFFF6ED2D + 1216.50ns INFO [00001218] * RD COMPARE * port=1 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1216.50ns INFO [00001218] Port=0 WR @06=FEC1914617B3DACCE3 + 1216.50ns INFO [00001218] Port=0 RD @01 + 1216.50ns INFO [00001218] Port=1 RD @02 + 1217.50ns INFO [00001219] Port=1 RD @06 + 1218.50ns INFO [00001220] * RD COMPARE * port=0 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1218.50ns INFO [00001220] * RD COMPARE * port=1 adr=02 act=49CA5489961475C4C0 exp=49CA5489961475C4C0 + 1218.50ns INFO [00001220] Port=0 WR @06=D86E1EB0BE5923E3DC + 1218.50ns INFO [00001220] Port=1 RD @07 + 1219.50ns INFO [00001221] * RD COMPARE * port=1 adr=06 act=FEC1914617B3DACCE3 exp=FEC1914617B3DACCE3 + 1220.50ns INFO [00001222] * RD COMPARE * port=1 adr=07 act=7E3856B842F6190B7F exp=7E3856B842F6190B7F + 1220.50ns INFO [00001222] Port=1 RD @05 + 1221.50ns INFO [00001223] Port=0 WR @03=2A9DD173E962FD8C86 + 1222.50ns INFO [00001224] * RD COMPARE * port=1 adr=05 act=CA508757F1EA5799AA exp=CA508757F1EA5799AA + 1222.50ns INFO [00001224] Port=0 WR @04=27343C8F416210214E + 1222.50ns INFO [00001224] Port=0 RD @02 + 1223.50ns INFO [00001225] Port=0 WR @00=5C05FA41996D07CBC5 + 1223.50ns INFO [00001225] Port=1 RD @01 + 1224.50ns INFO [00001226] * RD COMPARE * port=0 adr=02 act=49CA5489961475C4C0 exp=49CA5489961475C4C0 + 1224.50ns INFO [00001226] Port=0 WR @00=68DE73F24A95E56E5A + 1225.50ns INFO [00001227] * RD COMPARE * port=1 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1225.50ns INFO [00001227] Port=1 RD @02 + 1227.50ns INFO [00001229] * RD COMPARE * port=1 adr=02 act=49CA5489961475C4C0 exp=49CA5489961475C4C0 + 1227.50ns INFO [00001229] Port=1 RD @06 + 1228.50ns INFO [00001230] Port=0 RD @06 + 1228.50ns INFO [00001230] Port=1 RD @03 + 1229.50ns INFO [00001231] * RD COMPARE * port=1 adr=06 act=D86E1EB0BE5923E3DC exp=D86E1EB0BE5923E3DC + 1229.50ns INFO [00001231] Port=1 RD @00 + 1230.50ns INFO [00001232] * RD COMPARE * port=0 adr=06 act=D86E1EB0BE5923E3DC exp=D86E1EB0BE5923E3DC + 1230.50ns INFO [00001232] * RD COMPARE * port=1 adr=03 act=2A9DD173E962FD8C86 exp=2A9DD173E962FD8C86 + 1231.50ns INFO [00001233] * RD COMPARE * port=1 adr=00 act=68DE73F24A95E56E5A exp=68DE73F24A95E56E5A + 1231.50ns INFO [00001233] Port=0 RD @04 + 1231.50ns INFO [00001233] Port=1 RD @05 + 1232.50ns INFO [00001234] Port=0 RD @03 + 1232.50ns INFO [00001234] Port=1 RD @06 + 1233.50ns INFO [00001235] * RD COMPARE * port=0 adr=04 act=27343C8F416210214E exp=27343C8F416210214E + 1233.50ns INFO [00001235] * RD COMPARE * port=1 adr=05 act=CA508757F1EA5799AA exp=CA508757F1EA5799AA + 1234.50ns INFO [00001236] * RD COMPARE * port=0 adr=03 act=2A9DD173E962FD8C86 exp=2A9DD173E962FD8C86 + 1234.50ns INFO [00001236] * RD COMPARE * port=1 adr=06 act=D86E1EB0BE5923E3DC exp=D86E1EB0BE5923E3DC + 1234.50ns INFO [00001236] Port=0 WR @03=4EF4725AFD8B30D4BB + 1234.50ns INFO [00001236] Port=0 RD @01 + 1235.50ns INFO [00001237] Port=1 RD @05 + 1236.50ns INFO [00001238] * RD COMPARE * port=0 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1236.50ns INFO [00001238] Port=0 RD @01 + 1237.50ns INFO [00001239] * RD COMPARE * port=1 adr=05 act=CA508757F1EA5799AA exp=CA508757F1EA5799AA + 1237.50ns INFO [00001239] Port=0 WR @00=DE93FFD7C99E19899E + 1238.50ns INFO [00001240] * RD COMPARE * port=0 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1238.50ns INFO [00001240] Port=0 WR @00=02637A059684C9F674 + 1238.50ns INFO [00001240] Port=0 RD @03 + 1238.50ns INFO [00001240] Port=1 RD @05 + 1239.50ns INFO [00001241] Port=0 WR @02=BEA4A6E02FDFD7162B + 1240.50ns INFO [00001242] * RD COMPARE * port=0 adr=03 act=4EF4725AFD8B30D4BB exp=4EF4725AFD8B30D4BB + 1240.50ns INFO [00001242] * RD COMPARE * port=1 adr=05 act=CA508757F1EA5799AA exp=CA508757F1EA5799AA + 1240.50ns INFO [00001242] Port=0 RD @01 + 1240.50ns INFO [00001242] Port=1 RD @07 + 1241.50ns INFO [00001243] Port=0 RD @06 + 1242.50ns INFO [00001244] * RD COMPARE * port=0 adr=01 act=0237745E2C7056F50D exp=0237745E2C7056F50D + 1242.50ns INFO [00001244] * RD COMPARE * port=1 adr=07 act=7E3856B842F6190B7F exp=7E3856B842F6190B7F + 1243.50ns INFO [00001245] * RD COMPARE * port=0 adr=06 act=D86E1EB0BE5923E3DC exp=D86E1EB0BE5923E3DC + 1243.50ns INFO [00001245] Port=0 WR @05=75511890FFB9F38F12 + 1243.50ns INFO [00001245] Port=0 RD @00 + 1244.50ns INFO [00001246] Port=0 WR @04=464708940809F6D33E + 1244.50ns INFO [00001246] Port=0 RD @07 + 1245.50ns INFO [00001247] * RD COMPARE * port=0 adr=00 act=02637A059684C9F674 exp=02637A059684C9F674 + 1245.50ns INFO [00001247] Port=0 WR @01=D74EA2472EBD687A51 + 1245.50ns INFO [00001247] Port=0 RD @02 + 1246.50ns INFO [00001248] * RD COMPARE * port=0 adr=07 act=7E3856B842F6190B7F exp=7E3856B842F6190B7F + 1246.50ns INFO [00001248] Port=0 RD @01 + 1247.50ns INFO [00001249] * RD COMPARE * port=0 adr=02 act=BEA4A6E02FDFD7162B exp=BEA4A6E02FDFD7162B + 1248.50ns INFO [00001250] * RD COMPARE * port=0 adr=01 act=D74EA2472EBD687A51 exp=D74EA2472EBD687A51 + 1248.50ns INFO [00001250] Port=0 WR @04=799B88D92449F4177F + 1248.50ns INFO [00001250] Port=1 RD @06 + 1250.50ns INFO [00001252] * RD COMPARE * port=1 adr=06 act=D86E1EB0BE5923E3DC exp=D86E1EB0BE5923E3DC + 1250.50ns INFO [00001252] Port=0 WR @00=ABE606A9A0B9D1A028 + 1250.50ns INFO [00001252] Port=0 RD @03 + 1250.50ns INFO [00001252] Port=1 RD @04 + 1251.50ns INFO [00001253] Port=0 WR @03=8F3F47EFF30A11EEB3 + 1251.50ns INFO [00001253] Port=0 RD @00 + 1252.50ns INFO [00001254] * RD COMPARE * port=0 adr=03 act=4EF4725AFD8B30D4BB exp=4EF4725AFD8B30D4BB + 1252.50ns INFO [00001254] * RD COMPARE * port=1 adr=04 act=799B88D92449F4177F exp=799B88D92449F4177F + 1252.50ns INFO [00001254] Port=0 WR @01=AE7127295474D4D423 + 1252.50ns INFO [00001254] Port=1 RD @07 + 1253.50ns INFO [00001255] * RD COMPARE * port=0 adr=00 act=ABE606A9A0B9D1A028 exp=ABE606A9A0B9D1A028 + 1253.50ns INFO [00001255] Port=0 WR @04=CB73F9EB5473E8BE7A + 1253.50ns INFO [00001255] Port=0 RD @03 + 1254.50ns INFO [00001256] * RD COMPARE * port=1 adr=07 act=7E3856B842F6190B7F exp=7E3856B842F6190B7F + 1254.50ns INFO [00001256] Port=0 WR @04=69FB23BDC9BC2BB470 + 1255.50ns INFO [00001257] * RD COMPARE * port=0 adr=03 act=8F3F47EFF30A11EEB3 exp=8F3F47EFF30A11EEB3 + 1255.50ns INFO [00001257] Port=0 WR @03=7F5FAC3DD214AFC403 + 1256.50ns INFO [00001258] Port=0 WR @07=D49FD98CA0A2A99951 + 1256.50ns INFO [00001258] Port=1 RD @06 + 1257.50ns INFO [00001259] Port=0 WR @00=29E0FD977CF3FAD58C + 1258.50ns INFO [00001260] * RD COMPARE * port=1 adr=06 act=D86E1EB0BE5923E3DC exp=D86E1EB0BE5923E3DC + 1259.50ns INFO [00001261] Port=0 WR @06=BA7536AC305604609A + 1259.50ns INFO [00001261] Port=1 RD @03 + 1261.50ns INFO [00001263] * RD COMPARE * port=1 adr=03 act=7F5FAC3DD214AFC403 exp=7F5FAC3DD214AFC403 + 1262.50ns INFO [00001264] Port=0 RD @05 + 1263.50ns INFO [00001265] Port=0 WR @02=3AD95996E792286603 + 1263.50ns INFO [00001265] Port=0 RD @06 + 1264.50ns INFO [00001266] * RD COMPARE * port=0 adr=05 act=75511890FFB9F38F12 exp=75511890FFB9F38F12 + 1264.50ns INFO [00001266] Port=0 WR @03=EED93922FDBBC9FA8E + 1264.50ns INFO [00001266] Port=1 RD @00 + 1265.50ns INFO [00001267] * RD COMPARE * port=0 adr=06 act=BA7536AC305604609A exp=BA7536AC305604609A + 1265.50ns INFO [00001267] Port=0 WR @02=5EDC190935A4EFDEE6 + 1265.50ns INFO [00001267] Port=1 RD @01 + 1266.50ns INFO [00001268] * RD COMPARE * port=1 adr=00 act=29E0FD977CF3FAD58C exp=29E0FD977CF3FAD58C + 1266.50ns INFO [00001268] Port=0 WR @00=8E67F833E6DA07BA63 + 1267.50ns INFO [00001269] * RD COMPARE * port=1 adr=01 act=AE7127295474D4D423 exp=AE7127295474D4D423 + 1267.50ns INFO [00001269] Port=1 RD @05 + 1268.50ns INFO [00001270] Port=0 RD @01 + 1269.50ns INFO [00001271] * RD COMPARE * port=1 adr=05 act=75511890FFB9F38F12 exp=75511890FFB9F38F12 + 1270.50ns INFO [00001272] * RD COMPARE * port=0 adr=01 act=AE7127295474D4D423 exp=AE7127295474D4D423 + 1270.50ns INFO [00001272] Port=0 RD @05 + 1271.50ns INFO [00001273] Port=0 WR @01=B7CA57A7EB89D1A441 + 1271.50ns INFO [00001273] Port=1 RD @00 + 1272.50ns INFO [00001274] * RD COMPARE * port=0 adr=05 act=75511890FFB9F38F12 exp=75511890FFB9F38F12 + 1272.50ns INFO [00001274] Port=0 WR @01=D821A63DCF203291BC + 1272.50ns INFO [00001274] Port=0 RD @05 + 1272.50ns INFO [00001274] Port=1 RD @05 + 1273.50ns INFO [00001275] * RD COMPARE * port=1 adr=00 act=8E67F833E6DA07BA63 exp=8E67F833E6DA07BA63 + 1274.50ns INFO [00001276] * RD COMPARE * port=0 adr=05 act=75511890FFB9F38F12 exp=75511890FFB9F38F12 + 1274.50ns INFO [00001276] * RD COMPARE * port=1 adr=05 act=75511890FFB9F38F12 exp=75511890FFB9F38F12 + 1276.50ns INFO [00001278] Port=0 RD @05 + 1277.50ns INFO [00001279] Port=0 WR @02=C3074403C87BE13DAD + 1277.50ns INFO [00001279] Port=0 RD @07 + 1278.50ns INFO [00001280] * RD COMPARE * port=0 adr=05 act=75511890FFB9F38F12 exp=75511890FFB9F38F12 + 1278.50ns INFO [00001280] Port=0 WR @02=C84A067EE22FF40267 + 1278.50ns INFO [00001280] Port=1 RD @00 + 1279.50ns INFO [00001281] * RD COMPARE * port=0 adr=07 act=D49FD98CA0A2A99951 exp=D49FD98CA0A2A99951 + 1280.50ns INFO [00001282] * RD COMPARE * port=1 adr=00 act=8E67F833E6DA07BA63 exp=8E67F833E6DA07BA63 + 1281.50ns INFO [00001283] Port=0 RD @03 + 1282.50ns INFO [00001284] Port=1 RD @03 + 1283.50ns INFO [00001285] * RD COMPARE * port=0 adr=03 act=EED93922FDBBC9FA8E exp=EED93922FDBBC9FA8E + 1283.50ns INFO [00001285] Port=0 RD @01 + 1284.50ns INFO [00001286] * RD COMPARE * port=1 adr=03 act=EED93922FDBBC9FA8E exp=EED93922FDBBC9FA8E + 1284.50ns INFO [00001286] Port=1 RD @04 + 1285.50ns INFO [00001287] * RD COMPARE * port=0 adr=01 act=D821A63DCF203291BC exp=D821A63DCF203291BC + 1285.50ns INFO [00001287] Port=0 WR @03=3C5598BD64DAC10AAD + 1286.50ns INFO [00001288] * RD COMPARE * port=1 adr=04 act=69FB23BDC9BC2BB470 exp=69FB23BDC9BC2BB470 + 1286.50ns INFO [00001288] Port=0 WR @06=E023BA7D49AB1274D6 + 1286.50ns INFO [00001288] Port=1 RD @05 + 1287.50ns INFO [00001289] Port=0 RD @05 + 1288.50ns INFO [00001290] * RD COMPARE * port=1 adr=05 act=75511890FFB9F38F12 exp=75511890FFB9F38F12 + 1288.50ns INFO [00001290] Port=0 RD @04 + 1288.50ns INFO [00001290] Port=1 RD @01 + 1289.50ns INFO [00001291] * RD COMPARE * port=0 adr=05 act=75511890FFB9F38F12 exp=75511890FFB9F38F12 + 1290.50ns INFO [00001292] * RD COMPARE * port=0 adr=04 act=69FB23BDC9BC2BB470 exp=69FB23BDC9BC2BB470 + 1290.50ns INFO [00001292] * RD COMPARE * port=1 adr=01 act=D821A63DCF203291BC exp=D821A63DCF203291BC + 1290.50ns INFO [00001292] Port=1 RD @00 + 1291.50ns INFO [00001293] Port=1 RD @07 + 1292.50ns INFO [00001294] * RD COMPARE * port=1 adr=00 act=8E67F833E6DA07BA63 exp=8E67F833E6DA07BA63 + 1292.50ns INFO [00001294] Port=0 WR @05=D6B506C3091BB203C4 + 1293.50ns INFO [00001295] * RD COMPARE * port=1 adr=07 act=D49FD98CA0A2A99951 exp=D49FD98CA0A2A99951 + 1293.50ns INFO [00001295] Port=0 RD @03 + 1293.50ns INFO [00001295] Port=1 RD @00 + 1295.50ns INFO [00001297] * RD COMPARE * port=0 adr=03 act=3C5598BD64DAC10AAD exp=3C5598BD64DAC10AAD + 1295.50ns INFO [00001297] * RD COMPARE * port=1 adr=00 act=8E67F833E6DA07BA63 exp=8E67F833E6DA07BA63 + 1295.50ns INFO [00001297] Port=1 RD @02 + 1296.50ns INFO [00001298] Port=0 RD @02 + 1296.50ns INFO [00001298] Port=1 RD @04 + 1297.50ns INFO [00001299] * RD COMPARE * port=1 adr=02 act=C84A067EE22FF40267 exp=C84A067EE22FF40267 + 1297.50ns INFO [00001299] Port=0 WR @01=4ABC29C07BE92A9C7F + 1297.50ns INFO [00001299] Port=0 RD @05 + 1298.00ns INFO [00001300] [00001300] ...tick... + 1298.50ns INFO [00001300] * RD COMPARE * port=0 adr=02 act=C84A067EE22FF40267 exp=C84A067EE22FF40267 + 1298.50ns INFO [00001300] * RD COMPARE * port=1 adr=04 act=69FB23BDC9BC2BB470 exp=69FB23BDC9BC2BB470 + 1298.50ns INFO [00001300] Port=1 RD @07 + 1299.50ns INFO [00001301] * RD COMPARE * port=0 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1299.50ns INFO [00001301] Port=0 RD @03 + 1300.50ns INFO [00001302] * RD COMPARE * port=1 adr=07 act=D49FD98CA0A2A99951 exp=D49FD98CA0A2A99951 + 1300.50ns INFO [00001302] Port=0 WR @04=324078E3EB4DECFBBD + 1301.50ns INFO [00001303] * RD COMPARE * port=0 adr=03 act=3C5598BD64DAC10AAD exp=3C5598BD64DAC10AAD + 1301.50ns INFO [00001303] Port=1 RD @05 + 1302.50ns INFO [00001304] Port=0 WR @04=B92DA55E2ED4180070 + 1302.50ns INFO [00001304] Port=0 RD @02 + 1303.50ns INFO [00001305] * RD COMPARE * port=1 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1304.50ns INFO [00001306] * RD COMPARE * port=0 adr=02 act=C84A067EE22FF40267 exp=C84A067EE22FF40267 + 1304.50ns INFO [00001306] Port=0 RD @06 + 1305.50ns INFO [00001307] Port=0 WR @03=316FEFFD22B8CC1098 + 1306.50ns INFO [00001308] * RD COMPARE * port=0 adr=06 act=E023BA7D49AB1274D6 exp=E023BA7D49AB1274D6 + 1307.50ns INFO [00001309] Port=0 WR @02=7F59EE6F5245B11531 + 1307.50ns INFO [00001309] Port=0 RD @00 + 1308.50ns INFO [00001310] Port=0 RD @05 + 1308.50ns INFO [00001310] Port=1 RD @03 + 1309.50ns INFO [00001311] * RD COMPARE * port=0 adr=00 act=8E67F833E6DA07BA63 exp=8E67F833E6DA07BA63 + 1309.50ns INFO [00001311] Port=0 WR @07=B458911E9EC31A9C49 + 1310.50ns INFO [00001312] * RD COMPARE * port=0 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1310.50ns INFO [00001312] * RD COMPARE * port=1 adr=03 act=316FEFFD22B8CC1098 exp=316FEFFD22B8CC1098 + 1310.50ns INFO [00001312] Port=0 WR @00=405D404D20615B7362 + 1311.50ns INFO [00001313] Port=0 RD @05 + 1312.50ns INFO [00001314] Port=0 RD @07 + 1312.50ns INFO [00001314] Port=1 RD @01 + 1313.50ns INFO [00001315] * RD COMPARE * port=0 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1313.50ns INFO [00001315] Port=0 RD @05 + 1313.50ns INFO [00001315] Port=1 RD @02 + 1314.50ns INFO [00001316] * RD COMPARE * port=0 adr=07 act=B458911E9EC31A9C49 exp=B458911E9EC31A9C49 + 1314.50ns INFO [00001316] * RD COMPARE * port=1 adr=01 act=4ABC29C07BE92A9C7F exp=4ABC29C07BE92A9C7F + 1314.50ns INFO [00001316] Port=1 RD @00 + 1315.50ns INFO [00001317] * RD COMPARE * port=0 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1315.50ns INFO [00001317] * RD COMPARE * port=1 adr=02 act=7F59EE6F5245B11531 exp=7F59EE6F5245B11531 + 1315.50ns INFO [00001317] Port=0 WR @07=96F915CF2E809A3A26 + 1315.50ns INFO [00001317] Port=1 RD @05 + 1316.50ns INFO [00001318] * RD COMPARE * port=1 adr=00 act=405D404D20615B7362 exp=405D404D20615B7362 + 1316.50ns INFO [00001318] Port=0 WR @01=19CB537077BDBD80FA + 1316.50ns INFO [00001318] Port=0 RD @05 + 1317.50ns INFO [00001319] * RD COMPARE * port=1 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1318.50ns INFO [00001320] * RD COMPARE * port=0 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1318.50ns INFO [00001320] Port=1 RD @07 + 1319.50ns INFO [00001321] Port=0 WR @04=CC498EFF71B024994A + 1320.50ns INFO [00001322] * RD COMPARE * port=1 adr=07 act=96F915CF2E809A3A26 exp=96F915CF2E809A3A26 + 1320.50ns INFO [00001322] Port=0 WR @02=5D9AB31CBA94C0E5ED + 1321.50ns INFO [00001323] Port=0 RD @02 + 1322.50ns INFO [00001324] Port=0 RD @06 + 1323.50ns INFO [00001325] * RD COMPARE * port=0 adr=02 act=5D9AB31CBA94C0E5ED exp=5D9AB31CBA94C0E5ED + 1324.50ns INFO [00001326] * RD COMPARE * port=0 adr=06 act=E023BA7D49AB1274D6 exp=E023BA7D49AB1274D6 + 1325.50ns INFO [00001327] Port=0 RD @06 + 1326.50ns INFO [00001328] Port=0 WR @00=0837BF85C4EDE34291 + 1326.50ns INFO [00001328] Port=0 RD @07 + 1327.50ns INFO [00001329] * RD COMPARE * port=0 adr=06 act=E023BA7D49AB1274D6 exp=E023BA7D49AB1274D6 + 1327.50ns INFO [00001329] Port=0 RD @05 + 1328.50ns INFO [00001330] * RD COMPARE * port=0 adr=07 act=96F915CF2E809A3A26 exp=96F915CF2E809A3A26 + 1328.50ns INFO [00001330] Port=0 RD @04 + 1329.50ns INFO [00001331] * RD COMPARE * port=0 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1329.50ns INFO [00001331] Port=0 WR @04=7B52BD469A72AC11F8 + 1329.50ns INFO [00001331] Port=1 RD @05 + 1330.50ns INFO [00001332] * RD COMPARE * port=0 adr=04 act=CC498EFF71B024994A exp=CC498EFF71B024994A + 1330.50ns INFO [00001332] Port=0 RD @06 + 1331.50ns INFO [00001333] * RD COMPARE * port=1 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1331.50ns INFO [00001333] Port=0 RD @02 + 1332.50ns INFO [00001334] * RD COMPARE * port=0 adr=06 act=E023BA7D49AB1274D6 exp=E023BA7D49AB1274D6 + 1333.50ns INFO [00001335] * RD COMPARE * port=0 adr=02 act=5D9AB31CBA94C0E5ED exp=5D9AB31CBA94C0E5ED + 1334.50ns INFO [00001336] Port=0 WR @04=487FEE6D14286AEC7F + 1335.50ns INFO [00001337] Port=0 RD @01 + 1336.50ns INFO [00001338] Port=0 WR @04=6C9250042270886AD6 + 1336.50ns INFO [00001338] Port=1 RD @06 + 1337.50ns INFO [00001339] * RD COMPARE * port=0 adr=01 act=19CB537077BDBD80FA exp=19CB537077BDBD80FA + 1337.50ns INFO [00001339] Port=1 RD @02 + 1338.50ns INFO [00001340] * RD COMPARE * port=1 adr=06 act=E023BA7D49AB1274D6 exp=E023BA7D49AB1274D6 + 1338.50ns INFO [00001340] Port=0 WR @02=02C6FA6FC2FE541327 + 1339.50ns INFO [00001341] * RD COMPARE * port=1 adr=02 act=5D9AB31CBA94C0E5ED exp=5D9AB31CBA94C0E5ED + 1339.50ns INFO [00001341] Port=0 WR @03=5EE5A0915A7275F542 + 1339.50ns INFO [00001341] Port=0 RD @01 + 1340.50ns INFO [00001342] Port=0 RD @05 + 1341.50ns INFO [00001343] * RD COMPARE * port=0 adr=01 act=19CB537077BDBD80FA exp=19CB537077BDBD80FA + 1341.50ns INFO [00001343] Port=0 RD @06 + 1342.50ns INFO [00001344] * RD COMPARE * port=0 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1342.50ns INFO [00001344] Port=0 WR @07=B7E1107F622A222D45 + 1342.50ns INFO [00001344] Port=1 RD @02 + 1343.50ns INFO [00001345] * RD COMPARE * port=0 adr=06 act=E023BA7D49AB1274D6 exp=E023BA7D49AB1274D6 + 1344.50ns INFO [00001346] * RD COMPARE * port=1 adr=02 act=02C6FA6FC2FE541327 exp=02C6FA6FC2FE541327 + 1344.50ns INFO [00001346] Port=0 RD @07 + 1345.50ns INFO [00001347] Port=0 WR @04=1D5B1FE428A454735C + 1345.50ns INFO [00001347] Port=0 RD @01 + 1346.50ns INFO [00001348] * RD COMPARE * port=0 adr=07 act=B7E1107F622A222D45 exp=B7E1107F622A222D45 + 1346.50ns INFO [00001348] Port=1 RD @01 + 1347.50ns INFO [00001349] * RD COMPARE * port=0 adr=01 act=19CB537077BDBD80FA exp=19CB537077BDBD80FA + 1347.50ns INFO [00001349] Port=0 RD @01 + 1348.50ns INFO [00001350] * RD COMPARE * port=1 adr=01 act=19CB537077BDBD80FA exp=19CB537077BDBD80FA + 1348.50ns INFO [00001350] Port=1 RD @05 + 1349.50ns INFO [00001351] * RD COMPARE * port=0 adr=01 act=19CB537077BDBD80FA exp=19CB537077BDBD80FA + 1349.50ns INFO [00001351] Port=1 RD @04 + 1350.50ns INFO [00001352] * RD COMPARE * port=1 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1351.50ns INFO [00001353] * RD COMPARE * port=1 adr=04 act=1D5B1FE428A454735C exp=1D5B1FE428A454735C + 1351.50ns INFO [00001353] Port=0 WR @03=B5E64B9569FBBDF165 + 1351.50ns INFO [00001353] Port=0 RD @02 + 1351.50ns INFO [00001353] Port=1 RD @05 + 1352.50ns INFO [00001354] Port=0 WR @03=1E818C80C6235ABD20 + 1352.50ns INFO [00001354] Port=0 RD @05 + 1352.50ns INFO [00001354] Port=1 RD @00 + 1353.50ns INFO [00001355] * RD COMPARE * port=0 adr=02 act=02C6FA6FC2FE541327 exp=02C6FA6FC2FE541327 + 1353.50ns INFO [00001355] * RD COMPARE * port=1 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1353.50ns INFO [00001355] Port=0 RD @05 + 1353.50ns INFO [00001355] Port=1 RD @02 + 1354.50ns INFO [00001356] * RD COMPARE * port=0 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1354.50ns INFO [00001356] * RD COMPARE * port=1 adr=00 act=0837BF85C4EDE34291 exp=0837BF85C4EDE34291 + 1354.50ns INFO [00001356] Port=0 WR @05=203F2117E8698E6C73 + 1354.50ns INFO [00001356] Port=0 RD @04 + 1354.50ns INFO [00001356] Port=1 RD @07 + 1355.50ns INFO [00001357] * RD COMPARE * port=0 adr=05 act=D6B506C3091BB203C4 exp=D6B506C3091BB203C4 + 1355.50ns INFO [00001357] * RD COMPARE * port=1 adr=02 act=02C6FA6FC2FE541327 exp=02C6FA6FC2FE541327 + 1355.50ns INFO [00001357] Port=1 RD @00 + 1356.50ns INFO [00001358] * RD COMPARE * port=0 adr=04 act=1D5B1FE428A454735C exp=1D5B1FE428A454735C + 1356.50ns INFO [00001358] * RD COMPARE * port=1 adr=07 act=B7E1107F622A222D45 exp=B7E1107F622A222D45 + 1356.50ns INFO [00001358] Port=0 RD @06 + 1356.50ns INFO [00001358] Port=1 RD @00 + 1357.50ns INFO [00001359] * RD COMPARE * port=1 adr=00 act=0837BF85C4EDE34291 exp=0837BF85C4EDE34291 + 1357.50ns INFO [00001359] Port=0 RD @04 + 1358.50ns INFO [00001360] * RD COMPARE * port=0 adr=06 act=E023BA7D49AB1274D6 exp=E023BA7D49AB1274D6 + 1358.50ns INFO [00001360] * RD COMPARE * port=1 adr=00 act=0837BF85C4EDE34291 exp=0837BF85C4EDE34291 + 1358.50ns INFO [00001360] Port=0 WR @04=3B40638A233ACB8134 + 1359.50ns INFO [00001361] * RD COMPARE * port=0 adr=04 act=1D5B1FE428A454735C exp=1D5B1FE428A454735C + 1359.50ns INFO [00001361] Port=0 RD @04 + 1359.50ns INFO [00001361] Port=1 RD @03 + 1360.50ns INFO [00001362] Port=1 RD @05 + 1361.50ns INFO [00001363] * RD COMPARE * port=0 adr=04 act=3B40638A233ACB8134 exp=3B40638A233ACB8134 + 1361.50ns INFO [00001363] * RD COMPARE * port=1 adr=03 act=1E818C80C6235ABD20 exp=1E818C80C6235ABD20 + 1362.50ns INFO [00001364] * RD COMPARE * port=1 adr=05 act=203F2117E8698E6C73 exp=203F2117E8698E6C73 + 1362.50ns INFO [00001364] Port=0 RD @07 + 1363.50ns INFO [00001365] Port=0 WR @06=62C66CABE381DD932D + 1364.50ns INFO [00001366] * RD COMPARE * port=0 adr=07 act=B7E1107F622A222D45 exp=B7E1107F622A222D45 + 1364.50ns INFO [00001366] Port=1 RD @07 + 1365.50ns INFO [00001367] Port=0 WR @02=4DB0470BB6BCA50DCE + 1366.50ns INFO [00001368] * RD COMPARE * port=1 adr=07 act=B7E1107F622A222D45 exp=B7E1107F622A222D45 + 1366.50ns INFO [00001368] Port=0 WR @01=0E6392C73A0AE69052 + 1366.50ns INFO [00001368] Port=0 RD @06 + 1366.50ns INFO [00001368] Port=1 RD @04 + 1367.50ns INFO [00001369] Port=1 RD @05 + 1368.50ns INFO [00001370] * RD COMPARE * port=0 adr=06 act=62C66CABE381DD932D exp=62C66CABE381DD932D + 1368.50ns INFO [00001370] * RD COMPARE * port=1 adr=04 act=3B40638A233ACB8134 exp=3B40638A233ACB8134 + 1368.50ns INFO [00001370] Port=0 RD @00 + 1369.50ns INFO [00001371] * RD COMPARE * port=1 adr=05 act=203F2117E8698E6C73 exp=203F2117E8698E6C73 + 1370.50ns INFO [00001372] * RD COMPARE * port=0 adr=00 act=0837BF85C4EDE34291 exp=0837BF85C4EDE34291 + 1370.50ns INFO [00001372] Port=0 WR @05=BBBDD547DA66F21C95 + 1370.50ns INFO [00001372] Port=1 RD @06 + 1371.50ns INFO [00001373] Port=0 WR @04=B95375E79E7E073CC6 + 1372.50ns INFO [00001374] * RD COMPARE * port=1 adr=06 act=62C66CABE381DD932D exp=62C66CABE381DD932D + 1372.50ns INFO [00001374] Port=0 WR @07=7715F550EDC8AEE34A + 1372.50ns INFO [00001374] Port=1 RD @01 + 1373.50ns INFO [00001375] Port=0 RD @01 + 1373.50ns INFO [00001375] Port=1 RD @03 + 1374.50ns INFO [00001376] * RD COMPARE * port=1 adr=01 act=0E6392C73A0AE69052 exp=0E6392C73A0AE69052 + 1374.50ns INFO [00001376] Port=1 RD @04 + 1375.50ns INFO [00001377] * RD COMPARE * port=0 adr=01 act=0E6392C73A0AE69052 exp=0E6392C73A0AE69052 + 1375.50ns INFO [00001377] * RD COMPARE * port=1 adr=03 act=1E818C80C6235ABD20 exp=1E818C80C6235ABD20 + 1376.50ns INFO [00001378] * RD COMPARE * port=1 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1377.50ns INFO [00001379] Port=0 WR @01=4A3A5F879B9BC0CDA8 + 1378.50ns INFO [00001380] Port=0 RD @06 + 1379.50ns INFO [00001381] Port=1 RD @00 + 1380.50ns INFO [00001382] * RD COMPARE * port=0 adr=06 act=62C66CABE381DD932D exp=62C66CABE381DD932D + 1380.50ns INFO [00001382] Port=0 WR @03=EC089448BBB33A762D + 1381.50ns INFO [00001383] * RD COMPARE * port=1 adr=00 act=0837BF85C4EDE34291 exp=0837BF85C4EDE34291 + 1381.50ns INFO [00001383] Port=0 RD @00 + 1381.50ns INFO [00001383] Port=1 RD @03 + 1382.50ns INFO [00001384] Port=1 RD @07 + 1383.50ns INFO [00001385] * RD COMPARE * port=0 adr=00 act=0837BF85C4EDE34291 exp=0837BF85C4EDE34291 + 1383.50ns INFO [00001385] * RD COMPARE * port=1 adr=03 act=EC089448BBB33A762D exp=EC089448BBB33A762D + 1384.50ns INFO [00001386] * RD COMPARE * port=1 adr=07 act=7715F550EDC8AEE34A exp=7715F550EDC8AEE34A + 1384.50ns INFO [00001386] Port=0 RD @01 + 1386.50ns INFO [00001388] * RD COMPARE * port=0 adr=01 act=4A3A5F879B9BC0CDA8 exp=4A3A5F879B9BC0CDA8 + 1386.50ns INFO [00001388] Port=0 WR @06=7C3D3BF98365A6E921 + 1388.50ns INFO [00001390] Port=0 WR @02=695FDB92A42F53B262 + 1388.50ns INFO [00001390] Port=0 RD @01 + 1388.50ns INFO [00001390] Port=1 RD @07 + 1389.50ns INFO [00001391] Port=0 WR @05=4D9C89D00043A0A218 + 1389.50ns INFO [00001391] Port=1 RD @06 + 1390.50ns INFO [00001392] * RD COMPARE * port=0 adr=01 act=4A3A5F879B9BC0CDA8 exp=4A3A5F879B9BC0CDA8 + 1390.50ns INFO [00001392] * RD COMPARE * port=1 adr=07 act=7715F550EDC8AEE34A exp=7715F550EDC8AEE34A + 1390.50ns INFO [00001392] Port=0 RD @02 + 1391.50ns INFO [00001393] * RD COMPARE * port=1 adr=06 act=7C3D3BF98365A6E921 exp=7C3D3BF98365A6E921 + 1391.50ns INFO [00001393] Port=0 WR @03=3DDEC02AD934D18765 + 1392.50ns INFO [00001394] * RD COMPARE * port=0 adr=02 act=695FDB92A42F53B262 exp=695FDB92A42F53B262 + 1392.50ns INFO [00001394] Port=0 WR @07=DA9962F0D66659C84D + 1392.50ns INFO [00001394] Port=1 RD @04 + 1393.50ns INFO [00001395] Port=1 RD @01 + 1394.50ns INFO [00001396] * RD COMPARE * port=1 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1394.50ns INFO [00001396] Port=0 WR @06=C843DEFFF702115863 + 1395.50ns INFO [00001397] * RD COMPARE * port=1 adr=01 act=4A3A5F879B9BC0CDA8 exp=4A3A5F879B9BC0CDA8 + 1395.50ns INFO [00001397] Port=0 RD @01 + 1396.50ns INFO [00001398] Port=0 RD @02 + 1397.50ns INFO [00001399] * RD COMPARE * port=0 adr=01 act=4A3A5F879B9BC0CDA8 exp=4A3A5F879B9BC0CDA8 + 1397.50ns INFO [00001399] Port=0 WR @07=E5F8DCB69910B8554E + 1397.50ns INFO [00001399] Port=0 RD @05 + 1398.00ns INFO [00001400] [00001400] ...tick... + 1398.50ns INFO [00001400] * RD COMPARE * port=0 adr=02 act=695FDB92A42F53B262 exp=695FDB92A42F53B262 + 1398.50ns INFO [00001400] Port=0 RD @02 + 1399.50ns INFO [00001401] * RD COMPARE * port=0 adr=05 act=4D9C89D00043A0A218 exp=4D9C89D00043A0A218 + 1399.50ns INFO [00001401] Port=0 RD @03 + 1400.50ns INFO [00001402] * RD COMPARE * port=0 adr=02 act=695FDB92A42F53B262 exp=695FDB92A42F53B262 + 1400.50ns INFO [00001402] Port=1 RD @05 + 1401.50ns INFO [00001403] * RD COMPARE * port=0 adr=03 act=3DDEC02AD934D18765 exp=3DDEC02AD934D18765 + 1401.50ns INFO [00001403] Port=0 WR @03=77357F0BDA771C2189 + 1401.50ns INFO [00001403] Port=1 RD @02 + 1402.50ns INFO [00001404] * RD COMPARE * port=1 adr=05 act=4D9C89D00043A0A218 exp=4D9C89D00043A0A218 + 1402.50ns INFO [00001404] Port=0 WR @00=6C06EE860411143795 + 1403.50ns INFO [00001405] * RD COMPARE * port=1 adr=02 act=695FDB92A42F53B262 exp=695FDB92A42F53B262 + 1403.50ns INFO [00001405] Port=0 WR @00=C4AC2912783EAAFF4D + 1403.50ns INFO [00001405] Port=1 RD @06 + 1404.50ns INFO [00001406] Port=0 RD @05 + 1405.50ns INFO [00001407] * RD COMPARE * port=1 adr=06 act=C843DEFFF702115863 exp=C843DEFFF702115863 + 1405.50ns INFO [00001407] Port=0 WR @01=806C7830C5F5FE05CD + 1406.50ns INFO [00001408] * RD COMPARE * port=0 adr=05 act=4D9C89D00043A0A218 exp=4D9C89D00043A0A218 + 1407.50ns INFO [00001409] Port=0 WR @07=8627800B4399AF5254 + 1408.50ns INFO [00001410] Port=0 WR @00=425B952B2588303AA3 + 1408.50ns INFO [00001410] Port=0 RD @05 + 1408.50ns INFO [00001410] Port=1 RD @02 + 1409.50ns INFO [00001411] Port=0 WR @01=79093511D93665BDA3 + 1409.50ns INFO [00001411] Port=0 RD @03 + 1409.50ns INFO [00001411] Port=1 RD @03 + 1410.50ns INFO [00001412] * RD COMPARE * port=0 adr=05 act=4D9C89D00043A0A218 exp=4D9C89D00043A0A218 + 1410.50ns INFO [00001412] * RD COMPARE * port=1 adr=02 act=695FDB92A42F53B262 exp=695FDB92A42F53B262 + 1410.50ns INFO [00001412] Port=0 WR @01=BA56A09F1D946AFF33 + 1411.50ns INFO [00001413] * RD COMPARE * port=0 adr=03 act=77357F0BDA771C2189 exp=77357F0BDA771C2189 + 1411.50ns INFO [00001413] * RD COMPARE * port=1 adr=03 act=77357F0BDA771C2189 exp=77357F0BDA771C2189 + 1411.50ns INFO [00001413] Port=0 RD @03 + 1412.50ns INFO [00001414] Port=0 WR @03=20A49CDCC34F15E301 + 1412.50ns INFO [00001414] Port=0 RD @02 + 1413.50ns INFO [00001415] * RD COMPARE * port=0 adr=03 act=77357F0BDA771C2189 exp=77357F0BDA771C2189 + 1413.50ns INFO [00001415] Port=0 WR @05=D4B0717B7F1CFD6BDB + 1413.50ns INFO [00001415] Port=0 RD @06 + 1414.50ns INFO [00001416] * RD COMPARE * port=0 adr=02 act=695FDB92A42F53B262 exp=695FDB92A42F53B262 + 1414.50ns INFO [00001416] Port=0 WR @06=CE366701DFBB60D1F5 + 1415.50ns INFO [00001417] * RD COMPARE * port=0 adr=06 act=C843DEFFF702115863 exp=C843DEFFF702115863 + 1415.50ns INFO [00001417] Port=1 RD @01 + 1416.50ns INFO [00001418] Port=0 RD @01 + 1417.50ns INFO [00001419] * RD COMPARE * port=1 adr=01 act=BA56A09F1D946AFF33 exp=BA56A09F1D946AFF33 + 1417.50ns INFO [00001419] Port=1 RD @06 + 1418.50ns INFO [00001420] * RD COMPARE * port=0 adr=01 act=BA56A09F1D946AFF33 exp=BA56A09F1D946AFF33 + 1419.50ns INFO [00001421] * RD COMPARE * port=1 adr=06 act=CE366701DFBB60D1F5 exp=CE366701DFBB60D1F5 + 1419.50ns INFO [00001421] Port=0 WR @05=4C20972E3B8040FFD6 + 1420.50ns INFO [00001422] Port=0 WR @01=4BD29E840B3BA7C659 + 1421.50ns INFO [00001423] Port=0 WR @01=4A7C36BA09FAB9C932 + 1421.50ns INFO [00001423] Port=0 RD @03 + 1423.50ns INFO [00001425] * RD COMPARE * port=0 adr=03 act=20A49CDCC34F15E301 exp=20A49CDCC34F15E301 + 1423.50ns INFO [00001425] Port=0 RD @02 + 1424.50ns INFO [00001426] Port=0 WR @00=703E6CF6E156E89C96 + 1425.50ns INFO [00001427] * RD COMPARE * port=0 adr=02 act=695FDB92A42F53B262 exp=695FDB92A42F53B262 + 1425.50ns INFO [00001427] Port=1 RD @06 + 1427.50ns INFO [00001429] * RD COMPARE * port=1 adr=06 act=CE366701DFBB60D1F5 exp=CE366701DFBB60D1F5 + 1427.50ns INFO [00001429] Port=0 WR @00=EDE832BC2B2575BC65 + 1429.50ns INFO [00001431] Port=0 WR @05=D070246BA020F019A5 + 1429.50ns INFO [00001431] Port=0 RD @04 + 1429.50ns INFO [00001431] Port=1 RD @01 + 1430.50ns INFO [00001432] Port=0 WR @03=8CEE439F14F770FBD4 + 1431.50ns INFO [00001433] * RD COMPARE * port=0 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1431.50ns INFO [00001433] * RD COMPARE * port=1 adr=01 act=4A7C36BA09FAB9C932 exp=4A7C36BA09FAB9C932 + 1431.50ns INFO [00001433] Port=0 WR @05=4DCB371A41A8D1A501 + 1431.50ns INFO [00001433] Port=0 RD @02 + 1432.50ns INFO [00001434] Port=0 WR @06=0EEB8FEE0A3D30CE64 + 1432.50ns INFO [00001434] Port=0 RD @04 + 1433.50ns INFO [00001435] * RD COMPARE * port=0 adr=02 act=695FDB92A42F53B262 exp=695FDB92A42F53B262 + 1433.50ns INFO [00001435] Port=0 WR @07=8223139CED17DFADFF + 1433.50ns INFO [00001435] Port=0 RD @00 + 1434.50ns INFO [00001436] * RD COMPARE * port=0 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1435.50ns INFO [00001437] * RD COMPARE * port=0 adr=00 act=EDE832BC2B2575BC65 exp=EDE832BC2B2575BC65 + 1436.50ns INFO [00001438] Port=1 RD @05 + 1437.50ns INFO [00001439] Port=1 RD @03 + 1438.50ns INFO [00001440] * RD COMPARE * port=1 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1439.50ns INFO [00001441] * RD COMPARE * port=1 adr=03 act=8CEE439F14F770FBD4 exp=8CEE439F14F770FBD4 + 1439.50ns INFO [00001441] Port=0 WR @00=C271EE984F29DB98F3 + 1439.50ns INFO [00001441] Port=0 RD @01 + 1440.50ns INFO [00001442] Port=0 WR @02=6A215D055F931C7696 + 1440.50ns INFO [00001442] Port=0 RD @01 + 1441.50ns INFO [00001443] * RD COMPARE * port=0 adr=01 act=4A7C36BA09FAB9C932 exp=4A7C36BA09FAB9C932 + 1442.50ns INFO [00001444] * RD COMPARE * port=0 adr=01 act=4A7C36BA09FAB9C932 exp=4A7C36BA09FAB9C932 + 1442.50ns INFO [00001444] Port=1 RD @05 + 1443.50ns INFO [00001445] Port=0 RD @04 + 1443.50ns INFO [00001445] Port=1 RD @02 + 1444.50ns INFO [00001446] * RD COMPARE * port=1 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1444.50ns INFO [00001446] Port=0 RD @07 + 1445.50ns INFO [00001447] * RD COMPARE * port=0 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1445.50ns INFO [00001447] * RD COMPARE * port=1 adr=02 act=6A215D055F931C7696 exp=6A215D055F931C7696 + 1445.50ns INFO [00001447] Port=0 WR @03=2FE63CFC0AB4386139 + 1445.50ns INFO [00001447] Port=1 RD @04 + 1446.50ns INFO [00001448] * RD COMPARE * port=0 adr=07 act=8223139CED17DFADFF exp=8223139CED17DFADFF + 1446.50ns INFO [00001448] Port=1 RD @01 + 1447.50ns INFO [00001449] * RD COMPARE * port=1 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1448.50ns INFO [00001450] * RD COMPARE * port=1 adr=01 act=4A7C36BA09FAB9C932 exp=4A7C36BA09FAB9C932 + 1448.50ns INFO [00001450] Port=0 RD @02 + 1448.50ns INFO [00001450] Port=1 RD @01 + 1450.50ns INFO [00001452] * RD COMPARE * port=0 adr=02 act=6A215D055F931C7696 exp=6A215D055F931C7696 + 1450.50ns INFO [00001452] * RD COMPARE * port=1 adr=01 act=4A7C36BA09FAB9C932 exp=4A7C36BA09FAB9C932 + 1450.50ns INFO [00001452] Port=0 RD @06 + 1450.50ns INFO [00001452] Port=1 RD @03 + 1451.50ns INFO [00001453] Port=0 RD @07 + 1452.50ns INFO [00001454] * RD COMPARE * port=0 adr=06 act=0EEB8FEE0A3D30CE64 exp=0EEB8FEE0A3D30CE64 + 1452.50ns INFO [00001454] * RD COMPARE * port=1 adr=03 act=2FE63CFC0AB4386139 exp=2FE63CFC0AB4386139 + 1452.50ns INFO [00001454] Port=1 RD @03 + 1453.50ns INFO [00001455] * RD COMPARE * port=0 adr=07 act=8223139CED17DFADFF exp=8223139CED17DFADFF + 1453.50ns INFO [00001455] Port=1 RD @01 + 1454.50ns INFO [00001456] * RD COMPARE * port=1 adr=03 act=2FE63CFC0AB4386139 exp=2FE63CFC0AB4386139 + 1454.50ns INFO [00001456] Port=0 RD @02 + 1455.50ns INFO [00001457] * RD COMPARE * port=1 adr=01 act=4A7C36BA09FAB9C932 exp=4A7C36BA09FAB9C932 + 1455.50ns INFO [00001457] Port=1 RD @04 + 1456.50ns INFO [00001458] * RD COMPARE * port=0 adr=02 act=6A215D055F931C7696 exp=6A215D055F931C7696 + 1457.50ns INFO [00001459] * RD COMPARE * port=1 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1459.50ns INFO [00001461] Port=0 RD @07 + 1460.50ns INFO [00001462] Port=0 WR @00=E992E1096DE78296D1 + 1460.50ns INFO [00001462] Port=0 RD @02 + 1461.50ns INFO [00001463] * RD COMPARE * port=0 adr=07 act=8223139CED17DFADFF exp=8223139CED17DFADFF + 1461.50ns INFO [00001463] Port=0 RD @02 + 1462.50ns INFO [00001464] * RD COMPARE * port=0 adr=02 act=6A215D055F931C7696 exp=6A215D055F931C7696 + 1462.50ns INFO [00001464] Port=1 RD @03 + 1463.50ns INFO [00001465] * RD COMPARE * port=0 adr=02 act=6A215D055F931C7696 exp=6A215D055F931C7696 + 1463.50ns INFO [00001465] Port=0 WR @00=BA49E6433FF542DDCC + 1463.50ns INFO [00001465] Port=0 RD @01 + 1463.50ns INFO [00001465] Port=1 RD @04 + 1464.50ns INFO [00001466] * RD COMPARE * port=1 adr=03 act=2FE63CFC0AB4386139 exp=2FE63CFC0AB4386139 + 1464.50ns INFO [00001466] Port=0 WR @07=C722F99FCE2AAAD5BE + 1465.50ns INFO [00001467] * RD COMPARE * port=0 adr=01 act=4A7C36BA09FAB9C932 exp=4A7C36BA09FAB9C932 + 1465.50ns INFO [00001467] * RD COMPARE * port=1 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1465.50ns INFO [00001467] Port=0 WR @03=4F6F24E640BCC10510 + 1465.50ns INFO [00001467] Port=0 RD @06 + 1466.50ns INFO [00001468] Port=0 RD @06 + 1466.50ns INFO [00001468] Port=1 RD @07 + 1467.50ns INFO [00001469] * RD COMPARE * port=0 adr=06 act=0EEB8FEE0A3D30CE64 exp=0EEB8FEE0A3D30CE64 + 1467.50ns INFO [00001469] Port=1 RD @04 + 1468.50ns INFO [00001470] * RD COMPARE * port=0 adr=06 act=0EEB8FEE0A3D30CE64 exp=0EEB8FEE0A3D30CE64 + 1468.50ns INFO [00001470] * RD COMPARE * port=1 adr=07 act=C722F99FCE2AAAD5BE exp=C722F99FCE2AAAD5BE + 1468.50ns INFO [00001470] Port=0 RD @03 + 1469.50ns INFO [00001471] * RD COMPARE * port=1 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1469.50ns INFO [00001471] Port=1 RD @04 + 1470.50ns INFO [00001472] * RD COMPARE * port=0 adr=03 act=4F6F24E640BCC10510 exp=4F6F24E640BCC10510 + 1470.50ns INFO [00001472] Port=0 RD @05 + 1471.50ns INFO [00001473] * RD COMPARE * port=1 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1472.50ns INFO [00001474] * RD COMPARE * port=0 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1472.50ns INFO [00001474] Port=0 WR @00=BBA6C33CA75F75A558 + 1472.50ns INFO [00001474] Port=0 RD @01 + 1472.50ns INFO [00001474] Port=1 RD @03 + 1473.50ns INFO [00001475] Port=1 RD @02 + 1474.50ns INFO [00001476] * RD COMPARE * port=0 adr=01 act=4A7C36BA09FAB9C932 exp=4A7C36BA09FAB9C932 + 1474.50ns INFO [00001476] * RD COMPARE * port=1 adr=03 act=4F6F24E640BCC10510 exp=4F6F24E640BCC10510 + 1474.50ns INFO [00001476] Port=1 RD @03 + 1475.50ns INFO [00001477] * RD COMPARE * port=1 adr=02 act=6A215D055F931C7696 exp=6A215D055F931C7696 + 1475.50ns INFO [00001477] Port=0 WR @01=A952159A41E06DACF4 + 1475.50ns INFO [00001477] Port=0 RD @05 + 1475.50ns INFO [00001477] Port=1 RD @03 + 1476.50ns INFO [00001478] * RD COMPARE * port=1 adr=03 act=4F6F24E640BCC10510 exp=4F6F24E640BCC10510 + 1477.50ns INFO [00001479] * RD COMPARE * port=0 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1477.50ns INFO [00001479] * RD COMPARE * port=1 adr=03 act=4F6F24E640BCC10510 exp=4F6F24E640BCC10510 + 1477.50ns INFO [00001479] Port=0 RD @07 + 1478.50ns INFO [00001480] Port=1 RD @05 + 1479.50ns INFO [00001481] * RD COMPARE * port=0 adr=07 act=C722F99FCE2AAAD5BE exp=C722F99FCE2AAAD5BE + 1479.50ns INFO [00001481] Port=0 WR @00=EC09668947BE1BFE4E + 1479.50ns INFO [00001481] Port=0 RD @01 + 1480.50ns INFO [00001482] * RD COMPARE * port=1 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1480.50ns INFO [00001482] Port=1 RD @03 + 1481.50ns INFO [00001483] * RD COMPARE * port=0 adr=01 act=A952159A41E06DACF4 exp=A952159A41E06DACF4 + 1481.50ns INFO [00001483] Port=0 RD @04 + 1482.50ns INFO [00001484] * RD COMPARE * port=1 adr=03 act=4F6F24E640BCC10510 exp=4F6F24E640BCC10510 + 1482.50ns INFO [00001484] Port=0 RD @05 + 1483.50ns INFO [00001485] * RD COMPARE * port=0 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1483.50ns INFO [00001485] Port=0 WR @00=F0820C98D80882EC10 + 1484.50ns INFO [00001486] * RD COMPARE * port=0 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1484.50ns INFO [00001486] Port=0 WR @01=AB2A14BA6DA32562D5 + 1484.50ns INFO [00001486] Port=0 RD @06 + 1484.50ns INFO [00001486] Port=1 RD @04 + 1485.50ns INFO [00001487] Port=0 WR @02=F2444F189B9FB81B67 + 1486.50ns INFO [00001488] * RD COMPARE * port=0 adr=06 act=0EEB8FEE0A3D30CE64 exp=0EEB8FEE0A3D30CE64 + 1486.50ns INFO [00001488] * RD COMPARE * port=1 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1486.50ns INFO [00001488] Port=0 RD @05 + 1487.50ns INFO [00001489] Port=1 RD @07 + 1488.50ns INFO [00001490] * RD COMPARE * port=0 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1488.50ns INFO [00001490] Port=0 RD @07 + 1489.50ns INFO [00001491] * RD COMPARE * port=1 adr=07 act=C722F99FCE2AAAD5BE exp=C722F99FCE2AAAD5BE + 1489.50ns INFO [00001491] Port=1 RD @07 + 1490.50ns INFO [00001492] * RD COMPARE * port=0 adr=07 act=C722F99FCE2AAAD5BE exp=C722F99FCE2AAAD5BE + 1490.50ns INFO [00001492] Port=0 RD @02 + 1491.50ns INFO [00001493] * RD COMPARE * port=1 adr=07 act=C722F99FCE2AAAD5BE exp=C722F99FCE2AAAD5BE + 1491.50ns INFO [00001493] Port=0 WR @07=EF315B730FFAD98E17 + 1491.50ns INFO [00001493] Port=0 RD @06 + 1492.50ns INFO [00001494] * RD COMPARE * port=0 adr=02 act=F2444F189B9FB81B67 exp=F2444F189B9FB81B67 + 1492.50ns INFO [00001494] Port=0 RD @05 + 1492.50ns INFO [00001494] Port=1 RD @07 + 1493.50ns INFO [00001495] * RD COMPARE * port=0 adr=06 act=0EEB8FEE0A3D30CE64 exp=0EEB8FEE0A3D30CE64 + 1493.50ns INFO [00001495] Port=0 WR @06=74BF59DAD660A62588 + 1493.50ns INFO [00001495] Port=0 RD @01 + 1493.50ns INFO [00001495] Port=1 RD @03 + 1494.50ns INFO [00001496] * RD COMPARE * port=0 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1494.50ns INFO [00001496] * RD COMPARE * port=1 adr=07 act=EF315B730FFAD98E17 exp=EF315B730FFAD98E17 + 1494.50ns INFO [00001496] Port=0 WR @06=1137C48A109A086B90 + 1494.50ns INFO [00001496] Port=1 RD @03 + 1495.50ns INFO [00001497] * RD COMPARE * port=0 adr=01 act=AB2A14BA6DA32562D5 exp=AB2A14BA6DA32562D5 + 1495.50ns INFO [00001497] * RD COMPARE * port=1 adr=03 act=4F6F24E640BCC10510 exp=4F6F24E640BCC10510 + 1495.50ns INFO [00001497] Port=0 RD @01 + 1495.50ns INFO [00001497] Port=1 RD @04 + 1496.50ns INFO [00001498] * RD COMPARE * port=1 adr=03 act=4F6F24E640BCC10510 exp=4F6F24E640BCC10510 + 1496.50ns INFO [00001498] Port=0 RD @00 + 1496.50ns INFO [00001498] Port=1 RD @06 + 1497.50ns INFO [00001499] * RD COMPARE * port=0 adr=01 act=AB2A14BA6DA32562D5 exp=AB2A14BA6DA32562D5 + 1497.50ns INFO [00001499] * RD COMPARE * port=1 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1497.50ns INFO [00001499] Port=1 RD @07 + 1498.00ns INFO [00001500] [00001500] ...tick... + 1498.50ns INFO [00001500] * RD COMPARE * port=0 adr=00 act=F0820C98D80882EC10 exp=F0820C98D80882EC10 + 1498.50ns INFO [00001500] * RD COMPARE * port=1 adr=06 act=1137C48A109A086B90 exp=1137C48A109A086B90 + 1498.50ns INFO [00001500] Port=0 WR @07=1F38BA34B8A414E9B4 + 1498.50ns INFO [00001500] Port=0 RD @05 + 1499.50ns INFO [00001501] * RD COMPARE * port=1 adr=07 act=EF315B730FFAD98E17 exp=EF315B730FFAD98E17 + 1499.50ns INFO [00001501] Port=0 RD @01 + 1500.50ns INFO [00001502] * RD COMPARE * port=0 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1500.50ns INFO [00001502] Port=1 RD @02 + 1501.50ns INFO [00001503] * RD COMPARE * port=0 adr=01 act=AB2A14BA6DA32562D5 exp=AB2A14BA6DA32562D5 + 1501.50ns INFO [00001503] Port=0 WR @03=D1790A10DFF29820FF + 1501.50ns INFO [00001503] Port=0 RD @04 + 1502.50ns INFO [00001504] * RD COMPARE * port=1 adr=02 act=F2444F189B9FB81B67 exp=F2444F189B9FB81B67 + 1502.50ns INFO [00001504] Port=0 WR @02=775C37C196E737D644 + 1503.50ns INFO [00001505] * RD COMPARE * port=0 adr=04 act=B95375E79E7E073CC6 exp=B95375E79E7E073CC6 + 1504.50ns INFO [00001506] Port=1 RD @02 + 1505.50ns INFO [00001507] Port=0 RD @01 + 1506.50ns INFO [00001508] * RD COMPARE * port=1 adr=02 act=775C37C196E737D644 exp=775C37C196E737D644 + 1506.50ns INFO [00001508] Port=0 WR @04=74679E187CC125B09F + 1506.50ns INFO [00001508] Port=1 RD @06 + 1507.50ns INFO [00001509] * RD COMPARE * port=0 adr=01 act=AB2A14BA6DA32562D5 exp=AB2A14BA6DA32562D5 + 1507.50ns INFO [00001509] Port=1 RD @07 + 1508.50ns INFO [00001510] * RD COMPARE * port=1 adr=06 act=1137C48A109A086B90 exp=1137C48A109A086B90 + 1508.50ns INFO [00001510] Port=0 RD @00 + 1508.50ns INFO [00001510] Port=1 RD @02 + 1509.50ns INFO [00001511] * RD COMPARE * port=1 adr=07 act=1F38BA34B8A414E9B4 exp=1F38BA34B8A414E9B4 + 1509.50ns INFO [00001511] Port=0 WR @00=36A5DEFE8DBC89EF5E + 1510.50ns INFO [00001512] * RD COMPARE * port=0 adr=00 act=F0820C98D80882EC10 exp=F0820C98D80882EC10 + 1510.50ns INFO [00001512] * RD COMPARE * port=1 adr=02 act=775C37C196E737D644 exp=775C37C196E737D644 + 1510.50ns INFO [00001512] Port=0 WR @00=FF2B2ACB7C7343061E + 1510.50ns INFO [00001512] Port=1 RD @05 + 1512.50ns INFO [00001514] * RD COMPARE * port=1 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1512.50ns INFO [00001514] Port=0 WR @07=AB68D351E2052A5026 + 1512.50ns INFO [00001514] Port=1 RD @06 + 1513.50ns INFO [00001515] Port=0 RD @04 + 1514.50ns INFO [00001516] * RD COMPARE * port=1 adr=06 act=1137C48A109A086B90 exp=1137C48A109A086B90 + 1515.50ns INFO [00001517] * RD COMPARE * port=0 adr=04 act=74679E187CC125B09F exp=74679E187CC125B09F + 1515.50ns INFO [00001517] Port=0 WR @07=6787CBA74E51498023 + 1515.50ns INFO [00001517] Port=1 RD @06 + 1516.50ns INFO [00001518] Port=0 RD @06 + 1516.50ns INFO [00001518] Port=1 RD @02 + 1517.50ns INFO [00001519] * RD COMPARE * port=1 adr=06 act=1137C48A109A086B90 exp=1137C48A109A086B90 + 1518.50ns INFO [00001520] * RD COMPARE * port=0 adr=06 act=1137C48A109A086B90 exp=1137C48A109A086B90 + 1518.50ns INFO [00001520] * RD COMPARE * port=1 adr=02 act=775C37C196E737D644 exp=775C37C196E737D644 + 1519.50ns INFO [00001521] Port=0 WR @02=0AB2ED0C7568A10457 + 1520.50ns INFO [00001522] Port=1 RD @07 + 1521.50ns INFO [00001523] Port=0 RD @03 + 1522.50ns INFO [00001524] * RD COMPARE * port=1 adr=07 act=6787CBA74E51498023 exp=6787CBA74E51498023 + 1522.50ns INFO [00001524] Port=0 WR @02=27C2A31DB1C2A38317 + 1522.50ns INFO [00001524] Port=1 RD @05 + 1523.50ns INFO [00001525] * RD COMPARE * port=0 adr=03 act=D1790A10DFF29820FF exp=D1790A10DFF29820FF + 1523.50ns INFO [00001525] Port=0 WR @06=7DB98373D5AED33998 + 1523.50ns INFO [00001525] Port=1 RD @04 + 1524.50ns INFO [00001526] * RD COMPARE * port=1 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1525.50ns INFO [00001527] * RD COMPARE * port=1 adr=04 act=74679E187CC125B09F exp=74679E187CC125B09F + 1525.50ns INFO [00001527] Port=0 WR @03=99D5C408AABDEA10EF + 1525.50ns INFO [00001527] Port=1 RD @07 + 1526.50ns INFO [00001528] Port=0 WR @04=1D23425C6A7099BA77 + 1527.50ns INFO [00001529] * RD COMPARE * port=1 adr=07 act=6787CBA74E51498023 exp=6787CBA74E51498023 + 1527.50ns INFO [00001529] Port=1 RD @01 + 1528.50ns INFO [00001530] Port=0 WR @02=17C520DE5D3A5077D5 + 1528.50ns INFO [00001530] Port=0 RD @00 + 1529.50ns INFO [00001531] * RD COMPARE * port=1 adr=01 act=AB2A14BA6DA32562D5 exp=AB2A14BA6DA32562D5 + 1529.50ns INFO [00001531] Port=0 RD @07 + 1529.50ns INFO [00001531] Port=1 RD @02 + 1530.50ns INFO [00001532] * RD COMPARE * port=0 adr=00 act=FF2B2ACB7C7343061E exp=FF2B2ACB7C7343061E + 1530.50ns INFO [00001532] Port=0 RD @00 + 1530.50ns INFO [00001532] Port=1 RD @02 + 1531.50ns INFO [00001533] * RD COMPARE * port=0 adr=07 act=6787CBA74E51498023 exp=6787CBA74E51498023 + 1531.50ns INFO [00001533] * RD COMPARE * port=1 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1531.50ns INFO [00001533] Port=0 WR @07=1FC953E299B0B3DB63 + 1531.50ns INFO [00001533] Port=0 RD @05 + 1531.50ns INFO [00001533] Port=1 RD @03 + 1532.50ns INFO [00001534] * RD COMPARE * port=0 adr=00 act=FF2B2ACB7C7343061E exp=FF2B2ACB7C7343061E + 1532.50ns INFO [00001534] * RD COMPARE * port=1 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1532.50ns INFO [00001534] Port=0 WR @07=1D9B09F1E8CB126D21 + 1533.50ns INFO [00001535] * RD COMPARE * port=0 adr=05 act=4DCB371A41A8D1A501 exp=4DCB371A41A8D1A501 + 1533.50ns INFO [00001535] * RD COMPARE * port=1 adr=03 act=99D5C408AABDEA10EF exp=99D5C408AABDEA10EF + 1533.50ns INFO [00001535] Port=0 RD @01 + 1535.50ns INFO [00001537] * RD COMPARE * port=0 adr=01 act=AB2A14BA6DA32562D5 exp=AB2A14BA6DA32562D5 + 1535.50ns INFO [00001537] Port=0 WR @05=7BA4775B218B5C089D + 1536.50ns INFO [00001538] Port=0 WR @00=C0F696DB2B9483EFDB + 1537.50ns INFO [00001539] Port=0 RD @02 + 1538.50ns INFO [00001540] Port=0 WR @03=5A8541D1EB433DE48A + 1538.50ns INFO [00001540] Port=0 RD @02 + 1539.50ns INFO [00001541] * RD COMPARE * port=0 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1539.50ns INFO [00001541] Port=0 WR @01=03530F3A58A9C890F9 + 1539.50ns INFO [00001541] Port=0 RD @06 + 1540.50ns INFO [00001542] * RD COMPARE * port=0 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1540.50ns INFO [00001542] Port=1 RD @06 + 1541.50ns INFO [00001543] * RD COMPARE * port=0 adr=06 act=7DB98373D5AED33998 exp=7DB98373D5AED33998 + 1541.50ns INFO [00001543] Port=0 WR @04=72EAF937D2C2735CEA + 1541.50ns INFO [00001543] Port=0 RD @01 + 1542.50ns INFO [00001544] * RD COMPARE * port=1 adr=06 act=7DB98373D5AED33998 exp=7DB98373D5AED33998 + 1542.50ns INFO [00001544] Port=1 RD @07 + 1543.50ns INFO [00001545] * RD COMPARE * port=0 adr=01 act=03530F3A58A9C890F9 exp=03530F3A58A9C890F9 + 1544.50ns INFO [00001546] * RD COMPARE * port=1 adr=07 act=1D9B09F1E8CB126D21 exp=1D9B09F1E8CB126D21 + 1544.50ns INFO [00001546] Port=0 WR @03=0E4C297E178B5A6464 + 1545.50ns INFO [00001547] Port=0 WR @04=E5756C9F41254E8A34 + 1545.50ns INFO [00001547] Port=1 RD @07 + 1547.50ns INFO [00001549] * RD COMPARE * port=1 adr=07 act=1D9B09F1E8CB126D21 exp=1D9B09F1E8CB126D21 + 1547.50ns INFO [00001549] Port=0 WR @03=B835B2EF8600239265 + 1547.50ns INFO [00001549] Port=1 RD @01 + 1548.50ns INFO [00001550] Port=1 RD @07 + 1549.50ns INFO [00001551] * RD COMPARE * port=1 adr=01 act=03530F3A58A9C890F9 exp=03530F3A58A9C890F9 + 1549.50ns INFO [00001551] Port=0 RD @02 + 1549.50ns INFO [00001551] Port=1 RD @00 + 1550.50ns INFO [00001552] * RD COMPARE * port=1 adr=07 act=1D9B09F1E8CB126D21 exp=1D9B09F1E8CB126D21 + 1550.50ns INFO [00001552] Port=0 WR @06=4BED07A6F35824C752 + 1551.50ns INFO [00001553] * RD COMPARE * port=0 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1551.50ns INFO [00001553] * RD COMPARE * port=1 adr=00 act=C0F696DB2B9483EFDB exp=C0F696DB2B9483EFDB + 1553.50ns INFO [00001555] Port=0 RD @05 + 1553.50ns INFO [00001555] Port=1 RD @00 + 1554.50ns INFO [00001556] Port=0 WR @04=3F055249988E1AFFD3 + 1554.50ns INFO [00001556] Port=1 RD @05 + 1555.50ns INFO [00001557] * RD COMPARE * port=0 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1555.50ns INFO [00001557] * RD COMPARE * port=1 adr=00 act=C0F696DB2B9483EFDB exp=C0F696DB2B9483EFDB + 1555.50ns INFO [00001557] Port=1 RD @04 + 1556.50ns INFO [00001558] * RD COMPARE * port=1 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1556.50ns INFO [00001558] Port=1 RD @02 + 1557.50ns INFO [00001559] * RD COMPARE * port=1 adr=04 act=3F055249988E1AFFD3 exp=3F055249988E1AFFD3 + 1557.50ns INFO [00001559] Port=0 WR @01=9722AAC3D3F56A303B + 1557.50ns INFO [00001559] Port=1 RD @06 + 1558.50ns INFO [00001560] * RD COMPARE * port=1 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1559.50ns INFO [00001561] * RD COMPARE * port=1 adr=06 act=4BED07A6F35824C752 exp=4BED07A6F35824C752 + 1559.50ns INFO [00001561] Port=0 RD @05 + 1559.50ns INFO [00001561] Port=1 RD @04 + 1560.50ns INFO [00001562] Port=1 RD @04 + 1561.50ns INFO [00001563] * RD COMPARE * port=0 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1561.50ns INFO [00001563] * RD COMPARE * port=1 adr=04 act=3F055249988E1AFFD3 exp=3F055249988E1AFFD3 + 1562.50ns INFO [00001564] * RD COMPARE * port=1 adr=04 act=3F055249988E1AFFD3 exp=3F055249988E1AFFD3 + 1563.50ns INFO [00001565] Port=0 RD @06 + 1564.50ns INFO [00001566] Port=0 RD @05 + 1565.50ns INFO [00001567] * RD COMPARE * port=0 adr=06 act=4BED07A6F35824C752 exp=4BED07A6F35824C752 + 1565.50ns INFO [00001567] Port=0 WR @07=1BDDEC908CEE1E557A + 1565.50ns INFO [00001567] Port=0 RD @00 + 1566.50ns INFO [00001568] * RD COMPARE * port=0 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1566.50ns INFO [00001568] Port=0 WR @04=34C56773F8BA393CF7 + 1566.50ns INFO [00001568] Port=0 RD @06 + 1567.50ns INFO [00001569] * RD COMPARE * port=0 adr=00 act=C0F696DB2B9483EFDB exp=C0F696DB2B9483EFDB + 1567.50ns INFO [00001569] Port=0 WR @04=2998D68FD2E341C18A + 1568.50ns INFO [00001570] * RD COMPARE * port=0 adr=06 act=4BED07A6F35824C752 exp=4BED07A6F35824C752 + 1570.50ns INFO [00001572] Port=0 RD @06 + 1570.50ns INFO [00001572] Port=1 RD @00 + 1571.50ns INFO [00001573] Port=0 WR @07=192DCBA7038576A159 + 1572.50ns INFO [00001574] * RD COMPARE * port=0 adr=06 act=4BED07A6F35824C752 exp=4BED07A6F35824C752 + 1572.50ns INFO [00001574] * RD COMPARE * port=1 adr=00 act=C0F696DB2B9483EFDB exp=C0F696DB2B9483EFDB + 1575.50ns INFO [00001577] Port=0 RD @00 + 1576.50ns INFO [00001578] Port=0 RD @01 + 1576.50ns INFO [00001578] Port=1 RD @07 + 1577.50ns INFO [00001579] * RD COMPARE * port=0 adr=00 act=C0F696DB2B9483EFDB exp=C0F696DB2B9483EFDB + 1577.50ns INFO [00001579] Port=0 RD @07 + 1578.50ns INFO [00001580] * RD COMPARE * port=0 adr=01 act=9722AAC3D3F56A303B exp=9722AAC3D3F56A303B + 1578.50ns INFO [00001580] * RD COMPARE * port=1 adr=07 act=192DCBA7038576A159 exp=192DCBA7038576A159 + 1578.50ns INFO [00001580] Port=1 RD @01 + 1579.50ns INFO [00001581] * RD COMPARE * port=0 adr=07 act=192DCBA7038576A159 exp=192DCBA7038576A159 + 1579.50ns INFO [00001581] Port=0 RD @04 + 1580.50ns INFO [00001582] * RD COMPARE * port=1 adr=01 act=9722AAC3D3F56A303B exp=9722AAC3D3F56A303B + 1580.50ns INFO [00001582] Port=0 RD @06 + 1581.50ns INFO [00001583] * RD COMPARE * port=0 adr=04 act=2998D68FD2E341C18A exp=2998D68FD2E341C18A + 1581.50ns INFO [00001583] Port=0 RD @04 + 1581.50ns INFO [00001583] Port=1 RD @03 + 1582.50ns INFO [00001584] * RD COMPARE * port=0 adr=06 act=4BED07A6F35824C752 exp=4BED07A6F35824C752 + 1583.50ns INFO [00001585] * RD COMPARE * port=0 adr=04 act=2998D68FD2E341C18A exp=2998D68FD2E341C18A + 1583.50ns INFO [00001585] * RD COMPARE * port=1 adr=03 act=B835B2EF8600239265 exp=B835B2EF8600239265 + 1583.50ns INFO [00001585] Port=0 RD @02 + 1584.50ns INFO [00001586] Port=0 WR @01=51EAE78FCFEADD0AA6 + 1585.50ns INFO [00001587] * RD COMPARE * port=0 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1585.50ns INFO [00001587] Port=0 RD @00 + 1586.50ns INFO [00001588] Port=1 RD @04 + 1587.50ns INFO [00001589] * RD COMPARE * port=0 adr=00 act=C0F696DB2B9483EFDB exp=C0F696DB2B9483EFDB + 1588.50ns INFO [00001590] * RD COMPARE * port=1 adr=04 act=2998D68FD2E341C18A exp=2998D68FD2E341C18A + 1590.50ns INFO [00001592] Port=0 RD @03 + 1592.50ns INFO [00001594] * RD COMPARE * port=0 adr=03 act=B835B2EF8600239265 exp=B835B2EF8600239265 + 1592.50ns INFO [00001594] Port=0 WR @07=7FDE9F5B533BB6D14C + 1592.50ns INFO [00001594] Port=0 RD @00 + 1593.50ns INFO [00001595] Port=0 RD @02 + 1593.50ns INFO [00001595] Port=1 RD @05 + 1594.50ns INFO [00001596] * RD COMPARE * port=0 adr=00 act=C0F696DB2B9483EFDB exp=C0F696DB2B9483EFDB + 1594.50ns INFO [00001596] Port=0 WR @03=97E9361BF435D83803 + 1595.50ns INFO [00001597] * RD COMPARE * port=0 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1595.50ns INFO [00001597] * RD COMPARE * port=1 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1595.50ns INFO [00001597] Port=0 WR @01=F90EE51DC837E87951 + 1595.50ns INFO [00001597] Port=1 RD @07 + 1597.50ns INFO [00001599] * RD COMPARE * port=1 adr=07 act=7FDE9F5B533BB6D14C exp=7FDE9F5B533BB6D14C + 1597.50ns INFO [00001599] Port=0 RD @03 + 1598.00ns INFO [00001600] [00001600] ...tick... + 1598.50ns INFO [00001600] Port=1 RD @07 + 1599.50ns INFO [00001601] * RD COMPARE * port=0 adr=03 act=97E9361BF435D83803 exp=97E9361BF435D83803 + 1599.50ns INFO [00001601] Port=0 WR @00=2B12F05520F38044D5 + 1599.50ns INFO [00001601] Port=1 RD @05 + 1600.50ns INFO [00001602] * RD COMPARE * port=1 adr=07 act=7FDE9F5B533BB6D14C exp=7FDE9F5B533BB6D14C + 1601.50ns INFO [00001603] * RD COMPARE * port=1 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1601.50ns INFO [00001603] Port=0 WR @04=AC94B6E6E56233C34F + 1601.50ns INFO [00001603] Port=0 RD @07 + 1601.50ns INFO [00001603] Port=1 RD @03 + 1603.50ns INFO [00001605] * RD COMPARE * port=0 adr=07 act=7FDE9F5B533BB6D14C exp=7FDE9F5B533BB6D14C + 1603.50ns INFO [00001605] * RD COMPARE * port=1 adr=03 act=97E9361BF435D83803 exp=97E9361BF435D83803 + 1606.50ns INFO [00001608] Port=1 RD @05 + 1608.50ns INFO [00001610] * RD COMPARE * port=1 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1609.50ns INFO [00001611] Port=0 RD @01 + 1609.50ns INFO [00001611] Port=1 RD @07 + 1610.50ns INFO [00001612] Port=1 RD @02 + 1611.50ns INFO [00001613] * RD COMPARE * port=0 adr=01 act=F90EE51DC837E87951 exp=F90EE51DC837E87951 + 1611.50ns INFO [00001613] * RD COMPARE * port=1 adr=07 act=7FDE9F5B533BB6D14C exp=7FDE9F5B533BB6D14C + 1611.50ns INFO [00001613] Port=0 RD @04 + 1612.50ns INFO [00001614] * RD COMPARE * port=1 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1612.50ns INFO [00001614] Port=0 RD @01 + 1613.50ns INFO [00001615] * RD COMPARE * port=0 adr=04 act=AC94B6E6E56233C34F exp=AC94B6E6E56233C34F + 1613.50ns INFO [00001615] Port=0 WR @04=1734C0AEB17DCBED2E + 1613.50ns INFO [00001615] Port=0 RD @05 + 1614.50ns INFO [00001616] * RD COMPARE * port=0 adr=01 act=F90EE51DC837E87951 exp=F90EE51DC837E87951 + 1614.50ns INFO [00001616] Port=0 WR @00=D5C2FBAD9DC92A292D + 1614.50ns INFO [00001616] Port=0 RD @04 + 1615.50ns INFO [00001617] * RD COMPARE * port=0 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1615.50ns INFO [00001617] Port=0 RD @07 + 1615.50ns INFO [00001617] Port=1 RD @04 + 1616.50ns INFO [00001618] * RD COMPARE * port=0 adr=04 act=1734C0AEB17DCBED2E exp=1734C0AEB17DCBED2E + 1616.50ns INFO [00001618] Port=0 WR @04=64F724AA6AA8E7E284 + 1616.50ns INFO [00001618] Port=0 RD @02 + 1617.50ns INFO [00001619] * RD COMPARE * port=0 adr=07 act=7FDE9F5B533BB6D14C exp=7FDE9F5B533BB6D14C + 1617.50ns INFO [00001619] * RD COMPARE * port=1 adr=04 act=1734C0AEB17DCBED2E exp=1734C0AEB17DCBED2E + 1617.50ns INFO [00001619] Port=0 RD @02 + 1617.50ns INFO [00001619] Port=1 RD @07 + 1618.50ns INFO [00001620] * RD COMPARE * port=0 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1618.50ns INFO [00001620] Port=0 WR @06=CFDD0CF14E9DD999FB + 1618.50ns INFO [00001620] Port=0 RD @01 + 1618.50ns INFO [00001620] Port=1 RD @02 + 1619.50ns INFO [00001621] * RD COMPARE * port=0 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1619.50ns INFO [00001621] * RD COMPARE * port=1 adr=07 act=7FDE9F5B533BB6D14C exp=7FDE9F5B533BB6D14C + 1619.50ns INFO [00001621] Port=0 RD @05 + 1619.50ns INFO [00001621] Port=1 RD @02 + 1620.50ns INFO [00001622] * RD COMPARE * port=0 adr=01 act=F90EE51DC837E87951 exp=F90EE51DC837E87951 + 1620.50ns INFO [00001622] * RD COMPARE * port=1 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1620.50ns INFO [00001622] Port=0 WR @07=31195D97C375BD33C9 + 1621.50ns INFO [00001623] * RD COMPARE * port=0 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1621.50ns INFO [00001623] * RD COMPARE * port=1 adr=02 act=17C520DE5D3A5077D5 exp=17C520DE5D3A5077D5 + 1621.50ns INFO [00001623] Port=1 RD @00 + 1622.50ns INFO [00001624] Port=0 RD @00 + 1622.50ns INFO [00001624] Port=1 RD @00 + 1623.50ns INFO [00001625] * RD COMPARE * port=1 adr=00 act=D5C2FBAD9DC92A292D exp=D5C2FBAD9DC92A292D + 1623.50ns INFO [00001625] Port=1 RD @06 + 1624.50ns INFO [00001626] * RD COMPARE * port=0 adr=00 act=D5C2FBAD9DC92A292D exp=D5C2FBAD9DC92A292D + 1624.50ns INFO [00001626] * RD COMPARE * port=1 adr=00 act=D5C2FBAD9DC92A292D exp=D5C2FBAD9DC92A292D + 1624.50ns INFO [00001626] Port=1 RD @04 + 1625.50ns INFO [00001627] * RD COMPARE * port=1 adr=06 act=CFDD0CF14E9DD999FB exp=CFDD0CF14E9DD999FB + 1625.50ns INFO [00001627] Port=0 WR @06=9ACAA9A857B779861D + 1625.50ns INFO [00001627] Port=1 RD @04 + 1626.50ns INFO [00001628] * RD COMPARE * port=1 adr=04 act=64F724AA6AA8E7E284 exp=64F724AA6AA8E7E284 + 1627.50ns INFO [00001629] * RD COMPARE * port=1 adr=04 act=64F724AA6AA8E7E284 exp=64F724AA6AA8E7E284 + 1628.50ns INFO [00001630] Port=1 RD @04 + 1629.50ns INFO [00001631] Port=1 RD @03 + 1630.50ns INFO [00001632] * RD COMPARE * port=1 adr=04 act=64F724AA6AA8E7E284 exp=64F724AA6AA8E7E284 + 1630.50ns INFO [00001632] Port=0 WR @04=61C3FBB0D6C08C07E2 + 1631.50ns INFO [00001633] * RD COMPARE * port=1 adr=03 act=97E9361BF435D83803 exp=97E9361BF435D83803 + 1631.50ns INFO [00001633] Port=0 RD @00 + 1632.50ns INFO [00001634] Port=0 WR @07=5D8CCF817657A1E396 + 1632.50ns INFO [00001634] Port=0 RD @04 + 1632.50ns INFO [00001634] Port=1 RD @03 + 1633.50ns INFO [00001635] * RD COMPARE * port=0 adr=00 act=D5C2FBAD9DC92A292D exp=D5C2FBAD9DC92A292D + 1634.50ns INFO [00001636] * RD COMPARE * port=0 adr=04 act=61C3FBB0D6C08C07E2 exp=61C3FBB0D6C08C07E2 + 1634.50ns INFO [00001636] * RD COMPARE * port=1 adr=03 act=97E9361BF435D83803 exp=97E9361BF435D83803 + 1634.50ns INFO [00001636] Port=0 WR @02=1403BA9119ED5CAAF9 + 1635.50ns INFO [00001637] Port=0 WR @03=419F7A504C7644CC79 + 1635.50ns INFO [00001637] Port=0 RD @00 + 1635.50ns INFO [00001637] Port=1 RD @05 + 1636.50ns INFO [00001638] Port=0 RD @04 + 1637.50ns INFO [00001639] * RD COMPARE * port=0 adr=00 act=D5C2FBAD9DC92A292D exp=D5C2FBAD9DC92A292D + 1637.50ns INFO [00001639] * RD COMPARE * port=1 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1637.50ns INFO [00001639] Port=0 RD @02 + 1638.50ns INFO [00001640] * RD COMPARE * port=0 adr=04 act=61C3FBB0D6C08C07E2 exp=61C3FBB0D6C08C07E2 + 1638.50ns INFO [00001640] Port=0 WR @02=D35EA31E811D95B53F + 1639.50ns INFO [00001641] * RD COMPARE * port=0 adr=02 act=1403BA9119ED5CAAF9 exp=1403BA9119ED5CAAF9 + 1639.50ns INFO [00001641] Port=0 RD @00 + 1639.50ns INFO [00001641] Port=1 RD @05 + 1640.50ns INFO [00001642] Port=1 RD @06 + 1641.50ns INFO [00001643] * RD COMPARE * port=0 adr=00 act=D5C2FBAD9DC92A292D exp=D5C2FBAD9DC92A292D + 1641.50ns INFO [00001643] * RD COMPARE * port=1 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1641.50ns INFO [00001643] Port=0 WR @01=D145672A35062FE6EE + 1642.50ns INFO [00001644] * RD COMPARE * port=1 adr=06 act=9ACAA9A857B779861D exp=9ACAA9A857B779861D + 1643.50ns INFO [00001645] Port=1 RD @07 + 1645.50ns INFO [00001647] * RD COMPARE * port=1 adr=07 act=5D8CCF817657A1E396 exp=5D8CCF817657A1E396 + 1645.50ns INFO [00001647] Port=0 WR @04=9BACFB4D3B57622FAA + 1645.50ns INFO [00001647] Port=0 RD @00 + 1645.50ns INFO [00001647] Port=1 RD @03 + 1646.50ns INFO [00001648] Port=0 WR @07=0A0987C3C60BFC21CD + 1646.50ns INFO [00001648] Port=0 RD @06 + 1647.50ns INFO [00001649] * RD COMPARE * port=0 adr=00 act=D5C2FBAD9DC92A292D exp=D5C2FBAD9DC92A292D + 1647.50ns INFO [00001649] * RD COMPARE * port=1 adr=03 act=419F7A504C7644CC79 exp=419F7A504C7644CC79 + 1647.50ns INFO [00001649] Port=0 WR @02=E7B6571B1CB46099CE + 1648.50ns INFO [00001650] * RD COMPARE * port=0 adr=06 act=9ACAA9A857B779861D exp=9ACAA9A857B779861D + 1648.50ns INFO [00001650] Port=0 WR @07=6E03CCB074FA74D204 + 1648.50ns INFO [00001650] Port=0 RD @00 + 1648.50ns INFO [00001650] Port=1 RD @03 + 1649.50ns INFO [00001651] Port=0 WR @03=9696434A51EB510565 + 1649.50ns INFO [00001651] Port=0 RD @01 + 1650.50ns INFO [00001652] * RD COMPARE * port=0 adr=00 act=D5C2FBAD9DC92A292D exp=D5C2FBAD9DC92A292D + 1650.50ns INFO [00001652] * RD COMPARE * port=1 adr=03 act=419F7A504C7644CC79 exp=419F7A504C7644CC79 + 1650.50ns INFO [00001652] Port=0 RD @07 + 1651.50ns INFO [00001653] * RD COMPARE * port=0 adr=01 act=D145672A35062FE6EE exp=D145672A35062FE6EE + 1651.50ns INFO [00001653] Port=1 RD @05 + 1652.50ns INFO [00001654] * RD COMPARE * port=0 adr=07 act=6E03CCB074FA74D204 exp=6E03CCB074FA74D204 + 1652.50ns INFO [00001654] Port=0 RD @07 + 1653.50ns INFO [00001655] * RD COMPARE * port=1 adr=05 act=7BA4775B218B5C089D exp=7BA4775B218B5C089D + 1653.50ns INFO [00001655] Port=0 RD @04 + 1654.50ns INFO [00001656] * RD COMPARE * port=0 adr=07 act=6E03CCB074FA74D204 exp=6E03CCB074FA74D204 + 1654.50ns INFO [00001656] Port=0 WR @03=DFC09B6E60CD9EECD6 + 1654.50ns INFO [00001656] Port=0 RD @02 + 1654.50ns INFO [00001656] Port=1 RD @04 + 1655.50ns INFO [00001657] * RD COMPARE * port=0 adr=04 act=9BACFB4D3B57622FAA exp=9BACFB4D3B57622FAA + 1655.50ns INFO [00001657] Port=0 WR @00=9916A2C449D2E30BFA + 1655.50ns INFO [00001657] Port=0 RD @06 + 1656.50ns INFO [00001658] * RD COMPARE * port=0 adr=02 act=E7B6571B1CB46099CE exp=E7B6571B1CB46099CE + 1656.50ns INFO [00001658] * RD COMPARE * port=1 adr=04 act=9BACFB4D3B57622FAA exp=9BACFB4D3B57622FAA + 1657.50ns INFO [00001659] * RD COMPARE * port=0 adr=06 act=9ACAA9A857B779861D exp=9ACAA9A857B779861D + 1658.50ns INFO [00001660] Port=1 RD @06 + 1659.50ns INFO [00001661] Port=0 WR @07=E0F3C65D4ED0D182FE + 1660.50ns INFO [00001662] * RD COMPARE * port=1 adr=06 act=9ACAA9A857B779861D exp=9ACAA9A857B779861D + 1660.50ns INFO [00001662] Port=0 WR @03=5B3D59D57C1886A540 + 1660.50ns INFO [00001662] Port=0 RD @00 + 1660.50ns INFO [00001662] Port=1 RD @02 + 1661.50ns INFO [00001663] Port=1 RD @03 + 1662.50ns INFO [00001664] * RD COMPARE * port=0 adr=00 act=9916A2C449D2E30BFA exp=9916A2C449D2E30BFA + 1662.50ns INFO [00001664] * RD COMPARE * port=1 adr=02 act=E7B6571B1CB46099CE exp=E7B6571B1CB46099CE + 1662.50ns INFO [00001664] Port=0 WR @05=56B42494E1FF3C6B44 + 1662.50ns INFO [00001664] Port=0 RD @00 + 1662.50ns INFO [00001664] Port=1 RD @07 + 1663.50ns INFO [00001665] * RD COMPARE * port=1 adr=03 act=5B3D59D57C1886A540 exp=5B3D59D57C1886A540 + 1663.50ns INFO [00001665] Port=0 RD @00 + 1663.50ns INFO [00001665] Port=1 RD @07 + 1664.50ns INFO [00001666] * RD COMPARE * port=0 adr=00 act=9916A2C449D2E30BFA exp=9916A2C449D2E30BFA + 1664.50ns INFO [00001666] * RD COMPARE * port=1 adr=07 act=E0F3C65D4ED0D182FE exp=E0F3C65D4ED0D182FE + 1665.50ns INFO [00001667] * RD COMPARE * port=0 adr=00 act=9916A2C449D2E30BFA exp=9916A2C449D2E30BFA + 1665.50ns INFO [00001667] * RD COMPARE * port=1 adr=07 act=E0F3C65D4ED0D182FE exp=E0F3C65D4ED0D182FE + 1665.50ns INFO [00001667] Port=0 WR @07=C8752A656943F16F2B + 1665.50ns INFO [00001667] Port=1 RD @00 + 1667.50ns INFO [00001669] * RD COMPARE * port=1 adr=00 act=9916A2C449D2E30BFA exp=9916A2C449D2E30BFA + 1668.50ns INFO [00001670] Port=0 WR @03=0F9034BC8F83D6DE16 + 1668.50ns INFO [00001670] Port=1 RD @02 + 1669.50ns INFO [00001671] Port=0 RD @02 + 1670.50ns INFO [00001672] * RD COMPARE * port=1 adr=02 act=E7B6571B1CB46099CE exp=E7B6571B1CB46099CE + 1671.50ns INFO [00001673] * RD COMPARE * port=0 adr=02 act=E7B6571B1CB46099CE exp=E7B6571B1CB46099CE + 1672.50ns INFO [00001674] Port=0 RD @03 + 1673.50ns INFO [00001675] Port=0 WR @01=B007D0FA348028D905 + 1674.50ns INFO [00001676] * RD COMPARE * port=0 adr=03 act=0F9034BC8F83D6DE16 exp=0F9034BC8F83D6DE16 + 1674.50ns INFO [00001676] Port=0 WR @04=62C0554F8963724DFD + 1674.50ns INFO [00001676] Port=1 RD @05 + 1675.50ns INFO [00001677] Port=0 WR @03=C8F7FFABC0CE7C9EA8 + 1675.50ns INFO [00001677] Port=0 RD @05 + 1675.50ns INFO [00001677] Port=1 RD @01 + 1676.50ns INFO [00001678] * RD COMPARE * port=1 adr=05 act=56B42494E1FF3C6B44 exp=56B42494E1FF3C6B44 + 1676.50ns INFO [00001678] Port=0 RD @02 + 1677.50ns INFO [00001679] * RD COMPARE * port=0 adr=05 act=56B42494E1FF3C6B44 exp=56B42494E1FF3C6B44 + 1677.50ns INFO [00001679] * RD COMPARE * port=1 adr=01 act=B007D0FA348028D905 exp=B007D0FA348028D905 + 1678.50ns INFO [00001680] * RD COMPARE * port=0 adr=02 act=E7B6571B1CB46099CE exp=E7B6571B1CB46099CE + 1678.50ns INFO [00001680] Port=1 RD @06 + 1679.50ns INFO [00001681] Port=0 WR @04=0EB684EB2452CC0007 + 1680.50ns INFO [00001682] * RD COMPARE * port=1 adr=06 act=9ACAA9A857B779861D exp=9ACAA9A857B779861D + 1680.50ns INFO [00001682] Port=0 WR @01=71A634398BEE03570C + 1681.50ns INFO [00001683] Port=0 WR @02=9E22E9706E8141C4DC + 1681.50ns INFO [00001683] Port=0 RD @04 + 1681.50ns INFO [00001683] Port=1 RD @04 + 1682.50ns INFO [00001684] Port=0 WR @06=9DCB84A7B9F5598246 + 1683.50ns INFO [00001685] * RD COMPARE * port=0 adr=04 act=0EB684EB2452CC0007 exp=0EB684EB2452CC0007 + 1683.50ns INFO [00001685] * RD COMPARE * port=1 adr=04 act=0EB684EB2452CC0007 exp=0EB684EB2452CC0007 + 1684.50ns INFO [00001686] Port=1 RD @03 + 1685.50ns INFO [00001687] Port=0 WR @05=5B312547EB862AACD0 + 1685.50ns INFO [00001687] Port=0 RD @00 + 1685.50ns INFO [00001687] Port=1 RD @03 + 1686.50ns INFO [00001688] * RD COMPARE * port=1 adr=03 act=C8F7FFABC0CE7C9EA8 exp=C8F7FFABC0CE7C9EA8 + 1686.50ns INFO [00001688] Port=0 WR @04=D2A6C399D33269B237 + 1687.50ns INFO [00001689] * RD COMPARE * port=0 adr=00 act=9916A2C449D2E30BFA exp=9916A2C449D2E30BFA + 1687.50ns INFO [00001689] * RD COMPARE * port=1 adr=03 act=C8F7FFABC0CE7C9EA8 exp=C8F7FFABC0CE7C9EA8 + 1687.50ns INFO [00001689] Port=1 RD @03 + 1688.50ns INFO [00001690] Port=0 WR @06=4CF539DCACD7FF77C4 + 1688.50ns INFO [00001690] Port=1 RD @00 + 1689.50ns INFO [00001691] * RD COMPARE * port=1 adr=03 act=C8F7FFABC0CE7C9EA8 exp=C8F7FFABC0CE7C9EA8 + 1690.50ns INFO [00001692] * RD COMPARE * port=1 adr=00 act=9916A2C449D2E30BFA exp=9916A2C449D2E30BFA + 1690.50ns INFO [00001692] Port=0 RD @02 + 1690.50ns INFO [00001692] Port=1 RD @05 + 1691.50ns INFO [00001693] Port=0 RD @06 + 1691.50ns INFO [00001693] Port=1 RD @07 + 1692.50ns INFO [00001694] * RD COMPARE * port=0 adr=02 act=9E22E9706E8141C4DC exp=9E22E9706E8141C4DC + 1692.50ns INFO [00001694] * RD COMPARE * port=1 adr=05 act=5B312547EB862AACD0 exp=5B312547EB862AACD0 + 1693.50ns INFO [00001695] * RD COMPARE * port=0 adr=06 act=4CF539DCACD7FF77C4 exp=4CF539DCACD7FF77C4 + 1693.50ns INFO [00001695] * RD COMPARE * port=1 adr=07 act=C8752A656943F16F2B exp=C8752A656943F16F2B + 1694.50ns INFO [00001696] Port=0 WR @01=5CA60D327050648C08 + 1694.50ns INFO [00001696] Port=0 RD @03 + 1695.50ns INFO [00001697] Port=0 WR @03=41F1537F2AA11BAB3F + 1695.50ns INFO [00001697] Port=0 RD @04 + 1696.50ns INFO [00001698] * RD COMPARE * port=0 adr=03 act=C8F7FFABC0CE7C9EA8 exp=C8F7FFABC0CE7C9EA8 + 1697.50ns INFO [00001699] * RD COMPARE * port=0 adr=04 act=D2A6C399D33269B237 exp=D2A6C399D33269B237 + 1697.50ns INFO [00001699] Port=0 RD @03 + 1697.50ns INFO [00001699] Port=1 RD @06 + 1698.00ns INFO [00001700] [00001700] ...tick... + 1698.50ns INFO [00001700] Port=0 WR @03=509681EBAE48CE8790 + 1699.50ns INFO [00001701] * RD COMPARE * port=0 adr=03 act=41F1537F2AA11BAB3F exp=41F1537F2AA11BAB3F + 1699.50ns INFO [00001701] * RD COMPARE * port=1 adr=06 act=4CF539DCACD7FF77C4 exp=4CF539DCACD7FF77C4 + 1699.50ns INFO [00001701] Port=0 WR @02=4E58A51C84E14373DF + 1699.50ns INFO [00001701] Port=0 RD @07 + 1700.50ns INFO [00001702] Port=0 WR @04=D28FEB16ED71D45654 + 1700.50ns INFO [00001702] Port=1 RD @07 + 1701.50ns INFO [00001703] * RD COMPARE * port=0 adr=07 act=C8752A656943F16F2B exp=C8752A656943F16F2B + 1701.50ns INFO [00001703] Port=1 RD @00 + 1702.50ns INFO [00001704] * RD COMPARE * port=1 adr=07 act=C8752A656943F16F2B exp=C8752A656943F16F2B + 1702.50ns INFO [00001704] Port=0 WR @02=E5FF6C14C68310E15F + 1702.50ns INFO [00001704] Port=0 RD @06 + 1702.50ns INFO [00001704] Port=1 RD @04 + 1703.50ns INFO [00001705] * RD COMPARE * port=1 adr=00 act=9916A2C449D2E30BFA exp=9916A2C449D2E30BFA + 1704.50ns INFO [00001706] * RD COMPARE * port=0 adr=06 act=4CF539DCACD7FF77C4 exp=4CF539DCACD7FF77C4 + 1704.50ns INFO [00001706] * RD COMPARE * port=1 adr=04 act=D28FEB16ED71D45654 exp=D28FEB16ED71D45654 + 1704.50ns INFO [00001706] Port=0 RD @04 + 1705.50ns INFO [00001707] Port=0 RD @07 + 1705.50ns INFO [00001707] Port=1 RD @05 + 1706.50ns INFO [00001708] * RD COMPARE * port=0 adr=04 act=D28FEB16ED71D45654 exp=D28FEB16ED71D45654 + 1706.50ns INFO [00001708] Port=0 WR @04=71DF736DFB7A0A8EB1 + 1706.50ns INFO [00001708] Port=0 RD @06 + 1707.50ns INFO [00001709] * RD COMPARE * port=0 adr=07 act=C8752A656943F16F2B exp=C8752A656943F16F2B + 1707.50ns INFO [00001709] * RD COMPARE * port=1 adr=05 act=5B312547EB862AACD0 exp=5B312547EB862AACD0 + 1707.50ns INFO [00001709] Port=0 RD @07 + 1708.50ns INFO [00001710] * RD COMPARE * port=0 adr=06 act=4CF539DCACD7FF77C4 exp=4CF539DCACD7FF77C4 + 1708.50ns INFO [00001710] Port=0 WR @07=04846CE568D8F7EB9A + 1708.50ns INFO [00001710] Port=1 RD @03 + 1709.50ns INFO [00001711] * RD COMPARE * port=0 adr=07 act=C8752A656943F16F2B exp=C8752A656943F16F2B + 1709.50ns INFO [00001711] Port=1 RD @04 + 1710.50ns INFO [00001712] * RD COMPARE * port=1 adr=03 act=509681EBAE48CE8790 exp=509681EBAE48CE8790 + 1710.50ns INFO [00001712] Port=1 RD @03 + 1711.50ns INFO [00001713] * RD COMPARE * port=1 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1711.50ns INFO [00001713] Port=0 WR @01=D93E9C47C21D455F3A + 1711.50ns INFO [00001713] Port=0 RD @00 + 1711.50ns INFO [00001713] Port=1 RD @06 + 1712.50ns INFO [00001714] * RD COMPARE * port=1 adr=03 act=509681EBAE48CE8790 exp=509681EBAE48CE8790 + 1712.50ns INFO [00001714] Port=0 RD @02 + 1713.50ns INFO [00001715] * RD COMPARE * port=0 adr=00 act=9916A2C449D2E30BFA exp=9916A2C449D2E30BFA + 1713.50ns INFO [00001715] * RD COMPARE * port=1 adr=06 act=4CF539DCACD7FF77C4 exp=4CF539DCACD7FF77C4 + 1713.50ns INFO [00001715] Port=0 WR @06=63B0AE108C44E02735 + 1714.50ns INFO [00001716] * RD COMPARE * port=0 adr=02 act=E5FF6C14C68310E15F exp=E5FF6C14C68310E15F + 1714.50ns INFO [00001716] Port=0 WR @06=E23DB86A4C765295E1 + 1714.50ns INFO [00001716] Port=0 RD @02 + 1715.50ns INFO [00001717] Port=0 RD @05 + 1715.50ns INFO [00001717] Port=1 RD @04 + 1716.50ns INFO [00001718] * RD COMPARE * port=0 adr=02 act=E5FF6C14C68310E15F exp=E5FF6C14C68310E15F + 1716.50ns INFO [00001718] Port=0 WR @00=CA0C28672D7A54C511 + 1717.50ns INFO [00001719] * RD COMPARE * port=0 adr=05 act=5B312547EB862AACD0 exp=5B312547EB862AACD0 + 1717.50ns INFO [00001719] * RD COMPARE * port=1 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1719.50ns INFO [00001721] Port=0 WR @05=342D41514612309210 + 1719.50ns INFO [00001721] Port=0 RD @00 + 1720.50ns INFO [00001722] Port=0 WR @01=341829FD6DD8DCA361 + 1721.50ns INFO [00001723] * RD COMPARE * port=0 adr=00 act=CA0C28672D7A54C511 exp=CA0C28672D7A54C511 + 1721.50ns INFO [00001723] Port=1 RD @04 + 1722.50ns INFO [00001724] Port=0 RD @01 + 1722.50ns INFO [00001724] Port=1 RD @03 + 1723.50ns INFO [00001725] * RD COMPARE * port=1 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1724.50ns INFO [00001726] * RD COMPARE * port=0 adr=01 act=341829FD6DD8DCA361 exp=341829FD6DD8DCA361 + 1724.50ns INFO [00001726] * RD COMPARE * port=1 adr=03 act=509681EBAE48CE8790 exp=509681EBAE48CE8790 + 1724.50ns INFO [00001726] Port=0 WR @07=3B5ACC83211FB11C6E + 1724.50ns INFO [00001726] Port=0 RD @04 + 1725.50ns INFO [00001727] Port=1 RD @04 + 1726.50ns INFO [00001728] * RD COMPARE * port=0 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1726.50ns INFO [00001728] Port=1 RD @04 + 1727.50ns INFO [00001729] * RD COMPARE * port=1 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1727.50ns INFO [00001729] Port=0 WR @03=03F55D68B0F9EB4E11 + 1728.50ns INFO [00001730] * RD COMPARE * port=1 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1728.50ns INFO [00001730] Port=0 RD @01 + 1729.50ns INFO [00001731] Port=0 RD @01 + 1730.50ns INFO [00001732] * RD COMPARE * port=0 adr=01 act=341829FD6DD8DCA361 exp=341829FD6DD8DCA361 + 1730.50ns INFO [00001732] Port=0 WR @00=950F11BF4C7862C997 + 1731.50ns INFO [00001733] * RD COMPARE * port=0 adr=01 act=341829FD6DD8DCA361 exp=341829FD6DD8DCA361 + 1731.50ns INFO [00001733] Port=0 RD @00 + 1732.50ns INFO [00001734] Port=0 RD @06 + 1732.50ns INFO [00001734] Port=1 RD @04 + 1733.50ns INFO [00001735] * RD COMPARE * port=0 adr=00 act=950F11BF4C7862C997 exp=950F11BF4C7862C997 + 1734.50ns INFO [00001736] * RD COMPARE * port=0 adr=06 act=E23DB86A4C765295E1 exp=E23DB86A4C765295E1 + 1734.50ns INFO [00001736] * RD COMPARE * port=1 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1734.50ns INFO [00001736] Port=0 WR @06=FA565A0125870C9906 + 1735.50ns INFO [00001737] Port=0 WR @03=36234DFE22D1004215 + 1736.50ns INFO [00001738] Port=0 RD @00 + 1737.50ns INFO [00001739] Port=0 WR @00=20AA17846B78DC49AC + 1737.50ns INFO [00001739] Port=0 RD @05 + 1737.50ns INFO [00001739] Port=1 RD @05 + 1738.50ns INFO [00001740] * RD COMPARE * port=0 adr=00 act=950F11BF4C7862C997 exp=950F11BF4C7862C997 + 1738.50ns INFO [00001740] Port=0 RD @04 + 1739.50ns INFO [00001741] * RD COMPARE * port=0 adr=05 act=342D41514612309210 exp=342D41514612309210 + 1739.50ns INFO [00001741] * RD COMPARE * port=1 adr=05 act=342D41514612309210 exp=342D41514612309210 + 1739.50ns INFO [00001741] Port=1 RD @06 + 1740.50ns INFO [00001742] * RD COMPARE * port=0 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1740.50ns INFO [00001742] Port=0 WR @07=BD1405C50AFCFE5247 + 1741.50ns INFO [00001743] * RD COMPARE * port=1 adr=06 act=FA565A0125870C9906 exp=FA565A0125870C9906 + 1741.50ns INFO [00001743] Port=0 RD @06 + 1742.50ns INFO [00001744] Port=1 RD @07 + 1743.50ns INFO [00001745] * RD COMPARE * port=0 adr=06 act=FA565A0125870C9906 exp=FA565A0125870C9906 + 1743.50ns INFO [00001745] Port=0 WR @07=1BE92B2BC8D10C357C + 1744.50ns INFO [00001746] * RD COMPARE * port=1 adr=07 act=BD1405C50AFCFE5247 exp=BD1405C50AFCFE5247 + 1744.50ns INFO [00001746] Port=0 WR @06=F049E73CB0E0FAA8C3 + 1745.50ns INFO [00001747] Port=0 RD @04 + 1745.50ns INFO [00001747] Port=1 RD @04 + 1746.50ns INFO [00001748] Port=0 RD @05 + 1747.50ns INFO [00001749] * RD COMPARE * port=0 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1747.50ns INFO [00001749] * RD COMPARE * port=1 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1748.50ns INFO [00001750] * RD COMPARE * port=0 adr=05 act=342D41514612309210 exp=342D41514612309210 + 1748.50ns INFO [00001750] Port=0 RD @04 + 1748.50ns INFO [00001750] Port=1 RD @06 + 1749.50ns INFO [00001751] Port=1 RD @03 + 1750.50ns INFO [00001752] * RD COMPARE * port=0 adr=04 act=71DF736DFB7A0A8EB1 exp=71DF736DFB7A0A8EB1 + 1750.50ns INFO [00001752] * RD COMPARE * port=1 adr=06 act=F049E73CB0E0FAA8C3 exp=F049E73CB0E0FAA8C3 + 1750.50ns INFO [00001752] Port=0 RD @00 + 1751.50ns INFO [00001753] * RD COMPARE * port=1 adr=03 act=36234DFE22D1004215 exp=36234DFE22D1004215 + 1751.50ns INFO [00001753] Port=0 WR @04=C52B436763E41008A1 + 1752.50ns INFO [00001754] * RD COMPARE * port=0 adr=00 act=20AA17846B78DC49AC exp=20AA17846B78DC49AC + 1753.50ns INFO [00001755] Port=0 WR @04=2D63E3414170EF576C + 1755.50ns INFO [00001757] Port=1 RD @06 + 1756.50ns INFO [00001758] Port=0 RD @03 + 1756.50ns INFO [00001758] Port=1 RD @04 + 1757.50ns INFO [00001759] * RD COMPARE * port=1 adr=06 act=F049E73CB0E0FAA8C3 exp=F049E73CB0E0FAA8C3 + 1757.50ns INFO [00001759] Port=0 RD @00 + 1758.50ns INFO [00001760] * RD COMPARE * port=0 adr=03 act=36234DFE22D1004215 exp=36234DFE22D1004215 + 1758.50ns INFO [00001760] * RD COMPARE * port=1 adr=04 act=2D63E3414170EF576C exp=2D63E3414170EF576C + 1759.50ns INFO [00001761] * RD COMPARE * port=0 adr=00 act=20AA17846B78DC49AC exp=20AA17846B78DC49AC + 1759.50ns INFO [00001761] Port=0 RD @02 + 1760.50ns INFO [00001762] Port=0 RD @05 + 1761.50ns INFO [00001763] * RD COMPARE * port=0 adr=02 act=E5FF6C14C68310E15F exp=E5FF6C14C68310E15F + 1761.50ns INFO [00001763] Port=0 WR @06=97C31EBCF99142083F + 1761.50ns INFO [00001763] Port=0 RD @01 + 1762.50ns INFO [00001764] * RD COMPARE * port=0 adr=05 act=342D41514612309210 exp=342D41514612309210 + 1762.50ns INFO [00001764] Port=0 WR @01=CC12EB784877830B45 + 1763.50ns INFO [00001765] * RD COMPARE * port=0 adr=01 act=341829FD6DD8DCA361 exp=341829FD6DD8DCA361 + 1763.50ns INFO [00001765] Port=1 RD @02 + 1765.50ns INFO [00001767] * RD COMPARE * port=1 adr=02 act=E5FF6C14C68310E15F exp=E5FF6C14C68310E15F + 1765.50ns INFO [00001767] Port=0 WR @07=24DDB1C73E920BF779 + 1767.50ns INFO [00001769] Port=0 WR @03=37E75A0D0E849BE4AF + 1767.50ns INFO [00001769] Port=0 RD @00 + 1767.50ns INFO [00001769] Port=1 RD @06 + 1768.50ns INFO [00001770] Port=0 RD @04 + 1769.50ns INFO [00001771] * RD COMPARE * port=0 adr=00 act=20AA17846B78DC49AC exp=20AA17846B78DC49AC + 1769.50ns INFO [00001771] * RD COMPARE * port=1 adr=06 act=97C31EBCF99142083F exp=97C31EBCF99142083F + 1769.50ns INFO [00001771] Port=0 WR @05=37FE2A047488D9254D + 1769.50ns INFO [00001771] Port=0 RD @06 + 1770.50ns INFO [00001772] * RD COMPARE * port=0 adr=04 act=2D63E3414170EF576C exp=2D63E3414170EF576C + 1770.50ns INFO [00001772] Port=0 RD @04 + 1770.50ns INFO [00001772] Port=1 RD @03 + 1771.50ns INFO [00001773] * RD COMPARE * port=0 adr=06 act=97C31EBCF99142083F exp=97C31EBCF99142083F + 1771.50ns INFO [00001773] Port=0 RD @06 + 1771.50ns INFO [00001773] Port=1 RD @02 + 1772.50ns INFO [00001774] * RD COMPARE * port=0 adr=04 act=2D63E3414170EF576C exp=2D63E3414170EF576C + 1772.50ns INFO [00001774] * RD COMPARE * port=1 adr=03 act=37E75A0D0E849BE4AF exp=37E75A0D0E849BE4AF + 1772.50ns INFO [00001774] Port=0 WR @00=3DD30E0BED3324DBFD + 1772.50ns INFO [00001774] Port=0 RD @05 + 1773.50ns INFO [00001775] * RD COMPARE * port=0 adr=06 act=97C31EBCF99142083F exp=97C31EBCF99142083F + 1773.50ns INFO [00001775] * RD COMPARE * port=1 adr=02 act=E5FF6C14C68310E15F exp=E5FF6C14C68310E15F + 1773.50ns INFO [00001775] Port=0 WR @02=08D99E540A3691649C + 1773.50ns INFO [00001775] Port=0 RD @00 + 1773.50ns INFO [00001775] Port=1 RD @03 + 1774.50ns INFO [00001776] * RD COMPARE * port=0 adr=05 act=37FE2A047488D9254D exp=37FE2A047488D9254D + 1774.50ns INFO [00001776] Port=1 RD @07 + 1775.50ns INFO [00001777] * RD COMPARE * port=0 adr=00 act=3DD30E0BED3324DBFD exp=3DD30E0BED3324DBFD + 1775.50ns INFO [00001777] * RD COMPARE * port=1 adr=03 act=37E75A0D0E849BE4AF exp=37E75A0D0E849BE4AF + 1775.50ns INFO [00001777] Port=0 WR @04=53B6DA6EB1E79CD843 + 1775.50ns INFO [00001777] Port=0 RD @02 + 1775.50ns INFO [00001777] Port=1 RD @00 + 1776.50ns INFO [00001778] * RD COMPARE * port=1 adr=07 act=24DDB1C73E920BF779 exp=24DDB1C73E920BF779 + 1777.50ns INFO [00001779] * RD COMPARE * port=0 adr=02 act=08D99E540A3691649C exp=08D99E540A3691649C + 1777.50ns INFO [00001779] * RD COMPARE * port=1 adr=00 act=3DD30E0BED3324DBFD exp=3DD30E0BED3324DBFD + 1777.50ns INFO [00001779] Port=0 WR @06=ED25F8EB1FF05466D5 + 1777.50ns INFO [00001779] Port=0 RD @01 + 1778.50ns INFO [00001780] Port=0 WR @02=CE7DE40DA625939B59 + 1778.50ns INFO [00001780] Port=0 RD @05 + 1779.50ns INFO [00001781] * RD COMPARE * port=0 adr=01 act=CC12EB784877830B45 exp=CC12EB784877830B45 + 1779.50ns INFO [00001781] Port=0 RD @02 + 1779.50ns INFO [00001781] Port=1 RD @00 + 1780.50ns INFO [00001782] * RD COMPARE * port=0 adr=05 act=37FE2A047488D9254D exp=37FE2A047488D9254D + 1780.50ns INFO [00001782] Port=1 RD @01 + 1781.50ns INFO [00001783] * RD COMPARE * port=0 adr=02 act=CE7DE40DA625939B59 exp=CE7DE40DA625939B59 + 1781.50ns INFO [00001783] * RD COMPARE * port=1 adr=00 act=3DD30E0BED3324DBFD exp=3DD30E0BED3324DBFD + 1782.50ns INFO [00001784] * RD COMPARE * port=1 adr=01 act=CC12EB784877830B45 exp=CC12EB784877830B45 + 1782.50ns INFO [00001784] Port=0 RD @04 + 1782.50ns INFO [00001784] Port=1 RD @04 + 1783.50ns INFO [00001785] Port=1 RD @03 + 1784.50ns INFO [00001786] * RD COMPARE * port=0 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1784.50ns INFO [00001786] * RD COMPARE * port=1 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1784.50ns INFO [00001786] Port=0 WR @07=59C950B2C4A4D95D10 + 1785.50ns INFO [00001787] * RD COMPARE * port=1 adr=03 act=37E75A0D0E849BE4AF exp=37E75A0D0E849BE4AF + 1785.50ns INFO [00001787] Port=0 WR @07=140137A267C89C634D + 1785.50ns INFO [00001787] Port=0 RD @04 + 1786.50ns INFO [00001788] Port=0 WR @02=F547094F5168E35DA5 + 1787.50ns INFO [00001789] * RD COMPARE * port=0 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1788.50ns INFO [00001790] Port=0 WR @05=AFC1D25B9945D43DCB + 1789.50ns INFO [00001791] Port=0 WR @05=E5ACB2DCAA4ED7F13C + 1789.50ns INFO [00001791] Port=0 RD @00 + 1789.50ns INFO [00001791] Port=1 RD @06 + 1790.50ns INFO [00001792] Port=1 RD @04 + 1791.50ns INFO [00001793] * RD COMPARE * port=0 adr=00 act=3DD30E0BED3324DBFD exp=3DD30E0BED3324DBFD + 1791.50ns INFO [00001793] * RD COMPARE * port=1 adr=06 act=ED25F8EB1FF05466D5 exp=ED25F8EB1FF05466D5 + 1792.50ns INFO [00001794] * RD COMPARE * port=1 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1793.50ns INFO [00001795] Port=0 WR @01=853797CD3F30784594 + 1793.50ns INFO [00001795] Port=1 RD @04 + 1794.50ns INFO [00001796] Port=0 WR @03=CFB5EF8D33B4C232CE + 1794.50ns INFO [00001796] Port=0 RD @00 + 1795.50ns INFO [00001797] * RD COMPARE * port=1 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1796.50ns INFO [00001798] * RD COMPARE * port=0 adr=00 act=3DD30E0BED3324DBFD exp=3DD30E0BED3324DBFD + 1796.50ns INFO [00001798] Port=0 WR @03=7E4D19732DFCCB18CA + 1798.00ns INFO [00001800] [00001800] ...tick... + 1798.50ns INFO [00001800] Port=0 WR @03=9129FFEED249F1A7EE + 1798.50ns INFO [00001800] Port=0 RD @00 + 1798.50ns INFO [00001800] Port=1 RD @07 + 1799.50ns INFO [00001801] Port=1 RD @04 + 1800.50ns INFO [00001802] * RD COMPARE * port=0 adr=00 act=3DD30E0BED3324DBFD exp=3DD30E0BED3324DBFD + 1800.50ns INFO [00001802] * RD COMPARE * port=1 adr=07 act=140137A267C89C634D exp=140137A267C89C634D + 1800.50ns INFO [00001802] Port=1 RD @07 + 1801.50ns INFO [00001803] * RD COMPARE * port=1 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1801.50ns INFO [00001803] Port=0 WR @07=9141139DA9680183C7 + 1801.50ns INFO [00001803] Port=1 RD @04 + 1802.50ns INFO [00001804] * RD COMPARE * port=1 adr=07 act=140137A267C89C634D exp=140137A267C89C634D + 1802.50ns INFO [00001804] Port=0 WR @03=F225F48911925925CC + 1802.50ns INFO [00001804] Port=0 RD @04 + 1802.50ns INFO [00001804] Port=1 RD @00 + 1803.50ns INFO [00001805] * RD COMPARE * port=1 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1803.50ns INFO [00001805] Port=0 WR @03=F497306A37880E2AA1 + 1804.50ns INFO [00001806] * RD COMPARE * port=0 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1804.50ns INFO [00001806] * RD COMPARE * port=1 adr=00 act=3DD30E0BED3324DBFD exp=3DD30E0BED3324DBFD + 1805.50ns INFO [00001807] Port=0 RD @00 + 1806.50ns INFO [00001808] Port=0 WR @00=1DFD01BCFD8A999899 + 1806.50ns INFO [00001808] Port=0 RD @07 + 1807.50ns INFO [00001809] * RD COMPARE * port=0 adr=00 act=3DD30E0BED3324DBFD exp=3DD30E0BED3324DBFD + 1807.50ns INFO [00001809] Port=0 WR @03=201AB4C7C0294DA608 + 1807.50ns INFO [00001809] Port=0 RD @00 + 1807.50ns INFO [00001809] Port=1 RD @00 + 1808.50ns INFO [00001810] * RD COMPARE * port=0 adr=07 act=9141139DA9680183C7 exp=9141139DA9680183C7 + 1809.50ns INFO [00001811] * RD COMPARE * port=0 adr=00 act=1DFD01BCFD8A999899 exp=1DFD01BCFD8A999899 + 1809.50ns INFO [00001811] * RD COMPARE * port=1 adr=00 act=1DFD01BCFD8A999899 exp=1DFD01BCFD8A999899 + 1809.50ns INFO [00001811] Port=0 RD @00 + 1810.50ns INFO [00001812] Port=0 RD @03 + 1811.50ns INFO [00001813] * RD COMPARE * port=0 adr=00 act=1DFD01BCFD8A999899 exp=1DFD01BCFD8A999899 + 1811.50ns INFO [00001813] Port=1 RD @04 + 1812.50ns INFO [00001814] * RD COMPARE * port=0 adr=03 act=201AB4C7C0294DA608 exp=201AB4C7C0294DA608 + 1812.50ns INFO [00001814] Port=0 WR @05=CBCC69F92D2E45B247 + 1813.50ns INFO [00001815] * RD COMPARE * port=1 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1813.50ns INFO [00001815] Port=1 RD @02 + 1814.50ns INFO [00001816] Port=1 RD @01 + 1815.50ns INFO [00001817] * RD COMPARE * port=1 adr=02 act=F547094F5168E35DA5 exp=F547094F5168E35DA5 + 1816.50ns INFO [00001818] * RD COMPARE * port=1 adr=01 act=853797CD3F30784594 exp=853797CD3F30784594 + 1816.50ns INFO [00001818] Port=0 WR @05=9014398B59C21C90CC + 1818.50ns INFO [00001820] Port=1 RD @01 + 1819.50ns INFO [00001821] Port=0 WR @05=E9D3DCCE2D84E12F7F + 1819.50ns INFO [00001821] Port=0 RD @04 + 1819.50ns INFO [00001821] Port=1 RD @00 + 1820.50ns INFO [00001822] * RD COMPARE * port=1 adr=01 act=853797CD3F30784594 exp=853797CD3F30784594 + 1821.50ns INFO [00001823] * RD COMPARE * port=0 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1821.50ns INFO [00001823] * RD COMPARE * port=1 adr=00 act=1DFD01BCFD8A999899 exp=1DFD01BCFD8A999899 + 1821.50ns INFO [00001823] Port=1 RD @01 + 1822.50ns INFO [00001824] Port=1 RD @03 + 1823.50ns INFO [00001825] * RD COMPARE * port=1 adr=01 act=853797CD3F30784594 exp=853797CD3F30784594 + 1823.50ns INFO [00001825] Port=0 WR @06=9328999011F05AFB26 + 1823.50ns INFO [00001825] Port=0 RD @01 + 1823.50ns INFO [00001825] Port=1 RD @04 + 1824.50ns INFO [00001826] * RD COMPARE * port=1 adr=03 act=201AB4C7C0294DA608 exp=201AB4C7C0294DA608 + 1825.50ns INFO [00001827] * RD COMPARE * port=0 adr=01 act=853797CD3F30784594 exp=853797CD3F30784594 + 1825.50ns INFO [00001827] * RD COMPARE * port=1 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1825.50ns INFO [00001827] Port=0 RD @01 + 1826.50ns INFO [00001828] Port=0 RD @03 + 1826.50ns INFO [00001828] Port=1 RD @06 + 1827.50ns INFO [00001829] * RD COMPARE * port=0 adr=01 act=853797CD3F30784594 exp=853797CD3F30784594 + 1827.50ns INFO [00001829] Port=0 RD @05 + 1827.50ns INFO [00001829] Port=1 RD @03 + 1828.50ns INFO [00001830] * RD COMPARE * port=0 adr=03 act=201AB4C7C0294DA608 exp=201AB4C7C0294DA608 + 1828.50ns INFO [00001830] * RD COMPARE * port=1 adr=06 act=9328999011F05AFB26 exp=9328999011F05AFB26 + 1828.50ns INFO [00001830] Port=0 RD @07 + 1829.50ns INFO [00001831] * RD COMPARE * port=0 adr=05 act=E9D3DCCE2D84E12F7F exp=E9D3DCCE2D84E12F7F + 1829.50ns INFO [00001831] * RD COMPARE * port=1 adr=03 act=201AB4C7C0294DA608 exp=201AB4C7C0294DA608 + 1829.50ns INFO [00001831] Port=0 WR @03=B3C15D6A0A075B29A7 + 1829.50ns INFO [00001831] Port=0 RD @07 + 1829.50ns INFO [00001831] Port=1 RD @04 + 1830.50ns INFO [00001832] * RD COMPARE * port=0 adr=07 act=9141139DA9680183C7 exp=9141139DA9680183C7 + 1830.50ns INFO [00001832] Port=0 WR @04=2F91A244320DCC4760 + 1830.50ns INFO [00001832] Port=0 RD @07 + 1831.50ns INFO [00001833] * RD COMPARE * port=0 adr=07 act=9141139DA9680183C7 exp=9141139DA9680183C7 + 1831.50ns INFO [00001833] * RD COMPARE * port=1 adr=04 act=53B6DA6EB1E79CD843 exp=53B6DA6EB1E79CD843 + 1832.50ns INFO [00001834] * RD COMPARE * port=0 adr=07 act=9141139DA9680183C7 exp=9141139DA9680183C7 + 1833.50ns INFO [00001835] Port=0 WR @07=2694C675D8F93DFF80 + 1833.50ns INFO [00001835] Port=1 RD @04 + 1834.50ns INFO [00001836] Port=0 RD @02 + 1835.50ns INFO [00001837] * RD COMPARE * port=1 adr=04 act=2F91A244320DCC4760 exp=2F91A244320DCC4760 + 1836.50ns INFO [00001838] * RD COMPARE * port=0 adr=02 act=F547094F5168E35DA5 exp=F547094F5168E35DA5 + 1836.50ns INFO [00001838] Port=0 WR @00=8C960061EA745355D1 + 1836.50ns INFO [00001838] Port=0 RD @04 + 1836.50ns INFO [00001838] Port=1 RD @07 + 1837.50ns INFO [00001839] Port=1 RD @02 + 1838.50ns INFO [00001840] * RD COMPARE * port=0 adr=04 act=2F91A244320DCC4760 exp=2F91A244320DCC4760 + 1838.50ns INFO [00001840] * RD COMPARE * port=1 adr=07 act=2694C675D8F93DFF80 exp=2694C675D8F93DFF80 + 1839.50ns INFO [00001841] * RD COMPARE * port=1 adr=02 act=F547094F5168E35DA5 exp=F547094F5168E35DA5 + 1839.50ns INFO [00001841] Port=1 RD @01 + 1840.50ns INFO [00001842] Port=0 WR @03=A00482A6A0CECE1557 + 1840.50ns INFO [00001842] Port=0 RD @06 + 1841.50ns INFO [00001843] * RD COMPARE * port=1 adr=01 act=853797CD3F30784594 exp=853797CD3F30784594 + 1841.50ns INFO [00001843] Port=1 RD @00 + 1842.50ns INFO [00001844] * RD COMPARE * port=0 adr=06 act=9328999011F05AFB26 exp=9328999011F05AFB26 + 1842.50ns INFO [00001844] Port=0 RD @05 + 1843.50ns INFO [00001845] * RD COMPARE * port=1 adr=00 act=8C960061EA745355D1 exp=8C960061EA745355D1 + 1843.50ns INFO [00001845] Port=0 RD @00 + 1843.50ns INFO [00001845] Port=1 RD @02 + 1844.50ns INFO [00001846] * RD COMPARE * port=0 adr=05 act=E9D3DCCE2D84E12F7F exp=E9D3DCCE2D84E12F7F + 1844.50ns INFO [00001846] Port=0 RD @00 + 1845.50ns INFO [00001847] * RD COMPARE * port=0 adr=00 act=8C960061EA745355D1 exp=8C960061EA745355D1 + 1845.50ns INFO [00001847] * RD COMPARE * port=1 adr=02 act=F547094F5168E35DA5 exp=F547094F5168E35DA5 + 1845.50ns INFO [00001847] Port=0 WR @06=EE7C0728E3C4336C58 + 1845.50ns INFO [00001847] Port=0 RD @03 + 1845.50ns INFO [00001847] Port=1 RD @00 + 1846.50ns INFO [00001848] * RD COMPARE * port=0 adr=00 act=8C960061EA745355D1 exp=8C960061EA745355D1 + 1847.50ns INFO [00001849] * RD COMPARE * port=0 adr=03 act=A00482A6A0CECE1557 exp=A00482A6A0CECE1557 + 1847.50ns INFO [00001849] * RD COMPARE * port=1 adr=00 act=8C960061EA745355D1 exp=8C960061EA745355D1 + 1847.50ns INFO [00001849] Port=1 RD @07 + 1848.50ns INFO [00001850] Port=0 WR @03=D4AB65080D839A6037 + 1849.50ns INFO [00001851] * RD COMPARE * port=1 adr=07 act=2694C675D8F93DFF80 exp=2694C675D8F93DFF80 + 1849.50ns INFO [00001851] Port=0 RD @07 + 1850.50ns INFO [00001852] Port=0 WR @07=76B106D98773611BAA + 1850.50ns INFO [00001852] Port=0 RD @02 + 1851.50ns INFO [00001853] * RD COMPARE * port=0 adr=07 act=2694C675D8F93DFF80 exp=2694C675D8F93DFF80 + 1851.50ns INFO [00001853] Port=1 RD @04 + 1852.50ns INFO [00001854] * RD COMPARE * port=0 adr=02 act=F547094F5168E35DA5 exp=F547094F5168E35DA5 + 1852.50ns INFO [00001854] Port=0 WR @04=EDD335CD7D6F28F407 + 1853.50ns INFO [00001855] * RD COMPARE * port=1 adr=04 act=2F91A244320DCC4760 exp=2F91A244320DCC4760 + 1853.50ns INFO [00001855] Port=0 WR @06=78D29C52E4EBC16C44 + 1853.50ns INFO [00001855] Port=0 RD @03 + 1854.50ns INFO [00001856] Port=1 RD @00 + 1855.50ns INFO [00001857] * RD COMPARE * port=0 adr=03 act=D4AB65080D839A6037 exp=D4AB65080D839A6037 + 1855.50ns INFO [00001857] Port=1 RD @00 + 1856.50ns INFO [00001858] * RD COMPARE * port=1 adr=00 act=8C960061EA745355D1 exp=8C960061EA745355D1 + 1856.50ns INFO [00001858] Port=0 WR @07=345A2199D0324FC849 + 1856.50ns INFO [00001858] Port=0 RD @05 + 1857.50ns INFO [00001859] * RD COMPARE * port=1 adr=00 act=8C960061EA745355D1 exp=8C960061EA745355D1 + 1858.50ns INFO [00001860] * RD COMPARE * port=0 adr=05 act=E9D3DCCE2D84E12F7F exp=E9D3DCCE2D84E12F7F + 1858.50ns INFO [00001860] Port=0 RD @07 + 1858.50ns INFO [00001860] Port=1 RD @01 + 1859.50ns INFO [00001861] Port=0 RD @01 + 1859.50ns INFO [00001861] Port=1 RD @02 + 1860.50ns INFO [00001862] * RD COMPARE * port=0 adr=07 act=345A2199D0324FC849 exp=345A2199D0324FC849 + 1860.50ns INFO [00001862] * RD COMPARE * port=1 adr=01 act=853797CD3F30784594 exp=853797CD3F30784594 + 1860.50ns INFO [00001862] Port=0 WR @01=F8F2260B11CDE4AB4F + 1861.50ns INFO [00001863] * RD COMPARE * port=0 adr=01 act=853797CD3F30784594 exp=853797CD3F30784594 + 1861.50ns INFO [00001863] * RD COMPARE * port=1 adr=02 act=F547094F5168E35DA5 exp=F547094F5168E35DA5 + 1861.50ns INFO [00001863] Port=1 RD @01 + 1862.50ns INFO [00001864] Port=1 RD @07 + 1863.50ns INFO [00001865] * RD COMPARE * port=1 adr=01 act=F8F2260B11CDE4AB4F exp=F8F2260B11CDE4AB4F + 1863.50ns INFO [00001865] Port=0 WR @07=842B3A00B355B494F9 + 1863.50ns INFO [00001865] Port=1 RD @02 + 1864.50ns INFO [00001866] * RD COMPARE * port=1 adr=07 act=345A2199D0324FC849 exp=345A2199D0324FC849 + 1864.50ns INFO [00001866] Port=0 WR @02=9A4BC42430C5DFFB37 + 1864.50ns INFO [00001866] Port=0 RD @05 + 1864.50ns INFO [00001866] Port=1 RD @00 + 1865.50ns INFO [00001867] * RD COMPARE * port=1 adr=02 act=F547094F5168E35DA5 exp=F547094F5168E35DA5 + 1865.50ns INFO [00001867] Port=1 RD @03 + 1866.50ns INFO [00001868] * RD COMPARE * port=0 adr=05 act=E9D3DCCE2D84E12F7F exp=E9D3DCCE2D84E12F7F + 1866.50ns INFO [00001868] * RD COMPARE * port=1 adr=00 act=8C960061EA745355D1 exp=8C960061EA745355D1 + 1866.50ns INFO [00001868] Port=0 WR @03=63B5E23E6BB52AE2B9 + 1866.50ns INFO [00001868] Port=0 RD @07 + 1867.50ns INFO [00001869] * RD COMPARE * port=1 adr=03 act=D4AB65080D839A6037 exp=D4AB65080D839A6037 + 1867.50ns INFO [00001869] Port=0 WR @01=6D1A3B5FC22FF4F9DB + 1868.50ns INFO [00001870] * RD COMPARE * port=0 adr=07 act=842B3A00B355B494F9 exp=842B3A00B355B494F9 + 1868.50ns INFO [00001870] Port=0 RD @04 + 1869.50ns INFO [00001871] Port=1 RD @05 + 1870.50ns INFO [00001872] * RD COMPARE * port=0 adr=04 act=EDD335CD7D6F28F407 exp=EDD335CD7D6F28F407 + 1871.50ns INFO [00001873] * RD COMPARE * port=1 adr=05 act=E9D3DCCE2D84E12F7F exp=E9D3DCCE2D84E12F7F + 1871.50ns INFO [00001873] Port=0 RD @01 + 1871.50ns INFO [00001873] Port=1 RD @07 + 1872.50ns INFO [00001874] Port=0 RD @04 + 1873.50ns INFO [00001875] * RD COMPARE * port=0 adr=01 act=6D1A3B5FC22FF4F9DB exp=6D1A3B5FC22FF4F9DB + 1873.50ns INFO [00001875] * RD COMPARE * port=1 adr=07 act=842B3A00B355B494F9 exp=842B3A00B355B494F9 + 1873.50ns INFO [00001875] Port=0 WR @06=C8A0AA33844CC01663 + 1874.50ns INFO [00001876] * RD COMPARE * port=0 adr=04 act=EDD335CD7D6F28F407 exp=EDD335CD7D6F28F407 + 1874.50ns INFO [00001876] Port=0 WR @03=F0D47E56C0C93AAB18 + 1874.50ns INFO [00001876] Port=0 RD @02 + 1875.50ns INFO [00001877] Port=0 WR @03=D51937690E30B2EBF7 + 1875.50ns INFO [00001877] Port=1 RD @01 + 1876.50ns INFO [00001878] * RD COMPARE * port=0 adr=02 act=9A4BC42430C5DFFB37 exp=9A4BC42430C5DFFB37 + 1876.50ns INFO [00001878] Port=0 WR @02=AD2889ADD8E1520B3F + 1876.50ns INFO [00001878] Port=1 RD @07 + 1877.50ns INFO [00001879] * RD COMPARE * port=1 adr=01 act=6D1A3B5FC22FF4F9DB exp=6D1A3B5FC22FF4F9DB + 1878.50ns INFO [00001880] * RD COMPARE * port=1 adr=07 act=842B3A00B355B494F9 exp=842B3A00B355B494F9 + 1878.50ns INFO [00001880] Port=0 RD @01 + 1879.50ns INFO [00001881] Port=0 WR @03=E186E22121A1765D4F + 1879.50ns INFO [00001881] Port=0 RD @02 + 1880.50ns INFO [00001882] * RD COMPARE * port=0 adr=01 act=6D1A3B5FC22FF4F9DB exp=6D1A3B5FC22FF4F9DB + 1880.50ns INFO [00001882] Port=1 RD @05 + 1881.50ns INFO [00001883] * RD COMPARE * port=0 adr=02 act=AD2889ADD8E1520B3F exp=AD2889ADD8E1520B3F + 1882.50ns INFO [00001884] * RD COMPARE * port=1 adr=05 act=E9D3DCCE2D84E12F7F exp=E9D3DCCE2D84E12F7F + 1882.50ns INFO [00001884] Port=0 RD @01 + 1882.50ns INFO [00001884] Port=1 RD @06 + 1883.50ns INFO [00001885] Port=0 WR @06=99E304B86B3EC12E9B + 1883.50ns INFO [00001885] Port=0 RD @07 + 1884.50ns INFO [00001886] * RD COMPARE * port=0 adr=01 act=6D1A3B5FC22FF4F9DB exp=6D1A3B5FC22FF4F9DB + 1884.50ns INFO [00001886] * RD COMPARE * port=1 adr=06 act=C8A0AA33844CC01663 exp=C8A0AA33844CC01663 + 1884.50ns INFO [00001886] Port=0 RD @04 + 1884.50ns INFO [00001886] Port=1 RD @07 + 1885.50ns INFO [00001887] * RD COMPARE * port=0 adr=07 act=842B3A00B355B494F9 exp=842B3A00B355B494F9 + 1886.50ns INFO [00001888] * RD COMPARE * port=0 adr=04 act=EDD335CD7D6F28F407 exp=EDD335CD7D6F28F407 + 1886.50ns INFO [00001888] * RD COMPARE * port=1 adr=07 act=842B3A00B355B494F9 exp=842B3A00B355B494F9 + 1886.50ns INFO [00001888] Port=0 RD @04 + 1887.50ns INFO [00001889] Port=0 WR @02=E78DB9EF8EA35143EF + 1888.50ns INFO [00001890] * RD COMPARE * port=0 adr=04 act=EDD335CD7D6F28F407 exp=EDD335CD7D6F28F407 + 1890.50ns INFO [00001892] Port=0 RD @02 + 1890.50ns INFO [00001892] Port=1 RD @04 + 1891.50ns INFO [00001893] Port=0 WR @04=12CE9F53301A892C8D + 1891.50ns INFO [00001893] Port=0 RD @05 + 1892.50ns INFO [00001894] * RD COMPARE * port=0 adr=02 act=E78DB9EF8EA35143EF exp=E78DB9EF8EA35143EF + 1892.50ns INFO [00001894] * RD COMPARE * port=1 adr=04 act=EDD335CD7D6F28F407 exp=EDD335CD7D6F28F407 + 1892.50ns INFO [00001894] Port=0 WR @02=AB41C6FA63697FE8F5 + 1893.50ns INFO [00001895] * RD COMPARE * port=0 adr=05 act=E9D3DCCE2D84E12F7F exp=E9D3DCCE2D84E12F7F + 1894.50ns INFO [00001896] Port=0 WR @00=F00B50690FD7E1A952 + 1894.50ns INFO [00001896] Port=1 RD @02 + 1896.50ns INFO [00001898] * RD COMPARE * port=1 adr=02 act=AB41C6FA63697FE8F5 exp=AB41C6FA63697FE8F5 + 1896.50ns INFO [00001898] Port=1 RD @05 + 1897.50ns INFO [00001899] Port=1 RD @03 + 1898.00ns INFO [00001900] [00001900] ...tick... + 1898.50ns INFO [00001900] * RD COMPARE * port=1 adr=05 act=E9D3DCCE2D84E12F7F exp=E9D3DCCE2D84E12F7F + 1899.50ns INFO [00001901] * RD COMPARE * port=1 adr=03 act=E186E22121A1765D4F exp=E186E22121A1765D4F + 1899.50ns INFO [00001901] Port=0 WR @04=25D907E8F7AB1EDBBD + 1899.50ns INFO [00001901] Port=0 RD @06 + 1900.50ns INFO [00001902] Port=0 RD @02 + 1900.50ns INFO [00001902] Port=1 RD @04 + 1901.50ns INFO [00001903] * RD COMPARE * port=0 adr=06 act=99E304B86B3EC12E9B exp=99E304B86B3EC12E9B + 1902.50ns INFO [00001904] * RD COMPARE * port=0 adr=02 act=AB41C6FA63697FE8F5 exp=AB41C6FA63697FE8F5 + 1902.50ns INFO [00001904] * RD COMPARE * port=1 adr=04 act=25D907E8F7AB1EDBBD exp=25D907E8F7AB1EDBBD + 1903.50ns INFO [00001905] Port=0 WR @04=0D4EE99DAE4AB75E2A + 1903.50ns INFO [00001905] Port=0 RD @06 + 1903.50ns INFO [00001905] Port=1 RD @00 + 1904.50ns INFO [00001906] Port=0 WR @00=430C45A50FC80F457A + 1905.50ns INFO [00001907] * RD COMPARE * port=0 adr=06 act=99E304B86B3EC12E9B exp=99E304B86B3EC12E9B + 1905.50ns INFO [00001907] * RD COMPARE * port=1 adr=00 act=F00B50690FD7E1A952 exp=F00B50690FD7E1A952 + 1905.50ns INFO [00001907] Port=0 RD @07 + 1905.50ns INFO [00001907] Port=1 RD @04 + 1906.50ns INFO [00001908] Port=0 WR @07=EEF9CA5AF36A650650 + 1907.50ns INFO [00001909] * RD COMPARE * port=0 adr=07 act=842B3A00B355B494F9 exp=842B3A00B355B494F9 + 1907.50ns INFO [00001909] * RD COMPARE * port=1 adr=04 act=0D4EE99DAE4AB75E2A exp=0D4EE99DAE4AB75E2A + 1908.50ns INFO [00001910] Port=0 WR @05=2D3BC530A875D14E0A + 1908.50ns INFO [00001910] Port=0 RD @07 + 1909.50ns INFO [00001911] Port=0 WR @02=D96190AD934BD27EB6 + 1910.50ns INFO [00001912] * RD COMPARE * port=0 adr=07 act=EEF9CA5AF36A650650 exp=EEF9CA5AF36A650650 + 1911.50ns INFO [00001913] Port=0 WR @05=9A358E0D801E931523 + 1911.50ns INFO [00001913] Port=0 RD @01 + 1912.50ns INFO [00001914] Port=0 WR @05=1D360602CF68DA58DA + 1913.50ns INFO [00001915] * RD COMPARE * port=0 adr=01 act=6D1A3B5FC22FF4F9DB exp=6D1A3B5FC22FF4F9DB + 1913.50ns INFO [00001915] Port=0 WR @06=91380AD17D7E8B9729 + 1913.50ns INFO [00001915] Port=0 RD @01 + 1913.50ns INFO [00001915] Port=1 RD @04 + 1914.50ns INFO [00001916] Port=0 WR @03=1DA152D553F7D8D90F + 1914.50ns INFO [00001916] Port=0 RD @06 + 1914.50ns INFO [00001916] Port=1 RD @01 + 1915.50ns INFO [00001917] * RD COMPARE * port=0 adr=01 act=6D1A3B5FC22FF4F9DB exp=6D1A3B5FC22FF4F9DB + 1915.50ns INFO [00001917] * RD COMPARE * port=1 adr=04 act=0D4EE99DAE4AB75E2A exp=0D4EE99DAE4AB75E2A + 1915.50ns INFO [00001917] Port=1 RD @00 + 1916.50ns INFO [00001918] * RD COMPARE * port=0 adr=06 act=91380AD17D7E8B9729 exp=91380AD17D7E8B9729 + 1916.50ns INFO [00001918] * RD COMPARE * port=1 adr=01 act=6D1A3B5FC22FF4F9DB exp=6D1A3B5FC22FF4F9DB + 1917.50ns INFO [00001919] * RD COMPARE * port=1 adr=00 act=430C45A50FC80F457A exp=430C45A50FC80F457A + 1918.50ns INFO [00001920] Port=0 WR @02=6CA515876479541EC4 + 1918.50ns INFO [00001920] Port=0 RD @00 + 1918.50ns INFO [00001920] Port=1 RD @03 + 1920.50ns INFO [00001922] * RD COMPARE * port=0 adr=00 act=430C45A50FC80F457A exp=430C45A50FC80F457A + 1920.50ns INFO [00001922] * RD COMPARE * port=1 adr=03 act=1DA152D553F7D8D90F exp=1DA152D553F7D8D90F + 1920.50ns INFO [00001922] Port=0 WR @01=CE17E54C43C8C2D431 + 1920.50ns INFO [00001922] Port=1 RD @05 + 1921.50ns INFO [00001923] Port=0 RD @00 + 1921.50ns INFO [00001923] Port=1 RD @07 + 1922.50ns INFO [00001924] * RD COMPARE * port=1 adr=05 act=1D360602CF68DA58DA exp=1D360602CF68DA58DA + 1922.50ns INFO [00001924] Port=1 RD @06 + 1923.50ns INFO [00001925] * RD COMPARE * port=0 adr=00 act=430C45A50FC80F457A exp=430C45A50FC80F457A + 1923.50ns INFO [00001925] * RD COMPARE * port=1 adr=07 act=EEF9CA5AF36A650650 exp=EEF9CA5AF36A650650 + 1923.50ns INFO [00001925] Port=0 RD @03 + 1923.50ns INFO [00001925] Port=1 RD @05 + 1924.50ns INFO [00001926] * RD COMPARE * port=1 adr=06 act=91380AD17D7E8B9729 exp=91380AD17D7E8B9729 + 1925.50ns INFO [00001927] * RD COMPARE * port=0 adr=03 act=1DA152D553F7D8D90F exp=1DA152D553F7D8D90F + 1925.50ns INFO [00001927] * RD COMPARE * port=1 adr=05 act=1D360602CF68DA58DA exp=1D360602CF68DA58DA + 1925.50ns INFO [00001927] Port=1 RD @03 + 1926.50ns INFO [00001928] Port=0 WR @02=E1ED22557489C03E96 + 1926.50ns INFO [00001928] Port=0 RD @01 + 1927.50ns INFO [00001929] * RD COMPARE * port=1 adr=03 act=1DA152D553F7D8D90F exp=1DA152D553F7D8D90F + 1927.50ns INFO [00001929] Port=1 RD @06 + 1928.50ns INFO [00001930] * RD COMPARE * port=0 adr=01 act=CE17E54C43C8C2D431 exp=CE17E54C43C8C2D431 + 1928.50ns INFO [00001930] Port=0 RD @02 + 1928.50ns INFO [00001930] Port=1 RD @07 + 1929.50ns INFO [00001931] * RD COMPARE * port=1 adr=06 act=91380AD17D7E8B9729 exp=91380AD17D7E8B9729 + 1930.50ns INFO [00001932] * RD COMPARE * port=0 adr=02 act=E1ED22557489C03E96 exp=E1ED22557489C03E96 + 1930.50ns INFO [00001932] * RD COMPARE * port=1 adr=07 act=EEF9CA5AF36A650650 exp=EEF9CA5AF36A650650 + 1930.50ns INFO [00001932] Port=0 WR @03=BF5151B523128CE519 + 1930.50ns INFO [00001932] Port=0 RD @07 + 1930.50ns INFO [00001932] Port=1 RD @01 + 1931.50ns INFO [00001933] Port=0 RD @00 + 1931.50ns INFO [00001933] Port=1 RD @06 + 1932.50ns INFO [00001934] * RD COMPARE * port=0 adr=07 act=EEF9CA5AF36A650650 exp=EEF9CA5AF36A650650 + 1932.50ns INFO [00001934] * RD COMPARE * port=1 adr=01 act=CE17E54C43C8C2D431 exp=CE17E54C43C8C2D431 + 1932.50ns INFO [00001934] Port=0 WR @03=78CDFCE2B3BE8030DA + 1933.50ns INFO [00001935] * RD COMPARE * port=0 adr=00 act=430C45A50FC80F457A exp=430C45A50FC80F457A + 1933.50ns INFO [00001935] * RD COMPARE * port=1 adr=06 act=91380AD17D7E8B9729 exp=91380AD17D7E8B9729 + 1933.50ns INFO [00001935] Port=0 RD @00 + 1934.50ns INFO [00001936] Port=1 RD @04 + 1935.50ns INFO [00001937] * RD COMPARE * port=0 adr=00 act=430C45A50FC80F457A exp=430C45A50FC80F457A + 1935.50ns INFO [00001937] Port=0 WR @05=571FAA9E8B92AEBC45 + 1936.50ns INFO [00001938] * RD COMPARE * port=1 adr=04 act=0D4EE99DAE4AB75E2A exp=0D4EE99DAE4AB75E2A + 1936.50ns INFO [00001938] Port=1 RD @06 + 1938.50ns INFO [00001940] * RD COMPARE * port=1 adr=06 act=91380AD17D7E8B9729 exp=91380AD17D7E8B9729 + 1938.50ns INFO [00001940] Port=0 WR @06=10894AB29F1D6EA043 + 1939.50ns INFO [00001941] Port=0 WR @05=223A601CCE8FAC1A90 + 1939.50ns INFO [00001941] Port=0 RD @06 + 1940.50ns INFO [00001942] Port=0 RD @03 + 1941.50ns INFO [00001943] * RD COMPARE * port=0 adr=06 act=10894AB29F1D6EA043 exp=10894AB29F1D6EA043 + 1941.50ns INFO [00001943] Port=0 WR @05=50C38ECF9FD5D898EC + 1942.50ns INFO [00001944] * RD COMPARE * port=0 adr=03 act=78CDFCE2B3BE8030DA exp=78CDFCE2B3BE8030DA + 1943.50ns INFO [00001945] Port=0 WR @03=A7405E901156909F5B + 1945.50ns INFO [00001947] Port=0 WR @01=105FCBD3DE5A7BF787 + 1946.50ns INFO [00001948] Port=0 WR @02=D89640343A8DFDA995 + 1948.50ns INFO [00001950] Port=0 WR @00=5DD69CE15A50512C2B + 1948.50ns INFO [00001950] Port=1 RD @02 + 1949.50ns INFO [00001951] Port=0 WR @07=0C07792FB79C43E764 + 1949.50ns INFO [00001951] Port=1 RD @04 + 1950.50ns INFO [00001952] * RD COMPARE * port=1 adr=02 act=D89640343A8DFDA995 exp=D89640343A8DFDA995 + 1950.50ns INFO [00001952] Port=0 WR @00=1A0472ED5B7DA41A11 + 1950.50ns INFO [00001952] Port=0 RD @04 + 1951.50ns INFO [00001953] * RD COMPARE * port=1 adr=04 act=0D4EE99DAE4AB75E2A exp=0D4EE99DAE4AB75E2A + 1951.50ns INFO [00001953] Port=0 RD @05 + 1951.50ns INFO [00001953] Port=1 RD @00 + 1952.50ns INFO [00001954] * RD COMPARE * port=0 adr=04 act=0D4EE99DAE4AB75E2A exp=0D4EE99DAE4AB75E2A + 1952.50ns INFO [00001954] Port=0 WR @07=0DBAC0724D270957A6 + 1952.50ns INFO [00001954] Port=0 RD @03 + 1953.50ns INFO [00001955] * RD COMPARE * port=0 adr=05 act=50C38ECF9FD5D898EC exp=50C38ECF9FD5D898EC + 1953.50ns INFO [00001955] * RD COMPARE * port=1 adr=00 act=1A0472ED5B7DA41A11 exp=1A0472ED5B7DA41A11 + 1954.50ns INFO [00001956] * RD COMPARE * port=0 adr=03 act=A7405E901156909F5B exp=A7405E901156909F5B + 1954.50ns INFO [00001956] Port=0 WR @06=116D031C5805DE3829 + 1954.50ns INFO [00001956] Port=0 RD @07 + 1956.50ns INFO [00001958] * RD COMPARE * port=0 adr=07 act=0DBAC0724D270957A6 exp=0DBAC0724D270957A6 + 1957.50ns INFO [00001959] Port=0 RD @05 + 1958.50ns INFO [00001960] Port=0 WR @02=A3D25448F3101DBCDE + 1958.50ns INFO [00001960] Port=0 RD @04 + 1959.50ns INFO [00001961] * RD COMPARE * port=0 adr=05 act=50C38ECF9FD5D898EC exp=50C38ECF9FD5D898EC + 1959.50ns INFO [00001961] Port=0 WR @04=FAB0126D03CBAD5070 + 1959.50ns INFO [00001961] Port=0 RD @00 + 1960.50ns INFO [00001962] * RD COMPARE * port=0 adr=04 act=0D4EE99DAE4AB75E2A exp=0D4EE99DAE4AB75E2A + 1961.50ns INFO [00001963] * RD COMPARE * port=0 adr=00 act=1A0472ED5B7DA41A11 exp=1A0472ED5B7DA41A11 + 1961.50ns INFO [00001963] Port=1 RD @01 + 1962.50ns INFO [00001964] Port=0 WR @00=5B720A3FC7A6993118 + 1962.50ns INFO [00001964] Port=1 RD @02 + 1963.50ns INFO [00001965] * RD COMPARE * port=1 adr=01 act=105FCBD3DE5A7BF787 exp=105FCBD3DE5A7BF787 + 1963.50ns INFO [00001965] Port=0 RD @04 + 1964.50ns INFO [00001966] * RD COMPARE * port=1 adr=02 act=A3D25448F3101DBCDE exp=A3D25448F3101DBCDE + 1964.50ns INFO [00001966] Port=1 RD @03 + 1965.50ns INFO [00001967] * RD COMPARE * port=0 adr=04 act=FAB0126D03CBAD5070 exp=FAB0126D03CBAD5070 + 1965.50ns INFO [00001967] Port=0 RD @07 + 1965.50ns INFO [00001967] Port=1 RD @03 + 1966.50ns INFO [00001968] * RD COMPARE * port=1 adr=03 act=A7405E901156909F5B exp=A7405E901156909F5B + 1966.50ns INFO [00001968] Port=1 RD @05 + 1967.50ns INFO [00001969] * RD COMPARE * port=0 adr=07 act=0DBAC0724D270957A6 exp=0DBAC0724D270957A6 + 1967.50ns INFO [00001969] * RD COMPARE * port=1 adr=03 act=A7405E901156909F5B exp=A7405E901156909F5B + 1968.50ns INFO [00001970] * RD COMPARE * port=1 adr=05 act=50C38ECF9FD5D898EC exp=50C38ECF9FD5D898EC + 1968.50ns INFO [00001970] Port=0 WR @01=641D9AA03BE13B99EE + 1968.50ns INFO [00001970] Port=0 RD @03 + 1969.50ns INFO [00001971] Port=1 RD @05 + 1970.50ns INFO [00001972] * RD COMPARE * port=0 adr=03 act=A7405E901156909F5B exp=A7405E901156909F5B + 1971.50ns INFO [00001973] * RD COMPARE * port=1 adr=05 act=50C38ECF9FD5D898EC exp=50C38ECF9FD5D898EC + 1971.50ns INFO [00001973] Port=0 WR @04=F9C947DADB966B9D8C + 1971.50ns INFO [00001973] Port=1 RD @02 + 1973.50ns INFO [00001975] * RD COMPARE * port=1 adr=02 act=A3D25448F3101DBCDE exp=A3D25448F3101DBCDE + 1975.50ns INFO [00001977] Port=0 WR @02=ABA2745913E2861529 + 1975.50ns INFO [00001977] Port=0 RD @01 + 1975.50ns INFO [00001977] Port=1 RD @05 + 1976.50ns INFO [00001978] Port=0 WR @02=A8FDB62DF2B32CEF32 + 1976.50ns INFO [00001978] Port=0 RD @06 + 1976.50ns INFO [00001978] Port=1 RD @06 + 1977.50ns INFO [00001979] * RD COMPARE * port=0 adr=01 act=641D9AA03BE13B99EE exp=641D9AA03BE13B99EE + 1977.50ns INFO [00001979] * RD COMPARE * port=1 adr=05 act=50C38ECF9FD5D898EC exp=50C38ECF9FD5D898EC + 1977.50ns INFO [00001979] Port=0 WR @06=E94C8A836EFA31AA58 + 1978.50ns INFO [00001980] * RD COMPARE * port=0 adr=06 act=116D031C5805DE3829 exp=116D031C5805DE3829 + 1978.50ns INFO [00001980] * RD COMPARE * port=1 adr=06 act=116D031C5805DE3829 exp=116D031C5805DE3829 + 1979.50ns INFO [00001981] Port=0 RD @06 + 1981.50ns INFO [00001983] * RD COMPARE * port=0 adr=06 act=E94C8A836EFA31AA58 exp=E94C8A836EFA31AA58 + 1981.50ns INFO [00001983] Port=0 WR @05=5151AD53416BDE7073 + 1981.50ns INFO [00001983] Port=1 RD @01 + 1982.50ns INFO [00001984] Port=1 RD @00 + 1983.50ns INFO [00001985] * RD COMPARE * port=1 adr=01 act=641D9AA03BE13B99EE exp=641D9AA03BE13B99EE + 1983.50ns INFO [00001985] Port=0 RD @00 + 1983.50ns INFO [00001985] Port=1 RD @06 + 1984.50ns INFO [00001986] * RD COMPARE * port=1 adr=00 act=5B720A3FC7A6993118 exp=5B720A3FC7A6993118 + 1985.50ns INFO [00001987] * RD COMPARE * port=0 adr=00 act=5B720A3FC7A6993118 exp=5B720A3FC7A6993118 + 1985.50ns INFO [00001987] * RD COMPARE * port=1 adr=06 act=E94C8A836EFA31AA58 exp=E94C8A836EFA31AA58 + 1985.50ns INFO [00001987] Port=0 RD @03 + 1986.50ns INFO [00001988] Port=0 WR @00=B625F454338DF752C7 + 1986.50ns INFO [00001988] Port=0 RD @04 + 1987.50ns INFO [00001989] * RD COMPARE * port=0 adr=03 act=A7405E901156909F5B exp=A7405E901156909F5B + 1987.50ns INFO [00001989] Port=0 RD @04 + 1988.50ns INFO [00001990] * RD COMPARE * port=0 adr=04 act=F9C947DADB966B9D8C exp=F9C947DADB966B9D8C + 1989.50ns INFO [00001991] * RD COMPARE * port=0 adr=04 act=F9C947DADB966B9D8C exp=F9C947DADB966B9D8C + 1989.50ns INFO [00001991] Port=0 WR @04=D59174507B1ED7CC8E + 1989.50ns INFO [00001991] Port=1 RD @02 + 1991.50ns INFO [00001993] * RD COMPARE * port=1 adr=02 act=A8FDB62DF2B32CEF32 exp=A8FDB62DF2B32CEF32 + 1991.50ns INFO [00001993] Port=0 RD @03 + 1991.50ns INFO [00001993] Port=1 RD @04 + 1992.50ns INFO [00001994] Port=0 RD @02 + 1993.50ns INFO [00001995] * RD COMPARE * port=0 adr=03 act=A7405E901156909F5B exp=A7405E901156909F5B + 1993.50ns INFO [00001995] * RD COMPARE * port=1 adr=04 act=D59174507B1ED7CC8E exp=D59174507B1ED7CC8E + 1993.50ns INFO [00001995] Port=0 WR @03=02831046F5767E314D + 1993.50ns INFO [00001995] Port=0 RD @02 + 1993.50ns INFO [00001995] Port=1 RD @04 + 1994.50ns INFO [00001996] * RD COMPARE * port=0 adr=02 act=A8FDB62DF2B32CEF32 exp=A8FDB62DF2B32CEF32 + 1995.50ns INFO [00001997] * RD COMPARE * port=0 adr=02 act=A8FDB62DF2B32CEF32 exp=A8FDB62DF2B32CEF32 + 1995.50ns INFO [00001997] * RD COMPARE * port=1 adr=04 act=D59174507B1ED7CC8E exp=D59174507B1ED7CC8E + 1997.50ns INFO [00001999] Port=0 WR @00=46006B8ACE78334D5F + 1998.00ns INFO [00002000] [00002000] ...tick... + 1998.50ns INFO [00002000] Port=0 RD @07 + 1999.50ns INFO [00002001] Port=0 WR @05=76FE1B67ED7A5DFB9F + 2000.50ns INFO [00002002] * RD COMPARE * port=0 adr=07 act=0DBAC0724D270957A6 exp=0DBAC0724D270957A6 + 2000.50ns INFO [00002002] Port=0 RD @01 + 2002.50ns INFO [00002004] * RD COMPARE * port=0 adr=01 act=641D9AA03BE13B99EE exp=641D9AA03BE13B99EE + 2002.50ns INFO [00002004] Port=1 RD @00 + 2003.50ns INFO [00002005] Port=1 RD @05 + 2004.50ns INFO [00002006] * RD COMPARE * port=1 adr=00 act=46006B8ACE78334D5F exp=46006B8ACE78334D5F + 2004.50ns INFO [00002006] Port=1 RD @07 + 2005.50ns INFO [00002007] * RD COMPARE * port=1 adr=05 act=76FE1B67ED7A5DFB9F exp=76FE1B67ED7A5DFB9F + 2005.50ns INFO [00002007] Port=0 WR @00=5A735A2140CB9E1BCA + 2005.50ns INFO [00002007] Port=0 RD @07 + 2006.50ns INFO [00002008] * RD COMPARE * port=1 adr=07 act=0DBAC0724D270957A6 exp=0DBAC0724D270957A6 + 2007.50ns INFO [00002009] * RD COMPARE * port=0 adr=07 act=0DBAC0724D270957A6 exp=0DBAC0724D270957A6 + 2009.50ns INFO [00002011] Port=0 WR @03=FB5986236A93EB7FBA + 2009.50ns INFO [00002011] Port=1 RD @02 + 2010.50ns INFO [00002012] Port=1 RD @04 + 2011.50ns INFO [00002013] * RD COMPARE * port=1 adr=02 act=A8FDB62DF2B32CEF32 exp=A8FDB62DF2B32CEF32 + 2011.50ns INFO [00002013] Port=0 WR @03=FA9A6732796AA5AC60 + 2011.50ns INFO [00002013] Port=0 RD @06 + 2011.50ns INFO [00002013] Port=1 RD @01 + 2012.50ns INFO [00002014] * RD COMPARE * port=1 adr=04 act=D59174507B1ED7CC8E exp=D59174507B1ED7CC8E + 2012.50ns INFO [00002014] Port=0 WR @06=8DD874957600C5F929 + 2013.50ns INFO [00002015] * RD COMPARE * port=0 adr=06 act=E94C8A836EFA31AA58 exp=E94C8A836EFA31AA58 + 2013.50ns INFO [00002015] * RD COMPARE * port=1 adr=01 act=641D9AA03BE13B99EE exp=641D9AA03BE13B99EE + 2013.50ns INFO [00002015] Port=0 WR @03=92057BB22117B7CEC7 + 2013.50ns INFO [00002015] Port=1 RD @05 + 2014.50ns INFO [00002016] Port=0 WR @00=FAF60B9CE82FAC4F0F + 2015.50ns INFO [00002017] * RD COMPARE * port=1 adr=05 act=76FE1B67ED7A5DFB9F exp=76FE1B67ED7A5DFB9F + 2015.50ns INFO [00002017] Port=0 WR @00=DF9E5E46DD70B77DC7 + 2016.50ns INFO [00002018] Port=0 WR @07=01C54EEAB728A79495 + 2017.50ns INFO [00002019] Port=0 RD @06 + 2018.50ns INFO [00002020] Port=0 RD @05 + 2018.50ns INFO [00002020] Port=1 RD @05 + 2019.50ns INFO [00002021] * RD COMPARE * port=0 adr=06 act=8DD874957600C5F929 exp=8DD874957600C5F929 + 2019.50ns INFO [00002021] Port=1 RD @07 + 2020.50ns INFO [00002022] * RD COMPARE * port=0 adr=05 act=76FE1B67ED7A5DFB9F exp=76FE1B67ED7A5DFB9F + 2020.50ns INFO [00002022] * RD COMPARE * port=1 adr=05 act=76FE1B67ED7A5DFB9F exp=76FE1B67ED7A5DFB9F + 2020.50ns INFO [00002022] Port=1 RD @06 + 2021.50ns INFO [00002023] * RD COMPARE * port=1 adr=07 act=01C54EEAB728A79495 exp=01C54EEAB728A79495 + 2021.50ns INFO [00002023] Port=1 RD @01 + 2022.50ns INFO [00002024] * RD COMPARE * port=1 adr=06 act=8DD874957600C5F929 exp=8DD874957600C5F929 + 2023.50ns INFO [00002025] * RD COMPARE * port=1 adr=01 act=641D9AA03BE13B99EE exp=641D9AA03BE13B99EE + 2023.50ns INFO [00002025] Port=0 RD @01 + 2024.50ns INFO [00002026] Port=0 WR @06=FD6E1E261217BC49F7 + 2024.50ns INFO [00002026] Port=0 RD @00 + 2024.50ns INFO [00002026] Port=1 RD @07 + 2025.50ns INFO [00002027] * RD COMPARE * port=0 adr=01 act=641D9AA03BE13B99EE exp=641D9AA03BE13B99EE + 2025.50ns INFO [00002027] Port=1 RD @00 + 2026.50ns INFO [00002028] * RD COMPARE * port=0 adr=00 act=DF9E5E46DD70B77DC7 exp=DF9E5E46DD70B77DC7 + 2026.50ns INFO [00002028] * RD COMPARE * port=1 adr=07 act=01C54EEAB728A79495 exp=01C54EEAB728A79495 + 2026.50ns INFO [00002028] Port=0 WR @05=D520D69FA548DCBA88 + 2026.50ns INFO [00002028] Port=0 RD @03 + 2026.50ns INFO [00002028] Port=1 RD @03 + 2027.50ns INFO [00002029] * RD COMPARE * port=1 adr=00 act=DF9E5E46DD70B77DC7 exp=DF9E5E46DD70B77DC7 + 2028.50ns INFO [00002030] * RD COMPARE * port=0 adr=03 act=92057BB22117B7CEC7 exp=92057BB22117B7CEC7 + 2028.50ns INFO [00002030] * RD COMPARE * port=1 adr=03 act=92057BB22117B7CEC7 exp=92057BB22117B7CEC7 + 2030.50ns INFO [00002032] Port=0 WR @07=4BE12F430192375910 + 2030.50ns INFO [00002032] Port=0 RD @01 + 2030.50ns INFO [00002032] Port=1 RD @06 + 2031.50ns INFO [00002033] Port=0 WR @00=F48DB129C1B13474BB + 2031.50ns INFO [00002033] Port=0 RD @07 + 2032.50ns INFO [00002034] * RD COMPARE * port=0 adr=01 act=641D9AA03BE13B99EE exp=641D9AA03BE13B99EE + 2032.50ns INFO [00002034] * RD COMPARE * port=1 adr=06 act=FD6E1E261217BC49F7 exp=FD6E1E261217BC49F7 + 2032.50ns INFO [00002034] Port=1 RD @01 + 2033.50ns INFO [00002035] * RD COMPARE * port=0 adr=07 act=4BE12F430192375910 exp=4BE12F430192375910 + 2033.50ns INFO [00002035] Port=0 RD @07 + 2034.50ns INFO [00002036] * RD COMPARE * port=1 adr=01 act=641D9AA03BE13B99EE exp=641D9AA03BE13B99EE + 2035.50ns INFO [00002037] * RD COMPARE * port=0 adr=07 act=4BE12F430192375910 exp=4BE12F430192375910 + 2035.50ns INFO [00002037] Port=0 WR @01=B0767C5BBA7046806C + 2035.50ns INFO [00002037] Port=0 RD @04 + 2035.50ns INFO [00002037] Port=1 RD @00 + 2036.50ns INFO [00002038] Port=1 RD @02 + 2037.50ns INFO [00002039] * RD COMPARE * port=0 adr=04 act=D59174507B1ED7CC8E exp=D59174507B1ED7CC8E + 2037.50ns INFO [00002039] * RD COMPARE * port=1 adr=00 act=F48DB129C1B13474BB exp=F48DB129C1B13474BB + 2038.50ns INFO [00002040] * RD COMPARE * port=1 adr=02 act=A8FDB62DF2B32CEF32 exp=A8FDB62DF2B32CEF32 + 2038.50ns INFO [00002040] Port=0 WR @03=7A75D30B25C08CF1AD + 2039.50ns INFO [00002041] Port=0 WR @01=6BE7887836E375A52B + 2039.50ns INFO [00002041] Port=0 RD @07 + 2039.50ns INFO [00002041] Port=1 RD @06 + 2040.50ns INFO [00002042] Port=0 WR @00=0D2EE7A136018034A1 + 2040.50ns INFO [00002042] Port=1 RD @06 + 2041.50ns INFO [00002043] * RD COMPARE * port=0 adr=07 act=4BE12F430192375910 exp=4BE12F430192375910 + 2041.50ns INFO [00002043] * RD COMPARE * port=1 adr=06 act=FD6E1E261217BC49F7 exp=FD6E1E261217BC49F7 + 2041.50ns INFO [00002043] Port=1 RD @00 + 2042.50ns INFO [00002044] * RD COMPARE * port=1 adr=06 act=FD6E1E261217BC49F7 exp=FD6E1E261217BC49F7 + 2043.50ns INFO [00002045] * RD COMPARE * port=1 adr=00 act=0D2EE7A136018034A1 exp=0D2EE7A136018034A1 + 2043.50ns INFO [00002045] Port=0 WR @05=8AFA096B95F25D71F5 + 2043.50ns INFO [00002045] Port=0 RD @07 + 2044.50ns INFO [00002046] Port=0 WR @01=7B7E8DB121BDA5A9B1 + 2045.50ns INFO [00002047] * RD COMPARE * port=0 adr=07 act=4BE12F430192375910 exp=4BE12F430192375910 + 2045.50ns INFO [00002047] Port=0 RD @04 + 2047.50ns INFO [00002049] * RD COMPARE * port=0 adr=04 act=D59174507B1ED7CC8E exp=D59174507B1ED7CC8E + 2047.50ns INFO [00002049] Port=0 RD @01 + 2048.50ns INFO [00002050] Port=0 WR @05=3D668390A6D100672C + 2049.50ns INFO [00002051] * RD COMPARE * port=0 adr=01 act=7B7E8DB121BDA5A9B1 exp=7B7E8DB121BDA5A9B1 + 2049.50ns INFO [00002051] Port=0 WR @02=26BAC1ACD277A653B8 + 2049.50ns INFO [00002051] Port=0 RD @01 + 2050.50ns INFO [00002052] Port=0 WR @05=66FAE97AE345BB05B3 + 2050.50ns INFO [00002052] Port=1 RD @06 + 2051.50ns INFO [00002053] * RD COMPARE * port=0 adr=01 act=7B7E8DB121BDA5A9B1 exp=7B7E8DB121BDA5A9B1 + 2052.50ns INFO [00002054] * RD COMPARE * port=1 adr=06 act=FD6E1E261217BC49F7 exp=FD6E1E261217BC49F7 + 2052.50ns INFO [00002054] Port=0 WR @05=F560B86850F402AD8A + 2052.50ns INFO [00002054] Port=0 RD @02 + 2054.50ns INFO [00002056] * RD COMPARE * port=0 adr=02 act=26BAC1ACD277A653B8 exp=26BAC1ACD277A653B8 + 2054.50ns INFO [00002056] Port=0 RD @02 + 2056.50ns INFO [00002058] * RD COMPARE * port=0 adr=02 act=26BAC1ACD277A653B8 exp=26BAC1ACD277A653B8 + 2056.50ns INFO [00002058] Port=0 RD @00 + 2057.50ns INFO [00002059] Port=0 WR @06=C8BBD2567B6CA8A709 + 2057.50ns INFO [00002059] Port=0 RD @05 + 2058.50ns INFO [00002060] * RD COMPARE * port=0 adr=00 act=0D2EE7A136018034A1 exp=0D2EE7A136018034A1 + 2058.50ns INFO [00002060] Port=1 RD @01 + 2059.50ns INFO [00002061] * RD COMPARE * port=0 adr=05 act=F560B86850F402AD8A exp=F560B86850F402AD8A + 2059.50ns INFO [00002061] Port=1 RD @06 + 2060.50ns INFO [00002062] * RD COMPARE * port=1 adr=01 act=7B7E8DB121BDA5A9B1 exp=7B7E8DB121BDA5A9B1 + 2060.50ns INFO [00002062] Port=0 WR @07=EAF9E46652C66F41A0 + 2060.50ns INFO [00002062] Port=0 RD @06 + 2060.50ns INFO [00002062] Port=1 RD @00 + 2061.50ns INFO [00002063] * RD COMPARE * port=1 adr=06 act=C8BBD2567B6CA8A709 exp=C8BBD2567B6CA8A709 + 2061.50ns INFO [00002063] Port=0 RD @06 + 2062.50ns INFO [00002064] * RD COMPARE * port=0 adr=06 act=C8BBD2567B6CA8A709 exp=C8BBD2567B6CA8A709 + 2062.50ns INFO [00002064] * RD COMPARE * port=1 adr=00 act=0D2EE7A136018034A1 exp=0D2EE7A136018034A1 + 2062.50ns INFO [00002064] Port=0 WR @01=19820582AF19DA3C4B + 2063.50ns INFO [00002065] * RD COMPARE * port=0 adr=06 act=C8BBD2567B6CA8A709 exp=C8BBD2567B6CA8A709 + 2063.50ns INFO [00002065] Port=0 RD @01 + 2064.50ns INFO [00002066] Port=0 WR @07=2742151FD1A7B83559 + 2064.50ns INFO [00002066] Port=1 RD @02 + 2065.50ns INFO [00002067] * RD COMPARE * port=0 adr=01 act=19820582AF19DA3C4B exp=19820582AF19DA3C4B + 2065.50ns INFO [00002067] Port=0 RD @02 + 2066.50ns INFO [00002068] * RD COMPARE * port=1 adr=02 act=26BAC1ACD277A653B8 exp=26BAC1ACD277A653B8 + 2066.50ns INFO [00002068] Port=0 RD @01 + 2067.50ns INFO [00002069] * RD COMPARE * port=0 adr=02 act=26BAC1ACD277A653B8 exp=26BAC1ACD277A653B8 + 2067.50ns INFO [00002069] Port=0 RD @01 + 2068.50ns INFO [00002070] * RD COMPARE * port=0 adr=01 act=19820582AF19DA3C4B exp=19820582AF19DA3C4B + 2068.50ns INFO [00002070] Port=1 RD @05 + 2069.50ns INFO [00002071] * RD COMPARE * port=0 adr=01 act=19820582AF19DA3C4B exp=19820582AF19DA3C4B + 2070.50ns INFO [00002072] * RD COMPARE * port=1 adr=05 act=F560B86850F402AD8A exp=F560B86850F402AD8A + 2071.50ns INFO [00002073] Port=0 WR @01=86C1FC5A7DB10D5278 + 2071.50ns INFO [00002073] Port=0 RD @07 + 2071.50ns INFO [00002073] Port=1 RD @05 + 2072.50ns INFO [00002074] Port=0 WR @05=379CB7EE61134E4720 + 2073.50ns INFO [00002075] * RD COMPARE * port=0 adr=07 act=2742151FD1A7B83559 exp=2742151FD1A7B83559 + 2073.50ns INFO [00002075] * RD COMPARE * port=1 adr=05 act=F560B86850F402AD8A exp=F560B86850F402AD8A + 2073.50ns INFO [00002075] Port=0 WR @05=CA79AA735EDCB7ECD8 + 2074.50ns INFO [00002076] Port=0 WR @00=3FE702EE0461943DC2 + 2074.50ns INFO [00002076] Port=0 RD @03 + 2076.50ns INFO [00002078] * RD COMPARE * port=0 adr=03 act=7A75D30B25C08CF1AD exp=7A75D30B25C08CF1AD + 2076.50ns INFO [00002078] Port=1 RD @03 + 2077.50ns INFO [00002079] Port=0 RD @04 + 2078.50ns INFO [00002080] * RD COMPARE * port=1 adr=03 act=7A75D30B25C08CF1AD exp=7A75D30B25C08CF1AD + 2078.50ns INFO [00002080] Port=0 WR @01=5C50D66A67F53244BA + 2079.50ns INFO [00002081] * RD COMPARE * port=0 adr=04 act=D59174507B1ED7CC8E exp=D59174507B1ED7CC8E + 2079.50ns INFO [00002081] Port=0 RD @00 + 2079.50ns INFO [00002081] Port=1 RD @03 + 2080.50ns INFO [00002082] Port=0 WR @05=78C6FC2D16EB21CE9D + 2080.50ns INFO [00002082] Port=1 RD @03 + 2081.50ns INFO [00002083] * RD COMPARE * port=0 adr=00 act=3FE702EE0461943DC2 exp=3FE702EE0461943DC2 + 2081.50ns INFO [00002083] * RD COMPARE * port=1 adr=03 act=7A75D30B25C08CF1AD exp=7A75D30B25C08CF1AD + 2081.50ns INFO [00002083] Port=0 WR @02=D877B6154A2F4EB670 + 2082.50ns INFO [00002084] * RD COMPARE * port=1 adr=03 act=7A75D30B25C08CF1AD exp=7A75D30B25C08CF1AD + 2082.50ns INFO [00002084] Port=1 RD @06 + 2083.50ns INFO [00002085] Port=0 RD @01 + 2084.50ns INFO [00002086] * RD COMPARE * port=1 adr=06 act=C8BBD2567B6CA8A709 exp=C8BBD2567B6CA8A709 + 2084.50ns INFO [00002086] Port=0 WR @05=1485B3E6FB6BFA4F90 + 2085.50ns INFO [00002087] * RD COMPARE * port=0 adr=01 act=5C50D66A67F53244BA exp=5C50D66A67F53244BA + 2085.50ns INFO [00002087] Port=0 WR @00=8B0250AE784C4B04E8 + 2085.50ns INFO [00002087] Port=0 RD @07 + 2085.50ns INFO [00002087] Port=1 RD @01 + 2086.50ns INFO [00002088] Port=0 RD @03 + 2086.50ns INFO [00002088] Port=1 RD @05 + 2087.50ns INFO [00002089] * RD COMPARE * port=0 adr=07 act=2742151FD1A7B83559 exp=2742151FD1A7B83559 + 2087.50ns INFO [00002089] * RD COMPARE * port=1 adr=01 act=5C50D66A67F53244BA exp=5C50D66A67F53244BA + 2088.50ns INFO [00002090] * RD COMPARE * port=0 adr=03 act=7A75D30B25C08CF1AD exp=7A75D30B25C08CF1AD + 2088.50ns INFO [00002090] * RD COMPARE * port=1 adr=05 act=1485B3E6FB6BFA4F90 exp=1485B3E6FB6BFA4F90 + 2089.50ns INFO [00002091] Port=0 WR @02=7498EB67C6D47F201A + 2089.50ns INFO [00002091] Port=1 RD @05 + 2091.50ns INFO [00002093] * RD COMPARE * port=1 adr=05 act=1485B3E6FB6BFA4F90 exp=1485B3E6FB6BFA4F90 + 2091.50ns INFO [00002093] Port=0 WR @01=96C98E0D205BDADF98 + 2091.50ns INFO [00002093] Port=0 RD @07 + 2093.50ns INFO [00002095] * RD COMPARE * port=0 adr=07 act=2742151FD1A7B83559 exp=2742151FD1A7B83559 + 2093.50ns INFO [00002095] Port=0 RD @07 + 2094.50ns INFO [00002096] Port=0 RD @05 + 2094.50ns INFO [00002096] Port=1 RD @03 + 2095.50ns INFO [00002097] * RD COMPARE * port=0 adr=07 act=2742151FD1A7B83559 exp=2742151FD1A7B83559 + 2096.50ns INFO [00002098] * RD COMPARE * port=0 adr=05 act=1485B3E6FB6BFA4F90 exp=1485B3E6FB6BFA4F90 + 2096.50ns INFO [00002098] * RD COMPARE * port=1 adr=03 act=7A75D30B25C08CF1AD exp=7A75D30B25C08CF1AD + 2096.50ns INFO [00002098] Port=0 RD @03 + 2096.50ns INFO [00002098] Port=1 RD @06 + 2097.50ns INFO [00002099] Port=1 RD @07 + 2098.00ns INFO [00002100] [00002100] ...tick... + 2098.50ns INFO [00002100] * RD COMPARE * port=0 adr=03 act=7A75D30B25C08CF1AD exp=7A75D30B25C08CF1AD + 2098.50ns INFO [00002100] * RD COMPARE * port=1 adr=06 act=C8BBD2567B6CA8A709 exp=C8BBD2567B6CA8A709 + 2098.50ns INFO [00002100] Port=1 RD @04 + 2099.50ns INFO [00002101] * RD COMPARE * port=1 adr=07 act=2742151FD1A7B83559 exp=2742151FD1A7B83559 + 2100.50ns INFO [00002102] * RD COMPARE * port=1 adr=04 act=D59174507B1ED7CC8E exp=D59174507B1ED7CC8E + 2104.50ns INFO [00002106] Port=0 RD @06 + 2105.50ns INFO [00002107] Port=1 RD @03 + 2106.50ns INFO [00002108] * RD COMPARE * port=0 adr=06 act=C8BBD2567B6CA8A709 exp=C8BBD2567B6CA8A709 + 2107.50ns INFO [00002109] * RD COMPARE * port=1 adr=03 act=7A75D30B25C08CF1AD exp=7A75D30B25C08CF1AD + 2107.50ns INFO [00002109] Port=1 RD @00 + 2108.50ns INFO [00002110] Port=0 WR @05=D29F25355E899A7789 + 2108.50ns INFO [00002110] Port=0 RD @01 + 2109.50ns INFO [00002111] * RD COMPARE * port=1 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2109.50ns INFO [00002111] Port=0 WR @03=88E77AD01BFCA28332 + 2109.50ns INFO [00002111] Port=1 RD @01 + 2110.50ns INFO [00002112] * RD COMPARE * port=0 adr=01 act=96C98E0D205BDADF98 exp=96C98E0D205BDADF98 + 2110.50ns INFO [00002112] Port=0 WR @03=392F3556F8C71E64C0 + 2110.50ns INFO [00002112] Port=1 RD @02 + 2111.50ns INFO [00002113] * RD COMPARE * port=1 adr=01 act=96C98E0D205BDADF98 exp=96C98E0D205BDADF98 + 2111.50ns INFO [00002113] Port=0 WR @02=E5C23B7028A7F5F4FC + 2111.50ns INFO [00002113] Port=1 RD @00 + 2112.50ns INFO [00002114] * RD COMPARE * port=1 adr=02 act=7498EB67C6D47F201A exp=7498EB67C6D47F201A + 2112.50ns INFO [00002114] Port=0 WR @01=0E00E75E7517FB17CC + 2112.50ns INFO [00002114] Port=1 RD @06 + 2113.50ns INFO [00002115] * RD COMPARE * port=1 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2113.50ns INFO [00002115] Port=1 RD @00 + 2114.50ns INFO [00002116] * RD COMPARE * port=1 adr=06 act=C8BBD2567B6CA8A709 exp=C8BBD2567B6CA8A709 + 2114.50ns INFO [00002116] Port=0 WR @05=0CE0D092E364553957 + 2114.50ns INFO [00002116] Port=0 RD @00 + 2114.50ns INFO [00002116] Port=1 RD @00 + 2115.50ns INFO [00002117] * RD COMPARE * port=1 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2115.50ns INFO [00002117] Port=1 RD @01 + 2116.50ns INFO [00002118] * RD COMPARE * port=0 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2116.50ns INFO [00002118] * RD COMPARE * port=1 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2117.50ns INFO [00002119] * RD COMPARE * port=1 adr=01 act=0E00E75E7517FB17CC exp=0E00E75E7517FB17CC + 2117.50ns INFO [00002119] Port=1 RD @00 + 2119.50ns INFO [00002121] * RD COMPARE * port=1 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2119.50ns INFO [00002121] Port=0 RD @01 + 2120.50ns INFO [00002122] Port=0 WR @07=2B7A1CAC8740C0FBC5 + 2120.50ns INFO [00002122] Port=1 RD @01 + 2121.50ns INFO [00002123] * RD COMPARE * port=0 adr=01 act=0E00E75E7517FB17CC exp=0E00E75E7517FB17CC + 2121.50ns INFO [00002123] Port=0 RD @00 + 2122.50ns INFO [00002124] * RD COMPARE * port=1 adr=01 act=0E00E75E7517FB17CC exp=0E00E75E7517FB17CC + 2122.50ns INFO [00002124] Port=0 RD @00 + 2123.50ns INFO [00002125] * RD COMPARE * port=0 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2123.50ns INFO [00002125] Port=0 WR @06=C1E202C69F188B02D1 + 2123.50ns INFO [00002125] Port=0 RD @00 + 2124.50ns INFO [00002126] * RD COMPARE * port=0 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2124.50ns INFO [00002126] Port=1 RD @04 + 2125.50ns INFO [00002127] * RD COMPARE * port=0 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2125.50ns INFO [00002127] Port=0 WR @04=45BE532A9BA073783D + 2126.50ns INFO [00002128] * RD COMPARE * port=1 adr=04 act=D59174507B1ED7CC8E exp=D59174507B1ED7CC8E + 2126.50ns INFO [00002128] Port=0 WR @07=84D7AA9AF6BE6D4EB6 + 2126.50ns INFO [00002128] Port=0 RD @01 + 2126.50ns INFO [00002128] Port=1 RD @00 + 2127.50ns INFO [00002129] Port=0 RD @03 + 2128.50ns INFO [00002130] * RD COMPARE * port=0 adr=01 act=0E00E75E7517FB17CC exp=0E00E75E7517FB17CC + 2128.50ns INFO [00002130] * RD COMPARE * port=1 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2128.50ns INFO [00002130] Port=0 WR @01=AF02D6C4DDA6A1632A + 2128.50ns INFO [00002130] Port=0 RD @02 + 2128.50ns INFO [00002130] Port=1 RD @06 + 2129.50ns INFO [00002131] * RD COMPARE * port=0 adr=03 act=392F3556F8C71E64C0 exp=392F3556F8C71E64C0 + 2129.50ns INFO [00002131] Port=0 WR @07=463BDA2FC463890AA4 + 2130.50ns INFO [00002132] * RD COMPARE * port=0 adr=02 act=E5C23B7028A7F5F4FC exp=E5C23B7028A7F5F4FC + 2130.50ns INFO [00002132] * RD COMPARE * port=1 adr=06 act=C1E202C69F188B02D1 exp=C1E202C69F188B02D1 + 2130.50ns INFO [00002132] Port=0 RD @03 + 2131.50ns INFO [00002133] Port=1 RD @00 + 2132.50ns INFO [00002134] * RD COMPARE * port=0 adr=03 act=392F3556F8C71E64C0 exp=392F3556F8C71E64C0 + 2132.50ns INFO [00002134] Port=0 RD @07 + 2133.50ns INFO [00002135] * RD COMPARE * port=1 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2134.50ns INFO [00002136] * RD COMPARE * port=0 adr=07 act=463BDA2FC463890AA4 exp=463BDA2FC463890AA4 + 2134.50ns INFO [00002136] Port=0 WR @03=617BB09F71835774A5 + 2134.50ns INFO [00002136] Port=0 RD @05 + 2134.50ns INFO [00002136] Port=1 RD @06 + 2135.50ns INFO [00002137] Port=0 WR @07=86853C13926834F0D9 + 2135.50ns INFO [00002137] Port=1 RD @00 + 2136.50ns INFO [00002138] * RD COMPARE * port=0 adr=05 act=0CE0D092E364553957 exp=0CE0D092E364553957 + 2136.50ns INFO [00002138] * RD COMPARE * port=1 adr=06 act=C1E202C69F188B02D1 exp=C1E202C69F188B02D1 + 2137.50ns INFO [00002139] * RD COMPARE * port=1 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2139.50ns INFO [00002141] Port=0 RD @04 + 2139.50ns INFO [00002141] Port=1 RD @03 + 2141.50ns INFO [00002143] * RD COMPARE * port=0 adr=04 act=45BE532A9BA073783D exp=45BE532A9BA073783D + 2141.50ns INFO [00002143] * RD COMPARE * port=1 adr=03 act=617BB09F71835774A5 exp=617BB09F71835774A5 + 2141.50ns INFO [00002143] Port=0 RD @04 + 2141.50ns INFO [00002143] Port=1 RD @06 + 2142.50ns INFO [00002144] Port=0 WR @05=1194EF6535B1C70508 + 2142.50ns INFO [00002144] Port=0 RD @07 + 2142.50ns INFO [00002144] Port=1 RD @07 + 2143.50ns INFO [00002145] * RD COMPARE * port=0 adr=04 act=45BE532A9BA073783D exp=45BE532A9BA073783D + 2143.50ns INFO [00002145] * RD COMPARE * port=1 adr=06 act=C1E202C69F188B02D1 exp=C1E202C69F188B02D1 + 2143.50ns INFO [00002145] Port=0 RD @05 + 2144.50ns INFO [00002146] * RD COMPARE * port=0 adr=07 act=86853C13926834F0D9 exp=86853C13926834F0D9 + 2144.50ns INFO [00002146] * RD COMPARE * port=1 adr=07 act=86853C13926834F0D9 exp=86853C13926834F0D9 + 2145.50ns INFO [00002147] * RD COMPARE * port=0 adr=05 act=1194EF6535B1C70508 exp=1194EF6535B1C70508 + 2145.50ns INFO [00002147] Port=0 WR @07=1049C85921294074C3 + 2145.50ns INFO [00002147] Port=0 RD @01 + 2145.50ns INFO [00002147] Port=1 RD @00 + 2146.50ns INFO [00002148] Port=0 WR @02=47ABE3EF93EF794FC4 + 2146.50ns INFO [00002148] Port=0 RD @01 + 2147.50ns INFO [00002149] * RD COMPARE * port=0 adr=01 act=AF02D6C4DDA6A1632A exp=AF02D6C4DDA6A1632A + 2147.50ns INFO [00002149] * RD COMPARE * port=1 adr=00 act=8B0250AE784C4B04E8 exp=8B0250AE784C4B04E8 + 2147.50ns INFO [00002149] Port=0 WR @05=D41AD4E2805BFE2478 + 2148.50ns INFO [00002150] * RD COMPARE * port=0 adr=01 act=AF02D6C4DDA6A1632A exp=AF02D6C4DDA6A1632A + 2150.50ns INFO [00002152] Port=0 WR @00=E041CA5E7C6B6E0DA1 + 2150.50ns INFO [00002152] Port=0 RD @01 + 2151.50ns INFO [00002153] Port=1 RD @05 + 2152.50ns INFO [00002154] * RD COMPARE * port=0 adr=01 act=AF02D6C4DDA6A1632A exp=AF02D6C4DDA6A1632A + 2152.50ns INFO [00002154] Port=1 RD @02 + 2153.50ns INFO [00002155] * RD COMPARE * port=1 adr=05 act=D41AD4E2805BFE2478 exp=D41AD4E2805BFE2478 + 2154.50ns INFO [00002156] * RD COMPARE * port=1 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2155.50ns INFO [00002157] Port=0 RD @02 + 2155.50ns INFO [00002157] Port=1 RD @00 + 2156.50ns INFO [00002158] Port=0 RD @06 + 2157.50ns INFO [00002159] * RD COMPARE * port=0 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2157.50ns INFO [00002159] * RD COMPARE * port=1 adr=00 act=E041CA5E7C6B6E0DA1 exp=E041CA5E7C6B6E0DA1 + 2158.50ns INFO [00002160] * RD COMPARE * port=0 adr=06 act=C1E202C69F188B02D1 exp=C1E202C69F188B02D1 + 2158.50ns INFO [00002160] Port=0 RD @00 + 2158.50ns INFO [00002160] Port=1 RD @07 + 2159.50ns INFO [00002161] Port=1 RD @00 + 2160.50ns INFO [00002162] * RD COMPARE * port=0 adr=00 act=E041CA5E7C6B6E0DA1 exp=E041CA5E7C6B6E0DA1 + 2160.50ns INFO [00002162] * RD COMPARE * port=1 adr=07 act=1049C85921294074C3 exp=1049C85921294074C3 + 2160.50ns INFO [00002162] Port=1 RD @05 + 2161.50ns INFO [00002163] * RD COMPARE * port=1 adr=00 act=E041CA5E7C6B6E0DA1 exp=E041CA5E7C6B6E0DA1 + 2161.50ns INFO [00002163] Port=0 WR @03=12B5FB66533DA8DBB5 + 2161.50ns INFO [00002163] Port=1 RD @04 + 2162.50ns INFO [00002164] * RD COMPARE * port=1 adr=05 act=D41AD4E2805BFE2478 exp=D41AD4E2805BFE2478 + 2162.50ns INFO [00002164] Port=0 RD @05 + 2162.50ns INFO [00002164] Port=1 RD @03 + 2163.50ns INFO [00002165] * RD COMPARE * port=1 adr=04 act=45BE532A9BA073783D exp=45BE532A9BA073783D + 2163.50ns INFO [00002165] Port=1 RD @05 + 2164.50ns INFO [00002166] * RD COMPARE * port=0 adr=05 act=D41AD4E2805BFE2478 exp=D41AD4E2805BFE2478 + 2164.50ns INFO [00002166] * RD COMPARE * port=1 adr=03 act=12B5FB66533DA8DBB5 exp=12B5FB66533DA8DBB5 + 2164.50ns INFO [00002166] Port=0 WR @05=58EBB88F0071D6B2F4 + 2164.50ns INFO [00002166] Port=1 RD @03 + 2165.50ns INFO [00002167] * RD COMPARE * port=1 adr=05 act=D41AD4E2805BFE2478 exp=D41AD4E2805BFE2478 + 2165.50ns INFO [00002167] Port=1 RD @02 + 2166.50ns INFO [00002168] * RD COMPARE * port=1 adr=03 act=12B5FB66533DA8DBB5 exp=12B5FB66533DA8DBB5 + 2166.50ns INFO [00002168] Port=0 RD @02 + 2167.50ns INFO [00002169] * RD COMPARE * port=1 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2167.50ns INFO [00002169] Port=0 WR @07=57DA9DBF885F44C786 + 2167.50ns INFO [00002169] Port=0 RD @01 + 2167.50ns INFO [00002169] Port=1 RD @06 + 2168.50ns INFO [00002170] * RD COMPARE * port=0 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2168.50ns INFO [00002170] Port=0 RD @06 + 2169.50ns INFO [00002171] * RD COMPARE * port=0 adr=01 act=AF02D6C4DDA6A1632A exp=AF02D6C4DDA6A1632A + 2169.50ns INFO [00002171] * RD COMPARE * port=1 adr=06 act=C1E202C69F188B02D1 exp=C1E202C69F188B02D1 + 2170.50ns INFO [00002172] * RD COMPARE * port=0 adr=06 act=C1E202C69F188B02D1 exp=C1E202C69F188B02D1 + 2170.50ns INFO [00002172] Port=0 WR @01=CE377FC21C5D910234 + 2171.50ns INFO [00002173] Port=0 WR @03=BE7CE431408AD0CC9B + 2171.50ns INFO [00002173] Port=1 RD @07 + 2172.50ns INFO [00002174] Port=1 RD @03 + 2173.50ns INFO [00002175] * RD COMPARE * port=1 adr=07 act=57DA9DBF885F44C786 exp=57DA9DBF885F44C786 + 2173.50ns INFO [00002175] Port=0 WR @00=0D1A7ADDE416317F31 + 2174.50ns INFO [00002176] * RD COMPARE * port=1 adr=03 act=BE7CE431408AD0CC9B exp=BE7CE431408AD0CC9B + 2174.50ns INFO [00002176] Port=1 RD @00 + 2175.50ns INFO [00002177] Port=0 WR @07=EAF3F5C2C6D96A451E + 2175.50ns INFO [00002177] Port=0 RD @04 + 2176.50ns INFO [00002178] * RD COMPARE * port=1 adr=00 act=0D1A7ADDE416317F31 exp=0D1A7ADDE416317F31 + 2176.50ns INFO [00002178] Port=0 RD @00 + 2177.50ns INFO [00002179] * RD COMPARE * port=0 adr=04 act=45BE532A9BA073783D exp=45BE532A9BA073783D + 2177.50ns INFO [00002179] Port=0 WR @06=42860F4BCA446EB9BD + 2177.50ns INFO [00002179] Port=1 RD @00 + 2178.50ns INFO [00002180] * RD COMPARE * port=0 adr=00 act=0D1A7ADDE416317F31 exp=0D1A7ADDE416317F31 + 2179.50ns INFO [00002181] * RD COMPARE * port=1 adr=00 act=0D1A7ADDE416317F31 exp=0D1A7ADDE416317F31 + 2179.50ns INFO [00002181] Port=0 WR @06=63AFF695CA5EAE40F6 + 2180.50ns INFO [00002182] Port=0 WR @07=3C4ADF9D70E0E4687E + 2180.50ns INFO [00002182] Port=0 RD @04 + 2180.50ns INFO [00002182] Port=1 RD @01 + 2182.50ns INFO [00002184] * RD COMPARE * port=0 adr=04 act=45BE532A9BA073783D exp=45BE532A9BA073783D + 2182.50ns INFO [00002184] * RD COMPARE * port=1 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2182.50ns INFO [00002184] Port=1 RD @07 + 2184.50ns INFO [00002186] * RD COMPARE * port=1 adr=07 act=3C4ADF9D70E0E4687E exp=3C4ADF9D70E0E4687E + 2184.50ns INFO [00002186] Port=0 WR @07=3D3A2CF06690E02D3A + 2184.50ns INFO [00002186] Port=1 RD @01 + 2185.50ns INFO [00002187] Port=0 RD @04 + 2185.50ns INFO [00002187] Port=1 RD @02 + 2186.50ns INFO [00002188] * RD COMPARE * port=1 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2186.50ns INFO [00002188] Port=1 RD @04 + 2187.50ns INFO [00002189] * RD COMPARE * port=0 adr=04 act=45BE532A9BA073783D exp=45BE532A9BA073783D + 2187.50ns INFO [00002189] * RD COMPARE * port=1 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2187.50ns INFO [00002189] Port=1 RD @03 + 2188.50ns INFO [00002190] * RD COMPARE * port=1 adr=04 act=45BE532A9BA073783D exp=45BE532A9BA073783D + 2188.50ns INFO [00002190] Port=0 WR @04=94C43D59A06172CC6F + 2188.50ns INFO [00002190] Port=1 RD @07 + 2189.50ns INFO [00002191] * RD COMPARE * port=1 adr=03 act=BE7CE431408AD0CC9B exp=BE7CE431408AD0CC9B + 2190.50ns INFO [00002192] * RD COMPARE * port=1 adr=07 act=3D3A2CF06690E02D3A exp=3D3A2CF06690E02D3A + 2190.50ns INFO [00002192] Port=1 RD @03 + 2192.50ns INFO [00002194] * RD COMPARE * port=1 adr=03 act=BE7CE431408AD0CC9B exp=BE7CE431408AD0CC9B + 2192.50ns INFO [00002194] Port=0 RD @00 + 2193.50ns INFO [00002195] Port=0 WR @07=D713B083883D41CD68 + 2193.50ns INFO [00002195] Port=1 RD @03 + 2194.50ns INFO [00002196] * RD COMPARE * port=0 adr=00 act=0D1A7ADDE416317F31 exp=0D1A7ADDE416317F31 + 2194.50ns INFO [00002196] Port=0 WR @03=CDEC271A85C3F9FBBC + 2194.50ns INFO [00002196] Port=1 RD @07 + 2195.50ns INFO [00002197] * RD COMPARE * port=1 adr=03 act=BE7CE431408AD0CC9B exp=BE7CE431408AD0CC9B + 2195.50ns INFO [00002197] Port=0 RD @04 + 2195.50ns INFO [00002197] Port=1 RD @03 + 2196.50ns INFO [00002198] * RD COMPARE * port=1 adr=07 act=D713B083883D41CD68 exp=D713B083883D41CD68 + 2196.50ns INFO [00002198] Port=1 RD @02 + 2197.50ns INFO [00002199] * RD COMPARE * port=0 adr=04 act=94C43D59A06172CC6F exp=94C43D59A06172CC6F + 2197.50ns INFO [00002199] * RD COMPARE * port=1 adr=03 act=CDEC271A85C3F9FBBC exp=CDEC271A85C3F9FBBC + 2197.50ns INFO [00002199] Port=0 WR @05=3C7375781904401705 + 2197.50ns INFO [00002199] Port=0 RD @01 + 2198.00ns INFO [00002200] [00002200] ...tick... + 2198.50ns INFO [00002200] * RD COMPARE * port=1 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2198.50ns INFO [00002200] Port=1 RD @05 + 2199.50ns INFO [00002201] * RD COMPARE * port=0 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2199.50ns INFO [00002201] Port=0 RD @05 + 2200.50ns INFO [00002202] * RD COMPARE * port=1 adr=05 act=3C7375781904401705 exp=3C7375781904401705 + 2200.50ns INFO [00002202] Port=0 RD @02 + 2200.50ns INFO [00002202] Port=1 RD @05 + 2201.50ns INFO [00002203] * RD COMPARE * port=0 adr=05 act=3C7375781904401705 exp=3C7375781904401705 + 2201.50ns INFO [00002203] Port=0 WR @00=1F9243121CC592510C + 2201.50ns INFO [00002203] Port=0 RD @02 + 2202.50ns INFO [00002204] * RD COMPARE * port=0 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2202.50ns INFO [00002204] * RD COMPARE * port=1 adr=05 act=3C7375781904401705 exp=3C7375781904401705 + 2202.50ns INFO [00002204] Port=0 RD @01 + 2203.50ns INFO [00002205] * RD COMPARE * port=0 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2203.50ns INFO [00002205] Port=0 RD @02 + 2204.50ns INFO [00002206] * RD COMPARE * port=0 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2204.50ns INFO [00002206] Port=0 RD @02 + 2204.50ns INFO [00002206] Port=1 RD @00 + 2205.50ns INFO [00002207] * RD COMPARE * port=0 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2205.50ns INFO [00002207] Port=0 WR @03=C85BC9C5C7588C9A11 + 2205.50ns INFO [00002207] Port=0 RD @05 + 2205.50ns INFO [00002207] Port=1 RD @04 + 2206.50ns INFO [00002208] * RD COMPARE * port=0 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2206.50ns INFO [00002208] * RD COMPARE * port=1 adr=00 act=1F9243121CC592510C exp=1F9243121CC592510C + 2207.50ns INFO [00002209] * RD COMPARE * port=0 adr=05 act=3C7375781904401705 exp=3C7375781904401705 + 2207.50ns INFO [00002209] * RD COMPARE * port=1 adr=04 act=94C43D59A06172CC6F exp=94C43D59A06172CC6F + 2207.50ns INFO [00002209] Port=0 WR @05=0366903592EDFB6EC6 + 2207.50ns INFO [00002209] Port=0 RD @04 + 2207.50ns INFO [00002209] Port=1 RD @06 + 2208.50ns INFO [00002210] Port=0 WR @05=785EE2800C5871AEBB + 2209.50ns INFO [00002211] * RD COMPARE * port=0 adr=04 act=94C43D59A06172CC6F exp=94C43D59A06172CC6F + 2209.50ns INFO [00002211] * RD COMPARE * port=1 adr=06 act=63AFF695CA5EAE40F6 exp=63AFF695CA5EAE40F6 + 2209.50ns INFO [00002211] Port=0 RD @07 + 2209.50ns INFO [00002211] Port=1 RD @05 + 2210.50ns INFO [00002212] Port=0 WR @07=97539F68F71960728A + 2210.50ns INFO [00002212] Port=0 RD @05 + 2211.50ns INFO [00002213] * RD COMPARE * port=0 adr=07 act=D713B083883D41CD68 exp=D713B083883D41CD68 + 2211.50ns INFO [00002213] * RD COMPARE * port=1 adr=05 act=785EE2800C5871AEBB exp=785EE2800C5871AEBB + 2212.50ns INFO [00002214] * RD COMPARE * port=0 adr=05 act=785EE2800C5871AEBB exp=785EE2800C5871AEBB + 2212.50ns INFO [00002214] Port=1 RD @03 + 2213.50ns INFO [00002215] Port=0 RD @01 + 2214.50ns INFO [00002216] * RD COMPARE * port=1 adr=03 act=C85BC9C5C7588C9A11 exp=C85BC9C5C7588C9A11 + 2214.50ns INFO [00002216] Port=0 RD @01 + 2214.50ns INFO [00002216] Port=1 RD @02 + 2215.50ns INFO [00002217] * RD COMPARE * port=0 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2215.50ns INFO [00002217] Port=1 RD @04 + 2216.50ns INFO [00002218] * RD COMPARE * port=0 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2216.50ns INFO [00002218] * RD COMPARE * port=1 adr=02 act=47ABE3EF93EF794FC4 exp=47ABE3EF93EF794FC4 + 2217.50ns INFO [00002219] * RD COMPARE * port=1 adr=04 act=94C43D59A06172CC6F exp=94C43D59A06172CC6F + 2217.50ns INFO [00002219] Port=0 RD @06 + 2217.50ns INFO [00002219] Port=1 RD @05 + 2218.50ns INFO [00002220] Port=0 WR @02=A020068D68C1F76010 + 2218.50ns INFO [00002220] Port=0 RD @03 + 2218.50ns INFO [00002220] Port=1 RD @01 + 2219.50ns INFO [00002221] * RD COMPARE * port=0 adr=06 act=63AFF695CA5EAE40F6 exp=63AFF695CA5EAE40F6 + 2219.50ns INFO [00002221] * RD COMPARE * port=1 adr=05 act=785EE2800C5871AEBB exp=785EE2800C5871AEBB + 2219.50ns INFO [00002221] Port=0 RD @05 + 2220.50ns INFO [00002222] * RD COMPARE * port=0 adr=03 act=C85BC9C5C7588C9A11 exp=C85BC9C5C7588C9A11 + 2220.50ns INFO [00002222] * RD COMPARE * port=1 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2220.50ns INFO [00002222] Port=0 RD @03 + 2220.50ns INFO [00002222] Port=1 RD @01 + 2221.50ns INFO [00002223] * RD COMPARE * port=0 adr=05 act=785EE2800C5871AEBB exp=785EE2800C5871AEBB + 2221.50ns INFO [00002223] Port=0 RD @05 + 2221.50ns INFO [00002223] Port=1 RD @07 + 2222.50ns INFO [00002224] * RD COMPARE * port=0 adr=03 act=C85BC9C5C7588C9A11 exp=C85BC9C5C7588C9A11 + 2222.50ns INFO [00002224] * RD COMPARE * port=1 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2222.50ns INFO [00002224] Port=0 RD @02 + 2223.50ns INFO [00002225] * RD COMPARE * port=0 adr=05 act=785EE2800C5871AEBB exp=785EE2800C5871AEBB + 2223.50ns INFO [00002225] * RD COMPARE * port=1 adr=07 act=97539F68F71960728A exp=97539F68F71960728A + 2224.50ns INFO [00002226] * RD COMPARE * port=0 adr=02 act=A020068D68C1F76010 exp=A020068D68C1F76010 + 2225.50ns INFO [00002227] Port=1 RD @07 + 2226.50ns INFO [00002228] Port=0 WR @06=B5EF551243F9BD064A + 2226.50ns INFO [00002228] Port=0 RD @07 + 2226.50ns INFO [00002228] Port=1 RD @01 + 2227.50ns INFO [00002229] * RD COMPARE * port=1 adr=07 act=97539F68F71960728A exp=97539F68F71960728A + 2227.50ns INFO [00002229] Port=0 WR @05=9E1E2AF117B12331E3 + 2227.50ns INFO [00002229] Port=1 RD @02 + 2228.50ns INFO [00002230] * RD COMPARE * port=0 adr=07 act=97539F68F71960728A exp=97539F68F71960728A + 2228.50ns INFO [00002230] * RD COMPARE * port=1 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2228.50ns INFO [00002230] Port=0 WR @07=CE39052CDBA7651B3B + 2229.50ns INFO [00002231] * RD COMPARE * port=1 adr=02 act=A020068D68C1F76010 exp=A020068D68C1F76010 + 2229.50ns INFO [00002231] Port=0 WR @05=F4DABB342114AF4BD4 + 2229.50ns INFO [00002231] Port=1 RD @07 + 2230.50ns INFO [00002232] Port=1 RD @00 + 2231.50ns INFO [00002233] * RD COMPARE * port=1 adr=07 act=CE39052CDBA7651B3B exp=CE39052CDBA7651B3B + 2231.50ns INFO [00002233] Port=0 RD @02 + 2232.50ns INFO [00002234] * RD COMPARE * port=1 adr=00 act=1F9243121CC592510C exp=1F9243121CC592510C + 2232.50ns INFO [00002234] Port=0 RD @05 + 2232.50ns INFO [00002234] Port=1 RD @04 + 2233.50ns INFO [00002235] * RD COMPARE * port=0 adr=02 act=A020068D68C1F76010 exp=A020068D68C1F76010 + 2234.50ns INFO [00002236] * RD COMPARE * port=0 adr=05 act=F4DABB342114AF4BD4 exp=F4DABB342114AF4BD4 + 2234.50ns INFO [00002236] * RD COMPARE * port=1 adr=04 act=94C43D59A06172CC6F exp=94C43D59A06172CC6F + 2234.50ns INFO [00002236] Port=0 RD @03 + 2235.50ns INFO [00002237] Port=0 RD @04 + 2236.50ns INFO [00002238] * RD COMPARE * port=0 adr=03 act=C85BC9C5C7588C9A11 exp=C85BC9C5C7588C9A11 + 2236.50ns INFO [00002238] Port=0 RD @01 + 2237.50ns INFO [00002239] * RD COMPARE * port=0 adr=04 act=94C43D59A06172CC6F exp=94C43D59A06172CC6F + 2237.50ns INFO [00002239] Port=0 WR @02=BAFB2FBC4EEE957268 + 2238.50ns INFO [00002240] * RD COMPARE * port=0 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2238.50ns INFO [00002240] Port=0 WR @00=92C12592254E1EF3D1 + 2238.50ns INFO [00002240] Port=0 RD @02 + 2238.50ns INFO [00002240] Port=1 RD @02 + 2239.50ns INFO [00002241] Port=0 WR @07=17B2176B6068B1BC1A + 2239.50ns INFO [00002241] Port=0 RD @06 + 2239.50ns INFO [00002241] Port=1 RD @06 + 2240.50ns INFO [00002242] * RD COMPARE * port=0 adr=02 act=BAFB2FBC4EEE957268 exp=BAFB2FBC4EEE957268 + 2240.50ns INFO [00002242] * RD COMPARE * port=1 adr=02 act=BAFB2FBC4EEE957268 exp=BAFB2FBC4EEE957268 + 2240.50ns INFO [00002242] Port=0 WR @05=7EF3B63FA56698BEC6 + 2241.50ns INFO [00002243] * RD COMPARE * port=0 adr=06 act=B5EF551243F9BD064A exp=B5EF551243F9BD064A + 2241.50ns INFO [00002243] * RD COMPARE * port=1 adr=06 act=B5EF551243F9BD064A exp=B5EF551243F9BD064A + 2242.50ns INFO [00002244] Port=0 WR @06=BAFAB48389F167130A + 2243.50ns INFO [00002245] Port=0 WR @07=6A8215EEE5D5556BA0 + 2243.50ns INFO [00002245] Port=0 RD @06 + 2244.50ns INFO [00002246] Port=0 RD @00 + 2244.50ns INFO [00002246] Port=1 RD @07 + 2245.50ns INFO [00002247] * RD COMPARE * port=0 adr=06 act=BAFAB48389F167130A exp=BAFAB48389F167130A + 2245.50ns INFO [00002247] Port=0 WR @02=2FA9F052714B21C1F5 + 2245.50ns INFO [00002247] Port=0 RD @05 + 2246.50ns INFO [00002248] * RD COMPARE * port=0 adr=00 act=92C12592254E1EF3D1 exp=92C12592254E1EF3D1 + 2246.50ns INFO [00002248] * RD COMPARE * port=1 adr=07 act=6A8215EEE5D5556BA0 exp=6A8215EEE5D5556BA0 + 2246.50ns INFO [00002248] Port=0 RD @01 + 2246.50ns INFO [00002248] Port=1 RD @04 + 2247.50ns INFO [00002249] * RD COMPARE * port=0 adr=05 act=7EF3B63FA56698BEC6 exp=7EF3B63FA56698BEC6 + 2247.50ns INFO [00002249] Port=0 WR @05=615E70A7E119633D20 + 2247.50ns INFO [00002249] Port=0 RD @02 + 2247.50ns INFO [00002249] Port=1 RD @06 + 2248.50ns INFO [00002250] * RD COMPARE * port=0 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2248.50ns INFO [00002250] * RD COMPARE * port=1 adr=04 act=94C43D59A06172CC6F exp=94C43D59A06172CC6F + 2249.50ns INFO [00002251] * RD COMPARE * port=0 adr=02 act=2FA9F052714B21C1F5 exp=2FA9F052714B21C1F5 + 2249.50ns INFO [00002251] * RD COMPARE * port=1 adr=06 act=BAFAB48389F167130A exp=BAFAB48389F167130A + 2249.50ns INFO [00002251] Port=0 WR @02=39F90B8111947786AC + 2250.50ns INFO [00002252] Port=0 WR @07=A32CFBA21795138029 + 2250.50ns INFO [00002252] Port=0 RD @02 + 2251.50ns INFO [00002253] Port=0 WR @00=FEC807877517D1F442 + 2251.50ns INFO [00002253] Port=1 RD @07 + 2252.50ns INFO [00002254] * RD COMPARE * port=0 adr=02 act=39F90B8111947786AC exp=39F90B8111947786AC + 2253.50ns INFO [00002255] * RD COMPARE * port=1 adr=07 act=A32CFBA21795138029 exp=A32CFBA21795138029 + 2255.50ns INFO [00002257] Port=0 WR @04=53071FDF3159DBD12A + 2255.50ns INFO [00002257] Port=1 RD @00 + 2256.50ns INFO [00002258] Port=1 RD @02 + 2257.50ns INFO [00002259] * RD COMPARE * port=1 adr=00 act=FEC807877517D1F442 exp=FEC807877517D1F442 + 2257.50ns INFO [00002259] Port=1 RD @01 + 2258.50ns INFO [00002260] * RD COMPARE * port=1 adr=02 act=39F90B8111947786AC exp=39F90B8111947786AC + 2258.50ns INFO [00002260] Port=1 RD @04 + 2259.50ns INFO [00002261] * RD COMPARE * port=1 adr=01 act=CE377FC21C5D910234 exp=CE377FC21C5D910234 + 2259.50ns INFO [00002261] Port=1 RD @07 + 2260.50ns INFO [00002262] * RD COMPARE * port=1 adr=04 act=53071FDF3159DBD12A exp=53071FDF3159DBD12A + 2260.50ns INFO [00002262] Port=0 WR @05=77E637C90C21633567 + 2260.50ns INFO [00002262] Port=1 RD @04 + 2261.50ns INFO [00002263] * RD COMPARE * port=1 adr=07 act=A32CFBA21795138029 exp=A32CFBA21795138029 + 2261.50ns INFO [00002263] Port=1 RD @03 + 2262.50ns INFO [00002264] * RD COMPARE * port=1 adr=04 act=53071FDF3159DBD12A exp=53071FDF3159DBD12A + 2263.50ns INFO [00002265] * RD COMPARE * port=1 adr=03 act=C85BC9C5C7588C9A11 exp=C85BC9C5C7588C9A11 + 2263.50ns INFO [00002265] Port=0 WR @03=8E922F9AE7B2728989 + 2264.50ns INFO [00002266] Port=1 RD @06 + 2266.50ns INFO [00002268] * RD COMPARE * port=1 adr=06 act=BAFAB48389F167130A exp=BAFAB48389F167130A + 2266.50ns INFO [00002268] Port=0 WR @06=5773EBD2E9BC994D08 + 2266.50ns INFO [00002268] Port=1 RD @04 + 2267.50ns INFO [00002269] Port=0 WR @05=96E133A910D4FB8F41 + 2267.50ns INFO [00002269] Port=0 RD @00 + 2268.50ns INFO [00002270] * RD COMPARE * port=1 adr=04 act=53071FDF3159DBD12A exp=53071FDF3159DBD12A + 2269.50ns INFO [00002271] * RD COMPARE * port=0 adr=00 act=FEC807877517D1F442 exp=FEC807877517D1F442 + 2269.50ns INFO [00002271] Port=0 WR @06=23CE5B3EAA5B63AE3E + 2270.50ns INFO [00002272] Port=0 WR @02=D4E90D5FD1B648D818 + 2271.50ns INFO [00002273] Port=0 WR @05=64685EFC82E371F540 + 2271.50ns INFO [00002273] Port=1 RD @03 + 2272.50ns INFO [00002274] Port=0 WR @05=84570E1D4A9BCA92A7 + 2272.50ns INFO [00002274] Port=1 RD @00 + 2273.50ns INFO [00002275] * RD COMPARE * port=1 adr=03 act=8E922F9AE7B2728989 exp=8E922F9AE7B2728989 + 2273.50ns INFO [00002275] Port=0 WR @01=5E5D404808270E5078 + 2274.50ns INFO [00002276] * RD COMPARE * port=1 adr=00 act=FEC807877517D1F442 exp=FEC807877517D1F442 + 2274.50ns INFO [00002276] Port=0 WR @07=69E614063402CA604C + 2274.50ns INFO [00002276] Port=1 RD @05 + 2276.50ns INFO [00002278] * RD COMPARE * port=1 adr=05 act=84570E1D4A9BCA92A7 exp=84570E1D4A9BCA92A7 + 2276.50ns INFO [00002278] Port=0 WR @01=02EBDACE93A1F17C6C + 2276.50ns INFO [00002278] Port=0 RD @04 + 2276.50ns INFO [00002278] Port=1 RD @02 + 2278.50ns INFO [00002280] * RD COMPARE * port=0 adr=04 act=53071FDF3159DBD12A exp=53071FDF3159DBD12A + 2278.50ns INFO [00002280] * RD COMPARE * port=1 adr=02 act=D4E90D5FD1B648D818 exp=D4E90D5FD1B648D818 + 2279.50ns INFO [00002281] Port=0 WR @04=FE2B5B20E93D4449BB + 2279.50ns INFO [00002281] Port=0 RD @00 + 2280.50ns INFO [00002282] Port=0 RD @00 + 2281.50ns INFO [00002283] * RD COMPARE * port=0 adr=00 act=FEC807877517D1F442 exp=FEC807877517D1F442 + 2281.50ns INFO [00002283] Port=0 WR @04=03514936330AF044B6 + 2282.50ns INFO [00002284] * RD COMPARE * port=0 adr=00 act=FEC807877517D1F442 exp=FEC807877517D1F442 + 2282.50ns INFO [00002284] Port=0 RD @04 + 2283.50ns INFO [00002285] Port=0 WR @01=380F4EF0634DEB7DA2 + 2283.50ns INFO [00002285] Port=1 RD @02 + 2284.50ns INFO [00002286] * RD COMPARE * port=0 adr=04 act=03514936330AF044B6 exp=03514936330AF044B6 + 2284.50ns INFO [00002286] Port=0 WR @03=2C335458C854A2262A + 2284.50ns INFO [00002286] Port=0 RD @01 + 2284.50ns INFO [00002286] Port=1 RD @01 + 2285.50ns INFO [00002287] * RD COMPARE * port=1 adr=02 act=D4E90D5FD1B648D818 exp=D4E90D5FD1B648D818 + 2285.50ns INFO [00002287] Port=0 WR @02=E14CE4D5DE30F62D53 + 2286.50ns INFO [00002288] * RD COMPARE * port=0 adr=01 act=380F4EF0634DEB7DA2 exp=380F4EF0634DEB7DA2 + 2286.50ns INFO [00002288] * RD COMPARE * port=1 adr=01 act=380F4EF0634DEB7DA2 exp=380F4EF0634DEB7DA2 + 2286.50ns INFO [00002288] Port=0 WR @00=1626ACD7D89CAE6B14 + 2286.50ns INFO [00002288] Port=0 RD @06 + 2287.50ns INFO [00002289] Port=0 WR @01=74CCFB3E0BB3329CBB + 2287.50ns INFO [00002289] Port=0 RD @00 + 2287.50ns INFO [00002289] Port=1 RD @00 + 2288.50ns INFO [00002290] * RD COMPARE * port=0 adr=06 act=23CE5B3EAA5B63AE3E exp=23CE5B3EAA5B63AE3E + 2288.50ns INFO [00002290] Port=0 WR @07=F88665DC3BEEDEC5D1 + 2288.50ns INFO [00002290] Port=0 RD @05 + 2288.50ns INFO [00002290] Port=1 RD @03 + 2289.50ns INFO [00002291] * RD COMPARE * port=0 adr=00 act=1626ACD7D89CAE6B14 exp=1626ACD7D89CAE6B14 + 2289.50ns INFO [00002291] * RD COMPARE * port=1 adr=00 act=1626ACD7D89CAE6B14 exp=1626ACD7D89CAE6B14 + 2289.50ns INFO [00002291] Port=0 WR @02=813DE10F9024DA7DFE + 2289.50ns INFO [00002291] Port=0 RD @04 + 2290.50ns INFO [00002292] * RD COMPARE * port=0 adr=05 act=84570E1D4A9BCA92A7 exp=84570E1D4A9BCA92A7 + 2290.50ns INFO [00002292] * RD COMPARE * port=1 adr=03 act=2C335458C854A2262A exp=2C335458C854A2262A + 2290.50ns INFO [00002292] Port=0 WR @02=38873DDB01207DAFEF + 2291.50ns INFO [00002293] * RD COMPARE * port=0 adr=04 act=03514936330AF044B6 exp=03514936330AF044B6 + 2291.50ns INFO [00002293] Port=0 WR @04=AE534E3B9557A5C7B6 + 2292.50ns INFO [00002294] Port=0 WR @01=2750923FB60D144FC2 + 2292.50ns INFO [00002294] Port=0 RD @07 + 2293.50ns INFO [00002295] Port=0 RD @05 + 2294.50ns INFO [00002296] * RD COMPARE * port=0 adr=07 act=F88665DC3BEEDEC5D1 exp=F88665DC3BEEDEC5D1 + 2294.50ns INFO [00002296] Port=1 RD @03 + 2295.50ns INFO [00002297] * RD COMPARE * port=0 adr=05 act=84570E1D4A9BCA92A7 exp=84570E1D4A9BCA92A7 + 2295.50ns INFO [00002297] Port=1 RD @03 + 2296.50ns INFO [00002298] * RD COMPARE * port=1 adr=03 act=2C335458C854A2262A exp=2C335458C854A2262A + 2296.50ns INFO [00002298] Port=0 WR @05=D7B72038C0DF3D2E56 + 2296.50ns INFO [00002298] Port=1 RD @07 + 2297.50ns INFO [00002299] * RD COMPARE * port=1 adr=03 act=2C335458C854A2262A exp=2C335458C854A2262A + 2297.50ns INFO [00002299] Port=0 WR @05=5DB5EA679DBA79AA35 + 2297.50ns INFO [00002299] Port=0 RD @06 + 2297.50ns INFO [00002299] Port=1 RD @00 + 2298.00ns INFO [00002300] [00002300] ...tick... + 2298.50ns INFO [00002300] * RD COMPARE * port=1 adr=07 act=F88665DC3BEEDEC5D1 exp=F88665DC3BEEDEC5D1 + 2299.50ns INFO [00002301] * RD COMPARE * port=0 adr=06 act=23CE5B3EAA5B63AE3E exp=23CE5B3EAA5B63AE3E + 2299.50ns INFO [00002301] * RD COMPARE * port=1 adr=00 act=1626ACD7D89CAE6B14 exp=1626ACD7D89CAE6B14 + 2300.50ns INFO [00002302] Port=0 WR @02=E1116E70B9DECE0C55 + 2300.50ns INFO [00002302] Port=0 RD @01 + 2301.50ns INFO [00002303] Port=1 RD @06 + 2302.50ns INFO [00002304] * RD COMPARE * port=0 adr=01 act=2750923FB60D144FC2 exp=2750923FB60D144FC2 + 2303.50ns INFO [00002305] * RD COMPARE * port=1 adr=06 act=23CE5B3EAA5B63AE3E exp=23CE5B3EAA5B63AE3E + 2303.50ns INFO [00002305] Port=0 WR @02=3F79E03855F449554D + 2303.50ns INFO [00002305] Port=0 RD @06 + 2304.50ns INFO [00002306] Port=0 WR @03=DBC12A33FA6D34ACC4 + 2305.50ns INFO [00002307] * RD COMPARE * port=0 adr=06 act=23CE5B3EAA5B63AE3E exp=23CE5B3EAA5B63AE3E + 2305.50ns INFO [00002307] Port=0 RD @00 + 2305.50ns INFO [00002307] Port=1 RD @03 + 2306.50ns INFO [00002308] Port=1 RD @00 + 2307.50ns INFO [00002309] * RD COMPARE * port=0 adr=00 act=1626ACD7D89CAE6B14 exp=1626ACD7D89CAE6B14 + 2307.50ns INFO [00002309] * RD COMPARE * port=1 adr=03 act=DBC12A33FA6D34ACC4 exp=DBC12A33FA6D34ACC4 + 2307.50ns INFO [00002309] Port=1 RD @01 + 2308.50ns INFO [00002310] * RD COMPARE * port=1 adr=00 act=1626ACD7D89CAE6B14 exp=1626ACD7D89CAE6B14 + 2309.50ns INFO [00002311] * RD COMPARE * port=1 adr=01 act=2750923FB60D144FC2 exp=2750923FB60D144FC2 + 2310.50ns INFO [00002312] Port=0 RD @07 + 2311.50ns INFO [00002313] Port=0 WR @05=288CEBB207B1E2159E + 2312.50ns INFO [00002314] * RD COMPARE * port=0 adr=07 act=F88665DC3BEEDEC5D1 exp=F88665DC3BEEDEC5D1 + 2313.50ns INFO [00002315] Port=0 WR @03=ACBE87D2C5027AA150 + 2314.50ns INFO [00002316] Port=0 RD @04 + 2314.50ns INFO [00002316] Port=1 RD @06 + 2315.50ns INFO [00002317] Port=0 RD @06 + 2315.50ns INFO [00002317] Port=1 RD @05 + 2316.50ns INFO [00002318] * RD COMPARE * port=0 adr=04 act=AE534E3B9557A5C7B6 exp=AE534E3B9557A5C7B6 + 2316.50ns INFO [00002318] * RD COMPARE * port=1 adr=06 act=23CE5B3EAA5B63AE3E exp=23CE5B3EAA5B63AE3E + 2316.50ns INFO [00002318] Port=0 WR @02=D739B511DFB89A5178 + 2316.50ns INFO [00002318] Port=0 RD @05 + 2316.50ns INFO [00002318] Port=1 RD @04 + 2317.50ns INFO [00002319] * RD COMPARE * port=0 adr=06 act=23CE5B3EAA5B63AE3E exp=23CE5B3EAA5B63AE3E + 2317.50ns INFO [00002319] * RD COMPARE * port=1 adr=05 act=288CEBB207B1E2159E exp=288CEBB207B1E2159E + 2317.50ns INFO [00002319] Port=0 WR @05=0DE46075252423CFAB + 2317.50ns INFO [00002319] Port=0 RD @00 + 2318.50ns INFO [00002320] * RD COMPARE * port=0 adr=05 act=288CEBB207B1E2159E exp=288CEBB207B1E2159E + 2318.50ns INFO [00002320] * RD COMPARE * port=1 adr=04 act=AE534E3B9557A5C7B6 exp=AE534E3B9557A5C7B6 + 2318.50ns INFO [00002320] Port=1 RD @06 + 2319.50ns INFO [00002321] * RD COMPARE * port=0 adr=00 act=1626ACD7D89CAE6B14 exp=1626ACD7D89CAE6B14 + 2320.50ns INFO [00002322] * RD COMPARE * port=1 adr=06 act=23CE5B3EAA5B63AE3E exp=23CE5B3EAA5B63AE3E + 2322.50ns INFO [00002324] Port=0 WR @04=E9B8BE2B1CB75E8DCF + 2323.50ns INFO [00002325] Port=0 WR @05=3F909CA9308B70BF5F + 2323.50ns INFO [00002325] Port=0 RD @07 + 2324.50ns INFO [00002326] Port=0 WR @04=EF86F065BB23F1A110 + 2325.50ns INFO [00002327] * RD COMPARE * port=0 adr=07 act=F88665DC3BEEDEC5D1 exp=F88665DC3BEEDEC5D1 + 2325.50ns INFO [00002327] Port=0 RD @01 + 2327.50ns INFO [00002329] * RD COMPARE * port=0 adr=01 act=2750923FB60D144FC2 exp=2750923FB60D144FC2 + 2327.50ns INFO [00002329] Port=0 RD @02 + 2328.50ns INFO [00002330] Port=1 RD @04 + 2329.50ns INFO [00002331] * RD COMPARE * port=0 adr=02 act=D739B511DFB89A5178 exp=D739B511DFB89A5178 + 2329.50ns INFO [00002331] Port=0 WR @00=03E69A5ACED34104AE + 2330.50ns INFO [00002332] * RD COMPARE * port=1 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2330.50ns INFO [00002332] Port=0 WR @01=4F810C29432307C898 + 2332.50ns INFO [00002334] Port=0 RD @03 + 2333.50ns INFO [00002335] Port=0 WR @05=9154A82C0948612751 + 2333.50ns INFO [00002335] Port=0 RD @01 + 2334.50ns INFO [00002336] * RD COMPARE * port=0 adr=03 act=ACBE87D2C5027AA150 exp=ACBE87D2C5027AA150 + 2334.50ns INFO [00002336] Port=1 RD @07 + 2335.50ns INFO [00002337] * RD COMPARE * port=0 adr=01 act=4F810C29432307C898 exp=4F810C29432307C898 + 2336.50ns INFO [00002338] * RD COMPARE * port=1 adr=07 act=F88665DC3BEEDEC5D1 exp=F88665DC3BEEDEC5D1 + 2336.50ns INFO [00002338] Port=0 RD @03 + 2336.50ns INFO [00002338] Port=1 RD @06 + 2337.50ns INFO [00002339] Port=0 WR @02=98F6B4B40D8F8502DD + 2337.50ns INFO [00002339] Port=0 RD @00 + 2338.50ns INFO [00002340] * RD COMPARE * port=0 adr=03 act=ACBE87D2C5027AA150 exp=ACBE87D2C5027AA150 + 2338.50ns INFO [00002340] * RD COMPARE * port=1 adr=06 act=23CE5B3EAA5B63AE3E exp=23CE5B3EAA5B63AE3E + 2339.50ns INFO [00002341] * RD COMPARE * port=0 adr=00 act=03E69A5ACED34104AE exp=03E69A5ACED34104AE + 2339.50ns INFO [00002341] Port=0 WR @03=F640AC7D33D5BD58BB + 2340.50ns INFO [00002342] Port=1 RD @01 + 2342.50ns INFO [00002344] * RD COMPARE * port=1 adr=01 act=4F810C29432307C898 exp=4F810C29432307C898 + 2342.50ns INFO [00002344] Port=0 WR @05=B512DE2887B1E67980 + 2342.50ns INFO [00002344] Port=1 RD @00 + 2344.50ns INFO [00002346] * RD COMPARE * port=1 adr=00 act=03E69A5ACED34104AE exp=03E69A5ACED34104AE + 2344.50ns INFO [00002346] Port=0 RD @04 + 2344.50ns INFO [00002346] Port=1 RD @07 + 2345.50ns INFO [00002347] Port=0 RD @03 + 2345.50ns INFO [00002347] Port=1 RD @07 + 2346.50ns INFO [00002348] * RD COMPARE * port=0 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2346.50ns INFO [00002348] * RD COMPARE * port=1 adr=07 act=F88665DC3BEEDEC5D1 exp=F88665DC3BEEDEC5D1 + 2346.50ns INFO [00002348] Port=0 WR @05=1593679062DF9F0A63 + 2346.50ns INFO [00002348] Port=0 RD @04 + 2347.50ns INFO [00002349] * RD COMPARE * port=0 adr=03 act=F640AC7D33D5BD58BB exp=F640AC7D33D5BD58BB + 2347.50ns INFO [00002349] * RD COMPARE * port=1 adr=07 act=F88665DC3BEEDEC5D1 exp=F88665DC3BEEDEC5D1 + 2348.50ns INFO [00002350] * RD COMPARE * port=0 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2348.50ns INFO [00002350] Port=0 WR @03=A9133812ECB1FB7787 + 2348.50ns INFO [00002350] Port=0 RD @04 + 2348.50ns INFO [00002350] Port=1 RD @01 + 2349.50ns INFO [00002351] Port=0 WR @03=D00350EC7A8F0BDF86 + 2349.50ns INFO [00002351] Port=0 RD @00 + 2350.50ns INFO [00002352] * RD COMPARE * port=0 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2350.50ns INFO [00002352] * RD COMPARE * port=1 adr=01 act=4F810C29432307C898 exp=4F810C29432307C898 + 2350.50ns INFO [00002352] Port=0 RD @02 + 2350.50ns INFO [00002352] Port=1 RD @01 + 2351.50ns INFO [00002353] * RD COMPARE * port=0 adr=00 act=03E69A5ACED34104AE exp=03E69A5ACED34104AE + 2351.50ns INFO [00002353] Port=0 WR @03=DEFBD975191DFA50AA + 2352.50ns INFO [00002354] * RD COMPARE * port=0 adr=02 act=98F6B4B40D8F8502DD exp=98F6B4B40D8F8502DD + 2352.50ns INFO [00002354] * RD COMPARE * port=1 adr=01 act=4F810C29432307C898 exp=4F810C29432307C898 + 2352.50ns INFO [00002354] Port=0 WR @00=EE09926F5F7481F254 + 2353.50ns INFO [00002355] Port=0 WR @00=9ED8EDE99444D2CB87 + 2353.50ns INFO [00002355] Port=1 RD @03 + 2354.50ns INFO [00002356] Port=0 WR @07=2E5B698ACF5DB35D42 + 2355.50ns INFO [00002357] * RD COMPARE * port=1 adr=03 act=DEFBD975191DFA50AA exp=DEFBD975191DFA50AA + 2357.50ns INFO [00002359] Port=1 RD @01 + 2358.50ns INFO [00002360] Port=0 RD @07 + 2359.50ns INFO [00002361] * RD COMPARE * port=1 adr=01 act=4F810C29432307C898 exp=4F810C29432307C898 + 2360.50ns INFO [00002362] * RD COMPARE * port=0 adr=07 act=2E5B698ACF5DB35D42 exp=2E5B698ACF5DB35D42 + 2360.50ns INFO [00002362] Port=0 RD @04 + 2362.50ns INFO [00002364] * RD COMPARE * port=0 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2363.50ns INFO [00002365] Port=1 RD @05 + 2365.50ns INFO [00002367] * RD COMPARE * port=1 adr=05 act=1593679062DF9F0A63 exp=1593679062DF9F0A63 + 2365.50ns INFO [00002367] Port=0 RD @05 + 2365.50ns INFO [00002367] Port=1 RD @05 + 2367.50ns INFO [00002369] * RD COMPARE * port=0 adr=05 act=1593679062DF9F0A63 exp=1593679062DF9F0A63 + 2367.50ns INFO [00002369] * RD COMPARE * port=1 adr=05 act=1593679062DF9F0A63 exp=1593679062DF9F0A63 + 2367.50ns INFO [00002369] Port=0 WR @05=D9DB413379055BBEC3 + 2367.50ns INFO [00002369] Port=0 RD @03 + 2368.50ns INFO [00002370] Port=0 WR @02=7F1F1F4AF44DBF85D3 + 2369.50ns INFO [00002371] * RD COMPARE * port=0 adr=03 act=DEFBD975191DFA50AA exp=DEFBD975191DFA50AA + 2369.50ns INFO [00002371] Port=1 RD @04 + 2371.50ns INFO [00002373] * RD COMPARE * port=1 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2371.50ns INFO [00002373] Port=0 WR @00=2F40C8570DDD1AEFDE + 2371.50ns INFO [00002373] Port=0 RD @04 + 2371.50ns INFO [00002373] Port=1 RD @06 + 2373.50ns INFO [00002375] * RD COMPARE * port=0 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2373.50ns INFO [00002375] * RD COMPARE * port=1 adr=06 act=23CE5B3EAA5B63AE3E exp=23CE5B3EAA5B63AE3E + 2373.50ns INFO [00002375] Port=1 RD @00 + 2374.50ns INFO [00002376] Port=0 RD @04 + 2375.50ns INFO [00002377] * RD COMPARE * port=1 adr=00 act=2F40C8570DDD1AEFDE exp=2F40C8570DDD1AEFDE + 2375.50ns INFO [00002377] Port=0 WR @07=3A21559AC203FC15FC + 2376.50ns INFO [00002378] * RD COMPARE * port=0 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2376.50ns INFO [00002378] Port=0 WR @00=00D6088A6F15A7F292 + 2377.50ns INFO [00002379] Port=0 RD @07 + 2377.50ns INFO [00002379] Port=1 RD @01 + 2378.50ns INFO [00002380] Port=0 WR @02=EE923BB0DBE67196A7 + 2379.50ns INFO [00002381] * RD COMPARE * port=0 adr=07 act=3A21559AC203FC15FC exp=3A21559AC203FC15FC + 2379.50ns INFO [00002381] * RD COMPARE * port=1 adr=01 act=4F810C29432307C898 exp=4F810C29432307C898 + 2379.50ns INFO [00002381] Port=0 WR @06=DE2ACC4C473C11FC2E + 2379.50ns INFO [00002381] Port=1 RD @01 + 2380.50ns INFO [00002382] Port=1 RD @04 + 2381.50ns INFO [00002383] * RD COMPARE * port=1 adr=01 act=4F810C29432307C898 exp=4F810C29432307C898 + 2381.50ns INFO [00002383] Port=1 RD @04 + 2382.50ns INFO [00002384] * RD COMPARE * port=1 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2382.50ns INFO [00002384] Port=0 WR @05=9B54A06150C69DE77E + 2382.50ns INFO [00002384] Port=0 RD @07 + 2382.50ns INFO [00002384] Port=1 RD @03 + 2383.50ns INFO [00002385] * RD COMPARE * port=1 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2383.50ns INFO [00002385] Port=0 WR @01=9E40F3C1F3BA5260FB + 2383.50ns INFO [00002385] Port=1 RD @06 + 2384.50ns INFO [00002386] * RD COMPARE * port=0 adr=07 act=3A21559AC203FC15FC exp=3A21559AC203FC15FC + 2384.50ns INFO [00002386] * RD COMPARE * port=1 adr=03 act=DEFBD975191DFA50AA exp=DEFBD975191DFA50AA + 2384.50ns INFO [00002386] Port=0 RD @03 + 2385.50ns INFO [00002387] * RD COMPARE * port=1 adr=06 act=DE2ACC4C473C11FC2E exp=DE2ACC4C473C11FC2E + 2385.50ns INFO [00002387] Port=0 WR @00=CBA75E98F4134177BB + 2385.50ns INFO [00002387] Port=0 RD @05 + 2385.50ns INFO [00002387] Port=1 RD @03 + 2386.50ns INFO [00002388] * RD COMPARE * port=0 adr=03 act=DEFBD975191DFA50AA exp=DEFBD975191DFA50AA + 2386.50ns INFO [00002388] Port=1 RD @01 + 2387.50ns INFO [00002389] * RD COMPARE * port=0 adr=05 act=9B54A06150C69DE77E exp=9B54A06150C69DE77E + 2387.50ns INFO [00002389] * RD COMPARE * port=1 adr=03 act=DEFBD975191DFA50AA exp=DEFBD975191DFA50AA + 2387.50ns INFO [00002389] Port=1 RD @01 + 2388.50ns INFO [00002390] * RD COMPARE * port=1 adr=01 act=9E40F3C1F3BA5260FB exp=9E40F3C1F3BA5260FB + 2388.50ns INFO [00002390] Port=1 RD @00 + 2389.50ns INFO [00002391] * RD COMPARE * port=1 adr=01 act=9E40F3C1F3BA5260FB exp=9E40F3C1F3BA5260FB + 2389.50ns INFO [00002391] Port=1 RD @01 + 2390.50ns INFO [00002392] * RD COMPARE * port=1 adr=00 act=CBA75E98F4134177BB exp=CBA75E98F4134177BB + 2390.50ns INFO [00002392] Port=0 WR @06=F428B65408038D16D3 + 2391.50ns INFO [00002393] * RD COMPARE * port=1 adr=01 act=9E40F3C1F3BA5260FB exp=9E40F3C1F3BA5260FB + 2391.50ns INFO [00002393] Port=0 RD @02 + 2392.50ns INFO [00002394] Port=0 RD @03 + 2393.50ns INFO [00002395] * RD COMPARE * port=0 adr=02 act=EE923BB0DBE67196A7 exp=EE923BB0DBE67196A7 + 2393.50ns INFO [00002395] Port=1 RD @01 + 2394.50ns INFO [00002396] * RD COMPARE * port=0 adr=03 act=DEFBD975191DFA50AA exp=DEFBD975191DFA50AA + 2394.50ns INFO [00002396] Port=1 RD @03 + 2395.50ns INFO [00002397] * RD COMPARE * port=1 adr=01 act=9E40F3C1F3BA5260FB exp=9E40F3C1F3BA5260FB + 2396.50ns INFO [00002398] * RD COMPARE * port=1 adr=03 act=DEFBD975191DFA50AA exp=DEFBD975191DFA50AA + 2396.50ns INFO [00002398] Port=0 RD @06 + 2396.50ns INFO [00002398] Port=1 RD @01 + 2397.50ns INFO [00002399] Port=0 RD @00 + 2397.50ns INFO [00002399] Port=1 RD @04 + 2398.00ns INFO [00002400] [00002400] ...tick... + 2398.50ns INFO [00002400] * RD COMPARE * port=0 adr=06 act=F428B65408038D16D3 exp=F428B65408038D16D3 + 2398.50ns INFO [00002400] * RD COMPARE * port=1 adr=01 act=9E40F3C1F3BA5260FB exp=9E40F3C1F3BA5260FB + 2398.50ns INFO [00002400] Port=0 WR @06=DA5639D3CFD3DE0D2C + 2398.50ns INFO [00002400] Port=0 RD @03 + 2399.50ns INFO [00002401] * RD COMPARE * port=0 adr=00 act=CBA75E98F4134177BB exp=CBA75E98F4134177BB + 2399.50ns INFO [00002401] * RD COMPARE * port=1 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2400.50ns INFO [00002402] * RD COMPARE * port=0 adr=03 act=DEFBD975191DFA50AA exp=DEFBD975191DFA50AA + 2400.50ns INFO [00002402] Port=0 WR @01=2383866514C1A477C3 + 2400.50ns INFO [00002402] Port=0 RD @02 + 2402.50ns INFO [00002404] * RD COMPARE * port=0 adr=02 act=EE923BB0DBE67196A7 exp=EE923BB0DBE67196A7 + 2402.50ns INFO [00002404] Port=0 WR @02=932EEF14FECA9F4A8B + 2404.50ns INFO [00002406] Port=0 RD @07 + 2405.50ns INFO [00002407] Port=1 RD @05 + 2406.50ns INFO [00002408] * RD COMPARE * port=0 adr=07 act=3A21559AC203FC15FC exp=3A21559AC203FC15FC + 2406.50ns INFO [00002408] Port=1 RD @03 + 2407.50ns INFO [00002409] * RD COMPARE * port=1 adr=05 act=9B54A06150C69DE77E exp=9B54A06150C69DE77E + 2407.50ns INFO [00002409] Port=0 RD @02 + 2408.50ns INFO [00002410] * RD COMPARE * port=1 adr=03 act=DEFBD975191DFA50AA exp=DEFBD975191DFA50AA + 2409.50ns INFO [00002411] * RD COMPARE * port=0 adr=02 act=932EEF14FECA9F4A8B exp=932EEF14FECA9F4A8B + 2409.50ns INFO [00002411] Port=1 RD @01 + 2410.50ns INFO [00002412] Port=0 RD @01 + 2410.50ns INFO [00002412] Port=1 RD @04 + 2411.50ns INFO [00002413] * RD COMPARE * port=1 adr=01 act=2383866514C1A477C3 exp=2383866514C1A477C3 + 2411.50ns INFO [00002413] Port=0 WR @05=B08D92792315C362DC + 2411.50ns INFO [00002413] Port=1 RD @01 + 2412.50ns INFO [00002414] * RD COMPARE * port=0 adr=01 act=2383866514C1A477C3 exp=2383866514C1A477C3 + 2412.50ns INFO [00002414] * RD COMPARE * port=1 adr=04 act=EF86F065BB23F1A110 exp=EF86F065BB23F1A110 + 2412.50ns INFO [00002414] Port=0 RD @02 + 2412.50ns INFO [00002414] Port=1 RD @01 + 2413.50ns INFO [00002415] * RD COMPARE * port=1 adr=01 act=2383866514C1A477C3 exp=2383866514C1A477C3 + 2414.50ns INFO [00002416] * RD COMPARE * port=0 adr=02 act=932EEF14FECA9F4A8B exp=932EEF14FECA9F4A8B + 2414.50ns INFO [00002416] * RD COMPARE * port=1 adr=01 act=2383866514C1A477C3 exp=2383866514C1A477C3 + 2414.50ns INFO [00002416] Port=0 RD @07 + 2415.50ns INFO [00002417] Port=0 WR @03=862B30BF838B98F7A8 + 2415.50ns INFO [00002417] Port=0 RD @07 + 2415.50ns INFO [00002417] Port=1 RD @07 + 2416.50ns INFO [00002418] * RD COMPARE * port=0 adr=07 act=3A21559AC203FC15FC exp=3A21559AC203FC15FC + 2416.50ns INFO [00002418] Port=0 WR @00=B02F20DB323E19EB30 + 2416.50ns INFO [00002418] Port=0 RD @01 + 2417.50ns INFO [00002419] * RD COMPARE * port=0 adr=07 act=3A21559AC203FC15FC exp=3A21559AC203FC15FC + 2417.50ns INFO [00002419] * RD COMPARE * port=1 adr=07 act=3A21559AC203FC15FC exp=3A21559AC203FC15FC + 2418.50ns INFO [00002420] * RD COMPARE * port=0 adr=01 act=2383866514C1A477C3 exp=2383866514C1A477C3 + 2419.50ns INFO [00002421] Port=0 RD @02 + 2420.50ns INFO [00002422] Port=0 WR @04=E95B145692A8A31B62 + 2421.50ns INFO [00002423] * RD COMPARE * port=0 adr=02 act=932EEF14FECA9F4A8B exp=932EEF14FECA9F4A8B + 2421.50ns INFO [00002423] Port=1 RD @05 + 2422.50ns INFO [00002424] Port=0 RD @03 + 2423.50ns INFO [00002425] * RD COMPARE * port=1 adr=05 act=B08D92792315C362DC exp=B08D92792315C362DC + 2423.50ns INFO [00002425] Port=0 RD @07 + 2424.50ns INFO [00002426] * RD COMPARE * port=0 adr=03 act=862B30BF838B98F7A8 exp=862B30BF838B98F7A8 + 2424.50ns INFO [00002426] Port=1 RD @05 + 2425.50ns INFO [00002427] * RD COMPARE * port=0 adr=07 act=3A21559AC203FC15FC exp=3A21559AC203FC15FC + 2425.50ns INFO [00002427] Port=0 WR @07=65588D2FB63D00A960 + 2426.50ns INFO [00002428] * RD COMPARE * port=1 adr=05 act=B08D92792315C362DC exp=B08D92792315C362DC + 2426.50ns INFO [00002428] Port=0 WR @07=1D3B4787108CD5DD35 + 2426.50ns INFO [00002428] Port=0 RD @06 + 2427.50ns INFO [00002429] Port=0 WR @03=9289C9A036B4423140 + 2427.50ns INFO [00002429] Port=0 RD @07 + 2428.50ns INFO [00002430] * RD COMPARE * port=0 adr=06 act=DA5639D3CFD3DE0D2C exp=DA5639D3CFD3DE0D2C + 2428.50ns INFO [00002430] Port=0 WR @07=8D54C3AD65502EFAB5 + 2429.50ns INFO [00002431] * RD COMPARE * port=0 adr=07 act=1D3B4787108CD5DD35 exp=1D3B4787108CD5DD35 + 2429.50ns INFO [00002431] Port=0 WR @07=392E248DEB7F16A8D5 + 2430.50ns INFO [00002432] Port=0 WR @02=085B6D9F6F57EEEB1C + 2431.50ns INFO [00002433] Port=0 WR @00=1DADCF50C2184365DF + 2431.50ns INFO [00002433] Port=0 RD @07 + 2431.50ns INFO [00002433] Port=1 RD @02 + 2433.50ns INFO [00002435] * RD COMPARE * port=0 adr=07 act=392E248DEB7F16A8D5 exp=392E248DEB7F16A8D5 + 2433.50ns INFO [00002435] * RD COMPARE * port=1 adr=02 act=085B6D9F6F57EEEB1C exp=085B6D9F6F57EEEB1C + 2433.50ns INFO [00002435] Port=0 RD @06 + 2434.50ns INFO [00002436] Port=0 WR @00=EDC3B2D1EB4BD80477 + 2435.50ns INFO [00002437] * RD COMPARE * port=0 adr=06 act=DA5639D3CFD3DE0D2C exp=DA5639D3CFD3DE0D2C + 2435.50ns INFO [00002437] Port=0 WR @04=93A538C0C638F869B9 + 2436.50ns INFO [00002438] Port=0 RD @02 + 2436.50ns INFO [00002438] Port=1 RD @00 + 2437.50ns INFO [00002439] Port=0 RD @03 + 2438.50ns INFO [00002440] * RD COMPARE * port=0 adr=02 act=085B6D9F6F57EEEB1C exp=085B6D9F6F57EEEB1C + 2438.50ns INFO [00002440] * RD COMPARE * port=1 adr=00 act=EDC3B2D1EB4BD80477 exp=EDC3B2D1EB4BD80477 + 2438.50ns INFO [00002440] Port=1 RD @00 + 2439.50ns INFO [00002441] * RD COMPARE * port=0 adr=03 act=9289C9A036B4423140 exp=9289C9A036B4423140 + 2439.50ns INFO [00002441] Port=0 WR @01=7B54CC2A10AC8D12AB + 2440.50ns INFO [00002442] * RD COMPARE * port=1 adr=00 act=EDC3B2D1EB4BD80477 exp=EDC3B2D1EB4BD80477 + 2440.50ns INFO [00002442] Port=0 WR @06=F172D313663888369B + 2442.50ns INFO [00002444] Port=0 WR @06=0D06499E24D771A046 + 2442.50ns INFO [00002444] Port=0 RD @05 + 2442.50ns INFO [00002444] Port=1 RD @00 + 2444.50ns INFO [00002446] * RD COMPARE * port=0 adr=05 act=B08D92792315C362DC exp=B08D92792315C362DC + 2444.50ns INFO [00002446] * RD COMPARE * port=1 adr=00 act=EDC3B2D1EB4BD80477 exp=EDC3B2D1EB4BD80477 + 2444.50ns INFO [00002446] Port=1 RD @07 + 2445.50ns INFO [00002447] Port=0 RD @01 + 2446.50ns INFO [00002448] * RD COMPARE * port=1 adr=07 act=392E248DEB7F16A8D5 exp=392E248DEB7F16A8D5 + 2446.50ns INFO [00002448] Port=0 RD @02 + 2446.50ns INFO [00002448] Port=1 RD @06 + 2447.50ns INFO [00002449] * RD COMPARE * port=0 adr=01 act=7B54CC2A10AC8D12AB exp=7B54CC2A10AC8D12AB + 2447.50ns INFO [00002449] Port=0 WR @03=6595DF6C1F74407A11 + 2447.50ns INFO [00002449] Port=0 RD @07 + 2448.50ns INFO [00002450] * RD COMPARE * port=0 adr=02 act=085B6D9F6F57EEEB1C exp=085B6D9F6F57EEEB1C + 2448.50ns INFO [00002450] * RD COMPARE * port=1 adr=06 act=0D06499E24D771A046 exp=0D06499E24D771A046 + 2448.50ns INFO [00002450] Port=0 WR @01=EF78527EDE1C6024B3 + 2448.50ns INFO [00002450] Port=0 RD @04 + 2449.50ns INFO [00002451] * RD COMPARE * port=0 adr=07 act=392E248DEB7F16A8D5 exp=392E248DEB7F16A8D5 + 2449.50ns INFO [00002451] Port=0 RD @05 + 2450.50ns INFO [00002452] * RD COMPARE * port=0 adr=04 act=93A538C0C638F869B9 exp=93A538C0C638F869B9 + 2450.50ns INFO [00002452] Port=0 RD @02 + 2451.50ns INFO [00002453] * RD COMPARE * port=0 adr=05 act=B08D92792315C362DC exp=B08D92792315C362DC + 2451.50ns INFO [00002453] Port=1 RD @05 + 2452.50ns INFO [00002454] * RD COMPARE * port=0 adr=02 act=085B6D9F6F57EEEB1C exp=085B6D9F6F57EEEB1C + 2453.50ns INFO [00002455] * RD COMPARE * port=1 adr=05 act=B08D92792315C362DC exp=B08D92792315C362DC + 2453.50ns INFO [00002455] Port=0 RD @00 + 2454.50ns INFO [00002456] Port=0 WR @00=BD336E9919C78553FD + 2455.50ns INFO [00002457] * RD COMPARE * port=0 adr=00 act=EDC3B2D1EB4BD80477 exp=EDC3B2D1EB4BD80477 + 2455.50ns INFO [00002457] Port=0 WR @00=3BDA6DC65F5CED014F + 2455.50ns INFO [00002457] Port=0 RD @02 + 2456.50ns INFO [00002458] Port=0 WR @07=ABDB98D5F84656D53E + 2456.50ns INFO [00002458] Port=1 RD @02 + 2457.50ns INFO [00002459] * RD COMPARE * port=0 adr=02 act=085B6D9F6F57EEEB1C exp=085B6D9F6F57EEEB1C + 2458.50ns INFO [00002460] * RD COMPARE * port=1 adr=02 act=085B6D9F6F57EEEB1C exp=085B6D9F6F57EEEB1C + 2459.50ns INFO [00002461] Port=0 WR @05=8505F0223DB1E5ECFD + 2459.50ns INFO [00002461] Port=0 RD @01 + 2460.50ns INFO [00002462] Port=0 WR @02=6AF8DA38AA713356E4 + 2460.50ns INFO [00002462] Port=1 RD @00 + 2461.50ns INFO [00002463] * RD COMPARE * port=0 adr=01 act=EF78527EDE1C6024B3 exp=EF78527EDE1C6024B3 + 2461.50ns INFO [00002463] Port=0 WR @04=579033C5990124CAD8 + 2461.50ns INFO [00002463] Port=1 RD @05 + 2462.50ns INFO [00002464] * RD COMPARE * port=1 adr=00 act=3BDA6DC65F5CED014F exp=3BDA6DC65F5CED014F + 2462.50ns INFO [00002464] Port=0 WR @02=E69F72831FA0A0F897 + 2462.50ns INFO [00002464] Port=0 RD @05 + 2462.50ns INFO [00002464] Port=1 RD @06 + 2463.50ns INFO [00002465] * RD COMPARE * port=1 adr=05 act=8505F0223DB1E5ECFD exp=8505F0223DB1E5ECFD + 2463.50ns INFO [00002465] Port=0 RD @05 + 2463.50ns INFO [00002465] Port=1 RD @07 + 2464.50ns INFO [00002466] * RD COMPARE * port=0 adr=05 act=8505F0223DB1E5ECFD exp=8505F0223DB1E5ECFD + 2464.50ns INFO [00002466] * RD COMPARE * port=1 adr=06 act=0D06499E24D771A046 exp=0D06499E24D771A046 + 2464.50ns INFO [00002466] Port=0 RD @05 + 2464.50ns INFO [00002466] Port=1 RD @04 + 2465.50ns INFO [00002467] * RD COMPARE * port=0 adr=05 act=8505F0223DB1E5ECFD exp=8505F0223DB1E5ECFD + 2465.50ns INFO [00002467] * RD COMPARE * port=1 adr=07 act=ABDB98D5F84656D53E exp=ABDB98D5F84656D53E + 2465.50ns INFO [00002467] Port=1 RD @01 + 2466.50ns INFO [00002468] * RD COMPARE * port=0 adr=05 act=8505F0223DB1E5ECFD exp=8505F0223DB1E5ECFD + 2466.50ns INFO [00002468] * RD COMPARE * port=1 adr=04 act=579033C5990124CAD8 exp=579033C5990124CAD8 + 2466.50ns INFO [00002468] Port=0 WR @02=53CDFAEB73E0BED318 + 2467.50ns INFO [00002469] * RD COMPARE * port=1 adr=01 act=EF78527EDE1C6024B3 exp=EF78527EDE1C6024B3 + 2467.50ns INFO [00002469] Port=0 WR @04=19437B3FFEBE83CEFE + 2467.50ns INFO [00002469] Port=0 RD @06 + 2468.50ns INFO [00002470] Port=0 RD @02 + 2468.50ns INFO [00002470] Port=1 RD @05 + 2469.50ns INFO [00002471] * RD COMPARE * port=0 adr=06 act=0D06499E24D771A046 exp=0D06499E24D771A046 + 2469.50ns INFO [00002471] Port=0 WR @06=3D5D355846B12ED011 + 2469.50ns INFO [00002471] Port=1 RD @04 + 2470.50ns INFO [00002472] * RD COMPARE * port=0 adr=02 act=53CDFAEB73E0BED318 exp=53CDFAEB73E0BED318 + 2470.50ns INFO [00002472] * RD COMPARE * port=1 adr=05 act=8505F0223DB1E5ECFD exp=8505F0223DB1E5ECFD + 2470.50ns INFO [00002472] Port=1 RD @03 + 2471.50ns INFO [00002473] * RD COMPARE * port=1 adr=04 act=19437B3FFEBE83CEFE exp=19437B3FFEBE83CEFE + 2471.50ns INFO [00002473] Port=0 WR @07=190DFDB8D8F9472A08 + 2471.50ns INFO [00002473] Port=0 RD @05 + 2472.50ns INFO [00002474] * RD COMPARE * port=1 adr=03 act=6595DF6C1F74407A11 exp=6595DF6C1F74407A11 + 2472.50ns INFO [00002474] Port=0 RD @03 + 2473.50ns INFO [00002475] * RD COMPARE * port=0 adr=05 act=8505F0223DB1E5ECFD exp=8505F0223DB1E5ECFD + 2473.50ns INFO [00002475] Port=0 WR @01=70E6DBFA1B704B2B5D + 2473.50ns INFO [00002475] Port=0 RD @07 + 2473.50ns INFO [00002475] Port=1 RD @05 + 2474.50ns INFO [00002476] * RD COMPARE * port=0 adr=03 act=6595DF6C1F74407A11 exp=6595DF6C1F74407A11 + 2475.50ns INFO [00002477] * RD COMPARE * port=0 adr=07 act=190DFDB8D8F9472A08 exp=190DFDB8D8F9472A08 + 2475.50ns INFO [00002477] * RD COMPARE * port=1 adr=05 act=8505F0223DB1E5ECFD exp=8505F0223DB1E5ECFD + 2475.50ns INFO [00002477] Port=0 WR @01=329A932889E23490BC + 2476.50ns INFO [00002478] Port=0 WR @07=1A2FB0445134743B40 + 2477.50ns INFO [00002479] Port=0 RD @02 + 2477.50ns INFO [00002479] Port=1 RD @03 + 2478.50ns INFO [00002480] Port=1 RD @00 + 2479.50ns INFO [00002481] * RD COMPARE * port=0 adr=02 act=53CDFAEB73E0BED318 exp=53CDFAEB73E0BED318 + 2479.50ns INFO [00002481] * RD COMPARE * port=1 adr=03 act=6595DF6C1F74407A11 exp=6595DF6C1F74407A11 + 2479.50ns INFO [00002481] Port=0 RD @03 + 2480.50ns INFO [00002482] * RD COMPARE * port=1 adr=00 act=3BDA6DC65F5CED014F exp=3BDA6DC65F5CED014F + 2481.50ns INFO [00002483] * RD COMPARE * port=0 adr=03 act=6595DF6C1F74407A11 exp=6595DF6C1F74407A11 + 2481.50ns INFO [00002483] Port=1 RD @02 + 2482.50ns INFO [00002484] Port=1 RD @00 + 2483.50ns INFO [00002485] * RD COMPARE * port=1 adr=02 act=53CDFAEB73E0BED318 exp=53CDFAEB73E0BED318 + 2484.50ns INFO [00002486] * RD COMPARE * port=1 adr=00 act=3BDA6DC65F5CED014F exp=3BDA6DC65F5CED014F + 2484.50ns INFO [00002486] Port=0 RD @07 + 2485.50ns INFO [00002487] Port=0 WR @06=2F8ABAE4FE7FBDC5CB + 2486.50ns INFO [00002488] * RD COMPARE * port=0 adr=07 act=1A2FB0445134743B40 exp=1A2FB0445134743B40 + 2486.50ns INFO [00002488] Port=0 RD @04 + 2486.50ns INFO [00002488] Port=1 RD @04 + 2487.50ns INFO [00002489] Port=0 WR @02=3FA0C54AAEFD717511 + 2487.50ns INFO [00002489] Port=1 RD @04 + 2488.50ns INFO [00002490] * RD COMPARE * port=0 adr=04 act=19437B3FFEBE83CEFE exp=19437B3FFEBE83CEFE + 2488.50ns INFO [00002490] * RD COMPARE * port=1 adr=04 act=19437B3FFEBE83CEFE exp=19437B3FFEBE83CEFE + 2488.50ns INFO [00002490] Port=0 WR @04=3CA8625D0A0C87E490 + 2488.50ns INFO [00002490] Port=1 RD @01 + 2489.50ns INFO [00002491] * RD COMPARE * port=1 adr=04 act=19437B3FFEBE83CEFE exp=19437B3FFEBE83CEFE + 2489.50ns INFO [00002491] Port=0 WR @07=76760DC2ACA8BAACAD + 2489.50ns INFO [00002491] Port=1 RD @01 + 2490.50ns INFO [00002492] * RD COMPARE * port=1 adr=01 act=329A932889E23490BC exp=329A932889E23490BC + 2490.50ns INFO [00002492] Port=0 WR @01=A442A800683E1752AB + 2491.50ns INFO [00002493] * RD COMPARE * port=1 adr=01 act=329A932889E23490BC exp=329A932889E23490BC + 2492.50ns INFO [00002494] Port=1 RD @04 + 2493.50ns INFO [00002495] Port=0 WR @01=9C513339782419D3C8 + 2494.50ns INFO [00002496] * RD COMPARE * port=1 adr=04 act=3CA8625D0A0C87E490 exp=3CA8625D0A0C87E490 + 2495.50ns INFO [00002497] Port=0 RD @07 + 2496.50ns INFO [00002498] Port=0 WR @04=38BF789AC16C1267EA + 2496.50ns INFO [00002498] Port=0 RD @01 + 2497.50ns INFO [00002499] * RD COMPARE * port=0 adr=07 act=76760DC2ACA8BAACAD exp=76760DC2ACA8BAACAD + 2497.50ns INFO [00002499] Port=0 WR @04=EA4407828A58553C44 + 2498.00ns INFO [00002500] [00002500] ...tick... + 2498.50ns INFO [00002500] * RD COMPARE * port=0 adr=01 act=9C513339782419D3C8 exp=9C513339782419D3C8 + 2498.50ns INFO [00002500] Port=1 RD @07 + 2499.50ns INFO [00002501] Port=0 RD @06 + 2500.50ns INFO [00002502] * RD COMPARE * port=1 adr=07 act=76760DC2ACA8BAACAD exp=76760DC2ACA8BAACAD + 2500.50ns INFO [00002502] Port=0 WR @04=B17EBFC25667A59C6A + 2501.50ns INFO [00002503] * RD COMPARE * port=0 adr=06 act=2F8ABAE4FE7FBDC5CB exp=2F8ABAE4FE7FBDC5CB + 2502.50ns INFO [00002504] Port=0 RD @03 + 2504.50ns INFO [00002506] * RD COMPARE * port=0 adr=03 act=6595DF6C1F74407A11 exp=6595DF6C1F74407A11 + 2504.50ns INFO [00002506] Port=1 RD @03 + 2505.50ns INFO [00002507] Port=0 WR @03=C840E7ED04407CAA35 + 2505.50ns INFO [00002507] Port=0 RD @05 + 2506.50ns INFO [00002508] * RD COMPARE * port=1 adr=03 act=6595DF6C1F74407A11 exp=6595DF6C1F74407A11 + 2506.50ns INFO [00002508] Port=0 WR @04=B2B741E83DD4785A75 + 2506.50ns INFO [00002508] Port=1 RD @03 + 2507.50ns INFO [00002509] * RD COMPARE * port=0 adr=05 act=8505F0223DB1E5ECFD exp=8505F0223DB1E5ECFD + 2507.50ns INFO [00002509] Port=0 WR @07=0B9865F359A803B9EE + 2507.50ns INFO [00002509] Port=0 RD @00 + 2508.50ns INFO [00002510] * RD COMPARE * port=1 adr=03 act=C840E7ED04407CAA35 exp=C840E7ED04407CAA35 + 2508.50ns INFO [00002510] Port=0 WR @06=3DA4EB898676DD50E5 + 2509.50ns INFO [00002511] * RD COMPARE * port=0 adr=00 act=3BDA6DC65F5CED014F exp=3BDA6DC65F5CED014F + 2509.50ns INFO [00002511] Port=1 RD @07 + 2510.50ns INFO [00002512] Port=0 WR @06=A8D38FF074F5F9FED9 + 2510.50ns INFO [00002512] Port=0 RD @07 + 2510.50ns INFO [00002512] Port=1 RD @03 + 2511.50ns INFO [00002513] * RD COMPARE * port=1 adr=07 act=0B9865F359A803B9EE exp=0B9865F359A803B9EE + 2511.50ns INFO [00002513] Port=0 RD @04 + 2512.50ns INFO [00002514] * RD COMPARE * port=0 adr=07 act=0B9865F359A803B9EE exp=0B9865F359A803B9EE + 2512.50ns INFO [00002514] * RD COMPARE * port=1 adr=03 act=C840E7ED04407CAA35 exp=C840E7ED04407CAA35 + 2512.50ns INFO [00002514] Port=0 WR @03=433DEB408700777F7D + 2512.50ns INFO [00002514] Port=0 RD @02 + 2513.50ns INFO [00002515] * RD COMPARE * port=0 adr=04 act=B2B741E83DD4785A75 exp=B2B741E83DD4785A75 + 2513.50ns INFO [00002515] Port=0 WR @04=D007350F186F071B50 + 2513.50ns INFO [00002515] Port=0 RD @00 + 2513.50ns INFO [00002515] Port=1 RD @03 + 2514.50ns INFO [00002516] * RD COMPARE * port=0 adr=02 act=3FA0C54AAEFD717511 exp=3FA0C54AAEFD717511 + 2514.50ns INFO [00002516] Port=0 RD @00 + 2514.50ns INFO [00002516] Port=1 RD @06 + 2515.50ns INFO [00002517] * RD COMPARE * port=0 adr=00 act=3BDA6DC65F5CED014F exp=3BDA6DC65F5CED014F + 2515.50ns INFO [00002517] * RD COMPARE * port=1 adr=03 act=433DEB408700777F7D exp=433DEB408700777F7D + 2515.50ns INFO [00002517] Port=1 RD @03 + 2516.50ns INFO [00002518] * RD COMPARE * port=0 adr=00 act=3BDA6DC65F5CED014F exp=3BDA6DC65F5CED014F + 2516.50ns INFO [00002518] * RD COMPARE * port=1 adr=06 act=A8D38FF074F5F9FED9 exp=A8D38FF074F5F9FED9 + 2516.50ns INFO [00002518] Port=0 RD @06 + 2517.50ns INFO [00002519] * RD COMPARE * port=1 adr=03 act=433DEB408700777F7D exp=433DEB408700777F7D + 2517.50ns INFO [00002519] Port=0 WR @07=55FF55ED0EE76D3E4F + 2517.50ns INFO [00002519] Port=1 RD @02 + 2518.50ns INFO [00002520] * RD COMPARE * port=0 adr=06 act=A8D38FF074F5F9FED9 exp=A8D38FF074F5F9FED9 + 2519.50ns INFO [00002521] * RD COMPARE * port=1 adr=02 act=3FA0C54AAEFD717511 exp=3FA0C54AAEFD717511 + 2519.50ns INFO [00002521] Port=0 RD @03 + 2520.50ns INFO [00002522] Port=0 WR @01=9A500ECE3D11BB6167 + 2520.50ns INFO [00002522] Port=0 RD @04 + 2521.50ns INFO [00002523] * RD COMPARE * port=0 adr=03 act=433DEB408700777F7D exp=433DEB408700777F7D + 2521.50ns INFO [00002523] Port=0 RD @04 + 2522.50ns INFO [00002524] * RD COMPARE * port=0 adr=04 act=D007350F186F071B50 exp=D007350F186F071B50 + 2522.50ns INFO [00002524] Port=0 WR @00=15BF9CA70338DD83C2 + 2522.50ns INFO [00002524] Port=0 RD @07 + 2522.50ns INFO [00002524] Port=1 RD @01 + 2523.50ns INFO [00002525] * RD COMPARE * port=0 adr=04 act=D007350F186F071B50 exp=D007350F186F071B50 + 2523.50ns INFO [00002525] Port=0 WR @04=B7767B8EF416474169 + 2523.50ns INFO [00002525] Port=0 RD @06 + 2524.50ns INFO [00002526] * RD COMPARE * port=0 adr=07 act=55FF55ED0EE76D3E4F exp=55FF55ED0EE76D3E4F + 2524.50ns INFO [00002526] * RD COMPARE * port=1 adr=01 act=9A500ECE3D11BB6167 exp=9A500ECE3D11BB6167 + 2524.50ns INFO [00002526] Port=0 RD @07 + 2525.50ns INFO [00002527] * RD COMPARE * port=0 adr=06 act=A8D38FF074F5F9FED9 exp=A8D38FF074F5F9FED9 + 2525.50ns INFO [00002527] Port=1 RD @00 + 2526.50ns INFO [00002528] * RD COMPARE * port=0 adr=07 act=55FF55ED0EE76D3E4F exp=55FF55ED0EE76D3E4F + 2526.50ns INFO [00002528] Port=0 WR @05=AEA7C7872B4D2296C8 + 2526.50ns INFO [00002528] Port=0 RD @01 + 2527.50ns INFO [00002529] * RD COMPARE * port=1 adr=00 act=15BF9CA70338DD83C2 exp=15BF9CA70338DD83C2 + 2527.50ns INFO [00002529] Port=0 RD @00 + 2527.50ns INFO [00002529] Port=1 RD @03 + 2528.50ns INFO [00002530] * RD COMPARE * port=0 adr=01 act=9A500ECE3D11BB6167 exp=9A500ECE3D11BB6167 + 2528.50ns INFO [00002530] Port=0 WR @07=781944E30AD42C5ABF + 2528.50ns INFO [00002530] Port=0 RD @05 + 2529.50ns INFO [00002531] * RD COMPARE * port=0 adr=00 act=15BF9CA70338DD83C2 exp=15BF9CA70338DD83C2 + 2529.50ns INFO [00002531] * RD COMPARE * port=1 adr=03 act=433DEB408700777F7D exp=433DEB408700777F7D + 2530.50ns INFO [00002532] * RD COMPARE * port=0 adr=05 act=AEA7C7872B4D2296C8 exp=AEA7C7872B4D2296C8 + 2530.50ns INFO [00002532] Port=0 RD @07 + 2530.50ns INFO [00002532] Port=1 RD @02 + 2532.50ns INFO [00002534] * RD COMPARE * port=0 adr=07 act=781944E30AD42C5ABF exp=781944E30AD42C5ABF + 2532.50ns INFO [00002534] * RD COMPARE * port=1 adr=02 act=3FA0C54AAEFD717511 exp=3FA0C54AAEFD717511 + 2533.50ns INFO [00002535] Port=0 WR @06=991C87AC8933EFC711 + 2533.50ns INFO [00002535] Port=0 RD @01 + 2533.50ns INFO [00002535] Port=1 RD @02 + 2534.50ns INFO [00002536] Port=1 RD @06 + 2535.50ns INFO [00002537] * RD COMPARE * port=0 adr=01 act=9A500ECE3D11BB6167 exp=9A500ECE3D11BB6167 + 2535.50ns INFO [00002537] * RD COMPARE * port=1 adr=02 act=3FA0C54AAEFD717511 exp=3FA0C54AAEFD717511 + 2535.50ns INFO [00002537] Port=0 WR @03=4DD0FE1B54FEC1E1EE + 2536.50ns INFO [00002538] * RD COMPARE * port=1 adr=06 act=991C87AC8933EFC711 exp=991C87AC8933EFC711 + 2536.50ns INFO [00002538] Port=0 WR @04=B483C5BDF4167337BC + 2536.50ns INFO [00002538] Port=0 RD @00 + 2537.50ns INFO [00002539] Port=0 RD @05 + 2538.50ns INFO [00002540] * RD COMPARE * port=0 adr=00 act=15BF9CA70338DD83C2 exp=15BF9CA70338DD83C2 + 2538.50ns INFO [00002540] Port=0 RD @07 + 2539.50ns INFO [00002541] * RD COMPARE * port=0 adr=05 act=AEA7C7872B4D2296C8 exp=AEA7C7872B4D2296C8 + 2539.50ns INFO [00002541] Port=0 WR @06=F3C85F37D447738300 + 2540.50ns INFO [00002542] * RD COMPARE * port=0 adr=07 act=781944E30AD42C5ABF exp=781944E30AD42C5ABF + 2540.50ns INFO [00002542] Port=0 WR @03=0E97C572EDD866B195 + 2540.50ns INFO [00002542] Port=0 RD @00 + 2541.50ns INFO [00002543] Port=0 WR @07=D11FD670024D73FFFA + 2541.50ns INFO [00002543] Port=1 RD @02 + 2542.50ns INFO [00002544] * RD COMPARE * port=0 adr=00 act=15BF9CA70338DD83C2 exp=15BF9CA70338DD83C2 + 2543.50ns INFO [00002545] * RD COMPARE * port=1 adr=02 act=3FA0C54AAEFD717511 exp=3FA0C54AAEFD717511 + 2543.50ns INFO [00002545] Port=0 RD @04 + 2543.50ns INFO [00002545] Port=1 RD @03 + 2544.50ns INFO [00002546] Port=1 RD @06 + 2545.50ns INFO [00002547] * RD COMPARE * port=0 adr=04 act=B483C5BDF4167337BC exp=B483C5BDF4167337BC + 2545.50ns INFO [00002547] * RD COMPARE * port=1 adr=03 act=0E97C572EDD866B195 exp=0E97C572EDD866B195 + 2546.50ns INFO [00002548] * RD COMPARE * port=1 adr=06 act=F3C85F37D447738300 exp=F3C85F37D447738300 + 2547.50ns INFO [00002549] Port=0 RD @03 + 2549.50ns INFO [00002551] * RD COMPARE * port=0 adr=03 act=0E97C572EDD866B195 exp=0E97C572EDD866B195 + 2549.50ns INFO [00002551] Port=0 WR @07=0AE6CAD16ED1741C90 + 2549.50ns INFO [00002551] Port=1 RD @06 + 2550.50ns INFO [00002552] Port=0 WR @04=2275C2DA15F89265CC + 2551.50ns INFO [00002553] * RD COMPARE * port=1 adr=06 act=F3C85F37D447738300 exp=F3C85F37D447738300 + 2551.50ns INFO [00002553] Port=1 RD @03 + 2553.50ns INFO [00002555] * RD COMPARE * port=1 adr=03 act=0E97C572EDD866B195 exp=0E97C572EDD866B195 + 2553.50ns INFO [00002555] Port=1 RD @05 + 2554.50ns INFO [00002556] Port=0 RD @07 + 2554.50ns INFO [00002556] Port=1 RD @03 + 2555.50ns INFO [00002557] * RD COMPARE * port=1 adr=05 act=AEA7C7872B4D2296C8 exp=AEA7C7872B4D2296C8 + 2556.50ns INFO [00002558] * RD COMPARE * port=0 adr=07 act=0AE6CAD16ED1741C90 exp=0AE6CAD16ED1741C90 + 2556.50ns INFO [00002558] * RD COMPARE * port=1 adr=03 act=0E97C572EDD866B195 exp=0E97C572EDD866B195 + 2556.50ns INFO [00002558] Port=0 WR @03=113E64184CD2A2FBA9 + 2556.50ns INFO [00002558] Port=0 RD @04 + 2557.50ns INFO [00002559] Port=0 WR @05=9B1EB089E83C76C926 + 2557.50ns INFO [00002559] Port=1 RD @01 + 2558.50ns INFO [00002560] * RD COMPARE * port=0 adr=04 act=2275C2DA15F89265CC exp=2275C2DA15F89265CC + 2558.50ns INFO [00002560] Port=0 RD @02 + 2558.50ns INFO [00002560] Port=1 RD @03 + 2559.50ns INFO [00002561] * RD COMPARE * port=1 adr=01 act=9A500ECE3D11BB6167 exp=9A500ECE3D11BB6167 + 2559.50ns INFO [00002561] Port=0 WR @07=DEF817FE17CE203AF9 + 2560.50ns INFO [00002562] * RD COMPARE * port=0 adr=02 act=3FA0C54AAEFD717511 exp=3FA0C54AAEFD717511 + 2560.50ns INFO [00002562] * RD COMPARE * port=1 adr=03 act=113E64184CD2A2FBA9 exp=113E64184CD2A2FBA9 + 2560.50ns INFO [00002562] Port=0 WR @06=25CFB33CAA97454319 + 2562.50ns INFO [00002564] Port=0 WR @04=46E2A1A21BE06C2AC4 + 2563.50ns INFO [00002565] Port=0 RD @07 + 2564.50ns INFO [00002566] Port=0 WR @02=6BAB93BD7D76851758 + 2564.50ns INFO [00002566] Port=1 RD @00 + 2565.50ns INFO [00002567] * RD COMPARE * port=0 adr=07 act=DEF817FE17CE203AF9 exp=DEF817FE17CE203AF9 + 2565.50ns INFO [00002567] Port=1 RD @03 + 2566.50ns INFO [00002568] * RD COMPARE * port=1 adr=00 act=15BF9CA70338DD83C2 exp=15BF9CA70338DD83C2 + 2566.50ns INFO [00002568] Port=0 WR @02=B3EB180244FA767760 + 2566.50ns INFO [00002568] Port=1 RD @04 + 2567.50ns INFO [00002569] * RD COMPARE * port=1 adr=03 act=113E64184CD2A2FBA9 exp=113E64184CD2A2FBA9 + 2568.50ns INFO [00002570] * RD COMPARE * port=1 adr=04 act=46E2A1A21BE06C2AC4 exp=46E2A1A21BE06C2AC4 + 2568.50ns INFO [00002570] Port=0 WR @02=B46AC46F470411DF27 + 2570.50ns INFO [00002572] Port=1 RD @00 + 2571.50ns INFO [00002573] Port=0 WR @01=B0764E151678F63B07 + 2571.50ns INFO [00002573] Port=1 RD @00 + 2572.50ns INFO [00002574] * RD COMPARE * port=1 adr=00 act=15BF9CA70338DD83C2 exp=15BF9CA70338DD83C2 + 2572.50ns INFO [00002574] Port=0 WR @06=36B1924078534BE364 + 2573.50ns INFO [00002575] * RD COMPARE * port=1 adr=00 act=15BF9CA70338DD83C2 exp=15BF9CA70338DD83C2 + 2575.50ns INFO [00002577] Port=1 RD @01 + 2576.50ns INFO [00002578] Port=1 RD @03 + 2577.50ns INFO [00002579] * RD COMPARE * port=1 adr=01 act=B0764E151678F63B07 exp=B0764E151678F63B07 + 2577.50ns INFO [00002579] Port=0 RD @06 + 2577.50ns INFO [00002579] Port=1 RD @06 + 2578.50ns INFO [00002580] * RD COMPARE * port=1 adr=03 act=113E64184CD2A2FBA9 exp=113E64184CD2A2FBA9 + 2579.50ns INFO [00002581] * RD COMPARE * port=0 adr=06 act=36B1924078534BE364 exp=36B1924078534BE364 + 2579.50ns INFO [00002581] * RD COMPARE * port=1 adr=06 act=36B1924078534BE364 exp=36B1924078534BE364 + 2579.50ns INFO [00002581] Port=0 RD @04 + 2581.50ns INFO [00002583] * RD COMPARE * port=0 adr=04 act=46E2A1A21BE06C2AC4 exp=46E2A1A21BE06C2AC4 + 2581.50ns INFO [00002583] Port=1 RD @02 + 2583.50ns INFO [00002585] * RD COMPARE * port=1 adr=02 act=B46AC46F470411DF27 exp=B46AC46F470411DF27 + 2583.50ns INFO [00002585] Port=0 WR @03=5C48CCA1044F026643 + 2583.50ns INFO [00002585] Port=0 RD @05 + 2584.50ns INFO [00002586] Port=0 RD @06 + 2585.50ns INFO [00002587] * RD COMPARE * port=0 adr=05 act=9B1EB089E83C76C926 exp=9B1EB089E83C76C926 + 2585.50ns INFO [00002587] Port=0 WR @01=4F48DCC84CC6DCE52C + 2585.50ns INFO [00002587] Port=0 RD @00 + 2585.50ns INFO [00002587] Port=1 RD @04 + 2586.50ns INFO [00002588] * RD COMPARE * port=0 adr=06 act=36B1924078534BE364 exp=36B1924078534BE364 + 2586.50ns INFO [00002588] Port=0 WR @04=D20432BAD3B99A95CE + 2586.50ns INFO [00002588] Port=1 RD @03 + 2587.50ns INFO [00002589] * RD COMPARE * port=0 adr=00 act=15BF9CA70338DD83C2 exp=15BF9CA70338DD83C2 + 2587.50ns INFO [00002589] * RD COMPARE * port=1 adr=04 act=46E2A1A21BE06C2AC4 exp=46E2A1A21BE06C2AC4 + 2587.50ns INFO [00002589] Port=0 RD @01 + 2588.50ns INFO [00002590] * RD COMPARE * port=1 adr=03 act=5C48CCA1044F026643 exp=5C48CCA1044F026643 + 2588.50ns INFO [00002590] Port=0 WR @07=67C5908DE2A4638065 + 2589.50ns INFO [00002591] * RD COMPARE * port=0 adr=01 act=4F48DCC84CC6DCE52C exp=4F48DCC84CC6DCE52C + 2589.50ns INFO [00002591] Port=0 WR @00=27A063FA1253F4A945 + 2590.50ns INFO [00002592] Port=1 RD @06 + 2591.50ns INFO [00002593] Port=0 WR @01=FBF9A2465569B73B71 + 2591.50ns INFO [00002593] Port=0 RD @05 + 2592.50ns INFO [00002594] * RD COMPARE * port=1 adr=06 act=36B1924078534BE364 exp=36B1924078534BE364 + 2593.50ns INFO [00002595] * RD COMPARE * port=0 adr=05 act=9B1EB089E83C76C926 exp=9B1EB089E83C76C926 + 2593.50ns INFO [00002595] Port=0 WR @06=AA93C4CB1BEEB1F428 + 2593.50ns INFO [00002595] Port=1 RD @04 + 2595.50ns INFO [00002597] * RD COMPARE * port=1 adr=04 act=D20432BAD3B99A95CE exp=D20432BAD3B99A95CE + 2595.50ns INFO [00002597] Port=0 WR @03=80E4C7ABFE9058E0A2 + 2595.50ns INFO [00002597] Port=1 RD @06 + 2596.50ns INFO [00002598] Port=0 RD @01 + 2597.50ns INFO [00002599] * RD COMPARE * port=1 adr=06 act=AA93C4CB1BEEB1F428 exp=AA93C4CB1BEEB1F428 + 2597.50ns INFO [00002599] Port=0 WR @03=2ABB289C30CF413E62 + 2598.00ns INFO [00002600] [00002600] ...tick... + 2598.50ns INFO [00002600] * RD COMPARE * port=0 adr=01 act=FBF9A2465569B73B71 exp=FBF9A2465569B73B71 + 2598.50ns INFO [00002600] Port=0 WR @04=52CEEE7144EF0171A6 + 2598.50ns INFO [00002600] Port=1 RD @06 + 2599.50ns INFO [00002601] Port=0 RD @06 + 2600.50ns INFO [00002602] * RD COMPARE * port=1 adr=06 act=AA93C4CB1BEEB1F428 exp=AA93C4CB1BEEB1F428 + 2600.50ns INFO [00002602] Port=0 WR @06=00F71A54B13C40DC35 + 2601.50ns INFO [00002603] * RD COMPARE * port=0 adr=06 act=AA93C4CB1BEEB1F428 exp=AA93C4CB1BEEB1F428 + 2603.50ns INFO [00002605] Port=0 RD @01 + 2604.50ns INFO [00002606] Port=0 WR @03=54FE8F3A8DFBE74DF3 + 2604.50ns INFO [00002606] Port=0 RD @07 + 2605.50ns INFO [00002607] * RD COMPARE * port=0 adr=01 act=FBF9A2465569B73B71 exp=FBF9A2465569B73B71 + 2605.50ns INFO [00002607] Port=0 WR @05=556BC93CAB3249E4F8 + 2605.50ns INFO [00002607] Port=0 RD @03 + 2606.50ns INFO [00002608] * RD COMPARE * port=0 adr=07 act=67C5908DE2A4638065 exp=67C5908DE2A4638065 + 2606.50ns INFO [00002608] Port=0 WR @04=B8EE102D7D8131594C + 2607.50ns INFO [00002609] * RD COMPARE * port=0 adr=03 act=54FE8F3A8DFBE74DF3 exp=54FE8F3A8DFBE74DF3 + 2607.50ns INFO [00002609] Port=0 WR @04=D053050786051D871F + 2607.50ns INFO [00002609] Port=1 RD @01 + 2608.50ns INFO [00002610] Port=0 WR @06=100F79ABE58EDFF167 + 2608.50ns INFO [00002610] Port=0 RD @03 + 2608.50ns INFO [00002610] Port=1 RD @01 + 2609.50ns INFO [00002611] * RD COMPARE * port=1 adr=01 act=FBF9A2465569B73B71 exp=FBF9A2465569B73B71 + 2609.50ns INFO [00002611] Port=0 WR @02=7022A53856389BC89A + 2609.50ns INFO [00002611] Port=0 RD @03 + 2610.50ns INFO [00002612] * RD COMPARE * port=0 adr=03 act=54FE8F3A8DFBE74DF3 exp=54FE8F3A8DFBE74DF3 + 2610.50ns INFO [00002612] * RD COMPARE * port=1 adr=01 act=FBF9A2465569B73B71 exp=FBF9A2465569B73B71 + 2610.50ns INFO [00002612] Port=0 WR @05=17F34F6499A6C91CC4 + 2610.50ns INFO [00002612] Port=1 RD @02 + 2611.50ns INFO [00002613] * RD COMPARE * port=0 adr=03 act=54FE8F3A8DFBE74DF3 exp=54FE8F3A8DFBE74DF3 + 2612.50ns INFO [00002614] * RD COMPARE * port=1 adr=02 act=7022A53856389BC89A exp=7022A53856389BC89A + 2612.50ns INFO [00002614] Port=0 RD @00 + 2614.50ns INFO [00002616] * RD COMPARE * port=0 adr=00 act=27A063FA1253F4A945 exp=27A063FA1253F4A945 + 2615.50ns INFO [00002617] Port=1 RD @06 + 2616.50ns INFO [00002618] Port=0 RD @03 + 2616.50ns INFO [00002618] Port=1 RD @00 + 2617.50ns INFO [00002619] * RD COMPARE * port=1 adr=06 act=100F79ABE58EDFF167 exp=100F79ABE58EDFF167 + 2617.50ns INFO [00002619] Port=0 RD @01 + 2617.50ns INFO [00002619] Port=1 RD @07 + 2618.50ns INFO [00002620] * RD COMPARE * port=0 adr=03 act=54FE8F3A8DFBE74DF3 exp=54FE8F3A8DFBE74DF3 + 2618.50ns INFO [00002620] * RD COMPARE * port=1 adr=00 act=27A063FA1253F4A945 exp=27A063FA1253F4A945 + 2618.50ns INFO [00002620] Port=0 WR @03=D46CC99089216ECC9C + 2618.50ns INFO [00002620] Port=0 RD @00 + 2619.50ns INFO [00002621] * RD COMPARE * port=0 adr=01 act=FBF9A2465569B73B71 exp=FBF9A2465569B73B71 + 2619.50ns INFO [00002621] * RD COMPARE * port=1 adr=07 act=67C5908DE2A4638065 exp=67C5908DE2A4638065 + 2619.50ns INFO [00002621] Port=0 RD @02 + 2620.50ns INFO [00002622] * RD COMPARE * port=0 adr=00 act=27A063FA1253F4A945 exp=27A063FA1253F4A945 + 2620.50ns INFO [00002622] Port=0 WR @07=C2D4566814A8501AA7 + 2620.50ns INFO [00002622] Port=1 RD @00 + 2621.50ns INFO [00002623] * RD COMPARE * port=0 adr=02 act=7022A53856389BC89A exp=7022A53856389BC89A + 2621.50ns INFO [00002623] Port=0 WR @00=6C223E176A2D2BB43C + 2622.50ns INFO [00002624] * RD COMPARE * port=1 adr=00 act=27A063FA1253F4A945 exp=27A063FA1253F4A945 + 2622.50ns INFO [00002624] Port=0 RD @02 + 2623.50ns INFO [00002625] Port=1 RD @03 + 2624.50ns INFO [00002626] * RD COMPARE * port=0 adr=02 act=7022A53856389BC89A exp=7022A53856389BC89A + 2624.50ns INFO [00002626] Port=0 RD @07 + 2625.50ns INFO [00002627] * RD COMPARE * port=1 adr=03 act=D46CC99089216ECC9C exp=D46CC99089216ECC9C + 2625.50ns INFO [00002627] Port=0 WR @00=DEF501F551D5A69F0B + 2625.50ns INFO [00002627] Port=0 RD @03 + 2626.50ns INFO [00002628] * RD COMPARE * port=0 adr=07 act=C2D4566814A8501AA7 exp=C2D4566814A8501AA7 + 2626.50ns INFO [00002628] Port=0 WR @05=FE1C5175938269B32E + 2626.50ns INFO [00002628] Port=0 RD @00 + 2626.50ns INFO [00002628] Port=1 RD @07 + 2627.50ns INFO [00002629] * RD COMPARE * port=0 adr=03 act=D46CC99089216ECC9C exp=D46CC99089216ECC9C + 2628.50ns INFO [00002630] * RD COMPARE * port=0 adr=00 act=DEF501F551D5A69F0B exp=DEF501F551D5A69F0B + 2628.50ns INFO [00002630] * RD COMPARE * port=1 adr=07 act=C2D4566814A8501AA7 exp=C2D4566814A8501AA7 + 2628.50ns INFO [00002630] Port=0 RD @04 + 2628.50ns INFO [00002630] Port=1 RD @03 + 2629.50ns INFO [00002631] Port=0 RD @02 + 2630.50ns INFO [00002632] * RD COMPARE * port=0 adr=04 act=D053050786051D871F exp=D053050786051D871F + 2630.50ns INFO [00002632] * RD COMPARE * port=1 adr=03 act=D46CC99089216ECC9C exp=D46CC99089216ECC9C + 2630.50ns INFO [00002632] Port=1 RD @00 + 2631.50ns INFO [00002633] * RD COMPARE * port=0 adr=02 act=7022A53856389BC89A exp=7022A53856389BC89A + 2631.50ns INFO [00002633] Port=1 RD @06 + 2632.50ns INFO [00002634] * RD COMPARE * port=1 adr=00 act=DEF501F551D5A69F0B exp=DEF501F551D5A69F0B + 2632.50ns INFO [00002634] Port=1 RD @07 + 2633.50ns INFO [00002635] * RD COMPARE * port=1 adr=06 act=100F79ABE58EDFF167 exp=100F79ABE58EDFF167 + 2634.50ns INFO [00002636] * RD COMPARE * port=1 adr=07 act=C2D4566814A8501AA7 exp=C2D4566814A8501AA7 + 2635.50ns INFO [00002637] Port=1 RD @00 + 2636.50ns INFO [00002638] Port=1 RD @01 + 2637.50ns INFO [00002639] * RD COMPARE * port=1 adr=00 act=DEF501F551D5A69F0B exp=DEF501F551D5A69F0B + 2638.50ns INFO [00002640] * RD COMPARE * port=1 adr=01 act=FBF9A2465569B73B71 exp=FBF9A2465569B73B71 + 2638.50ns INFO [00002640] Port=0 WR @00=68502747F2DA62E6C9 + 2638.50ns INFO [00002640] Port=0 RD @04 + 2638.50ns INFO [00002640] Port=1 RD @06 + 2639.50ns INFO [00002641] Port=0 WR @03=F1F0DE8A18ECF194E3 + 2639.50ns INFO [00002641] Port=0 RD @04 + 2639.50ns INFO [00002641] Port=1 RD @01 + 2640.50ns INFO [00002642] * RD COMPARE * port=0 adr=04 act=D053050786051D871F exp=D053050786051D871F + 2640.50ns INFO [00002642] * RD COMPARE * port=1 adr=06 act=100F79ABE58EDFF167 exp=100F79ABE58EDFF167 + 2640.50ns INFO [00002642] Port=0 RD @05 + 2640.50ns INFO [00002642] Port=1 RD @07 + 2641.50ns INFO [00002643] * RD COMPARE * port=0 adr=04 act=D053050786051D871F exp=D053050786051D871F + 2641.50ns INFO [00002643] * RD COMPARE * port=1 adr=01 act=FBF9A2465569B73B71 exp=FBF9A2465569B73B71 + 2642.50ns INFO [00002644] * RD COMPARE * port=0 adr=05 act=FE1C5175938269B32E exp=FE1C5175938269B32E + 2642.50ns INFO [00002644] * RD COMPARE * port=1 adr=07 act=C2D4566814A8501AA7 exp=C2D4566814A8501AA7 + 2642.50ns INFO [00002644] Port=1 RD @04 + 2643.50ns INFO [00002645] Port=0 WR @07=E1CDD3535A254D0316 + 2644.50ns INFO [00002646] * RD COMPARE * port=1 adr=04 act=D053050786051D871F exp=D053050786051D871F + 2644.50ns INFO [00002646] Port=0 RD @02 + 2644.50ns INFO [00002646] Port=1 RD @01 + 2645.50ns INFO [00002647] Port=0 WR @05=B09BEC71A897C3D45D + 2645.50ns INFO [00002647] Port=0 RD @01 + 2645.50ns INFO [00002647] Port=1 RD @06 + 2646.50ns INFO [00002648] * RD COMPARE * port=0 adr=02 act=7022A53856389BC89A exp=7022A53856389BC89A + 2646.50ns INFO [00002648] * RD COMPARE * port=1 adr=01 act=FBF9A2465569B73B71 exp=FBF9A2465569B73B71 + 2646.50ns INFO [00002648] Port=0 WR @02=82D8BCA02C917CCE10 + 2646.50ns INFO [00002648] Port=1 RD @04 + 2647.50ns INFO [00002649] * RD COMPARE * port=0 adr=01 act=FBF9A2465569B73B71 exp=FBF9A2465569B73B71 + 2647.50ns INFO [00002649] * RD COMPARE * port=1 adr=06 act=100F79ABE58EDFF167 exp=100F79ABE58EDFF167 + 2647.50ns INFO [00002649] Port=1 RD @00 + 2648.50ns INFO [00002650] * RD COMPARE * port=1 adr=04 act=D053050786051D871F exp=D053050786051D871F + 2648.50ns INFO [00002650] Port=0 WR @01=9A69348B86E3D7F3CB + 2649.50ns INFO [00002651] * RD COMPARE * port=1 adr=00 act=68502747F2DA62E6C9 exp=68502747F2DA62E6C9 + 2649.50ns INFO [00002651] Port=0 WR @03=960D9437C306E350AC + 2652.50ns INFO [00002654] Port=0 WR @04=C6C364A199B0836791 + 2652.50ns INFO [00002654] Port=1 RD @00 + 2653.50ns INFO [00002655] Port=0 RD @00 + 2653.50ns INFO [00002655] Port=1 RD @00 + 2654.50ns INFO [00002656] * RD COMPARE * port=1 adr=00 act=68502747F2DA62E6C9 exp=68502747F2DA62E6C9 + 2654.50ns INFO [00002656] Port=0 WR @05=53D84102ACF652E7DA + 2654.50ns INFO [00002656] Port=1 RD @02 + 2655.50ns INFO [00002657] * RD COMPARE * port=0 adr=00 act=68502747F2DA62E6C9 exp=68502747F2DA62E6C9 + 2655.50ns INFO [00002657] * RD COMPARE * port=1 adr=00 act=68502747F2DA62E6C9 exp=68502747F2DA62E6C9 + 2656.50ns INFO [00002658] * RD COMPARE * port=1 adr=02 act=82D8BCA02C917CCE10 exp=82D8BCA02C917CCE10 + 2657.50ns INFO [00002659] Port=0 WR @01=FCE4FA2417D0EEA32B + 2657.50ns INFO [00002659] Port=1 RD @05 + 2659.50ns INFO [00002661] * RD COMPARE * port=1 adr=05 act=53D84102ACF652E7DA exp=53D84102ACF652E7DA + 2659.50ns INFO [00002661] Port=0 WR @07=8BAA42C6A2EAFBCA0D + 2661.50ns INFO [00002663] Port=0 RD @02 + 2661.50ns INFO [00002663] Port=1 RD @04 + 2662.50ns INFO [00002664] Port=0 RD @02 + 2663.50ns INFO [00002665] * RD COMPARE * port=0 adr=02 act=82D8BCA02C917CCE10 exp=82D8BCA02C917CCE10 + 2663.50ns INFO [00002665] * RD COMPARE * port=1 adr=04 act=C6C364A199B0836791 exp=C6C364A199B0836791 + 2663.50ns INFO [00002665] Port=0 RD @01 + 2664.50ns INFO [00002666] * RD COMPARE * port=0 adr=02 act=82D8BCA02C917CCE10 exp=82D8BCA02C917CCE10 + 2665.50ns INFO [00002667] * RD COMPARE * port=0 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2665.50ns INFO [00002667] Port=0 WR @03=2D6D925472D171790F + 2665.50ns INFO [00002667] Port=1 RD @05 + 2666.50ns INFO [00002668] Port=0 WR @02=D6B3CA907C50198CE6 + 2666.50ns INFO [00002668] Port=1 RD @07 + 2667.50ns INFO [00002669] * RD COMPARE * port=1 adr=05 act=53D84102ACF652E7DA exp=53D84102ACF652E7DA + 2667.50ns INFO [00002669] Port=0 RD @01 + 2668.50ns INFO [00002670] * RD COMPARE * port=1 adr=07 act=8BAA42C6A2EAFBCA0D exp=8BAA42C6A2EAFBCA0D + 2669.50ns INFO [00002671] * RD COMPARE * port=0 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2669.50ns INFO [00002671] Port=1 RD @01 + 2670.50ns INFO [00002672] Port=0 WR @07=1C33A29AE535489AA5 + 2670.50ns INFO [00002672] Port=0 RD @05 + 2670.50ns INFO [00002672] Port=1 RD @01 + 2671.50ns INFO [00002673] * RD COMPARE * port=1 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2672.50ns INFO [00002674] * RD COMPARE * port=0 adr=05 act=53D84102ACF652E7DA exp=53D84102ACF652E7DA + 2672.50ns INFO [00002674] * RD COMPARE * port=1 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2672.50ns INFO [00002674] Port=0 RD @07 + 2674.50ns INFO [00002676] * RD COMPARE * port=0 adr=07 act=1C33A29AE535489AA5 exp=1C33A29AE535489AA5 + 2674.50ns INFO [00002676] Port=1 RD @00 + 2676.50ns INFO [00002678] * RD COMPARE * port=1 adr=00 act=68502747F2DA62E6C9 exp=68502747F2DA62E6C9 + 2676.50ns INFO [00002678] Port=0 WR @02=4983525BCDBBED835A + 2676.50ns INFO [00002678] Port=0 RD @03 + 2677.50ns INFO [00002679] Port=0 WR @04=B6CD1C45977D761E0D + 2678.50ns INFO [00002680] * RD COMPARE * port=0 adr=03 act=2D6D925472D171790F exp=2D6D925472D171790F + 2678.50ns INFO [00002680] Port=0 WR @05=142D7E5C131DF41A86 + 2681.50ns INFO [00002683] Port=1 RD @01 + 2682.50ns INFO [00002684] Port=0 RD @00 + 2682.50ns INFO [00002684] Port=1 RD @04 + 2683.50ns INFO [00002685] * RD COMPARE * port=1 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2684.50ns INFO [00002686] * RD COMPARE * port=0 adr=00 act=68502747F2DA62E6C9 exp=68502747F2DA62E6C9 + 2684.50ns INFO [00002686] * RD COMPARE * port=1 adr=04 act=B6CD1C45977D761E0D exp=B6CD1C45977D761E0D + 2684.50ns INFO [00002686] Port=0 WR @03=EF4E1723D41549D72A + 2685.50ns INFO [00002687] Port=0 RD @01 + 2685.50ns INFO [00002687] Port=1 RD @01 + 2687.50ns INFO [00002689] * RD COMPARE * port=0 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2687.50ns INFO [00002689] * RD COMPARE * port=1 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2687.50ns INFO [00002689] Port=0 RD @07 + 2688.50ns INFO [00002690] Port=0 WR @04=2823D11A8CC0E914AB + 2689.50ns INFO [00002691] * RD COMPARE * port=0 adr=07 act=1C33A29AE535489AA5 exp=1C33A29AE535489AA5 + 2690.50ns INFO [00002692] Port=0 WR @06=21B0A3CD842F6CAD2B + 2690.50ns INFO [00002692] Port=1 RD @05 + 2691.50ns INFO [00002693] Port=1 RD @06 + 2692.50ns INFO [00002694] * RD COMPARE * port=1 adr=05 act=142D7E5C131DF41A86 exp=142D7E5C131DF41A86 + 2693.50ns INFO [00002695] * RD COMPARE * port=1 adr=06 act=21B0A3CD842F6CAD2B exp=21B0A3CD842F6CAD2B + 2693.50ns INFO [00002695] Port=0 RD @00 + 2693.50ns INFO [00002695] Port=1 RD @07 + 2694.50ns INFO [00002696] Port=0 RD @05 + 2695.50ns INFO [00002697] * RD COMPARE * port=0 adr=00 act=68502747F2DA62E6C9 exp=68502747F2DA62E6C9 + 2695.50ns INFO [00002697] * RD COMPARE * port=1 adr=07 act=1C33A29AE535489AA5 exp=1C33A29AE535489AA5 + 2695.50ns INFO [00002697] Port=0 WR @07=8EA1283BFDCA91CF03 + 2696.50ns INFO [00002698] * RD COMPARE * port=0 adr=05 act=142D7E5C131DF41A86 exp=142D7E5C131DF41A86 + 2696.50ns INFO [00002698] Port=1 RD @00 + 2697.50ns INFO [00002699] Port=0 RD @04 + 2698.00ns INFO [00002700] [00002700] ...tick... + 2698.50ns INFO [00002700] * RD COMPARE * port=1 adr=00 act=68502747F2DA62E6C9 exp=68502747F2DA62E6C9 + 2698.50ns INFO [00002700] Port=0 RD @06 + 2699.50ns INFO [00002701] * RD COMPARE * port=0 adr=04 act=2823D11A8CC0E914AB exp=2823D11A8CC0E914AB + 2699.50ns INFO [00002701] Port=0 RD @02 + 2700.50ns INFO [00002702] * RD COMPARE * port=0 adr=06 act=21B0A3CD842F6CAD2B exp=21B0A3CD842F6CAD2B + 2700.50ns INFO [00002702] Port=1 RD @03 + 2701.50ns INFO [00002703] * RD COMPARE * port=0 adr=02 act=4983525BCDBBED835A exp=4983525BCDBBED835A + 2701.50ns INFO [00002703] Port=0 WR @07=B73B2C8B04C58E748B + 2701.50ns INFO [00002703] Port=0 RD @02 + 2702.50ns INFO [00002704] * RD COMPARE * port=1 adr=03 act=EF4E1723D41549D72A exp=EF4E1723D41549D72A + 2702.50ns INFO [00002704] Port=0 WR @02=5DB36F236862B8F478 + 2702.50ns INFO [00002704] Port=0 RD @01 + 2703.50ns INFO [00002705] * RD COMPARE * port=0 adr=02 act=4983525BCDBBED835A exp=4983525BCDBBED835A + 2703.50ns INFO [00002705] Port=1 RD @05 + 2704.50ns INFO [00002706] * RD COMPARE * port=0 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2704.50ns INFO [00002706] Port=1 RD @04 + 2705.50ns INFO [00002707] * RD COMPARE * port=1 adr=05 act=142D7E5C131DF41A86 exp=142D7E5C131DF41A86 + 2705.50ns INFO [00002707] Port=0 RD @03 + 2706.50ns INFO [00002708] * RD COMPARE * port=1 adr=04 act=2823D11A8CC0E914AB exp=2823D11A8CC0E914AB + 2706.50ns INFO [00002708] Port=0 WR @07=8CD44D2ADFB1236FDE + 2707.50ns INFO [00002709] * RD COMPARE * port=0 adr=03 act=EF4E1723D41549D72A exp=EF4E1723D41549D72A + 2710.50ns INFO [00002712] Port=0 RD @07 + 2710.50ns INFO [00002712] Port=1 RD @04 + 2711.50ns INFO [00002713] Port=1 RD @02 + 2712.50ns INFO [00002714] * RD COMPARE * port=0 adr=07 act=8CD44D2ADFB1236FDE exp=8CD44D2ADFB1236FDE + 2712.50ns INFO [00002714] * RD COMPARE * port=1 adr=04 act=2823D11A8CC0E914AB exp=2823D11A8CC0E914AB + 2712.50ns INFO [00002714] Port=1 RD @04 + 2713.50ns INFO [00002715] * RD COMPARE * port=1 adr=02 act=5DB36F236862B8F478 exp=5DB36F236862B8F478 + 2713.50ns INFO [00002715] Port=0 WR @07=F0273D69BE3C131E01 + 2713.50ns INFO [00002715] Port=0 RD @05 + 2713.50ns INFO [00002715] Port=1 RD @01 + 2714.50ns INFO [00002716] * RD COMPARE * port=1 adr=04 act=2823D11A8CC0E914AB exp=2823D11A8CC0E914AB + 2715.50ns INFO [00002717] * RD COMPARE * port=0 adr=05 act=142D7E5C131DF41A86 exp=142D7E5C131DF41A86 + 2715.50ns INFO [00002717] * RD COMPARE * port=1 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2715.50ns INFO [00002717] Port=1 RD @04 + 2716.50ns INFO [00002718] Port=0 RD @00 + 2717.50ns INFO [00002719] * RD COMPARE * port=1 adr=04 act=2823D11A8CC0E914AB exp=2823D11A8CC0E914AB + 2717.50ns INFO [00002719] Port=0 RD @03 + 2717.50ns INFO [00002719] Port=1 RD @02 + 2718.50ns INFO [00002720] * RD COMPARE * port=0 adr=00 act=68502747F2DA62E6C9 exp=68502747F2DA62E6C9 + 2718.50ns INFO [00002720] Port=0 WR @05=00B61C397E37BDFF69 + 2718.50ns INFO [00002720] Port=0 RD @07 + 2718.50ns INFO [00002720] Port=1 RD @06 + 2719.50ns INFO [00002721] * RD COMPARE * port=0 adr=03 act=EF4E1723D41549D72A exp=EF4E1723D41549D72A + 2719.50ns INFO [00002721] * RD COMPARE * port=1 adr=02 act=5DB36F236862B8F478 exp=5DB36F236862B8F478 + 2720.50ns INFO [00002722] * RD COMPARE * port=0 adr=07 act=F0273D69BE3C131E01 exp=F0273D69BE3C131E01 + 2720.50ns INFO [00002722] * RD COMPARE * port=1 adr=06 act=21B0A3CD842F6CAD2B exp=21B0A3CD842F6CAD2B + 2720.50ns INFO [00002722] Port=1 RD @04 + 2721.50ns INFO [00002723] Port=0 RD @04 + 2722.50ns INFO [00002724] * RD COMPARE * port=1 adr=04 act=2823D11A8CC0E914AB exp=2823D11A8CC0E914AB + 2722.50ns INFO [00002724] Port=0 WR @04=BDA13324F54FE9D11F + 2722.50ns INFO [00002724] Port=0 RD @03 + 2722.50ns INFO [00002724] Port=1 RD @07 + 2723.50ns INFO [00002725] * RD COMPARE * port=0 adr=04 act=2823D11A8CC0E914AB exp=2823D11A8CC0E914AB + 2723.50ns INFO [00002725] Port=0 WR @03=8FA0CFA98632670506 + 2724.50ns INFO [00002726] * RD COMPARE * port=0 adr=03 act=EF4E1723D41549D72A exp=EF4E1723D41549D72A + 2724.50ns INFO [00002726] * RD COMPARE * port=1 adr=07 act=F0273D69BE3C131E01 exp=F0273D69BE3C131E01 + 2726.50ns INFO [00002728] Port=0 WR @04=BAC97A23EA1E046964 + 2726.50ns INFO [00002728] Port=0 RD @05 + 2726.50ns INFO [00002728] Port=1 RD @07 + 2727.50ns INFO [00002729] Port=0 WR @03=00F81099B52DCA4928 + 2727.50ns INFO [00002729] Port=1 RD @05 + 2728.50ns INFO [00002730] * RD COMPARE * port=0 adr=05 act=00B61C397E37BDFF69 exp=00B61C397E37BDFF69 + 2728.50ns INFO [00002730] * RD COMPARE * port=1 adr=07 act=F0273D69BE3C131E01 exp=F0273D69BE3C131E01 + 2728.50ns INFO [00002730] Port=0 RD @07 + 2728.50ns INFO [00002730] Port=1 RD @03 + 2729.50ns INFO [00002731] * RD COMPARE * port=1 adr=05 act=00B61C397E37BDFF69 exp=00B61C397E37BDFF69 + 2729.50ns INFO [00002731] Port=0 WR @00=DE9AC3894CAA18CB6A + 2729.50ns INFO [00002731] Port=0 RD @03 + 2730.50ns INFO [00002732] * RD COMPARE * port=0 adr=07 act=F0273D69BE3C131E01 exp=F0273D69BE3C131E01 + 2730.50ns INFO [00002732] * RD COMPARE * port=1 adr=03 act=00F81099B52DCA4928 exp=00F81099B52DCA4928 + 2730.50ns INFO [00002732] Port=0 RD @03 + 2731.50ns INFO [00002733] * RD COMPARE * port=0 adr=03 act=00F81099B52DCA4928 exp=00F81099B52DCA4928 + 2731.50ns INFO [00002733] Port=1 RD @05 + 2732.50ns INFO [00002734] * RD COMPARE * port=0 adr=03 act=00F81099B52DCA4928 exp=00F81099B52DCA4928 + 2732.50ns INFO [00002734] Port=0 WR @03=50BE9F6F3EC3943AA9 + 2732.50ns INFO [00002734] Port=0 RD @02 + 2733.50ns INFO [00002735] * RD COMPARE * port=1 adr=05 act=00B61C397E37BDFF69 exp=00B61C397E37BDFF69 + 2733.50ns INFO [00002735] Port=0 WR @07=FED650518B452D2E6F + 2734.50ns INFO [00002736] * RD COMPARE * port=0 adr=02 act=5DB36F236862B8F478 exp=5DB36F236862B8F478 + 2734.50ns INFO [00002736] Port=0 WR @06=1C64518C5F440384BA + 2735.50ns INFO [00002737] Port=0 WR @02=7C17DA86F8FD06D5FB + 2736.50ns INFO [00002738] Port=0 WR @00=F3740E8DF65B003BD9 + 2736.50ns INFO [00002738] Port=0 RD @04 + 2736.50ns INFO [00002738] Port=1 RD @01 + 2738.50ns INFO [00002740] * RD COMPARE * port=0 adr=04 act=BAC97A23EA1E046964 exp=BAC97A23EA1E046964 + 2738.50ns INFO [00002740] * RD COMPARE * port=1 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2740.50ns INFO [00002742] Port=0 RD @04 + 2740.50ns INFO [00002742] Port=1 RD @03 + 2741.50ns INFO [00002743] Port=1 RD @07 + 2742.50ns INFO [00002744] * RD COMPARE * port=0 adr=04 act=BAC97A23EA1E046964 exp=BAC97A23EA1E046964 + 2742.50ns INFO [00002744] * RD COMPARE * port=1 adr=03 act=50BE9F6F3EC3943AA9 exp=50BE9F6F3EC3943AA9 + 2742.50ns INFO [00002744] Port=1 RD @07 + 2743.50ns INFO [00002745] * RD COMPARE * port=1 adr=07 act=FED650518B452D2E6F exp=FED650518B452D2E6F + 2743.50ns INFO [00002745] Port=0 RD @01 + 2744.50ns INFO [00002746] * RD COMPARE * port=1 adr=07 act=FED650518B452D2E6F exp=FED650518B452D2E6F + 2744.50ns INFO [00002746] Port=0 RD @01 + 2744.50ns INFO [00002746] Port=1 RD @06 + 2745.50ns INFO [00002747] * RD COMPARE * port=0 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2745.50ns INFO [00002747] Port=0 WR @04=131407F4B6647BDBED + 2745.50ns INFO [00002747] Port=1 RD @01 + 2746.50ns INFO [00002748] * RD COMPARE * port=0 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2746.50ns INFO [00002748] * RD COMPARE * port=1 adr=06 act=1C64518C5F440384BA exp=1C64518C5F440384BA + 2746.50ns INFO [00002748] Port=0 RD @06 + 2747.50ns INFO [00002749] * RD COMPARE * port=1 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2747.50ns INFO [00002749] Port=1 RD @06 + 2748.50ns INFO [00002750] * RD COMPARE * port=0 adr=06 act=1C64518C5F440384BA exp=1C64518C5F440384BA + 2748.50ns INFO [00002750] Port=0 WR @05=26D9D2A7132AE7801B + 2748.50ns INFO [00002750] Port=1 RD @06 + 2749.50ns INFO [00002751] * RD COMPARE * port=1 adr=06 act=1C64518C5F440384BA exp=1C64518C5F440384BA + 2749.50ns INFO [00002751] Port=0 RD @02 + 2750.50ns INFO [00002752] * RD COMPARE * port=1 adr=06 act=1C64518C5F440384BA exp=1C64518C5F440384BA + 2750.50ns INFO [00002752] Port=0 WR @02=1639A9429D251A95B0 + 2750.50ns INFO [00002752] Port=1 RD @06 + 2751.50ns INFO [00002753] * RD COMPARE * port=0 adr=02 act=7C17DA86F8FD06D5FB exp=7C17DA86F8FD06D5FB + 2751.50ns INFO [00002753] Port=0 RD @05 + 2752.50ns INFO [00002754] * RD COMPARE * port=1 adr=06 act=1C64518C5F440384BA exp=1C64518C5F440384BA + 2752.50ns INFO [00002754] Port=0 RD @01 + 2753.50ns INFO [00002755] * RD COMPARE * port=0 adr=05 act=26D9D2A7132AE7801B exp=26D9D2A7132AE7801B + 2753.50ns INFO [00002755] Port=0 WR @02=C01DB852B2332BC23F + 2754.50ns INFO [00002756] * RD COMPARE * port=0 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2754.50ns INFO [00002756] Port=0 WR @04=F5107B9FD3A1C57F66 + 2755.50ns INFO [00002757] Port=0 WR @04=DE6F9E93140FD26621 + 2755.50ns INFO [00002757] Port=1 RD @02 + 2756.50ns INFO [00002758] Port=0 WR @02=347805E40F4DD5A6BD + 2757.50ns INFO [00002759] * RD COMPARE * port=1 adr=02 act=C01DB852B2332BC23F exp=C01DB852B2332BC23F + 2757.50ns INFO [00002759] Port=0 RD @01 + 2758.50ns INFO [00002760] Port=0 RD @04 + 2759.50ns INFO [00002761] * RD COMPARE * port=0 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2759.50ns INFO [00002761] Port=0 WR @07=2B551E863D79B925A7 + 2759.50ns INFO [00002761] Port=0 RD @06 + 2760.50ns INFO [00002762] * RD COMPARE * port=0 adr=04 act=DE6F9E93140FD26621 exp=DE6F9E93140FD26621 + 2760.50ns INFO [00002762] Port=0 WR @06=BE15A0DEBC52D7FDEC + 2760.50ns INFO [00002762] Port=1 RD @05 + 2761.50ns INFO [00002763] * RD COMPARE * port=0 adr=06 act=1C64518C5F440384BA exp=1C64518C5F440384BA + 2761.50ns INFO [00002763] Port=0 WR @00=5D69FB983E49F9C85E + 2761.50ns INFO [00002763] Port=0 RD @05 + 2761.50ns INFO [00002763] Port=1 RD @01 + 2762.50ns INFO [00002764] * RD COMPARE * port=1 adr=05 act=26D9D2A7132AE7801B exp=26D9D2A7132AE7801B + 2763.50ns INFO [00002765] * RD COMPARE * port=0 adr=05 act=26D9D2A7132AE7801B exp=26D9D2A7132AE7801B + 2763.50ns INFO [00002765] * RD COMPARE * port=1 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2764.50ns INFO [00002766] Port=0 WR @07=6AAD1F61F097020A58 + 2765.50ns INFO [00002767] Port=0 RD @05 + 2766.50ns INFO [00002768] Port=1 RD @06 + 2767.50ns INFO [00002769] * RD COMPARE * port=0 adr=05 act=26D9D2A7132AE7801B exp=26D9D2A7132AE7801B + 2767.50ns INFO [00002769] Port=0 RD @07 + 2768.50ns INFO [00002770] * RD COMPARE * port=1 adr=06 act=BE15A0DEBC52D7FDEC exp=BE15A0DEBC52D7FDEC + 2768.50ns INFO [00002770] Port=0 RD @00 + 2769.50ns INFO [00002771] * RD COMPARE * port=0 adr=07 act=6AAD1F61F097020A58 exp=6AAD1F61F097020A58 + 2770.50ns INFO [00002772] * RD COMPARE * port=0 adr=00 act=5D69FB983E49F9C85E exp=5D69FB983E49F9C85E + 2770.50ns INFO [00002772] Port=1 RD @02 + 2771.50ns INFO [00002773] Port=0 WR @02=E260AF7E66ED8329E9 + 2771.50ns INFO [00002773] Port=0 RD @06 + 2772.50ns INFO [00002774] * RD COMPARE * port=1 adr=02 act=347805E40F4DD5A6BD exp=347805E40F4DD5A6BD + 2772.50ns INFO [00002774] Port=0 RD @04 + 2773.50ns INFO [00002775] * RD COMPARE * port=0 adr=06 act=BE15A0DEBC52D7FDEC exp=BE15A0DEBC52D7FDEC + 2773.50ns INFO [00002775] Port=1 RD @02 + 2774.50ns INFO [00002776] * RD COMPARE * port=0 adr=04 act=DE6F9E93140FD26621 exp=DE6F9E93140FD26621 + 2774.50ns INFO [00002776] Port=1 RD @02 + 2775.50ns INFO [00002777] * RD COMPARE * port=1 adr=02 act=E260AF7E66ED8329E9 exp=E260AF7E66ED8329E9 + 2776.50ns INFO [00002778] * RD COMPARE * port=1 adr=02 act=E260AF7E66ED8329E9 exp=E260AF7E66ED8329E9 + 2776.50ns INFO [00002778] Port=0 WR @07=5E289DD9D3AE311570 + 2777.50ns INFO [00002779] Port=0 WR @02=16FB1EB497334B9592 + 2777.50ns INFO [00002779] Port=1 RD @05 + 2779.50ns INFO [00002781] * RD COMPARE * port=1 adr=05 act=26D9D2A7132AE7801B exp=26D9D2A7132AE7801B + 2779.50ns INFO [00002781] Port=0 WR @03=33C0B5BADD643F3E47 + 2782.50ns INFO [00002784] Port=1 RD @01 + 2783.50ns INFO [00002785] Port=0 WR @01=6D85B15E67E06AC1E9 + 2784.50ns INFO [00002786] * RD COMPARE * port=1 adr=01 act=FCE4FA2417D0EEA32B exp=FCE4FA2417D0EEA32B + 2784.50ns INFO [00002786] Port=1 RD @02 + 2785.50ns INFO [00002787] Port=0 WR @01=A9383B7832B1DC1702 + 2786.50ns INFO [00002788] * RD COMPARE * port=1 adr=02 act=16FB1EB497334B9592 exp=16FB1EB497334B9592 + 2786.50ns INFO [00002788] Port=0 RD @00 + 2786.50ns INFO [00002788] Port=1 RD @00 + 2787.50ns INFO [00002789] Port=0 WR @03=682317A31BF159FC3D + 2788.50ns INFO [00002790] * RD COMPARE * port=0 adr=00 act=5D69FB983E49F9C85E exp=5D69FB983E49F9C85E + 2788.50ns INFO [00002790] * RD COMPARE * port=1 adr=00 act=5D69FB983E49F9C85E exp=5D69FB983E49F9C85E + 2788.50ns INFO [00002790] Port=0 WR @03=2A812F0A4C5B3CE977 + 2788.50ns INFO [00002790] Port=1 RD @02 + 2790.50ns INFO [00002792] * RD COMPARE * port=1 adr=02 act=16FB1EB497334B9592 exp=16FB1EB497334B9592 + 2790.50ns INFO [00002792] Port=0 RD @07 + 2790.50ns INFO [00002792] Port=1 RD @01 + 2791.50ns INFO [00002793] Port=0 RD @04 + 2792.50ns INFO [00002794] * RD COMPARE * port=0 adr=07 act=5E289DD9D3AE311570 exp=5E289DD9D3AE311570 + 2792.50ns INFO [00002794] * RD COMPARE * port=1 adr=01 act=A9383B7832B1DC1702 exp=A9383B7832B1DC1702 + 2792.50ns INFO [00002794] Port=0 RD @03 + 2792.50ns INFO [00002794] Port=1 RD @06 + 2793.50ns INFO [00002795] * RD COMPARE * port=0 adr=04 act=DE6F9E93140FD26621 exp=DE6F9E93140FD26621 + 2794.50ns INFO [00002796] * RD COMPARE * port=0 adr=03 act=2A812F0A4C5B3CE977 exp=2A812F0A4C5B3CE977 + 2794.50ns INFO [00002796] * RD COMPARE * port=1 adr=06 act=BE15A0DEBC52D7FDEC exp=BE15A0DEBC52D7FDEC + 2794.50ns INFO [00002796] Port=0 WR @04=0DF340142096F6D7B6 + 2795.50ns INFO [00002797] Port=0 RD @06 + 2796.50ns INFO [00002798] Port=0 WR @00=805E1DE0B212CB0B80 + 2797.50ns INFO [00002799] * RD COMPARE * port=0 adr=06 act=BE15A0DEBC52D7FDEC exp=BE15A0DEBC52D7FDEC + 2797.50ns INFO [00002799] Port=0 WR @07=26A50F50F1035FB3A9 + 2797.50ns INFO [00002799] Port=1 RD @04 + 2798.00ns INFO [00002800] [00002800] ...tick... + 2799.50ns INFO [00002801] * RD COMPARE * port=1 adr=04 act=0DF340142096F6D7B6 exp=0DF340142096F6D7B6 + 2799.50ns INFO [00002801] Port=0 RD @05 + 2800.50ns INFO [00002802] Port=0 RD @06 + 2801.50ns INFO [00002803] * RD COMPARE * port=0 adr=05 act=26D9D2A7132AE7801B exp=26D9D2A7132AE7801B + 2801.50ns INFO [00002803] Port=0 RD @04 + 2801.50ns INFO [00002803] Port=1 RD @07 + 2802.50ns INFO [00002804] * RD COMPARE * port=0 adr=06 act=BE15A0DEBC52D7FDEC exp=BE15A0DEBC52D7FDEC + 2802.50ns INFO [00002804] Port=1 RD @00 + 2803.50ns INFO [00002805] * RD COMPARE * port=0 adr=04 act=0DF340142096F6D7B6 exp=0DF340142096F6D7B6 + 2803.50ns INFO [00002805] * RD COMPARE * port=1 adr=07 act=26A50F50F1035FB3A9 exp=26A50F50F1035FB3A9 + 2804.50ns INFO [00002806] * RD COMPARE * port=1 adr=00 act=805E1DE0B212CB0B80 exp=805E1DE0B212CB0B80 + 2806.50ns INFO [00002808] Port=1 RD @00 + 2807.50ns INFO [00002809] Port=0 WR @00=132B9989316CD21A59 + 2807.50ns INFO [00002809] Port=0 RD @05 + 2807.50ns INFO [00002809] Port=1 RD @02 + 2808.50ns INFO [00002810] * RD COMPARE * port=1 adr=00 act=805E1DE0B212CB0B80 exp=805E1DE0B212CB0B80 + 2809.50ns INFO [00002811] * RD COMPARE * port=0 adr=05 act=26D9D2A7132AE7801B exp=26D9D2A7132AE7801B + 2809.50ns INFO [00002811] * RD COMPARE * port=1 adr=02 act=16FB1EB497334B9592 exp=16FB1EB497334B9592 + 2809.50ns INFO [00002811] Port=0 WR @07=BE528274B3D98E23B1 + 2809.50ns INFO [00002811] Port=0 RD @05 + 2809.50ns INFO [00002811] Port=1 RD @02 + 2810.50ns INFO [00002812] Port=1 RD @05 + 2811.50ns INFO [00002813] * RD COMPARE * port=0 adr=05 act=26D9D2A7132AE7801B exp=26D9D2A7132AE7801B + 2811.50ns INFO [00002813] * RD COMPARE * port=1 adr=02 act=16FB1EB497334B9592 exp=16FB1EB497334B9592 + 2811.50ns INFO [00002813] Port=0 WR @05=379E73F5BF2BE9BECB + 2811.50ns INFO [00002813] Port=0 RD @03 + 2812.50ns INFO [00002814] * RD COMPARE * port=1 adr=05 act=26D9D2A7132AE7801B exp=26D9D2A7132AE7801B + 2812.50ns INFO [00002814] Port=0 RD @02 + 2813.50ns INFO [00002815] * RD COMPARE * port=0 adr=03 act=2A812F0A4C5B3CE977 exp=2A812F0A4C5B3CE977 + 2813.50ns INFO [00002815] Port=0 RD @05 + 2814.50ns INFO [00002816] * RD COMPARE * port=0 adr=02 act=16FB1EB497334B9592 exp=16FB1EB497334B9592 + 2814.50ns INFO [00002816] Port=0 WR @01=604AEF471215519F39 + 2815.50ns INFO [00002817] * RD COMPARE * port=0 adr=05 act=379E73F5BF2BE9BECB exp=379E73F5BF2BE9BECB + 2815.50ns INFO [00002817] Port=1 RD @00 + 2816.50ns INFO [00002818] Port=0 WR @01=269C637CBC01104799 + 2817.50ns INFO [00002819] * RD COMPARE * port=1 adr=00 act=132B9989316CD21A59 exp=132B9989316CD21A59 + 2817.50ns INFO [00002819] Port=1 RD @02 + 2818.50ns INFO [00002820] Port=0 RD @03 + 2818.50ns INFO [00002820] Port=1 RD @03 + 2819.50ns INFO [00002821] * RD COMPARE * port=1 adr=02 act=16FB1EB497334B9592 exp=16FB1EB497334B9592 + 2820.50ns INFO [00002822] * RD COMPARE * port=0 adr=03 act=2A812F0A4C5B3CE977 exp=2A812F0A4C5B3CE977 + 2820.50ns INFO [00002822] * RD COMPARE * port=1 adr=03 act=2A812F0A4C5B3CE977 exp=2A812F0A4C5B3CE977 + 2821.50ns INFO [00002823] Port=0 WR @04=341038BA5024339D45 + 2821.50ns INFO [00002823] Port=0 RD @01 + 2821.50ns INFO [00002823] Port=1 RD @05 + 2823.50ns INFO [00002825] * RD COMPARE * port=0 adr=01 act=269C637CBC01104799 exp=269C637CBC01104799 + 2823.50ns INFO [00002825] * RD COMPARE * port=1 adr=05 act=379E73F5BF2BE9BECB exp=379E73F5BF2BE9BECB + 2823.50ns INFO [00002825] Port=1 RD @04 + 2824.50ns INFO [00002826] Port=0 WR @06=D50E36EC4305E12D99 + 2824.50ns INFO [00002826] Port=1 RD @07 + 2825.50ns INFO [00002827] * RD COMPARE * port=1 adr=04 act=341038BA5024339D45 exp=341038BA5024339D45 + 2825.50ns INFO [00002827] Port=1 RD @07 + 2826.50ns INFO [00002828] * RD COMPARE * port=1 adr=07 act=BE528274B3D98E23B1 exp=BE528274B3D98E23B1 + 2826.50ns INFO [00002828] Port=0 RD @05 + 2826.50ns INFO [00002828] Port=1 RD @01 + 2827.50ns INFO [00002829] * RD COMPARE * port=1 adr=07 act=BE528274B3D98E23B1 exp=BE528274B3D98E23B1 + 2827.50ns INFO [00002829] Port=0 WR @04=C0D12E636F84728926 + 2827.50ns INFO [00002829] Port=1 RD @06 + 2828.50ns INFO [00002830] * RD COMPARE * port=0 adr=05 act=379E73F5BF2BE9BECB exp=379E73F5BF2BE9BECB + 2828.50ns INFO [00002830] * RD COMPARE * port=1 adr=01 act=269C637CBC01104799 exp=269C637CBC01104799 + 2828.50ns INFO [00002830] Port=0 RD @01 + 2828.50ns INFO [00002830] Port=1 RD @00 + 2829.50ns INFO [00002831] * RD COMPARE * port=1 adr=06 act=D50E36EC4305E12D99 exp=D50E36EC4305E12D99 + 2829.50ns INFO [00002831] Port=1 RD @07 + 2830.50ns INFO [00002832] * RD COMPARE * port=0 adr=01 act=269C637CBC01104799 exp=269C637CBC01104799 + 2830.50ns INFO [00002832] * RD COMPARE * port=1 adr=00 act=132B9989316CD21A59 exp=132B9989316CD21A59 + 2831.50ns INFO [00002833] * RD COMPARE * port=1 adr=07 act=BE528274B3D98E23B1 exp=BE528274B3D98E23B1 + 2831.50ns INFO [00002833] Port=0 WR @05=D81ACD7D5017AE396C + 2831.50ns INFO [00002833] Port=0 RD @00 + 2832.50ns INFO [00002834] Port=0 RD @01 + 2832.50ns INFO [00002834] Port=1 RD @07 + 2833.50ns INFO [00002835] * RD COMPARE * port=0 adr=00 act=132B9989316CD21A59 exp=132B9989316CD21A59 + 2833.50ns INFO [00002835] Port=0 RD @02 + 2834.50ns INFO [00002836] * RD COMPARE * port=0 adr=01 act=269C637CBC01104799 exp=269C637CBC01104799 + 2834.50ns INFO [00002836] * RD COMPARE * port=1 adr=07 act=BE528274B3D98E23B1 exp=BE528274B3D98E23B1 + 2834.50ns INFO [00002836] Port=0 RD @03 + 2835.50ns INFO [00002837] * RD COMPARE * port=0 adr=02 act=16FB1EB497334B9592 exp=16FB1EB497334B9592 + 2835.50ns INFO [00002837] Port=0 RD @03 + 2835.50ns INFO [00002837] Port=1 RD @06 + 2836.50ns INFO [00002838] * RD COMPARE * port=0 adr=03 act=2A812F0A4C5B3CE977 exp=2A812F0A4C5B3CE977 + 2837.50ns INFO [00002839] * RD COMPARE * port=0 adr=03 act=2A812F0A4C5B3CE977 exp=2A812F0A4C5B3CE977 + 2837.50ns INFO [00002839] * RD COMPARE * port=1 adr=06 act=D50E36EC4305E12D99 exp=D50E36EC4305E12D99 + 2838.50ns INFO [00002840] Port=0 WR @03=55CC56DBCFA2194D1C + 2839.50ns INFO [00002841] Port=0 RD @04 + 2841.50ns INFO [00002843] * RD COMPARE * port=0 adr=04 act=C0D12E636F84728926 exp=C0D12E636F84728926 + 2842.50ns INFO [00002844] Port=0 WR @04=41994AE7B15D50027A + 2843.50ns INFO [00002845] Port=0 WR @03=F9877498EE984FD6CF + 2843.50ns INFO [00002845] Port=1 RD @01 + 2844.50ns INFO [00002846] Port=1 RD @05 + 2845.50ns INFO [00002847] * RD COMPARE * port=1 adr=01 act=269C637CBC01104799 exp=269C637CBC01104799 + 2845.50ns INFO [00002847] Port=0 RD @06 + 2845.50ns INFO [00002847] Port=1 RD @05 + 2846.50ns INFO [00002848] * RD COMPARE * port=1 adr=05 act=D81ACD7D5017AE396C exp=D81ACD7D5017AE396C + 2846.50ns INFO [00002848] Port=0 RD @05 + 2847.50ns INFO [00002849] * RD COMPARE * port=0 adr=06 act=D50E36EC4305E12D99 exp=D50E36EC4305E12D99 + 2847.50ns INFO [00002849] * RD COMPARE * port=1 adr=05 act=D81ACD7D5017AE396C exp=D81ACD7D5017AE396C + 2847.50ns INFO [00002849] Port=1 RD @06 + 2848.50ns INFO [00002850] * RD COMPARE * port=0 adr=05 act=D81ACD7D5017AE396C exp=D81ACD7D5017AE396C + 2848.50ns INFO [00002850] Port=0 WR @07=716B7865B1E2E189F8 + 2849.50ns INFO [00002851] * RD COMPARE * port=1 adr=06 act=D50E36EC4305E12D99 exp=D50E36EC4305E12D99 + 2851.50ns INFO [00002853] Port=1 RD @05 + 2853.50ns INFO [00002855] * RD COMPARE * port=1 adr=05 act=D81ACD7D5017AE396C exp=D81ACD7D5017AE396C + 2853.50ns INFO [00002855] Port=0 WR @05=0A96EC68FCBA87C85D + 2853.50ns INFO [00002855] Port=1 RD @01 + 2854.50ns INFO [00002856] Port=0 RD @05 + 2855.50ns INFO [00002857] * RD COMPARE * port=1 adr=01 act=269C637CBC01104799 exp=269C637CBC01104799 + 2855.50ns INFO [00002857] Port=0 WR @04=7F5C64707239817A7D + 2855.50ns INFO [00002857] Port=0 RD @06 + 2856.50ns INFO [00002858] * RD COMPARE * port=0 adr=05 act=0A96EC68FCBA87C85D exp=0A96EC68FCBA87C85D + 2856.50ns INFO [00002858] Port=0 WR @06=B5600A81DA5665FDCC + 2857.50ns INFO [00002859] * RD COMPARE * port=0 adr=06 act=D50E36EC4305E12D99 exp=D50E36EC4305E12D99 + 2857.50ns INFO [00002859] Port=0 RD @07 + 2858.50ns INFO [00002860] Port=0 RD @03 + 2859.50ns INFO [00002861] * RD COMPARE * port=0 adr=07 act=716B7865B1E2E189F8 exp=716B7865B1E2E189F8 + 2860.50ns INFO [00002862] * RD COMPARE * port=0 adr=03 act=F9877498EE984FD6CF exp=F9877498EE984FD6CF + 2860.50ns INFO [00002862] Port=0 WR @06=B99C7B043B2CC54E33 + 2861.50ns INFO [00002863] Port=0 WR @00=CA2E1BEE8D2BE2CF07 + 2863.50ns INFO [00002865] Port=0 RD @05 + 2864.50ns INFO [00002866] Port=0 WR @06=B84875FFAABA98DAFF + 2864.50ns INFO [00002866] Port=1 RD @04 + 2865.50ns INFO [00002867] * RD COMPARE * port=0 adr=05 act=0A96EC68FCBA87C85D exp=0A96EC68FCBA87C85D + 2866.50ns INFO [00002868] * RD COMPARE * port=1 adr=04 act=7F5C64707239817A7D exp=7F5C64707239817A7D + 2866.50ns INFO [00002868] Port=0 RD @04 + 2866.50ns INFO [00002868] Port=1 RD @03 + 2867.50ns INFO [00002869] Port=0 WR @03=539F3C3DF6959F33C7 + 2867.50ns INFO [00002869] Port=0 RD @00 + 2868.50ns INFO [00002870] * RD COMPARE * port=0 adr=04 act=7F5C64707239817A7D exp=7F5C64707239817A7D + 2868.50ns INFO [00002870] * RD COMPARE * port=1 adr=03 act=F9877498EE984FD6CF exp=F9877498EE984FD6CF + 2869.50ns INFO [00002871] * RD COMPARE * port=0 adr=00 act=CA2E1BEE8D2BE2CF07 exp=CA2E1BEE8D2BE2CF07 + 2869.50ns INFO [00002871] Port=1 RD @04 + 2870.50ns INFO [00002872] Port=0 WR @05=1EED43889E81528E0C + 2870.50ns INFO [00002872] Port=0 RD @07 + 2871.50ns INFO [00002873] * RD COMPARE * port=1 adr=04 act=7F5C64707239817A7D exp=7F5C64707239817A7D + 2871.50ns INFO [00002873] Port=1 RD @03 + 2872.50ns INFO [00002874] * RD COMPARE * port=0 adr=07 act=716B7865B1E2E189F8 exp=716B7865B1E2E189F8 + 2872.50ns INFO [00002874] Port=0 RD @07 + 2873.50ns INFO [00002875] * RD COMPARE * port=1 adr=03 act=539F3C3DF6959F33C7 exp=539F3C3DF6959F33C7 + 2873.50ns INFO [00002875] Port=0 WR @04=6D3D6030ABE434CC81 + 2874.50ns INFO [00002876] * RD COMPARE * port=0 adr=07 act=716B7865B1E2E189F8 exp=716B7865B1E2E189F8 + 2874.50ns INFO [00002876] Port=0 RD @05 + 2875.50ns INFO [00002877] Port=0 RD @05 + 2876.50ns INFO [00002878] * RD COMPARE * port=0 adr=05 act=1EED43889E81528E0C exp=1EED43889E81528E0C + 2876.50ns INFO [00002878] Port=0 WR @00=475A4B85097F4A68BF + 2876.50ns INFO [00002878] Port=0 RD @03 + 2877.50ns INFO [00002879] * RD COMPARE * port=0 adr=05 act=1EED43889E81528E0C exp=1EED43889E81528E0C + 2878.50ns INFO [00002880] * RD COMPARE * port=0 adr=03 act=539F3C3DF6959F33C7 exp=539F3C3DF6959F33C7 + 2878.50ns INFO [00002880] Port=0 RD @01 + 2878.50ns INFO [00002880] Port=1 RD @03 + 2879.50ns INFO [00002881] Port=0 WR @00=EB983E0B8C67F41D31 + 2879.50ns INFO [00002881] Port=1 RD @05 + 2880.50ns INFO [00002882] * RD COMPARE * port=0 adr=01 act=269C637CBC01104799 exp=269C637CBC01104799 + 2880.50ns INFO [00002882] * RD COMPARE * port=1 adr=03 act=539F3C3DF6959F33C7 exp=539F3C3DF6959F33C7 + 2880.50ns INFO [00002882] Port=0 WR @02=2A08EFDCE75CAF3873 + 2880.50ns INFO [00002882] Port=0 RD @07 + 2881.50ns INFO [00002883] * RD COMPARE * port=1 adr=05 act=1EED43889E81528E0C exp=1EED43889E81528E0C + 2881.50ns INFO [00002883] Port=0 RD @05 + 2882.50ns INFO [00002884] * RD COMPARE * port=0 adr=07 act=716B7865B1E2E189F8 exp=716B7865B1E2E189F8 + 2882.50ns INFO [00002884] Port=0 WR @01=3077EC8C394936C288 + 2882.50ns INFO [00002884] Port=0 RD @05 + 2883.50ns INFO [00002885] * RD COMPARE * port=0 adr=05 act=1EED43889E81528E0C exp=1EED43889E81528E0C + 2883.50ns INFO [00002885] Port=0 RD @02 + 2884.50ns INFO [00002886] * RD COMPARE * port=0 adr=05 act=1EED43889E81528E0C exp=1EED43889E81528E0C + 2884.50ns INFO [00002886] Port=0 WR @00=F7CAF413D471BC3E97 + 2884.50ns INFO [00002886] Port=0 RD @04 + 2884.50ns INFO [00002886] Port=1 RD @04 + 2885.50ns INFO [00002887] * RD COMPARE * port=0 adr=02 act=2A08EFDCE75CAF3873 exp=2A08EFDCE75CAF3873 + 2885.50ns INFO [00002887] Port=1 RD @00 + 2886.50ns INFO [00002888] * RD COMPARE * port=0 adr=04 act=6D3D6030ABE434CC81 exp=6D3D6030ABE434CC81 + 2886.50ns INFO [00002888] * RD COMPARE * port=1 adr=04 act=6D3D6030ABE434CC81 exp=6D3D6030ABE434CC81 + 2886.50ns INFO [00002888] Port=0 RD @01 + 2887.50ns INFO [00002889] * RD COMPARE * port=1 adr=00 act=F7CAF413D471BC3E97 exp=F7CAF413D471BC3E97 + 2887.50ns INFO [00002889] Port=1 RD @07 + 2888.50ns INFO [00002890] * RD COMPARE * port=0 adr=01 act=3077EC8C394936C288 exp=3077EC8C394936C288 + 2889.50ns INFO [00002891] * RD COMPARE * port=1 adr=07 act=716B7865B1E2E189F8 exp=716B7865B1E2E189F8 + 2889.50ns INFO [00002891] Port=0 WR @06=316F356C34B2C1E32A + 2889.50ns INFO [00002891] Port=0 RD @07 + 2890.50ns INFO [00002892] Port=0 RD @03 + 2891.50ns INFO [00002893] * RD COMPARE * port=0 adr=07 act=716B7865B1E2E189F8 exp=716B7865B1E2E189F8 + 2891.50ns INFO [00002893] Port=0 RD @02 + 2891.50ns INFO [00002893] Port=1 RD @06 + 2892.50ns INFO [00002894] * RD COMPARE * port=0 adr=03 act=539F3C3DF6959F33C7 exp=539F3C3DF6959F33C7 + 2893.50ns INFO [00002895] * RD COMPARE * port=0 adr=02 act=2A08EFDCE75CAF3873 exp=2A08EFDCE75CAF3873 + 2893.50ns INFO [00002895] * RD COMPARE * port=1 adr=06 act=316F356C34B2C1E32A exp=316F356C34B2C1E32A + 2898.00ns INFO [00002900] [00002900] ...tick... + 2898.50ns INFO [00002900] Port=0 WR @04=540FDBC67831419BD3 + 2898.50ns INFO [00002900] Port=0 RD @05 + 2899.50ns INFO [00002901] Port=1 RD @07 + 2900.50ns INFO [00002902] * RD COMPARE * port=0 adr=05 act=1EED43889E81528E0C exp=1EED43889E81528E0C + 2900.50ns INFO [00002902] Port=0 RD @06 + 2901.50ns INFO [00002903] * RD COMPARE * port=1 adr=07 act=716B7865B1E2E189F8 exp=716B7865B1E2E189F8 + 2901.50ns INFO [00002903] Port=0 WR @04=FAE554157CBC163DAC + 2901.50ns INFO [00002903] Port=0 RD @03 + 2901.50ns INFO [00002903] Port=1 RD @03 + 2902.50ns INFO [00002904] * RD COMPARE * port=0 adr=06 act=316F356C34B2C1E32A exp=316F356C34B2C1E32A + 2902.50ns INFO [00002904] Port=0 WR @05=9AF07CAB8B2FD14FE2 + 2902.50ns INFO [00002904] Port=0 RD @03 + 2902.50ns INFO [00002904] Port=1 RD @02 + 2903.50ns INFO [00002905] * RD COMPARE * port=0 adr=03 act=539F3C3DF6959F33C7 exp=539F3C3DF6959F33C7 + 2903.50ns INFO [00002905] * RD COMPARE * port=1 adr=03 act=539F3C3DF6959F33C7 exp=539F3C3DF6959F33C7 + 2903.50ns INFO [00002905] Port=0 WR @03=F87AC4FD4C80AB2F0F + 2903.50ns INFO [00002905] Port=0 RD @00 + 2904.50ns INFO [00002906] * RD COMPARE * port=0 adr=03 act=539F3C3DF6959F33C7 exp=539F3C3DF6959F33C7 + 2904.50ns INFO [00002906] * RD COMPARE * port=1 adr=02 act=2A08EFDCE75CAF3873 exp=2A08EFDCE75CAF3873 + 2905.50ns INFO [00002907] * RD COMPARE * port=0 adr=00 act=F7CAF413D471BC3E97 exp=F7CAF413D471BC3E97 + 2905.50ns INFO [00002907] Port=0 WR @04=68FD736157D3609A70 + 2905.50ns INFO [00002907] Port=0 RD @03 + 2907.50ns INFO [00002909] * RD COMPARE * port=0 adr=03 act=F87AC4FD4C80AB2F0F exp=F87AC4FD4C80AB2F0F + 2907.50ns INFO [00002909] Port=0 WR @05=AD81A8ECB89E927BC3 + 2907.50ns INFO [00002909] Port=1 RD @01 + 2908.50ns INFO [00002910] Port=0 WR @01=239E4B088912769591 + 2908.50ns INFO [00002910] Port=1 RD @03 + 2909.50ns INFO [00002911] * RD COMPARE * port=1 adr=01 act=3077EC8C394936C288 exp=3077EC8C394936C288 + 2910.50ns INFO [00002912] * RD COMPARE * port=1 adr=03 act=F87AC4FD4C80AB2F0F exp=F87AC4FD4C80AB2F0F + 2910.50ns INFO [00002912] Port=0 RD @03 + 2911.50ns INFO [00002913] Port=0 WR @07=6404315866F68299B1 + 2911.50ns INFO [00002913] Port=0 RD @06 + 2912.50ns INFO [00002914] * RD COMPARE * port=0 adr=03 act=F87AC4FD4C80AB2F0F exp=F87AC4FD4C80AB2F0F + 2913.50ns INFO [00002915] * RD COMPARE * port=0 adr=06 act=316F356C34B2C1E32A exp=316F356C34B2C1E32A + 2913.50ns INFO [00002915] Port=0 WR @03=8B1B80E6FE776309A3 + 2913.50ns INFO [00002915] Port=0 RD @04 + 2915.50ns INFO [00002917] * RD COMPARE * port=0 adr=04 act=68FD736157D3609A70 exp=68FD736157D3609A70 + 2916.50ns INFO [00002918] Port=0 RD @00 + 2918.50ns INFO [00002920] * RD COMPARE * port=0 adr=00 act=F7CAF413D471BC3E97 exp=F7CAF413D471BC3E97 + 2920.50ns INFO [00002922] Port=0 RD @05 + 2920.50ns INFO [00002922] Port=1 RD @03 + 2921.50ns INFO [00002923] Port=1 RD @00 + 2922.50ns INFO [00002924] * RD COMPARE * port=0 adr=05 act=AD81A8ECB89E927BC3 exp=AD81A8ECB89E927BC3 + 2922.50ns INFO [00002924] * RD COMPARE * port=1 adr=03 act=8B1B80E6FE776309A3 exp=8B1B80E6FE776309A3 + 2922.50ns INFO [00002924] Port=0 WR @02=9D05719161FB94708E + 2922.50ns INFO [00002924] Port=0 RD @00 + 2923.50ns INFO [00002925] * RD COMPARE * port=1 adr=00 act=F7CAF413D471BC3E97 exp=F7CAF413D471BC3E97 + 2924.50ns INFO [00002926] * RD COMPARE * port=0 adr=00 act=F7CAF413D471BC3E97 exp=F7CAF413D471BC3E97 + 2924.50ns INFO [00002926] Port=0 RD @00 + 2925.50ns INFO [00002927] Port=0 RD @00 + 2925.50ns INFO [00002927] Port=1 RD @02 + 2926.50ns INFO [00002928] * RD COMPARE * port=0 adr=00 act=F7CAF413D471BC3E97 exp=F7CAF413D471BC3E97 + 2926.50ns INFO [00002928] Port=0 WR @01=112B47604AF8C2DE27 + 2927.50ns INFO [00002929] * RD COMPARE * port=0 adr=00 act=F7CAF413D471BC3E97 exp=F7CAF413D471BC3E97 + 2927.50ns INFO [00002929] * RD COMPARE * port=1 adr=02 act=9D05719161FB94708E exp=9D05719161FB94708E + 2927.50ns INFO [00002929] Port=1 RD @06 + 2928.50ns INFO [00002930] Port=0 WR @00=D0C811BAEF20C7D339 + 2928.50ns INFO [00002930] Port=0 RD @05 + 2929.50ns INFO [00002931] * RD COMPARE * port=1 adr=06 act=316F356C34B2C1E32A exp=316F356C34B2C1E32A + 2929.50ns INFO [00002931] Port=0 RD @04 + 2929.50ns INFO [00002931] Port=1 RD @05 + 2930.50ns INFO [00002932] * RD COMPARE * port=0 adr=05 act=AD81A8ECB89E927BC3 exp=AD81A8ECB89E927BC3 + 2930.50ns INFO [00002932] Port=0 WR @03=2DDEB309ACE8017B03 + 2930.50ns INFO [00002932] Port=1 RD @02 + 2931.50ns INFO [00002933] * RD COMPARE * port=0 adr=04 act=68FD736157D3609A70 exp=68FD736157D3609A70 + 2931.50ns INFO [00002933] * RD COMPARE * port=1 adr=05 act=AD81A8ECB89E927BC3 exp=AD81A8ECB89E927BC3 + 2931.50ns INFO [00002933] Port=0 RD @00 + 2932.50ns INFO [00002934] * RD COMPARE * port=1 adr=02 act=9D05719161FB94708E exp=9D05719161FB94708E + 2932.50ns INFO [00002934] Port=0 RD @07 + 2933.50ns INFO [00002935] * RD COMPARE * port=0 adr=00 act=D0C811BAEF20C7D339 exp=D0C811BAEF20C7D339 + 2934.50ns INFO [00002936] * RD COMPARE * port=0 adr=07 act=6404315866F68299B1 exp=6404315866F68299B1 + 2936.50ns INFO [00002938] Port=0 WR @04=6ACD10A8FB9F024485 + 2937.50ns INFO [00002939] Port=0 RD @04 + 2939.50ns INFO [00002941] * RD COMPARE * port=0 adr=04 act=6ACD10A8FB9F024485 exp=6ACD10A8FB9F024485 + 2939.50ns INFO [00002941] Port=1 RD @03 + 2941.50ns INFO [00002943] * RD COMPARE * port=1 adr=03 act=2DDEB309ACE8017B03 exp=2DDEB309ACE8017B03 + 2941.50ns INFO [00002943] Port=1 RD @03 + 2942.50ns INFO [00002944] Port=0 WR @01=F7A40928D97A1B6F63 + 2943.50ns INFO [00002945] * RD COMPARE * port=1 adr=03 act=2DDEB309ACE8017B03 exp=2DDEB309ACE8017B03 + 2943.50ns INFO [00002945] Port=0 WR @03=5CB1D7AA03C71CF24A + 2944.50ns INFO [00002946] Port=0 WR @02=9AA6FA280F10D12FBA + 2944.50ns INFO [00002946] Port=0 RD @07 + 2945.50ns INFO [00002947] Port=0 WR @01=8FA4F0D8B44AF0F552 + 2945.50ns INFO [00002947] Port=0 RD @02 + 2946.50ns INFO [00002948] * RD COMPARE * port=0 adr=07 act=6404315866F68299B1 exp=6404315866F68299B1 + 2946.50ns INFO [00002948] Port=0 RD @02 + 2946.50ns INFO [00002948] Port=1 RD @04 + 2947.50ns INFO [00002949] * RD COMPARE * port=0 adr=02 act=9AA6FA280F10D12FBA exp=9AA6FA280F10D12FBA + 2947.50ns INFO [00002949] Port=0 WR @04=F4845DC045D8CDCCFC + 2947.50ns INFO [00002949] Port=1 RD @02 + 2948.50ns INFO [00002950] * RD COMPARE * port=0 adr=02 act=9AA6FA280F10D12FBA exp=9AA6FA280F10D12FBA + 2948.50ns INFO [00002950] * RD COMPARE * port=1 adr=04 act=6ACD10A8FB9F024485 exp=6ACD10A8FB9F024485 + 2948.50ns INFO [00002950] Port=0 RD @07 + 2949.50ns INFO [00002951] * RD COMPARE * port=1 adr=02 act=9AA6FA280F10D12FBA exp=9AA6FA280F10D12FBA + 2949.50ns INFO [00002951] Port=0 WR @05=FBC744A8526EF88DF7 + 2949.50ns INFO [00002951] Port=0 RD @01 + 2950.50ns INFO [00002952] * RD COMPARE * port=0 adr=07 act=6404315866F68299B1 exp=6404315866F68299B1 + 2951.50ns INFO [00002953] * RD COMPARE * port=0 adr=01 act=8FA4F0D8B44AF0F552 exp=8FA4F0D8B44AF0F552 + 2951.50ns INFO [00002953] Port=0 WR @02=4E51C8CF5FF65361D9 + 2951.50ns INFO [00002953] Port=1 RD @03 + 2952.50ns INFO [00002954] Port=0 WR @04=D10BD1F7E2AFB31040 + 2953.50ns INFO [00002955] * RD COMPARE * port=1 adr=03 act=5CB1D7AA03C71CF24A exp=5CB1D7AA03C71CF24A + 2953.50ns INFO [00002955] Port=0 RD @03 + 2953.50ns INFO [00002955] Port=1 RD @04 + 2954.50ns INFO [00002956] Port=0 WR @04=8DE1CCC76596556E92 + 2955.50ns INFO [00002957] * RD COMPARE * port=0 adr=03 act=5CB1D7AA03C71CF24A exp=5CB1D7AA03C71CF24A + 2955.50ns INFO [00002957] * RD COMPARE * port=1 adr=04 act=D10BD1F7E2AFB31040 exp=D10BD1F7E2AFB31040 + 2955.50ns INFO [00002957] Port=0 WR @00=CDBE91DB85580AC4FD + 2955.50ns INFO [00002957] Port=1 RD @02 + 2956.50ns INFO [00002958] Port=1 RD @06 + 2957.50ns INFO [00002959] * RD COMPARE * port=1 adr=02 act=4E51C8CF5FF65361D9 exp=4E51C8CF5FF65361D9 + 2957.50ns INFO [00002959] Port=0 WR @03=6E22F28199263F9C65 + 2957.50ns INFO [00002959] Port=1 RD @00 + 2958.50ns INFO [00002960] * RD COMPARE * port=1 adr=06 act=316F356C34B2C1E32A exp=316F356C34B2C1E32A + 2959.50ns INFO [00002961] * RD COMPARE * port=1 adr=00 act=CDBE91DB85580AC4FD exp=CDBE91DB85580AC4FD + 2959.50ns INFO [00002961] Port=0 WR @07=E1AA8FC9DB9AB46811 + 2960.50ns INFO [00002962] Port=0 RD @00 + 2961.50ns INFO [00002963] Port=0 WR @06=F8BFD02FEC5AD8FF95 + 2961.50ns INFO [00002963] Port=1 RD @05 + 2962.50ns INFO [00002964] * RD COMPARE * port=0 adr=00 act=CDBE91DB85580AC4FD exp=CDBE91DB85580AC4FD + 2963.50ns INFO [00002965] * RD COMPARE * port=1 adr=05 act=FBC744A8526EF88DF7 exp=FBC744A8526EF88DF7 + 2964.50ns INFO [00002966] Port=0 WR @07=B7A0C01FC1DE3AD585 + 2964.50ns INFO [00002966] Port=0 RD @00 + 2965.50ns INFO [00002967] Port=0 RD @05 + 2966.50ns INFO [00002968] * RD COMPARE * port=0 adr=00 act=CDBE91DB85580AC4FD exp=CDBE91DB85580AC4FD + 2966.50ns INFO [00002968] Port=0 RD @00 + 2967.50ns INFO [00002969] * RD COMPARE * port=0 adr=05 act=FBC744A8526EF88DF7 exp=FBC744A8526EF88DF7 + 2968.50ns INFO [00002970] * RD COMPARE * port=0 adr=00 act=CDBE91DB85580AC4FD exp=CDBE91DB85580AC4FD + 2968.50ns INFO [00002970] Port=0 WR @06=7A493D439C5E96E9B5 + 2968.50ns INFO [00002970] Port=0 RD @01 + 2969.50ns INFO [00002971] Port=0 WR @00=157C75B4F05B24DF2E + 2969.50ns INFO [00002971] Port=0 RD @03 + 2969.50ns INFO [00002971] Port=1 RD @07 + 2970.50ns INFO [00002972] * RD COMPARE * port=0 adr=01 act=8FA4F0D8B44AF0F552 exp=8FA4F0D8B44AF0F552 + 2970.50ns INFO [00002972] Port=0 WR @06=37930789E550660A3B + 2970.50ns INFO [00002972] Port=1 RD @05 + 2971.50ns INFO [00002973] * RD COMPARE * port=0 adr=03 act=6E22F28199263F9C65 exp=6E22F28199263F9C65 + 2971.50ns INFO [00002973] * RD COMPARE * port=1 adr=07 act=B7A0C01FC1DE3AD585 exp=B7A0C01FC1DE3AD585 + 2971.50ns INFO [00002973] Port=1 RD @01 + 2972.50ns INFO [00002974] * RD COMPARE * port=1 adr=05 act=FBC744A8526EF88DF7 exp=FBC744A8526EF88DF7 + 2972.50ns INFO [00002974] Port=0 RD @03 + 2973.50ns INFO [00002975] * RD COMPARE * port=1 adr=01 act=8FA4F0D8B44AF0F552 exp=8FA4F0D8B44AF0F552 + 2973.50ns INFO [00002975] Port=0 WR @07=88CB876E2EC6FB7513 + 2973.50ns INFO [00002975] Port=0 RD @04 + 2973.50ns INFO [00002975] Port=1 RD @04 + 2974.50ns INFO [00002976] * RD COMPARE * port=0 adr=03 act=6E22F28199263F9C65 exp=6E22F28199263F9C65 + 2974.50ns INFO [00002976] Port=1 RD @02 + 2975.50ns INFO [00002977] * RD COMPARE * port=0 adr=04 act=8DE1CCC76596556E92 exp=8DE1CCC76596556E92 + 2975.50ns INFO [00002977] * RD COMPARE * port=1 adr=04 act=8DE1CCC76596556E92 exp=8DE1CCC76596556E92 + 2975.50ns INFO [00002977] Port=1 RD @04 + 2976.50ns INFO [00002978] * RD COMPARE * port=1 adr=02 act=4E51C8CF5FF65361D9 exp=4E51C8CF5FF65361D9 + 2976.50ns INFO [00002978] Port=1 RD @06 + 2977.50ns INFO [00002979] * RD COMPARE * port=1 adr=04 act=8DE1CCC76596556E92 exp=8DE1CCC76596556E92 + 2978.50ns INFO [00002980] * RD COMPARE * port=1 adr=06 act=37930789E550660A3B exp=37930789E550660A3B + 2978.50ns INFO [00002980] Port=0 WR @06=051427E95300238F23 + 2978.50ns INFO [00002980] Port=0 RD @07 + 2979.50ns INFO [00002981] Port=0 WR @02=EF7B631950D910CDC7 + 2980.50ns INFO [00002982] * RD COMPARE * port=0 adr=07 act=88CB876E2EC6FB7513 exp=88CB876E2EC6FB7513 + 2980.50ns INFO [00002982] Port=0 RD @02 + 2981.50ns INFO [00002983] Port=0 WR @01=FD22B4F78C77C8D2CA + 2981.50ns INFO [00002983] Port=0 RD @03 + 2982.50ns INFO [00002984] * RD COMPARE * port=0 adr=02 act=EF7B631950D910CDC7 exp=EF7B631950D910CDC7 + 2983.50ns INFO [00002985] * RD COMPARE * port=0 adr=03 act=6E22F28199263F9C65 exp=6E22F28199263F9C65 + 2983.50ns INFO [00002985] Port=0 RD @00 + 2983.50ns INFO [00002985] Port=1 RD @05 + 2984.50ns INFO [00002986] Port=0 WR @07=73D28A2264A2C76EF0 + 2984.50ns INFO [00002986] Port=1 RD @05 + 2985.50ns INFO [00002987] * RD COMPARE * port=0 adr=00 act=157C75B4F05B24DF2E exp=157C75B4F05B24DF2E + 2985.50ns INFO [00002987] * RD COMPARE * port=1 adr=05 act=FBC744A8526EF88DF7 exp=FBC744A8526EF88DF7 + 2985.50ns INFO [00002987] Port=1 RD @06 + 2986.50ns INFO [00002988] * RD COMPARE * port=1 adr=05 act=FBC744A8526EF88DF7 exp=FBC744A8526EF88DF7 + 2987.50ns INFO [00002989] * RD COMPARE * port=1 adr=06 act=051427E95300238F23 exp=051427E95300238F23 + 2987.50ns INFO [00002989] Port=0 WR @00=BFF37A6BED11C6332D + 2988.50ns INFO [00002990] Port=0 RD @00 + 2988.50ns INFO [00002990] Port=1 RD @07 + 2989.50ns INFO [00002991] Port=0 WR @01=DD677719D81C354EFA + 2989.50ns INFO [00002991] Port=0 RD @07 + 2990.50ns INFO [00002992] * RD COMPARE * port=0 adr=00 act=BFF37A6BED11C6332D exp=BFF37A6BED11C6332D + 2990.50ns INFO [00002992] * RD COMPARE * port=1 adr=07 act=73D28A2264A2C76EF0 exp=73D28A2264A2C76EF0 + 2990.50ns INFO [00002992] Port=1 RD @02 + 2991.50ns INFO [00002993] * RD COMPARE * port=0 adr=07 act=73D28A2264A2C76EF0 exp=73D28A2264A2C76EF0 + 2991.50ns INFO [00002993] Port=0 WR @03=F3AFFFD33FC1D6961F + 2992.50ns INFO [00002994] * RD COMPARE * port=1 adr=02 act=EF7B631950D910CDC7 exp=EF7B631950D910CDC7 + 2993.50ns INFO [00002995] Port=0 WR @00=7BDAFADAAD80258AC0 + 2994.50ns INFO [00002996] Port=0 RD @01 + 2995.50ns INFO [00002997] Port=0 WR @07=A1A531C205D3133EF1 + 2995.50ns INFO [00002997] Port=0 RD @02 + 2996.50ns INFO [00002998] * RD COMPARE * port=0 adr=01 act=DD677719D81C354EFA exp=DD677719D81C354EFA + 2996.50ns INFO [00002998] Port=0 RD @04 + 2997.50ns INFO [00002999] * RD COMPARE * port=0 adr=02 act=EF7B631950D910CDC7 exp=EF7B631950D910CDC7 + 2997.50ns INFO [00002999] Port=0 RD @07 + 2998.00ns INFO [00003000] [00003000] ...tick... + 2998.50ns INFO [00003000] * RD COMPARE * port=0 adr=04 act=8DE1CCC76596556E92 exp=8DE1CCC76596556E92 + 2998.50ns INFO [00003000] Port=0 RD @02 + 2999.50ns INFO [00003001] * RD COMPARE * port=0 adr=07 act=A1A531C205D3133EF1 exp=A1A531C205D3133EF1 + 2999.50ns INFO [00003001] Port=0 RD @07 + 3000.50ns INFO [00003002] * RD COMPARE * port=0 adr=02 act=EF7B631950D910CDC7 exp=EF7B631950D910CDC7 + 3001.50ns INFO [00003003] * RD COMPARE * port=0 adr=07 act=A1A531C205D3133EF1 exp=A1A531C205D3133EF1 + 3001.50ns INFO [00003003] Port=0 WR @03=18189BE694C5EE79A1 + 3001.50ns INFO [00003003] Port=1 RD @01 + 3002.50ns INFO [00003004] Port=0 RD @01 + 3003.50ns INFO [00003005] * RD COMPARE * port=1 adr=01 act=DD677719D81C354EFA exp=DD677719D81C354EFA + 3003.50ns INFO [00003005] Port=0 WR @06=FEDED056A492D31082 + 3004.50ns INFO [00003006] * RD COMPARE * port=0 adr=01 act=DD677719D81C354EFA exp=DD677719D81C354EFA + 3004.50ns INFO [00003006] Port=1 RD @00 + 3005.50ns INFO [00003007] Port=0 WR @01=BFBBC34665D290F994 + 3005.50ns INFO [00003007] Port=0 RD @03 + 3005.50ns INFO [00003007] Port=1 RD @02 + 3006.50ns INFO [00003008] * RD COMPARE * port=1 adr=00 act=7BDAFADAAD80258AC0 exp=7BDAFADAAD80258AC0 + 3007.50ns INFO [00003009] * RD COMPARE * port=0 adr=03 act=18189BE694C5EE79A1 exp=18189BE694C5EE79A1 + 3007.50ns INFO [00003009] * RD COMPARE * port=1 adr=02 act=EF7B631950D910CDC7 exp=EF7B631950D910CDC7 + 3007.50ns INFO [00003009] Port=0 RD @06 + 3008.50ns INFO [00003010] Port=0 RD @04 + 3009.50ns INFO [00003011] * RD COMPARE * port=0 adr=06 act=FEDED056A492D31082 exp=FEDED056A492D31082 + 3010.50ns INFO [00003012] * RD COMPARE * port=0 adr=04 act=8DE1CCC76596556E92 exp=8DE1CCC76596556E92 + 3011.50ns INFO [00003013] Port=0 RD @01 + 3013.50ns INFO [00003015] * RD COMPARE * port=0 adr=01 act=BFBBC34665D290F994 exp=BFBBC34665D290F994 + 3013.50ns INFO [00003015] Port=0 WR @05=D9771DB06ECEBE607F + 3013.50ns INFO [00003015] Port=0 RD @01 + 3013.50ns INFO [00003015] Port=1 RD @02 + 3015.50ns INFO [00003017] * RD COMPARE * port=0 adr=01 act=BFBBC34665D290F994 exp=BFBBC34665D290F994 + 3015.50ns INFO [00003017] * RD COMPARE * port=1 adr=02 act=EF7B631950D910CDC7 exp=EF7B631950D910CDC7 + 3015.50ns INFO [00003017] Port=0 WR @03=A6206A3D080F0B7A2C + 3015.50ns INFO [00003017] Port=0 RD @05 + 3015.50ns INFO [00003017] Port=1 RD @00 + 3016.50ns INFO [00003018] Port=1 RD @01 + 3017.50ns INFO [00003019] * RD COMPARE * port=0 adr=05 act=D9771DB06ECEBE607F exp=D9771DB06ECEBE607F + 3017.50ns INFO [00003019] * RD COMPARE * port=1 adr=00 act=7BDAFADAAD80258AC0 exp=7BDAFADAAD80258AC0 + 3017.50ns INFO [00003019] Port=0 WR @02=75B7144C234E51CB5A + 3017.50ns INFO [00003019] Port=0 RD @06 + 3018.50ns INFO [00003020] * RD COMPARE * port=1 adr=01 act=BFBBC34665D290F994 exp=BFBBC34665D290F994 + 3018.50ns INFO [00003020] Port=0 WR @02=D9BD00ED4E27C22486 + 3018.50ns INFO [00003020] Port=0 RD @01 + 3019.50ns INFO [00003021] * RD COMPARE * port=0 adr=06 act=FEDED056A492D31082 exp=FEDED056A492D31082 + 3019.50ns INFO [00003021] Port=0 RD @02 + 3020.50ns INFO [00003022] * RD COMPARE * port=0 adr=01 act=BFBBC34665D290F994 exp=BFBBC34665D290F994 + 3020.50ns INFO [00003022] Port=0 WR @05=4C031301DA313EA6DC + 3020.50ns INFO [00003022] Port=1 RD @06 + 3021.50ns INFO [00003023] * RD COMPARE * port=0 adr=02 act=D9BD00ED4E27C22486 exp=D9BD00ED4E27C22486 + 3021.50ns INFO [00003023] Port=1 RD @07 + 3022.50ns INFO [00003024] * RD COMPARE * port=1 adr=06 act=FEDED056A492D31082 exp=FEDED056A492D31082 + 3022.50ns INFO [00003024] Port=0 WR @00=E1922BFF5F15626361 + 3022.50ns INFO [00003024] Port=1 RD @02 + 3023.50ns INFO [00003025] * RD COMPARE * port=1 adr=07 act=A1A531C205D3133EF1 exp=A1A531C205D3133EF1 + 3024.50ns INFO [00003026] * RD COMPARE * port=1 adr=02 act=D9BD00ED4E27C22486 exp=D9BD00ED4E27C22486 + 3024.50ns INFO [00003026] Port=0 RD @02 + 3026.50ns INFO [00003028] * RD COMPARE * port=0 adr=02 act=D9BD00ED4E27C22486 exp=D9BD00ED4E27C22486 + 3027.50ns INFO [00003029] Port=0 RD @01 + 3028.50ns INFO [00003030] Port=0 WR @06=EC3429CAA0D881C71F + 3029.50ns INFO [00003031] * RD COMPARE * port=0 adr=01 act=BFBBC34665D290F994 exp=BFBBC34665D290F994 + 3029.50ns INFO [00003031] Port=1 RD @07 + 3030.50ns INFO [00003032] Port=1 RD @04 + 3031.50ns INFO [00003033] * RD COMPARE * port=1 adr=07 act=A1A531C205D3133EF1 exp=A1A531C205D3133EF1 + 3031.50ns INFO [00003033] Port=0 WR @04=D3249C5674CC93924F + 3032.50ns INFO [00003034] * RD COMPARE * port=1 adr=04 act=8DE1CCC76596556E92 exp=8DE1CCC76596556E92 + 3032.50ns INFO [00003034] Port=0 WR @00=4035D3B1322DC56511 + 3032.50ns INFO [00003034] Port=1 RD @02 + 3034.50ns INFO [00003036] * RD COMPARE * port=1 adr=02 act=D9BD00ED4E27C22486 exp=D9BD00ED4E27C22486 + 3035.50ns INFO [00003037] Port=0 WR @06=FAD17349F569BF304E + 3035.50ns INFO [00003037] Port=0 RD @07 + 3037.50ns INFO [00003039] * RD COMPARE * port=0 adr=07 act=A1A531C205D3133EF1 exp=A1A531C205D3133EF1 + 3037.50ns INFO [00003039] Port=1 RD @06 + 3038.50ns INFO [00003040] Port=0 RD @00 + 3039.50ns INFO [00003041] * RD COMPARE * port=1 adr=06 act=FAD17349F569BF304E exp=FAD17349F569BF304E + 3039.50ns INFO [00003041] Port=1 RD @05 + 3040.50ns INFO [00003042] * RD COMPARE * port=0 adr=00 act=4035D3B1322DC56511 exp=4035D3B1322DC56511 + 3040.50ns INFO [00003042] Port=0 RD @05 + 3041.50ns INFO [00003043] * RD COMPARE * port=1 adr=05 act=4C031301DA313EA6DC exp=4C031301DA313EA6DC + 3041.50ns INFO [00003043] Port=0 WR @03=50419D2875DE229D9F + 3042.50ns INFO [00003044] * RD COMPARE * port=0 adr=05 act=4C031301DA313EA6DC exp=4C031301DA313EA6DC + 3043.50ns INFO [00003045] Port=0 WR @07=9B737E256A802F27B9 + 3043.50ns INFO [00003045] Port=1 RD @05 + 3044.50ns INFO [00003046] Port=0 RD @03 + 3045.50ns INFO [00003047] * RD COMPARE * port=1 adr=05 act=4C031301DA313EA6DC exp=4C031301DA313EA6DC + 3045.50ns INFO [00003047] Port=1 RD @02 + 3046.50ns INFO [00003048] * RD COMPARE * port=0 adr=03 act=50419D2875DE229D9F exp=50419D2875DE229D9F + 3046.50ns INFO [00003048] Port=1 RD @07 + 3047.50ns INFO [00003049] * RD COMPARE * port=1 adr=02 act=D9BD00ED4E27C22486 exp=D9BD00ED4E27C22486 + 3047.50ns INFO [00003049] Port=1 RD @04 + 3048.50ns INFO [00003050] * RD COMPARE * port=1 adr=07 act=9B737E256A802F27B9 exp=9B737E256A802F27B9 + 3048.50ns INFO [00003050] Port=0 WR @04=DBA154101D9BB45A32 + 3048.50ns INFO [00003050] Port=0 RD @05 + 3049.50ns INFO [00003051] * RD COMPARE * port=1 adr=04 act=D3249C5674CC93924F exp=D3249C5674CC93924F + 3049.50ns INFO [00003051] Port=0 RD @06 + 3050.50ns INFO [00003052] * RD COMPARE * port=0 adr=05 act=4C031301DA313EA6DC exp=4C031301DA313EA6DC + 3050.50ns INFO [00003052] Port=0 WR @00=8E72701C5E2CE4EC6D + 3050.50ns INFO [00003052] Port=0 RD @06 + 3051.50ns INFO [00003053] * RD COMPARE * port=0 adr=06 act=FAD17349F569BF304E exp=FAD17349F569BF304E + 3052.50ns INFO [00003054] * RD COMPARE * port=0 adr=06 act=FAD17349F569BF304E exp=FAD17349F569BF304E + 3053.50ns INFO [00003055] Port=0 RD @04 + 3054.50ns INFO [00003056] Port=0 WR @04=2996EFA975CD030C55 + 3055.50ns INFO [00003057] * RD COMPARE * port=0 adr=04 act=DBA154101D9BB45A32 exp=DBA154101D9BB45A32 + 3055.50ns INFO [00003057] Port=0 RD @01 + 3056.50ns INFO [00003058] Port=0 RD @03 + 3056.50ns INFO [00003058] Port=1 RD @00 + 3057.50ns INFO [00003059] * RD COMPARE * port=0 adr=01 act=BFBBC34665D290F994 exp=BFBBC34665D290F994 + 3057.50ns INFO [00003059] Port=0 WR @06=F56CC7C27859CCA146 + 3057.50ns INFO [00003059] Port=1 RD @01 + 3058.50ns INFO [00003060] * RD COMPARE * port=0 adr=03 act=50419D2875DE229D9F exp=50419D2875DE229D9F + 3058.50ns INFO [00003060] * RD COMPARE * port=1 adr=00 act=8E72701C5E2CE4EC6D exp=8E72701C5E2CE4EC6D + 3058.50ns INFO [00003060] Port=0 RD @04 + 3059.50ns INFO [00003061] * RD COMPARE * port=1 adr=01 act=BFBBC34665D290F994 exp=BFBBC34665D290F994 + 3059.50ns INFO [00003061] Port=1 RD @03 + 3060.50ns INFO [00003062] * RD COMPARE * port=0 adr=04 act=2996EFA975CD030C55 exp=2996EFA975CD030C55 + 3060.50ns INFO [00003062] Port=0 RD @05 + 3061.50ns INFO [00003063] * RD COMPARE * port=1 adr=03 act=50419D2875DE229D9F exp=50419D2875DE229D9F + 3061.50ns INFO [00003063] Port=0 WR @05=73C0E6FC8675D8E3A5 + 3061.50ns INFO [00003063] Port=0 RD @04 + 3062.50ns INFO [00003064] * RD COMPARE * port=0 adr=05 act=4C031301DA313EA6DC exp=4C031301DA313EA6DC + 3062.50ns INFO [00003064] Port=0 WR @05=2C0923AEAF05872AE8 + 3063.50ns INFO [00003065] * RD COMPARE * port=0 adr=04 act=2996EFA975CD030C55 exp=2996EFA975CD030C55 + 3063.50ns INFO [00003065] Port=0 RD @01 + 3063.50ns INFO [00003065] Port=1 RD @05 + 3064.50ns INFO [00003066] Port=0 WR @03=6950EC472AF873F89A + 3065.50ns INFO [00003067] * RD COMPARE * port=0 adr=01 act=BFBBC34665D290F994 exp=BFBBC34665D290F994 + 3065.50ns INFO [00003067] * RD COMPARE * port=1 adr=05 act=2C0923AEAF05872AE8 exp=2C0923AEAF05872AE8 + 3065.50ns INFO [00003067] Port=0 WR @00=D8BE6A3A4D0C98B56E + 3065.50ns INFO [00003067] Port=0 RD @06 + 3066.50ns INFO [00003068] Port=0 WR @02=BF19BFDDC568B91FBA + 3066.50ns INFO [00003068] Port=0 RD @03 + 3066.50ns INFO [00003068] Port=1 RD @03 + 3067.50ns INFO [00003069] * RD COMPARE * port=0 adr=06 act=F56CC7C27859CCA146 exp=F56CC7C27859CCA146 + 3067.50ns INFO [00003069] Port=1 RD @02 + 3068.50ns INFO [00003070] * RD COMPARE * port=0 adr=03 act=6950EC472AF873F89A exp=6950EC472AF873F89A + 3068.50ns INFO [00003070] * RD COMPARE * port=1 adr=03 act=6950EC472AF873F89A exp=6950EC472AF873F89A + 3068.50ns INFO [00003070] Port=0 WR @00=C7F2A5A2C0D62CF438 + 3069.50ns INFO [00003071] * RD COMPARE * port=1 adr=02 act=BF19BFDDC568B91FBA exp=BF19BFDDC568B91FBA + 3069.50ns INFO [00003071] Port=0 WR @06=C3B584BC9442D01B89 + 3070.50ns INFO [00003072] Port=0 WR @02=255DB5927C4428BF81 + 3070.50ns INFO [00003072] Port=0 RD @05 + 3071.50ns INFO [00003073] Port=0 WR @00=497C115E2CBFAE1984 + 3071.50ns INFO [00003073] Port=0 RD @05 + 3072.50ns INFO [00003074] * RD COMPARE * port=0 adr=05 act=2C0923AEAF05872AE8 exp=2C0923AEAF05872AE8 + 3072.50ns INFO [00003074] Port=0 RD @00 + 3073.50ns INFO [00003075] * RD COMPARE * port=0 adr=05 act=2C0923AEAF05872AE8 exp=2C0923AEAF05872AE8 + 3074.50ns INFO [00003076] * RD COMPARE * port=0 adr=00 act=497C115E2CBFAE1984 exp=497C115E2CBFAE1984 + 3076.50ns INFO [00003078] Port=0 WR @01=2B11882E47AB5001BE + 3076.50ns INFO [00003078] Port=0 RD @04 + 3076.50ns INFO [00003078] Port=1 RD @06 + 3078.50ns INFO [00003080] * RD COMPARE * port=0 adr=04 act=2996EFA975CD030C55 exp=2996EFA975CD030C55 + 3078.50ns INFO [00003080] * RD COMPARE * port=1 adr=06 act=C3B584BC9442D01B89 exp=C3B584BC9442D01B89 + 3080.50ns INFO [00003082] Port=0 WR @04=7EB4B8A11FF5A4E796 + 3081.50ns INFO [00003083] Port=1 RD @06 + 3082.50ns INFO [00003084] Port=0 WR @00=BBADFB6247EC5D5060 + 3082.50ns INFO [00003084] Port=0 RD @06 + 3083.50ns INFO [00003085] * RD COMPARE * port=1 adr=06 act=C3B584BC9442D01B89 exp=C3B584BC9442D01B89 + 3083.50ns INFO [00003085] Port=1 RD @01 + 3084.50ns INFO [00003086] * RD COMPARE * port=0 adr=06 act=C3B584BC9442D01B89 exp=C3B584BC9442D01B89 + 3084.50ns INFO [00003086] Port=0 RD @00 + 3084.50ns INFO [00003086] Port=1 RD @07 + 3085.50ns INFO [00003087] * RD COMPARE * port=1 adr=01 act=2B11882E47AB5001BE exp=2B11882E47AB5001BE + 3085.50ns INFO [00003087] Port=1 RD @05 + 3086.50ns INFO [00003088] * RD COMPARE * port=0 adr=00 act=BBADFB6247EC5D5060 exp=BBADFB6247EC5D5060 + 3086.50ns INFO [00003088] * RD COMPARE * port=1 adr=07 act=9B737E256A802F27B9 exp=9B737E256A802F27B9 + 3086.50ns INFO [00003088] Port=0 RD @07 + 3087.50ns INFO [00003089] * RD COMPARE * port=1 adr=05 act=2C0923AEAF05872AE8 exp=2C0923AEAF05872AE8 + 3087.50ns INFO [00003089] Port=0 RD @03 + 3087.50ns INFO [00003089] Port=1 RD @04 + 3088.50ns INFO [00003090] * RD COMPARE * port=0 adr=07 act=9B737E256A802F27B9 exp=9B737E256A802F27B9 + 3088.50ns INFO [00003090] Port=0 RD @05 + 3088.50ns INFO [00003090] Port=1 RD @00 + 3089.50ns INFO [00003091] * RD COMPARE * port=0 adr=03 act=6950EC472AF873F89A exp=6950EC472AF873F89A + 3089.50ns INFO [00003091] * RD COMPARE * port=1 adr=04 act=7EB4B8A11FF5A4E796 exp=7EB4B8A11FF5A4E796 + 3089.50ns INFO [00003091] Port=0 WR @07=133B717AA03976F1D5 + 3089.50ns INFO [00003091] Port=0 RD @04 + 3090.50ns INFO [00003092] * RD COMPARE * port=0 adr=05 act=2C0923AEAF05872AE8 exp=2C0923AEAF05872AE8 + 3090.50ns INFO [00003092] * RD COMPARE * port=1 adr=00 act=BBADFB6247EC5D5060 exp=BBADFB6247EC5D5060 + 3090.50ns INFO [00003092] Port=1 RD @07 + 3091.50ns INFO [00003093] * RD COMPARE * port=0 adr=04 act=7EB4B8A11FF5A4E796 exp=7EB4B8A11FF5A4E796 + 3091.50ns INFO [00003093] Port=0 WR @04=3FC6B07A62AD43ADC5 + 3091.50ns INFO [00003093] Port=0 RD @06 + 3091.50ns INFO [00003093] Port=1 RD @02 + 3092.50ns INFO [00003094] * RD COMPARE * port=1 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3092.50ns INFO [00003094] Port=0 RD @06 + 3093.50ns INFO [00003095] * RD COMPARE * port=0 adr=06 act=C3B584BC9442D01B89 exp=C3B584BC9442D01B89 + 3093.50ns INFO [00003095] * RD COMPARE * port=1 adr=02 act=255DB5927C4428BF81 exp=255DB5927C4428BF81 + 3093.50ns INFO [00003095] Port=1 RD @03 + 3094.50ns INFO [00003096] * RD COMPARE * port=0 adr=06 act=C3B584BC9442D01B89 exp=C3B584BC9442D01B89 + 3094.50ns INFO [00003096] Port=0 RD @06 + 3094.50ns INFO [00003096] Port=1 RD @00 + 3095.50ns INFO [00003097] * RD COMPARE * port=1 adr=03 act=6950EC472AF873F89A exp=6950EC472AF873F89A + 3095.50ns INFO [00003097] Port=0 WR @00=76504778301E07C258 + 3095.50ns INFO [00003097] Port=0 RD @07 + 3096.50ns INFO [00003098] * RD COMPARE * port=0 adr=06 act=C3B584BC9442D01B89 exp=C3B584BC9442D01B89 + 3096.50ns INFO [00003098] * RD COMPARE * port=1 adr=00 act=BBADFB6247EC5D5060 exp=BBADFB6247EC5D5060 + 3097.50ns INFO [00003099] * RD COMPARE * port=0 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3097.50ns INFO [00003099] Port=1 RD @06 + 3098.00ns INFO [00003100] [00003100] ...tick... + 3098.50ns INFO [00003100] Port=0 WR @05=178D9A9001983547FB + 3098.50ns INFO [00003100] Port=0 RD @00 + 3099.50ns INFO [00003101] * RD COMPARE * port=1 adr=06 act=C3B584BC9442D01B89 exp=C3B584BC9442D01B89 + 3099.50ns INFO [00003101] Port=1 RD @01 + 3100.50ns INFO [00003102] * RD COMPARE * port=0 adr=00 act=76504778301E07C258 exp=76504778301E07C258 + 3100.50ns INFO [00003102] Port=0 WR @00=E62766B231A526F6A2 + 3100.50ns INFO [00003102] Port=0 RD @07 + 3101.50ns INFO [00003103] * RD COMPARE * port=1 adr=01 act=2B11882E47AB5001BE exp=2B11882E47AB5001BE + 3102.50ns INFO [00003104] * RD COMPARE * port=0 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3102.50ns INFO [00003104] Port=0 RD @07 + 3103.50ns INFO [00003105] Port=0 WR @01=DB703E7272FD34F21E + 3103.50ns INFO [00003105] Port=0 RD @03 + 3104.50ns INFO [00003106] * RD COMPARE * port=0 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3105.50ns INFO [00003107] * RD COMPARE * port=0 adr=03 act=6950EC472AF873F89A exp=6950EC472AF873F89A + 3105.50ns INFO [00003107] Port=0 WR @06=084710B706A04CE829 + 3106.50ns INFO [00003108] Port=0 WR @01=80B06FA8F825AD298A + 3106.50ns INFO [00003108] Port=1 RD @04 + 3108.50ns INFO [00003110] * RD COMPARE * port=1 adr=04 act=3FC6B07A62AD43ADC5 exp=3FC6B07A62AD43ADC5 + 3109.50ns INFO [00003111] Port=0 RD @04 + 3109.50ns INFO [00003111] Port=1 RD @07 + 3110.50ns INFO [00003112] Port=0 WR @01=A77BD58FE4231B7E6B + 3110.50ns INFO [00003112] Port=0 RD @00 + 3111.50ns INFO [00003113] * RD COMPARE * port=0 adr=04 act=3FC6B07A62AD43ADC5 exp=3FC6B07A62AD43ADC5 + 3111.50ns INFO [00003113] * RD COMPARE * port=1 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3111.50ns INFO [00003113] Port=0 RD @07 + 3112.50ns INFO [00003114] * RD COMPARE * port=0 adr=00 act=E62766B231A526F6A2 exp=E62766B231A526F6A2 + 3113.50ns INFO [00003115] * RD COMPARE * port=0 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3113.50ns INFO [00003115] Port=0 RD @00 + 3114.50ns INFO [00003116] Port=0 WR @05=4352AA2DA28BC538C3 + 3114.50ns INFO [00003116] Port=0 RD @03 + 3114.50ns INFO [00003116] Port=1 RD @04 + 3115.50ns INFO [00003117] * RD COMPARE * port=0 adr=00 act=E62766B231A526F6A2 exp=E62766B231A526F6A2 + 3115.50ns INFO [00003117] Port=0 WR @05=27C81BCEBD04D86373 + 3115.50ns INFO [00003117] Port=1 RD @03 + 3116.50ns INFO [00003118] * RD COMPARE * port=0 adr=03 act=6950EC472AF873F89A exp=6950EC472AF873F89A + 3116.50ns INFO [00003118] * RD COMPARE * port=1 adr=04 act=3FC6B07A62AD43ADC5 exp=3FC6B07A62AD43ADC5 + 3117.50ns INFO [00003119] * RD COMPARE * port=1 adr=03 act=6950EC472AF873F89A exp=6950EC472AF873F89A + 3117.50ns INFO [00003119] Port=0 WR @02=8010D81BB72BC35D18 + 3117.50ns INFO [00003119] Port=0 RD @04 + 3117.50ns INFO [00003119] Port=1 RD @06 + 3118.50ns INFO [00003120] Port=0 RD @00 + 3119.50ns INFO [00003121] * RD COMPARE * port=0 adr=04 act=3FC6B07A62AD43ADC5 exp=3FC6B07A62AD43ADC5 + 3119.50ns INFO [00003121] * RD COMPARE * port=1 adr=06 act=084710B706A04CE829 exp=084710B706A04CE829 + 3120.50ns INFO [00003122] * RD COMPARE * port=0 adr=00 act=E62766B231A526F6A2 exp=E62766B231A526F6A2 + 3120.50ns INFO [00003122] Port=0 WR @02=5BB450A38A1EB49EF9 + 3120.50ns INFO [00003122] Port=0 RD @07 + 3121.50ns INFO [00003123] Port=0 RD @03 + 3122.50ns INFO [00003124] * RD COMPARE * port=0 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3122.50ns INFO [00003124] Port=0 WR @01=52D059FC64F7B7F741 + 3123.50ns INFO [00003125] * RD COMPARE * port=0 adr=03 act=6950EC472AF873F89A exp=6950EC472AF873F89A + 3123.50ns INFO [00003125] Port=0 RD @07 + 3123.50ns INFO [00003125] Port=1 RD @03 + 3124.50ns INFO [00003126] Port=0 WR @03=60BF7DE9E9B822A224 + 3125.50ns INFO [00003127] * RD COMPARE * port=0 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3125.50ns INFO [00003127] * RD COMPARE * port=1 adr=03 act=6950EC472AF873F89A exp=6950EC472AF873F89A + 3125.50ns INFO [00003127] Port=0 WR @06=E93CD28CB217E597EC + 3125.50ns INFO [00003127] Port=1 RD @04 + 3126.50ns INFO [00003128] Port=1 RD @02 + 3127.50ns INFO [00003129] * RD COMPARE * port=1 adr=04 act=3FC6B07A62AD43ADC5 exp=3FC6B07A62AD43ADC5 + 3127.50ns INFO [00003129] Port=1 RD @05 + 3128.50ns INFO [00003130] * RD COMPARE * port=1 adr=02 act=5BB450A38A1EB49EF9 exp=5BB450A38A1EB49EF9 + 3129.50ns INFO [00003131] * RD COMPARE * port=1 adr=05 act=27C81BCEBD04D86373 exp=27C81BCEBD04D86373 + 3129.50ns INFO [00003131] Port=0 RD @07 + 3129.50ns INFO [00003131] Port=1 RD @00 + 3130.50ns INFO [00003132] Port=0 WR @01=C7A6E5437CA2274C98 + 3130.50ns INFO [00003132] Port=1 RD @06 + 3131.50ns INFO [00003133] * RD COMPARE * port=0 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3131.50ns INFO [00003133] * RD COMPARE * port=1 adr=00 act=E62766B231A526F6A2 exp=E62766B231A526F6A2 + 3131.50ns INFO [00003133] Port=0 RD @07 + 3132.50ns INFO [00003134] * RD COMPARE * port=1 adr=06 act=E93CD28CB217E597EC exp=E93CD28CB217E597EC + 3132.50ns INFO [00003134] Port=1 RD @06 + 3133.50ns INFO [00003135] * RD COMPARE * port=0 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3134.50ns INFO [00003136] * RD COMPARE * port=1 adr=06 act=E93CD28CB217E597EC exp=E93CD28CB217E597EC + 3135.50ns INFO [00003137] Port=0 WR @01=DAF418C42365EB737C + 3136.50ns INFO [00003138] Port=0 WR @00=AD8271448535B1E742 + 3138.50ns INFO [00003140] Port=0 WR @03=8014354E5780098B09 + 3138.50ns INFO [00003140] Port=1 RD @07 + 3140.50ns INFO [00003142] * RD COMPARE * port=1 adr=07 act=133B717AA03976F1D5 exp=133B717AA03976F1D5 + 3140.50ns INFO [00003142] Port=1 RD @00 + 3141.50ns INFO [00003143] Port=0 WR @07=DDDC184EA6F65688F6 + 3142.50ns INFO [00003144] * RD COMPARE * port=1 adr=00 act=AD8271448535B1E742 exp=AD8271448535B1E742 + 3142.50ns INFO [00003144] Port=0 WR @03=01FEB5C0B0ADE88EC0 + 3142.50ns INFO [00003144] Port=1 RD @01 + 3143.50ns INFO [00003145] Port=0 RD @05 + 3143.50ns INFO [00003145] Port=1 RD @00 + 3144.50ns INFO [00003146] * RD COMPARE * port=1 adr=01 act=DAF418C42365EB737C exp=DAF418C42365EB737C + 3145.50ns INFO [00003147] * RD COMPARE * port=0 adr=05 act=27C81BCEBD04D86373 exp=27C81BCEBD04D86373 + 3145.50ns INFO [00003147] * RD COMPARE * port=1 adr=00 act=AD8271448535B1E742 exp=AD8271448535B1E742 + 3145.50ns INFO [00003147] Port=0 WR @07=815CB233456F904C31 + 3146.50ns INFO [00003148] Port=0 RD @01 + 3147.50ns INFO [00003149] Port=0 WR @07=244C88D1EC891966B6 + 3147.50ns INFO [00003149] Port=0 RD @04 + 3148.50ns INFO [00003150] * RD COMPARE * port=0 adr=01 act=DAF418C42365EB737C exp=DAF418C42365EB737C + 3149.50ns INFO [00003151] * RD COMPARE * port=0 adr=04 act=3FC6B07A62AD43ADC5 exp=3FC6B07A62AD43ADC5 + 3149.50ns INFO [00003151] Port=0 RD @03 + 3150.50ns INFO [00003152] Port=0 WR @04=7C1083A47C8CAD3782 + 3150.50ns INFO [00003152] Port=1 RD @03 + 3151.50ns INFO [00003153] * RD COMPARE * port=0 adr=03 act=01FEB5C0B0ADE88EC0 exp=01FEB5C0B0ADE88EC0 + 3151.50ns INFO [00003153] Port=1 RD @01 + 3152.50ns INFO [00003154] * RD COMPARE * port=1 adr=03 act=01FEB5C0B0ADE88EC0 exp=01FEB5C0B0ADE88EC0 + 3152.50ns INFO [00003154] Port=0 RD @00 + 3153.50ns INFO [00003155] * RD COMPARE * port=1 adr=01 act=DAF418C42365EB737C exp=DAF418C42365EB737C + 3154.50ns INFO [00003156] * RD COMPARE * port=0 adr=00 act=AD8271448535B1E742 exp=AD8271448535B1E742 + 3155.50ns INFO [00003157] Port=0 WR @07=11A7356E1969C3646F + 3156.50ns INFO [00003158] Port=0 WR @07=2ABE78C74F31B0D33C + 3156.50ns INFO [00003158] Port=1 RD @04 + 3157.50ns INFO [00003159] Port=0 WR @05=C11ADD735AC91609CC + 3157.50ns INFO [00003159] Port=0 RD @00 + 3158.50ns INFO [00003160] * RD COMPARE * port=1 adr=04 act=7C1083A47C8CAD3782 exp=7C1083A47C8CAD3782 + 3159.50ns INFO [00003161] * RD COMPARE * port=0 adr=00 act=AD8271448535B1E742 exp=AD8271448535B1E742 + 3159.50ns INFO [00003161] Port=0 WR @05=1EC335DB8BBAE71814 + 3159.50ns INFO [00003161] Port=0 RD @02 + 3159.50ns INFO [00003161] Port=1 RD @07 + 3161.50ns INFO [00003163] * RD COMPARE * port=0 adr=02 act=5BB450A38A1EB49EF9 exp=5BB450A38A1EB49EF9 + 3161.50ns INFO [00003163] * RD COMPARE * port=1 adr=07 act=2ABE78C74F31B0D33C exp=2ABE78C74F31B0D33C + 3162.50ns INFO [00003164] Port=1 RD @00 + 3164.50ns INFO [00003166] * RD COMPARE * port=1 adr=00 act=AD8271448535B1E742 exp=AD8271448535B1E742 + 3164.50ns INFO [00003166] Port=1 RD @03 + 3165.50ns INFO [00003167] Port=0 WR @06=635D68C2D54F0CE55A + 3165.50ns INFO [00003167] Port=1 RD @03 + 3166.50ns INFO [00003168] * RD COMPARE * port=1 adr=03 act=01FEB5C0B0ADE88EC0 exp=01FEB5C0B0ADE88EC0 + 3166.50ns INFO [00003168] Port=0 WR @05=0C4887385502526CC4 + 3167.50ns INFO [00003169] * RD COMPARE * port=1 adr=03 act=01FEB5C0B0ADE88EC0 exp=01FEB5C0B0ADE88EC0 + 3167.50ns INFO [00003169] Port=0 WR @01=92F6DB5D01E7368B98 + 3168.50ns INFO [00003170] Port=0 RD @07 + 3168.50ns INFO [00003170] Port=1 RD @06 + 3170.50ns INFO [00003172] * RD COMPARE * port=0 adr=07 act=2ABE78C74F31B0D33C exp=2ABE78C74F31B0D33C + 3170.50ns INFO [00003172] * RD COMPARE * port=1 adr=06 act=635D68C2D54F0CE55A exp=635D68C2D54F0CE55A + 3171.50ns INFO [00003173] Port=0 WR @05=3C4DCA5B2299D5EE90 + 3171.50ns INFO [00003173] Port=0 RD @00 + 3171.50ns INFO [00003173] Port=1 RD @07 + 3172.50ns INFO [00003174] Port=0 RD @03 + 3173.50ns INFO [00003175] * RD COMPARE * port=0 adr=00 act=AD8271448535B1E742 exp=AD8271448535B1E742 + 3173.50ns INFO [00003175] * RD COMPARE * port=1 adr=07 act=2ABE78C74F31B0D33C exp=2ABE78C74F31B0D33C + 3173.50ns INFO [00003175] Port=0 WR @03=CFBA61829F5116D3DD + 3173.50ns INFO [00003175] Port=0 RD @04 + 3173.50ns INFO [00003175] Port=1 RD @05 + 3174.50ns INFO [00003176] * RD COMPARE * port=0 adr=03 act=01FEB5C0B0ADE88EC0 exp=01FEB5C0B0ADE88EC0 + 3174.50ns INFO [00003176] Port=0 RD @07 + 3174.50ns INFO [00003176] Port=1 RD @03 + 3175.50ns INFO [00003177] * RD COMPARE * port=0 adr=04 act=7C1083A47C8CAD3782 exp=7C1083A47C8CAD3782 + 3175.50ns INFO [00003177] * RD COMPARE * port=1 adr=05 act=3C4DCA5B2299D5EE90 exp=3C4DCA5B2299D5EE90 + 3175.50ns INFO [00003177] Port=0 WR @03=D20791F1F1959238CA + 3176.50ns INFO [00003178] * RD COMPARE * port=0 adr=07 act=2ABE78C74F31B0D33C exp=2ABE78C74F31B0D33C + 3176.50ns INFO [00003178] * RD COMPARE * port=1 adr=03 act=CFBA61829F5116D3DD exp=CFBA61829F5116D3DD + 3177.50ns INFO [00003179] Port=0 RD @05 + 3179.50ns INFO [00003181] * RD COMPARE * port=0 adr=05 act=3C4DCA5B2299D5EE90 exp=3C4DCA5B2299D5EE90 + 3180.50ns INFO [00003182] Port=0 WR @07=31877D0D0E8B83B41B + 3180.50ns INFO [00003182] Port=1 RD @01 + 3181.50ns INFO [00003183] Port=0 WR @03=1E86493020E4175609 + 3181.50ns INFO [00003183] Port=0 RD @04 + 3182.50ns INFO [00003184] * RD COMPARE * port=1 adr=01 act=92F6DB5D01E7368B98 exp=92F6DB5D01E7368B98 + 3182.50ns INFO [00003184] Port=0 WR @03=2BA925CD780DF07176 + 3183.50ns INFO [00003185] * RD COMPARE * port=0 adr=04 act=7C1083A47C8CAD3782 exp=7C1083A47C8CAD3782 + 3183.50ns INFO [00003185] Port=0 WR @05=EEC651849B3EAF8694 + 3183.50ns INFO [00003185] Port=0 RD @04 + 3185.50ns INFO [00003187] * RD COMPARE * port=0 adr=04 act=7C1083A47C8CAD3782 exp=7C1083A47C8CAD3782 + 3185.50ns INFO [00003187] Port=0 WR @05=6D001B065E01632EBA + 3185.50ns INFO [00003187] Port=1 RD @07 + 3186.50ns INFO [00003188] Port=0 RD @03 + 3187.50ns INFO [00003189] * RD COMPARE * port=1 adr=07 act=31877D0D0E8B83B41B exp=31877D0D0E8B83B41B + 3187.50ns INFO [00003189] Port=0 RD @07 + 3187.50ns INFO [00003189] Port=1 RD @06 + 3188.50ns INFO [00003190] * RD COMPARE * port=0 adr=03 act=2BA925CD780DF07176 exp=2BA925CD780DF07176 + 3188.50ns INFO [00003190] Port=0 RD @07 + 3188.50ns INFO [00003190] Port=1 RD @06 + 3189.50ns INFO [00003191] * RD COMPARE * port=0 adr=07 act=31877D0D0E8B83B41B exp=31877D0D0E8B83B41B + 3189.50ns INFO [00003191] * RD COMPARE * port=1 adr=06 act=635D68C2D54F0CE55A exp=635D68C2D54F0CE55A + 3190.50ns INFO [00003192] * RD COMPARE * port=0 adr=07 act=31877D0D0E8B83B41B exp=31877D0D0E8B83B41B + 3190.50ns INFO [00003192] * RD COMPARE * port=1 adr=06 act=635D68C2D54F0CE55A exp=635D68C2D54F0CE55A + 3191.50ns INFO [00003193] Port=0 WR @03=AA77B6DD429D6F4683 + 3192.50ns INFO [00003194] Port=1 RD @01 + 3193.50ns INFO [00003195] Port=0 RD @00 + 3194.50ns INFO [00003196] * RD COMPARE * port=1 adr=01 act=92F6DB5D01E7368B98 exp=92F6DB5D01E7368B98 + 3194.50ns INFO [00003196] Port=1 RD @01 + 3195.50ns INFO [00003197] * RD COMPARE * port=0 adr=00 act=AD8271448535B1E742 exp=AD8271448535B1E742 + 3195.50ns INFO [00003197] Port=0 RD @03 + 3196.50ns INFO [00003198] * RD COMPARE * port=1 adr=01 act=92F6DB5D01E7368B98 exp=92F6DB5D01E7368B98 + 3196.50ns INFO [00003198] Port=1 RD @01 + 3197.50ns INFO [00003199] * RD COMPARE * port=0 adr=03 act=AA77B6DD429D6F4683 exp=AA77B6DD429D6F4683 + 3197.50ns INFO [00003199] Port=1 RD @07 + 3198.00ns INFO [00003200] [00003200] ...tick... + 3198.50ns INFO [00003200] * RD COMPARE * port=1 adr=01 act=92F6DB5D01E7368B98 exp=92F6DB5D01E7368B98 + 3199.50ns INFO [00003201] * RD COMPARE * port=1 adr=07 act=31877D0D0E8B83B41B exp=31877D0D0E8B83B41B + 3199.50ns INFO [00003201] Port=0 RD @03 + 3200.50ns INFO [00003202] Port=0 WR @04=4C20CFC0B4DDB6EAA7 + 3201.50ns INFO [00003203] * RD COMPARE * port=0 adr=03 act=AA77B6DD429D6F4683 exp=AA77B6DD429D6F4683 + 3203.50ns INFO [00003205] Port=0 WR @03=0F05F148804E19D988 + 3204.50ns INFO [00003206] Port=0 RD @05 + 3204.50ns INFO [00003206] Port=1 RD @07 + 3205.50ns INFO [00003207] Port=1 RD @02 + 3206.50ns INFO [00003208] * RD COMPARE * port=0 adr=05 act=6D001B065E01632EBA exp=6D001B065E01632EBA + 3206.50ns INFO [00003208] * RD COMPARE * port=1 adr=07 act=31877D0D0E8B83B41B exp=31877D0D0E8B83B41B + 3206.50ns INFO [00003208] Port=0 WR @01=69C5BBFF8314EE7D79 + 3207.50ns INFO [00003209] * RD COMPARE * port=1 adr=02 act=5BB450A38A1EB49EF9 exp=5BB450A38A1EB49EF9 + 3207.50ns INFO [00003209] Port=0 WR @02=B134E12E66443BEB2B + 3207.50ns INFO [00003209] Port=0 RD @06 + 3208.50ns INFO [00003210] Port=0 WR @02=A9A0A670D94FBC0698 + 3208.50ns INFO [00003210] Port=0 RD @06 + 3209.50ns INFO [00003211] * RD COMPARE * port=0 adr=06 act=635D68C2D54F0CE55A exp=635D68C2D54F0CE55A + 3209.50ns INFO [00003211] Port=1 RD @01 + 3210.50ns INFO [00003212] * RD COMPARE * port=0 adr=06 act=635D68C2D54F0CE55A exp=635D68C2D54F0CE55A + 3210.50ns INFO [00003212] Port=0 WR @03=E996F7E9AEADD81577 + 3211.50ns INFO [00003213] * RD COMPARE * port=1 adr=01 act=69C5BBFF8314EE7D79 exp=69C5BBFF8314EE7D79 + 3211.50ns INFO [00003213] Port=0 RD @07 + 3212.50ns INFO [00003214] Port=0 RD @00 + 3212.50ns INFO [00003214] Port=1 RD @05 + 3213.50ns INFO [00003215] * RD COMPARE * port=0 adr=07 act=31877D0D0E8B83B41B exp=31877D0D0E8B83B41B + 3213.50ns INFO [00003215] Port=1 RD @00 + 3214.50ns INFO [00003216] * RD COMPARE * port=0 adr=00 act=AD8271448535B1E742 exp=AD8271448535B1E742 + 3214.50ns INFO [00003216] * RD COMPARE * port=1 adr=05 act=6D001B065E01632EBA exp=6D001B065E01632EBA + 3214.50ns INFO [00003216] Port=0 WR @02=FCBCE2D3DCDC6B3F64 + 3214.50ns INFO [00003216] Port=1 RD @05 + 3215.50ns INFO [00003217] * RD COMPARE * port=1 adr=00 act=AD8271448535B1E742 exp=AD8271448535B1E742 + 3216.50ns INFO [00003218] * RD COMPARE * port=1 adr=05 act=6D001B065E01632EBA exp=6D001B065E01632EBA + 3217.50ns INFO [00003219] Port=0 RD @01 + 3217.50ns INFO [00003219] Port=1 RD @01 + 3219.50ns INFO [00003221] * RD COMPARE * port=0 adr=01 act=69C5BBFF8314EE7D79 exp=69C5BBFF8314EE7D79 + 3219.50ns INFO [00003221] * RD COMPARE * port=1 adr=01 act=69C5BBFF8314EE7D79 exp=69C5BBFF8314EE7D79 + 3219.50ns INFO [00003221] Port=0 WR @07=02B6D4E3B6E5AED277 + 3221.50ns INFO [00003223] Port=0 RD @00 + 3222.50ns INFO [00003224] Port=0 RD @07 + 3223.50ns INFO [00003225] * RD COMPARE * port=0 adr=00 act=AD8271448535B1E742 exp=AD8271448535B1E742 + 3223.50ns INFO [00003225] Port=1 RD @05 + 3224.50ns INFO [00003226] * RD COMPARE * port=0 adr=07 act=02B6D4E3B6E5AED277 exp=02B6D4E3B6E5AED277 + 3224.50ns INFO [00003226] Port=1 RD @04 + 3225.50ns INFO [00003227] * RD COMPARE * port=1 adr=05 act=6D001B065E01632EBA exp=6D001B065E01632EBA + 3225.50ns INFO [00003227] Port=0 WR @03=7FF551575EF5ED5C24 + 3226.50ns INFO [00003228] * RD COMPARE * port=1 adr=04 act=4C20CFC0B4DDB6EAA7 exp=4C20CFC0B4DDB6EAA7 + 3226.50ns INFO [00003228] Port=0 WR @03=49A2176EFA73801D36 + 3226.50ns INFO [00003228] Port=1 RD @05 + 3227.50ns INFO [00003229] Port=1 RD @02 + 3228.50ns INFO [00003230] * RD COMPARE * port=1 adr=05 act=6D001B065E01632EBA exp=6D001B065E01632EBA + 3228.50ns INFO [00003230] Port=1 RD @03 + 3229.50ns INFO [00003231] * RD COMPARE * port=1 adr=02 act=FCBCE2D3DCDC6B3F64 exp=FCBCE2D3DCDC6B3F64 + 3229.50ns INFO [00003231] Port=0 RD @04 + 3230.50ns INFO [00003232] * RD COMPARE * port=1 adr=03 act=49A2176EFA73801D36 exp=49A2176EFA73801D36 + 3230.50ns INFO [00003232] Port=0 WR @06=0DBB248CB7F2F87C0B + 3230.50ns INFO [00003232] Port=1 RD @04 + 3231.50ns INFO [00003233] * RD COMPARE * port=0 adr=04 act=4C20CFC0B4DDB6EAA7 exp=4C20CFC0B4DDB6EAA7 + 3231.50ns INFO [00003233] Port=0 WR @02=A1574A8968B04DFA4C + 3231.50ns INFO [00003233] Port=1 RD @06 + 3232.50ns INFO [00003234] * RD COMPARE * port=1 adr=04 act=4C20CFC0B4DDB6EAA7 exp=4C20CFC0B4DDB6EAA7 + 3232.50ns INFO [00003234] Port=0 WR @01=918E82B079100FDBF0 + 3232.50ns INFO [00003234] Port=1 RD @03 + 3233.50ns INFO [00003235] * RD COMPARE * port=1 adr=06 act=0DBB248CB7F2F87C0B exp=0DBB248CB7F2F87C0B + 3233.50ns INFO [00003235] Port=0 WR @01=601EB90521D767327F + 3234.50ns INFO [00003236] * RD COMPARE * port=1 adr=03 act=49A2176EFA73801D36 exp=49A2176EFA73801D36 + 3234.50ns INFO [00003236] Port=0 WR @07=0A25D3C2C69E273E07 + 3234.50ns INFO [00003236] Port=1 RD @02 + 3235.50ns INFO [00003237] Port=0 WR @04=C197C042479DBD3528 + 3236.50ns INFO [00003238] * RD COMPARE * port=1 adr=02 act=A1574A8968B04DFA4C exp=A1574A8968B04DFA4C + 3238.50ns INFO [00003240] Port=0 WR @00=E3471983D6106AAB0E + 3239.50ns INFO [00003241] Port=0 RD @02 + 3241.50ns INFO [00003243] * RD COMPARE * port=0 adr=02 act=A1574A8968B04DFA4C exp=A1574A8968B04DFA4C + 3241.50ns INFO [00003243] Port=1 RD @03 + 3242.50ns INFO [00003244] Port=0 WR @06=D4CF56061670B8D64C + 3242.50ns INFO [00003244] Port=1 RD @04 + 3243.50ns INFO [00003245] * RD COMPARE * port=1 adr=03 act=49A2176EFA73801D36 exp=49A2176EFA73801D36 + 3243.50ns INFO [00003245] Port=0 WR @04=6E942654B8513992DE + 3244.50ns INFO [00003246] * RD COMPARE * port=1 adr=04 act=C197C042479DBD3528 exp=C197C042479DBD3528 + 3244.50ns INFO [00003246] Port=0 RD @04 + 3244.50ns INFO [00003246] Port=1 RD @01 + 3245.50ns INFO [00003247] Port=0 WR @07=A931E268AD5B72A7B9 + 3245.50ns INFO [00003247] Port=0 RD @04 + 3245.50ns INFO [00003247] Port=1 RD @00 + 3246.50ns INFO [00003248] * RD COMPARE * port=0 adr=04 act=6E942654B8513992DE exp=6E942654B8513992DE + 3246.50ns INFO [00003248] * RD COMPARE * port=1 adr=01 act=601EB90521D767327F exp=601EB90521D767327F + 3246.50ns INFO [00003248] Port=0 RD @00 + 3247.50ns INFO [00003249] * RD COMPARE * port=0 adr=04 act=6E942654B8513992DE exp=6E942654B8513992DE + 3247.50ns INFO [00003249] * RD COMPARE * port=1 adr=00 act=E3471983D6106AAB0E exp=E3471983D6106AAB0E + 3248.50ns INFO [00003250] * RD COMPARE * port=0 adr=00 act=E3471983D6106AAB0E exp=E3471983D6106AAB0E + 3248.50ns INFO [00003250] Port=0 WR @07=2C9A3CB99B743CA361 + 3249.50ns INFO [00003251] Port=0 WR @00=826D05E8C27E7D7844 + 3249.50ns INFO [00003251] Port=0 RD @03 + 3251.50ns INFO [00003253] * RD COMPARE * port=0 adr=03 act=49A2176EFA73801D36 exp=49A2176EFA73801D36 + 3251.50ns INFO [00003253] Port=1 RD @06 + 3252.50ns INFO [00003254] Port=0 WR @01=35E51E93517FC60C1F + 3252.50ns INFO [00003254] Port=1 RD @03 + 3253.50ns INFO [00003255] * RD COMPARE * port=1 adr=06 act=D4CF56061670B8D64C exp=D4CF56061670B8D64C + 3253.50ns INFO [00003255] Port=0 RD @06 + 3253.50ns INFO [00003255] Port=1 RD @05 + 3254.50ns INFO [00003256] * RD COMPARE * port=1 adr=03 act=49A2176EFA73801D36 exp=49A2176EFA73801D36 + 3255.50ns INFO [00003257] * RD COMPARE * port=0 adr=06 act=D4CF56061670B8D64C exp=D4CF56061670B8D64C + 3255.50ns INFO [00003257] * RD COMPARE * port=1 adr=05 act=6D001B065E01632EBA exp=6D001B065E01632EBA + 3255.50ns INFO [00003257] Port=0 WR @03=726D68860DE0EDBC31 + 3255.50ns INFO [00003257] Port=0 RD @02 + 3256.50ns INFO [00003258] Port=0 WR @00=67EDF243D75A712E42 + 3257.50ns INFO [00003259] * RD COMPARE * port=0 adr=02 act=A1574A8968B04DFA4C exp=A1574A8968B04DFA4C + 3257.50ns INFO [00003259] Port=0 RD @02 + 3257.50ns INFO [00003259] Port=1 RD @07 + 3258.50ns INFO [00003260] Port=0 RD @06 + 3259.50ns INFO [00003261] * RD COMPARE * port=0 adr=02 act=A1574A8968B04DFA4C exp=A1574A8968B04DFA4C + 3259.50ns INFO [00003261] * RD COMPARE * port=1 adr=07 act=2C9A3CB99B743CA361 exp=2C9A3CB99B743CA361 + 3259.50ns INFO [00003261] Port=1 RD @03 + 3260.50ns INFO [00003262] * RD COMPARE * port=0 adr=06 act=D4CF56061670B8D64C exp=D4CF56061670B8D64C + 3261.50ns INFO [00003263] * RD COMPARE * port=1 adr=03 act=726D68860DE0EDBC31 exp=726D68860DE0EDBC31 + 3261.50ns INFO [00003263] Port=0 WR @03=8DA76538403ADF574B + 3263.50ns INFO [00003265] Port=0 RD @01 + 3263.50ns INFO [00003265] Port=1 RD @00 + 3264.50ns INFO [00003266] Port=0 WR @03=DF1E898EB08288653D + 3265.50ns INFO [00003267] * RD COMPARE * port=0 adr=01 act=35E51E93517FC60C1F exp=35E51E93517FC60C1F + 3265.50ns INFO [00003267] * RD COMPARE * port=1 adr=00 act=67EDF243D75A712E42 exp=67EDF243D75A712E42 + 3265.50ns INFO [00003267] Port=0 RD @04 + 3266.50ns INFO [00003268] Port=1 RD @04 + 3267.50ns INFO [00003269] * RD COMPARE * port=0 adr=04 act=6E942654B8513992DE exp=6E942654B8513992DE + 3267.50ns INFO [00003269] Port=0 WR @04=52859413BFC82D937F + 3267.50ns INFO [00003269] Port=0 RD @05 + 3268.50ns INFO [00003270] * RD COMPARE * port=1 adr=04 act=6E942654B8513992DE exp=6E942654B8513992DE + 3268.50ns INFO [00003270] Port=1 RD @06 + 3269.50ns INFO [00003271] * RD COMPARE * port=0 adr=05 act=6D001B065E01632EBA exp=6D001B065E01632EBA + 3269.50ns INFO [00003271] Port=0 WR @02=56D8524DF6509943BD + 3269.50ns INFO [00003271] Port=1 RD @07 + 3270.50ns INFO [00003272] * RD COMPARE * port=1 adr=06 act=D4CF56061670B8D64C exp=D4CF56061670B8D64C + 3270.50ns INFO [00003272] Port=0 RD @06 + 3270.50ns INFO [00003272] Port=1 RD @05 + 3271.50ns INFO [00003273] * RD COMPARE * port=1 adr=07 act=2C9A3CB99B743CA361 exp=2C9A3CB99B743CA361 + 3272.50ns INFO [00003274] * RD COMPARE * port=0 adr=06 act=D4CF56061670B8D64C exp=D4CF56061670B8D64C + 3272.50ns INFO [00003274] * RD COMPARE * port=1 adr=05 act=6D001B065E01632EBA exp=6D001B065E01632EBA + 3272.50ns INFO [00003274] Port=1 RD @02 + 3273.50ns INFO [00003275] Port=0 RD @00 + 3274.50ns INFO [00003276] * RD COMPARE * port=1 adr=02 act=56D8524DF6509943BD exp=56D8524DF6509943BD + 3274.50ns INFO [00003276] Port=0 WR @04=E838EF71F860F5D782 + 3274.50ns INFO [00003276] Port=0 RD @00 + 3274.50ns INFO [00003276] Port=1 RD @07 + 3275.50ns INFO [00003277] * RD COMPARE * port=0 adr=00 act=67EDF243D75A712E42 exp=67EDF243D75A712E42 + 3275.50ns INFO [00003277] Port=1 RD @01 + 3276.50ns INFO [00003278] * RD COMPARE * port=0 adr=00 act=67EDF243D75A712E42 exp=67EDF243D75A712E42 + 3276.50ns INFO [00003278] * RD COMPARE * port=1 adr=07 act=2C9A3CB99B743CA361 exp=2C9A3CB99B743CA361 + 3276.50ns INFO [00003278] Port=0 RD @00 + 3276.50ns INFO [00003278] Port=1 RD @06 + 3277.50ns INFO [00003279] * RD COMPARE * port=1 adr=01 act=35E51E93517FC60C1F exp=35E51E93517FC60C1F + 3277.50ns INFO [00003279] Port=0 WR @02=69A24A490E6B2E1B57 + 3277.50ns INFO [00003279] Port=1 RD @07 + 3278.50ns INFO [00003280] * RD COMPARE * port=0 adr=00 act=67EDF243D75A712E42 exp=67EDF243D75A712E42 + 3278.50ns INFO [00003280] * RD COMPARE * port=1 adr=06 act=D4CF56061670B8D64C exp=D4CF56061670B8D64C + 3278.50ns INFO [00003280] Port=0 WR @07=65825BBE511BF131AF + 3279.50ns INFO [00003281] * RD COMPARE * port=1 adr=07 act=2C9A3CB99B743CA361 exp=2C9A3CB99B743CA361 + 3279.50ns INFO [00003281] Port=0 WR @06=2C97D285371128AE2B + 3279.50ns INFO [00003281] Port=1 RD @05 + 3280.50ns INFO [00003282] Port=0 WR @02=A0CD8DCD53053E8179 + 3280.50ns INFO [00003282] Port=1 RD @06 + 3281.50ns INFO [00003283] * RD COMPARE * port=1 adr=05 act=6D001B065E01632EBA exp=6D001B065E01632EBA + 3281.50ns INFO [00003283] Port=0 RD @03 + 3282.50ns INFO [00003284] * RD COMPARE * port=1 adr=06 act=2C97D285371128AE2B exp=2C97D285371128AE2B + 3283.50ns INFO [00003285] * RD COMPARE * port=0 adr=03 act=DF1E898EB08288653D exp=DF1E898EB08288653D + 3284.50ns INFO [00003286] Port=0 WR @02=31BADFA765EF698A5F + 3285.50ns INFO [00003287] Port=0 RD @02 + 3285.50ns INFO [00003287] Port=1 RD @07 + 3286.50ns INFO [00003288] Port=1 RD @02 + 3287.50ns INFO [00003289] * RD COMPARE * port=0 adr=02 act=31BADFA765EF698A5F exp=31BADFA765EF698A5F + 3287.50ns INFO [00003289] * RD COMPARE * port=1 adr=07 act=65825BBE511BF131AF exp=65825BBE511BF131AF + 3288.50ns INFO [00003290] * RD COMPARE * port=1 adr=02 act=31BADFA765EF698A5F exp=31BADFA765EF698A5F + 3290.50ns INFO [00003292] Port=0 WR @03=26D827384E4BB33DF3 + 3290.50ns INFO [00003292] Port=0 RD @07 + 3290.50ns INFO [00003292] Port=1 RD @06 + 3291.50ns INFO [00003293] Port=0 WR @02=2B50E8A62F9CB905C7 + 3291.50ns INFO [00003293] Port=1 RD @07 + 3292.50ns INFO [00003294] * RD COMPARE * port=0 adr=07 act=65825BBE511BF131AF exp=65825BBE511BF131AF + 3292.50ns INFO [00003294] * RD COMPARE * port=1 adr=06 act=2C97D285371128AE2B exp=2C97D285371128AE2B + 3292.50ns INFO [00003294] Port=0 RD @04 + 3293.50ns INFO [00003295] * RD COMPARE * port=1 adr=07 act=65825BBE511BF131AF exp=65825BBE511BF131AF + 3293.50ns INFO [00003295] Port=0 WR @03=DD46A566DA221D60F0 + 3294.50ns INFO [00003296] * RD COMPARE * port=0 adr=04 act=E838EF71F860F5D782 exp=E838EF71F860F5D782 + 3294.50ns INFO [00003296] Port=0 WR @07=A98935E1F77BAC85EF + 3296.50ns INFO [00003298] Port=0 WR @05=129A56438A4BD057A7 + 3296.50ns INFO [00003298] Port=1 RD @02 + 3297.50ns INFO [00003299] Port=1 RD @03 + 3298.00ns INFO [00003300] [00003300] ...tick... + 3298.50ns INFO [00003300] * RD COMPARE * port=1 adr=02 act=2B50E8A62F9CB905C7 exp=2B50E8A62F9CB905C7 + 3298.50ns INFO [00003300] Port=0 RD @01 + 3299.50ns INFO [00003301] * RD COMPARE * port=1 adr=03 act=DD46A566DA221D60F0 exp=DD46A566DA221D60F0 + 3299.50ns INFO [00003301] Port=0 RD @07 + 3300.50ns INFO [00003302] * RD COMPARE * port=0 adr=01 act=35E51E93517FC60C1F exp=35E51E93517FC60C1F + 3300.50ns INFO [00003302] Port=0 WR @00=971EC9600CBFCB8B90 + 3301.50ns INFO [00003303] * RD COMPARE * port=0 adr=07 act=A98935E1F77BAC85EF exp=A98935E1F77BAC85EF + 3301.50ns INFO [00003303] Port=0 WR @01=494503F499B0424C20 + 3301.50ns INFO [00003303] Port=1 RD @03 + 3302.50ns INFO [00003304] Port=0 WR @01=8447B7E0398B749676 + 3302.50ns INFO [00003304] Port=1 RD @05 + 3303.50ns INFO [00003305] * RD COMPARE * port=1 adr=03 act=DD46A566DA221D60F0 exp=DD46A566DA221D60F0 + 3303.50ns INFO [00003305] Port=0 RD @06 + 3303.50ns INFO [00003305] Port=1 RD @02 + 3304.50ns INFO [00003306] * RD COMPARE * port=1 adr=05 act=129A56438A4BD057A7 exp=129A56438A4BD057A7 + 3304.50ns INFO [00003306] Port=0 WR @06=0B686AE594CFE3AEAA + 3304.50ns INFO [00003306] Port=0 RD @00 + 3304.50ns INFO [00003306] Port=1 RD @04 + 3305.50ns INFO [00003307] * RD COMPARE * port=0 adr=06 act=2C97D285371128AE2B exp=2C97D285371128AE2B + 3305.50ns INFO [00003307] * RD COMPARE * port=1 adr=02 act=2B50E8A62F9CB905C7 exp=2B50E8A62F9CB905C7 + 3306.50ns INFO [00003308] * RD COMPARE * port=0 adr=00 act=971EC9600CBFCB8B90 exp=971EC9600CBFCB8B90 + 3306.50ns INFO [00003308] * RD COMPARE * port=1 adr=04 act=E838EF71F860F5D782 exp=E838EF71F860F5D782 + 3307.50ns INFO [00003309] Port=0 WR @06=60EED177D5082A56C0 + 3308.50ns INFO [00003310] Port=0 WR @03=8BE3704B1D9CB5A1F9 + 3309.50ns INFO [00003311] Port=0 WR @05=0C28CD8AD48CD3F94B + 3309.50ns INFO [00003311] Port=0 RD @03 + 3309.50ns INFO [00003311] Port=1 RD @06 + 3311.50ns INFO [00003313] * RD COMPARE * port=0 adr=03 act=8BE3704B1D9CB5A1F9 exp=8BE3704B1D9CB5A1F9 + 3311.50ns INFO [00003313] * RD COMPARE * port=1 adr=06 act=60EED177D5082A56C0 exp=60EED177D5082A56C0 + 3311.50ns INFO [00003313] Port=1 RD @06 + 3313.50ns INFO [00003315] * RD COMPARE * port=1 adr=06 act=60EED177D5082A56C0 exp=60EED177D5082A56C0 + 3313.50ns INFO [00003315] Port=0 WR @05=CDA1923662A2469997 + 3313.50ns INFO [00003315] Port=0 RD @06 + 3315.50ns INFO [00003317] * RD COMPARE * port=0 adr=06 act=60EED177D5082A56C0 exp=60EED177D5082A56C0 + 3315.50ns INFO [00003317] Port=0 RD @03 + 3316.50ns INFO [00003318] Port=1 RD @03 + 3317.50ns INFO [00003319] * RD COMPARE * port=0 adr=03 act=8BE3704B1D9CB5A1F9 exp=8BE3704B1D9CB5A1F9 + 3318.50ns INFO [00003320] * RD COMPARE * port=1 adr=03 act=8BE3704B1D9CB5A1F9 exp=8BE3704B1D9CB5A1F9 + 3319.50ns INFO [00003321] Port=0 RD @02 + 3319.50ns INFO [00003321] Port=1 RD @03 + 3320.50ns INFO [00003322] Port=0 RD @01 + 3320.50ns INFO [00003322] Port=1 RD @03 + 3321.50ns INFO [00003323] * RD COMPARE * port=0 adr=02 act=2B50E8A62F9CB905C7 exp=2B50E8A62F9CB905C7 + 3321.50ns INFO [00003323] * RD COMPARE * port=1 adr=03 act=8BE3704B1D9CB5A1F9 exp=8BE3704B1D9CB5A1F9 + 3321.50ns INFO [00003323] Port=0 WR @00=A01B9DB4053C076AF7 + 3321.50ns INFO [00003323] Port=0 RD @07 + 3322.50ns INFO [00003324] * RD COMPARE * port=0 adr=01 act=8447B7E0398B749676 exp=8447B7E0398B749676 + 3322.50ns INFO [00003324] * RD COMPARE * port=1 adr=03 act=8BE3704B1D9CB5A1F9 exp=8BE3704B1D9CB5A1F9 + 3323.50ns INFO [00003325] * RD COMPARE * port=0 adr=07 act=A98935E1F77BAC85EF exp=A98935E1F77BAC85EF + 3324.50ns INFO [00003326] Port=0 RD @04 + 3325.50ns INFO [00003327] Port=1 RD @04 + 3326.50ns INFO [00003328] * RD COMPARE * port=0 adr=04 act=E838EF71F860F5D782 exp=E838EF71F860F5D782 + 3326.50ns INFO [00003328] Port=1 RD @07 + 3327.50ns INFO [00003329] * RD COMPARE * port=1 adr=04 act=E838EF71F860F5D782 exp=E838EF71F860F5D782 + 3327.50ns INFO [00003329] Port=0 RD @03 + 3327.50ns INFO [00003329] Port=1 RD @02 + 3328.50ns INFO [00003330] * RD COMPARE * port=1 adr=07 act=A98935E1F77BAC85EF exp=A98935E1F77BAC85EF + 3328.50ns INFO [00003330] Port=0 WR @02=6A0544FD9073D9469F + 3328.50ns INFO [00003330] Port=0 RD @05 + 3329.50ns INFO [00003331] * RD COMPARE * port=0 adr=03 act=8BE3704B1D9CB5A1F9 exp=8BE3704B1D9CB5A1F9 + 3329.50ns INFO [00003331] * RD COMPARE * port=1 adr=02 act=2B50E8A62F9CB905C7 exp=2B50E8A62F9CB905C7 + 3330.50ns INFO [00003332] * RD COMPARE * port=0 adr=05 act=CDA1923662A2469997 exp=CDA1923662A2469997 + 3331.50ns INFO [00003333] Port=0 RD @05 + 3332.50ns INFO [00003334] Port=0 RD @07 + 3333.50ns INFO [00003335] * RD COMPARE * port=0 adr=05 act=CDA1923662A2469997 exp=CDA1923662A2469997 + 3333.50ns INFO [00003335] Port=0 WR @03=4A608A758F55DCC072 + 3334.50ns INFO [00003336] * RD COMPARE * port=0 adr=07 act=A98935E1F77BAC85EF exp=A98935E1F77BAC85EF + 3334.50ns INFO [00003336] Port=0 RD @06 + 3335.50ns INFO [00003337] Port=0 RD @02 + 3335.50ns INFO [00003337] Port=1 RD @01 + 3336.50ns INFO [00003338] * RD COMPARE * port=0 adr=06 act=60EED177D5082A56C0 exp=60EED177D5082A56C0 + 3337.50ns INFO [00003339] * RD COMPARE * port=0 adr=02 act=6A0544FD9073D9469F exp=6A0544FD9073D9469F + 3337.50ns INFO [00003339] * RD COMPARE * port=1 adr=01 act=8447B7E0398B749676 exp=8447B7E0398B749676 + 3337.50ns INFO [00003339] Port=0 WR @02=6307AD710C475C1516 + 3337.50ns INFO [00003339] Port=0 RD @07 + 3338.50ns INFO [00003340] Port=0 WR @02=5B2CECB13810F7D8A7 + 3338.50ns INFO [00003340] Port=0 RD @06 + 3338.50ns INFO [00003340] Port=1 RD @06 + 3339.50ns INFO [00003341] * RD COMPARE * port=0 adr=07 act=A98935E1F77BAC85EF exp=A98935E1F77BAC85EF + 3339.50ns INFO [00003341] Port=0 RD @05 + 3339.50ns INFO [00003341] Port=1 RD @02 + 3340.50ns INFO [00003342] * RD COMPARE * port=0 adr=06 act=60EED177D5082A56C0 exp=60EED177D5082A56C0 + 3340.50ns INFO [00003342] * RD COMPARE * port=1 adr=06 act=60EED177D5082A56C0 exp=60EED177D5082A56C0 + 3340.50ns INFO [00003342] Port=0 RD @03 + 3341.50ns INFO [00003343] * RD COMPARE * port=0 adr=05 act=CDA1923662A2469997 exp=CDA1923662A2469997 + 3341.50ns INFO [00003343] * RD COMPARE * port=1 adr=02 act=5B2CECB13810F7D8A7 exp=5B2CECB13810F7D8A7 + 3342.50ns INFO [00003344] * RD COMPARE * port=0 adr=03 act=4A608A758F55DCC072 exp=4A608A758F55DCC072 + 3342.50ns INFO [00003344] Port=0 RD @01 + 3343.50ns INFO [00003345] Port=0 WR @04=F332B36B4E096821DF + 3343.50ns INFO [00003345] Port=0 RD @06 + 3343.50ns INFO [00003345] Port=1 RD @01 + 3344.50ns INFO [00003346] * RD COMPARE * port=0 adr=01 act=8447B7E0398B749676 exp=8447B7E0398B749676 + 3344.50ns INFO [00003346] Port=1 RD @05 + 3345.50ns INFO [00003347] * RD COMPARE * port=0 adr=06 act=60EED177D5082A56C0 exp=60EED177D5082A56C0 + 3345.50ns INFO [00003347] * RD COMPARE * port=1 adr=01 act=8447B7E0398B749676 exp=8447B7E0398B749676 + 3345.50ns INFO [00003347] Port=1 RD @02 + 3346.50ns INFO [00003348] * RD COMPARE * port=1 adr=05 act=CDA1923662A2469997 exp=CDA1923662A2469997 + 3346.50ns INFO [00003348] Port=0 RD @02 + 3347.50ns INFO [00003349] * RD COMPARE * port=1 adr=02 act=5B2CECB13810F7D8A7 exp=5B2CECB13810F7D8A7 + 3347.50ns INFO [00003349] Port=0 WR @04=B886CB0D005822021A + 3347.50ns INFO [00003349] Port=1 RD @00 + 3348.50ns INFO [00003350] * RD COMPARE * port=0 adr=02 act=5B2CECB13810F7D8A7 exp=5B2CECB13810F7D8A7 + 3348.50ns INFO [00003350] Port=0 RD @01 + 3349.50ns INFO [00003351] * RD COMPARE * port=1 adr=00 act=A01B9DB4053C076AF7 exp=A01B9DB4053C076AF7 + 3349.50ns INFO [00003351] Port=0 WR @01=935C0641DB01E37830 + 3349.50ns INFO [00003351] Port=0 RD @04 + 3350.50ns INFO [00003352] * RD COMPARE * port=0 adr=01 act=8447B7E0398B749676 exp=8447B7E0398B749676 + 3350.50ns INFO [00003352] Port=0 RD @01 + 3351.50ns INFO [00003353] * RD COMPARE * port=0 adr=04 act=B886CB0D005822021A exp=B886CB0D005822021A + 3351.50ns INFO [00003353] Port=0 RD @04 + 3351.50ns INFO [00003353] Port=1 RD @02 + 3352.50ns INFO [00003354] * RD COMPARE * port=0 adr=01 act=935C0641DB01E37830 exp=935C0641DB01E37830 + 3352.50ns INFO [00003354] Port=0 WR @04=CD84282C54F2222677 + 3353.50ns INFO [00003355] * RD COMPARE * port=0 adr=04 act=B886CB0D005822021A exp=B886CB0D005822021A + 3353.50ns INFO [00003355] * RD COMPARE * port=1 adr=02 act=5B2CECB13810F7D8A7 exp=5B2CECB13810F7D8A7 + 3353.50ns INFO [00003355] Port=1 RD @00 + 3354.50ns INFO [00003356] Port=0 WR @07=BC7D00E168DE87F452 + 3354.50ns INFO [00003356] Port=0 RD @03 + 3354.50ns INFO [00003356] Port=1 RD @00 + 3355.50ns INFO [00003357] * RD COMPARE * port=1 adr=00 act=A01B9DB4053C076AF7 exp=A01B9DB4053C076AF7 + 3355.50ns INFO [00003357] Port=0 RD @00 + 3356.50ns INFO [00003358] * RD COMPARE * port=0 adr=03 act=4A608A758F55DCC072 exp=4A608A758F55DCC072 + 3356.50ns INFO [00003358] * RD COMPARE * port=1 adr=00 act=A01B9DB4053C076AF7 exp=A01B9DB4053C076AF7 + 3356.50ns INFO [00003358] Port=0 WR @02=D32A80F0B59EC24DA6 + 3356.50ns INFO [00003358] Port=1 RD @05 + 3357.50ns INFO [00003359] * RD COMPARE * port=0 adr=00 act=A01B9DB4053C076AF7 exp=A01B9DB4053C076AF7 + 3357.50ns INFO [00003359] Port=0 RD @05 + 3358.50ns INFO [00003360] * RD COMPARE * port=1 adr=05 act=CDA1923662A2469997 exp=CDA1923662A2469997 + 3359.50ns INFO [00003361] * RD COMPARE * port=0 adr=05 act=CDA1923662A2469997 exp=CDA1923662A2469997 + 3359.50ns INFO [00003361] Port=1 RD @02 + 3360.50ns INFO [00003362] Port=0 WR @00=91AFB11267A34F4577 + 3360.50ns INFO [00003362] Port=0 RD @03 + 3361.50ns INFO [00003363] * RD COMPARE * port=1 adr=02 act=D32A80F0B59EC24DA6 exp=D32A80F0B59EC24DA6 + 3361.50ns INFO [00003363] Port=0 WR @06=6FF334BCB8A1D97338 + 3362.50ns INFO [00003364] * RD COMPARE * port=0 adr=03 act=4A608A758F55DCC072 exp=4A608A758F55DCC072 + 3362.50ns INFO [00003364] Port=0 WR @01=F2E9914D21E4779BD7 + 3362.50ns INFO [00003364] Port=1 RD @04 + 3363.50ns INFO [00003365] Port=0 WR @07=8501DBB69E93E7FCB0 + 3364.50ns INFO [00003366] * RD COMPARE * port=1 adr=04 act=CD84282C54F2222677 exp=CD84282C54F2222677 + 3366.50ns INFO [00003368] Port=0 RD @06 + 3366.50ns INFO [00003368] Port=1 RD @02 + 3367.50ns INFO [00003369] Port=0 WR @02=3DB6726A4A77B68505 + 3368.50ns INFO [00003370] * RD COMPARE * port=0 adr=06 act=6FF334BCB8A1D97338 exp=6FF334BCB8A1D97338 + 3368.50ns INFO [00003370] * RD COMPARE * port=1 adr=02 act=D32A80F0B59EC24DA6 exp=D32A80F0B59EC24DA6 + 3368.50ns INFO [00003370] Port=0 RD @02 + 3369.50ns INFO [00003371] Port=1 RD @05 + 3370.50ns INFO [00003372] * RD COMPARE * port=0 adr=02 act=3DB6726A4A77B68505 exp=3DB6726A4A77B68505 + 3370.50ns INFO [00003372] Port=0 WR @02=6DE8F205CAEE02C1CE + 3370.50ns INFO [00003372] Port=1 RD @01 + 3371.50ns INFO [00003373] * RD COMPARE * port=1 adr=05 act=CDA1923662A2469997 exp=CDA1923662A2469997 + 3371.50ns INFO [00003373] Port=0 WR @00=CFF769F571EE63B3D7 + 3372.50ns INFO [00003374] * RD COMPARE * port=1 adr=01 act=F2E9914D21E4779BD7 exp=F2E9914D21E4779BD7 + 3372.50ns INFO [00003374] Port=0 WR @02=0FC76293A9EBAA4A7C + 3372.50ns INFO [00003374] Port=0 RD @01 + 3373.50ns INFO [00003375] Port=0 WR @00=08BEAC0B983915CE17 + 3374.50ns INFO [00003376] * RD COMPARE * port=0 adr=01 act=F2E9914D21E4779BD7 exp=F2E9914D21E4779BD7 + 3374.50ns INFO [00003376] Port=1 RD @05 + 3376.50ns INFO [00003378] * RD COMPARE * port=1 adr=05 act=CDA1923662A2469997 exp=CDA1923662A2469997 + 3376.50ns INFO [00003378] Port=0 WR @06=FA1FB36468F8607125 + 3377.50ns INFO [00003379] Port=0 RD @04 + 3377.50ns INFO [00003379] Port=1 RD @03 + 3378.50ns INFO [00003380] Port=0 RD @05 + 3379.50ns INFO [00003381] * RD COMPARE * port=0 adr=04 act=CD84282C54F2222677 exp=CD84282C54F2222677 + 3379.50ns INFO [00003381] * RD COMPARE * port=1 adr=03 act=4A608A758F55DCC072 exp=4A608A758F55DCC072 + 3379.50ns INFO [00003381] Port=1 RD @01 + 3380.50ns INFO [00003382] * RD COMPARE * port=0 adr=05 act=CDA1923662A2469997 exp=CDA1923662A2469997 + 3380.50ns INFO [00003382] Port=1 RD @07 + 3381.50ns INFO [00003383] * RD COMPARE * port=1 adr=01 act=F2E9914D21E4779BD7 exp=F2E9914D21E4779BD7 + 3381.50ns INFO [00003383] Port=0 WR @05=4C47CC7790564DD02A + 3382.50ns INFO [00003384] * RD COMPARE * port=1 adr=07 act=8501DBB69E93E7FCB0 exp=8501DBB69E93E7FCB0 + 3382.50ns INFO [00003384] Port=0 WR @00=94D606874DDD55A79F + 3382.50ns INFO [00003384] Port=0 RD @05 + 3383.50ns INFO [00003385] Port=0 WR @02=D19700C33303553604 + 3383.50ns INFO [00003385] Port=1 RD @06 + 3384.50ns INFO [00003386] * RD COMPARE * port=0 adr=05 act=4C47CC7790564DD02A exp=4C47CC7790564DD02A + 3384.50ns INFO [00003386] Port=0 WR @07=5330F1301CF4FF15CD + 3385.50ns INFO [00003387] * RD COMPARE * port=1 adr=06 act=FA1FB36468F8607125 exp=FA1FB36468F8607125 + 3385.50ns INFO [00003387] Port=1 RD @01 + 3386.50ns INFO [00003388] Port=0 WR @05=79E8B972A4A5C5E84C + 3387.50ns INFO [00003389] * RD COMPARE * port=1 adr=01 act=F2E9914D21E4779BD7 exp=F2E9914D21E4779BD7 + 3387.50ns INFO [00003389] Port=0 RD @04 + 3387.50ns INFO [00003389] Port=1 RD @03 + 3388.50ns INFO [00003390] Port=0 WR @02=C6E6C80E17622D586A + 3388.50ns INFO [00003390] Port=1 RD @05 + 3389.50ns INFO [00003391] * RD COMPARE * port=0 adr=04 act=CD84282C54F2222677 exp=CD84282C54F2222677 + 3389.50ns INFO [00003391] * RD COMPARE * port=1 adr=03 act=4A608A758F55DCC072 exp=4A608A758F55DCC072 + 3389.50ns INFO [00003391] Port=0 WR @03=1EB78DFF0A878EA276 + 3389.50ns INFO [00003391] Port=1 RD @06 + 3390.50ns INFO [00003392] * RD COMPARE * port=1 adr=05 act=79E8B972A4A5C5E84C exp=79E8B972A4A5C5E84C + 3390.50ns INFO [00003392] Port=1 RD @05 + 3391.50ns INFO [00003393] * RD COMPARE * port=1 adr=06 act=FA1FB36468F8607125 exp=FA1FB36468F8607125 + 3391.50ns INFO [00003393] Port=0 WR @02=989850BD4622DED417 + 3391.50ns INFO [00003393] Port=1 RD @03 + 3392.50ns INFO [00003394] * RD COMPARE * port=1 adr=05 act=79E8B972A4A5C5E84C exp=79E8B972A4A5C5E84C + 3392.50ns INFO [00003394] Port=0 WR @03=DA0973EEEB1B92284C + 3392.50ns INFO [00003394] Port=0 RD @01 + 3392.50ns INFO [00003394] Port=1 RD @07 + 3393.50ns INFO [00003395] * RD COMPARE * port=1 adr=03 act=1EB78DFF0A878EA276 exp=1EB78DFF0A878EA276 + 3393.50ns INFO [00003395] Port=0 WR @06=C2B7100928E4DD4542 + 3393.50ns INFO [00003395] Port=0 RD @07 + 3394.50ns INFO [00003396] * RD COMPARE * port=0 adr=01 act=F2E9914D21E4779BD7 exp=F2E9914D21E4779BD7 + 3394.50ns INFO [00003396] * RD COMPARE * port=1 adr=07 act=5330F1301CF4FF15CD exp=5330F1301CF4FF15CD + 3394.50ns INFO [00003396] Port=0 WR @03=B28BEC2E71056554AF + 3394.50ns INFO [00003396] Port=0 RD @00 + 3394.50ns INFO [00003396] Port=1 RD @07 + 3395.50ns INFO [00003397] * RD COMPARE * port=0 adr=07 act=5330F1301CF4FF15CD exp=5330F1301CF4FF15CD + 3395.50ns INFO [00003397] Port=0 WR @06=8E9204AF85F14B0E06 + 3395.50ns INFO [00003397] Port=0 RD @02 + 3396.50ns INFO [00003398] * RD COMPARE * port=0 adr=00 act=94D606874DDD55A79F exp=94D606874DDD55A79F + 3396.50ns INFO [00003398] * RD COMPARE * port=1 adr=07 act=5330F1301CF4FF15CD exp=5330F1301CF4FF15CD + 3397.50ns INFO [00003399] * RD COMPARE * port=0 adr=02 act=989850BD4622DED417 exp=989850BD4622DED417 + 3398.00ns INFO [00003400] [00003400] ...tick... + 3399.50ns INFO [00003401] Port=0 WR @06=A959981D9D673F1148 + 3400.50ns INFO [00003402] Port=0 WR @05=DCB3297EF417FD3393 + 3400.50ns INFO [00003402] Port=1 RD @02 + 3401.50ns INFO [00003403] Port=0 WR @03=478C3D3D6824298F8A + 3401.50ns INFO [00003403] Port=0 RD @02 + 3402.50ns INFO [00003404] * RD COMPARE * port=1 adr=02 act=989850BD4622DED417 exp=989850BD4622DED417 + 3403.50ns INFO [00003405] * RD COMPARE * port=0 adr=02 act=989850BD4622DED417 exp=989850BD4622DED417 + 3403.50ns INFO [00003405] Port=0 WR @03=115E4D7A9CC92EE003 + 3403.50ns INFO [00003405] Port=0 RD @00 + 3404.50ns INFO [00003406] Port=0 WR @01=F28FDC9E91BF521E94 + 3405.50ns INFO [00003407] * RD COMPARE * port=0 adr=00 act=94D606874DDD55A79F exp=94D606874DDD55A79F + 3405.50ns INFO [00003407] Port=0 RD @00 + 3405.50ns INFO [00003407] Port=1 RD @06 + 3407.50ns INFO [00003409] * RD COMPARE * port=0 adr=00 act=94D606874DDD55A79F exp=94D606874DDD55A79F + 3407.50ns INFO [00003409] * RD COMPARE * port=1 adr=06 act=A959981D9D673F1148 exp=A959981D9D673F1148 + 3407.50ns INFO [00003409] Port=0 WR @00=D250AC3483B53F45F1 + 3407.50ns INFO [00003409] Port=1 RD @02 + 3408.50ns INFO [00003410] Port=0 RD @04 + 3409.50ns INFO [00003411] * RD COMPARE * port=1 adr=02 act=989850BD4622DED417 exp=989850BD4622DED417 + 3410.50ns INFO [00003412] * RD COMPARE * port=0 adr=04 act=CD84282C54F2222677 exp=CD84282C54F2222677 + 3412.50ns INFO [00003414] Port=0 WR @03=349ABA076D822CFE78 + 3412.50ns INFO [00003414] Port=0 RD @04 + 3414.50ns INFO [00003416] * RD COMPARE * port=0 adr=04 act=CD84282C54F2222677 exp=CD84282C54F2222677 + 3414.50ns INFO [00003416] Port=1 RD @03 + 3415.50ns INFO [00003417] Port=0 WR @07=C5033CEC3A8011CCB7 + 3415.50ns INFO [00003417] Port=1 RD @05 + 3416.50ns INFO [00003418] * RD COMPARE * port=1 adr=03 act=349ABA076D822CFE78 exp=349ABA076D822CFE78 + 3416.50ns INFO [00003418] Port=0 WR @07=90A007A22B81D84A3C + 3416.50ns INFO [00003418] Port=0 RD @01 + 3416.50ns INFO [00003418] Port=1 RD @05 + 3417.50ns INFO [00003419] * RD COMPARE * port=1 adr=05 act=DCB3297EF417FD3393 exp=DCB3297EF417FD3393 + 3417.50ns INFO [00003419] Port=0 RD @01 + 3418.50ns INFO [00003420] * RD COMPARE * port=0 adr=01 act=F28FDC9E91BF521E94 exp=F28FDC9E91BF521E94 + 3418.50ns INFO [00003420] * RD COMPARE * port=1 adr=05 act=DCB3297EF417FD3393 exp=DCB3297EF417FD3393 + 3418.50ns INFO [00003420] Port=1 RD @00 + 3419.50ns INFO [00003421] * RD COMPARE * port=0 adr=01 act=F28FDC9E91BF521E94 exp=F28FDC9E91BF521E94 + 3419.50ns INFO [00003421] Port=0 RD @04 + 3419.50ns INFO [00003421] Port=1 RD @01 + 3420.50ns INFO [00003422] * RD COMPARE * port=1 adr=00 act=D250AC3483B53F45F1 exp=D250AC3483B53F45F1 + 3420.50ns INFO [00003422] Port=0 WR @05=1ADC1D86E59C3137B8 + 3421.50ns INFO [00003423] * RD COMPARE * port=0 adr=04 act=CD84282C54F2222677 exp=CD84282C54F2222677 + 3421.50ns INFO [00003423] * RD COMPARE * port=1 adr=01 act=F28FDC9E91BF521E94 exp=F28FDC9E91BF521E94 + 3421.50ns INFO [00003423] Port=0 WR @00=0F18713DE7D5EDB721 + 3422.50ns INFO [00003424] Port=0 WR @02=D4BFBCFD0E2F0A4E56 + 3422.50ns INFO [00003424] Port=1 RD @00 + 3423.50ns INFO [00003425] Port=0 RD @01 + 3423.50ns INFO [00003425] Port=1 RD @00 + 3424.50ns INFO [00003426] * RD COMPARE * port=1 adr=00 act=0F18713DE7D5EDB721 exp=0F18713DE7D5EDB721 + 3425.50ns INFO [00003427] * RD COMPARE * port=0 adr=01 act=F28FDC9E91BF521E94 exp=F28FDC9E91BF521E94 + 3425.50ns INFO [00003427] * RD COMPARE * port=1 adr=00 act=0F18713DE7D5EDB721 exp=0F18713DE7D5EDB721 + 3425.50ns INFO [00003427] Port=0 RD @07 + 3427.50ns INFO [00003429] * RD COMPARE * port=0 adr=07 act=90A007A22B81D84A3C exp=90A007A22B81D84A3C + 3427.50ns INFO [00003429] Port=1 RD @05 + 3428.50ns INFO [00003430] Port=0 WR @04=19A0816229B2680736 + 3428.50ns INFO [00003430] Port=0 RD @02 + 3429.50ns INFO [00003431] * RD COMPARE * port=1 adr=05 act=1ADC1D86E59C3137B8 exp=1ADC1D86E59C3137B8 + 3429.50ns INFO [00003431] Port=0 WR @03=9998F1D1E5B6257E46 + 3429.50ns INFO [00003431] Port=0 RD @02 + 3429.50ns INFO [00003431] Port=1 RD @05 + 3430.50ns INFO [00003432] * RD COMPARE * port=0 adr=02 act=D4BFBCFD0E2F0A4E56 exp=D4BFBCFD0E2F0A4E56 + 3430.50ns INFO [00003432] Port=0 WR @01=25CB2E7BAAFE5C435E + 3430.50ns INFO [00003432] Port=0 RD @06 + 3431.50ns INFO [00003433] * RD COMPARE * port=0 adr=02 act=D4BFBCFD0E2F0A4E56 exp=D4BFBCFD0E2F0A4E56 + 3431.50ns INFO [00003433] * RD COMPARE * port=1 adr=05 act=1ADC1D86E59C3137B8 exp=1ADC1D86E59C3137B8 + 3431.50ns INFO [00003433] Port=0 WR @03=9DBFC73302D2B912FB + 3431.50ns INFO [00003433] Port=0 RD @00 + 3432.50ns INFO [00003434] * RD COMPARE * port=0 adr=06 act=A959981D9D673F1148 exp=A959981D9D673F1148 + 3432.50ns INFO [00003434] Port=0 WR @03=AF2B468E6CDA0683CA + 3432.50ns INFO [00003434] Port=1 RD @05 + 3433.50ns INFO [00003435] * RD COMPARE * port=0 adr=00 act=0F18713DE7D5EDB721 exp=0F18713DE7D5EDB721 + 3433.50ns INFO [00003435] Port=0 WR @03=A17A7677EDD2081E6F + 3433.50ns INFO [00003435] Port=1 RD @06 + 3434.50ns INFO [00003436] * RD COMPARE * port=1 adr=05 act=1ADC1D86E59C3137B8 exp=1ADC1D86E59C3137B8 + 3435.50ns INFO [00003437] * RD COMPARE * port=1 adr=06 act=A959981D9D673F1148 exp=A959981D9D673F1148 + 3435.50ns INFO [00003437] Port=1 RD @00 + 3436.50ns INFO [00003438] Port=0 RD @01 + 3436.50ns INFO [00003438] Port=1 RD @03 + 3437.50ns INFO [00003439] * RD COMPARE * port=1 adr=00 act=0F18713DE7D5EDB721 exp=0F18713DE7D5EDB721 + 3438.50ns INFO [00003440] * RD COMPARE * port=0 adr=01 act=25CB2E7BAAFE5C435E exp=25CB2E7BAAFE5C435E + 3438.50ns INFO [00003440] * RD COMPARE * port=1 adr=03 act=A17A7677EDD2081E6F exp=A17A7677EDD2081E6F + 3438.50ns INFO [00003440] Port=0 WR @07=79BE06F7093DFF0732 + 3438.50ns INFO [00003440] Port=0 RD @03 + 3438.50ns INFO [00003440] Port=1 RD @06 + 3439.50ns INFO [00003441] Port=1 RD @04 + 3440.50ns INFO [00003442] * RD COMPARE * port=0 adr=03 act=A17A7677EDD2081E6F exp=A17A7677EDD2081E6F + 3440.50ns INFO [00003442] * RD COMPARE * port=1 adr=06 act=A959981D9D673F1148 exp=A959981D9D673F1148 + 3440.50ns INFO [00003442] Port=0 WR @01=E8DA34021B9E2A5153 + 3441.50ns INFO [00003443] * RD COMPARE * port=1 adr=04 act=19A0816229B2680736 exp=19A0816229B2680736 + 3442.50ns INFO [00003444] Port=0 WR @02=3AA3E98519FEC048BB + 3442.50ns INFO [00003444] Port=1 RD @07 + 3443.50ns INFO [00003445] Port=0 WR @01=1144F3B57B774FE620 + 3443.50ns INFO [00003445] Port=0 RD @04 + 3443.50ns INFO [00003445] Port=1 RD @03 + 3444.50ns INFO [00003446] * RD COMPARE * port=1 adr=07 act=79BE06F7093DFF0732 exp=79BE06F7093DFF0732 + 3444.50ns INFO [00003446] Port=0 WR @02=B9D9B4458EB2379BD6 + 3445.50ns INFO [00003447] * RD COMPARE * port=0 adr=04 act=19A0816229B2680736 exp=19A0816229B2680736 + 3445.50ns INFO [00003447] * RD COMPARE * port=1 adr=03 act=A17A7677EDD2081E6F exp=A17A7677EDD2081E6F + 3446.50ns INFO [00003448] Port=0 RD @07 + 3447.50ns INFO [00003449] Port=0 WR @04=1C37F60FE960E7E940 + 3447.50ns INFO [00003449] Port=1 RD @03 + 3448.50ns INFO [00003450] * RD COMPARE * port=0 adr=07 act=79BE06F7093DFF0732 exp=79BE06F7093DFF0732 + 3448.50ns INFO [00003450] Port=0 RD @06 + 3448.50ns INFO [00003450] Port=1 RD @00 + 3449.50ns INFO [00003451] * RD COMPARE * port=1 adr=03 act=A17A7677EDD2081E6F exp=A17A7677EDD2081E6F + 3449.50ns INFO [00003451] Port=0 RD @03 + 3450.50ns INFO [00003452] * RD COMPARE * port=0 adr=06 act=A959981D9D673F1148 exp=A959981D9D673F1148 + 3450.50ns INFO [00003452] * RD COMPARE * port=1 adr=00 act=0F18713DE7D5EDB721 exp=0F18713DE7D5EDB721 + 3451.50ns INFO [00003453] * RD COMPARE * port=0 adr=03 act=A17A7677EDD2081E6F exp=A17A7677EDD2081E6F + 3453.50ns INFO [00003455] Port=0 RD @04 + 3453.50ns INFO [00003455] Port=1 RD @05 + 3455.50ns INFO [00003457] * RD COMPARE * port=0 adr=04 act=1C37F60FE960E7E940 exp=1C37F60FE960E7E940 + 3455.50ns INFO [00003457] * RD COMPARE * port=1 adr=05 act=1ADC1D86E59C3137B8 exp=1ADC1D86E59C3137B8 + 3456.50ns INFO [00003458] Port=0 RD @07 + 3457.50ns INFO [00003459] Port=0 WR @05=D3F26EFA9242FABBD6 + 3457.50ns INFO [00003459] Port=1 RD @06 + 3458.50ns INFO [00003460] * RD COMPARE * port=0 adr=07 act=79BE06F7093DFF0732 exp=79BE06F7093DFF0732 + 3458.50ns INFO [00003460] Port=0 WR @02=CBDFC680ECF0C179A3 + 3458.50ns INFO [00003460] Port=0 RD @00 + 3458.50ns INFO [00003460] Port=1 RD @03 + 3459.50ns INFO [00003461] * RD COMPARE * port=1 adr=06 act=A959981D9D673F1148 exp=A959981D9D673F1148 + 3459.50ns INFO [00003461] Port=0 WR @06=8C97601955A2FFE3E5 + 3459.50ns INFO [00003461] Port=0 RD @02 + 3460.50ns INFO [00003462] * RD COMPARE * port=0 adr=00 act=0F18713DE7D5EDB721 exp=0F18713DE7D5EDB721 + 3460.50ns INFO [00003462] * RD COMPARE * port=1 adr=03 act=A17A7677EDD2081E6F exp=A17A7677EDD2081E6F + 3460.50ns INFO [00003462] Port=0 RD @01 + 3461.50ns INFO [00003463] * RD COMPARE * port=0 adr=02 act=CBDFC680ECF0C179A3 exp=CBDFC680ECF0C179A3 + 3461.50ns INFO [00003463] Port=0 RD @04 + 3462.50ns INFO [00003464] * RD COMPARE * port=0 adr=01 act=1144F3B57B774FE620 exp=1144F3B57B774FE620 + 3463.50ns INFO [00003465] * RD COMPARE * port=0 adr=04 act=1C37F60FE960E7E940 exp=1C37F60FE960E7E940 + 3463.50ns INFO [00003465] Port=0 WR @07=350AC698BE8983D687 + 3464.50ns INFO [00003466] Port=1 RD @01 + 3465.50ns INFO [00003467] Port=1 RD @07 + 3466.50ns INFO [00003468] * RD COMPARE * port=1 adr=01 act=1144F3B57B774FE620 exp=1144F3B57B774FE620 + 3466.50ns INFO [00003468] Port=1 RD @04 + 3467.50ns INFO [00003469] * RD COMPARE * port=1 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3467.50ns INFO [00003469] Port=0 RD @03 + 3467.50ns INFO [00003469] Port=1 RD @04 + 3468.50ns INFO [00003470] * RD COMPARE * port=1 adr=04 act=1C37F60FE960E7E940 exp=1C37F60FE960E7E940 + 3468.50ns INFO [00003470] Port=0 WR @02=19278CAD1796A451EC + 3469.50ns INFO [00003471] * RD COMPARE * port=0 adr=03 act=A17A7677EDD2081E6F exp=A17A7677EDD2081E6F + 3469.50ns INFO [00003471] * RD COMPARE * port=1 adr=04 act=1C37F60FE960E7E940 exp=1C37F60FE960E7E940 + 3471.50ns INFO [00003473] Port=1 RD @05 + 3472.50ns INFO [00003474] Port=0 WR @05=C5CEDF8FEFBF778859 + 3473.50ns INFO [00003475] * RD COMPARE * port=1 adr=05 act=D3F26EFA9242FABBD6 exp=D3F26EFA9242FABBD6 + 3473.50ns INFO [00003475] Port=0 RD @02 + 3474.50ns INFO [00003476] Port=0 RD @00 + 3475.50ns INFO [00003477] * RD COMPARE * port=0 adr=02 act=19278CAD1796A451EC exp=19278CAD1796A451EC + 3475.50ns INFO [00003477] Port=0 WR @03=797425540D705348D2 + 3475.50ns INFO [00003477] Port=0 RD @01 + 3475.50ns INFO [00003477] Port=1 RD @05 + 3476.50ns INFO [00003478] * RD COMPARE * port=0 adr=00 act=0F18713DE7D5EDB721 exp=0F18713DE7D5EDB721 + 3477.50ns INFO [00003479] * RD COMPARE * port=0 adr=01 act=1144F3B57B774FE620 exp=1144F3B57B774FE620 + 3477.50ns INFO [00003479] * RD COMPARE * port=1 adr=05 act=C5CEDF8FEFBF778859 exp=C5CEDF8FEFBF778859 + 3477.50ns INFO [00003479] Port=0 WR @01=EAEBB348D8873AA11B + 3478.50ns INFO [00003480] Port=0 WR @04=1264A8E0763866B690 + 3479.50ns INFO [00003481] Port=0 WR @06=070153DF583152F1EE + 3479.50ns INFO [00003481] Port=1 RD @07 + 3480.50ns INFO [00003482] Port=0 WR @03=14D593D984BA44D9CA + 3480.50ns INFO [00003482] Port=1 RD @07 + 3481.50ns INFO [00003483] * RD COMPARE * port=1 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3481.50ns INFO [00003483] Port=1 RD @06 + 3482.50ns INFO [00003484] * RD COMPARE * port=1 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3482.50ns INFO [00003484] Port=1 RD @03 + 3483.50ns INFO [00003485] * RD COMPARE * port=1 adr=06 act=070153DF583152F1EE exp=070153DF583152F1EE + 3483.50ns INFO [00003485] Port=1 RD @05 + 3484.50ns INFO [00003486] * RD COMPARE * port=1 adr=03 act=14D593D984BA44D9CA exp=14D593D984BA44D9CA + 3484.50ns INFO [00003486] Port=0 WR @02=38508F5DA1E4C0243E + 3484.50ns INFO [00003486] Port=0 RD @06 + 3485.50ns INFO [00003487] * RD COMPARE * port=1 adr=05 act=C5CEDF8FEFBF778859 exp=C5CEDF8FEFBF778859 + 3485.50ns INFO [00003487] Port=0 WR @05=D1A991AF872AD24E59 + 3485.50ns INFO [00003487] Port=0 RD @07 + 3486.50ns INFO [00003488] * RD COMPARE * port=0 adr=06 act=070153DF583152F1EE exp=070153DF583152F1EE + 3486.50ns INFO [00003488] Port=1 RD @00 + 3487.50ns INFO [00003489] * RD COMPARE * port=0 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3487.50ns INFO [00003489] Port=0 RD @03 + 3488.50ns INFO [00003490] * RD COMPARE * port=1 adr=00 act=0F18713DE7D5EDB721 exp=0F18713DE7D5EDB721 + 3488.50ns INFO [00003490] Port=1 RD @00 + 3489.50ns INFO [00003491] * RD COMPARE * port=0 adr=03 act=14D593D984BA44D9CA exp=14D593D984BA44D9CA + 3489.50ns INFO [00003491] Port=0 RD @07 + 3490.50ns INFO [00003492] * RD COMPARE * port=1 adr=00 act=0F18713DE7D5EDB721 exp=0F18713DE7D5EDB721 + 3490.50ns INFO [00003492] Port=0 WR @04=AD75B5C3ADBD0B194C + 3491.50ns INFO [00003493] * RD COMPARE * port=0 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3491.50ns INFO [00003493] Port=0 WR @01=051C34771B11C798BE + 3491.50ns INFO [00003493] Port=0 RD @03 + 3491.50ns INFO [00003493] Port=1 RD @06 + 3492.50ns INFO [00003494] Port=1 RD @03 + 3493.50ns INFO [00003495] * RD COMPARE * port=0 adr=03 act=14D593D984BA44D9CA exp=14D593D984BA44D9CA + 3493.50ns INFO [00003495] * RD COMPARE * port=1 adr=06 act=070153DF583152F1EE exp=070153DF583152F1EE + 3493.50ns INFO [00003495] Port=0 WR @06=17BFF17BAC75DD8342 + 3494.50ns INFO [00003496] * RD COMPARE * port=1 adr=03 act=14D593D984BA44D9CA exp=14D593D984BA44D9CA + 3494.50ns INFO [00003496] Port=0 WR @00=F67401D9FE8076D1EF + 3494.50ns INFO [00003496] Port=0 RD @07 + 3494.50ns INFO [00003496] Port=1 RD @05 + 3495.50ns INFO [00003497] Port=1 RD @07 + 3496.50ns INFO [00003498] * RD COMPARE * port=0 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3496.50ns INFO [00003498] * RD COMPARE * port=1 adr=05 act=D1A991AF872AD24E59 exp=D1A991AF872AD24E59 + 3496.50ns INFO [00003498] Port=0 WR @01=0D9F4DFDA5C4CBB133 + 3496.50ns INFO [00003498] Port=1 RD @00 + 3497.50ns INFO [00003499] * RD COMPARE * port=1 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3497.50ns INFO [00003499] Port=1 RD @01 + 3498.00ns INFO [00003500] [00003500] ...tick... + 3498.50ns INFO [00003500] * RD COMPARE * port=1 adr=00 act=F67401D9FE8076D1EF exp=F67401D9FE8076D1EF + 3499.50ns INFO [00003501] * RD COMPARE * port=1 adr=01 act=0D9F4DFDA5C4CBB133 exp=0D9F4DFDA5C4CBB133 + 3499.50ns INFO [00003501] Port=0 RD @00 + 3499.50ns INFO [00003501] Port=1 RD @07 + 3500.50ns INFO [00003502] Port=0 RD @01 + 3501.50ns INFO [00003503] * RD COMPARE * port=0 adr=00 act=F67401D9FE8076D1EF exp=F67401D9FE8076D1EF + 3501.50ns INFO [00003503] * RD COMPARE * port=1 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3501.50ns INFO [00003503] Port=0 WR @04=7F691A45BACA4444F6 + 3502.50ns INFO [00003504] * RD COMPARE * port=0 adr=01 act=0D9F4DFDA5C4CBB133 exp=0D9F4DFDA5C4CBB133 + 3502.50ns INFO [00003504] Port=0 RD @06 + 3502.50ns INFO [00003504] Port=1 RD @07 + 3503.50ns INFO [00003505] Port=0 WR @00=ED266DC2124C2C0D43 + 3503.50ns INFO [00003505] Port=0 RD @06 + 3503.50ns INFO [00003505] Port=1 RD @03 + 3504.50ns INFO [00003506] * RD COMPARE * port=0 adr=06 act=17BFF17BAC75DD8342 exp=17BFF17BAC75DD8342 + 3504.50ns INFO [00003506] * RD COMPARE * port=1 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3504.50ns INFO [00003506] Port=0 RD @00 + 3505.50ns INFO [00003507] * RD COMPARE * port=0 adr=06 act=17BFF17BAC75DD8342 exp=17BFF17BAC75DD8342 + 3505.50ns INFO [00003507] * RD COMPARE * port=1 adr=03 act=14D593D984BA44D9CA exp=14D593D984BA44D9CA + 3506.50ns INFO [00003508] * RD COMPARE * port=0 adr=00 act=ED266DC2124C2C0D43 exp=ED266DC2124C2C0D43 + 3507.50ns INFO [00003509] Port=0 WR @04=903EA0B23180C03304 + 3507.50ns INFO [00003509] Port=0 RD @02 + 3507.50ns INFO [00003509] Port=1 RD @03 + 3508.50ns INFO [00003510] Port=0 RD @02 + 3509.50ns INFO [00003511] * RD COMPARE * port=0 adr=02 act=38508F5DA1E4C0243E exp=38508F5DA1E4C0243E + 3509.50ns INFO [00003511] * RD COMPARE * port=1 adr=03 act=14D593D984BA44D9CA exp=14D593D984BA44D9CA + 3510.50ns INFO [00003512] * RD COMPARE * port=0 adr=02 act=38508F5DA1E4C0243E exp=38508F5DA1E4C0243E + 3510.50ns INFO [00003512] Port=0 WR @00=0BF8FC542ED474EFE3 + 3511.50ns INFO [00003513] Port=0 WR @02=7E3F052A8495F0C244 + 3511.50ns INFO [00003513] Port=0 RD @06 + 3511.50ns INFO [00003513] Port=1 RD @06 + 3513.50ns INFO [00003515] * RD COMPARE * port=0 adr=06 act=17BFF17BAC75DD8342 exp=17BFF17BAC75DD8342 + 3513.50ns INFO [00003515] * RD COMPARE * port=1 adr=06 act=17BFF17BAC75DD8342 exp=17BFF17BAC75DD8342 + 3513.50ns INFO [00003515] Port=0 WR @00=22A1C2831BB580A835 + 3513.50ns INFO [00003515] Port=1 RD @02 + 3514.50ns INFO [00003516] Port=0 WR @06=C4E7B52632141773FC + 3514.50ns INFO [00003516] Port=0 RD @00 + 3515.50ns INFO [00003517] * RD COMPARE * port=1 adr=02 act=7E3F052A8495F0C244 exp=7E3F052A8495F0C244 + 3516.50ns INFO [00003518] * RD COMPARE * port=0 adr=00 act=22A1C2831BB580A835 exp=22A1C2831BB580A835 + 3516.50ns INFO [00003518] Port=1 RD @05 + 3517.50ns INFO [00003519] Port=1 RD @03 + 3518.50ns INFO [00003520] * RD COMPARE * port=1 adr=05 act=D1A991AF872AD24E59 exp=D1A991AF872AD24E59 + 3518.50ns INFO [00003520] Port=0 RD @03 + 3518.50ns INFO [00003520] Port=1 RD @00 + 3519.50ns INFO [00003521] * RD COMPARE * port=1 adr=03 act=14D593D984BA44D9CA exp=14D593D984BA44D9CA + 3519.50ns INFO [00003521] Port=0 WR @03=017EFC7D3154EACF78 + 3520.50ns INFO [00003522] * RD COMPARE * port=0 adr=03 act=14D593D984BA44D9CA exp=14D593D984BA44D9CA + 3520.50ns INFO [00003522] * RD COMPARE * port=1 adr=00 act=22A1C2831BB580A835 exp=22A1C2831BB580A835 + 3520.50ns INFO [00003522] Port=0 WR @06=11E530D19B19ACFF27 + 3520.50ns INFO [00003522] Port=0 RD @05 + 3521.50ns INFO [00003523] Port=1 RD @07 + 3522.50ns INFO [00003524] * RD COMPARE * port=0 adr=05 act=D1A991AF872AD24E59 exp=D1A991AF872AD24E59 + 3523.50ns INFO [00003525] * RD COMPARE * port=1 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3523.50ns INFO [00003525] Port=0 WR @05=AC86F5EE47A01477FF + 3523.50ns INFO [00003525] Port=1 RD @01 + 3524.50ns INFO [00003526] Port=0 RD @05 + 3524.50ns INFO [00003526] Port=1 RD @07 + 3525.50ns INFO [00003527] * RD COMPARE * port=1 adr=01 act=0D9F4DFDA5C4CBB133 exp=0D9F4DFDA5C4CBB133 + 3525.50ns INFO [00003527] Port=1 RD @00 + 3526.50ns INFO [00003528] * RD COMPARE * port=0 adr=05 act=AC86F5EE47A01477FF exp=AC86F5EE47A01477FF + 3526.50ns INFO [00003528] * RD COMPARE * port=1 adr=07 act=350AC698BE8983D687 exp=350AC698BE8983D687 + 3526.50ns INFO [00003528] Port=0 WR @05=B01A2DA7328D420AAB + 3527.50ns INFO [00003529] * RD COMPARE * port=1 adr=00 act=22A1C2831BB580A835 exp=22A1C2831BB580A835 + 3527.50ns INFO [00003529] Port=0 WR @05=31653828CD1390F45A + 3529.50ns INFO [00003531] Port=0 RD @02 + 3529.50ns INFO [00003531] Port=1 RD @02 + 3530.50ns INFO [00003532] Port=0 RD @03 + 3531.50ns INFO [00003533] * RD COMPARE * port=0 adr=02 act=7E3F052A8495F0C244 exp=7E3F052A8495F0C244 + 3531.50ns INFO [00003533] * RD COMPARE * port=1 adr=02 act=7E3F052A8495F0C244 exp=7E3F052A8495F0C244 + 3531.50ns INFO [00003533] Port=0 WR @06=EBFB8F1200BF77E3AD + 3531.50ns INFO [00003533] Port=1 RD @04 + 3532.50ns INFO [00003534] * RD COMPARE * port=0 adr=03 act=017EFC7D3154EACF78 exp=017EFC7D3154EACF78 + 3532.50ns INFO [00003534] Port=0 WR @05=115C3FFB398AC9B4FC + 3532.50ns INFO [00003534] Port=0 RD @06 + 3533.50ns INFO [00003535] * RD COMPARE * port=1 adr=04 act=903EA0B23180C03304 exp=903EA0B23180C03304 + 3533.50ns INFO [00003535] Port=0 WR @04=549CAA7DEAD38DF4A7 + 3534.50ns INFO [00003536] * RD COMPARE * port=0 adr=06 act=EBFB8F1200BF77E3AD exp=EBFB8F1200BF77E3AD + 3534.50ns INFO [00003536] Port=0 WR @03=F6AB66EC05CCC0C9BA + 3535.50ns INFO [00003537] Port=1 RD @02 + 3537.50ns INFO [00003539] * RD COMPARE * port=1 adr=02 act=7E3F052A8495F0C244 exp=7E3F052A8495F0C244 + 3537.50ns INFO [00003539] Port=0 RD @00 + 3538.50ns INFO [00003540] Port=1 RD @02 + 3539.50ns INFO [00003541] * RD COMPARE * port=0 adr=00 act=22A1C2831BB580A835 exp=22A1C2831BB580A835 + 3540.50ns INFO [00003542] * RD COMPARE * port=1 adr=02 act=7E3F052A8495F0C244 exp=7E3F052A8495F0C244 + 3540.50ns INFO [00003542] Port=0 WR @01=7D21E42351C62D4583 + 3540.50ns INFO [00003542] Port=1 RD @02 + 3541.50ns INFO [00003543] Port=0 RD @05 + 3542.50ns INFO [00003544] * RD COMPARE * port=1 adr=02 act=7E3F052A8495F0C244 exp=7E3F052A8495F0C244 + 3542.50ns INFO [00003544] Port=0 RD @01 + 3543.50ns INFO [00003545] * RD COMPARE * port=0 adr=05 act=115C3FFB398AC9B4FC exp=115C3FFB398AC9B4FC + 3543.50ns INFO [00003545] Port=0 WR @07=9DD0399F37835B46D9 + 3543.50ns INFO [00003545] Port=1 RD @01 + 3544.50ns INFO [00003546] * RD COMPARE * port=0 adr=01 act=7D21E42351C62D4583 exp=7D21E42351C62D4583 + 3544.50ns INFO [00003546] Port=0 WR @06=1111D144626943111F + 3545.50ns INFO [00003547] * RD COMPARE * port=1 adr=01 act=7D21E42351C62D4583 exp=7D21E42351C62D4583 + 3545.50ns INFO [00003547] Port=0 WR @00=F9D65324BB6957FE34 + 3546.50ns INFO [00003548] Port=0 WR @04=D1A9E4E6CC6542760D + 3546.50ns INFO [00003548] Port=1 RD @03 + 3547.50ns INFO [00003549] Port=0 WR @03=E6DE6C8DB9A3B7EED5 + 3547.50ns INFO [00003549] Port=0 RD @04 + 3547.50ns INFO [00003549] Port=1 RD @04 + 3548.50ns INFO [00003550] * RD COMPARE * port=1 adr=03 act=F6AB66EC05CCC0C9BA exp=F6AB66EC05CCC0C9BA + 3548.50ns INFO [00003550] Port=1 RD @02 + 3549.50ns INFO [00003551] * RD COMPARE * port=0 adr=04 act=D1A9E4E6CC6542760D exp=D1A9E4E6CC6542760D + 3549.50ns INFO [00003551] * RD COMPARE * port=1 adr=04 act=D1A9E4E6CC6542760D exp=D1A9E4E6CC6542760D + 3549.50ns INFO [00003551] Port=0 RD @01 + 3550.50ns INFO [00003552] * RD COMPARE * port=1 adr=02 act=7E3F052A8495F0C244 exp=7E3F052A8495F0C244 + 3550.50ns INFO [00003552] Port=0 WR @04=F45141C0B3E42DDFAF + 3551.50ns INFO [00003553] * RD COMPARE * port=0 adr=01 act=7D21E42351C62D4583 exp=7D21E42351C62D4583 + 3551.50ns INFO [00003553] Port=1 RD @02 + 3552.50ns INFO [00003554] Port=0 WR @04=0F41CE9D13887D0E9F + 3553.50ns INFO [00003555] * RD COMPARE * port=1 adr=02 act=7E3F052A8495F0C244 exp=7E3F052A8495F0C244 + 3553.50ns INFO [00003555] Port=1 RD @05 + 3554.50ns INFO [00003556] Port=0 WR @02=125B612E6BA245A562 + 3555.50ns INFO [00003557] * RD COMPARE * port=1 adr=05 act=115C3FFB398AC9B4FC exp=115C3FFB398AC9B4FC + 3555.50ns INFO [00003557] Port=1 RD @07 + 3557.50ns INFO [00003559] * RD COMPARE * port=1 adr=07 act=9DD0399F37835B46D9 exp=9DD0399F37835B46D9 + 3557.50ns INFO [00003559] Port=0 WR @05=4E45725E018AE3D8BB + 3558.50ns INFO [00003560] Port=1 RD @06 + 3560.50ns INFO [00003562] * RD COMPARE * port=1 adr=06 act=1111D144626943111F exp=1111D144626943111F + 3560.50ns INFO [00003562] Port=1 RD @06 + 3561.50ns INFO [00003563] Port=0 WR @03=D2F5EF4FB6A4DF7DD1 + 3561.50ns INFO [00003563] Port=0 RD @02 + 3562.50ns INFO [00003564] * RD COMPARE * port=1 adr=06 act=1111D144626943111F exp=1111D144626943111F + 3562.50ns INFO [00003564] Port=0 RD @04 + 3562.50ns INFO [00003564] Port=1 RD @01 + 3563.50ns INFO [00003565] * RD COMPARE * port=0 adr=02 act=125B612E6BA245A562 exp=125B612E6BA245A562 + 3563.50ns INFO [00003565] Port=0 WR @00=704FA6AC053F9D8784 + 3563.50ns INFO [00003565] Port=0 RD @07 + 3563.50ns INFO [00003565] Port=1 RD @05 + 3564.50ns INFO [00003566] * RD COMPARE * port=0 adr=04 act=0F41CE9D13887D0E9F exp=0F41CE9D13887D0E9F + 3564.50ns INFO [00003566] * RD COMPARE * port=1 adr=01 act=7D21E42351C62D4583 exp=7D21E42351C62D4583 + 3564.50ns INFO [00003566] Port=0 RD @07 + 3564.50ns INFO [00003566] Port=1 RD @05 + 3565.50ns INFO [00003567] * RD COMPARE * port=0 adr=07 act=9DD0399F37835B46D9 exp=9DD0399F37835B46D9 + 3565.50ns INFO [00003567] * RD COMPARE * port=1 adr=05 act=4E45725E018AE3D8BB exp=4E45725E018AE3D8BB + 3565.50ns INFO [00003567] Port=0 WR @06=87D0F49D82CD95B9E7 + 3566.50ns INFO [00003568] * RD COMPARE * port=0 adr=07 act=9DD0399F37835B46D9 exp=9DD0399F37835B46D9 + 3566.50ns INFO [00003568] * RD COMPARE * port=1 adr=05 act=4E45725E018AE3D8BB exp=4E45725E018AE3D8BB + 3566.50ns INFO [00003568] Port=0 WR @04=727C3C69EFC44F0D1C + 3566.50ns INFO [00003568] Port=1 RD @00 + 3567.50ns INFO [00003569] Port=0 WR @06=E3155B27D43462FEBD + 3567.50ns INFO [00003569] Port=0 RD @07 + 3568.50ns INFO [00003570] * RD COMPARE * port=1 adr=00 act=704FA6AC053F9D8784 exp=704FA6AC053F9D8784 + 3568.50ns INFO [00003570] Port=0 WR @05=90E81AB7701F1387BC + 3568.50ns INFO [00003570] Port=1 RD @07 + 3569.50ns INFO [00003571] * RD COMPARE * port=0 adr=07 act=9DD0399F37835B46D9 exp=9DD0399F37835B46D9 + 3569.50ns INFO [00003571] Port=1 RD @00 + 3570.50ns INFO [00003572] * RD COMPARE * port=1 adr=07 act=9DD0399F37835B46D9 exp=9DD0399F37835B46D9 + 3570.50ns INFO [00003572] Port=0 RD @04 + 3570.50ns INFO [00003572] Port=1 RD @07 + 3571.50ns INFO [00003573] * RD COMPARE * port=1 adr=00 act=704FA6AC053F9D8784 exp=704FA6AC053F9D8784 + 3571.50ns INFO [00003573] Port=0 RD @06 + 3572.50ns INFO [00003574] * RD COMPARE * port=0 adr=04 act=727C3C69EFC44F0D1C exp=727C3C69EFC44F0D1C + 3572.50ns INFO [00003574] * RD COMPARE * port=1 adr=07 act=9DD0399F37835B46D9 exp=9DD0399F37835B46D9 + 3573.50ns INFO [00003575] * RD COMPARE * port=0 adr=06 act=E3155B27D43462FEBD exp=E3155B27D43462FEBD + 3573.50ns INFO [00003575] Port=0 WR @03=B24E3670C78E82E30D + 3573.50ns INFO [00003575] Port=0 RD @06 + 3573.50ns INFO [00003575] Port=1 RD @07 + 3574.50ns INFO [00003576] Port=0 RD @06 + 3575.50ns INFO [00003577] * RD COMPARE * port=0 adr=06 act=E3155B27D43462FEBD exp=E3155B27D43462FEBD + 3575.50ns INFO [00003577] * RD COMPARE * port=1 adr=07 act=9DD0399F37835B46D9 exp=9DD0399F37835B46D9 + 3575.50ns INFO [00003577] Port=0 WR @04=8FFEF2ADB4A8ECD3DA + 3575.50ns INFO [00003577] Port=1 RD @01 + 3576.50ns INFO [00003578] * RD COMPARE * port=0 adr=06 act=E3155B27D43462FEBD exp=E3155B27D43462FEBD + 3577.50ns INFO [00003579] * RD COMPARE * port=1 adr=01 act=7D21E42351C62D4583 exp=7D21E42351C62D4583 + 3577.50ns INFO [00003579] Port=0 WR @06=EFD05858ABF97B1117 + 3577.50ns INFO [00003579] Port=1 RD @01 + 3578.50ns INFO [00003580] Port=0 WR @06=FD1C715E1D4683B41D + 3579.50ns INFO [00003581] * RD COMPARE * port=1 adr=01 act=7D21E42351C62D4583 exp=7D21E42351C62D4583 + 3579.50ns INFO [00003581] Port=0 RD @03 + 3580.50ns INFO [00003582] Port=0 WR @05=18A9ADD215946B0541 + 3580.50ns INFO [00003582] Port=0 RD @03 + 3580.50ns INFO [00003582] Port=1 RD @00 + 3581.50ns INFO [00003583] * RD COMPARE * port=0 adr=03 act=B24E3670C78E82E30D exp=B24E3670C78E82E30D + 3581.50ns INFO [00003583] Port=1 RD @00 + 3582.50ns INFO [00003584] * RD COMPARE * port=0 adr=03 act=B24E3670C78E82E30D exp=B24E3670C78E82E30D + 3582.50ns INFO [00003584] * RD COMPARE * port=1 adr=00 act=704FA6AC053F9D8784 exp=704FA6AC053F9D8784 + 3582.50ns INFO [00003584] Port=0 RD @07 + 3583.50ns INFO [00003585] * RD COMPARE * port=1 adr=00 act=704FA6AC053F9D8784 exp=704FA6AC053F9D8784 + 3583.50ns INFO [00003585] Port=0 RD @06 + 3584.50ns INFO [00003586] * RD COMPARE * port=0 adr=07 act=9DD0399F37835B46D9 exp=9DD0399F37835B46D9 + 3585.50ns INFO [00003587] * RD COMPARE * port=0 adr=06 act=FD1C715E1D4683B41D exp=FD1C715E1D4683B41D + 3585.50ns INFO [00003587] Port=1 RD @04 + 3586.50ns INFO [00003588] Port=0 RD @02 + 3587.50ns INFO [00003589] * RD COMPARE * port=1 adr=04 act=8FFEF2ADB4A8ECD3DA exp=8FFEF2ADB4A8ECD3DA + 3588.50ns INFO [00003590] * RD COMPARE * port=0 adr=02 act=125B612E6BA245A562 exp=125B612E6BA245A562 + 3588.50ns INFO [00003590] Port=0 WR @07=CB293BA3B156EE0D02 + 3588.50ns INFO [00003590] Port=1 RD @01 + 3590.50ns INFO [00003592] * RD COMPARE * port=1 adr=01 act=7D21E42351C62D4583 exp=7D21E42351C62D4583 + 3590.50ns INFO [00003592] Port=1 RD @00 + 3591.50ns INFO [00003593] Port=1 RD @02 + 3592.50ns INFO [00003594] * RD COMPARE * port=1 adr=00 act=704FA6AC053F9D8784 exp=704FA6AC053F9D8784 + 3592.50ns INFO [00003594] Port=0 RD @07 + 3593.50ns INFO [00003595] * RD COMPARE * port=1 adr=02 act=125B612E6BA245A562 exp=125B612E6BA245A562 + 3593.50ns INFO [00003595] Port=0 WR @03=FDA6ED1F3C259B7AC4 + 3593.50ns INFO [00003595] Port=1 RD @02 + 3594.50ns INFO [00003596] * RD COMPARE * port=0 adr=07 act=CB293BA3B156EE0D02 exp=CB293BA3B156EE0D02 + 3594.50ns INFO [00003596] Port=0 WR @06=F2C4EBCCA37B403001 + 3594.50ns INFO [00003596] Port=1 RD @03 + 3595.50ns INFO [00003597] * RD COMPARE * port=1 adr=02 act=125B612E6BA245A562 exp=125B612E6BA245A562 + 3595.50ns INFO [00003597] Port=0 RD @07 + 3595.50ns INFO [00003597] Port=1 RD @03 + 3596.50ns INFO [00003598] * RD COMPARE * port=1 adr=03 act=FDA6ED1F3C259B7AC4 exp=FDA6ED1F3C259B7AC4 + 3596.50ns INFO [00003598] Port=0 WR @06=282434D480A4D0BD56 + 3596.50ns INFO [00003598] Port=0 RD @01 + 3597.50ns INFO [00003599] * RD COMPARE * port=0 adr=07 act=CB293BA3B156EE0D02 exp=CB293BA3B156EE0D02 + 3597.50ns INFO [00003599] * RD COMPARE * port=1 adr=03 act=FDA6ED1F3C259B7AC4 exp=FDA6ED1F3C259B7AC4 + 3598.00ns INFO [00003600] [00003600] ...tick... + 3598.50ns INFO [00003600] * RD COMPARE * port=0 adr=01 act=7D21E42351C62D4583 exp=7D21E42351C62D4583 + 3598.50ns INFO [00003600] Port=0 WR @02=4A8C63F37D52B434F3 + 3598.50ns INFO [00003600] Port=0 RD @06 + 3599.50ns INFO [00003601] Port=0 RD @05 + 3599.50ns INFO [00003601] Port=1 RD @00 + 3600.50ns INFO [00003602] * RD COMPARE * port=0 adr=06 act=282434D480A4D0BD56 exp=282434D480A4D0BD56 + 3600.50ns INFO [00003602] Port=1 RD @06 + 3601.50ns INFO [00003603] * RD COMPARE * port=0 adr=05 act=18A9ADD215946B0541 exp=18A9ADD215946B0541 + 3601.50ns INFO [00003603] * RD COMPARE * port=1 adr=00 act=704FA6AC053F9D8784 exp=704FA6AC053F9D8784 + 3602.50ns INFO [00003604] * RD COMPARE * port=1 adr=06 act=282434D480A4D0BD56 exp=282434D480A4D0BD56 + 3602.50ns INFO [00003604] Port=0 RD @05 + 3602.50ns INFO [00003604] Port=1 RD @03 + 3603.50ns INFO [00003605] Port=0 WR @07=2714AC9B4B6113FC14 + 3604.50ns INFO [00003606] * RD COMPARE * port=0 adr=05 act=18A9ADD215946B0541 exp=18A9ADD215946B0541 + 3604.50ns INFO [00003606] * RD COMPARE * port=1 adr=03 act=FDA6ED1F3C259B7AC4 exp=FDA6ED1F3C259B7AC4 + 3605.50ns INFO [00003607] Port=0 RD @04 + 3606.50ns INFO [00003608] Port=0 WR @06=7876754BFACAC37D07 + 3606.50ns INFO [00003608] Port=0 RD @04 + 3607.50ns INFO [00003609] * RD COMPARE * port=0 adr=04 act=8FFEF2ADB4A8ECD3DA exp=8FFEF2ADB4A8ECD3DA + 3607.50ns INFO [00003609] Port=0 RD @07 + 3608.50ns INFO [00003610] * RD COMPARE * port=0 adr=04 act=8FFEF2ADB4A8ECD3DA exp=8FFEF2ADB4A8ECD3DA + 3608.50ns INFO [00003610] Port=0 WR @02=369BFD101FCE6D7E1C + 3608.50ns INFO [00003610] Port=0 RD @07 + 3608.50ns INFO [00003610] Port=1 RD @00 + 3609.50ns INFO [00003611] * RD COMPARE * port=0 adr=07 act=2714AC9B4B6113FC14 exp=2714AC9B4B6113FC14 + 3609.50ns INFO [00003611] Port=1 RD @00 + 3610.50ns INFO [00003612] * RD COMPARE * port=0 adr=07 act=2714AC9B4B6113FC14 exp=2714AC9B4B6113FC14 + 3610.50ns INFO [00003612] * RD COMPARE * port=1 adr=00 act=704FA6AC053F9D8784 exp=704FA6AC053F9D8784 + 3610.50ns INFO [00003612] Port=0 RD @05 + 3611.50ns INFO [00003613] * RD COMPARE * port=1 adr=00 act=704FA6AC053F9D8784 exp=704FA6AC053F9D8784 + 3612.50ns INFO [00003614] * RD COMPARE * port=0 adr=05 act=18A9ADD215946B0541 exp=18A9ADD215946B0541 + 3612.50ns INFO [00003614] Port=0 RD @03 + 3613.50ns INFO [00003615] Port=0 WR @00=D7870C6BCFC81C82B6 + 3613.50ns INFO [00003615] Port=0 RD @04 + 3614.50ns INFO [00003616] * RD COMPARE * port=0 adr=03 act=FDA6ED1F3C259B7AC4 exp=FDA6ED1F3C259B7AC4 + 3615.50ns INFO [00003617] * RD COMPARE * port=0 adr=04 act=8FFEF2ADB4A8ECD3DA exp=8FFEF2ADB4A8ECD3DA + 3615.50ns INFO [00003617] Port=1 RD @04 + 3616.50ns INFO [00003618] Port=0 RD @05 + 3616.50ns INFO [00003618] Port=1 RD @00 + 3617.50ns INFO [00003619] * RD COMPARE * port=1 adr=04 act=8FFEF2ADB4A8ECD3DA exp=8FFEF2ADB4A8ECD3DA + 3617.50ns INFO [00003619] Port=0 WR @07=C243AB1DE14D46DFAD + 3618.50ns INFO [00003620] * RD COMPARE * port=0 adr=05 act=18A9ADD215946B0541 exp=18A9ADD215946B0541 + 3618.50ns INFO [00003620] * RD COMPARE * port=1 adr=00 act=D7870C6BCFC81C82B6 exp=D7870C6BCFC81C82B6 + 3618.50ns INFO [00003620] Port=0 RD @04 + 3618.50ns INFO [00003620] Port=1 RD @01 + 3620.50ns INFO [00003622] * RD COMPARE * port=0 adr=04 act=8FFEF2ADB4A8ECD3DA exp=8FFEF2ADB4A8ECD3DA + 3620.50ns INFO [00003622] * RD COMPARE * port=1 adr=01 act=7D21E42351C62D4583 exp=7D21E42351C62D4583 + 3620.50ns INFO [00003622] Port=0 RD @02 + 3621.50ns INFO [00003623] Port=1 RD @04 + 3622.50ns INFO [00003624] * RD COMPARE * port=0 adr=02 act=369BFD101FCE6D7E1C exp=369BFD101FCE6D7E1C + 3622.50ns INFO [00003624] Port=0 WR @05=2400DD3D503C1DD8D7 + 3622.50ns INFO [00003624] Port=1 RD @06 + 3623.50ns INFO [00003625] * RD COMPARE * port=1 adr=04 act=8FFEF2ADB4A8ECD3DA exp=8FFEF2ADB4A8ECD3DA + 3624.50ns INFO [00003626] * RD COMPARE * port=1 adr=06 act=7876754BFACAC37D07 exp=7876754BFACAC37D07 + 3625.50ns INFO [00003627] Port=0 WR @01=C74E90B66861B2B125 + 3626.50ns INFO [00003628] Port=0 WR @02=2AEDB096D90828837D + 3626.50ns INFO [00003628] Port=1 RD @04 + 3627.50ns INFO [00003629] Port=0 RD @02 + 3628.50ns INFO [00003630] * RD COMPARE * port=1 adr=04 act=8FFEF2ADB4A8ECD3DA exp=8FFEF2ADB4A8ECD3DA + 3628.50ns INFO [00003630] Port=0 RD @07 + 3629.50ns INFO [00003631] * RD COMPARE * port=0 adr=02 act=2AEDB096D90828837D exp=2AEDB096D90828837D + 3629.50ns INFO [00003631] Port=0 RD @06 + 3630.50ns INFO [00003632] * RD COMPARE * port=0 adr=07 act=C243AB1DE14D46DFAD exp=C243AB1DE14D46DFAD + 3630.50ns INFO [00003632] Port=0 WR @00=E30DBB89066F860ED5 + 3630.50ns INFO [00003632] Port=1 RD @07 + 3631.50ns INFO [00003633] * RD COMPARE * port=0 adr=06 act=7876754BFACAC37D07 exp=7876754BFACAC37D07 + 3631.50ns INFO [00003633] Port=1 RD @06 + 3632.50ns INFO [00003634] * RD COMPARE * port=1 adr=07 act=C243AB1DE14D46DFAD exp=C243AB1DE14D46DFAD + 3633.50ns INFO [00003635] * RD COMPARE * port=1 adr=06 act=7876754BFACAC37D07 exp=7876754BFACAC37D07 + 3633.50ns INFO [00003635] Port=0 WR @00=11A87D86F3E5ABE22B + 3633.50ns INFO [00003635] Port=0 RD @01 + 3633.50ns INFO [00003635] Port=1 RD @06 + 3634.50ns INFO [00003636] Port=0 WR @02=780CC0DD8F5719FA39 + 3634.50ns INFO [00003636] Port=0 RD @05 + 3634.50ns INFO [00003636] Port=1 RD @05 + 3635.50ns INFO [00003637] * RD COMPARE * port=0 adr=01 act=C74E90B66861B2B125 exp=C74E90B66861B2B125 + 3635.50ns INFO [00003637] * RD COMPARE * port=1 adr=06 act=7876754BFACAC37D07 exp=7876754BFACAC37D07 + 3635.50ns INFO [00003637] Port=1 RD @07 + 3636.50ns INFO [00003638] * RD COMPARE * port=0 adr=05 act=2400DD3D503C1DD8D7 exp=2400DD3D503C1DD8D7 + 3636.50ns INFO [00003638] * RD COMPARE * port=1 adr=05 act=2400DD3D503C1DD8D7 exp=2400DD3D503C1DD8D7 + 3636.50ns INFO [00003638] Port=0 RD @00 + 3636.50ns INFO [00003638] Port=1 RD @00 + 3637.50ns INFO [00003639] * RD COMPARE * port=1 adr=07 act=C243AB1DE14D46DFAD exp=C243AB1DE14D46DFAD + 3637.50ns INFO [00003639] Port=0 RD @02 + 3638.50ns INFO [00003640] * RD COMPARE * port=0 adr=00 act=11A87D86F3E5ABE22B exp=11A87D86F3E5ABE22B + 3638.50ns INFO [00003640] * RD COMPARE * port=1 adr=00 act=11A87D86F3E5ABE22B exp=11A87D86F3E5ABE22B + 3638.50ns INFO [00003640] Port=0 WR @03=ABF46B78681961216D + 3638.50ns INFO [00003640] Port=0 RD @05 + 3638.50ns INFO [00003640] Port=1 RD @06 + 3639.50ns INFO [00003641] * RD COMPARE * port=0 adr=02 act=780CC0DD8F5719FA39 exp=780CC0DD8F5719FA39 + 3639.50ns INFO [00003641] Port=0 RD @03 + 3640.50ns INFO [00003642] * RD COMPARE * port=0 adr=05 act=2400DD3D503C1DD8D7 exp=2400DD3D503C1DD8D7 + 3640.50ns INFO [00003642] * RD COMPARE * port=1 adr=06 act=7876754BFACAC37D07 exp=7876754BFACAC37D07 + 3640.50ns INFO [00003642] Port=0 RD @07 + 3641.50ns INFO [00003643] * RD COMPARE * port=0 adr=03 act=ABF46B78681961216D exp=ABF46B78681961216D + 3641.50ns INFO [00003643] Port=0 WR @05=94CB572D1C321D4D1E + 3641.50ns INFO [00003643] Port=1 RD @02 + 3642.50ns INFO [00003644] * RD COMPARE * port=0 adr=07 act=C243AB1DE14D46DFAD exp=C243AB1DE14D46DFAD + 3642.50ns INFO [00003644] Port=0 WR @02=4FC7ADD1A1F87579DF + 3643.50ns INFO [00003645] * RD COMPARE * port=1 adr=02 act=780CC0DD8F5719FA39 exp=780CC0DD8F5719FA39 + 3643.50ns INFO [00003645] Port=0 WR @00=27D0D0C3C7D8AA1D07 + 3643.50ns INFO [00003645] Port=0 RD @04 + 3643.50ns INFO [00003645] Port=1 RD @01 + 3644.50ns INFO [00003646] Port=0 WR @00=BFD990E8F981EBFA82 + 3644.50ns INFO [00003646] Port=0 RD @01 + 3645.50ns INFO [00003647] * RD COMPARE * port=0 adr=04 act=8FFEF2ADB4A8ECD3DA exp=8FFEF2ADB4A8ECD3DA + 3645.50ns INFO [00003647] * RD COMPARE * port=1 adr=01 act=C74E90B66861B2B125 exp=C74E90B66861B2B125 + 3645.50ns INFO [00003647] Port=0 RD @03 + 3645.50ns INFO [00003647] Port=1 RD @03 + 3646.50ns INFO [00003648] * RD COMPARE * port=0 adr=01 act=C74E90B66861B2B125 exp=C74E90B66861B2B125 + 3646.50ns INFO [00003648] Port=0 RD @01 + 3646.50ns INFO [00003648] Port=1 RD @00 + 3647.50ns INFO [00003649] * RD COMPARE * port=0 adr=03 act=ABF46B78681961216D exp=ABF46B78681961216D + 3647.50ns INFO [00003649] * RD COMPARE * port=1 adr=03 act=ABF46B78681961216D exp=ABF46B78681961216D + 3647.50ns INFO [00003649] Port=0 WR @07=E019A7ECC7E0C6C4FE + 3648.50ns INFO [00003650] * RD COMPARE * port=0 adr=01 act=C74E90B66861B2B125 exp=C74E90B66861B2B125 + 3648.50ns INFO [00003650] * RD COMPARE * port=1 adr=00 act=BFD990E8F981EBFA82 exp=BFD990E8F981EBFA82 + 3648.50ns INFO [00003650] Port=0 WR @07=ED780B3551EDA796B1 + 3648.50ns INFO [00003650] Port=0 RD @03 + 3649.50ns INFO [00003651] Port=1 RD @06 + 3650.50ns INFO [00003652] * RD COMPARE * port=0 adr=03 act=ABF46B78681961216D exp=ABF46B78681961216D + 3651.50ns INFO [00003653] * RD COMPARE * port=1 adr=06 act=7876754BFACAC37D07 exp=7876754BFACAC37D07 + 3651.50ns INFO [00003653] Port=0 WR @02=E1642E5A9ECEF9964D + 3651.50ns INFO [00003653] Port=0 RD @06 + 3652.50ns INFO [00003654] Port=0 WR @02=CB27E73680938B80CC + 3653.50ns INFO [00003655] * RD COMPARE * port=0 adr=06 act=7876754BFACAC37D07 exp=7876754BFACAC37D07 + 3653.50ns INFO [00003655] Port=0 WR @05=D6B3797B0C22E6C6F3 + 3653.50ns INFO [00003655] Port=1 RD @04 + 3654.50ns INFO [00003656] Port=0 WR @03=2FCEC781ADF1427921 + 3654.50ns INFO [00003656] Port=1 RD @07 + 3655.50ns INFO [00003657] * RD COMPARE * port=1 adr=04 act=8FFEF2ADB4A8ECD3DA exp=8FFEF2ADB4A8ECD3DA + 3655.50ns INFO [00003657] Port=0 WR @03=8126728B8327EE63CA + 3656.50ns INFO [00003658] * RD COMPARE * port=1 adr=07 act=ED780B3551EDA796B1 exp=ED780B3551EDA796B1 + 3657.50ns INFO [00003659] Port=0 WR @03=D1A022EE342F1DC80B + 3658.50ns INFO [00003660] Port=0 WR @04=25B9E8C02F4978FF22 + 3658.50ns INFO [00003660] Port=0 RD @06 + 3658.50ns INFO [00003660] Port=1 RD @01 + 3660.50ns INFO [00003662] * RD COMPARE * port=0 adr=06 act=7876754BFACAC37D07 exp=7876754BFACAC37D07 + 3660.50ns INFO [00003662] * RD COMPARE * port=1 adr=01 act=C74E90B66861B2B125 exp=C74E90B66861B2B125 + 3660.50ns INFO [00003662] Port=1 RD @02 + 3661.50ns INFO [00003663] Port=0 RD @02 + 3661.50ns INFO [00003663] Port=1 RD @05 + 3662.50ns INFO [00003664] * RD COMPARE * port=1 adr=02 act=CB27E73680938B80CC exp=CB27E73680938B80CC + 3663.50ns INFO [00003665] * RD COMPARE * port=0 adr=02 act=CB27E73680938B80CC exp=CB27E73680938B80CC + 3663.50ns INFO [00003665] * RD COMPARE * port=1 adr=05 act=D6B3797B0C22E6C6F3 exp=D6B3797B0C22E6C6F3 + 3663.50ns INFO [00003665] Port=1 RD @06 + 3664.50ns INFO [00003666] Port=0 RD @02 + 3665.50ns INFO [00003667] * RD COMPARE * port=1 adr=06 act=7876754BFACAC37D07 exp=7876754BFACAC37D07 + 3665.50ns INFO [00003667] Port=0 RD @05 + 3666.50ns INFO [00003668] * RD COMPARE * port=0 adr=02 act=CB27E73680938B80CC exp=CB27E73680938B80CC + 3666.50ns INFO [00003668] Port=0 RD @04 + 3667.50ns INFO [00003669] * RD COMPARE * port=0 adr=05 act=D6B3797B0C22E6C6F3 exp=D6B3797B0C22E6C6F3 + 3668.50ns INFO [00003670] * RD COMPARE * port=0 adr=04 act=25B9E8C02F4978FF22 exp=25B9E8C02F4978FF22 + 3668.50ns INFO [00003670] Port=0 WR @03=C5A746A51FD7C30BBD + 3668.50ns INFO [00003670] Port=0 RD @05 + 3669.50ns INFO [00003671] Port=0 WR @04=9FF6F8DD5432ACB5C7 + 3670.50ns INFO [00003672] * RD COMPARE * port=0 adr=05 act=D6B3797B0C22E6C6F3 exp=D6B3797B0C22E6C6F3 + 3670.50ns INFO [00003672] Port=0 WR @05=1531772A26E5606314 + 3670.50ns INFO [00003672] Port=1 RD @02 + 3672.50ns INFO [00003674] * RD COMPARE * port=1 adr=02 act=CB27E73680938B80CC exp=CB27E73680938B80CC + 3673.50ns INFO [00003675] Port=0 RD @07 + 3674.50ns INFO [00003676] Port=1 RD @05 + 3675.50ns INFO [00003677] * RD COMPARE * port=0 adr=07 act=ED780B3551EDA796B1 exp=ED780B3551EDA796B1 + 3675.50ns INFO [00003677] Port=0 WR @04=95302DEFE3119C0846 + 3676.50ns INFO [00003678] * RD COMPARE * port=1 adr=05 act=1531772A26E5606314 exp=1531772A26E5606314 + 3676.50ns INFO [00003678] Port=0 WR @07=5ADEFF19B48B497673 + 3677.50ns INFO [00003679] Port=0 RD @05 + 3677.50ns INFO [00003679] Port=1 RD @00 + 3678.50ns INFO [00003680] Port=0 RD @03 + 3678.50ns INFO [00003680] Port=1 RD @04 + 3679.50ns INFO [00003681] * RD COMPARE * port=0 adr=05 act=1531772A26E5606314 exp=1531772A26E5606314 + 3679.50ns INFO [00003681] * RD COMPARE * port=1 adr=00 act=BFD990E8F981EBFA82 exp=BFD990E8F981EBFA82 + 3679.50ns INFO [00003681] Port=1 RD @03 + 3680.50ns INFO [00003682] * RD COMPARE * port=0 adr=03 act=C5A746A51FD7C30BBD exp=C5A746A51FD7C30BBD + 3680.50ns INFO [00003682] * RD COMPARE * port=1 adr=04 act=95302DEFE3119C0846 exp=95302DEFE3119C0846 + 3681.50ns INFO [00003683] * RD COMPARE * port=1 adr=03 act=C5A746A51FD7C30BBD exp=C5A746A51FD7C30BBD + 3685.50ns INFO [00003687] Port=1 RD @07 + 3686.50ns INFO [00003688] Port=0 WR @01=8F956B340D33F51CC8 + 3686.50ns INFO [00003688] Port=0 RD @03 + 3687.50ns INFO [00003689] * RD COMPARE * port=1 adr=07 act=5ADEFF19B48B497673 exp=5ADEFF19B48B497673 + 3687.50ns INFO [00003689] Port=1 RD @04 + 3688.50ns INFO [00003690] * RD COMPARE * port=0 adr=03 act=C5A746A51FD7C30BBD exp=C5A746A51FD7C30BBD + 3688.50ns INFO [00003690] Port=0 RD @02 + 3689.50ns INFO [00003691] * RD COMPARE * port=1 adr=04 act=95302DEFE3119C0846 exp=95302DEFE3119C0846 + 3689.50ns INFO [00003691] Port=0 WR @01=B2E0F039C2E193875D + 3689.50ns INFO [00003691] Port=0 RD @07 + 3690.50ns INFO [00003692] * RD COMPARE * port=0 adr=02 act=CB27E73680938B80CC exp=CB27E73680938B80CC + 3690.50ns INFO [00003692] Port=0 WR @00=8A03E05457AAA9F6A4 + 3691.50ns INFO [00003693] * RD COMPARE * port=0 adr=07 act=5ADEFF19B48B497673 exp=5ADEFF19B48B497673 + 3691.50ns INFO [00003693] Port=0 WR @07=BAA30CF39513C4DC13 + 3691.50ns INFO [00003693] Port=0 RD @03 + 3692.50ns INFO [00003694] Port=0 WR @07=136DB022D254E96186 + 3693.50ns INFO [00003695] * RD COMPARE * port=0 adr=03 act=C5A746A51FD7C30BBD exp=C5A746A51FD7C30BBD + 3693.50ns INFO [00003695] Port=1 RD @03 + 3694.50ns INFO [00003696] Port=0 RD @02 + 3695.50ns INFO [00003697] * RD COMPARE * port=1 adr=03 act=C5A746A51FD7C30BBD exp=C5A746A51FD7C30BBD + 3696.50ns INFO [00003698] * RD COMPARE * port=0 adr=02 act=CB27E73680938B80CC exp=CB27E73680938B80CC + 3696.50ns INFO [00003698] Port=0 RD @07 + 3697.50ns INFO [00003699] Port=0 WR @06=7DB9FD92D5FEBD0227 + 3697.50ns INFO [00003699] Port=0 RD @04 + 3697.50ns INFO [00003699] Port=1 RD @03 + 3698.00ns INFO [00003700] [00003700] ...tick... + 3698.50ns INFO [00003700] * RD COMPARE * port=0 adr=07 act=136DB022D254E96186 exp=136DB022D254E96186 + 3698.50ns INFO [00003700] Port=1 RD @06 + 3699.50ns INFO [00003701] * RD COMPARE * port=0 adr=04 act=95302DEFE3119C0846 exp=95302DEFE3119C0846 + 3699.50ns INFO [00003701] * RD COMPARE * port=1 adr=03 act=C5A746A51FD7C30BBD exp=C5A746A51FD7C30BBD + 3699.50ns INFO [00003701] Port=0 WR @00=A465D92E3D4B035F10 + 3699.50ns INFO [00003701] Port=0 RD @04 + 3700.50ns INFO [00003702] * RD COMPARE * port=1 adr=06 act=7DB9FD92D5FEBD0227 exp=7DB9FD92D5FEBD0227 + 3700.50ns INFO [00003702] Port=0 RD @02 + 3700.50ns INFO [00003702] Port=1 RD @01 + 3701.50ns INFO [00003703] * RD COMPARE * port=0 adr=04 act=95302DEFE3119C0846 exp=95302DEFE3119C0846 + 3701.50ns INFO [00003703] Port=0 WR @03=DC860E70E51BB011D9 + 3702.50ns INFO [00003704] * RD COMPARE * port=0 adr=02 act=CB27E73680938B80CC exp=CB27E73680938B80CC + 3702.50ns INFO [00003704] * RD COMPARE * port=1 adr=01 act=B2E0F039C2E193875D exp=B2E0F039C2E193875D + 3702.50ns INFO [00003704] Port=0 WR @02=DFAE01074F37637A78 + 3703.50ns INFO [00003705] Port=0 WR @03=AAEBE3CFAC58BFE2F1 + 3706.50ns INFO [00003708] Port=0 RD @05 + 3707.50ns INFO [00003709] Port=0 RD @05 + 3708.50ns INFO [00003710] * RD COMPARE * port=0 adr=05 act=1531772A26E5606314 exp=1531772A26E5606314 + 3708.50ns INFO [00003710] Port=0 RD @05 + 3708.50ns INFO [00003710] Port=1 RD @06 + 3709.50ns INFO [00003711] * RD COMPARE * port=0 adr=05 act=1531772A26E5606314 exp=1531772A26E5606314 + 3709.50ns INFO [00003711] Port=0 WR @05=B07B75C9BF3A46F85A + 3709.50ns INFO [00003711] Port=0 RD @06 + 3710.50ns INFO [00003712] * RD COMPARE * port=0 adr=05 act=1531772A26E5606314 exp=1531772A26E5606314 + 3710.50ns INFO [00003712] * RD COMPARE * port=1 adr=06 act=7DB9FD92D5FEBD0227 exp=7DB9FD92D5FEBD0227 + 3711.50ns INFO [00003713] * RD COMPARE * port=0 adr=06 act=7DB9FD92D5FEBD0227 exp=7DB9FD92D5FEBD0227 + 3711.50ns INFO [00003713] Port=0 RD @03 + 3712.50ns INFO [00003714] Port=0 WR @02=092D340B46F9769007 + 3712.50ns INFO [00003714] Port=0 RD @03 + 3713.50ns INFO [00003715] * RD COMPARE * port=0 adr=03 act=AAEBE3CFAC58BFE2F1 exp=AAEBE3CFAC58BFE2F1 + 3714.50ns INFO [00003716] * RD COMPARE * port=0 adr=03 act=AAEBE3CFAC58BFE2F1 exp=AAEBE3CFAC58BFE2F1 + 3714.50ns INFO [00003716] Port=0 RD @00 + 3716.50ns INFO [00003718] * RD COMPARE * port=0 adr=00 act=A465D92E3D4B035F10 exp=A465D92E3D4B035F10 + 3716.50ns INFO [00003718] Port=1 RD @07 + 3717.50ns INFO [00003719] Port=0 RD @06 + 3718.50ns INFO [00003720] * RD COMPARE * port=1 adr=07 act=136DB022D254E96186 exp=136DB022D254E96186 + 3719.50ns INFO [00003721] * RD COMPARE * port=0 adr=06 act=7DB9FD92D5FEBD0227 exp=7DB9FD92D5FEBD0227 + 3720.50ns INFO [00003722] Port=0 WR @05=11235FFAE51B976DC2 + 3720.50ns INFO [00003722] Port=1 RD @00 + 3721.50ns INFO [00003723] Port=0 WR @05=8D13933C661EFF1D05 + 3722.50ns INFO [00003724] * RD COMPARE * port=1 adr=00 act=A465D92E3D4B035F10 exp=A465D92E3D4B035F10 + 3722.50ns INFO [00003724] Port=0 WR @00=161BA96C174E38DE89 + 3723.50ns INFO [00003725] Port=0 RD @02 + 3724.50ns INFO [00003726] Port=1 RD @07 + 3725.50ns INFO [00003727] * RD COMPARE * port=0 adr=02 act=092D340B46F9769007 exp=092D340B46F9769007 + 3725.50ns INFO [00003727] Port=0 WR @02=233728D8070B88AC22 + 3726.50ns INFO [00003728] * RD COMPARE * port=1 adr=07 act=136DB022D254E96186 exp=136DB022D254E96186 + 3728.50ns INFO [00003730] Port=0 WR @02=3FAA897144710CF966 + 3728.50ns INFO [00003730] Port=0 RD @06 + 3728.50ns INFO [00003730] Port=1 RD @03 + 3729.50ns INFO [00003731] Port=0 WR @04=D92D96094ABB668A5A + 3730.50ns INFO [00003732] * RD COMPARE * port=0 adr=06 act=7DB9FD92D5FEBD0227 exp=7DB9FD92D5FEBD0227 + 3730.50ns INFO [00003732] * RD COMPARE * port=1 adr=03 act=AAEBE3CFAC58BFE2F1 exp=AAEBE3CFAC58BFE2F1 + 3730.50ns INFO [00003732] Port=1 RD @05 + 3731.50ns INFO [00003733] Port=0 WR @03=6DCED9710AE214D4B2 + 3732.50ns INFO [00003734] * RD COMPARE * port=1 adr=05 act=8D13933C661EFF1D05 exp=8D13933C661EFF1D05 + 3732.50ns INFO [00003734] Port=0 WR @00=46CA01A336F6DC645F + 3732.50ns INFO [00003734] Port=1 RD @01 + 3734.50ns INFO [00003736] * RD COMPARE * port=1 adr=01 act=B2E0F039C2E193875D exp=B2E0F039C2E193875D + 3734.50ns INFO [00003736] Port=0 WR @00=3D756F1EC732993712 + 3735.50ns INFO [00003737] Port=0 WR @05=48828E9B1AE3AFDC1B + 3735.50ns INFO [00003737] Port=0 RD @02 + 3735.50ns INFO [00003737] Port=1 RD @02 + 3736.50ns INFO [00003738] Port=0 RD @01 + 3737.50ns INFO [00003739] * RD COMPARE * port=0 adr=02 act=3FAA897144710CF966 exp=3FAA897144710CF966 + 3737.50ns INFO [00003739] * RD COMPARE * port=1 adr=02 act=3FAA897144710CF966 exp=3FAA897144710CF966 + 3737.50ns INFO [00003739] Port=0 RD @02 + 3737.50ns INFO [00003739] Port=1 RD @02 + 3738.50ns INFO [00003740] * RD COMPARE * port=0 adr=01 act=B2E0F039C2E193875D exp=B2E0F039C2E193875D + 3738.50ns INFO [00003740] Port=0 WR @05=EEC4BF9CB93764B5BD + 3738.50ns INFO [00003740] Port=0 RD @04 + 3739.50ns INFO [00003741] * RD COMPARE * port=0 adr=02 act=3FAA897144710CF966 exp=3FAA897144710CF966 + 3739.50ns INFO [00003741] * RD COMPARE * port=1 adr=02 act=3FAA897144710CF966 exp=3FAA897144710CF966 + 3739.50ns INFO [00003741] Port=0 WR @06=3CFED186C8FD568C31 + 3739.50ns INFO [00003741] Port=0 RD @00 + 3740.50ns INFO [00003742] * RD COMPARE * port=0 adr=04 act=D92D96094ABB668A5A exp=D92D96094ABB668A5A + 3740.50ns INFO [00003742] Port=0 RD @01 + 3740.50ns INFO [00003742] Port=1 RD @00 + 3741.50ns INFO [00003743] * RD COMPARE * port=0 adr=00 act=3D756F1EC732993712 exp=3D756F1EC732993712 + 3741.50ns INFO [00003743] Port=0 WR @02=812342DD6775A501A7 + 3741.50ns INFO [00003743] Port=0 RD @00 + 3741.50ns INFO [00003743] Port=1 RD @00 + 3742.50ns INFO [00003744] * RD COMPARE * port=0 adr=01 act=B2E0F039C2E193875D exp=B2E0F039C2E193875D + 3742.50ns INFO [00003744] * RD COMPARE * port=1 adr=00 act=3D756F1EC732993712 exp=3D756F1EC732993712 + 3742.50ns INFO [00003744] Port=1 RD @07 + 3743.50ns INFO [00003745] * RD COMPARE * port=0 adr=00 act=3D756F1EC732993712 exp=3D756F1EC732993712 + 3743.50ns INFO [00003745] * RD COMPARE * port=1 adr=00 act=3D756F1EC732993712 exp=3D756F1EC732993712 + 3743.50ns INFO [00003745] Port=0 RD @00 + 3743.50ns INFO [00003745] Port=1 RD @06 + 3744.50ns INFO [00003746] * RD COMPARE * port=1 adr=07 act=136DB022D254E96186 exp=136DB022D254E96186 + 3744.50ns INFO [00003746] Port=0 WR @02=51C709754923CC87C3 + 3744.50ns INFO [00003746] Port=1 RD @05 + 3745.50ns INFO [00003747] * RD COMPARE * port=0 adr=00 act=3D756F1EC732993712 exp=3D756F1EC732993712 + 3745.50ns INFO [00003747] * RD COMPARE * port=1 adr=06 act=3CFED186C8FD568C31 exp=3CFED186C8FD568C31 + 3745.50ns INFO [00003747] Port=0 WR @06=3EED042A198ACDCF99 + 3746.50ns INFO [00003748] * RD COMPARE * port=1 adr=05 act=EEC4BF9CB93764B5BD exp=EEC4BF9CB93764B5BD + 3747.50ns INFO [00003749] Port=0 WR @07=6C5B2A9AF9B515F3AB + 3749.50ns INFO [00003751] Port=0 WR @02=0D9786BA7B5DAE3CE6 + 3749.50ns INFO [00003751] Port=0 RD @03 + 3750.50ns INFO [00003752] Port=1 RD @06 + 3751.50ns INFO [00003753] * RD COMPARE * port=0 adr=03 act=6DCED9710AE214D4B2 exp=6DCED9710AE214D4B2 + 3751.50ns INFO [00003753] Port=1 RD @04 + 3752.50ns INFO [00003754] * RD COMPARE * port=1 adr=06 act=3EED042A198ACDCF99 exp=3EED042A198ACDCF99 + 3752.50ns INFO [00003754] Port=0 RD @01 + 3753.50ns INFO [00003755] * RD COMPARE * port=1 adr=04 act=D92D96094ABB668A5A exp=D92D96094ABB668A5A + 3753.50ns INFO [00003755] Port=0 WR @01=3ED189750EDB67C1EA + 3754.50ns INFO [00003756] * RD COMPARE * port=0 adr=01 act=B2E0F039C2E193875D exp=B2E0F039C2E193875D + 3755.50ns INFO [00003757] Port=1 RD @01 + 3756.50ns INFO [00003758] Port=0 WR @00=A696AFA566F129A0EE + 3757.50ns INFO [00003759] * RD COMPARE * port=1 adr=01 act=3ED189750EDB67C1EA exp=3ED189750EDB67C1EA + 3757.50ns INFO [00003759] Port=1 RD @03 + 3758.50ns INFO [00003760] Port=0 RD @01 + 3759.50ns INFO [00003761] * RD COMPARE * port=1 adr=03 act=6DCED9710AE214D4B2 exp=6DCED9710AE214D4B2 + 3759.50ns INFO [00003761] Port=0 RD @04 + 3760.50ns INFO [00003762] * RD COMPARE * port=0 adr=01 act=3ED189750EDB67C1EA exp=3ED189750EDB67C1EA + 3760.50ns INFO [00003762] Port=0 RD @02 + 3760.50ns INFO [00003762] Port=1 RD @03 + 3761.50ns INFO [00003763] * RD COMPARE * port=0 adr=04 act=D92D96094ABB668A5A exp=D92D96094ABB668A5A + 3761.50ns INFO [00003763] Port=0 WR @07=661FD335D27AF85973 + 3761.50ns INFO [00003763] Port=0 RD @06 + 3762.50ns INFO [00003764] * RD COMPARE * port=0 adr=02 act=0D9786BA7B5DAE3CE6 exp=0D9786BA7B5DAE3CE6 + 3762.50ns INFO [00003764] * RD COMPARE * port=1 adr=03 act=6DCED9710AE214D4B2 exp=6DCED9710AE214D4B2 + 3762.50ns INFO [00003764] Port=0 WR @04=B7806536CFB929EE84 + 3763.50ns INFO [00003765] * RD COMPARE * port=0 adr=06 act=3EED042A198ACDCF99 exp=3EED042A198ACDCF99 + 3763.50ns INFO [00003765] Port=1 RD @00 + 3764.50ns INFO [00003766] Port=0 RD @03 + 3765.50ns INFO [00003767] * RD COMPARE * port=1 adr=00 act=A696AFA566F129A0EE exp=A696AFA566F129A0EE + 3765.50ns INFO [00003767] Port=0 WR @07=681ABD5E2B08F4BCFB + 3766.50ns INFO [00003768] * RD COMPARE * port=0 adr=03 act=6DCED9710AE214D4B2 exp=6DCED9710AE214D4B2 + 3767.50ns INFO [00003769] Port=0 WR @05=788C1033355B2FBDA8 + 3768.50ns INFO [00003770] Port=0 WR @04=60A8FE49FE620159F9 + 3768.50ns INFO [00003770] Port=0 RD @00 + 3769.50ns INFO [00003771] Port=0 RD @00 + 3770.50ns INFO [00003772] * RD COMPARE * port=0 adr=00 act=A696AFA566F129A0EE exp=A696AFA566F129A0EE + 3770.50ns INFO [00003772] Port=1 RD @01 + 3771.50ns INFO [00003773] * RD COMPARE * port=0 adr=00 act=A696AFA566F129A0EE exp=A696AFA566F129A0EE + 3771.50ns INFO [00003773] Port=0 WR @03=E9DA2B7ACE3C6EF010 + 3772.50ns INFO [00003774] * RD COMPARE * port=1 adr=01 act=3ED189750EDB67C1EA exp=3ED189750EDB67C1EA + 3772.50ns INFO [00003774] Port=0 WR @03=FF28DE85302A523F89 + 3772.50ns INFO [00003774] Port=0 RD @02 + 3773.50ns INFO [00003775] Port=1 RD @06 + 3774.50ns INFO [00003776] * RD COMPARE * port=0 adr=02 act=0D9786BA7B5DAE3CE6 exp=0D9786BA7B5DAE3CE6 + 3774.50ns INFO [00003776] Port=0 WR @03=B382EAF82A945A025F + 3775.50ns INFO [00003777] * RD COMPARE * port=1 adr=06 act=3EED042A198ACDCF99 exp=3EED042A198ACDCF99 + 3775.50ns INFO [00003777] Port=0 WR @07=8903656382507457C9 + 3777.50ns INFO [00003779] Port=0 WR @00=2CCD74FC7950CF1F68 + 3778.50ns INFO [00003780] Port=0 WR @05=14B2D6A4F7C843150C + 3779.50ns INFO [00003781] Port=0 WR @04=6B978DB85FE42706B1 + 3780.50ns INFO [00003782] Port=1 RD @01 + 3781.50ns INFO [00003783] Port=1 RD @00 + 3782.50ns INFO [00003784] * RD COMPARE * port=1 adr=01 act=3ED189750EDB67C1EA exp=3ED189750EDB67C1EA + 3783.50ns INFO [00003785] * RD COMPARE * port=1 adr=00 act=2CCD74FC7950CF1F68 exp=2CCD74FC7950CF1F68 + 3784.50ns INFO [00003786] Port=0 RD @02 + 3785.50ns INFO [00003787] Port=0 RD @02 + 3785.50ns INFO [00003787] Port=1 RD @07 + 3786.50ns INFO [00003788] * RD COMPARE * port=0 adr=02 act=0D9786BA7B5DAE3CE6 exp=0D9786BA7B5DAE3CE6 + 3787.50ns INFO [00003789] * RD COMPARE * port=0 adr=02 act=0D9786BA7B5DAE3CE6 exp=0D9786BA7B5DAE3CE6 + 3787.50ns INFO [00003789] * RD COMPARE * port=1 adr=07 act=8903656382507457C9 exp=8903656382507457C9 + 3787.50ns INFO [00003789] Port=0 WR @07=93F6970B5379C3F6D8 + 3788.50ns INFO [00003790] Port=0 WR @04=28C861015B612F4046 + 3789.50ns INFO [00003791] Port=1 RD @05 + 3790.50ns INFO [00003792] Port=0 WR @05=BC7808E8D4F14FE523 + 3791.50ns INFO [00003793] * RD COMPARE * port=1 adr=05 act=14B2D6A4F7C843150C exp=14B2D6A4F7C843150C + 3792.50ns INFO [00003794] Port=0 WR @02=7B2AD061FE48981C52 + 3792.50ns INFO [00003794] Port=0 RD @07 + 3792.50ns INFO [00003794] Port=1 RD @07 + 3793.50ns INFO [00003795] Port=0 WR @07=7B29AAE38D67FDDAAC + 3793.50ns INFO [00003795] Port=1 RD @02 + 3794.50ns INFO [00003796] * RD COMPARE * port=0 adr=07 act=93F6970B5379C3F6D8 exp=93F6970B5379C3F6D8 + 3794.50ns INFO [00003796] * RD COMPARE * port=1 adr=07 act=93F6970B5379C3F6D8 exp=93F6970B5379C3F6D8 + 3794.50ns INFO [00003796] Port=1 RD @06 + 3795.50ns INFO [00003797] * RD COMPARE * port=1 adr=02 act=7B2AD061FE48981C52 exp=7B2AD061FE48981C52 + 3795.50ns INFO [00003797] Port=0 WR @00=FCFFFC5D6A7A3BE063 + 3796.50ns INFO [00003798] * RD COMPARE * port=1 adr=06 act=3EED042A198ACDCF99 exp=3EED042A198ACDCF99 + 3796.50ns INFO [00003798] Port=0 WR @03=94617E893B163E0A3F + 3796.50ns INFO [00003798] Port=0 RD @01 + 3797.50ns INFO [00003799] Port=0 RD @00 + 3798.00ns INFO [00003800] [00003800] ...tick... + 3798.50ns INFO [00003800] * RD COMPARE * port=0 adr=01 act=3ED189750EDB67C1EA exp=3ED189750EDB67C1EA + 3798.50ns INFO [00003800] Port=0 RD @05 + 3798.50ns INFO [00003800] Port=1 RD @01 + 3799.50ns INFO [00003801] * RD COMPARE * port=0 adr=00 act=FCFFFC5D6A7A3BE063 exp=FCFFFC5D6A7A3BE063 + 3799.50ns INFO [00003801] Port=0 RD @02 + 3800.50ns INFO [00003802] * RD COMPARE * port=0 adr=05 act=BC7808E8D4F14FE523 exp=BC7808E8D4F14FE523 + 3800.50ns INFO [00003802] * RD COMPARE * port=1 adr=01 act=3ED189750EDB67C1EA exp=3ED189750EDB67C1EA + 3801.50ns INFO [00003803] * RD COMPARE * port=0 adr=02 act=7B2AD061FE48981C52 exp=7B2AD061FE48981C52 + 3801.50ns INFO [00003803] Port=0 WR @03=9A204EEC2624A0D99F + 3802.50ns INFO [00003804] Port=1 RD @06 + 3803.50ns INFO [00003805] Port=0 RD @00 + 3803.50ns INFO [00003805] Port=1 RD @02 + 3804.50ns INFO [00003806] * RD COMPARE * port=1 adr=06 act=3EED042A198ACDCF99 exp=3EED042A198ACDCF99 + 3804.50ns INFO [00003806] Port=0 WR @06=3E4CB566BC0D5E4C5C + 3804.50ns INFO [00003806] Port=0 RD @00 + 3804.50ns INFO [00003806] Port=1 RD @04 + 3805.50ns INFO [00003807] * RD COMPARE * port=0 adr=00 act=FCFFFC5D6A7A3BE063 exp=FCFFFC5D6A7A3BE063 + 3805.50ns INFO [00003807] * RD COMPARE * port=1 adr=02 act=7B2AD061FE48981C52 exp=7B2AD061FE48981C52 + 3805.50ns INFO [00003807] Port=0 WR @03=3612E432BC860A8BB5 + 3806.50ns INFO [00003808] * RD COMPARE * port=0 adr=00 act=FCFFFC5D6A7A3BE063 exp=FCFFFC5D6A7A3BE063 + 3806.50ns INFO [00003808] * RD COMPARE * port=1 adr=04 act=28C861015B612F4046 exp=28C861015B612F4046 + 3806.50ns INFO [00003808] Port=0 WR @04=0ED5A305BB32E8B9C1 + 3807.50ns INFO [00003809] Port=0 RD @02 + 3808.50ns INFO [00003810] Port=0 WR @04=C44275A47F671AE951 + 3809.50ns INFO [00003811] * RD COMPARE * port=0 adr=02 act=7B2AD061FE48981C52 exp=7B2AD061FE48981C52 + 3810.50ns INFO [00003812] Port=0 WR @01=8FA3E415BE1290E482 + 3812.50ns INFO [00003814] Port=0 RD @04 + 3812.50ns INFO [00003814] Port=1 RD @00 + 3813.50ns INFO [00003815] Port=0 WR @00=E870B90AA17096BBED + 3813.50ns INFO [00003815] Port=0 RD @06 + 3814.50ns INFO [00003816] * RD COMPARE * port=0 adr=04 act=C44275A47F671AE951 exp=C44275A47F671AE951 + 3814.50ns INFO [00003816] * RD COMPARE * port=1 adr=00 act=FCFFFC5D6A7A3BE063 exp=FCFFFC5D6A7A3BE063 + 3814.50ns INFO [00003816] Port=0 WR @06=A1B23F28192BBB2B68 + 3814.50ns INFO [00003816] Port=0 RD @07 + 3815.50ns INFO [00003817] * RD COMPARE * port=0 adr=06 act=3E4CB566BC0D5E4C5C exp=3E4CB566BC0D5E4C5C + 3815.50ns INFO [00003817] Port=0 WR @05=290D2BA43EA3513E7C + 3816.50ns INFO [00003818] * RD COMPARE * port=0 adr=07 act=7B29AAE38D67FDDAAC exp=7B29AAE38D67FDDAAC + 3816.50ns INFO [00003818] Port=0 WR @07=5931E56FC181AE6675 + 3816.50ns INFO [00003818] Port=1 RD @02 + 3817.50ns INFO [00003819] Port=1 RD @01 + 3818.50ns INFO [00003820] * RD COMPARE * port=1 adr=02 act=7B2AD061FE48981C52 exp=7B2AD061FE48981C52 + 3818.50ns INFO [00003820] Port=0 WR @05=8842BC49951650BA66 + 3818.50ns INFO [00003820] Port=1 RD @01 + 3819.50ns INFO [00003821] * RD COMPARE * port=1 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3819.50ns INFO [00003821] Port=0 WR @02=A5E8DEED3248D8889E + 3820.50ns INFO [00003822] * RD COMPARE * port=1 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3820.50ns INFO [00003822] Port=0 RD @02 + 3821.50ns INFO [00003823] Port=1 RD @05 + 3822.50ns INFO [00003824] * RD COMPARE * port=0 adr=02 act=A5E8DEED3248D8889E exp=A5E8DEED3248D8889E + 3822.50ns INFO [00003824] Port=0 RD @01 + 3822.50ns INFO [00003824] Port=1 RD @02 + 3823.50ns INFO [00003825] * RD COMPARE * port=1 adr=05 act=8842BC49951650BA66 exp=8842BC49951650BA66 + 3823.50ns INFO [00003825] Port=0 WR @05=EEAD0BAD532012A16E + 3824.50ns INFO [00003826] * RD COMPARE * port=0 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3824.50ns INFO [00003826] * RD COMPARE * port=1 adr=02 act=A5E8DEED3248D8889E exp=A5E8DEED3248D8889E + 3824.50ns INFO [00003826] Port=0 WR @04=CAC7ABB15E4378C112 + 3826.50ns INFO [00003828] Port=0 WR @00=CBC763CCB7532E0662 + 3827.50ns INFO [00003829] Port=0 WR @02=0985C633D252078740 + 3827.50ns INFO [00003829] Port=1 RD @00 + 3828.50ns INFO [00003830] Port=0 WR @04=82363019954F00A4F9 + 3828.50ns INFO [00003830] Port=0 RD @01 + 3828.50ns INFO [00003830] Port=1 RD @00 + 3829.50ns INFO [00003831] * RD COMPARE * port=1 adr=00 act=CBC763CCB7532E0662 exp=CBC763CCB7532E0662 + 3829.50ns INFO [00003831] Port=0 RD @04 + 3830.50ns INFO [00003832] * RD COMPARE * port=0 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3830.50ns INFO [00003832] * RD COMPARE * port=1 adr=00 act=CBC763CCB7532E0662 exp=CBC763CCB7532E0662 + 3830.50ns INFO [00003832] Port=1 RD @04 + 3831.50ns INFO [00003833] * RD COMPARE * port=0 adr=04 act=82363019954F00A4F9 exp=82363019954F00A4F9 + 3832.50ns INFO [00003834] * RD COMPARE * port=1 adr=04 act=82363019954F00A4F9 exp=82363019954F00A4F9 + 3832.50ns INFO [00003834] Port=0 WR @05=355CA09BF0D44250D1 + 3832.50ns INFO [00003834] Port=0 RD @00 + 3833.50ns INFO [00003835] Port=0 WR @05=FB9FA109E97249DD21 + 3834.50ns INFO [00003836] * RD COMPARE * port=0 adr=00 act=CBC763CCB7532E0662 exp=CBC763CCB7532E0662 + 3834.50ns INFO [00003836] Port=0 WR @04=8E4769DCD61DD1C432 + 3835.50ns INFO [00003837] Port=1 RD @01 + 3837.50ns INFO [00003839] * RD COMPARE * port=1 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3837.50ns INFO [00003839] Port=0 WR @04=2B91ECC9708D01121A + 3837.50ns INFO [00003839] Port=0 RD @03 + 3837.50ns INFO [00003839] Port=1 RD @00 + 3838.50ns INFO [00003840] Port=1 RD @01 + 3839.50ns INFO [00003841] * RD COMPARE * port=0 adr=03 act=3612E432BC860A8BB5 exp=3612E432BC860A8BB5 + 3839.50ns INFO [00003841] * RD COMPARE * port=1 adr=00 act=CBC763CCB7532E0662 exp=CBC763CCB7532E0662 + 3839.50ns INFO [00003841] Port=0 WR @02=2D26D73C568D525FF8 + 3840.50ns INFO [00003842] * RD COMPARE * port=1 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3840.50ns INFO [00003842] Port=0 WR @00=B0CCEB9049F99DF8FC + 3840.50ns INFO [00003842] Port=0 RD @07 + 3841.50ns INFO [00003843] Port=0 WR @04=602235159EEA43CCAC + 3841.50ns INFO [00003843] Port=0 RD @07 + 3842.50ns INFO [00003844] * RD COMPARE * port=0 adr=07 act=5931E56FC181AE6675 exp=5931E56FC181AE6675 + 3843.50ns INFO [00003845] * RD COMPARE * port=0 adr=07 act=5931E56FC181AE6675 exp=5931E56FC181AE6675 + 3844.50ns INFO [00003846] Port=0 RD @05 + 3846.50ns INFO [00003848] * RD COMPARE * port=0 adr=05 act=FB9FA109E97249DD21 exp=FB9FA109E97249DD21 + 3846.50ns INFO [00003848] Port=0 WR @00=BE1406F487DDDC947A + 3847.50ns INFO [00003849] Port=0 WR @00=3A7B015F7B5541963F + 3847.50ns INFO [00003849] Port=0 RD @05 + 3847.50ns INFO [00003849] Port=1 RD @06 + 3848.50ns INFO [00003850] Port=0 WR @05=7D88ACE98ACAF49127 + 3848.50ns INFO [00003850] Port=0 RD @03 + 3849.50ns INFO [00003851] * RD COMPARE * port=0 adr=05 act=FB9FA109E97249DD21 exp=FB9FA109E97249DD21 + 3849.50ns INFO [00003851] * RD COMPARE * port=1 adr=06 act=A1B23F28192BBB2B68 exp=A1B23F28192BBB2B68 + 3849.50ns INFO [00003851] Port=0 RD @01 + 3849.50ns INFO [00003851] Port=1 RD @00 + 3850.50ns INFO [00003852] * RD COMPARE * port=0 adr=03 act=3612E432BC860A8BB5 exp=3612E432BC860A8BB5 + 3850.50ns INFO [00003852] Port=0 RD @01 + 3850.50ns INFO [00003852] Port=1 RD @02 + 3851.50ns INFO [00003853] * RD COMPARE * port=0 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3851.50ns INFO [00003853] * RD COMPARE * port=1 adr=00 act=3A7B015F7B5541963F exp=3A7B015F7B5541963F + 3851.50ns INFO [00003853] Port=0 WR @05=DB42F2ED5CAAF2FC11 + 3851.50ns INFO [00003853] Port=0 RD @04 + 3851.50ns INFO [00003853] Port=1 RD @02 + 3852.50ns INFO [00003854] * RD COMPARE * port=0 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3852.50ns INFO [00003854] * RD COMPARE * port=1 adr=02 act=2D26D73C568D525FF8 exp=2D26D73C568D525FF8 + 3852.50ns INFO [00003854] Port=0 WR @00=62007E8D62CC14369A + 3852.50ns INFO [00003854] Port=0 RD @06 + 3852.50ns INFO [00003854] Port=1 RD @06 + 3853.50ns INFO [00003855] * RD COMPARE * port=0 adr=04 act=602235159EEA43CCAC exp=602235159EEA43CCAC + 3853.50ns INFO [00003855] * RD COMPARE * port=1 adr=02 act=2D26D73C568D525FF8 exp=2D26D73C568D525FF8 + 3853.50ns INFO [00003855] Port=1 RD @02 + 3854.50ns INFO [00003856] * RD COMPARE * port=0 adr=06 act=A1B23F28192BBB2B68 exp=A1B23F28192BBB2B68 + 3854.50ns INFO [00003856] * RD COMPARE * port=1 adr=06 act=A1B23F28192BBB2B68 exp=A1B23F28192BBB2B68 + 3854.50ns INFO [00003856] Port=0 WR @02=2A91D4B9BFA35E8F8F + 3854.50ns INFO [00003856] Port=0 RD @05 + 3854.50ns INFO [00003856] Port=1 RD @06 + 3855.50ns INFO [00003857] * RD COMPARE * port=1 adr=02 act=2D26D73C568D525FF8 exp=2D26D73C568D525FF8 + 3855.50ns INFO [00003857] Port=1 RD @01 + 3856.50ns INFO [00003858] * RD COMPARE * port=0 adr=05 act=DB42F2ED5CAAF2FC11 exp=DB42F2ED5CAAF2FC11 + 3856.50ns INFO [00003858] * RD COMPARE * port=1 adr=06 act=A1B23F28192BBB2B68 exp=A1B23F28192BBB2B68 + 3856.50ns INFO [00003858] Port=0 WR @05=7465E51875C0BEA772 + 3857.50ns INFO [00003859] * RD COMPARE * port=1 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3858.50ns INFO [00003860] Port=1 RD @00 + 3859.50ns INFO [00003861] Port=0 RD @02 + 3860.50ns INFO [00003862] * RD COMPARE * port=1 adr=00 act=62007E8D62CC14369A exp=62007E8D62CC14369A + 3860.50ns INFO [00003862] Port=0 WR @00=C091A70F19504AC40B + 3860.50ns INFO [00003862] Port=1 RD @04 + 3861.50ns INFO [00003863] * RD COMPARE * port=0 adr=02 act=2A91D4B9BFA35E8F8F exp=2A91D4B9BFA35E8F8F + 3861.50ns INFO [00003863] Port=1 RD @05 + 3862.50ns INFO [00003864] * RD COMPARE * port=1 adr=04 act=602235159EEA43CCAC exp=602235159EEA43CCAC + 3862.50ns INFO [00003864] Port=0 RD @04 + 3862.50ns INFO [00003864] Port=1 RD @02 + 3863.50ns INFO [00003865] * RD COMPARE * port=1 adr=05 act=7465E51875C0BEA772 exp=7465E51875C0BEA772 + 3863.50ns INFO [00003865] Port=0 RD @04 + 3863.50ns INFO [00003865] Port=1 RD @01 + 3864.50ns INFO [00003866] * RD COMPARE * port=0 adr=04 act=602235159EEA43CCAC exp=602235159EEA43CCAC + 3864.50ns INFO [00003866] * RD COMPARE * port=1 adr=02 act=2A91D4B9BFA35E8F8F exp=2A91D4B9BFA35E8F8F + 3864.50ns INFO [00003866] Port=0 WR @03=7FBC79AC060846A302 + 3865.50ns INFO [00003867] * RD COMPARE * port=0 adr=04 act=602235159EEA43CCAC exp=602235159EEA43CCAC + 3865.50ns INFO [00003867] * RD COMPARE * port=1 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3865.50ns INFO [00003867] Port=0 RD @05 + 3866.50ns INFO [00003868] Port=0 WR @05=5614824B7A17E5C000 + 3866.50ns INFO [00003868] Port=0 RD @07 + 3867.50ns INFO [00003869] * RD COMPARE * port=0 adr=05 act=7465E51875C0BEA772 exp=7465E51875C0BEA772 + 3867.50ns INFO [00003869] Port=0 WR @03=0A12D3CFC31E977308 + 3868.50ns INFO [00003870] * RD COMPARE * port=0 adr=07 act=5931E56FC181AE6675 exp=5931E56FC181AE6675 + 3868.50ns INFO [00003870] Port=1 RD @03 + 3869.50ns INFO [00003871] Port=0 WR @02=B84B265228BE11D178 + 3869.50ns INFO [00003871] Port=1 RD @00 + 3870.50ns INFO [00003872] * RD COMPARE * port=1 adr=03 act=0A12D3CFC31E977308 exp=0A12D3CFC31E977308 + 3870.50ns INFO [00003872] Port=1 RD @00 + 3871.50ns INFO [00003873] * RD COMPARE * port=1 adr=00 act=C091A70F19504AC40B exp=C091A70F19504AC40B + 3872.50ns INFO [00003874] * RD COMPARE * port=1 adr=00 act=C091A70F19504AC40B exp=C091A70F19504AC40B + 3873.50ns INFO [00003875] Port=0 WR @02=743151FBE2C35B06F5 + 3873.50ns INFO [00003875] Port=0 RD @00 + 3874.50ns INFO [00003876] Port=0 WR @05=C25705063236CD68CE + 3874.50ns INFO [00003876] Port=1 RD @01 + 3875.50ns INFO [00003877] * RD COMPARE * port=0 adr=00 act=C091A70F19504AC40B exp=C091A70F19504AC40B + 3875.50ns INFO [00003877] Port=0 WR @03=B50BA9EF93529C5CB5 + 3875.50ns INFO [00003877] Port=0 RD @05 + 3876.50ns INFO [00003878] * RD COMPARE * port=1 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3876.50ns INFO [00003878] Port=0 WR @06=4837F97BD09CA1F185 + 3877.50ns INFO [00003879] * RD COMPARE * port=0 adr=05 act=C25705063236CD68CE exp=C25705063236CD68CE + 3877.50ns INFO [00003879] Port=0 WR @00=BA9DEA1622A7E87AE1 + 3877.50ns INFO [00003879] Port=0 RD @02 + 3877.50ns INFO [00003879] Port=1 RD @01 + 3878.50ns INFO [00003880] Port=0 RD @01 + 3879.50ns INFO [00003881] * RD COMPARE * port=0 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3879.50ns INFO [00003881] * RD COMPARE * port=1 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3879.50ns INFO [00003881] Port=1 RD @07 + 3880.50ns INFO [00003882] * RD COMPARE * port=0 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3880.50ns INFO [00003882] Port=0 RD @00 + 3880.50ns INFO [00003882] Port=1 RD @01 + 3881.50ns INFO [00003883] * RD COMPARE * port=1 adr=07 act=5931E56FC181AE6675 exp=5931E56FC181AE6675 + 3881.50ns INFO [00003883] Port=0 RD @05 + 3881.50ns INFO [00003883] Port=1 RD @05 + 3882.50ns INFO [00003884] * RD COMPARE * port=0 adr=00 act=BA9DEA1622A7E87AE1 exp=BA9DEA1622A7E87AE1 + 3882.50ns INFO [00003884] * RD COMPARE * port=1 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3882.50ns INFO [00003884] Port=1 RD @06 + 3883.50ns INFO [00003885] * RD COMPARE * port=0 adr=05 act=C25705063236CD68CE exp=C25705063236CD68CE + 3883.50ns INFO [00003885] * RD COMPARE * port=1 adr=05 act=C25705063236CD68CE exp=C25705063236CD68CE + 3883.50ns INFO [00003885] Port=0 RD @02 + 3884.50ns INFO [00003886] * RD COMPARE * port=1 adr=06 act=4837F97BD09CA1F185 exp=4837F97BD09CA1F185 + 3885.50ns INFO [00003887] * RD COMPARE * port=0 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3885.50ns INFO [00003887] Port=0 RD @04 + 3886.50ns INFO [00003888] Port=0 WR @07=5CEF5E08192F85AE2A + 3886.50ns INFO [00003888] Port=0 RD @01 + 3887.50ns INFO [00003889] * RD COMPARE * port=0 adr=04 act=602235159EEA43CCAC exp=602235159EEA43CCAC + 3887.50ns INFO [00003889] Port=0 WR @04=7504D272797811DCF5 + 3888.50ns INFO [00003890] * RD COMPARE * port=0 adr=01 act=8FA3E415BE1290E482 exp=8FA3E415BE1290E482 + 3891.50ns INFO [00003893] Port=0 RD @05 + 3892.50ns INFO [00003894] Port=0 WR @00=08F8ABCBAAC5C79008 + 3892.50ns INFO [00003894] Port=1 RD @06 + 3893.50ns INFO [00003895] * RD COMPARE * port=0 adr=05 act=C25705063236CD68CE exp=C25705063236CD68CE + 3893.50ns INFO [00003895] Port=0 RD @07 + 3893.50ns INFO [00003895] Port=1 RD @04 + 3894.50ns INFO [00003896] * RD COMPARE * port=1 adr=06 act=4837F97BD09CA1F185 exp=4837F97BD09CA1F185 + 3894.50ns INFO [00003896] Port=0 WR @00=6823009741FDF9D51C + 3894.50ns INFO [00003896] Port=0 RD @05 + 3895.50ns INFO [00003897] * RD COMPARE * port=0 adr=07 act=5CEF5E08192F85AE2A exp=5CEF5E08192F85AE2A + 3895.50ns INFO [00003897] * RD COMPARE * port=1 adr=04 act=7504D272797811DCF5 exp=7504D272797811DCF5 + 3895.50ns INFO [00003897] Port=1 RD @03 + 3896.50ns INFO [00003898] * RD COMPARE * port=0 adr=05 act=C25705063236CD68CE exp=C25705063236CD68CE + 3896.50ns INFO [00003898] Port=1 RD @04 + 3897.50ns INFO [00003899] * RD COMPARE * port=1 adr=03 act=B50BA9EF93529C5CB5 exp=B50BA9EF93529C5CB5 + 3897.50ns INFO [00003899] Port=0 RD @05 + 3898.00ns INFO [00003900] [00003900] ...tick... + 3898.50ns INFO [00003900] * RD COMPARE * port=1 adr=04 act=7504D272797811DCF5 exp=7504D272797811DCF5 + 3898.50ns INFO [00003900] Port=0 WR @04=50B3A67B623E091464 + 3899.50ns INFO [00003901] * RD COMPARE * port=0 adr=05 act=C25705063236CD68CE exp=C25705063236CD68CE + 3899.50ns INFO [00003901] Port=0 WR @04=FC6137E828B69182BD + 3900.50ns INFO [00003902] Port=0 RD @07 + 3901.50ns INFO [00003903] Port=0 RD @05 + 3902.50ns INFO [00003904] * RD COMPARE * port=0 adr=07 act=5CEF5E08192F85AE2A exp=5CEF5E08192F85AE2A + 3903.50ns INFO [00003905] * RD COMPARE * port=0 adr=05 act=C25705063236CD68CE exp=C25705063236CD68CE + 3903.50ns INFO [00003905] Port=0 WR @01=FDCD1FA3C258DB53D1 + 3904.50ns INFO [00003906] Port=0 RD @01 + 3904.50ns INFO [00003906] Port=1 RD @02 + 3905.50ns INFO [00003907] Port=1 RD @01 + 3906.50ns INFO [00003908] * RD COMPARE * port=0 adr=01 act=FDCD1FA3C258DB53D1 exp=FDCD1FA3C258DB53D1 + 3906.50ns INFO [00003908] * RD COMPARE * port=1 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3906.50ns INFO [00003908] Port=1 RD @07 + 3907.50ns INFO [00003909] * RD COMPARE * port=1 adr=01 act=FDCD1FA3C258DB53D1 exp=FDCD1FA3C258DB53D1 + 3907.50ns INFO [00003909] Port=0 RD @07 + 3907.50ns INFO [00003909] Port=1 RD @06 + 3908.50ns INFO [00003910] * RD COMPARE * port=1 adr=07 act=5CEF5E08192F85AE2A exp=5CEF5E08192F85AE2A + 3908.50ns INFO [00003910] Port=0 WR @06=F83F3B9BD9741EE622 + 3908.50ns INFO [00003910] Port=1 RD @07 + 3909.50ns INFO [00003911] * RD COMPARE * port=0 adr=07 act=5CEF5E08192F85AE2A exp=5CEF5E08192F85AE2A + 3909.50ns INFO [00003911] * RD COMPARE * port=1 adr=06 act=4837F97BD09CA1F185 exp=4837F97BD09CA1F185 + 3910.50ns INFO [00003912] * RD COMPARE * port=1 adr=07 act=5CEF5E08192F85AE2A exp=5CEF5E08192F85AE2A + 3910.50ns INFO [00003912] Port=0 WR @00=33D591B3BA6B16FF0A + 3910.50ns INFO [00003912] Port=0 RD @06 + 3911.50ns INFO [00003913] Port=0 RD @04 + 3912.50ns INFO [00003914] * RD COMPARE * port=0 adr=06 act=F83F3B9BD9741EE622 exp=F83F3B9BD9741EE622 + 3912.50ns INFO [00003914] Port=0 RD @04 + 3913.50ns INFO [00003915] * RD COMPARE * port=0 adr=04 act=FC6137E828B69182BD exp=FC6137E828B69182BD + 3914.50ns INFO [00003916] * RD COMPARE * port=0 adr=04 act=FC6137E828B69182BD exp=FC6137E828B69182BD + 3914.50ns INFO [00003916] Port=0 WR @07=F55AA97C03FB3567EA + 3914.50ns INFO [00003916] Port=1 RD @02 + 3915.50ns INFO [00003917] Port=0 WR @07=6CCD7416CDED587880 + 3915.50ns INFO [00003917] Port=1 RD @02 + 3916.50ns INFO [00003918] * RD COMPARE * port=1 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3916.50ns INFO [00003918] Port=0 RD @05 + 3916.50ns INFO [00003918] Port=1 RD @03 + 3917.50ns INFO [00003919] * RD COMPARE * port=1 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3917.50ns INFO [00003919] Port=0 WR @00=5017892E7E4A105047 + 3917.50ns INFO [00003919] Port=1 RD @05 + 3918.50ns INFO [00003920] * RD COMPARE * port=0 adr=05 act=C25705063236CD68CE exp=C25705063236CD68CE + 3918.50ns INFO [00003920] * RD COMPARE * port=1 adr=03 act=B50BA9EF93529C5CB5 exp=B50BA9EF93529C5CB5 + 3918.50ns INFO [00003920] Port=0 RD @06 + 3919.50ns INFO [00003921] * RD COMPARE * port=1 adr=05 act=C25705063236CD68CE exp=C25705063236CD68CE + 3919.50ns INFO [00003921] Port=0 RD @03 + 3920.50ns INFO [00003922] * RD COMPARE * port=0 adr=06 act=F83F3B9BD9741EE622 exp=F83F3B9BD9741EE622 + 3920.50ns INFO [00003922] Port=1 RD @07 + 3921.50ns INFO [00003923] * RD COMPARE * port=0 adr=03 act=B50BA9EF93529C5CB5 exp=B50BA9EF93529C5CB5 + 3922.50ns INFO [00003924] * RD COMPARE * port=1 adr=07 act=6CCD7416CDED587880 exp=6CCD7416CDED587880 + 3923.50ns INFO [00003925] Port=1 RD @06 + 3924.50ns INFO [00003926] Port=1 RD @00 + 3925.50ns INFO [00003927] * RD COMPARE * port=1 adr=06 act=F83F3B9BD9741EE622 exp=F83F3B9BD9741EE622 + 3926.50ns INFO [00003928] * RD COMPARE * port=1 adr=00 act=5017892E7E4A105047 exp=5017892E7E4A105047 + 3926.50ns INFO [00003928] Port=1 RD @02 + 3928.50ns INFO [00003930] * RD COMPARE * port=1 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3928.50ns INFO [00003930] Port=1 RD @06 + 3929.50ns INFO [00003931] Port=0 RD @06 + 3929.50ns INFO [00003931] Port=1 RD @00 + 3930.50ns INFO [00003932] * RD COMPARE * port=1 adr=06 act=F83F3B9BD9741EE622 exp=F83F3B9BD9741EE622 + 3930.50ns INFO [00003932] Port=0 WR @05=FCD4CB781CC69F6B6C + 3930.50ns INFO [00003932] Port=0 RD @02 + 3930.50ns INFO [00003932] Port=1 RD @04 + 3931.50ns INFO [00003933] * RD COMPARE * port=0 adr=06 act=F83F3B9BD9741EE622 exp=F83F3B9BD9741EE622 + 3931.50ns INFO [00003933] * RD COMPARE * port=1 adr=00 act=5017892E7E4A105047 exp=5017892E7E4A105047 + 3931.50ns INFO [00003933] Port=0 WR @05=D220E08F1AA6FD164E + 3931.50ns INFO [00003933] Port=1 RD @02 + 3932.50ns INFO [00003934] * RD COMPARE * port=0 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3932.50ns INFO [00003934] * RD COMPARE * port=1 adr=04 act=FC6137E828B69182BD exp=FC6137E828B69182BD + 3932.50ns INFO [00003934] Port=1 RD @01 + 3933.50ns INFO [00003935] * RD COMPARE * port=1 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3933.50ns INFO [00003935] Port=0 WR @00=45D63EDB43CDD2CB5A + 3933.50ns INFO [00003935] Port=0 RD @05 + 3934.50ns INFO [00003936] * RD COMPARE * port=1 adr=01 act=FDCD1FA3C258DB53D1 exp=FDCD1FA3C258DB53D1 + 3934.50ns INFO [00003936] Port=0 RD @02 + 3935.50ns INFO [00003937] * RD COMPARE * port=0 adr=05 act=D220E08F1AA6FD164E exp=D220E08F1AA6FD164E + 3935.50ns INFO [00003937] Port=1 RD @04 + 3936.50ns INFO [00003938] * RD COMPARE * port=0 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3936.50ns INFO [00003938] Port=0 RD @03 + 3937.50ns INFO [00003939] * RD COMPARE * port=1 adr=04 act=FC6137E828B69182BD exp=FC6137E828B69182BD + 3938.50ns INFO [00003940] * RD COMPARE * port=0 adr=03 act=B50BA9EF93529C5CB5 exp=B50BA9EF93529C5CB5 + 3938.50ns INFO [00003940] Port=1 RD @02 + 3939.50ns INFO [00003941] Port=1 RD @06 + 3940.50ns INFO [00003942] * RD COMPARE * port=1 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3940.50ns INFO [00003942] Port=0 RD @05 + 3940.50ns INFO [00003942] Port=1 RD @02 + 3941.50ns INFO [00003943] * RD COMPARE * port=1 adr=06 act=F83F3B9BD9741EE622 exp=F83F3B9BD9741EE622 + 3942.50ns INFO [00003944] * RD COMPARE * port=0 adr=05 act=D220E08F1AA6FD164E exp=D220E08F1AA6FD164E + 3942.50ns INFO [00003944] * RD COMPARE * port=1 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3942.50ns INFO [00003944] Port=0 WR @01=845A5025F470F4DD93 + 3942.50ns INFO [00003944] Port=0 RD @03 + 3942.50ns INFO [00003944] Port=1 RD @02 + 3943.50ns INFO [00003945] Port=0 WR @02=846CB61975B6C96A73 + 3943.50ns INFO [00003945] Port=1 RD @00 + 3944.50ns INFO [00003946] * RD COMPARE * port=0 adr=03 act=B50BA9EF93529C5CB5 exp=B50BA9EF93529C5CB5 + 3944.50ns INFO [00003946] * RD COMPARE * port=1 adr=02 act=743151FBE2C35B06F5 exp=743151FBE2C35B06F5 + 3944.50ns INFO [00003946] Port=0 WR @06=BB5BAE7E12D7D3F7C3 + 3945.50ns INFO [00003947] * RD COMPARE * port=1 adr=00 act=45D63EDB43CDD2CB5A exp=45D63EDB43CDD2CB5A + 3945.50ns INFO [00003947] Port=0 RD @01 + 3945.50ns INFO [00003947] Port=1 RD @07 + 3947.50ns INFO [00003949] * RD COMPARE * port=0 adr=01 act=845A5025F470F4DD93 exp=845A5025F470F4DD93 + 3947.50ns INFO [00003949] * RD COMPARE * port=1 adr=07 act=6CCD7416CDED587880 exp=6CCD7416CDED587880 + 3948.50ns INFO [00003950] Port=0 WR @05=8E80518A5A9D8052DB + 3948.50ns INFO [00003950] Port=1 RD @01 + 3949.50ns INFO [00003951] Port=0 WR @06=C6F342958C2075ADAF + 3950.50ns INFO [00003952] * RD COMPARE * port=1 adr=01 act=845A5025F470F4DD93 exp=845A5025F470F4DD93 + 3950.50ns INFO [00003952] Port=0 RD @03 + 3951.50ns INFO [00003953] Port=0 WR @07=5136F665579AC9F4C6 + 3952.50ns INFO [00003954] * RD COMPARE * port=0 adr=03 act=B50BA9EF93529C5CB5 exp=B50BA9EF93529C5CB5 + 3952.50ns INFO [00003954] Port=0 RD @02 + 3953.50ns INFO [00003955] Port=0 RD @03 + 3954.50ns INFO [00003956] * RD COMPARE * port=0 adr=02 act=846CB61975B6C96A73 exp=846CB61975B6C96A73 + 3955.50ns INFO [00003957] * RD COMPARE * port=0 adr=03 act=B50BA9EF93529C5CB5 exp=B50BA9EF93529C5CB5 + 3956.50ns INFO [00003958] Port=0 WR @00=9774A26C3A478A1D86 + 3957.50ns INFO [00003959] Port=0 WR @03=1AA6516A764F939DF4 + 3958.50ns INFO [00003960] Port=1 RD @04 + 3960.50ns INFO [00003962] * RD COMPARE * port=1 adr=04 act=FC6137E828B69182BD exp=FC6137E828B69182BD + 3960.50ns INFO [00003962] Port=0 WR @02=E63439E68AAAF67BE5 + 3960.50ns INFO [00003962] Port=0 RD @07 + 3961.50ns INFO [00003963] Port=0 WR @06=C66EC16F711BB72E8A + 3961.50ns INFO [00003963] Port=0 RD @02 + 3962.50ns INFO [00003964] * RD COMPARE * port=0 adr=07 act=5136F665579AC9F4C6 exp=5136F665579AC9F4C6 + 3962.50ns INFO [00003964] Port=0 WR @02=D4AF6E4BAD998AA660 + 3962.50ns INFO [00003964] Port=0 RD @01 + 3963.50ns INFO [00003965] * RD COMPARE * port=0 adr=02 act=E63439E68AAAF67BE5 exp=E63439E68AAAF67BE5 + 3963.50ns INFO [00003965] Port=0 RD @04 + 3963.50ns INFO [00003965] Port=1 RD @02 + 3964.50ns INFO [00003966] * RD COMPARE * port=0 adr=01 act=845A5025F470F4DD93 exp=845A5025F470F4DD93 + 3964.50ns INFO [00003966] Port=0 RD @01 + 3965.50ns INFO [00003967] * RD COMPARE * port=0 adr=04 act=FC6137E828B69182BD exp=FC6137E828B69182BD + 3965.50ns INFO [00003967] * RD COMPARE * port=1 adr=02 act=D4AF6E4BAD998AA660 exp=D4AF6E4BAD998AA660 + 3965.50ns INFO [00003967] Port=0 WR @03=0847D019173C7F8B9D + 3966.50ns INFO [00003968] * RD COMPARE * port=0 adr=01 act=845A5025F470F4DD93 exp=845A5025F470F4DD93 + 3968.50ns INFO [00003970] Port=0 WR @02=5D757521E2E9EC0602 + 3968.50ns INFO [00003970] Port=0 RD @05 + 3969.50ns INFO [00003971] Port=1 RD @02 + 3970.50ns INFO [00003972] * RD COMPARE * port=0 adr=05 act=8E80518A5A9D8052DB exp=8E80518A5A9D8052DB + 3970.50ns INFO [00003972] Port=0 RD @06 + 3971.50ns INFO [00003973] * RD COMPARE * port=1 adr=02 act=5D757521E2E9EC0602 exp=5D757521E2E9EC0602 + 3971.50ns INFO [00003973] Port=0 WR @01=C5A40F862A4871323E + 3971.50ns INFO [00003973] Port=1 RD @03 + 3972.50ns INFO [00003974] * RD COMPARE * port=0 adr=06 act=C66EC16F711BB72E8A exp=C66EC16F711BB72E8A + 3973.50ns INFO [00003975] * RD COMPARE * port=1 adr=03 act=0847D019173C7F8B9D exp=0847D019173C7F8B9D + 3973.50ns INFO [00003975] Port=0 RD @04 + 3973.50ns INFO [00003975] Port=1 RD @06 + 3974.50ns INFO [00003976] Port=1 RD @03 + 3975.50ns INFO [00003977] * RD COMPARE * port=0 adr=04 act=FC6137E828B69182BD exp=FC6137E828B69182BD + 3975.50ns INFO [00003977] * RD COMPARE * port=1 adr=06 act=C66EC16F711BB72E8A exp=C66EC16F711BB72E8A + 3975.50ns INFO [00003977] Port=0 WR @04=CF88113FC5552E1C08 + 3976.50ns INFO [00003978] * RD COMPARE * port=1 adr=03 act=0847D019173C7F8B9D exp=0847D019173C7F8B9D + 3976.50ns INFO [00003978] Port=0 WR @00=D07BE8069561C25651 + 3976.50ns INFO [00003978] Port=1 RD @03 + 3978.50ns INFO [00003980] * RD COMPARE * port=1 adr=03 act=0847D019173C7F8B9D exp=0847D019173C7F8B9D + 3980.50ns INFO [00003982] Port=0 WR @02=7022A3934CFA17B25F + 3981.50ns INFO [00003983] Port=1 RD @07 + 3982.50ns INFO [00003984] Port=0 RD @00 + 3982.50ns INFO [00003984] Port=1 RD @05 + 3983.50ns INFO [00003985] * RD COMPARE * port=1 adr=07 act=5136F665579AC9F4C6 exp=5136F665579AC9F4C6 + 3983.50ns INFO [00003985] Port=1 RD @03 + 3984.50ns INFO [00003986] * RD COMPARE * port=0 adr=00 act=D07BE8069561C25651 exp=D07BE8069561C25651 + 3984.50ns INFO [00003986] * RD COMPARE * port=1 adr=05 act=8E80518A5A9D8052DB exp=8E80518A5A9D8052DB + 3984.50ns INFO [00003986] Port=0 RD @03 + 3985.50ns INFO [00003987] * RD COMPARE * port=1 adr=03 act=0847D019173C7F8B9D exp=0847D019173C7F8B9D + 3985.50ns INFO [00003987] Port=0 WR @07=15675CD1C925ACE897 + 3985.50ns INFO [00003987] Port=1 RD @00 + 3986.50ns INFO [00003988] * RD COMPARE * port=0 adr=03 act=0847D019173C7F8B9D exp=0847D019173C7F8B9D + 3986.50ns INFO [00003988] Port=1 RD @06 + 3987.50ns INFO [00003989] * RD COMPARE * port=1 adr=00 act=D07BE8069561C25651 exp=D07BE8069561C25651 + 3987.50ns INFO [00003989] Port=0 WR @02=107C6D3E4DA093B58B + 3987.50ns INFO [00003989] Port=1 RD @00 + 3988.50ns INFO [00003990] * RD COMPARE * port=1 adr=06 act=C66EC16F711BB72E8A exp=C66EC16F711BB72E8A + 3989.50ns INFO [00003991] * RD COMPARE * port=1 adr=00 act=D07BE8069561C25651 exp=D07BE8069561C25651 + 3989.50ns INFO [00003991] Port=1 RD @02 + 3991.50ns INFO [00003993] * RD COMPARE * port=1 adr=02 act=107C6D3E4DA093B58B exp=107C6D3E4DA093B58B + 3991.50ns INFO [00003993] Port=0 WR @06=DBEC603D9A4FEBF19B + 3991.50ns INFO [00003993] Port=0 RD @03 + 3991.50ns INFO [00003993] Port=1 RD @05 + 3993.50ns INFO [00003995] * RD COMPARE * port=0 adr=03 act=0847D019173C7F8B9D exp=0847D019173C7F8B9D + 3993.50ns INFO [00003995] * RD COMPARE * port=1 adr=05 act=8E80518A5A9D8052DB exp=8E80518A5A9D8052DB + 3993.50ns INFO [00003995] Port=0 RD @04 + 3994.50ns INFO [00003996] Port=0 RD @06 + 3995.50ns INFO [00003997] * RD COMPARE * port=0 adr=04 act=CF88113FC5552E1C08 exp=CF88113FC5552E1C08 + 3995.50ns INFO [00003997] Port=1 RD @07 + 3996.50ns INFO [00003998] * RD COMPARE * port=0 adr=06 act=DBEC603D9A4FEBF19B exp=DBEC603D9A4FEBF19B + 3996.50ns INFO [00003998] Port=0 WR @05=345231A279A7314EE7 + 3997.50ns INFO [00003999] * RD COMPARE * port=1 adr=07 act=15675CD1C925ACE897 exp=15675CD1C925ACE897 + 3997.50ns INFO [00003999] Port=0 RD @05 + 3998.00ns INFO [00004000] [00004000] ...tick... + 3998.50ns INFO [00004000] Port=0 WR @03=B49316E0A6B06BD4C2 + 3999.50ns INFO [00004001] * RD COMPARE * port=0 adr=05 act=345231A279A7314EE7 exp=345231A279A7314EE7 + 3999.50ns INFO [00004001] Port=0 WR @05=83A231E024D65EA922 + 3999.50ns INFO [00004001] Port=1 RD @07 + 4000.50ns INFO [00004002] Port=0 RD @03 + 4001.50ns INFO [00004003] * RD COMPARE * port=1 adr=07 act=15675CD1C925ACE897 exp=15675CD1C925ACE897 + 4001.50ns INFO [00004003] Port=0 RD @04 + 4002.50ns INFO [00004004] * RD COMPARE * port=0 adr=03 act=B49316E0A6B06BD4C2 exp=B49316E0A6B06BD4C2 + 4003.50ns INFO [00004005] * RD COMPARE * port=0 adr=04 act=CF88113FC5552E1C08 exp=CF88113FC5552E1C08 + 4004.50ns INFO [00004006] Port=0 RD @02 + 4006.50ns INFO [00004008] * RD COMPARE * port=0 adr=02 act=107C6D3E4DA093B58B exp=107C6D3E4DA093B58B + 4008.50ns INFO [00004010] Port=0 RD @01 + 4008.50ns INFO [00004010] Port=1 RD @05 + 4009.50ns INFO [00004011] Port=1 RD @01 + 4010.50ns INFO [00004012] * RD COMPARE * port=0 adr=01 act=C5A40F862A4871323E exp=C5A40F862A4871323E + 4010.50ns INFO [00004012] * RD COMPARE * port=1 adr=05 act=83A231E024D65EA922 exp=83A231E024D65EA922 + 4010.50ns INFO [00004012] Port=0 RD @06 + 4011.50ns INFO [00004013] * RD COMPARE * port=1 adr=01 act=C5A40F862A4871323E exp=C5A40F862A4871323E + 4012.50ns INFO [00004014] * RD COMPARE * port=0 adr=06 act=DBEC603D9A4FEBF19B exp=DBEC603D9A4FEBF19B + 4012.50ns INFO [00004014] Port=1 RD @05 + 4013.50ns INFO [00004015] Port=0 WR @04=9DF27501CC52263820 + 4013.50ns INFO [00004015] Port=0 RD @02 + 4014.50ns INFO [00004016] * RD COMPARE * port=1 adr=05 act=83A231E024D65EA922 exp=83A231E024D65EA922 + 4014.50ns INFO [00004016] Port=0 WR @04=C357D30A6F2658FB21 + 4014.50ns INFO [00004016] Port=0 RD @02 + 4015.50ns INFO [00004017] * RD COMPARE * port=0 adr=02 act=107C6D3E4DA093B58B exp=107C6D3E4DA093B58B + 4015.50ns INFO [00004017] Port=0 RD @02 + 4015.50ns INFO [00004017] Port=1 RD @05 + 4016.50ns INFO [00004018] * RD COMPARE * port=0 adr=02 act=107C6D3E4DA093B58B exp=107C6D3E4DA093B58B + 4016.50ns INFO [00004018] Port=0 WR @00=E25E9FA8458B0C58F7 + 4016.50ns INFO [00004018] Port=1 RD @06 + 4017.50ns INFO [00004019] * RD COMPARE * port=0 adr=02 act=107C6D3E4DA093B58B exp=107C6D3E4DA093B58B + 4017.50ns INFO [00004019] * RD COMPARE * port=1 adr=05 act=83A231E024D65EA922 exp=83A231E024D65EA922 + 4017.50ns INFO [00004019] Port=1 RD @06 + 4018.50ns INFO [00004020] * RD COMPARE * port=1 adr=06 act=DBEC603D9A4FEBF19B exp=DBEC603D9A4FEBF19B + 4018.50ns INFO [00004020] Port=0 WR @04=CE149E33EE742AE6BC + 4018.50ns INFO [00004020] Port=1 RD @03 + 4019.50ns INFO [00004021] * RD COMPARE * port=1 adr=06 act=DBEC603D9A4FEBF19B exp=DBEC603D9A4FEBF19B + 4019.50ns INFO [00004021] Port=0 WR @02=47AEC5B084DEF4EF1D + 4020.50ns INFO [00004022] * RD COMPARE * port=1 adr=03 act=B49316E0A6B06BD4C2 exp=B49316E0A6B06BD4C2 + 4020.50ns INFO [00004022] Port=0 RD @05 + 4021.50ns INFO [00004023] Port=0 WR @04=58CB59D341D0F36F84 + 4021.50ns INFO [00004023] Port=1 RD @05 + 4022.50ns INFO [00004024] * RD COMPARE * port=0 adr=05 act=83A231E024D65EA922 exp=83A231E024D65EA922 + 4022.50ns INFO [00004024] Port=0 WR @02=58B6B911CD4A25B75D + 4022.50ns INFO [00004024] Port=1 RD @07 + 4023.50ns INFO [00004025] * RD COMPARE * port=1 adr=05 act=83A231E024D65EA922 exp=83A231E024D65EA922 + 4023.50ns INFO [00004025] Port=1 RD @07 + 4024.50ns INFO [00004026] * RD COMPARE * port=1 adr=07 act=15675CD1C925ACE897 exp=15675CD1C925ACE897 + 4025.50ns INFO [00004027] * RD COMPARE * port=1 adr=07 act=15675CD1C925ACE897 exp=15675CD1C925ACE897 + 4025.50ns INFO [00004027] Port=0 WR @01=9B51DA6345FD406E86 + 4025.50ns INFO [00004027] Port=1 RD @05 + 4027.50ns INFO [00004029] * RD COMPARE * port=1 adr=05 act=83A231E024D65EA922 exp=83A231E024D65EA922 + 4028.50ns INFO [00004030] Port=0 WR @05=3FC28710B8C3F7EBEB + 4029.50ns INFO [00004031] Port=0 RD @03 + 4030.50ns INFO [00004032] Port=0 WR @07=1F2AE2F589BD45F46E + 4031.50ns INFO [00004033] * RD COMPARE * port=0 adr=03 act=B49316E0A6B06BD4C2 exp=B49316E0A6B06BD4C2 + 4031.50ns INFO [00004033] Port=0 WR @05=BB51E0B033CBD1DD9F + 4031.50ns INFO [00004033] Port=0 RD @07 + 4032.50ns INFO [00004034] Port=0 RD @02 + 4033.50ns INFO [00004035] * RD COMPARE * port=0 adr=07 act=1F2AE2F589BD45F46E exp=1F2AE2F589BD45F46E + 4033.50ns INFO [00004035] Port=0 WR @05=B579C81ED7C34CEEDC + 4033.50ns INFO [00004035] Port=1 RD @06 + 4034.50ns INFO [00004036] * RD COMPARE * port=0 adr=02 act=58B6B911CD4A25B75D exp=58B6B911CD4A25B75D + 4034.50ns INFO [00004036] Port=0 WR @04=318CDB08677BAA4D23 + 4034.50ns INFO [00004036] Port=0 RD @00 + 4034.50ns INFO [00004036] Port=1 RD @02 + 4035.50ns INFO [00004037] * RD COMPARE * port=1 adr=06 act=DBEC603D9A4FEBF19B exp=DBEC603D9A4FEBF19B + 4035.50ns INFO [00004037] Port=0 WR @04=BBF25E6B1C0003B08F + 4035.50ns INFO [00004037] Port=0 RD @03 + 4036.50ns INFO [00004038] * RD COMPARE * port=0 adr=00 act=E25E9FA8458B0C58F7 exp=E25E9FA8458B0C58F7 + 4036.50ns INFO [00004038] * RD COMPARE * port=1 adr=02 act=58B6B911CD4A25B75D exp=58B6B911CD4A25B75D + 4036.50ns INFO [00004038] Port=0 RD @05 + 4037.50ns INFO [00004039] * RD COMPARE * port=0 adr=03 act=B49316E0A6B06BD4C2 exp=B49316E0A6B06BD4C2 + 4037.50ns INFO [00004039] Port=0 WR @03=631E23426E9A55751A + 4038.50ns INFO [00004040] * RD COMPARE * port=0 adr=05 act=B579C81ED7C34CEEDC exp=B579C81ED7C34CEEDC + 4041.50ns INFO [00004043] Port=0 RD @00 + 4042.50ns INFO [00004044] Port=0 WR @07=DCE8D39ACB52C91294 + 4042.50ns INFO [00004044] Port=1 RD @03 + 4043.50ns INFO [00004045] * RD COMPARE * port=0 adr=00 act=E25E9FA8458B0C58F7 exp=E25E9FA8458B0C58F7 + 4043.50ns INFO [00004045] Port=0 WR @05=D6F424EAAAFA3A8267 + 4043.50ns INFO [00004045] Port=0 RD @01 + 4044.50ns INFO [00004046] * RD COMPARE * port=1 adr=03 act=631E23426E9A55751A exp=631E23426E9A55751A + 4044.50ns INFO [00004046] Port=0 RD @01 + 4044.50ns INFO [00004046] Port=1 RD @06 + 4045.50ns INFO [00004047] * RD COMPARE * port=0 adr=01 act=9B51DA6345FD406E86 exp=9B51DA6345FD406E86 + 4045.50ns INFO [00004047] Port=0 WR @06=0C188A573B052E9278 + 4046.50ns INFO [00004048] * RD COMPARE * port=0 adr=01 act=9B51DA6345FD406E86 exp=9B51DA6345FD406E86 + 4046.50ns INFO [00004048] * RD COMPARE * port=1 adr=06 act=DBEC603D9A4FEBF19B exp=DBEC603D9A4FEBF19B + 4047.50ns INFO [00004049] Port=0 RD @06 + 4048.50ns INFO [00004050] Port=0 WR @00=D053C6118CCA34BC17 + 4048.50ns INFO [00004050] Port=1 RD @06 + 4049.50ns INFO [00004051] * RD COMPARE * port=0 adr=06 act=0C188A573B052E9278 exp=0C188A573B052E9278 + 4050.50ns INFO [00004052] * RD COMPARE * port=1 adr=06 act=0C188A573B052E9278 exp=0C188A573B052E9278 + 4050.50ns INFO [00004052] Port=0 WR @01=EB08904313B4739DFA + 4050.50ns INFO [00004052] Port=1 RD @03 + 4051.50ns INFO [00004053] Port=0 WR @02=9CD04A9016C2EECFC8 + 4051.50ns INFO [00004053] Port=0 RD @04 + 4051.50ns INFO [00004053] Port=1 RD @01 + 4052.50ns INFO [00004054] * RD COMPARE * port=1 adr=03 act=631E23426E9A55751A exp=631E23426E9A55751A + 4052.50ns INFO [00004054] Port=0 RD @02 + 4052.50ns INFO [00004054] Port=1 RD @04 + 4053.50ns INFO [00004055] * RD COMPARE * port=0 adr=04 act=BBF25E6B1C0003B08F exp=BBF25E6B1C0003B08F + 4053.50ns INFO [00004055] * RD COMPARE * port=1 adr=01 act=EB08904313B4739DFA exp=EB08904313B4739DFA + 4054.50ns INFO [00004056] * RD COMPARE * port=0 adr=02 act=9CD04A9016C2EECFC8 exp=9CD04A9016C2EECFC8 + 4054.50ns INFO [00004056] * RD COMPARE * port=1 adr=04 act=BBF25E6B1C0003B08F exp=BBF25E6B1C0003B08F + 4055.50ns INFO [00004057] Port=0 RD @00 + 4056.50ns INFO [00004058] Port=1 RD @00 + 4057.50ns INFO [00004059] * RD COMPARE * port=0 adr=00 act=D053C6118CCA34BC17 exp=D053C6118CCA34BC17 + 4058.50ns INFO [00004060] * RD COMPARE * port=1 adr=00 act=D053C6118CCA34BC17 exp=D053C6118CCA34BC17 + 4059.50ns INFO [00004061] Port=0 WR @00=E16F86B4E93D7E7ECE + 4059.50ns INFO [00004061] Port=1 RD @04 + 4060.50ns INFO [00004062] Port=0 WR @06=0D8B8A79CA3489B86D + 4060.50ns INFO [00004062] Port=0 RD @02 + 4061.50ns INFO [00004063] * RD COMPARE * port=1 adr=04 act=BBF25E6B1C0003B08F exp=BBF25E6B1C0003B08F + 4061.50ns INFO [00004063] Port=0 RD @00 + 4062.50ns INFO [00004064] * RD COMPARE * port=0 adr=02 act=9CD04A9016C2EECFC8 exp=9CD04A9016C2EECFC8 + 4062.50ns INFO [00004064] Port=0 RD @05 + 4063.50ns INFO [00004065] * RD COMPARE * port=0 adr=00 act=E16F86B4E93D7E7ECE exp=E16F86B4E93D7E7ECE + 4064.50ns INFO [00004066] * RD COMPARE * port=0 adr=05 act=D6F424EAAAFA3A8267 exp=D6F424EAAAFA3A8267 + 4064.50ns INFO [00004066] Port=0 WR @02=23AD17C19B12233827 + 4064.50ns INFO [00004066] Port=0 RD @06 + 4065.50ns INFO [00004067] Port=0 RD @06 + 4066.50ns INFO [00004068] * RD COMPARE * port=0 adr=06 act=0D8B8A79CA3489B86D exp=0D8B8A79CA3489B86D + 4066.50ns INFO [00004068] Port=0 WR @03=A2A88D4DA91A931759 + 4067.50ns INFO [00004069] * RD COMPARE * port=0 adr=06 act=0D8B8A79CA3489B86D exp=0D8B8A79CA3489B86D + 4067.50ns INFO [00004069] Port=0 WR @06=ADA8B977876A077AA9 + 4067.50ns INFO [00004069] Port=1 RD @03 + 4068.50ns INFO [00004070] Port=0 WR @06=78CFF3402251968E83 + 4068.50ns INFO [00004070] Port=0 RD @00 + 4068.50ns INFO [00004070] Port=1 RD @04 + 4069.50ns INFO [00004071] * RD COMPARE * port=1 adr=03 act=A2A88D4DA91A931759 exp=A2A88D4DA91A931759 + 4069.50ns INFO [00004071] Port=0 RD @06 + 4069.50ns INFO [00004071] Port=1 RD @07 + 4070.50ns INFO [00004072] * RD COMPARE * port=0 adr=00 act=E16F86B4E93D7E7ECE exp=E16F86B4E93D7E7ECE + 4070.50ns INFO [00004072] * RD COMPARE * port=1 adr=04 act=BBF25E6B1C0003B08F exp=BBF25E6B1C0003B08F + 4070.50ns INFO [00004072] Port=0 WR @06=761C574A2CB67C1452 + 4070.50ns INFO [00004072] Port=1 RD @00 + 4071.50ns INFO [00004073] * RD COMPARE * port=0 adr=06 act=78CFF3402251968E83 exp=78CFF3402251968E83 + 4071.50ns INFO [00004073] * RD COMPARE * port=1 adr=07 act=DCE8D39ACB52C91294 exp=DCE8D39ACB52C91294 + 4071.50ns INFO [00004073] Port=0 RD @06 + 4071.50ns INFO [00004073] Port=1 RD @05 + 4072.50ns INFO [00004074] * RD COMPARE * port=1 adr=00 act=E16F86B4E93D7E7ECE exp=E16F86B4E93D7E7ECE + 4073.50ns INFO [00004075] * RD COMPARE * port=0 adr=06 act=761C574A2CB67C1452 exp=761C574A2CB67C1452 + 4073.50ns INFO [00004075] * RD COMPARE * port=1 adr=05 act=D6F424EAAAFA3A8267 exp=D6F424EAAAFA3A8267 + 4073.50ns INFO [00004075] Port=0 WR @03=900A29B170AEAB6C2E + 4073.50ns INFO [00004075] Port=1 RD @00 + 4074.50ns INFO [00004076] Port=1 RD @04 + 4075.50ns INFO [00004077] * RD COMPARE * port=1 adr=00 act=E16F86B4E93D7E7ECE exp=E16F86B4E93D7E7ECE + 4075.50ns INFO [00004077] Port=1 RD @02 + 4076.50ns INFO [00004078] * RD COMPARE * port=1 adr=04 act=BBF25E6B1C0003B08F exp=BBF25E6B1C0003B08F + 4077.50ns INFO [00004079] * RD COMPARE * port=1 adr=02 act=23AD17C19B12233827 exp=23AD17C19B12233827 + 4077.50ns INFO [00004079] Port=0 RD @07 + 4078.50ns INFO [00004080] Port=1 RD @04 + 4079.50ns INFO [00004081] * RD COMPARE * port=0 adr=07 act=DCE8D39ACB52C91294 exp=DCE8D39ACB52C91294 + 4080.50ns INFO [00004082] * RD COMPARE * port=1 adr=04 act=BBF25E6B1C0003B08F exp=BBF25E6B1C0003B08F + 4080.50ns INFO [00004082] Port=0 WR @01=FB14CBBA45F4229F38 + 4081.50ns INFO [00004083] Port=0 WR @01=DF939162D40AF11A1C + 4083.50ns INFO [00004085] Port=0 RD @01 + 4083.50ns INFO [00004085] Port=1 RD @00 + 4084.50ns INFO [00004086] Port=0 WR @01=F0EC51336CFB675D8B + 4084.50ns INFO [00004086] Port=0 RD @06 + 4084.50ns INFO [00004086] Port=1 RD @03 + 4085.50ns INFO [00004087] * RD COMPARE * port=0 adr=01 act=DF939162D40AF11A1C exp=DF939162D40AF11A1C + 4085.50ns INFO [00004087] * RD COMPARE * port=1 adr=00 act=E16F86B4E93D7E7ECE exp=E16F86B4E93D7E7ECE + 4085.50ns INFO [00004087] Port=0 WR @02=FFE109FCB484589CE7 + 4085.50ns INFO [00004087] Port=1 RD @06 + 4086.50ns INFO [00004088] * RD COMPARE * port=0 adr=06 act=761C574A2CB67C1452 exp=761C574A2CB67C1452 + 4086.50ns INFO [00004088] * RD COMPARE * port=1 adr=03 act=900A29B170AEAB6C2E exp=900A29B170AEAB6C2E + 4086.50ns INFO [00004088] Port=0 WR @04=7ADA84111106332CEB + 4087.50ns INFO [00004089] * RD COMPARE * port=1 adr=06 act=761C574A2CB67C1452 exp=761C574A2CB67C1452 + 4087.50ns INFO [00004089] Port=0 WR @04=1A398397333A5F4608 + 4087.50ns INFO [00004089] Port=0 RD @06 + 4087.50ns INFO [00004089] Port=1 RD @05 + 4088.50ns INFO [00004090] Port=0 RD @02 + 4089.50ns INFO [00004091] * RD COMPARE * port=0 adr=06 act=761C574A2CB67C1452 exp=761C574A2CB67C1452 + 4089.50ns INFO [00004091] * RD COMPARE * port=1 adr=05 act=D6F424EAAAFA3A8267 exp=D6F424EAAAFA3A8267 + 4090.50ns INFO [00004092] * RD COMPARE * port=0 adr=02 act=FFE109FCB484589CE7 exp=FFE109FCB484589CE7 + 4090.50ns INFO [00004092] Port=0 RD @06 + 4091.50ns INFO [00004093] Port=0 WR @03=587E23612F87375E3D + 4091.50ns INFO [00004093] Port=0 RD @00 + 4092.50ns INFO [00004094] * RD COMPARE * port=0 adr=06 act=761C574A2CB67C1452 exp=761C574A2CB67C1452 + 4092.50ns INFO [00004094] Port=0 RD @07 + 4093.50ns INFO [00004095] * RD COMPARE * port=0 adr=00 act=E16F86B4E93D7E7ECE exp=E16F86B4E93D7E7ECE + 4093.50ns INFO [00004095] Port=1 RD @04 + 4094.50ns INFO [00004096] * RD COMPARE * port=0 adr=07 act=DCE8D39ACB52C91294 exp=DCE8D39ACB52C91294 + 4094.50ns INFO [00004096] Port=0 RD @05 + 4095.50ns INFO [00004097] * RD COMPARE * port=1 adr=04 act=1A398397333A5F4608 exp=1A398397333A5F4608 + 4095.50ns INFO [00004097] Port=1 RD @01 + 4096.50ns INFO [00004098] * RD COMPARE * port=0 adr=05 act=D6F424EAAAFA3A8267 exp=D6F424EAAAFA3A8267 + 4097.50ns INFO [00004099] * RD COMPARE * port=1 adr=01 act=F0EC51336CFB675D8B exp=F0EC51336CFB675D8B + 4098.00ns INFO [00004100] [00004100] ...tick... + 4098.50ns INFO [00004100] Port=0 WR @05=AC258519576EB9FB88 + 4098.50ns INFO [00004100] Port=0 RD @03 + 4099.50ns INFO [00004101] Port=0 WR @07=6A289C4F3579BA1713 + 4099.50ns INFO [00004101] Port=0 RD @04 + 4100.50ns INFO [00004102] * RD COMPARE * port=0 adr=03 act=587E23612F87375E3D exp=587E23612F87375E3D + 4100.50ns INFO [00004102] Port=0 WR @01=F40F14F3357C6677BB + 4101.50ns INFO [00004103] * RD COMPARE * port=0 adr=04 act=1A398397333A5F4608 exp=1A398397333A5F4608 + 4101.50ns INFO [00004103] Port=0 WR @01=33AEA46D01C1920AE3 + 4101.50ns INFO [00004103] Port=1 RD @00 + 4102.50ns INFO [00004104] Port=0 RD @01 + 4103.50ns INFO [00004105] * RD COMPARE * port=1 adr=00 act=E16F86B4E93D7E7ECE exp=E16F86B4E93D7E7ECE + 4103.50ns INFO [00004105] Port=0 WR @07=BD5F8EA1A2C33EAC82 + 4103.50ns INFO [00004105] Port=1 RD @02 + 4104.50ns INFO [00004106] * RD COMPARE * port=0 adr=01 act=33AEA46D01C1920AE3 exp=33AEA46D01C1920AE3 + 4104.50ns INFO [00004106] Port=0 WR @05=F7AA866E4854E89648 + 4104.50ns INFO [00004106] Port=1 RD @01 + 4105.50ns INFO [00004107] * RD COMPARE * port=1 adr=02 act=FFE109FCB484589CE7 exp=FFE109FCB484589CE7 + 4105.50ns INFO [00004107] Port=0 WR @07=84D5ABBC9B3233803C + 4106.50ns INFO [00004108] * RD COMPARE * port=1 adr=01 act=33AEA46D01C1920AE3 exp=33AEA46D01C1920AE3 + 4107.50ns INFO [00004109] Port=1 RD @07 + 4108.50ns INFO [00004110] Port=0 WR @06=13DEFD452E1A9C67A3 + 4109.50ns INFO [00004111] * RD COMPARE * port=1 adr=07 act=84D5ABBC9B3233803C exp=84D5ABBC9B3233803C + 4110.50ns INFO [00004112] Port=0 WR @07=6E86FB8B641B219A48 + 4110.50ns INFO [00004112] Port=1 RD @01 + 4111.50ns INFO [00004113] Port=0 WR @02=7AE3614CF8B824C7A1 + 4112.50ns INFO [00004114] * RD COMPARE * port=1 adr=01 act=33AEA46D01C1920AE3 exp=33AEA46D01C1920AE3 + 4112.50ns INFO [00004114] Port=0 RD @05 + 4113.50ns INFO [00004115] Port=0 WR @00=0E29007202BD248A54 + 4113.50ns INFO [00004115] Port=0 RD @07 + 4113.50ns INFO [00004115] Port=1 RD @02 + 4114.50ns INFO [00004116] * RD COMPARE * port=0 adr=05 act=F7AA866E4854E89648 exp=F7AA866E4854E89648 + 4114.50ns INFO [00004116] Port=0 WR @02=008CAA84E795667528 + 4114.50ns INFO [00004116] Port=1 RD @06 + 4115.50ns INFO [00004117] * RD COMPARE * port=0 adr=07 act=6E86FB8B641B219A48 exp=6E86FB8B641B219A48 + 4115.50ns INFO [00004117] * RD COMPARE * port=1 adr=02 act=7AE3614CF8B824C7A1 exp=7AE3614CF8B824C7A1 + 4115.50ns INFO [00004117] Port=1 RD @03 + 4116.50ns INFO [00004118] * RD COMPARE * port=1 adr=06 act=13DEFD452E1A9C67A3 exp=13DEFD452E1A9C67A3 + 4116.50ns INFO [00004118] Port=1 RD @05 + 4117.50ns INFO [00004119] * RD COMPARE * port=1 adr=03 act=587E23612F87375E3D exp=587E23612F87375E3D + 4117.50ns INFO [00004119] Port=0 WR @04=C9A533AD9D4FCC4480 + 4117.50ns INFO [00004119] Port=1 RD @02 + 4118.50ns INFO [00004120] * RD COMPARE * port=1 adr=05 act=F7AA866E4854E89648 exp=F7AA866E4854E89648 + 4119.50ns INFO [00004121] * RD COMPARE * port=1 adr=02 act=008CAA84E795667528 exp=008CAA84E795667528 + 4119.50ns INFO [00004121] Port=0 RD @00 + 4120.50ns INFO [00004122] Port=0 WR @02=8939D16CFA1A83E425 + 4120.50ns INFO [00004122] Port=1 RD @03 + 4121.50ns INFO [00004123] * RD COMPARE * port=0 adr=00 act=0E29007202BD248A54 exp=0E29007202BD248A54 + 4121.50ns INFO [00004123] Port=0 WR @07=CFC31F31D0EBEDE583 + 4121.50ns INFO [00004123] Port=1 RD @00 + 4122.50ns INFO [00004124] * RD COMPARE * port=1 adr=03 act=587E23612F87375E3D exp=587E23612F87375E3D + 4122.50ns INFO [00004124] Port=0 WR @05=41AC6DDB00EA7914A4 + 4122.50ns INFO [00004124] Port=1 RD @07 + 4123.50ns INFO [00004125] * RD COMPARE * port=1 adr=00 act=0E29007202BD248A54 exp=0E29007202BD248A54 + 4123.50ns INFO [00004125] Port=0 WR @03=FB093A7B3D58C085A5 + 4124.50ns INFO [00004126] * RD COMPARE * port=1 adr=07 act=CFC31F31D0EBEDE583 exp=CFC31F31D0EBEDE583 + 4124.50ns INFO [00004126] Port=0 WR @01=A142402A6488178571 + 4124.50ns INFO [00004126] Port=1 RD @03 + 4125.50ns INFO [00004127] Port=0 WR @06=5D9B6AE289EA2DB635 + 4126.50ns INFO [00004128] * RD COMPARE * port=1 adr=03 act=FB093A7B3D58C085A5 exp=FB093A7B3D58C085A5 + 4127.50ns INFO [00004129] Port=0 WR @04=5F8F721D66EDBB98E1 + 4127.50ns INFO [00004129] Port=1 RD @06 + 4129.50ns INFO [00004131] * RD COMPARE * port=1 adr=06 act=5D9B6AE289EA2DB635 exp=5D9B6AE289EA2DB635 + 4129.50ns INFO [00004131] Port=0 WR @03=95155A36A03D1BA966 + 4129.50ns INFO [00004131] Port=0 RD @05 + 4130.50ns INFO [00004132] Port=0 RD @05 + 4131.50ns INFO [00004133] * RD COMPARE * port=0 adr=05 act=41AC6DDB00EA7914A4 exp=41AC6DDB00EA7914A4 + 4131.50ns INFO [00004133] Port=0 WR @00=1AD5F562A725DB33A0 + 4132.50ns INFO [00004134] * RD COMPARE * port=0 adr=05 act=41AC6DDB00EA7914A4 exp=41AC6DDB00EA7914A4 + 4132.50ns INFO [00004134] Port=0 RD @06 + 4133.50ns INFO [00004135] Port=0 WR @02=B40CF6AFD85E333369 + 4134.50ns INFO [00004136] * RD COMPARE * port=0 adr=06 act=5D9B6AE289EA2DB635 exp=5D9B6AE289EA2DB635 + 4134.50ns INFO [00004136] Port=0 WR @03=61E320CA34750B7C94 + 4134.50ns INFO [00004136] Port=0 RD @01 + 4134.50ns INFO [00004136] Port=1 RD @00 + 4135.50ns INFO [00004137] Port=0 RD @07 + 4136.50ns INFO [00004138] * RD COMPARE * port=0 adr=01 act=A142402A6488178571 exp=A142402A6488178571 + 4136.50ns INFO [00004138] * RD COMPARE * port=1 adr=00 act=1AD5F562A725DB33A0 exp=1AD5F562A725DB33A0 + 4137.50ns INFO [00004139] * RD COMPARE * port=0 adr=07 act=CFC31F31D0EBEDE583 exp=CFC31F31D0EBEDE583 + 4137.50ns INFO [00004139] Port=1 RD @02 + 4138.50ns INFO [00004140] Port=0 RD @00 + 4139.50ns INFO [00004141] * RD COMPARE * port=1 adr=02 act=B40CF6AFD85E333369 exp=B40CF6AFD85E333369 + 4140.50ns INFO [00004142] * RD COMPARE * port=0 adr=00 act=1AD5F562A725DB33A0 exp=1AD5F562A725DB33A0 + 4144.50ns INFO [00004146] Port=0 RD @04 + 4145.50ns INFO [00004147] Port=0 WR @05=C3250B6086B5A3587B + 4146.50ns INFO [00004148] * RD COMPARE * port=0 adr=04 act=5F8F721D66EDBB98E1 exp=5F8F721D66EDBB98E1 + 4147.50ns INFO [00004149] Port=0 WR @05=CE704C24FFD1FF4856 + 4148.50ns INFO [00004150] Port=0 RD @07 + 4150.50ns INFO [00004152] * RD COMPARE * port=0 adr=07 act=CFC31F31D0EBEDE583 exp=CFC31F31D0EBEDE583 + 4150.50ns INFO [00004152] Port=0 WR @02=712958B4540C26B434 + 4151.50ns INFO [00004153] Port=1 RD @06 + 4152.50ns INFO [00004154] Port=0 WR @02=58FE59A71801C0866F + 4152.50ns INFO [00004154] Port=0 RD @03 + 4152.50ns INFO [00004154] Port=1 RD @05 + 4153.50ns INFO [00004155] * RD COMPARE * port=1 adr=06 act=5D9B6AE289EA2DB635 exp=5D9B6AE289EA2DB635 + 4153.50ns INFO [00004155] Port=0 WR @04=1F77C8C01039AB4C8E + 4153.50ns INFO [00004155] Port=1 RD @07 + 4154.50ns INFO [00004156] * RD COMPARE * port=0 adr=03 act=61E320CA34750B7C94 exp=61E320CA34750B7C94 + 4154.50ns INFO [00004156] * RD COMPARE * port=1 adr=05 act=CE704C24FFD1FF4856 exp=CE704C24FFD1FF4856 + 4154.50ns INFO [00004156] Port=0 RD @04 + 4154.50ns INFO [00004156] Port=1 RD @03 + 4155.50ns INFO [00004157] * RD COMPARE * port=1 adr=07 act=CFC31F31D0EBEDE583 exp=CFC31F31D0EBEDE583 + 4155.50ns INFO [00004157] Port=0 WR @01=BD8F255A9A47491BFD + 4155.50ns INFO [00004157] Port=0 RD @02 + 4156.50ns INFO [00004158] * RD COMPARE * port=0 adr=04 act=1F77C8C01039AB4C8E exp=1F77C8C01039AB4C8E + 4156.50ns INFO [00004158] * RD COMPARE * port=1 adr=03 act=61E320CA34750B7C94 exp=61E320CA34750B7C94 + 4156.50ns INFO [00004158] Port=1 RD @06 + 4157.50ns INFO [00004159] * RD COMPARE * port=0 adr=02 act=58FE59A71801C0866F exp=58FE59A71801C0866F + 4157.50ns INFO [00004159] Port=0 WR @02=96392C22C645F36CD2 + 4158.50ns INFO [00004160] * RD COMPARE * port=1 adr=06 act=5D9B6AE289EA2DB635 exp=5D9B6AE289EA2DB635 + 4158.50ns INFO [00004160] Port=0 RD @04 + 4158.50ns INFO [00004160] Port=1 RD @06 + 4159.50ns INFO [00004161] Port=0 RD @04 + 4160.50ns INFO [00004162] * RD COMPARE * port=0 adr=04 act=1F77C8C01039AB4C8E exp=1F77C8C01039AB4C8E + 4160.50ns INFO [00004162] * RD COMPARE * port=1 adr=06 act=5D9B6AE289EA2DB635 exp=5D9B6AE289EA2DB635 + 4160.50ns INFO [00004162] Port=0 RD @02 + 4161.50ns INFO [00004163] * RD COMPARE * port=0 adr=04 act=1F77C8C01039AB4C8E exp=1F77C8C01039AB4C8E + 4162.50ns INFO [00004164] * RD COMPARE * port=0 adr=02 act=96392C22C645F36CD2 exp=96392C22C645F36CD2 + 4162.50ns INFO [00004164] Port=0 WR @02=EBFED31ED40AB798B8 + 4163.50ns INFO [00004165] Port=0 WR @04=78F7B5DFC45BFABEAA + 4163.50ns INFO [00004165] Port=1 RD @01 + 4164.50ns INFO [00004166] Port=0 WR @04=C1CA29AB63943D3271 + 4164.50ns INFO [00004166] Port=0 RD @03 + 4164.50ns INFO [00004166] Port=1 RD @03 + 4165.50ns INFO [00004167] * RD COMPARE * port=1 adr=01 act=BD8F255A9A47491BFD exp=BD8F255A9A47491BFD + 4166.50ns INFO [00004168] * RD COMPARE * port=0 adr=03 act=61E320CA34750B7C94 exp=61E320CA34750B7C94 + 4166.50ns INFO [00004168] * RD COMPARE * port=1 adr=03 act=61E320CA34750B7C94 exp=61E320CA34750B7C94 + 4166.50ns INFO [00004168] Port=1 RD @07 + 4167.50ns INFO [00004169] Port=0 RD @07 + 4168.50ns INFO [00004170] * RD COMPARE * port=1 adr=07 act=CFC31F31D0EBEDE583 exp=CFC31F31D0EBEDE583 + 4168.50ns INFO [00004170] Port=0 RD @06 + 4168.50ns INFO [00004170] Port=1 RD @07 + 4169.50ns INFO [00004171] * RD COMPARE * port=0 adr=07 act=CFC31F31D0EBEDE583 exp=CFC31F31D0EBEDE583 + 4169.50ns INFO [00004171] Port=1 RD @00 + 4170.50ns INFO [00004172] * RD COMPARE * port=0 adr=06 act=5D9B6AE289EA2DB635 exp=5D9B6AE289EA2DB635 + 4170.50ns INFO [00004172] * RD COMPARE * port=1 adr=07 act=CFC31F31D0EBEDE583 exp=CFC31F31D0EBEDE583 + 4170.50ns INFO [00004172] Port=0 RD @06 + 4170.50ns INFO [00004172] Port=1 RD @07 + 4171.50ns INFO [00004173] * RD COMPARE * port=1 adr=00 act=1AD5F562A725DB33A0 exp=1AD5F562A725DB33A0 + 4171.50ns INFO [00004173] Port=0 RD @04 + 4172.50ns INFO [00004174] * RD COMPARE * port=0 adr=06 act=5D9B6AE289EA2DB635 exp=5D9B6AE289EA2DB635 + 4172.50ns INFO [00004174] * RD COMPARE * port=1 adr=07 act=CFC31F31D0EBEDE583 exp=CFC31F31D0EBEDE583 + 4172.50ns INFO [00004174] Port=1 RD @07 + 4173.50ns INFO [00004175] * RD COMPARE * port=0 adr=04 act=C1CA29AB63943D3271 exp=C1CA29AB63943D3271 + 4174.50ns INFO [00004176] * RD COMPARE * port=1 adr=07 act=CFC31F31D0EBEDE583 exp=CFC31F31D0EBEDE583 + 4174.50ns INFO [00004176] Port=0 WR @02=A2F9664043165BB644 + 4174.50ns INFO [00004176] Port=0 RD @00 + 4176.50ns INFO [00004178] * RD COMPARE * port=0 adr=00 act=1AD5F562A725DB33A0 exp=1AD5F562A725DB33A0 + 4176.50ns INFO [00004178] Port=0 WR @02=B716CB933E1476407C + 4176.50ns INFO [00004178] Port=0 RD @04 + 4177.50ns INFO [00004179] Port=0 RD @07 + 4178.50ns INFO [00004180] * RD COMPARE * port=0 adr=04 act=C1CA29AB63943D3271 exp=C1CA29AB63943D3271 + 4178.50ns INFO [00004180] Port=0 WR @06=1C9F2B646670492FB3 + 4178.50ns INFO [00004180] Port=0 RD @02 + 4179.50ns INFO [00004181] * RD COMPARE * port=0 adr=07 act=CFC31F31D0EBEDE583 exp=CFC31F31D0EBEDE583 + 4179.50ns INFO [00004181] Port=0 WR @05=D6E85C6E78AA7D000A + 4179.50ns INFO [00004181] Port=0 RD @01 + 4179.50ns INFO [00004181] Port=1 RD @04 + 4180.50ns INFO [00004182] * RD COMPARE * port=0 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4180.50ns INFO [00004182] Port=0 WR @01=011DA9BBCE96E8CFAB + 4180.50ns INFO [00004182] Port=1 RD @04 + 4181.50ns INFO [00004183] * RD COMPARE * port=0 adr=01 act=BD8F255A9A47491BFD exp=BD8F255A9A47491BFD + 4181.50ns INFO [00004183] * RD COMPARE * port=1 adr=04 act=C1CA29AB63943D3271 exp=C1CA29AB63943D3271 + 4181.50ns INFO [00004183] Port=0 WR @07=6203D3DB4814C5982A + 4181.50ns INFO [00004183] Port=1 RD @02 + 4182.50ns INFO [00004184] * RD COMPARE * port=1 adr=04 act=C1CA29AB63943D3271 exp=C1CA29AB63943D3271 + 4182.50ns INFO [00004184] Port=0 RD @00 + 4183.50ns INFO [00004185] * RD COMPARE * port=1 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4183.50ns INFO [00004185] Port=0 WR @04=E2505FA98E058598DF + 4184.50ns INFO [00004186] * RD COMPARE * port=0 adr=00 act=1AD5F562A725DB33A0 exp=1AD5F562A725DB33A0 + 4184.50ns INFO [00004186] Port=1 RD @02 + 4186.50ns INFO [00004188] * RD COMPARE * port=1 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4186.50ns INFO [00004188] Port=0 WR @01=1CAB36A14C316C2FC7 + 4187.50ns INFO [00004189] Port=0 RD @04 + 4188.50ns INFO [00004190] Port=0 WR @03=107199136829B65C0C + 4188.50ns INFO [00004190] Port=1 RD @04 + 4189.50ns INFO [00004191] * RD COMPARE * port=0 adr=04 act=E2505FA98E058598DF exp=E2505FA98E058598DF + 4189.50ns INFO [00004191] Port=0 WR @01=6BA9F901F0C948FA23 + 4189.50ns INFO [00004191] Port=0 RD @02 + 4189.50ns INFO [00004191] Port=1 RD @02 + 4190.50ns INFO [00004192] * RD COMPARE * port=1 adr=04 act=E2505FA98E058598DF exp=E2505FA98E058598DF + 4190.50ns INFO [00004192] Port=0 WR @07=7C068ED0EF98A40C7F + 4190.50ns INFO [00004192] Port=1 RD @05 + 4191.50ns INFO [00004193] * RD COMPARE * port=0 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4191.50ns INFO [00004193] * RD COMPARE * port=1 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4191.50ns INFO [00004193] Port=0 WR @04=9270C3E4A5744B54D7 + 4191.50ns INFO [00004193] Port=0 RD @07 + 4191.50ns INFO [00004193] Port=1 RD @03 + 4192.50ns INFO [00004194] * RD COMPARE * port=1 adr=05 act=D6E85C6E78AA7D000A exp=D6E85C6E78AA7D000A + 4192.50ns INFO [00004194] Port=0 WR @04=4B10163E59E6F9E37A + 4192.50ns INFO [00004194] Port=0 RD @07 + 4193.50ns INFO [00004195] * RD COMPARE * port=0 adr=07 act=7C068ED0EF98A40C7F exp=7C068ED0EF98A40C7F + 4193.50ns INFO [00004195] * RD COMPARE * port=1 adr=03 act=107199136829B65C0C exp=107199136829B65C0C + 4193.50ns INFO [00004195] Port=1 RD @00 + 4194.50ns INFO [00004196] * RD COMPARE * port=0 adr=07 act=7C068ED0EF98A40C7F exp=7C068ED0EF98A40C7F + 4195.50ns INFO [00004197] * RD COMPARE * port=1 adr=00 act=1AD5F562A725DB33A0 exp=1AD5F562A725DB33A0 + 4195.50ns INFO [00004197] Port=0 WR @06=26AA4D6413FA207B09 + 4198.00ns INFO [00004200] [00004200] ...tick... + 4198.50ns INFO [00004200] Port=0 RD @07 + 4200.50ns INFO [00004202] * RD COMPARE * port=0 adr=07 act=7C068ED0EF98A40C7F exp=7C068ED0EF98A40C7F + 4201.50ns INFO [00004203] Port=0 WR @07=1FB510735F7F185419 + 4202.50ns INFO [00004204] Port=0 RD @02 + 4202.50ns INFO [00004204] Port=1 RD @07 + 4203.50ns INFO [00004205] Port=1 RD @02 + 4204.50ns INFO [00004206] * RD COMPARE * port=0 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4204.50ns INFO [00004206] * RD COMPARE * port=1 adr=07 act=1FB510735F7F185419 exp=1FB510735F7F185419 + 4204.50ns INFO [00004206] Port=0 RD @03 + 4205.50ns INFO [00004207] * RD COMPARE * port=1 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4206.50ns INFO [00004208] * RD COMPARE * port=0 adr=03 act=107199136829B65C0C exp=107199136829B65C0C + 4206.50ns INFO [00004208] Port=0 WR @07=7DB17B0B89226CE02A + 4207.50ns INFO [00004209] Port=0 RD @02 + 4208.50ns INFO [00004210] Port=1 RD @04 + 4209.50ns INFO [00004211] * RD COMPARE * port=0 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4209.50ns INFO [00004211] Port=0 WR @00=0B9EF8726408E763C0 + 4209.50ns INFO [00004211] Port=0 RD @03 + 4209.50ns INFO [00004211] Port=1 RD @06 + 4210.50ns INFO [00004212] * RD COMPARE * port=1 adr=04 act=4B10163E59E6F9E37A exp=4B10163E59E6F9E37A + 4210.50ns INFO [00004212] Port=0 WR @05=EF96D0ABC75F9677C2 + 4210.50ns INFO [00004212] Port=0 RD @02 + 4210.50ns INFO [00004212] Port=1 RD @00 + 4211.50ns INFO [00004213] * RD COMPARE * port=0 adr=03 act=107199136829B65C0C exp=107199136829B65C0C + 4211.50ns INFO [00004213] * RD COMPARE * port=1 adr=06 act=26AA4D6413FA207B09 exp=26AA4D6413FA207B09 + 4211.50ns INFO [00004213] Port=0 WR @00=54B2FDD8039498ABD5 + 4212.50ns INFO [00004214] * RD COMPARE * port=0 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4212.50ns INFO [00004214] * RD COMPARE * port=1 adr=00 act=0B9EF8726408E763C0 exp=0B9EF8726408E763C0 + 4212.50ns INFO [00004214] Port=1 RD @04 + 4213.50ns INFO [00004215] Port=0 RD @07 + 4214.50ns INFO [00004216] * RD COMPARE * port=1 adr=04 act=4B10163E59E6F9E37A exp=4B10163E59E6F9E37A + 4214.50ns INFO [00004216] Port=0 WR @06=B3EB32BC344FAC2A7C + 4215.50ns INFO [00004217] * RD COMPARE * port=0 adr=07 act=7DB17B0B89226CE02A exp=7DB17B0B89226CE02A + 4217.50ns INFO [00004219] Port=0 WR @00=91951B3AC40F9DA442 + 4217.50ns INFO [00004219] Port=1 RD @03 + 4218.50ns INFO [00004220] Port=0 WR @00=82837DE07A90AFE013 + 4219.50ns INFO [00004221] * RD COMPARE * port=1 adr=03 act=107199136829B65C0C exp=107199136829B65C0C + 4219.50ns INFO [00004221] Port=0 RD @02 + 4219.50ns INFO [00004221] Port=1 RD @05 + 4221.50ns INFO [00004223] * RD COMPARE * port=0 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4221.50ns INFO [00004223] * RD COMPARE * port=1 adr=05 act=EF96D0ABC75F9677C2 exp=EF96D0ABC75F9677C2 + 4222.50ns INFO [00004224] Port=0 WR @03=53B587BF5747BBD0CE + 4222.50ns INFO [00004224] Port=0 RD @04 + 4223.50ns INFO [00004225] Port=0 WR @03=7F0B0A99AF55ADC400 + 4223.50ns INFO [00004225] Port=1 RD @04 + 4224.50ns INFO [00004226] * RD COMPARE * port=0 adr=04 act=4B10163E59E6F9E37A exp=4B10163E59E6F9E37A + 4224.50ns INFO [00004226] Port=1 RD @04 + 4225.50ns INFO [00004227] * RD COMPARE * port=1 adr=04 act=4B10163E59E6F9E37A exp=4B10163E59E6F9E37A + 4225.50ns INFO [00004227] Port=0 RD @05 + 4226.50ns INFO [00004228] * RD COMPARE * port=1 adr=04 act=4B10163E59E6F9E37A exp=4B10163E59E6F9E37A + 4227.50ns INFO [00004229] * RD COMPARE * port=0 adr=05 act=EF96D0ABC75F9677C2 exp=EF96D0ABC75F9677C2 + 4227.50ns INFO [00004229] Port=0 WR @06=F2505D2260673E5FAD + 4227.50ns INFO [00004229] Port=0 RD @01 + 4229.50ns INFO [00004231] * RD COMPARE * port=0 adr=01 act=6BA9F901F0C948FA23 exp=6BA9F901F0C948FA23 + 4230.50ns INFO [00004232] Port=0 WR @05=21E3C4BAB2D57AAFA4 + 4232.50ns INFO [00004234] Port=0 WR @06=C09A6F1D2A651EBF4B + 4232.50ns INFO [00004234] Port=0 RD @07 + 4232.50ns INFO [00004234] Port=1 RD @03 + 4233.50ns INFO [00004235] Port=0 WR @01=8DBFE4ED7BE1E6AFB5 + 4234.50ns INFO [00004236] * RD COMPARE * port=0 adr=07 act=7DB17B0B89226CE02A exp=7DB17B0B89226CE02A + 4234.50ns INFO [00004236] * RD COMPARE * port=1 adr=03 act=7F0B0A99AF55ADC400 exp=7F0B0A99AF55ADC400 + 4235.50ns INFO [00004237] Port=0 WR @01=3DF28DC5CDFF97130B + 4236.50ns INFO [00004238] Port=0 WR @07=0DCDD4187555FF083A + 4236.50ns INFO [00004238] Port=0 RD @00 + 4236.50ns INFO [00004238] Port=1 RD @06 + 4238.50ns INFO [00004240] * RD COMPARE * port=0 adr=00 act=82837DE07A90AFE013 exp=82837DE07A90AFE013 + 4238.50ns INFO [00004240] * RD COMPARE * port=1 adr=06 act=C09A6F1D2A651EBF4B exp=C09A6F1D2A651EBF4B + 4238.50ns INFO [00004240] Port=0 WR @04=F2BE00EBDA7282E887 + 4239.50ns INFO [00004241] Port=0 WR @00=1F3B9DB177474C5F94 + 4239.50ns INFO [00004241] Port=1 RD @07 + 4241.50ns INFO [00004243] * RD COMPARE * port=1 adr=07 act=0DCDD4187555FF083A exp=0DCDD4187555FF083A + 4241.50ns INFO [00004243] Port=1 RD @07 + 4243.50ns INFO [00004245] * RD COMPARE * port=1 adr=07 act=0DCDD4187555FF083A exp=0DCDD4187555FF083A + 4243.50ns INFO [00004245] Port=0 RD @00 + 4244.50ns INFO [00004246] Port=0 WR @05=A82C42A2F729CFF478 + 4245.50ns INFO [00004247] * RD COMPARE * port=0 adr=00 act=1F3B9DB177474C5F94 exp=1F3B9DB177474C5F94 + 4245.50ns INFO [00004247] Port=0 WR @04=14CB1832B8CD1036A6 + 4245.50ns INFO [00004247] Port=1 RD @06 + 4247.50ns INFO [00004249] * RD COMPARE * port=1 adr=06 act=C09A6F1D2A651EBF4B exp=C09A6F1D2A651EBF4B + 4247.50ns INFO [00004249] Port=0 WR @01=F3A2B073B6D5F186CA + 4247.50ns INFO [00004249] Port=0 RD @02 + 4248.50ns INFO [00004250] Port=0 WR @02=C712A172B5580ADCBF + 4248.50ns INFO [00004250] Port=0 RD @04 + 4248.50ns INFO [00004250] Port=1 RD @05 + 4249.50ns INFO [00004251] * RD COMPARE * port=0 adr=02 act=B716CB933E1476407C exp=B716CB933E1476407C + 4249.50ns INFO [00004251] Port=0 RD @00 + 4249.50ns INFO [00004251] Port=1 RD @01 + 4250.50ns INFO [00004252] * RD COMPARE * port=0 adr=04 act=14CB1832B8CD1036A6 exp=14CB1832B8CD1036A6 + 4250.50ns INFO [00004252] * RD COMPARE * port=1 adr=05 act=A82C42A2F729CFF478 exp=A82C42A2F729CFF478 + 4251.50ns INFO [00004253] * RD COMPARE * port=0 adr=00 act=1F3B9DB177474C5F94 exp=1F3B9DB177474C5F94 + 4251.50ns INFO [00004253] * RD COMPARE * port=1 adr=01 act=F3A2B073B6D5F186CA exp=F3A2B073B6D5F186CA + 4251.50ns INFO [00004253] Port=0 RD @01 + 4252.50ns INFO [00004254] Port=0 WR @06=437A81008E1FF6BEDD + 4252.50ns INFO [00004254] Port=1 RD @05 + 4253.50ns INFO [00004255] * RD COMPARE * port=0 adr=01 act=F3A2B073B6D5F186CA exp=F3A2B073B6D5F186CA + 4253.50ns INFO [00004255] Port=1 RD @00 + 4254.50ns INFO [00004256] * RD COMPARE * port=1 adr=05 act=A82C42A2F729CFF478 exp=A82C42A2F729CFF478 + 4254.50ns INFO [00004256] Port=1 RD @02 + 4255.50ns INFO [00004257] * RD COMPARE * port=1 adr=00 act=1F3B9DB177474C5F94 exp=1F3B9DB177474C5F94 + 4255.50ns INFO [00004257] Port=0 WR @05=590B99184F3460F112 + 4255.50ns INFO [00004257] Port=1 RD @03 + 4256.50ns INFO [00004258] * RD COMPARE * port=1 adr=02 act=C712A172B5580ADCBF exp=C712A172B5580ADCBF + 4256.50ns INFO [00004258] Port=1 RD @04 + 4257.50ns INFO [00004259] * RD COMPARE * port=1 adr=03 act=7F0B0A99AF55ADC400 exp=7F0B0A99AF55ADC400 + 4257.50ns INFO [00004259] Port=0 WR @07=53F7D6726DF75784D9 + 4257.50ns INFO [00004259] Port=0 RD @06 + 4258.50ns INFO [00004260] * RD COMPARE * port=1 adr=04 act=14CB1832B8CD1036A6 exp=14CB1832B8CD1036A6 + 4259.50ns INFO [00004261] * RD COMPARE * port=0 adr=06 act=437A81008E1FF6BEDD exp=437A81008E1FF6BEDD + 4259.50ns INFO [00004261] Port=0 WR @03=B29385CC657B0F2E55 + 4260.50ns INFO [00004262] Port=0 RD @05 + 4262.50ns INFO [00004264] * RD COMPARE * port=0 adr=05 act=590B99184F3460F112 exp=590B99184F3460F112 + 4263.50ns INFO [00004265] Port=0 RD @03 + 4263.50ns INFO [00004265] Port=1 RD @01 + 4264.50ns INFO [00004266] Port=0 WR @00=DEF1169EE442887A50 + 4264.50ns INFO [00004266] Port=0 RD @07 + 4264.50ns INFO [00004266] Port=1 RD @06 + 4265.50ns INFO [00004267] * RD COMPARE * port=0 adr=03 act=B29385CC657B0F2E55 exp=B29385CC657B0F2E55 + 4265.50ns INFO [00004267] * RD COMPARE * port=1 adr=01 act=F3A2B073B6D5F186CA exp=F3A2B073B6D5F186CA + 4265.50ns INFO [00004267] Port=0 RD @07 + 4266.50ns INFO [00004268] * RD COMPARE * port=0 adr=07 act=53F7D6726DF75784D9 exp=53F7D6726DF75784D9 + 4266.50ns INFO [00004268] * RD COMPARE * port=1 adr=06 act=437A81008E1FF6BEDD exp=437A81008E1FF6BEDD + 4267.50ns INFO [00004269] * RD COMPARE * port=0 adr=07 act=53F7D6726DF75784D9 exp=53F7D6726DF75784D9 + 4268.50ns INFO [00004270] Port=0 RD @02 + 4268.50ns INFO [00004270] Port=1 RD @00 + 4269.50ns INFO [00004271] Port=0 WR @03=312CC6AE4F44C44470 + 4270.50ns INFO [00004272] * RD COMPARE * port=0 adr=02 act=C712A172B5580ADCBF exp=C712A172B5580ADCBF + 4270.50ns INFO [00004272] * RD COMPARE * port=1 adr=00 act=DEF1169EE442887A50 exp=DEF1169EE442887A50 + 4271.50ns INFO [00004273] Port=0 WR @07=547D5CA10D12278BC8 + 4272.50ns INFO [00004274] Port=0 WR @00=27429843EAF676B7B8 + 4272.50ns INFO [00004274] Port=1 RD @03 + 4273.50ns INFO [00004275] Port=1 RD @06 + 4274.50ns INFO [00004276] * RD COMPARE * port=1 adr=03 act=312CC6AE4F44C44470 exp=312CC6AE4F44C44470 + 4275.50ns INFO [00004277] * RD COMPARE * port=1 adr=06 act=437A81008E1FF6BEDD exp=437A81008E1FF6BEDD + 4275.50ns INFO [00004277] Port=0 RD @06 + 4276.50ns INFO [00004278] Port=0 WR @05=7C8471218457C8F498 + 4277.50ns INFO [00004279] * RD COMPARE * port=0 adr=06 act=437A81008E1FF6BEDD exp=437A81008E1FF6BEDD + 4277.50ns INFO [00004279] Port=0 WR @03=7B23C294D2458AEB46 + 4277.50ns INFO [00004279] Port=1 RD @01 + 4278.50ns INFO [00004280] Port=0 RD @04 + 4278.50ns INFO [00004280] Port=1 RD @07 + 4279.50ns INFO [00004281] * RD COMPARE * port=1 adr=01 act=F3A2B073B6D5F186CA exp=F3A2B073B6D5F186CA + 4280.50ns INFO [00004282] * RD COMPARE * port=0 adr=04 act=14CB1832B8CD1036A6 exp=14CB1832B8CD1036A6 + 4280.50ns INFO [00004282] * RD COMPARE * port=1 adr=07 act=547D5CA10D12278BC8 exp=547D5CA10D12278BC8 + 4280.50ns INFO [00004282] Port=0 RD @01 + 4281.50ns INFO [00004283] Port=1 RD @06 + 4282.50ns INFO [00004284] * RD COMPARE * port=0 adr=01 act=F3A2B073B6D5F186CA exp=F3A2B073B6D5F186CA + 4282.50ns INFO [00004284] Port=0 RD @04 + 4282.50ns INFO [00004284] Port=1 RD @02 + 4283.50ns INFO [00004285] * RD COMPARE * port=1 adr=06 act=437A81008E1FF6BEDD exp=437A81008E1FF6BEDD + 4283.50ns INFO [00004285] Port=0 RD @04 + 4283.50ns INFO [00004285] Port=1 RD @01 + 4284.50ns INFO [00004286] * RD COMPARE * port=0 adr=04 act=14CB1832B8CD1036A6 exp=14CB1832B8CD1036A6 + 4284.50ns INFO [00004286] * RD COMPARE * port=1 adr=02 act=C712A172B5580ADCBF exp=C712A172B5580ADCBF + 4284.50ns INFO [00004286] Port=0 WR @05=EDE6316A13FEE9BCB4 + 4285.50ns INFO [00004287] * RD COMPARE * port=0 adr=04 act=14CB1832B8CD1036A6 exp=14CB1832B8CD1036A6 + 4285.50ns INFO [00004287] * RD COMPARE * port=1 adr=01 act=F3A2B073B6D5F186CA exp=F3A2B073B6D5F186CA + 4285.50ns INFO [00004287] Port=0 WR @01=BD36BE7020329DAA9D + 4286.50ns INFO [00004288] Port=0 WR @06=54FF0D23133F36E16C + 4287.50ns INFO [00004289] Port=1 RD @00 + 4288.50ns INFO [00004290] Port=1 RD @05 + 4289.50ns INFO [00004291] * RD COMPARE * port=1 adr=00 act=27429843EAF676B7B8 exp=27429843EAF676B7B8 + 4289.50ns INFO [00004291] Port=0 RD @00 + 4290.50ns INFO [00004292] * RD COMPARE * port=1 adr=05 act=EDE6316A13FEE9BCB4 exp=EDE6316A13FEE9BCB4 + 4290.50ns INFO [00004292] Port=0 WR @03=AD1738459F4745A75C + 4290.50ns INFO [00004292] Port=0 RD @07 + 4290.50ns INFO [00004292] Port=1 RD @04 + 4291.50ns INFO [00004293] * RD COMPARE * port=0 adr=00 act=27429843EAF676B7B8 exp=27429843EAF676B7B8 + 4291.50ns INFO [00004293] Port=0 WR @03=C0CF0FAEC64F1D3C9F + 4291.50ns INFO [00004293] Port=0 RD @00 + 4292.50ns INFO [00004294] * RD COMPARE * port=0 adr=07 act=547D5CA10D12278BC8 exp=547D5CA10D12278BC8 + 4292.50ns INFO [00004294] * RD COMPARE * port=1 adr=04 act=14CB1832B8CD1036A6 exp=14CB1832B8CD1036A6 + 4292.50ns INFO [00004294] Port=0 RD @02 + 4292.50ns INFO [00004294] Port=1 RD @03 + 4293.50ns INFO [00004295] * RD COMPARE * port=0 adr=00 act=27429843EAF676B7B8 exp=27429843EAF676B7B8 + 4293.50ns INFO [00004295] Port=1 RD @05 + 4294.50ns INFO [00004296] * RD COMPARE * port=0 adr=02 act=C712A172B5580ADCBF exp=C712A172B5580ADCBF + 4294.50ns INFO [00004296] * RD COMPARE * port=1 adr=03 act=C0CF0FAEC64F1D3C9F exp=C0CF0FAEC64F1D3C9F + 4294.50ns INFO [00004296] Port=0 RD @01 + 4294.50ns INFO [00004296] Port=1 RD @07 + 4295.50ns INFO [00004297] * RD COMPARE * port=1 adr=05 act=EDE6316A13FEE9BCB4 exp=EDE6316A13FEE9BCB4 + 4296.50ns INFO [00004298] * RD COMPARE * port=0 adr=01 act=BD36BE7020329DAA9D exp=BD36BE7020329DAA9D + 4296.50ns INFO [00004298] * RD COMPARE * port=1 adr=07 act=547D5CA10D12278BC8 exp=547D5CA10D12278BC8 + 4296.50ns INFO [00004298] Port=0 WR @03=A52F28696023068BCA + 4298.00ns INFO [00004300] [00004300] ...tick... + 4299.50ns INFO [00004301] Port=0 WR @04=9036027D67A5928F2E + 4300.50ns INFO [00004302] Port=0 WR @06=84CC20D144C24591AA + 4301.50ns INFO [00004303] Port=0 WR @04=EB6131A10DA1011CC8 + 4302.50ns INFO [00004304] Port=0 WR @06=1823A85DF4BD0F0AE3 + 4304.50ns INFO [00004306] Port=0 RD @01 + 4304.50ns INFO [00004306] Port=1 RD @00 + 4305.50ns INFO [00004307] Port=0 RD @04 + 4306.50ns INFO [00004308] * RD COMPARE * port=0 adr=01 act=BD36BE7020329DAA9D exp=BD36BE7020329DAA9D + 4306.50ns INFO [00004308] * RD COMPARE * port=1 adr=00 act=27429843EAF676B7B8 exp=27429843EAF676B7B8 + 4306.50ns INFO [00004308] Port=0 WR @05=6C4058F135B6008E0B + 4306.50ns INFO [00004308] Port=0 RD @01 + 4307.50ns INFO [00004309] * RD COMPARE * port=0 adr=04 act=EB6131A10DA1011CC8 exp=EB6131A10DA1011CC8 + 4307.50ns INFO [00004309] Port=0 WR @03=BDB69B7813B8958802 + 4308.50ns INFO [00004310] * RD COMPARE * port=0 adr=01 act=BD36BE7020329DAA9D exp=BD36BE7020329DAA9D + 4308.50ns INFO [00004310] Port=0 WR @04=6C4D654EDD34554441 + 4309.50ns INFO [00004311] Port=0 WR @06=54D6A45E734DC49A29 + 4310.50ns INFO [00004312] Port=0 WR @07=F60FA76F4717064497 + 4312.50ns INFO [00004314] Port=0 RD @05 + 4313.50ns INFO [00004315] Port=0 WR @05=203E5D00C9B9DAE91A + 4313.50ns INFO [00004315] Port=0 RD @07 + 4314.50ns INFO [00004316] * RD COMPARE * port=0 adr=05 act=6C4058F135B6008E0B exp=6C4058F135B6008E0B + 4314.50ns INFO [00004316] Port=1 RD @05 + 4315.50ns INFO [00004317] * RD COMPARE * port=0 adr=07 act=F60FA76F4717064497 exp=F60FA76F4717064497 + 4316.50ns INFO [00004318] * RD COMPARE * port=1 adr=05 act=203E5D00C9B9DAE91A exp=203E5D00C9B9DAE91A + 4316.50ns INFO [00004318] Port=0 WR @00=CC9D7CFBADDFE600E8 + 4317.50ns INFO [00004319] Port=0 WR @03=F17FF1F1184CC02807 + 4317.50ns INFO [00004319] Port=0 RD @00 + 4318.50ns INFO [00004320] Port=0 RD @05 + 4318.50ns INFO [00004320] Port=1 RD @05 + 4319.50ns INFO [00004321] * RD COMPARE * port=0 adr=00 act=CC9D7CFBADDFE600E8 exp=CC9D7CFBADDFE600E8 + 4319.50ns INFO [00004321] Port=0 WR @02=A88E1C5B28F7FA91AB + 4319.50ns INFO [00004321] Port=1 RD @07 + 4320.50ns INFO [00004322] * RD COMPARE * port=0 adr=05 act=203E5D00C9B9DAE91A exp=203E5D00C9B9DAE91A + 4320.50ns INFO [00004322] * RD COMPARE * port=1 adr=05 act=203E5D00C9B9DAE91A exp=203E5D00C9B9DAE91A + 4320.50ns INFO [00004322] Port=0 RD @01 + 4320.50ns INFO [00004322] Port=1 RD @01 + 4321.50ns INFO [00004323] * RD COMPARE * port=1 adr=07 act=F60FA76F4717064497 exp=F60FA76F4717064497 + 4321.50ns INFO [00004323] Port=1 RD @07 + 4322.50ns INFO [00004324] * RD COMPARE * port=0 adr=01 act=BD36BE7020329DAA9D exp=BD36BE7020329DAA9D + 4322.50ns INFO [00004324] * RD COMPARE * port=1 adr=01 act=BD36BE7020329DAA9D exp=BD36BE7020329DAA9D + 4323.50ns INFO [00004325] * RD COMPARE * port=1 adr=07 act=F60FA76F4717064497 exp=F60FA76F4717064497 + 4323.50ns INFO [00004325] Port=0 WR @05=5AD91346C5A00C5285 + 4323.50ns INFO [00004325] Port=1 RD @02 + 4325.50ns INFO [00004327] * RD COMPARE * port=1 adr=02 act=A88E1C5B28F7FA91AB exp=A88E1C5B28F7FA91AB + 4325.50ns INFO [00004327] Port=0 RD @07 + 4325.50ns INFO [00004327] Port=1 RD @06 + 4326.50ns INFO [00004328] Port=0 RD @04 + 4326.50ns INFO [00004328] Port=1 RD @01 + 4327.50ns INFO [00004329] * RD COMPARE * port=0 adr=07 act=F60FA76F4717064497 exp=F60FA76F4717064497 + 4327.50ns INFO [00004329] * RD COMPARE * port=1 adr=06 act=54D6A45E734DC49A29 exp=54D6A45E734DC49A29 + 4328.50ns INFO [00004330] * RD COMPARE * port=0 adr=04 act=6C4D654EDD34554441 exp=6C4D654EDD34554441 + 4328.50ns INFO [00004330] * RD COMPARE * port=1 adr=01 act=BD36BE7020329DAA9D exp=BD36BE7020329DAA9D + 4328.50ns INFO [00004330] Port=1 RD @02 + 4329.50ns INFO [00004331] Port=0 RD @03 + 4329.50ns INFO [00004331] Port=1 RD @01 + 4330.50ns INFO [00004332] * RD COMPARE * port=1 adr=02 act=A88E1C5B28F7FA91AB exp=A88E1C5B28F7FA91AB + 4331.50ns INFO [00004333] * RD COMPARE * port=0 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4331.50ns INFO [00004333] * RD COMPARE * port=1 adr=01 act=BD36BE7020329DAA9D exp=BD36BE7020329DAA9D + 4331.50ns INFO [00004333] Port=0 RD @04 + 4332.50ns INFO [00004334] Port=0 WR @01=F16929AB34264AD49C + 4333.50ns INFO [00004335] * RD COMPARE * port=0 adr=04 act=6C4D654EDD34554441 exp=6C4D654EDD34554441 + 4334.50ns INFO [00004336] Port=0 WR @04=0FD7DBFB24B3AD6E6D + 4335.50ns INFO [00004337] Port=0 RD @00 + 4336.50ns INFO [00004338] Port=0 WR @01=B73509B76AF9128DD6 + 4337.50ns INFO [00004339] * RD COMPARE * port=0 adr=00 act=CC9D7CFBADDFE600E8 exp=CC9D7CFBADDFE600E8 + 4338.50ns INFO [00004340] Port=0 RD @02 + 4339.50ns INFO [00004341] Port=0 WR @05=ED4F5BFAB083513D4C + 4340.50ns INFO [00004342] * RD COMPARE * port=0 adr=02 act=A88E1C5B28F7FA91AB exp=A88E1C5B28F7FA91AB + 4341.50ns INFO [00004343] Port=0 WR @07=113EB4A9A95926C3BA + 4342.50ns INFO [00004344] Port=0 WR @02=099011305D895EE19C + 4344.50ns INFO [00004346] Port=0 WR @01=F06B185E2659440481 + 4344.50ns INFO [00004346] Port=0 RD @03 + 4345.50ns INFO [00004347] Port=0 WR @04=9B224D10F0E2BDE3F2 + 4345.50ns INFO [00004347] Port=1 RD @02 + 4346.50ns INFO [00004348] * RD COMPARE * port=0 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4346.50ns INFO [00004348] Port=0 WR @04=3AE45DDCA32FE754EB + 4346.50ns INFO [00004348] Port=1 RD @05 + 4347.50ns INFO [00004349] * RD COMPARE * port=1 adr=02 act=099011305D895EE19C exp=099011305D895EE19C + 4347.50ns INFO [00004349] Port=0 RD @06 + 4347.50ns INFO [00004349] Port=1 RD @03 + 4348.50ns INFO [00004350] * RD COMPARE * port=1 adr=05 act=ED4F5BFAB083513D4C exp=ED4F5BFAB083513D4C + 4348.50ns INFO [00004350] Port=1 RD @06 + 4349.50ns INFO [00004351] * RD COMPARE * port=0 adr=06 act=54D6A45E734DC49A29 exp=54D6A45E734DC49A29 + 4349.50ns INFO [00004351] * RD COMPARE * port=1 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4349.50ns INFO [00004351] Port=1 RD @02 + 4350.50ns INFO [00004352] * RD COMPARE * port=1 adr=06 act=54D6A45E734DC49A29 exp=54D6A45E734DC49A29 + 4351.50ns INFO [00004353] * RD COMPARE * port=1 adr=02 act=099011305D895EE19C exp=099011305D895EE19C + 4351.50ns INFO [00004353] Port=0 RD @02 + 4351.50ns INFO [00004353] Port=1 RD @05 + 4353.50ns INFO [00004355] * RD COMPARE * port=0 adr=02 act=099011305D895EE19C exp=099011305D895EE19C + 4353.50ns INFO [00004355] * RD COMPARE * port=1 adr=05 act=ED4F5BFAB083513D4C exp=ED4F5BFAB083513D4C + 4353.50ns INFO [00004355] Port=0 RD @02 + 4353.50ns INFO [00004355] Port=1 RD @04 + 4354.50ns INFO [00004356] Port=0 WR @00=E5A5B586FF489D2929 + 4355.50ns INFO [00004357] * RD COMPARE * port=0 adr=02 act=099011305D895EE19C exp=099011305D895EE19C + 4355.50ns INFO [00004357] * RD COMPARE * port=1 adr=04 act=3AE45DDCA32FE754EB exp=3AE45DDCA32FE754EB + 4355.50ns INFO [00004357] Port=0 RD @06 + 4355.50ns INFO [00004357] Port=1 RD @06 + 4356.50ns INFO [00004358] Port=0 WR @00=A54B59165F8308B2FF + 4357.50ns INFO [00004359] * RD COMPARE * port=0 adr=06 act=54D6A45E734DC49A29 exp=54D6A45E734DC49A29 + 4357.50ns INFO [00004359] * RD COMPARE * port=1 adr=06 act=54D6A45E734DC49A29 exp=54D6A45E734DC49A29 + 4359.50ns INFO [00004361] Port=1 RD @06 + 4360.50ns INFO [00004362] Port=0 RD @05 + 4361.50ns INFO [00004363] * RD COMPARE * port=1 adr=06 act=54D6A45E734DC49A29 exp=54D6A45E734DC49A29 + 4362.50ns INFO [00004364] * RD COMPARE * port=0 adr=05 act=ED4F5BFAB083513D4C exp=ED4F5BFAB083513D4C + 4362.50ns INFO [00004364] Port=0 RD @04 + 4364.50ns INFO [00004366] * RD COMPARE * port=0 adr=04 act=3AE45DDCA32FE754EB exp=3AE45DDCA32FE754EB + 4364.50ns INFO [00004366] Port=0 RD @01 + 4365.50ns INFO [00004367] Port=0 RD @07 + 4365.50ns INFO [00004367] Port=1 RD @01 + 4366.50ns INFO [00004368] * RD COMPARE * port=0 adr=01 act=F06B185E2659440481 exp=F06B185E2659440481 + 4366.50ns INFO [00004368] Port=0 WR @01=3B6F6F9F2EC942FF11 + 4367.50ns INFO [00004369] * RD COMPARE * port=0 adr=07 act=113EB4A9A95926C3BA exp=113EB4A9A95926C3BA + 4367.50ns INFO [00004369] * RD COMPARE * port=1 adr=01 act=F06B185E2659440481 exp=F06B185E2659440481 + 4367.50ns INFO [00004369] Port=0 RD @04 + 4368.50ns INFO [00004370] Port=0 WR @01=E6FB36753C3A36116C + 4368.50ns INFO [00004370] Port=1 RD @06 + 4369.50ns INFO [00004371] * RD COMPARE * port=0 adr=04 act=3AE45DDCA32FE754EB exp=3AE45DDCA32FE754EB + 4369.50ns INFO [00004371] Port=0 WR @02=D39B0C497FFFABF08D + 4369.50ns INFO [00004371] Port=0 RD @06 + 4370.50ns INFO [00004372] * RD COMPARE * port=1 adr=06 act=54D6A45E734DC49A29 exp=54D6A45E734DC49A29 + 4370.50ns INFO [00004372] Port=0 WR @01=ECC03598B5D139B4C3 + 4370.50ns INFO [00004372] Port=1 RD @07 + 4371.50ns INFO [00004373] * RD COMPARE * port=0 adr=06 act=54D6A45E734DC49A29 exp=54D6A45E734DC49A29 + 4371.50ns INFO [00004373] Port=0 WR @04=52079BA4D7048971A3 + 4372.50ns INFO [00004374] * RD COMPARE * port=1 adr=07 act=113EB4A9A95926C3BA exp=113EB4A9A95926C3BA + 4372.50ns INFO [00004374] Port=0 RD @02 + 4372.50ns INFO [00004374] Port=1 RD @06 + 4374.50ns INFO [00004376] * RD COMPARE * port=0 adr=02 act=D39B0C497FFFABF08D exp=D39B0C497FFFABF08D + 4374.50ns INFO [00004376] * RD COMPARE * port=1 adr=06 act=54D6A45E734DC49A29 exp=54D6A45E734DC49A29 + 4374.50ns INFO [00004376] Port=0 RD @03 + 4374.50ns INFO [00004376] Port=1 RD @04 + 4375.50ns INFO [00004377] Port=0 WR @04=D55CD2D7B41B623D22 + 4375.50ns INFO [00004377] Port=0 RD @00 + 4376.50ns INFO [00004378] * RD COMPARE * port=0 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4376.50ns INFO [00004378] * RD COMPARE * port=1 adr=04 act=52079BA4D7048971A3 exp=52079BA4D7048971A3 + 4377.50ns INFO [00004379] * RD COMPARE * port=0 adr=00 act=A54B59165F8308B2FF exp=A54B59165F8308B2FF + 4377.50ns INFO [00004379] Port=0 WR @06=7F2720FCA6277F1B8C + 4377.50ns INFO [00004379] Port=1 RD @05 + 4378.50ns INFO [00004380] Port=0 WR @02=84BFA6E00CCED85C7D + 4378.50ns INFO [00004380] Port=0 RD @06 + 4378.50ns INFO [00004380] Port=1 RD @04 + 4379.50ns INFO [00004381] * RD COMPARE * port=1 adr=05 act=ED4F5BFAB083513D4C exp=ED4F5BFAB083513D4C + 4379.50ns INFO [00004381] Port=0 WR @05=C7FA5B4982556ACA4D + 4379.50ns INFO [00004381] Port=0 RD @03 + 4380.50ns INFO [00004382] * RD COMPARE * port=0 adr=06 act=7F2720FCA6277F1B8C exp=7F2720FCA6277F1B8C + 4380.50ns INFO [00004382] * RD COMPARE * port=1 adr=04 act=D55CD2D7B41B623D22 exp=D55CD2D7B41B623D22 + 4380.50ns INFO [00004382] Port=0 RD @07 + 4381.50ns INFO [00004383] * RD COMPARE * port=0 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4382.50ns INFO [00004384] * RD COMPARE * port=0 adr=07 act=113EB4A9A95926C3BA exp=113EB4A9A95926C3BA + 4382.50ns INFO [00004384] Port=0 WR @02=3C65C28FA5ED56F6A3 + 4382.50ns INFO [00004384] Port=1 RD @01 + 4384.50ns INFO [00004386] * RD COMPARE * port=1 adr=01 act=ECC03598B5D139B4C3 exp=ECC03598B5D139B4C3 + 4384.50ns INFO [00004386] Port=1 RD @05 + 4386.50ns INFO [00004388] * RD COMPARE * port=1 adr=05 act=C7FA5B4982556ACA4D exp=C7FA5B4982556ACA4D + 4386.50ns INFO [00004388] Port=0 RD @04 + 4386.50ns INFO [00004388] Port=1 RD @03 + 4387.50ns INFO [00004389] Port=1 RD @07 + 4388.50ns INFO [00004390] * RD COMPARE * port=0 adr=04 act=D55CD2D7B41B623D22 exp=D55CD2D7B41B623D22 + 4388.50ns INFO [00004390] * RD COMPARE * port=1 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4388.50ns INFO [00004390] Port=1 RD @04 + 4389.50ns INFO [00004391] * RD COMPARE * port=1 adr=07 act=113EB4A9A95926C3BA exp=113EB4A9A95926C3BA + 4389.50ns INFO [00004391] Port=0 RD @00 + 4389.50ns INFO [00004391] Port=1 RD @00 + 4390.50ns INFO [00004392] * RD COMPARE * port=1 adr=04 act=D55CD2D7B41B623D22 exp=D55CD2D7B41B623D22 + 4390.50ns INFO [00004392] Port=0 WR @00=760DC2D0550D619AF2 + 4391.50ns INFO [00004393] * RD COMPARE * port=0 adr=00 act=A54B59165F8308B2FF exp=A54B59165F8308B2FF + 4391.50ns INFO [00004393] * RD COMPARE * port=1 adr=00 act=A54B59165F8308B2FF exp=A54B59165F8308B2FF + 4392.50ns INFO [00004394] Port=0 WR @04=83EB2E517B261BDE63 + 4392.50ns INFO [00004394] Port=0 RD @02 + 4393.50ns INFO [00004395] Port=0 RD @04 + 4394.50ns INFO [00004396] * RD COMPARE * port=0 adr=02 act=3C65C28FA5ED56F6A3 exp=3C65C28FA5ED56F6A3 + 4394.50ns INFO [00004396] Port=1 RD @04 + 4395.50ns INFO [00004397] * RD COMPARE * port=0 adr=04 act=83EB2E517B261BDE63 exp=83EB2E517B261BDE63 + 4396.50ns INFO [00004398] * RD COMPARE * port=1 adr=04 act=83EB2E517B261BDE63 exp=83EB2E517B261BDE63 + 4396.50ns INFO [00004398] Port=1 RD @00 + 4398.00ns INFO [00004400] [00004400] ...tick... + 4398.50ns INFO [00004400] * RD COMPARE * port=1 adr=00 act=760DC2D0550D619AF2 exp=760DC2D0550D619AF2 + 4399.50ns INFO [00004401] Port=0 WR @00=F4BB3A7301AC517658 + 4399.50ns INFO [00004401] Port=0 RD @07 + 4399.50ns INFO [00004401] Port=1 RD @06 + 4401.50ns INFO [00004403] * RD COMPARE * port=0 adr=07 act=113EB4A9A95926C3BA exp=113EB4A9A95926C3BA + 4401.50ns INFO [00004403] * RD COMPARE * port=1 adr=06 act=7F2720FCA6277F1B8C exp=7F2720FCA6277F1B8C + 4401.50ns INFO [00004403] Port=0 WR @07=2EB6E3B2D158E306AA + 4402.50ns INFO [00004404] Port=1 RD @02 + 4404.50ns INFO [00004406] * RD COMPARE * port=1 adr=02 act=3C65C28FA5ED56F6A3 exp=3C65C28FA5ED56F6A3 + 4404.50ns INFO [00004406] Port=0 RD @06 + 4405.50ns INFO [00004407] Port=0 WR @04=4BE2BCAAFAE5491719 + 4405.50ns INFO [00004407] Port=1 RD @03 + 4406.50ns INFO [00004408] * RD COMPARE * port=0 adr=06 act=7F2720FCA6277F1B8C exp=7F2720FCA6277F1B8C + 4406.50ns INFO [00004408] Port=0 WR @00=855A87424D61F125A1 + 4407.50ns INFO [00004409] * RD COMPARE * port=1 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4407.50ns INFO [00004409] Port=1 RD @04 + 4408.50ns INFO [00004410] Port=0 WR @02=20B64DC389825B0517 + 4408.50ns INFO [00004410] Port=1 RD @03 + 4409.50ns INFO [00004411] * RD COMPARE * port=1 adr=04 act=4BE2BCAAFAE5491719 exp=4BE2BCAAFAE5491719 + 4410.50ns INFO [00004412] * RD COMPARE * port=1 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4410.50ns INFO [00004412] Port=0 RD @04 + 4411.50ns INFO [00004413] Port=0 RD @05 + 4412.50ns INFO [00004414] * RD COMPARE * port=0 adr=04 act=4BE2BCAAFAE5491719 exp=4BE2BCAAFAE5491719 + 4412.50ns INFO [00004414] Port=0 WR @04=9731866EC1296DC0FF + 4412.50ns INFO [00004414] Port=1 RD @01 + 4413.50ns INFO [00004415] * RD COMPARE * port=0 adr=05 act=C7FA5B4982556ACA4D exp=C7FA5B4982556ACA4D + 4414.50ns INFO [00004416] * RD COMPARE * port=1 adr=01 act=ECC03598B5D139B4C3 exp=ECC03598B5D139B4C3 + 4414.50ns INFO [00004416] Port=0 WR @04=CD28FFCCDF6C824EB3 + 4414.50ns INFO [00004416] Port=0 RD @00 + 4415.50ns INFO [00004417] Port=1 RD @06 + 4416.50ns INFO [00004418] * RD COMPARE * port=0 adr=00 act=855A87424D61F125A1 exp=855A87424D61F125A1 + 4416.50ns INFO [00004418] Port=0 WR @02=FDDFCC0CAD1E1B3188 + 4417.50ns INFO [00004419] * RD COMPARE * port=1 adr=06 act=7F2720FCA6277F1B8C exp=7F2720FCA6277F1B8C + 4417.50ns INFO [00004419] Port=0 WR @05=23B86C351A9519A5E7 + 4417.50ns INFO [00004419] Port=0 RD @06 + 4419.50ns INFO [00004421] * RD COMPARE * port=0 adr=06 act=7F2720FCA6277F1B8C exp=7F2720FCA6277F1B8C + 4419.50ns INFO [00004421] Port=0 WR @02=621F97AD7FA1F06420 + 4419.50ns INFO [00004421] Port=0 RD @04 + 4420.50ns INFO [00004422] Port=0 WR @05=6E8C5DC78947609EDA + 4421.50ns INFO [00004423] * RD COMPARE * port=0 adr=04 act=CD28FFCCDF6C824EB3 exp=CD28FFCCDF6C824EB3 + 4421.50ns INFO [00004423] Port=0 WR @01=9D9117165FFE65FAFD + 4421.50ns INFO [00004423] Port=0 RD @02 + 4421.50ns INFO [00004423] Port=1 RD @07 + 4422.50ns INFO [00004424] Port=0 WR @05=99F4D793DA96F357DA + 4423.50ns INFO [00004425] * RD COMPARE * port=0 adr=02 act=621F97AD7FA1F06420 exp=621F97AD7FA1F06420 + 4423.50ns INFO [00004425] * RD COMPARE * port=1 adr=07 act=2EB6E3B2D158E306AA exp=2EB6E3B2D158E306AA + 4423.50ns INFO [00004425] Port=0 RD @05 + 4423.50ns INFO [00004425] Port=1 RD @04 + 4425.50ns INFO [00004427] * RD COMPARE * port=0 adr=05 act=99F4D793DA96F357DA exp=99F4D793DA96F357DA + 4425.50ns INFO [00004427] * RD COMPARE * port=1 adr=04 act=CD28FFCCDF6C824EB3 exp=CD28FFCCDF6C824EB3 + 4425.50ns INFO [00004427] Port=0 WR @07=E67558CEB2D13D9E33 + 4425.50ns INFO [00004427] Port=0 RD @00 + 4427.50ns INFO [00004429] * RD COMPARE * port=0 adr=00 act=855A87424D61F125A1 exp=855A87424D61F125A1 + 4427.50ns INFO [00004429] Port=1 RD @04 + 4428.50ns INFO [00004430] Port=0 WR @02=BE5E59C9AAED4D64AA + 4428.50ns INFO [00004430] Port=0 RD @07 + 4429.50ns INFO [00004431] * RD COMPARE * port=1 adr=04 act=CD28FFCCDF6C824EB3 exp=CD28FFCCDF6C824EB3 + 4429.50ns INFO [00004431] Port=0 RD @02 + 4430.50ns INFO [00004432] * RD COMPARE * port=0 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4430.50ns INFO [00004432] Port=0 WR @00=BE6588748389601C69 + 4431.50ns INFO [00004433] * RD COMPARE * port=0 adr=02 act=BE5E59C9AAED4D64AA exp=BE5E59C9AAED4D64AA + 4432.50ns INFO [00004434] Port=0 RD @03 + 4432.50ns INFO [00004434] Port=1 RD @04 + 4433.50ns INFO [00004435] Port=0 WR @04=B42522C383157D84E3 + 4434.50ns INFO [00004436] * RD COMPARE * port=0 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4434.50ns INFO [00004436] * RD COMPARE * port=1 adr=04 act=CD28FFCCDF6C824EB3 exp=CD28FFCCDF6C824EB3 + 4434.50ns INFO [00004436] Port=0 WR @00=0552168712DAA2FDFC + 4434.50ns INFO [00004436] Port=0 RD @04 + 4435.50ns INFO [00004437] Port=0 WR @05=0AB7CFD07B0419D1CF + 4436.50ns INFO [00004438] * RD COMPARE * port=0 adr=04 act=B42522C383157D84E3 exp=B42522C383157D84E3 + 4438.50ns INFO [00004440] Port=0 WR @01=246892D8F4179D93E8 + 4438.50ns INFO [00004440] Port=0 RD @03 + 4439.50ns INFO [00004441] Port=0 RD @03 + 4439.50ns INFO [00004441] Port=1 RD @00 + 4440.50ns INFO [00004442] * RD COMPARE * port=0 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4441.50ns INFO [00004443] * RD COMPARE * port=0 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4441.50ns INFO [00004443] * RD COMPARE * port=1 adr=00 act=0552168712DAA2FDFC exp=0552168712DAA2FDFC + 4441.50ns INFO [00004443] Port=0 RD @03 + 4441.50ns INFO [00004443] Port=1 RD @02 + 4443.50ns INFO [00004445] * RD COMPARE * port=0 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4443.50ns INFO [00004445] * RD COMPARE * port=1 adr=02 act=BE5E59C9AAED4D64AA exp=BE5E59C9AAED4D64AA + 4443.50ns INFO [00004445] Port=0 WR @04=DA229052E28A51B9C2 + 4444.50ns INFO [00004446] Port=0 WR @05=234813536C27F0DDE3 + 4444.50ns INFO [00004446] Port=0 RD @00 + 4445.50ns INFO [00004447] Port=1 RD @06 + 4446.50ns INFO [00004448] * RD COMPARE * port=0 adr=00 act=0552168712DAA2FDFC exp=0552168712DAA2FDFC + 4447.50ns INFO [00004449] * RD COMPARE * port=1 adr=06 act=7F2720FCA6277F1B8C exp=7F2720FCA6277F1B8C + 4447.50ns INFO [00004449] Port=0 WR @00=27F60239EABA6D4146 + 4448.50ns INFO [00004450] Port=0 WR @01=466EA15B8490A5957E + 4448.50ns INFO [00004450] Port=0 RD @03 + 4449.50ns INFO [00004451] Port=0 WR @00=9EEA180A5E8A1FFB09 + 4450.50ns INFO [00004452] * RD COMPARE * port=0 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4450.50ns INFO [00004452] Port=1 RD @05 + 4451.50ns INFO [00004453] Port=0 RD @04 + 4451.50ns INFO [00004453] Port=1 RD @00 + 4452.50ns INFO [00004454] * RD COMPARE * port=1 adr=05 act=234813536C27F0DDE3 exp=234813536C27F0DDE3 + 4453.50ns INFO [00004455] * RD COMPARE * port=0 adr=04 act=DA229052E28A51B9C2 exp=DA229052E28A51B9C2 + 4453.50ns INFO [00004455] * RD COMPARE * port=1 adr=00 act=9EEA180A5E8A1FFB09 exp=9EEA180A5E8A1FFB09 + 4454.50ns INFO [00004456] Port=0 RD @05 + 4454.50ns INFO [00004456] Port=1 RD @03 + 4455.50ns INFO [00004457] Port=0 RD @06 + 4455.50ns INFO [00004457] Port=1 RD @02 + 4456.50ns INFO [00004458] * RD COMPARE * port=0 adr=05 act=234813536C27F0DDE3 exp=234813536C27F0DDE3 + 4456.50ns INFO [00004458] * RD COMPARE * port=1 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4456.50ns INFO [00004458] Port=1 RD @07 + 4457.50ns INFO [00004459] * RD COMPARE * port=0 adr=06 act=7F2720FCA6277F1B8C exp=7F2720FCA6277F1B8C + 4457.50ns INFO [00004459] * RD COMPARE * port=1 adr=02 act=BE5E59C9AAED4D64AA exp=BE5E59C9AAED4D64AA + 4457.50ns INFO [00004459] Port=0 WR @01=E20427E5DF4A50EB50 + 4458.50ns INFO [00004460] * RD COMPARE * port=1 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4460.50ns INFO [00004462] Port=1 RD @05 + 4461.50ns INFO [00004463] Port=0 WR @02=392EA46BD6D0BED2C5 + 4461.50ns INFO [00004463] Port=0 RD @03 + 4462.50ns INFO [00004464] * RD COMPARE * port=1 adr=05 act=234813536C27F0DDE3 exp=234813536C27F0DDE3 + 4462.50ns INFO [00004464] Port=0 RD @00 + 4463.50ns INFO [00004465] * RD COMPARE * port=0 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4464.50ns INFO [00004466] * RD COMPARE * port=0 adr=00 act=9EEA180A5E8A1FFB09 exp=9EEA180A5E8A1FFB09 + 4464.50ns INFO [00004466] Port=1 RD @03 + 4465.50ns INFO [00004467] Port=0 WR @06=35D864DC0B5A4B5FFB + 4465.50ns INFO [00004467] Port=0 RD @01 + 4466.50ns INFO [00004468] * RD COMPARE * port=1 adr=03 act=F17FF1F1184CC02807 exp=F17FF1F1184CC02807 + 4466.50ns INFO [00004468] Port=0 WR @03=E9BC9E26607F9079CF + 4467.50ns INFO [00004469] * RD COMPARE * port=0 adr=01 act=E20427E5DF4A50EB50 exp=E20427E5DF4A50EB50 + 4467.50ns INFO [00004469] Port=0 WR @03=8D3F1BB232361854A4 + 4468.50ns INFO [00004470] Port=0 RD @06 + 4469.50ns INFO [00004471] Port=0 RD @01 + 4470.50ns INFO [00004472] * RD COMPARE * port=0 adr=06 act=35D864DC0B5A4B5FFB exp=35D864DC0B5A4B5FFB + 4470.50ns INFO [00004472] Port=1 RD @00 + 4471.50ns INFO [00004473] * RD COMPARE * port=0 adr=01 act=E20427E5DF4A50EB50 exp=E20427E5DF4A50EB50 + 4471.50ns INFO [00004473] Port=1 RD @02 + 4472.50ns INFO [00004474] * RD COMPARE * port=1 adr=00 act=9EEA180A5E8A1FFB09 exp=9EEA180A5E8A1FFB09 + 4473.50ns INFO [00004475] * RD COMPARE * port=1 adr=02 act=392EA46BD6D0BED2C5 exp=392EA46BD6D0BED2C5 + 4473.50ns INFO [00004475] Port=0 RD @02 + 4474.50ns INFO [00004476] Port=0 WR @01=7F72BD5F77C65F4D1D + 4475.50ns INFO [00004477] * RD COMPARE * port=0 adr=02 act=392EA46BD6D0BED2C5 exp=392EA46BD6D0BED2C5 + 4475.50ns INFO [00004477] Port=1 RD @07 + 4476.50ns INFO [00004478] Port=0 RD @05 + 4476.50ns INFO [00004478] Port=1 RD @07 + 4477.50ns INFO [00004479] * RD COMPARE * port=1 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4477.50ns INFO [00004479] Port=0 WR @01=8583E49CF4AC5059FB + 4478.50ns INFO [00004480] * RD COMPARE * port=0 adr=05 act=234813536C27F0DDE3 exp=234813536C27F0DDE3 + 4478.50ns INFO [00004480] * RD COMPARE * port=1 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4479.50ns INFO [00004481] Port=0 RD @02 + 4480.50ns INFO [00004482] Port=0 WR @02=741227CB0F4771A063 + 4480.50ns INFO [00004482] Port=0 RD @07 + 4481.50ns INFO [00004483] * RD COMPARE * port=0 adr=02 act=392EA46BD6D0BED2C5 exp=392EA46BD6D0BED2C5 + 4481.50ns INFO [00004483] Port=0 RD @04 + 4482.50ns INFO [00004484] * RD COMPARE * port=0 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4482.50ns INFO [00004484] Port=0 RD @06 + 4483.50ns INFO [00004485] * RD COMPARE * port=0 adr=04 act=DA229052E28A51B9C2 exp=DA229052E28A51B9C2 + 4483.50ns INFO [00004485] Port=0 WR @05=02CFDBB55EEB98B50D + 4483.50ns INFO [00004485] Port=0 RD @03 + 4484.50ns INFO [00004486] * RD COMPARE * port=0 adr=06 act=35D864DC0B5A4B5FFB exp=35D864DC0B5A4B5FFB + 4484.50ns INFO [00004486] Port=1 RD @04 + 4485.50ns INFO [00004487] * RD COMPARE * port=0 adr=03 act=8D3F1BB232361854A4 exp=8D3F1BB232361854A4 + 4486.50ns INFO [00004488] * RD COMPARE * port=1 adr=04 act=DA229052E28A51B9C2 exp=DA229052E28A51B9C2 + 4486.50ns INFO [00004488] Port=0 WR @05=ED9B49E9CF9FBE882B + 4486.50ns INFO [00004488] Port=1 RD @04 + 4487.50ns INFO [00004489] Port=0 WR @05=4C656814DD7B48AB89 + 4487.50ns INFO [00004489] Port=0 RD @06 + 4487.50ns INFO [00004489] Port=1 RD @03 + 4488.50ns INFO [00004490] * RD COMPARE * port=1 adr=04 act=DA229052E28A51B9C2 exp=DA229052E28A51B9C2 + 4488.50ns INFO [00004490] Port=0 RD @03 + 4489.50ns INFO [00004491] * RD COMPARE * port=0 adr=06 act=35D864DC0B5A4B5FFB exp=35D864DC0B5A4B5FFB + 4489.50ns INFO [00004491] * RD COMPARE * port=1 adr=03 act=8D3F1BB232361854A4 exp=8D3F1BB232361854A4 + 4490.50ns INFO [00004492] * RD COMPARE * port=0 adr=03 act=8D3F1BB232361854A4 exp=8D3F1BB232361854A4 + 4493.50ns INFO [00004495] Port=0 RD @01 + 4493.50ns INFO [00004495] Port=1 RD @03 + 4494.50ns INFO [00004496] Port=1 RD @01 + 4495.50ns INFO [00004497] * RD COMPARE * port=0 adr=01 act=8583E49CF4AC5059FB exp=8583E49CF4AC5059FB + 4495.50ns INFO [00004497] * RD COMPARE * port=1 adr=03 act=8D3F1BB232361854A4 exp=8D3F1BB232361854A4 + 4496.50ns INFO [00004498] * RD COMPARE * port=1 adr=01 act=8583E49CF4AC5059FB exp=8583E49CF4AC5059FB + 4497.50ns INFO [00004499] Port=0 RD @04 + 4498.00ns INFO [00004500] [00004500] ...tick... + 4498.50ns INFO [00004500] Port=1 RD @00 + 4499.50ns INFO [00004501] * RD COMPARE * port=0 adr=04 act=DA229052E28A51B9C2 exp=DA229052E28A51B9C2 + 4499.50ns INFO [00004501] Port=0 WR @01=2BD2CFCF414B9B49FB + 4500.50ns INFO [00004502] * RD COMPARE * port=1 adr=00 act=9EEA180A5E8A1FFB09 exp=9EEA180A5E8A1FFB09 + 4501.50ns INFO [00004503] Port=0 RD @02 + 4503.50ns INFO [00004505] * RD COMPARE * port=0 adr=02 act=741227CB0F4771A063 exp=741227CB0F4771A063 + 4503.50ns INFO [00004505] Port=0 RD @00 + 4504.50ns INFO [00004506] Port=1 RD @04 + 4505.50ns INFO [00004507] * RD COMPARE * port=0 adr=00 act=9EEA180A5E8A1FFB09 exp=9EEA180A5E8A1FFB09 + 4505.50ns INFO [00004507] Port=0 WR @02=10D79AFC6713D21246 + 4505.50ns INFO [00004507] Port=0 RD @07 + 4505.50ns INFO [00004507] Port=1 RD @00 + 4506.50ns INFO [00004508] * RD COMPARE * port=1 adr=04 act=DA229052E28A51B9C2 exp=DA229052E28A51B9C2 + 4506.50ns INFO [00004508] Port=0 WR @03=30EB196CC4A844DF11 + 4507.50ns INFO [00004509] * RD COMPARE * port=0 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4507.50ns INFO [00004509] * RD COMPARE * port=1 adr=00 act=9EEA180A5E8A1FFB09 exp=9EEA180A5E8A1FFB09 + 4507.50ns INFO [00004509] Port=0 WR @00=19E7FC65C365C02766 + 4507.50ns INFO [00004509] Port=0 RD @02 + 4509.50ns INFO [00004511] * RD COMPARE * port=0 adr=02 act=10D79AFC6713D21246 exp=10D79AFC6713D21246 + 4509.50ns INFO [00004511] Port=1 RD @07 + 4510.50ns INFO [00004512] Port=0 WR @04=E83E5E25F239B34359 + 4511.50ns INFO [00004513] * RD COMPARE * port=1 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4511.50ns INFO [00004513] Port=0 WR @04=4FBF7DA700012B5234 + 4513.50ns INFO [00004515] Port=1 RD @00 + 4514.50ns INFO [00004516] Port=0 WR @00=B0FACD67C6CF499B34 + 4514.50ns INFO [00004516] Port=1 RD @06 + 4515.50ns INFO [00004517] * RD COMPARE * port=1 adr=00 act=19E7FC65C365C02766 exp=19E7FC65C365C02766 + 4516.50ns INFO [00004518] * RD COMPARE * port=1 adr=06 act=35D864DC0B5A4B5FFB exp=35D864DC0B5A4B5FFB + 4516.50ns INFO [00004518] Port=0 WR @00=7AD9E55F5FB52531A2 + 4516.50ns INFO [00004518] Port=0 RD @07 + 4518.50ns INFO [00004520] * RD COMPARE * port=0 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4518.50ns INFO [00004520] Port=0 WR @00=1831068EBAEEE27AA7 + 4518.50ns INFO [00004520] Port=1 RD @01 + 4519.50ns INFO [00004521] Port=1 RD @04 + 4520.50ns INFO [00004522] * RD COMPARE * port=1 adr=01 act=2BD2CFCF414B9B49FB exp=2BD2CFCF414B9B49FB + 4520.50ns INFO [00004522] Port=0 WR @06=7FD7839E77C0FDBB20 + 4521.50ns INFO [00004523] * RD COMPARE * port=1 adr=04 act=4FBF7DA700012B5234 exp=4FBF7DA700012B5234 + 4521.50ns INFO [00004523] Port=0 WR @05=FE46F82F5CFBC88706 + 4521.50ns INFO [00004523] Port=0 RD @00 + 4521.50ns INFO [00004523] Port=1 RD @04 + 4522.50ns INFO [00004524] Port=0 WR @01=9A37BF2560EBC85CEA + 4522.50ns INFO [00004524] Port=0 RD @03 + 4523.50ns INFO [00004525] * RD COMPARE * port=0 adr=00 act=1831068EBAEEE27AA7 exp=1831068EBAEEE27AA7 + 4523.50ns INFO [00004525] * RD COMPARE * port=1 adr=04 act=4FBF7DA700012B5234 exp=4FBF7DA700012B5234 + 4523.50ns INFO [00004525] Port=0 RD @04 + 4523.50ns INFO [00004525] Port=1 RD @01 + 4524.50ns INFO [00004526] * RD COMPARE * port=0 adr=03 act=30EB196CC4A844DF11 exp=30EB196CC4A844DF11 + 4524.50ns INFO [00004526] Port=1 RD @06 + 4525.50ns INFO [00004527] * RD COMPARE * port=0 adr=04 act=4FBF7DA700012B5234 exp=4FBF7DA700012B5234 + 4525.50ns INFO [00004527] * RD COMPARE * port=1 adr=01 act=9A37BF2560EBC85CEA exp=9A37BF2560EBC85CEA + 4525.50ns INFO [00004527] Port=0 WR @05=D8C17750E9D5DC064D + 4525.50ns INFO [00004527] Port=0 RD @00 + 4526.50ns INFO [00004528] * RD COMPARE * port=1 adr=06 act=7FD7839E77C0FDBB20 exp=7FD7839E77C0FDBB20 + 4526.50ns INFO [00004528] Port=0 WR @01=94C587ED57A62E2FD4 + 4526.50ns INFO [00004528] Port=0 RD @07 + 4526.50ns INFO [00004528] Port=1 RD @04 + 4527.50ns INFO [00004529] * RD COMPARE * port=0 adr=00 act=1831068EBAEEE27AA7 exp=1831068EBAEEE27AA7 + 4527.50ns INFO [00004529] Port=0 RD @03 + 4528.50ns INFO [00004530] * RD COMPARE * port=0 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4528.50ns INFO [00004530] * RD COMPARE * port=1 adr=04 act=4FBF7DA700012B5234 exp=4FBF7DA700012B5234 + 4528.50ns INFO [00004530] Port=1 RD @06 + 4529.50ns INFO [00004531] * RD COMPARE * port=0 adr=03 act=30EB196CC4A844DF11 exp=30EB196CC4A844DF11 + 4529.50ns INFO [00004531] Port=0 RD @02 + 4529.50ns INFO [00004531] Port=1 RD @06 + 4530.50ns INFO [00004532] * RD COMPARE * port=1 adr=06 act=7FD7839E77C0FDBB20 exp=7FD7839E77C0FDBB20 + 4530.50ns INFO [00004532] Port=0 RD @01 + 4531.50ns INFO [00004533] * RD COMPARE * port=0 adr=02 act=10D79AFC6713D21246 exp=10D79AFC6713D21246 + 4531.50ns INFO [00004533] * RD COMPARE * port=1 adr=06 act=7FD7839E77C0FDBB20 exp=7FD7839E77C0FDBB20 + 4531.50ns INFO [00004533] Port=0 WR @03=03503FB99A580C1D46 + 4531.50ns INFO [00004533] Port=1 RD @06 + 4532.50ns INFO [00004534] * RD COMPARE * port=0 adr=01 act=94C587ED57A62E2FD4 exp=94C587ED57A62E2FD4 + 4533.50ns INFO [00004535] * RD COMPARE * port=1 adr=06 act=7FD7839E77C0FDBB20 exp=7FD7839E77C0FDBB20 + 4533.50ns INFO [00004535] Port=0 WR @04=5D3039D8073A8C3A24 + 4534.50ns INFO [00004536] Port=0 WR @05=7BA4B45634AFDBBA26 + 4535.50ns INFO [00004537] Port=0 WR @00=715733B312B1528D06 + 4536.50ns INFO [00004538] Port=0 WR @06=D541B4CF4A95D5159E + 4536.50ns INFO [00004538] Port=0 RD @03 + 4536.50ns INFO [00004538] Port=1 RD @05 + 4538.50ns INFO [00004540] * RD COMPARE * port=0 adr=03 act=03503FB99A580C1D46 exp=03503FB99A580C1D46 + 4538.50ns INFO [00004540] * RD COMPARE * port=1 adr=05 act=7BA4B45634AFDBBA26 exp=7BA4B45634AFDBBA26 + 4539.50ns INFO [00004541] Port=1 RD @05 + 4540.50ns INFO [00004542] Port=1 RD @04 + 4541.50ns INFO [00004543] * RD COMPARE * port=1 adr=05 act=7BA4B45634AFDBBA26 exp=7BA4B45634AFDBBA26 + 4541.50ns INFO [00004543] Port=0 WR @00=C6714238F5961ED0BB + 4541.50ns INFO [00004543] Port=1 RD @01 + 4542.50ns INFO [00004544] * RD COMPARE * port=1 adr=04 act=5D3039D8073A8C3A24 exp=5D3039D8073A8C3A24 + 4543.50ns INFO [00004545] * RD COMPARE * port=1 adr=01 act=94C587ED57A62E2FD4 exp=94C587ED57A62E2FD4 + 4543.50ns INFO [00004545] Port=1 RD @03 + 4544.50ns INFO [00004546] Port=0 WR @04=02CEBC89BFF3CE8A72 + 4545.50ns INFO [00004547] * RD COMPARE * port=1 adr=03 act=03503FB99A580C1D46 exp=03503FB99A580C1D46 + 4545.50ns INFO [00004547] Port=0 WR @06=3B9771351F006A0AB2 + 4545.50ns INFO [00004547] Port=0 RD @07 + 4547.50ns INFO [00004549] * RD COMPARE * port=0 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4547.50ns INFO [00004549] Port=0 RD @07 + 4548.50ns INFO [00004550] Port=1 RD @06 + 4549.50ns INFO [00004551] * RD COMPARE * port=0 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4549.50ns INFO [00004551] Port=0 RD @06 + 4550.50ns INFO [00004552] * RD COMPARE * port=1 adr=06 act=3B9771351F006A0AB2 exp=3B9771351F006A0AB2 + 4550.50ns INFO [00004552] Port=1 RD @01 + 4551.50ns INFO [00004553] * RD COMPARE * port=0 adr=06 act=3B9771351F006A0AB2 exp=3B9771351F006A0AB2 + 4551.50ns INFO [00004553] Port=0 WR @05=B7FC160F786FBD6D4D + 4551.50ns INFO [00004553] Port=1 RD @02 + 4552.50ns INFO [00004554] * RD COMPARE * port=1 adr=01 act=94C587ED57A62E2FD4 exp=94C587ED57A62E2FD4 + 4552.50ns INFO [00004554] Port=1 RD @00 + 4553.50ns INFO [00004555] * RD COMPARE * port=1 adr=02 act=10D79AFC6713D21246 exp=10D79AFC6713D21246 + 4553.50ns INFO [00004555] Port=0 WR @00=6C088DE3F1A9FF9330 + 4553.50ns INFO [00004555] Port=1 RD @04 + 4554.50ns INFO [00004556] * RD COMPARE * port=1 adr=00 act=C6714238F5961ED0BB exp=C6714238F5961ED0BB + 4554.50ns INFO [00004556] Port=0 RD @03 + 4554.50ns INFO [00004556] Port=1 RD @01 + 4555.50ns INFO [00004557] * RD COMPARE * port=1 adr=04 act=02CEBC89BFF3CE8A72 exp=02CEBC89BFF3CE8A72 + 4555.50ns INFO [00004557] Port=0 RD @03 + 4556.50ns INFO [00004558] * RD COMPARE * port=0 adr=03 act=03503FB99A580C1D46 exp=03503FB99A580C1D46 + 4556.50ns INFO [00004558] * RD COMPARE * port=1 adr=01 act=94C587ED57A62E2FD4 exp=94C587ED57A62E2FD4 + 4557.50ns INFO [00004559] * RD COMPARE * port=0 adr=03 act=03503FB99A580C1D46 exp=03503FB99A580C1D46 + 4557.50ns INFO [00004559] Port=0 WR @03=9C6BE3C7310D646E5A + 4557.50ns INFO [00004559] Port=0 RD @05 + 4558.50ns INFO [00004560] Port=0 RD @01 + 4559.50ns INFO [00004561] * RD COMPARE * port=0 adr=05 act=B7FC160F786FBD6D4D exp=B7FC160F786FBD6D4D + 4559.50ns INFO [00004561] Port=1 RD @05 + 4560.50ns INFO [00004562] * RD COMPARE * port=0 adr=01 act=94C587ED57A62E2FD4 exp=94C587ED57A62E2FD4 + 4560.50ns INFO [00004562] Port=1 RD @01 + 4561.50ns INFO [00004563] * RD COMPARE * port=1 adr=05 act=B7FC160F786FBD6D4D exp=B7FC160F786FBD6D4D + 4561.50ns INFO [00004563] Port=0 RD @02 + 4561.50ns INFO [00004563] Port=1 RD @07 + 4562.50ns INFO [00004564] * RD COMPARE * port=1 adr=01 act=94C587ED57A62E2FD4 exp=94C587ED57A62E2FD4 + 4563.50ns INFO [00004565] * RD COMPARE * port=0 adr=02 act=10D79AFC6713D21246 exp=10D79AFC6713D21246 + 4563.50ns INFO [00004565] * RD COMPARE * port=1 adr=07 act=E67558CEB2D13D9E33 exp=E67558CEB2D13D9E33 + 4563.50ns INFO [00004565] Port=0 WR @03=EEB235D8BB805B3F5D + 4563.50ns INFO [00004565] Port=1 RD @06 + 4565.50ns INFO [00004567] * RD COMPARE * port=1 adr=06 act=3B9771351F006A0AB2 exp=3B9771351F006A0AB2 + 4565.50ns INFO [00004567] Port=0 WR @01=EEA04244C4CF7A21FD + 4565.50ns INFO [00004567] Port=0 RD @00 + 4566.50ns INFO [00004568] Port=0 RD @03 + 4567.50ns INFO [00004569] * RD COMPARE * port=0 adr=00 act=6C088DE3F1A9FF9330 exp=6C088DE3F1A9FF9330 + 4567.50ns INFO [00004569] Port=0 WR @07=3979D59354AC5767FE + 4567.50ns INFO [00004569] Port=1 RD @05 + 4568.50ns INFO [00004570] * RD COMPARE * port=0 adr=03 act=EEB235D8BB805B3F5D exp=EEB235D8BB805B3F5D + 4568.50ns INFO [00004570] Port=0 RD @02 + 4568.50ns INFO [00004570] Port=1 RD @04 + 4569.50ns INFO [00004571] * RD COMPARE * port=1 adr=05 act=B7FC160F786FBD6D4D exp=B7FC160F786FBD6D4D + 4569.50ns INFO [00004571] Port=0 WR @06=D2EBC9A0DCE3837B1B + 4569.50ns INFO [00004571] Port=1 RD @04 + 4570.50ns INFO [00004572] * RD COMPARE * port=0 adr=02 act=10D79AFC6713D21246 exp=10D79AFC6713D21246 + 4570.50ns INFO [00004572] * RD COMPARE * port=1 adr=04 act=02CEBC89BFF3CE8A72 exp=02CEBC89BFF3CE8A72 + 4570.50ns INFO [00004572] Port=0 WR @00=684FFAED33A2A97C87 + 4570.50ns INFO [00004572] Port=1 RD @04 + 4571.50ns INFO [00004573] * RD COMPARE * port=1 adr=04 act=02CEBC89BFF3CE8A72 exp=02CEBC89BFF3CE8A72 + 4571.50ns INFO [00004573] Port=0 WR @02=0B8D8DCBA937619E4D + 4572.50ns INFO [00004574] * RD COMPARE * port=1 adr=04 act=02CEBC89BFF3CE8A72 exp=02CEBC89BFF3CE8A72 + 4572.50ns INFO [00004574] Port=0 WR @00=544995CF2A3A28DA43 + 4573.50ns INFO [00004575] Port=0 RD @05 + 4574.50ns INFO [00004576] Port=0 WR @04=6E93FDDF1C7F6186A3 + 4574.50ns INFO [00004576] Port=1 RD @06 + 4575.50ns INFO [00004577] * RD COMPARE * port=0 adr=05 act=B7FC160F786FBD6D4D exp=B7FC160F786FBD6D4D + 4576.50ns INFO [00004578] * RD COMPARE * port=1 adr=06 act=D2EBC9A0DCE3837B1B exp=D2EBC9A0DCE3837B1B + 4576.50ns INFO [00004578] Port=0 WR @06=A81C6F6B52BEC1D6B7 + 4576.50ns INFO [00004578] Port=1 RD @05 + 4577.50ns INFO [00004579] Port=0 WR @07=A98736BEE5E429E56A + 4577.50ns INFO [00004579] Port=1 RD @05 + 4578.50ns INFO [00004580] * RD COMPARE * port=1 adr=05 act=B7FC160F786FBD6D4D exp=B7FC160F786FBD6D4D + 4578.50ns INFO [00004580] Port=0 WR @04=F526B3848BCFFB0D17 + 4578.50ns INFO [00004580] Port=0 RD @05 + 4578.50ns INFO [00004580] Port=1 RD @05 + 4579.50ns INFO [00004581] * RD COMPARE * port=1 adr=05 act=B7FC160F786FBD6D4D exp=B7FC160F786FBD6D4D + 4579.50ns INFO [00004581] Port=0 WR @04=A817A625A9B66EAB1F + 4579.50ns INFO [00004581] Port=0 RD @07 + 4580.50ns INFO [00004582] * RD COMPARE * port=0 adr=05 act=B7FC160F786FBD6D4D exp=B7FC160F786FBD6D4D + 4580.50ns INFO [00004582] * RD COMPARE * port=1 adr=05 act=B7FC160F786FBD6D4D exp=B7FC160F786FBD6D4D + 4580.50ns INFO [00004582] Port=0 WR @02=D3F3B720B3C0CB2216 + 4580.50ns INFO [00004582] Port=0 RD @06 + 4581.50ns INFO [00004583] * RD COMPARE * port=0 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4581.50ns INFO [00004583] Port=0 RD @07 + 4582.50ns INFO [00004584] * RD COMPARE * port=0 adr=06 act=A81C6F6B52BEC1D6B7 exp=A81C6F6B52BEC1D6B7 + 4582.50ns INFO [00004584] Port=1 RD @02 + 4583.50ns INFO [00004585] * RD COMPARE * port=0 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4583.50ns INFO [00004585] Port=0 WR @06=87D6FCD7D0EB7C38C8 + 4583.50ns INFO [00004585] Port=0 RD @01 + 4584.50ns INFO [00004586] * RD COMPARE * port=1 adr=02 act=D3F3B720B3C0CB2216 exp=D3F3B720B3C0CB2216 + 4585.50ns INFO [00004587] * RD COMPARE * port=0 adr=01 act=EEA04244C4CF7A21FD exp=EEA04244C4CF7A21FD + 4586.50ns INFO [00004588] Port=0 WR @06=34453E5A5FE2573EBA + 4588.50ns INFO [00004590] Port=0 RD @01 + 4588.50ns INFO [00004590] Port=1 RD @00 + 4589.50ns INFO [00004591] Port=0 WR @05=52C8D769CFE409238A + 4589.50ns INFO [00004591] Port=0 RD @07 + 4590.50ns INFO [00004592] * RD COMPARE * port=0 adr=01 act=EEA04244C4CF7A21FD exp=EEA04244C4CF7A21FD + 4590.50ns INFO [00004592] * RD COMPARE * port=1 adr=00 act=544995CF2A3A28DA43 exp=544995CF2A3A28DA43 + 4590.50ns INFO [00004592] Port=0 WR @04=C9AC67B599E3444748 + 4591.50ns INFO [00004593] * RD COMPARE * port=0 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4591.50ns INFO [00004593] Port=0 WR @05=FCEA16C857A18D8AC5 + 4591.50ns INFO [00004593] Port=1 RD @04 + 4592.50ns INFO [00004594] Port=0 WR @02=381295F027C42DE5F0 + 4593.50ns INFO [00004595] * RD COMPARE * port=1 adr=04 act=C9AC67B599E3444748 exp=C9AC67B599E3444748 + 4593.50ns INFO [00004595] Port=1 RD @06 + 4594.50ns INFO [00004596] Port=0 WR @04=C8C0AE520532963DF3 + 4595.50ns INFO [00004597] * RD COMPARE * port=1 adr=06 act=34453E5A5FE2573EBA exp=34453E5A5FE2573EBA + 4595.50ns INFO [00004597] Port=0 WR @03=5CBC979FED46EFA405 + 4596.50ns INFO [00004598] Port=0 WR @03=E57ADF4145881D1B7D + 4598.00ns INFO [00004600] [00004600] ...tick... + 4598.50ns INFO [00004600] Port=0 RD @02 + 4599.50ns INFO [00004601] Port=0 WR @04=18918127046FE03CC8 + 4599.50ns INFO [00004601] Port=1 RD @01 + 4600.50ns INFO [00004602] * RD COMPARE * port=0 adr=02 act=381295F027C42DE5F0 exp=381295F027C42DE5F0 + 4601.50ns INFO [00004603] * RD COMPARE * port=1 adr=01 act=EEA04244C4CF7A21FD exp=EEA04244C4CF7A21FD + 4601.50ns INFO [00004603] Port=0 WR @02=77EFA1674F112500FD + 4601.50ns INFO [00004603] Port=0 RD @01 + 4601.50ns INFO [00004603] Port=1 RD @03 + 4602.50ns INFO [00004604] Port=1 RD @01 + 4603.50ns INFO [00004605] * RD COMPARE * port=0 adr=01 act=EEA04244C4CF7A21FD exp=EEA04244C4CF7A21FD + 4603.50ns INFO [00004605] * RD COMPARE * port=1 adr=03 act=E57ADF4145881D1B7D exp=E57ADF4145881D1B7D + 4603.50ns INFO [00004605] Port=0 WR @06=54715E08FC5B1B43FB + 4604.50ns INFO [00004606] * RD COMPARE * port=1 adr=01 act=EEA04244C4CF7A21FD exp=EEA04244C4CF7A21FD + 4604.50ns INFO [00004606] Port=0 RD @07 + 4605.50ns INFO [00004607] Port=0 RD @04 + 4605.50ns INFO [00004607] Port=1 RD @00 + 4606.50ns INFO [00004608] * RD COMPARE * port=0 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4607.50ns INFO [00004609] * RD COMPARE * port=0 adr=04 act=18918127046FE03CC8 exp=18918127046FE03CC8 + 4607.50ns INFO [00004609] * RD COMPARE * port=1 adr=00 act=544995CF2A3A28DA43 exp=544995CF2A3A28DA43 + 4607.50ns INFO [00004609] Port=0 WR @05=03EEAC8F46067D881A + 4607.50ns INFO [00004609] Port=0 RD @01 + 4608.50ns INFO [00004610] Port=1 RD @01 + 4609.50ns INFO [00004611] * RD COMPARE * port=0 adr=01 act=EEA04244C4CF7A21FD exp=EEA04244C4CF7A21FD + 4609.50ns INFO [00004611] Port=1 RD @07 + 4610.50ns INFO [00004612] * RD COMPARE * port=1 adr=01 act=EEA04244C4CF7A21FD exp=EEA04244C4CF7A21FD + 4610.50ns INFO [00004612] Port=1 RD @03 + 4611.50ns INFO [00004613] * RD COMPARE * port=1 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4611.50ns INFO [00004613] Port=0 WR @00=C7B4BF41B2A419CF64 + 4611.50ns INFO [00004613] Port=0 RD @07 + 4611.50ns INFO [00004613] Port=1 RD @07 + 4612.50ns INFO [00004614] * RD COMPARE * port=1 adr=03 act=E57ADF4145881D1B7D exp=E57ADF4145881D1B7D + 4612.50ns INFO [00004614] Port=0 RD @05 + 4612.50ns INFO [00004614] Port=1 RD @04 + 4613.50ns INFO [00004615] * RD COMPARE * port=0 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4613.50ns INFO [00004615] * RD COMPARE * port=1 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4613.50ns INFO [00004615] Port=0 RD @01 + 4614.50ns INFO [00004616] * RD COMPARE * port=0 adr=05 act=03EEAC8F46067D881A exp=03EEAC8F46067D881A + 4614.50ns INFO [00004616] * RD COMPARE * port=1 adr=04 act=18918127046FE03CC8 exp=18918127046FE03CC8 + 4614.50ns INFO [00004616] Port=0 RD @06 + 4615.50ns INFO [00004617] * RD COMPARE * port=0 adr=01 act=EEA04244C4CF7A21FD exp=EEA04244C4CF7A21FD + 4616.50ns INFO [00004618] * RD COMPARE * port=0 adr=06 act=54715E08FC5B1B43FB exp=54715E08FC5B1B43FB + 4616.50ns INFO [00004618] Port=0 WR @06=8E39469967E359166C + 4616.50ns INFO [00004618] Port=1 RD @03 + 4618.50ns INFO [00004620] * RD COMPARE * port=1 adr=03 act=E57ADF4145881D1B7D exp=E57ADF4145881D1B7D + 4618.50ns INFO [00004620] Port=0 WR @04=3643F81C20438049FD + 4619.50ns INFO [00004621] Port=1 RD @03 + 4620.50ns INFO [00004622] Port=0 RD @02 + 4620.50ns INFO [00004622] Port=1 RD @01 + 4621.50ns INFO [00004623] * RD COMPARE * port=1 adr=03 act=E57ADF4145881D1B7D exp=E57ADF4145881D1B7D + 4622.50ns INFO [00004624] * RD COMPARE * port=0 adr=02 act=77EFA1674F112500FD exp=77EFA1674F112500FD + 4622.50ns INFO [00004624] * RD COMPARE * port=1 adr=01 act=EEA04244C4CF7A21FD exp=EEA04244C4CF7A21FD + 4622.50ns INFO [00004624] Port=1 RD @07 + 4623.50ns INFO [00004625] Port=0 RD @04 + 4624.50ns INFO [00004626] * RD COMPARE * port=1 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4624.50ns INFO [00004626] Port=0 WR @04=6AAF8181151173ECFD + 4624.50ns INFO [00004626] Port=0 RD @06 + 4625.50ns INFO [00004627] * RD COMPARE * port=0 adr=04 act=3643F81C20438049FD exp=3643F81C20438049FD + 4625.50ns INFO [00004627] Port=0 WR @01=D7D49069622C52CF59 + 4625.50ns INFO [00004627] Port=1 RD @03 + 4626.50ns INFO [00004628] * RD COMPARE * port=0 adr=06 act=8E39469967E359166C exp=8E39469967E359166C + 4627.50ns INFO [00004629] * RD COMPARE * port=1 adr=03 act=E57ADF4145881D1B7D exp=E57ADF4145881D1B7D + 4627.50ns INFO [00004629] Port=0 RD @04 + 4627.50ns INFO [00004629] Port=1 RD @02 + 4628.50ns INFO [00004630] Port=0 RD @04 + 4629.50ns INFO [00004631] * RD COMPARE * port=0 adr=04 act=6AAF8181151173ECFD exp=6AAF8181151173ECFD + 4629.50ns INFO [00004631] * RD COMPARE * port=1 adr=02 act=77EFA1674F112500FD exp=77EFA1674F112500FD + 4629.50ns INFO [00004631] Port=0 WR @00=D3F9914F2106CD5F8A + 4629.50ns INFO [00004631] Port=0 RD @07 + 4630.50ns INFO [00004632] * RD COMPARE * port=0 adr=04 act=6AAF8181151173ECFD exp=6AAF8181151173ECFD + 4630.50ns INFO [00004632] Port=0 RD @06 + 4630.50ns INFO [00004632] Port=1 RD @00 + 4631.50ns INFO [00004633] * RD COMPARE * port=0 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4631.50ns INFO [00004633] Port=0 RD @02 + 4632.50ns INFO [00004634] * RD COMPARE * port=0 adr=06 act=8E39469967E359166C exp=8E39469967E359166C + 4632.50ns INFO [00004634] * RD COMPARE * port=1 adr=00 act=D3F9914F2106CD5F8A exp=D3F9914F2106CD5F8A + 4632.50ns INFO [00004634] Port=0 RD @01 + 4632.50ns INFO [00004634] Port=1 RD @04 + 4633.50ns INFO [00004635] * RD COMPARE * port=0 adr=02 act=77EFA1674F112500FD exp=77EFA1674F112500FD + 4634.50ns INFO [00004636] * RD COMPARE * port=0 adr=01 act=D7D49069622C52CF59 exp=D7D49069622C52CF59 + 4634.50ns INFO [00004636] * RD COMPARE * port=1 adr=04 act=6AAF8181151173ECFD exp=6AAF8181151173ECFD + 4635.50ns INFO [00004637] Port=0 WR @03=BF573CB88484F5153C + 4635.50ns INFO [00004637] Port=0 RD @01 + 4635.50ns INFO [00004637] Port=1 RD @00 + 4636.50ns INFO [00004638] Port=0 RD @05 + 4637.50ns INFO [00004639] * RD COMPARE * port=0 adr=01 act=D7D49069622C52CF59 exp=D7D49069622C52CF59 + 4637.50ns INFO [00004639] * RD COMPARE * port=1 adr=00 act=D3F9914F2106CD5F8A exp=D3F9914F2106CD5F8A + 4637.50ns INFO [00004639] Port=0 WR @00=01EA7F44599CB4CDE0 + 4637.50ns INFO [00004639] Port=1 RD @01 + 4638.50ns INFO [00004640] * RD COMPARE * port=0 adr=05 act=03EEAC8F46067D881A exp=03EEAC8F46067D881A + 4639.50ns INFO [00004641] * RD COMPARE * port=1 adr=01 act=D7D49069622C52CF59 exp=D7D49069622C52CF59 + 4640.50ns INFO [00004642] Port=0 RD @00 + 4640.50ns INFO [00004642] Port=1 RD @00 + 4642.50ns INFO [00004644] * RD COMPARE * port=0 adr=00 act=01EA7F44599CB4CDE0 exp=01EA7F44599CB4CDE0 + 4642.50ns INFO [00004644] * RD COMPARE * port=1 adr=00 act=01EA7F44599CB4CDE0 exp=01EA7F44599CB4CDE0 + 4643.50ns INFO [00004645] Port=0 WR @03=E19D7CA0E1656B2F07 + 4644.50ns INFO [00004646] Port=0 WR @05=1F87CF194BDA39A566 + 4644.50ns INFO [00004646] Port=0 RD @01 + 4644.50ns INFO [00004646] Port=1 RD @06 + 4645.50ns INFO [00004647] Port=0 RD @01 + 4646.50ns INFO [00004648] * RD COMPARE * port=0 adr=01 act=D7D49069622C52CF59 exp=D7D49069622C52CF59 + 4646.50ns INFO [00004648] * RD COMPARE * port=1 adr=06 act=8E39469967E359166C exp=8E39469967E359166C + 4646.50ns INFO [00004648] Port=0 WR @06=E207D094F33679C0F5 + 4646.50ns INFO [00004648] Port=0 RD @02 + 4646.50ns INFO [00004648] Port=1 RD @00 + 4647.50ns INFO [00004649] * RD COMPARE * port=0 adr=01 act=D7D49069622C52CF59 exp=D7D49069622C52CF59 + 4647.50ns INFO [00004649] Port=0 RD @07 + 4648.50ns INFO [00004650] * RD COMPARE * port=0 adr=02 act=77EFA1674F112500FD exp=77EFA1674F112500FD + 4648.50ns INFO [00004650] * RD COMPARE * port=1 adr=00 act=01EA7F44599CB4CDE0 exp=01EA7F44599CB4CDE0 + 4649.50ns INFO [00004651] * RD COMPARE * port=0 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4649.50ns INFO [00004651] Port=1 RD @00 + 4650.50ns INFO [00004652] Port=0 RD @04 + 4650.50ns INFO [00004652] Port=1 RD @05 + 4651.50ns INFO [00004653] * RD COMPARE * port=1 adr=00 act=01EA7F44599CB4CDE0 exp=01EA7F44599CB4CDE0 + 4651.50ns INFO [00004653] Port=0 RD @06 + 4651.50ns INFO [00004653] Port=1 RD @02 + 4652.50ns INFO [00004654] * RD COMPARE * port=0 adr=04 act=6AAF8181151173ECFD exp=6AAF8181151173ECFD + 4652.50ns INFO [00004654] * RD COMPARE * port=1 adr=05 act=1F87CF194BDA39A566 exp=1F87CF194BDA39A566 + 4652.50ns INFO [00004654] Port=0 RD @04 + 4653.50ns INFO [00004655] * RD COMPARE * port=0 adr=06 act=E207D094F33679C0F5 exp=E207D094F33679C0F5 + 4653.50ns INFO [00004655] * RD COMPARE * port=1 adr=02 act=77EFA1674F112500FD exp=77EFA1674F112500FD + 4653.50ns INFO [00004655] Port=0 WR @00=683F6BDEF50AB44C46 + 4653.50ns INFO [00004655] Port=0 RD @02 + 4654.50ns INFO [00004656] * RD COMPARE * port=0 adr=04 act=6AAF8181151173ECFD exp=6AAF8181151173ECFD + 4655.50ns INFO [00004657] * RD COMPARE * port=0 adr=02 act=77EFA1674F112500FD exp=77EFA1674F112500FD + 4655.50ns INFO [00004657] Port=0 WR @02=DD3B3F2B4C616BD787 + 4656.50ns INFO [00004658] Port=0 WR @05=4B4CA664C47D86101F + 4657.50ns INFO [00004659] Port=0 WR @01=F0496201FB12ADAAA7 + 4657.50ns INFO [00004659] Port=0 RD @05 + 4657.50ns INFO [00004659] Port=1 RD @07 + 4658.50ns INFO [00004660] Port=0 WR @01=D2DA76BC85B01E111D + 4658.50ns INFO [00004660] Port=1 RD @03 + 4659.50ns INFO [00004661] * RD COMPARE * port=0 adr=05 act=4B4CA664C47D86101F exp=4B4CA664C47D86101F + 4659.50ns INFO [00004661] * RD COMPARE * port=1 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4660.50ns INFO [00004662] * RD COMPARE * port=1 adr=03 act=E19D7CA0E1656B2F07 exp=E19D7CA0E1656B2F07 + 4661.50ns INFO [00004663] Port=0 WR @05=1854F875F646371FEC + 4661.50ns INFO [00004663] Port=0 RD @04 + 4661.50ns INFO [00004663] Port=1 RD @02 + 4663.50ns INFO [00004665] * RD COMPARE * port=0 adr=04 act=6AAF8181151173ECFD exp=6AAF8181151173ECFD + 4663.50ns INFO [00004665] * RD COMPARE * port=1 adr=02 act=DD3B3F2B4C616BD787 exp=DD3B3F2B4C616BD787 + 4663.50ns INFO [00004665] Port=0 WR @01=063BFBAC4B24DA7ADD + 4663.50ns INFO [00004665] Port=0 RD @04 + 4664.50ns INFO [00004666] Port=1 RD @06 + 4665.50ns INFO [00004667] * RD COMPARE * port=0 adr=04 act=6AAF8181151173ECFD exp=6AAF8181151173ECFD + 4666.50ns INFO [00004668] * RD COMPARE * port=1 adr=06 act=E207D094F33679C0F5 exp=E207D094F33679C0F5 + 4667.50ns INFO [00004669] Port=1 RD @03 + 4668.50ns INFO [00004670] Port=0 RD @04 + 4668.50ns INFO [00004670] Port=1 RD @04 + 4669.50ns INFO [00004671] * RD COMPARE * port=1 adr=03 act=E19D7CA0E1656B2F07 exp=E19D7CA0E1656B2F07 + 4669.50ns INFO [00004671] Port=0 WR @01=046260A80A770AAE10 + 4670.50ns INFO [00004672] * RD COMPARE * port=0 adr=04 act=6AAF8181151173ECFD exp=6AAF8181151173ECFD + 4670.50ns INFO [00004672] * RD COMPARE * port=1 adr=04 act=6AAF8181151173ECFD exp=6AAF8181151173ECFD + 4670.50ns INFO [00004672] Port=0 WR @04=8BF39380D90E132516 + 4672.50ns INFO [00004674] Port=0 WR @05=4A03FD388AE2717A80 + 4672.50ns INFO [00004674] Port=1 RD @06 + 4673.50ns INFO [00004675] Port=1 RD @03 + 4674.50ns INFO [00004676] * RD COMPARE * port=1 adr=06 act=E207D094F33679C0F5 exp=E207D094F33679C0F5 + 4675.50ns INFO [00004677] * RD COMPARE * port=1 adr=03 act=E19D7CA0E1656B2F07 exp=E19D7CA0E1656B2F07 + 4675.50ns INFO [00004677] Port=0 RD @01 + 4676.50ns INFO [00004678] Port=1 RD @02 + 4677.50ns INFO [00004679] * RD COMPARE * port=0 adr=01 act=046260A80A770AAE10 exp=046260A80A770AAE10 + 4678.50ns INFO [00004680] * RD COMPARE * port=1 adr=02 act=DD3B3F2B4C616BD787 exp=DD3B3F2B4C616BD787 + 4679.50ns INFO [00004681] Port=0 RD @04 + 4680.50ns INFO [00004682] Port=1 RD @05 + 4681.50ns INFO [00004683] * RD COMPARE * port=0 adr=04 act=8BF39380D90E132516 exp=8BF39380D90E132516 + 4682.50ns INFO [00004684] * RD COMPARE * port=1 adr=05 act=4A03FD388AE2717A80 exp=4A03FD388AE2717A80 + 4682.50ns INFO [00004684] Port=0 WR @00=CFDBF3543DF0DDC649 + 4682.50ns INFO [00004684] Port=0 RD @04 + 4684.50ns INFO [00004686] * RD COMPARE * port=0 adr=04 act=8BF39380D90E132516 exp=8BF39380D90E132516 + 4684.50ns INFO [00004686] Port=0 WR @01=FBB79980E120DC985F + 4684.50ns INFO [00004686] Port=0 RD @06 + 4685.50ns INFO [00004687] Port=0 WR @01=8167BFFF2283F4C1B9 + 4685.50ns INFO [00004687] Port=1 RD @04 + 4686.50ns INFO [00004688] * RD COMPARE * port=0 adr=06 act=E207D094F33679C0F5 exp=E207D094F33679C0F5 + 4686.50ns INFO [00004688] Port=1 RD @03 + 4687.50ns INFO [00004689] * RD COMPARE * port=1 adr=04 act=8BF39380D90E132516 exp=8BF39380D90E132516 + 4687.50ns INFO [00004689] Port=0 RD @05 + 4687.50ns INFO [00004689] Port=1 RD @01 + 4688.50ns INFO [00004690] * RD COMPARE * port=1 adr=03 act=E19D7CA0E1656B2F07 exp=E19D7CA0E1656B2F07 + 4688.50ns INFO [00004690] Port=0 RD @04 + 4689.50ns INFO [00004691] * RD COMPARE * port=0 adr=05 act=4A03FD388AE2717A80 exp=4A03FD388AE2717A80 + 4689.50ns INFO [00004691] * RD COMPARE * port=1 adr=01 act=8167BFFF2283F4C1B9 exp=8167BFFF2283F4C1B9 + 4689.50ns INFO [00004691] Port=0 WR @02=12A2423FDED06E8AC1 + 4689.50ns INFO [00004691] Port=1 RD @05 + 4690.50ns INFO [00004692] * RD COMPARE * port=0 adr=04 act=8BF39380D90E132516 exp=8BF39380D90E132516 + 4690.50ns INFO [00004692] Port=0 RD @02 + 4691.50ns INFO [00004693] * RD COMPARE * port=1 adr=05 act=4A03FD388AE2717A80 exp=4A03FD388AE2717A80 + 4691.50ns INFO [00004693] Port=0 WR @01=F220C0849692BB83CC + 4692.50ns INFO [00004694] * RD COMPARE * port=0 adr=02 act=12A2423FDED06E8AC1 exp=12A2423FDED06E8AC1 + 4692.50ns INFO [00004694] Port=0 WR @05=6ADE87E1E90E0452AA + 4693.50ns INFO [00004695] Port=1 RD @00 + 4694.50ns INFO [00004696] Port=0 WR @02=914900BB46FF1CDE8F + 4694.50ns INFO [00004696] Port=0 RD @00 + 4695.50ns INFO [00004697] * RD COMPARE * port=1 adr=00 act=CFDBF3543DF0DDC649 exp=CFDBF3543DF0DDC649 + 4695.50ns INFO [00004697] Port=0 RD @05 + 4696.50ns INFO [00004698] * RD COMPARE * port=0 adr=00 act=CFDBF3543DF0DDC649 exp=CFDBF3543DF0DDC649 + 4696.50ns INFO [00004698] Port=0 RD @06 + 4697.50ns INFO [00004699] * RD COMPARE * port=0 adr=05 act=6ADE87E1E90E0452AA exp=6ADE87E1E90E0452AA + 4698.00ns INFO [00004700] [00004700] ...tick... + 4698.50ns INFO [00004700] * RD COMPARE * port=0 adr=06 act=E207D094F33679C0F5 exp=E207D094F33679C0F5 + 4698.50ns INFO [00004700] Port=0 RD @01 + 4700.50ns INFO [00004702] * RD COMPARE * port=0 adr=01 act=F220C0849692BB83CC exp=F220C0849692BB83CC + 4701.50ns INFO [00004703] Port=0 WR @01=48E453C5275D117DDF + 4701.50ns INFO [00004703] Port=0 RD @05 + 4702.50ns INFO [00004704] Port=0 WR @06=162B693D2C9B79053D + 4702.50ns INFO [00004704] Port=1 RD @00 + 4703.50ns INFO [00004705] * RD COMPARE * port=0 adr=05 act=6ADE87E1E90E0452AA exp=6ADE87E1E90E0452AA + 4703.50ns INFO [00004705] Port=1 RD @00 + 4704.50ns INFO [00004706] * RD COMPARE * port=1 adr=00 act=CFDBF3543DF0DDC649 exp=CFDBF3543DF0DDC649 + 4704.50ns INFO [00004706] Port=0 WR @01=85AC891594ED26D262 + 4705.50ns INFO [00004707] * RD COMPARE * port=1 adr=00 act=CFDBF3543DF0DDC649 exp=CFDBF3543DF0DDC649 + 4705.50ns INFO [00004707] Port=0 WR @06=AD79F8A2255CAB1FAC + 4705.50ns INFO [00004707] Port=0 RD @05 + 4706.50ns INFO [00004708] Port=1 RD @00 + 4707.50ns INFO [00004709] * RD COMPARE * port=0 adr=05 act=6ADE87E1E90E0452AA exp=6ADE87E1E90E0452AA + 4707.50ns INFO [00004709] Port=0 RD @01 + 4707.50ns INFO [00004709] Port=1 RD @03 + 4708.50ns INFO [00004710] * RD COMPARE * port=1 adr=00 act=CFDBF3543DF0DDC649 exp=CFDBF3543DF0DDC649 + 4708.50ns INFO [00004710] Port=0 WR @05=E5246803B22947D676 + 4708.50ns INFO [00004710] Port=0 RD @03 + 4709.50ns INFO [00004711] * RD COMPARE * port=0 adr=01 act=85AC891594ED26D262 exp=85AC891594ED26D262 + 4709.50ns INFO [00004711] * RD COMPARE * port=1 adr=03 act=E19D7CA0E1656B2F07 exp=E19D7CA0E1656B2F07 + 4709.50ns INFO [00004711] Port=1 RD @04 + 4710.50ns INFO [00004712] * RD COMPARE * port=0 adr=03 act=E19D7CA0E1656B2F07 exp=E19D7CA0E1656B2F07 + 4710.50ns INFO [00004712] Port=0 WR @01=8BA51C93AB0FC39972 + 4710.50ns INFO [00004712] Port=1 RD @03 + 4711.50ns INFO [00004713] * RD COMPARE * port=1 adr=04 act=8BF39380D90E132516 exp=8BF39380D90E132516 + 4712.50ns INFO [00004714] * RD COMPARE * port=1 adr=03 act=E19D7CA0E1656B2F07 exp=E19D7CA0E1656B2F07 + 4712.50ns INFO [00004714] Port=1 RD @02 + 4713.50ns INFO [00004715] Port=0 RD @01 + 4713.50ns INFO [00004715] Port=1 RD @06 + 4714.50ns INFO [00004716] * RD COMPARE * port=1 adr=02 act=914900BB46FF1CDE8F exp=914900BB46FF1CDE8F + 4714.50ns INFO [00004716] Port=0 RD @04 + 4715.50ns INFO [00004717] * RD COMPARE * port=0 adr=01 act=8BA51C93AB0FC39972 exp=8BA51C93AB0FC39972 + 4715.50ns INFO [00004717] * RD COMPARE * port=1 adr=06 act=AD79F8A2255CAB1FAC exp=AD79F8A2255CAB1FAC + 4715.50ns INFO [00004717] Port=0 WR @03=26BC0F59A2F857986B + 4715.50ns INFO [00004717] Port=0 RD @02 + 4716.50ns INFO [00004718] * RD COMPARE * port=0 adr=04 act=8BF39380D90E132516 exp=8BF39380D90E132516 + 4716.50ns INFO [00004718] Port=0 RD @05 + 4717.50ns INFO [00004719] * RD COMPARE * port=0 adr=02 act=914900BB46FF1CDE8F exp=914900BB46FF1CDE8F + 4717.50ns INFO [00004719] Port=0 WR @00=B721CEAFA0814E4D63 + 4717.50ns INFO [00004719] Port=1 RD @05 + 4718.50ns INFO [00004720] * RD COMPARE * port=0 adr=05 act=E5246803B22947D676 exp=E5246803B22947D676 + 4718.50ns INFO [00004720] Port=1 RD @04 + 4719.50ns INFO [00004721] * RD COMPARE * port=1 adr=05 act=E5246803B22947D676 exp=E5246803B22947D676 + 4720.50ns INFO [00004722] * RD COMPARE * port=1 adr=04 act=8BF39380D90E132516 exp=8BF39380D90E132516 + 4720.50ns INFO [00004722] Port=0 WR @00=5D9769FCF8EA1A61B0 + 4720.50ns INFO [00004722] Port=0 RD @04 + 4721.50ns INFO [00004723] Port=0 WR @06=9680D222CCE3FB4DD7 + 4722.50ns INFO [00004724] * RD COMPARE * port=0 adr=04 act=8BF39380D90E132516 exp=8BF39380D90E132516 + 4722.50ns INFO [00004724] Port=0 WR @03=BFE5968A6EE846A7F2 + 4723.50ns INFO [00004725] Port=1 RD @01 + 4724.50ns INFO [00004726] Port=0 RD @07 + 4725.50ns INFO [00004727] * RD COMPARE * port=1 adr=01 act=8BA51C93AB0FC39972 exp=8BA51C93AB0FC39972 + 4726.50ns INFO [00004728] * RD COMPARE * port=0 adr=07 act=A98736BEE5E429E56A exp=A98736BEE5E429E56A + 4727.50ns INFO [00004729] Port=0 RD @04 + 4728.50ns INFO [00004730] Port=0 RD @05 + 4728.50ns INFO [00004730] Port=1 RD @02 + 4729.50ns INFO [00004731] * RD COMPARE * port=0 adr=04 act=8BF39380D90E132516 exp=8BF39380D90E132516 + 4729.50ns INFO [00004731] Port=0 RD @02 + 4730.50ns INFO [00004732] * RD COMPARE * port=0 adr=05 act=E5246803B22947D676 exp=E5246803B22947D676 + 4730.50ns INFO [00004732] * RD COMPARE * port=1 adr=02 act=914900BB46FF1CDE8F exp=914900BB46FF1CDE8F + 4731.50ns INFO [00004733] * RD COMPARE * port=0 adr=02 act=914900BB46FF1CDE8F exp=914900BB46FF1CDE8F + 4731.50ns INFO [00004733] Port=0 WR @07=988FFC659D683686BE + 4731.50ns INFO [00004733] Port=1 RD @00 + 4732.50ns INFO [00004734] Port=0 WR @06=2F3FB8766E701A362B + 4732.50ns INFO [00004734] Port=0 RD @00 + 4732.50ns INFO [00004734] Port=1 RD @02 + 4733.50ns INFO [00004735] * RD COMPARE * port=1 adr=00 act=5D9769FCF8EA1A61B0 exp=5D9769FCF8EA1A61B0 + 4733.50ns INFO [00004735] Port=1 RD @02 + 4734.50ns INFO [00004736] * RD COMPARE * port=0 adr=00 act=5D9769FCF8EA1A61B0 exp=5D9769FCF8EA1A61B0 + 4734.50ns INFO [00004736] * RD COMPARE * port=1 adr=02 act=914900BB46FF1CDE8F exp=914900BB46FF1CDE8F + 4734.50ns INFO [00004736] Port=0 WR @02=3562B0F3A65A701072 + 4735.50ns INFO [00004737] * RD COMPARE * port=1 adr=02 act=914900BB46FF1CDE8F exp=914900BB46FF1CDE8F + 4735.50ns INFO [00004737] Port=0 RD @00 + 4736.50ns INFO [00004738] Port=0 WR @04=B763BADF677E894B65 + 4736.50ns INFO [00004738] Port=1 RD @02 + 4737.50ns INFO [00004739] * RD COMPARE * port=0 adr=00 act=5D9769FCF8EA1A61B0 exp=5D9769FCF8EA1A61B0 + 4738.50ns INFO [00004740] * RD COMPARE * port=1 adr=02 act=3562B0F3A65A701072 exp=3562B0F3A65A701072 + 4739.50ns INFO [00004741] Port=0 WR @05=1E5B96FA37C18DA736 + 4739.50ns INFO [00004741] Port=0 RD @03 + 4740.50ns INFO [00004742] Port=0 WR @07=04B15325952805EF46 + 4740.50ns INFO [00004742] Port=0 RD @05 + 4741.50ns INFO [00004743] * RD COMPARE * port=0 adr=03 act=BFE5968A6EE846A7F2 exp=BFE5968A6EE846A7F2 + 4742.50ns INFO [00004744] * RD COMPARE * port=0 adr=05 act=1E5B96FA37C18DA736 exp=1E5B96FA37C18DA736 + 4742.50ns INFO [00004744] Port=0 RD @04 + 4742.50ns INFO [00004744] Port=1 RD @03 + 4743.50ns INFO [00004745] Port=0 WR @00=05D0D238CAF14FB9D7 + 4743.50ns INFO [00004745] Port=0 RD @04 + 4743.50ns INFO [00004745] Port=1 RD @02 + 4744.50ns INFO [00004746] * RD COMPARE * port=0 adr=04 act=B763BADF677E894B65 exp=B763BADF677E894B65 + 4744.50ns INFO [00004746] * RD COMPARE * port=1 adr=03 act=BFE5968A6EE846A7F2 exp=BFE5968A6EE846A7F2 + 4744.50ns INFO [00004746] Port=1 RD @01 + 4745.50ns INFO [00004747] * RD COMPARE * port=0 adr=04 act=B763BADF677E894B65 exp=B763BADF677E894B65 + 4745.50ns INFO [00004747] * RD COMPARE * port=1 adr=02 act=3562B0F3A65A701072 exp=3562B0F3A65A701072 + 4745.50ns INFO [00004747] Port=1 RD @02 + 4746.50ns INFO [00004748] * RD COMPARE * port=1 adr=01 act=8BA51C93AB0FC39972 exp=8BA51C93AB0FC39972 + 4747.50ns INFO [00004749] * RD COMPARE * port=1 adr=02 act=3562B0F3A65A701072 exp=3562B0F3A65A701072 + 4747.50ns INFO [00004749] Port=0 WR @06=91A73F1A8D63822967 + 4748.50ns INFO [00004750] Port=0 RD @04 + 4748.50ns INFO [00004750] Port=1 RD @03 + 4749.50ns INFO [00004751] Port=1 RD @06 + 4750.50ns INFO [00004752] * RD COMPARE * port=0 adr=04 act=B763BADF677E894B65 exp=B763BADF677E894B65 + 4750.50ns INFO [00004752] * RD COMPARE * port=1 adr=03 act=BFE5968A6EE846A7F2 exp=BFE5968A6EE846A7F2 + 4750.50ns INFO [00004752] Port=0 RD @00 + 4750.50ns INFO [00004752] Port=1 RD @05 + 4751.50ns INFO [00004753] * RD COMPARE * port=1 adr=06 act=91A73F1A8D63822967 exp=91A73F1A8D63822967 + 4751.50ns INFO [00004753] Port=0 RD @04 + 4751.50ns INFO [00004753] Port=1 RD @01 + 4752.50ns INFO [00004754] * RD COMPARE * port=0 adr=00 act=05D0D238CAF14FB9D7 exp=05D0D238CAF14FB9D7 + 4752.50ns INFO [00004754] * RD COMPARE * port=1 adr=05 act=1E5B96FA37C18DA736 exp=1E5B96FA37C18DA736 + 4752.50ns INFO [00004754] Port=0 RD @04 + 4753.50ns INFO [00004755] * RD COMPARE * port=0 adr=04 act=B763BADF677E894B65 exp=B763BADF677E894B65 + 4753.50ns INFO [00004755] * RD COMPARE * port=1 adr=01 act=8BA51C93AB0FC39972 exp=8BA51C93AB0FC39972 + 4753.50ns INFO [00004755] Port=1 RD @02 + 4754.50ns INFO [00004756] * RD COMPARE * port=0 adr=04 act=B763BADF677E894B65 exp=B763BADF677E894B65 + 4754.50ns INFO [00004756] Port=0 RD @00 + 4755.50ns INFO [00004757] * RD COMPARE * port=1 adr=02 act=3562B0F3A65A701072 exp=3562B0F3A65A701072 + 4755.50ns INFO [00004757] Port=0 WR @05=2CE27D6E23441AC828 + 4755.50ns INFO [00004757] Port=0 RD @03 + 4755.50ns INFO [00004757] Port=1 RD @02 + 4756.50ns INFO [00004758] * RD COMPARE * port=0 adr=00 act=05D0D238CAF14FB9D7 exp=05D0D238CAF14FB9D7 + 4756.50ns INFO [00004758] Port=0 RD @03 + 4757.50ns INFO [00004759] * RD COMPARE * port=0 adr=03 act=BFE5968A6EE846A7F2 exp=BFE5968A6EE846A7F2 + 4757.50ns INFO [00004759] * RD COMPARE * port=1 adr=02 act=3562B0F3A65A701072 exp=3562B0F3A65A701072 + 4757.50ns INFO [00004759] Port=1 RD @01 + 4758.50ns INFO [00004760] * RD COMPARE * port=0 adr=03 act=BFE5968A6EE846A7F2 exp=BFE5968A6EE846A7F2 + 4758.50ns INFO [00004760] Port=0 RD @05 + 4758.50ns INFO [00004760] Port=1 RD @05 + 4759.50ns INFO [00004761] * RD COMPARE * port=1 adr=01 act=8BA51C93AB0FC39972 exp=8BA51C93AB0FC39972 + 4759.50ns INFO [00004761] Port=1 RD @06 + 4760.50ns INFO [00004762] * RD COMPARE * port=0 adr=05 act=2CE27D6E23441AC828 exp=2CE27D6E23441AC828 + 4760.50ns INFO [00004762] * RD COMPARE * port=1 adr=05 act=2CE27D6E23441AC828 exp=2CE27D6E23441AC828 + 4761.50ns INFO [00004763] * RD COMPARE * port=1 adr=06 act=91A73F1A8D63822967 exp=91A73F1A8D63822967 + 4761.50ns INFO [00004763] Port=0 RD @01 + 4762.50ns INFO [00004764] Port=0 RD @07 + 4763.50ns INFO [00004765] * RD COMPARE * port=0 adr=01 act=8BA51C93AB0FC39972 exp=8BA51C93AB0FC39972 + 4764.50ns INFO [00004766] * RD COMPARE * port=0 adr=07 act=04B15325952805EF46 exp=04B15325952805EF46 + 4764.50ns INFO [00004766] Port=0 WR @05=6FFF1567A4F8FFF3DC + 4764.50ns INFO [00004766] Port=0 RD @06 + 4765.50ns INFO [00004767] Port=0 WR @01=A0C31C128B48265BD8 + 4766.50ns INFO [00004768] * RD COMPARE * port=0 adr=06 act=91A73F1A8D63822967 exp=91A73F1A8D63822967 + 4766.50ns INFO [00004768] Port=0 RD @07 + 4766.50ns INFO [00004768] Port=1 RD @06 + 4767.50ns INFO [00004769] Port=1 RD @02 + 4768.50ns INFO [00004770] * RD COMPARE * port=0 adr=07 act=04B15325952805EF46 exp=04B15325952805EF46 + 4768.50ns INFO [00004770] * RD COMPARE * port=1 adr=06 act=91A73F1A8D63822967 exp=91A73F1A8D63822967 + 4769.50ns INFO [00004771] * RD COMPARE * port=1 adr=02 act=3562B0F3A65A701072 exp=3562B0F3A65A701072 + 4769.50ns INFO [00004771] Port=0 WR @04=34E49AE45D0E4B76DC + 4770.50ns INFO [00004772] Port=0 RD @05 + 4771.50ns INFO [00004773] Port=0 WR @07=C290D0DB5139092883 + 4771.50ns INFO [00004773] Port=0 RD @02 + 4772.50ns INFO [00004774] * RD COMPARE * port=0 adr=05 act=6FFF1567A4F8FFF3DC exp=6FFF1567A4F8FFF3DC + 4772.50ns INFO [00004774] Port=0 WR @06=44F78C472B27040298 + 4773.50ns INFO [00004775] * RD COMPARE * port=0 adr=02 act=3562B0F3A65A701072 exp=3562B0F3A65A701072 + 4774.50ns INFO [00004776] Port=0 RD @05 + 4775.50ns INFO [00004777] Port=0 WR @06=B05079DB7065BFDE37 + 4776.50ns INFO [00004778] * RD COMPARE * port=0 adr=05 act=6FFF1567A4F8FFF3DC exp=6FFF1567A4F8FFF3DC + 4777.50ns INFO [00004779] Port=1 RD @07 + 4778.50ns INFO [00004780] Port=1 RD @05 + 4779.50ns INFO [00004781] * RD COMPARE * port=1 adr=07 act=C290D0DB5139092883 exp=C290D0DB5139092883 + 4779.50ns INFO [00004781] Port=0 WR @06=0CBF10C0C431F238B3 + 4780.50ns INFO [00004782] * RD COMPARE * port=1 adr=05 act=6FFF1567A4F8FFF3DC exp=6FFF1567A4F8FFF3DC + 4781.50ns INFO [00004783] Port=0 WR @01=777DD80AFE256F8A4A + 4782.50ns INFO [00004784] Port=0 WR @00=C02E91F361DCFBC4CE + 4782.50ns INFO [00004784] Port=0 RD @01 + 4782.50ns INFO [00004784] Port=1 RD @05 + 4784.50ns INFO [00004786] * RD COMPARE * port=0 adr=01 act=777DD80AFE256F8A4A exp=777DD80AFE256F8A4A + 4784.50ns INFO [00004786] * RD COMPARE * port=1 adr=05 act=6FFF1567A4F8FFF3DC exp=6FFF1567A4F8FFF3DC + 4784.50ns INFO [00004786] Port=0 RD @04 + 4785.50ns INFO [00004787] Port=0 WR @05=68201B8743C6DF74B8 + 4785.50ns INFO [00004787] Port=1 RD @01 + 4786.50ns INFO [00004788] * RD COMPARE * port=0 adr=04 act=34E49AE45D0E4B76DC exp=34E49AE45D0E4B76DC + 4786.50ns INFO [00004788] Port=0 RD @02 + 4787.50ns INFO [00004789] * RD COMPARE * port=1 adr=01 act=777DD80AFE256F8A4A exp=777DD80AFE256F8A4A + 4787.50ns INFO [00004789] Port=1 RD @07 + 4788.50ns INFO [00004790] * RD COMPARE * port=0 adr=02 act=3562B0F3A65A701072 exp=3562B0F3A65A701072 + 4788.50ns INFO [00004790] Port=0 RD @04 + 4788.50ns INFO [00004790] Port=1 RD @02 + 4789.50ns INFO [00004791] * RD COMPARE * port=1 adr=07 act=C290D0DB5139092883 exp=C290D0DB5139092883 + 4789.50ns INFO [00004791] Port=0 WR @00=D59627CA3FEBD8042D + 4790.50ns INFO [00004792] * RD COMPARE * port=0 adr=04 act=34E49AE45D0E4B76DC exp=34E49AE45D0E4B76DC + 4790.50ns INFO [00004792] * RD COMPARE * port=1 adr=02 act=3562B0F3A65A701072 exp=3562B0F3A65A701072 + 4790.50ns INFO [00004792] Port=0 WR @07=99192ED2358B796C10 + 4790.50ns INFO [00004792] Port=0 RD @03 + 4791.50ns INFO [00004793] Port=0 WR @00=3FBFCAF8638C10173B + 4791.50ns INFO [00004793] Port=0 RD @05 + 4792.50ns INFO [00004794] * RD COMPARE * port=0 adr=03 act=BFE5968A6EE846A7F2 exp=BFE5968A6EE846A7F2 + 4792.50ns INFO [00004794] Port=1 RD @07 + 4793.50ns INFO [00004795] * RD COMPARE * port=0 adr=05 act=68201B8743C6DF74B8 exp=68201B8743C6DF74B8 + 4793.50ns INFO [00004795] Port=0 WR @02=6B72F98336AA217E21 + 4794.50ns INFO [00004796] * RD COMPARE * port=1 adr=07 act=99192ED2358B796C10 exp=99192ED2358B796C10 + 4794.50ns INFO [00004796] Port=0 RD @05 + 4796.50ns INFO [00004798] * RD COMPARE * port=0 adr=05 act=68201B8743C6DF74B8 exp=68201B8743C6DF74B8 + 4796.50ns INFO [00004798] Port=0 WR @06=557BCB03EBAB0E612D + 4796.50ns INFO [00004798] Port=0 RD @02 + 4797.50ns INFO [00004799] Port=0 RD @07 + 4797.50ns INFO [00004799] Port=1 RD @04 + 4798.00ns INFO [00004800] [00004800] ...tick... + 4798.50ns INFO [00004800] * RD COMPARE * port=0 adr=02 act=6B72F98336AA217E21 exp=6B72F98336AA217E21 + 4798.50ns INFO [00004800] Port=0 WR @03=6C48A40D8FC6BD37ED + 4799.50ns INFO [00004801] * RD COMPARE * port=0 adr=07 act=99192ED2358B796C10 exp=99192ED2358B796C10 + 4799.50ns INFO [00004801] * RD COMPARE * port=1 adr=04 act=34E49AE45D0E4B76DC exp=34E49AE45D0E4B76DC + 4799.50ns INFO [00004801] Port=0 WR @05=09999A3015CFCA9CBA + 4800.50ns INFO [00004802] Port=0 RD @04 + 4801.50ns INFO [00004803] Port=0 WR @06=14A65FB59E7F7F6FD6 + 4802.50ns INFO [00004804] * RD COMPARE * port=0 adr=04 act=34E49AE45D0E4B76DC exp=34E49AE45D0E4B76DC + 4803.50ns INFO [00004805] Port=1 RD @01 + 4805.50ns INFO [00004807] * RD COMPARE * port=1 adr=01 act=777DD80AFE256F8A4A exp=777DD80AFE256F8A4A + 4805.50ns INFO [00004807] Port=0 RD @05 + 4805.50ns INFO [00004807] Port=1 RD @04 + 4806.50ns INFO [00004808] Port=0 WR @02=54849703D4370A8799 + 4807.50ns INFO [00004809] * RD COMPARE * port=0 adr=05 act=09999A3015CFCA9CBA exp=09999A3015CFCA9CBA + 4807.50ns INFO [00004809] * RD COMPARE * port=1 adr=04 act=34E49AE45D0E4B76DC exp=34E49AE45D0E4B76DC + 4807.50ns INFO [00004809] Port=0 WR @05=CCA1B1B139A999A8EF + 4808.50ns INFO [00004810] Port=0 WR @05=CFE9174ECFE686C674 + 4808.50ns INFO [00004810] Port=0 RD @04 + 4809.50ns INFO [00004811] Port=0 RD @07 + 4810.50ns INFO [00004812] * RD COMPARE * port=0 adr=04 act=34E49AE45D0E4B76DC exp=34E49AE45D0E4B76DC + 4811.50ns INFO [00004813] * RD COMPARE * port=0 adr=07 act=99192ED2358B796C10 exp=99192ED2358B796C10 + 4811.50ns INFO [00004813] Port=0 RD @02 + 4811.50ns INFO [00004813] Port=1 RD @05 + 4812.50ns INFO [00004814] Port=0 RD @04 + 4812.50ns INFO [00004814] Port=1 RD @07 + 4813.50ns INFO [00004815] * RD COMPARE * port=0 adr=02 act=54849703D4370A8799 exp=54849703D4370A8799 + 4813.50ns INFO [00004815] * RD COMPARE * port=1 adr=05 act=CFE9174ECFE686C674 exp=CFE9174ECFE686C674 + 4813.50ns INFO [00004815] Port=1 RD @06 + 4814.50ns INFO [00004816] * RD COMPARE * port=0 adr=04 act=34E49AE45D0E4B76DC exp=34E49AE45D0E4B76DC + 4814.50ns INFO [00004816] * RD COMPARE * port=1 adr=07 act=99192ED2358B796C10 exp=99192ED2358B796C10 + 4814.50ns INFO [00004816] Port=0 RD @03 + 4815.50ns INFO [00004817] * RD COMPARE * port=1 adr=06 act=14A65FB59E7F7F6FD6 exp=14A65FB59E7F7F6FD6 + 4815.50ns INFO [00004817] Port=0 WR @07=7A13C706399C24DD6A + 4816.50ns INFO [00004818] * RD COMPARE * port=0 adr=03 act=6C48A40D8FC6BD37ED exp=6C48A40D8FC6BD37ED + 4816.50ns INFO [00004818] Port=1 RD @07 + 4817.50ns INFO [00004819] Port=1 RD @02 + 4818.50ns INFO [00004820] * RD COMPARE * port=1 adr=07 act=7A13C706399C24DD6A exp=7A13C706399C24DD6A + 4819.50ns INFO [00004821] * RD COMPARE * port=1 adr=02 act=54849703D4370A8799 exp=54849703D4370A8799 + 4819.50ns INFO [00004821] Port=0 RD @06 + 4820.50ns INFO [00004822] Port=0 RD @07 + 4821.50ns INFO [00004823] * RD COMPARE * port=0 adr=06 act=14A65FB59E7F7F6FD6 exp=14A65FB59E7F7F6FD6 + 4821.50ns INFO [00004823] Port=1 RD @02 + 4822.50ns INFO [00004824] * RD COMPARE * port=0 adr=07 act=7A13C706399C24DD6A exp=7A13C706399C24DD6A + 4823.50ns INFO [00004825] * RD COMPARE * port=1 adr=02 act=54849703D4370A8799 exp=54849703D4370A8799 + 4824.50ns INFO [00004826] Port=0 WR @00=23728659C1A889CC5D + 4825.50ns INFO [00004827] Port=1 RD @01 + 4826.50ns INFO [00004828] Port=0 RD @05 + 4826.50ns INFO [00004828] Port=1 RD @03 + 4827.50ns INFO [00004829] * RD COMPARE * port=1 adr=01 act=777DD80AFE256F8A4A exp=777DD80AFE256F8A4A + 4827.50ns INFO [00004829] Port=0 WR @05=F5792570C6E526A352 + 4827.50ns INFO [00004829] Port=0 RD @03 + 4828.50ns INFO [00004830] * RD COMPARE * port=0 adr=05 act=CFE9174ECFE686C674 exp=CFE9174ECFE686C674 + 4828.50ns INFO [00004830] * RD COMPARE * port=1 adr=03 act=6C48A40D8FC6BD37ED exp=6C48A40D8FC6BD37ED + 4829.50ns INFO [00004831] * RD COMPARE * port=0 adr=03 act=6C48A40D8FC6BD37ED exp=6C48A40D8FC6BD37ED + 4830.50ns INFO [00004832] Port=0 RD @05 + 4832.50ns INFO [00004834] * RD COMPARE * port=0 adr=05 act=F5792570C6E526A352 exp=F5792570C6E526A352 + 4833.50ns INFO [00004835] Port=0 WR @00=037AF519B028A2E6D9 + 4833.50ns INFO [00004835] Port=0 RD @04 + 4833.50ns INFO [00004835] Port=1 RD @05 + 4834.50ns INFO [00004836] Port=0 WR @07=27580D6AEE146A5E52 + 4834.50ns INFO [00004836] Port=0 RD @06 + 4834.50ns INFO [00004836] Port=1 RD @00 + 4835.50ns INFO [00004837] * RD COMPARE * port=0 adr=04 act=34E49AE45D0E4B76DC exp=34E49AE45D0E4B76DC + 4835.50ns INFO [00004837] * RD COMPARE * port=1 adr=05 act=F5792570C6E526A352 exp=F5792570C6E526A352 + 4836.50ns INFO [00004838] * RD COMPARE * port=0 adr=06 act=14A65FB59E7F7F6FD6 exp=14A65FB59E7F7F6FD6 + 4836.50ns INFO [00004838] * RD COMPARE * port=1 adr=00 act=037AF519B028A2E6D9 exp=037AF519B028A2E6D9 + 4836.50ns INFO [00004838] Port=0 RD @06 + 4837.50ns INFO [00004839] Port=0 WR @01=B5341A11CB5E8612E1 + 4838.50ns INFO [00004840] * RD COMPARE * port=0 adr=06 act=14A65FB59E7F7F6FD6 exp=14A65FB59E7F7F6FD6 + 4838.50ns INFO [00004840] Port=0 WR @03=6547D53B5C08191E67 + 4839.50ns INFO [00004841] Port=0 WR @04=8647CC243828865B77 + 4839.50ns INFO [00004841] Port=0 RD @01 + 4841.50ns INFO [00004843] * RD COMPARE * port=0 adr=01 act=B5341A11CB5E8612E1 exp=B5341A11CB5E8612E1 + 4842.50ns INFO [00004844] Port=1 RD @00 + 4843.50ns INFO [00004845] Port=1 RD @03 + 4844.50ns INFO [00004846] * RD COMPARE * port=1 adr=00 act=037AF519B028A2E6D9 exp=037AF519B028A2E6D9 + 4844.50ns INFO [00004846] Port=0 WR @03=93280AD630768ABBBF + 4844.50ns INFO [00004846] Port=0 RD @02 + 4844.50ns INFO [00004846] Port=1 RD @02 + 4845.50ns INFO [00004847] * RD COMPARE * port=1 adr=03 act=6547D53B5C08191E67 exp=6547D53B5C08191E67 + 4845.50ns INFO [00004847] Port=1 RD @06 + 4846.50ns INFO [00004848] * RD COMPARE * port=0 adr=02 act=54849703D4370A8799 exp=54849703D4370A8799 + 4846.50ns INFO [00004848] * RD COMPARE * port=1 adr=02 act=54849703D4370A8799 exp=54849703D4370A8799 + 4846.50ns INFO [00004848] Port=0 RD @06 + 4846.50ns INFO [00004848] Port=1 RD @03 + 4847.50ns INFO [00004849] * RD COMPARE * port=1 adr=06 act=14A65FB59E7F7F6FD6 exp=14A65FB59E7F7F6FD6 + 4848.50ns INFO [00004850] * RD COMPARE * port=0 adr=06 act=14A65FB59E7F7F6FD6 exp=14A65FB59E7F7F6FD6 + 4848.50ns INFO [00004850] * RD COMPARE * port=1 adr=03 act=93280AD630768ABBBF exp=93280AD630768ABBBF + 4848.50ns INFO [00004850] Port=0 RD @03 + 4849.50ns INFO [00004851] Port=0 RD @03 + 4849.50ns INFO [00004851] Port=1 RD @07 + 4850.50ns INFO [00004852] * RD COMPARE * port=0 adr=03 act=93280AD630768ABBBF exp=93280AD630768ABBBF + 4850.50ns INFO [00004852] Port=0 WR @06=08153B5DFEE971D1B6 + 4850.50ns INFO [00004852] Port=1 RD @04 + 4851.50ns INFO [00004853] * RD COMPARE * port=0 adr=03 act=93280AD630768ABBBF exp=93280AD630768ABBBF + 4851.50ns INFO [00004853] * RD COMPARE * port=1 adr=07 act=27580D6AEE146A5E52 exp=27580D6AEE146A5E52 + 4851.50ns INFO [00004853] Port=0 WR @04=44CD059687EE364906 + 4851.50ns INFO [00004853] Port=0 RD @01 + 4851.50ns INFO [00004853] Port=1 RD @00 + 4852.50ns INFO [00004854] * RD COMPARE * port=1 adr=04 act=8647CC243828865B77 exp=8647CC243828865B77 + 4853.50ns INFO [00004855] * RD COMPARE * port=0 adr=01 act=B5341A11CB5E8612E1 exp=B5341A11CB5E8612E1 + 4853.50ns INFO [00004855] * RD COMPARE * port=1 adr=00 act=037AF519B028A2E6D9 exp=037AF519B028A2E6D9 + 4853.50ns INFO [00004855] Port=0 RD @02 + 4853.50ns INFO [00004855] Port=1 RD @05 + 4854.50ns INFO [00004856] Port=0 WR @02=6425D9C3994E1E5C7A + 4854.50ns INFO [00004856] Port=1 RD @00 + 4855.50ns INFO [00004857] * RD COMPARE * port=0 adr=02 act=54849703D4370A8799 exp=54849703D4370A8799 + 4855.50ns INFO [00004857] * RD COMPARE * port=1 adr=05 act=F5792570C6E526A352 exp=F5792570C6E526A352 + 4855.50ns INFO [00004857] Port=0 WR @01=9CDE6AC9B13E52BF57 + 4855.50ns INFO [00004857] Port=1 RD @06 + 4856.50ns INFO [00004858] * RD COMPARE * port=1 adr=00 act=037AF519B028A2E6D9 exp=037AF519B028A2E6D9 + 4856.50ns INFO [00004858] Port=0 WR @03=E884957D8F4514CE78 + 4856.50ns INFO [00004858] Port=0 RD @05 + 4856.50ns INFO [00004858] Port=1 RD @04 + 4857.50ns INFO [00004859] * RD COMPARE * port=1 adr=06 act=08153B5DFEE971D1B6 exp=08153B5DFEE971D1B6 + 4857.50ns INFO [00004859] Port=0 WR @00=1F3347B340C0E10C34 + 4858.50ns INFO [00004860] * RD COMPARE * port=0 adr=05 act=F5792570C6E526A352 exp=F5792570C6E526A352 + 4858.50ns INFO [00004860] * RD COMPARE * port=1 adr=04 act=44CD059687EE364906 exp=44CD059687EE364906 + 4859.50ns INFO [00004861] Port=0 RD @00 + 4859.50ns INFO [00004861] Port=1 RD @07 + 4861.50ns INFO [00004863] * RD COMPARE * port=0 adr=00 act=1F3347B340C0E10C34 exp=1F3347B340C0E10C34 + 4861.50ns INFO [00004863] * RD COMPARE * port=1 adr=07 act=27580D6AEE146A5E52 exp=27580D6AEE146A5E52 + 4861.50ns INFO [00004863] Port=0 WR @00=92795A814D6256825F + 4861.50ns INFO [00004863] Port=0 RD @06 + 4862.50ns INFO [00004864] Port=0 WR @00=048368DF5249ED91E2 + 4863.50ns INFO [00004865] * RD COMPARE * port=0 adr=06 act=08153B5DFEE971D1B6 exp=08153B5DFEE971D1B6 + 4863.50ns INFO [00004865] Port=0 WR @00=2855CAE8F4FF58E00C + 4864.50ns INFO [00004866] Port=0 RD @02 + 4864.50ns INFO [00004866] Port=1 RD @05 + 4866.50ns INFO [00004868] * RD COMPARE * port=0 adr=02 act=6425D9C3994E1E5C7A exp=6425D9C3994E1E5C7A + 4866.50ns INFO [00004868] * RD COMPARE * port=1 adr=05 act=F5792570C6E526A352 exp=F5792570C6E526A352 + 4868.50ns INFO [00004870] Port=0 RD @03 + 4868.50ns INFO [00004870] Port=1 RD @05 + 4869.50ns INFO [00004871] Port=1 RD @05 + 4870.50ns INFO [00004872] * RD COMPARE * port=0 adr=03 act=E884957D8F4514CE78 exp=E884957D8F4514CE78 + 4870.50ns INFO [00004872] * RD COMPARE * port=1 adr=05 act=F5792570C6E526A352 exp=F5792570C6E526A352 + 4870.50ns INFO [00004872] Port=1 RD @03 + 4871.50ns INFO [00004873] * RD COMPARE * port=1 adr=05 act=F5792570C6E526A352 exp=F5792570C6E526A352 + 4871.50ns INFO [00004873] Port=0 WR @04=502D921B49871A870F + 4871.50ns INFO [00004873] Port=0 RD @05 + 4871.50ns INFO [00004873] Port=1 RD @03 + 4872.50ns INFO [00004874] * RD COMPARE * port=1 adr=03 act=E884957D8F4514CE78 exp=E884957D8F4514CE78 + 4872.50ns INFO [00004874] Port=0 WR @03=A19EF51753FA6CEDE8 + 4872.50ns INFO [00004874] Port=0 RD @06 + 4873.50ns INFO [00004875] * RD COMPARE * port=0 adr=05 act=F5792570C6E526A352 exp=F5792570C6E526A352 + 4873.50ns INFO [00004875] * RD COMPARE * port=1 adr=03 act=E884957D8F4514CE78 exp=E884957D8F4514CE78 + 4873.50ns INFO [00004875] Port=0 WR @02=DDF2369C20140924C5 + 4873.50ns INFO [00004875] Port=0 RD @07 + 4874.50ns INFO [00004876] * RD COMPARE * port=0 adr=06 act=08153B5DFEE971D1B6 exp=08153B5DFEE971D1B6 + 4875.50ns INFO [00004877] * RD COMPARE * port=0 adr=07 act=27580D6AEE146A5E52 exp=27580D6AEE146A5E52 + 4875.50ns INFO [00004877] Port=0 RD @00 + 4876.50ns INFO [00004878] Port=0 WR @05=A671BC39E896994933 + 4877.50ns INFO [00004879] * RD COMPARE * port=0 adr=00 act=2855CAE8F4FF58E00C exp=2855CAE8F4FF58E00C + 4879.50ns INFO [00004881] Port=1 RD @03 + 4880.50ns INFO [00004882] Port=0 WR @03=7E57227C976C1F7416 + 4881.50ns INFO [00004883] * RD COMPARE * port=1 adr=03 act=A19EF51753FA6CEDE8 exp=A19EF51753FA6CEDE8 + 4881.50ns INFO [00004883] Port=0 RD @06 + 4882.50ns INFO [00004884] Port=0 WR @00=9B92AF02F27343401C + 4882.50ns INFO [00004884] Port=0 RD @07 + 4883.50ns INFO [00004885] * RD COMPARE * port=0 adr=06 act=08153B5DFEE971D1B6 exp=08153B5DFEE971D1B6 + 4884.50ns INFO [00004886] * RD COMPARE * port=0 adr=07 act=27580D6AEE146A5E52 exp=27580D6AEE146A5E52 + 4884.50ns INFO [00004886] Port=0 RD @00 + 4885.50ns INFO [00004887] Port=1 RD @06 + 4886.50ns INFO [00004888] * RD COMPARE * port=0 adr=00 act=9B92AF02F27343401C exp=9B92AF02F27343401C + 4886.50ns INFO [00004888] Port=0 RD @00 + 4887.50ns INFO [00004889] * RD COMPARE * port=1 adr=06 act=08153B5DFEE971D1B6 exp=08153B5DFEE971D1B6 + 4887.50ns INFO [00004889] Port=0 RD @01 + 4888.50ns INFO [00004890] * RD COMPARE * port=0 adr=00 act=9B92AF02F27343401C exp=9B92AF02F27343401C + 4889.50ns INFO [00004891] * RD COMPARE * port=0 adr=01 act=9CDE6AC9B13E52BF57 exp=9CDE6AC9B13E52BF57 + 4889.50ns INFO [00004891] Port=0 RD @04 + 4890.50ns INFO [00004892] Port=0 WR @07=6FE95017907BF158B1 + 4890.50ns INFO [00004892] Port=0 RD @03 + 4891.50ns INFO [00004893] * RD COMPARE * port=0 adr=04 act=502D921B49871A870F exp=502D921B49871A870F + 4892.50ns INFO [00004894] * RD COMPARE * port=0 adr=03 act=7E57227C976C1F7416 exp=7E57227C976C1F7416 + 4892.50ns INFO [00004894] Port=0 WR @07=47095574B38624E6BA + 4892.50ns INFO [00004894] Port=1 RD @04 + 4893.50ns INFO [00004895] Port=0 WR @00=F0CFC48859195875EC + 4894.50ns INFO [00004896] * RD COMPARE * port=1 adr=04 act=502D921B49871A870F exp=502D921B49871A870F + 4895.50ns INFO [00004897] Port=0 WR @00=39F54FDAC563169AA7 + 4896.50ns INFO [00004898] Port=1 RD @07 + 4897.50ns INFO [00004899] Port=1 RD @03 + 4898.00ns INFO [00004900] [00004900] ...tick... + 4898.50ns INFO [00004900] * RD COMPARE * port=1 adr=07 act=47095574B38624E6BA exp=47095574B38624E6BA + 4898.50ns INFO [00004900] Port=0 RD @00 + 4899.50ns INFO [00004901] * RD COMPARE * port=1 adr=03 act=7E57227C976C1F7416 exp=7E57227C976C1F7416 + 4900.50ns INFO [00004902] * RD COMPARE * port=0 adr=00 act=39F54FDAC563169AA7 exp=39F54FDAC563169AA7 + 4900.50ns INFO [00004902] Port=0 WR @06=14BB5AAD0535B2D905 + 4900.50ns INFO [00004902] Port=1 RD @07 + 4901.50ns INFO [00004903] Port=0 RD @01 + 4902.50ns INFO [00004904] * RD COMPARE * port=1 adr=07 act=47095574B38624E6BA exp=47095574B38624E6BA + 4903.50ns INFO [00004905] * RD COMPARE * port=0 adr=01 act=9CDE6AC9B13E52BF57 exp=9CDE6AC9B13E52BF57 + 4903.50ns INFO [00004905] Port=0 RD @01 + 4904.50ns INFO [00004906] Port=0 RD @03 + 4904.50ns INFO [00004906] Port=1 RD @00 + 4905.50ns INFO [00004907] * RD COMPARE * port=0 adr=01 act=9CDE6AC9B13E52BF57 exp=9CDE6AC9B13E52BF57 + 4905.50ns INFO [00004907] Port=0 WR @05=21A26B4F2B471D4687 + 4906.50ns INFO [00004908] * RD COMPARE * port=0 adr=03 act=7E57227C976C1F7416 exp=7E57227C976C1F7416 + 4906.50ns INFO [00004908] * RD COMPARE * port=1 adr=00 act=39F54FDAC563169AA7 exp=39F54FDAC563169AA7 + 4906.50ns INFO [00004908] Port=0 WR @00=D9592553CC24C08EED + 4906.50ns INFO [00004908] Port=0 RD @02 + 4907.50ns INFO [00004909] Port=0 WR @04=8AB12811AC3BD95C84 + 4907.50ns INFO [00004909] Port=0 RD @00 + 4908.50ns INFO [00004910] * RD COMPARE * port=0 adr=02 act=DDF2369C20140924C5 exp=DDF2369C20140924C5 + 4908.50ns INFO [00004910] Port=0 WR @04=4259866E31964444E5 + 4909.50ns INFO [00004911] * RD COMPARE * port=0 adr=00 act=D9592553CC24C08EED exp=D9592553CC24C08EED + 4909.50ns INFO [00004911] Port=0 RD @02 + 4910.50ns INFO [00004912] Port=1 RD @00 + 4911.50ns INFO [00004913] * RD COMPARE * port=0 adr=02 act=DDF2369C20140924C5 exp=DDF2369C20140924C5 + 4911.50ns INFO [00004913] Port=0 WR @01=F9229018DA6155E63A + 4911.50ns INFO [00004913] Port=0 RD @02 + 4911.50ns INFO [00004913] Port=1 RD @04 + 4912.50ns INFO [00004914] * RD COMPARE * port=1 adr=00 act=D9592553CC24C08EED exp=D9592553CC24C08EED + 4912.50ns INFO [00004914] Port=0 RD @05 + 4912.50ns INFO [00004914] Port=1 RD @06 + 4913.50ns INFO [00004915] * RD COMPARE * port=0 adr=02 act=DDF2369C20140924C5 exp=DDF2369C20140924C5 + 4913.50ns INFO [00004915] * RD COMPARE * port=1 adr=04 act=4259866E31964444E5 exp=4259866E31964444E5 + 4914.50ns INFO [00004916] * RD COMPARE * port=0 adr=05 act=21A26B4F2B471D4687 exp=21A26B4F2B471D4687 + 4914.50ns INFO [00004916] * RD COMPARE * port=1 adr=06 act=14BB5AAD0535B2D905 exp=14BB5AAD0535B2D905 + 4914.50ns INFO [00004916] Port=0 WR @07=9DCB7031D9FF4E68DF + 4914.50ns INFO [00004916] Port=1 RD @00 + 4915.50ns INFO [00004917] Port=0 WR @07=B36D43D00130B2F2DA + 4916.50ns INFO [00004918] * RD COMPARE * port=1 adr=00 act=D9592553CC24C08EED exp=D9592553CC24C08EED + 4916.50ns INFO [00004918] Port=0 WR @02=F4298FC94942570771 + 4916.50ns INFO [00004918] Port=0 RD @03 + 4916.50ns INFO [00004918] Port=1 RD @03 + 4917.50ns INFO [00004919] Port=0 RD @01 + 4918.50ns INFO [00004920] * RD COMPARE * port=0 adr=03 act=7E57227C976C1F7416 exp=7E57227C976C1F7416 + 4918.50ns INFO [00004920] * RD COMPARE * port=1 adr=03 act=7E57227C976C1F7416 exp=7E57227C976C1F7416 + 4918.50ns INFO [00004920] Port=0 WR @07=3F329253ADD4E5FF8A + 4918.50ns INFO [00004920] Port=1 RD @01 + 4919.50ns INFO [00004921] * RD COMPARE * port=0 adr=01 act=F9229018DA6155E63A exp=F9229018DA6155E63A + 4919.50ns INFO [00004921] Port=0 WR @01=FE5800CA23B3BC0B53 + 4919.50ns INFO [00004921] Port=0 RD @04 + 4919.50ns INFO [00004921] Port=1 RD @02 + 4920.50ns INFO [00004922] * RD COMPARE * port=1 adr=01 act=F9229018DA6155E63A exp=F9229018DA6155E63A + 4920.50ns INFO [00004922] Port=1 RD @01 + 4921.50ns INFO [00004923] * RD COMPARE * port=0 adr=04 act=4259866E31964444E5 exp=4259866E31964444E5 + 4921.50ns INFO [00004923] * RD COMPARE * port=1 adr=02 act=F4298FC94942570771 exp=F4298FC94942570771 + 4921.50ns INFO [00004923] Port=0 WR @02=E6D30835F285870C1A + 4922.50ns INFO [00004924] * RD COMPARE * port=1 adr=01 act=FE5800CA23B3BC0B53 exp=FE5800CA23B3BC0B53 + 4922.50ns INFO [00004924] Port=0 RD @01 + 4923.50ns INFO [00004925] Port=0 WR @04=B9DA887200F206618F + 4923.50ns INFO [00004925] Port=0 RD @05 + 4924.50ns INFO [00004926] * RD COMPARE * port=0 adr=01 act=FE5800CA23B3BC0B53 exp=FE5800CA23B3BC0B53 + 4924.50ns INFO [00004926] Port=1 RD @07 + 4925.50ns INFO [00004927] * RD COMPARE * port=0 adr=05 act=21A26B4F2B471D4687 exp=21A26B4F2B471D4687 + 4925.50ns INFO [00004927] Port=0 RD @02 + 4926.50ns INFO [00004928] * RD COMPARE * port=1 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4926.50ns INFO [00004928] Port=0 WR @00=4DF7E1455C5AB67FAF + 4926.50ns INFO [00004928] Port=0 RD @04 + 4926.50ns INFO [00004928] Port=1 RD @06 + 4927.50ns INFO [00004929] * RD COMPARE * port=0 adr=02 act=E6D30835F285870C1A exp=E6D30835F285870C1A + 4927.50ns INFO [00004929] Port=0 WR @00=082CDF53D274E8CF2B + 4928.50ns INFO [00004930] * RD COMPARE * port=0 adr=04 act=B9DA887200F206618F exp=B9DA887200F206618F + 4928.50ns INFO [00004930] * RD COMPARE * port=1 adr=06 act=14BB5AAD0535B2D905 exp=14BB5AAD0535B2D905 + 4928.50ns INFO [00004930] Port=0 WR @00=D26465F3CE1E03E2B6 + 4928.50ns INFO [00004930] Port=0 RD @07 + 4930.50ns INFO [00004932] * RD COMPARE * port=0 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4930.50ns INFO [00004932] Port=0 WR @06=F2C80A985873B0856D + 4931.50ns INFO [00004933] Port=0 WR @03=5E35E3C827095395D4 + 4932.50ns INFO [00004934] Port=0 WR @02=FD9A8AC389B8DD9D5D + 4932.50ns INFO [00004934] Port=1 RD @06 + 4933.50ns INFO [00004935] Port=1 RD @02 + 4934.50ns INFO [00004936] * RD COMPARE * port=1 adr=06 act=F2C80A985873B0856D exp=F2C80A985873B0856D + 4934.50ns INFO [00004936] Port=0 WR @03=2DEF017B83A8E46C2B + 4934.50ns INFO [00004936] Port=1 RD @02 + 4935.50ns INFO [00004937] * RD COMPARE * port=1 adr=02 act=FD9A8AC389B8DD9D5D exp=FD9A8AC389B8DD9D5D + 4935.50ns INFO [00004937] Port=0 RD @03 + 4936.50ns INFO [00004938] * RD COMPARE * port=1 adr=02 act=FD9A8AC389B8DD9D5D exp=FD9A8AC389B8DD9D5D + 4936.50ns INFO [00004938] Port=0 WR @06=629F5C008B365F5516 + 4936.50ns INFO [00004938] Port=0 RD @02 + 4936.50ns INFO [00004938] Port=1 RD @05 + 4937.50ns INFO [00004939] * RD COMPARE * port=0 adr=03 act=2DEF017B83A8E46C2B exp=2DEF017B83A8E46C2B + 4937.50ns INFO [00004939] Port=1 RD @06 + 4938.50ns INFO [00004940] * RD COMPARE * port=0 adr=02 act=FD9A8AC389B8DD9D5D exp=FD9A8AC389B8DD9D5D + 4938.50ns INFO [00004940] * RD COMPARE * port=1 adr=05 act=21A26B4F2B471D4687 exp=21A26B4F2B471D4687 + 4938.50ns INFO [00004940] Port=0 WR @01=CD3E45649461C467F3 + 4938.50ns INFO [00004940] Port=0 RD @07 + 4939.50ns INFO [00004941] * RD COMPARE * port=1 adr=06 act=629F5C008B365F5516 exp=629F5C008B365F5516 + 4939.50ns INFO [00004941] Port=0 WR @02=94B4BA479C541A814B + 4939.50ns INFO [00004941] Port=0 RD @01 + 4939.50ns INFO [00004941] Port=1 RD @01 + 4940.50ns INFO [00004942] * RD COMPARE * port=0 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4940.50ns INFO [00004942] Port=0 RD @03 + 4940.50ns INFO [00004942] Port=1 RD @02 + 4941.50ns INFO [00004943] * RD COMPARE * port=0 adr=01 act=CD3E45649461C467F3 exp=CD3E45649461C467F3 + 4941.50ns INFO [00004943] * RD COMPARE * port=1 adr=01 act=CD3E45649461C467F3 exp=CD3E45649461C467F3 + 4941.50ns INFO [00004943] Port=1 RD @05 + 4942.50ns INFO [00004944] * RD COMPARE * port=0 adr=03 act=2DEF017B83A8E46C2B exp=2DEF017B83A8E46C2B + 4942.50ns INFO [00004944] * RD COMPARE * port=1 adr=02 act=94B4BA479C541A814B exp=94B4BA479C541A814B + 4943.50ns INFO [00004945] * RD COMPARE * port=1 adr=05 act=21A26B4F2B471D4687 exp=21A26B4F2B471D4687 + 4943.50ns INFO [00004945] Port=0 WR @05=338DEC2563C82C62D2 + 4946.50ns INFO [00004948] Port=0 WR @03=865BBB41692BCAA01B + 4946.50ns INFO [00004948] Port=0 RD @02 + 4946.50ns INFO [00004948] Port=1 RD @07 + 4947.50ns INFO [00004949] Port=0 RD @02 + 4948.50ns INFO [00004950] * RD COMPARE * port=0 adr=02 act=94B4BA479C541A814B exp=94B4BA479C541A814B + 4948.50ns INFO [00004950] * RD COMPARE * port=1 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4948.50ns INFO [00004950] Port=0 WR @05=EDBED61996F0734888 + 4948.50ns INFO [00004950] Port=0 RD @07 + 4949.50ns INFO [00004951] * RD COMPARE * port=0 adr=02 act=94B4BA479C541A814B exp=94B4BA479C541A814B + 4949.50ns INFO [00004951] Port=0 WR @02=D2555762FCC8AED53F + 4950.50ns INFO [00004952] * RD COMPARE * port=0 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4951.50ns INFO [00004953] Port=0 WR @01=6382F997E8D9E7B757 + 4951.50ns INFO [00004953] Port=0 RD @00 + 4952.50ns INFO [00004954] Port=0 WR @06=33526BF06BDEBD77F3 + 4952.50ns INFO [00004954] Port=0 RD @07 + 4953.50ns INFO [00004955] * RD COMPARE * port=0 adr=00 act=D26465F3CE1E03E2B6 exp=D26465F3CE1E03E2B6 + 4953.50ns INFO [00004955] Port=1 RD @02 + 4954.50ns INFO [00004956] * RD COMPARE * port=0 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4954.50ns INFO [00004956] Port=0 RD @07 + 4954.50ns INFO [00004956] Port=1 RD @05 + 4955.50ns INFO [00004957] * RD COMPARE * port=1 adr=02 act=D2555762FCC8AED53F exp=D2555762FCC8AED53F + 4955.50ns INFO [00004957] Port=0 WR @03=1C7AF919FCA1588527 + 4955.50ns INFO [00004957] Port=0 RD @05 + 4955.50ns INFO [00004957] Port=1 RD @01 + 4956.50ns INFO [00004958] * RD COMPARE * port=0 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4956.50ns INFO [00004958] * RD COMPARE * port=1 adr=05 act=EDBED61996F0734888 exp=EDBED61996F0734888 + 4957.50ns INFO [00004959] * RD COMPARE * port=0 adr=05 act=EDBED61996F0734888 exp=EDBED61996F0734888 + 4957.50ns INFO [00004959] * RD COMPARE * port=1 adr=01 act=6382F997E8D9E7B757 exp=6382F997E8D9E7B757 + 4957.50ns INFO [00004959] Port=0 WR @00=B5761A95243CA3D129 + 4958.50ns INFO [00004960] Port=0 WR @04=60701E2CCE78CC4663 + 4958.50ns INFO [00004960] Port=1 RD @06 + 4960.50ns INFO [00004962] * RD COMPARE * port=1 adr=06 act=33526BF06BDEBD77F3 exp=33526BF06BDEBD77F3 + 4960.50ns INFO [00004962] Port=0 WR @03=FA7DA0C11C3C27E729 + 4960.50ns INFO [00004962] Port=0 RD @00 + 4960.50ns INFO [00004962] Port=1 RD @06 + 4962.50ns INFO [00004964] * RD COMPARE * port=0 adr=00 act=B5761A95243CA3D129 exp=B5761A95243CA3D129 + 4962.50ns INFO [00004964] * RD COMPARE * port=1 adr=06 act=33526BF06BDEBD77F3 exp=33526BF06BDEBD77F3 + 4962.50ns INFO [00004964] Port=0 RD @04 + 4963.50ns INFO [00004965] Port=0 WR @03=7BF14249FE71CB9CBE + 4963.50ns INFO [00004965] Port=0 RD @07 + 4964.50ns INFO [00004966] * RD COMPARE * port=0 adr=04 act=60701E2CCE78CC4663 exp=60701E2CCE78CC4663 + 4964.50ns INFO [00004966] Port=0 WR @03=E01A2C9100575E8E82 + 4964.50ns INFO [00004966] Port=0 RD @06 + 4964.50ns INFO [00004966] Port=1 RD @02 + 4965.50ns INFO [00004967] * RD COMPARE * port=0 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4965.50ns INFO [00004967] Port=0 WR @03=87798BC7BD5E84A115 + 4965.50ns INFO [00004967] Port=0 RD @02 + 4965.50ns INFO [00004967] Port=1 RD @05 + 4966.50ns INFO [00004968] * RD COMPARE * port=0 adr=06 act=33526BF06BDEBD77F3 exp=33526BF06BDEBD77F3 + 4966.50ns INFO [00004968] * RD COMPARE * port=1 adr=02 act=D2555762FCC8AED53F exp=D2555762FCC8AED53F + 4966.50ns INFO [00004968] Port=0 RD @03 + 4967.50ns INFO [00004969] * RD COMPARE * port=0 adr=02 act=D2555762FCC8AED53F exp=D2555762FCC8AED53F + 4967.50ns INFO [00004969] * RD COMPARE * port=1 adr=05 act=EDBED61996F0734888 exp=EDBED61996F0734888 + 4968.50ns INFO [00004970] * RD COMPARE * port=0 adr=03 act=87798BC7BD5E84A115 exp=87798BC7BD5E84A115 + 4968.50ns INFO [00004970] Port=0 WR @03=3B4D6B270CD35A8A7D + 4969.50ns INFO [00004971] Port=0 RD @07 + 4970.50ns INFO [00004972] Port=0 WR @01=B231CFE7ED7A806867 + 4970.50ns INFO [00004972] Port=1 RD @06 + 4971.50ns INFO [00004973] * RD COMPARE * port=0 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4971.50ns INFO [00004973] Port=0 RD @07 + 4972.50ns INFO [00004974] * RD COMPARE * port=1 adr=06 act=33526BF06BDEBD77F3 exp=33526BF06BDEBD77F3 + 4973.50ns INFO [00004975] * RD COMPARE * port=0 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4974.50ns INFO [00004976] Port=1 RD @07 + 4975.50ns INFO [00004977] Port=0 WR @06=70A16D5DBF27496192 + 4975.50ns INFO [00004977] Port=0 RD @07 + 4976.50ns INFO [00004978] * RD COMPARE * port=1 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4977.50ns INFO [00004979] * RD COMPARE * port=0 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4977.50ns INFO [00004979] Port=0 WR @00=7FFE54FBF057E81F41 + 4977.50ns INFO [00004979] Port=0 RD @06 + 4977.50ns INFO [00004979] Port=1 RD @03 + 4978.50ns INFO [00004980] Port=0 WR @06=F5BF0CAF2EB9495744 + 4979.50ns INFO [00004981] * RD COMPARE * port=0 adr=06 act=70A16D5DBF27496192 exp=70A16D5DBF27496192 + 4979.50ns INFO [00004981] * RD COMPARE * port=1 adr=03 act=3B4D6B270CD35A8A7D exp=3B4D6B270CD35A8A7D + 4980.50ns INFO [00004982] Port=0 RD @06 + 4981.50ns INFO [00004983] Port=1 RD @06 + 4982.50ns INFO [00004984] * RD COMPARE * port=0 adr=06 act=F5BF0CAF2EB9495744 exp=F5BF0CAF2EB9495744 + 4982.50ns INFO [00004984] Port=0 WR @00=FBB27289CB57B56282 + 4982.50ns INFO [00004984] Port=1 RD @03 + 4983.50ns INFO [00004985] * RD COMPARE * port=1 adr=06 act=F5BF0CAF2EB9495744 exp=F5BF0CAF2EB9495744 + 4983.50ns INFO [00004985] Port=0 RD @05 + 4983.50ns INFO [00004985] Port=1 RD @03 + 4984.50ns INFO [00004986] * RD COMPARE * port=1 adr=03 act=3B4D6B270CD35A8A7D exp=3B4D6B270CD35A8A7D + 4984.50ns INFO [00004986] Port=0 WR @00=F5CC6A047A8F886711 + 4984.50ns INFO [00004986] Port=0 RD @04 + 4984.50ns INFO [00004986] Port=1 RD @02 + 4985.50ns INFO [00004987] * RD COMPARE * port=0 adr=05 act=EDBED61996F0734888 exp=EDBED61996F0734888 + 4985.50ns INFO [00004987] * RD COMPARE * port=1 adr=03 act=3B4D6B270CD35A8A7D exp=3B4D6B270CD35A8A7D + 4985.50ns INFO [00004987] Port=0 RD @01 + 4985.50ns INFO [00004987] Port=1 RD @02 + 4986.50ns INFO [00004988] * RD COMPARE * port=0 adr=04 act=60701E2CCE78CC4663 exp=60701E2CCE78CC4663 + 4986.50ns INFO [00004988] * RD COMPARE * port=1 adr=02 act=D2555762FCC8AED53F exp=D2555762FCC8AED53F + 4986.50ns INFO [00004988] Port=0 RD @05 + 4987.50ns INFO [00004989] * RD COMPARE * port=0 adr=01 act=B231CFE7ED7A806867 exp=B231CFE7ED7A806867 + 4987.50ns INFO [00004989] * RD COMPARE * port=1 adr=02 act=D2555762FCC8AED53F exp=D2555762FCC8AED53F + 4987.50ns INFO [00004989] Port=1 RD @05 + 4988.50ns INFO [00004990] * RD COMPARE * port=0 adr=05 act=EDBED61996F0734888 exp=EDBED61996F0734888 + 4988.50ns INFO [00004990] Port=0 WR @00=AC095EA51250AE1B6B + 4988.50ns INFO [00004990] Port=1 RD @02 + 4989.50ns INFO [00004991] * RD COMPARE * port=1 adr=05 act=EDBED61996F0734888 exp=EDBED61996F0734888 + 4989.50ns INFO [00004991] Port=0 WR @05=941E76CA8EB8C605D2 + 4989.50ns INFO [00004991] Port=1 RD @07 + 4990.50ns INFO [00004992] * RD COMPARE * port=1 adr=02 act=D2555762FCC8AED53F exp=D2555762FCC8AED53F + 4991.50ns INFO [00004993] * RD COMPARE * port=1 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4992.50ns INFO [00004994] Port=1 RD @02 + 4994.50ns INFO [00004996] * RD COMPARE * port=1 adr=02 act=D2555762FCC8AED53F exp=D2555762FCC8AED53F + 4994.50ns INFO [00004996] Port=0 RD @07 + 4995.50ns INFO [00004997] Port=0 WR @04=700CE634217C26D586 + 4996.50ns INFO [00004998] * RD COMPARE * port=0 adr=07 act=3F329253ADD4E5FF8A exp=3F329253ADD4E5FF8A + 4997.50ns INFO [00004999] Port=0 RD @00 + 4997.50ns INFO [00004999] Port=1 RD @06 + 4998.00ns INFO [00005000] [00005000] ...tick... + 4998.50ns INFO [00005000] Port=0 WR @07=DE106F77C6C67EE9CB + 4998.50ns INFO [00005000] Port=0 RD @04 + 4999.50ns INFO [00005001] * RD COMPARE * port=0 adr=00 act=AC095EA51250AE1B6B exp=AC095EA51250AE1B6B + 4999.50ns INFO [00005001] * RD COMPARE * port=1 adr=06 act=F5BF0CAF2EB9495744 exp=F5BF0CAF2EB9495744 + 4999.50ns INFO [00005001] Port=1 RD @05 + 5000.50ns INFO [00005002] * RD COMPARE * port=0 adr=04 act=700CE634217C26D586 exp=700CE634217C26D586 + 5000.50ns INFO [00005002] Port=0 WR @06=0581FE7577EF036EA0 + 5000.50ns INFO [00005002] Port=0 RD @04 + 5001.50ns INFO [00005003] * RD COMPARE * port=1 adr=05 act=941E76CA8EB8C605D2 exp=941E76CA8EB8C605D2 + 5002.50ns INFO [00005004] * RD COMPARE * port=0 adr=04 act=700CE634217C26D586 exp=700CE634217C26D586 + 5002.50ns INFO [00005004] Port=1 RD @00 + 5004.50ns INFO [00005006] * RD COMPARE * port=1 adr=00 act=AC095EA51250AE1B6B exp=AC095EA51250AE1B6B + 5005.50ns INFO [00005007] Port=0 RD @07 + 5005.50ns INFO [00005007] Port=1 RD @01 + 5006.50ns INFO [00005008] Port=0 WR @06=7DECF6FE0F0916A263 + 5007.50ns INFO [00005009] * RD COMPARE * port=0 adr=07 act=DE106F77C6C67EE9CB exp=DE106F77C6C67EE9CB + 5007.50ns INFO [00005009] * RD COMPARE * port=1 adr=01 act=B231CFE7ED7A806867 exp=B231CFE7ED7A806867 + 5007.50ns INFO [00005009] Port=1 RD @03 + 5008.50ns INFO [00005010] Port=0 WR @07=42EA2197F771A17B65 + 5009.50ns INFO [00005011] * RD COMPARE * port=1 adr=03 act=3B4D6B270CD35A8A7D exp=3B4D6B270CD35A8A7D + 5009.50ns INFO [00005011] Port=0 RD @04 + 5009.50ns INFO [00005011] Port=1 RD @04 + 5011.50ns INFO [00005013] * RD COMPARE * port=0 adr=04 act=700CE634217C26D586 exp=700CE634217C26D586 + 5011.50ns INFO [00005013] * RD COMPARE * port=1 adr=04 act=700CE634217C26D586 exp=700CE634217C26D586 + 5011.50ns INFO [00005013] Port=0 WR @06=0023BA1DFFEFBCE61F + 5012.50ns INFO [00005014] Port=0 RD @03 + 5013.50ns INFO [00005015] Port=0 WR @02=013FC0C1F30F8FD237 + 5013.50ns INFO [00005015] Port=0 RD @01 + 5013.50ns INFO [00005015] Port=1 RD @00 + 5014.50ns INFO [00005016] * RD COMPARE * port=0 adr=03 act=3B4D6B270CD35A8A7D exp=3B4D6B270CD35A8A7D + 5014.50ns INFO [00005016] Port=0 WR @06=0B2D5CCB96C4C97CEC + 5014.50ns INFO [00005016] Port=0 RD @00 + 5015.50ns INFO [00005017] * RD COMPARE * port=0 adr=01 act=B231CFE7ED7A806867 exp=B231CFE7ED7A806867 + 5015.50ns INFO [00005017] * RD COMPARE * port=1 adr=00 act=AC095EA51250AE1B6B exp=AC095EA51250AE1B6B + 5015.50ns INFO [00005017] Port=1 RD @06 + 5016.50ns INFO [00005018] * RD COMPARE * port=0 adr=00 act=AC095EA51250AE1B6B exp=AC095EA51250AE1B6B + 5016.50ns INFO [00005018] Port=1 RD @07 + 5017.50ns INFO [00005019] * RD COMPARE * port=1 adr=06 act=0B2D5CCB96C4C97CEC exp=0B2D5CCB96C4C97CEC + 5017.50ns INFO [00005019] Port=1 RD @02 + 5018.50ns INFO [00005020] * RD COMPARE * port=1 adr=07 act=42EA2197F771A17B65 exp=42EA2197F771A17B65 + 5019.50ns INFO [00005021] * RD COMPARE * port=1 adr=02 act=013FC0C1F30F8FD237 exp=013FC0C1F30F8FD237 + 5019.50ns INFO [00005021] Port=0 RD @06 + 5020.50ns INFO [00005022] Port=0 RD @07 + 5021.50ns INFO [00005023] * RD COMPARE * port=0 adr=06 act=0B2D5CCB96C4C97CEC exp=0B2D5CCB96C4C97CEC + 5021.50ns INFO [00005023] Port=0 WR @00=6CBA601936417C563E + 5022.50ns INFO [00005024] * RD COMPARE * port=0 adr=07 act=42EA2197F771A17B65 exp=42EA2197F771A17B65 + 5023.50ns INFO [00005025] Port=0 WR @05=F86EB851F130FCA7BD + 5023.50ns INFO [00005025] Port=1 RD @01 + 5024.50ns INFO [00005026] Port=0 RD @07 + 5025.50ns INFO [00005027] * RD COMPARE * port=1 adr=01 act=B231CFE7ED7A806867 exp=B231CFE7ED7A806867 + 5025.50ns INFO [00005027] Port=1 RD @01 + 5026.50ns INFO [00005028] * RD COMPARE * port=0 adr=07 act=42EA2197F771A17B65 exp=42EA2197F771A17B65 + 5026.50ns INFO [00005028] Port=0 WR @03=D87435082411C29CF1 + 5026.50ns INFO [00005028] Port=0 RD @01 + 5027.50ns INFO [00005029] * RD COMPARE * port=1 adr=01 act=B231CFE7ED7A806867 exp=B231CFE7ED7A806867 + 5028.50ns INFO [00005030] * RD COMPARE * port=0 adr=01 act=B231CFE7ED7A806867 exp=B231CFE7ED7A806867 + 5028.50ns INFO [00005030] Port=0 RD @01 + 5029.50ns INFO [00005031] Port=0 WR @01=0BD840BCD418310F15 + 5030.50ns INFO [00005032] * RD COMPARE * port=0 adr=01 act=B231CFE7ED7A806867 exp=B231CFE7ED7A806867 + 5030.50ns INFO [00005032] Port=1 RD @04 + 5031.50ns INFO [00005033] Port=0 RD @07 + 5032.50ns INFO [00005034] * RD COMPARE * port=1 adr=04 act=700CE634217C26D586 exp=700CE634217C26D586 + 5032.50ns INFO [00005034] Port=0 WR @07=A605CE21F1CF8464C9 + 5032.50ns INFO [00005034] Port=1 RD @04 + 5033.50ns INFO [00005035] * RD COMPARE * port=0 adr=07 act=42EA2197F771A17B65 exp=42EA2197F771A17B65 + 5034.50ns INFO [00005036] * RD COMPARE * port=1 adr=04 act=700CE634217C26D586 exp=700CE634217C26D586 + 5035.50ns INFO [00005037] Port=0 WR @04=ACFACE3A076222B42F + 5035.50ns INFO [00005037] Port=0 RD @01 + 5036.50ns INFO [00005038] Port=0 RD @03 + 5037.50ns INFO [00005039] * RD COMPARE * port=0 adr=01 act=0BD840BCD418310F15 exp=0BD840BCD418310F15 + 5037.50ns INFO [00005039] Port=0 WR @03=F0073E17322E5D402A + 5038.50ns INFO [00005040] * RD COMPARE * port=0 adr=03 act=D87435082411C29CF1 exp=D87435082411C29CF1 + 5039.50ns INFO [00005041] Port=0 WR @05=0E222F3A79850B8F8E + 5041.50ns INFO [00005043] Port=0 RD @07 + 5043.50ns INFO [00005045] * RD COMPARE * port=0 adr=07 act=A605CE21F1CF8464C9 exp=A605CE21F1CF8464C9 + 5043.50ns INFO [00005045] Port=0 RD @03 + 5044.50ns INFO [00005046] Port=0 WR @07=90FC8386E92F6FB8D1 + 5044.50ns INFO [00005046] Port=0 RD @05 + 5044.50ns INFO [00005046] Port=1 RD @03 + 5045.50ns INFO [00005047] * RD COMPARE * port=0 adr=03 act=F0073E17322E5D402A exp=F0073E17322E5D402A + 5045.50ns INFO [00005047] Port=0 WR @04=79C13E445DCF16019F + 5046.50ns INFO [00005048] * RD COMPARE * port=0 adr=05 act=0E222F3A79850B8F8E exp=0E222F3A79850B8F8E + 5046.50ns INFO [00005048] * RD COMPARE * port=1 adr=03 act=F0073E17322E5D402A exp=F0073E17322E5D402A + 5048.50ns INFO [00005050] Port=0 WR @03=C659257A4638201E2B + 5048.50ns INFO [00005050] Port=0 RD @00 + 5049.50ns INFO [00005051] Port=0 WR @07=DBBFE8211F5B8C55C1 + 5049.50ns INFO [00005051] Port=0 RD @01 + 5049.50ns INFO [00005051] Port=1 RD @03 + 5050.50ns INFO [00005052] * RD COMPARE * port=0 adr=00 act=6CBA601936417C563E exp=6CBA601936417C563E + 5051.50ns INFO [00005053] * RD COMPARE * port=0 adr=01 act=0BD840BCD418310F15 exp=0BD840BCD418310F15 + 5051.50ns INFO [00005053] * RD COMPARE * port=1 adr=03 act=C659257A4638201E2B exp=C659257A4638201E2B + 5054.50ns INFO [00005056] Port=0 WR @01=1B447C43B56EAAD759 + 5054.50ns INFO [00005056] Port=0 RD @06 + 5055.50ns INFO [00005057] Port=1 RD @05 + 5056.50ns INFO [00005058] * RD COMPARE * port=0 adr=06 act=0B2D5CCB96C4C97CEC exp=0B2D5CCB96C4C97CEC + 5056.50ns INFO [00005058] Port=0 WR @00=BA2349E3FAC361682B + 5057.50ns INFO [00005059] * RD COMPARE * port=1 adr=05 act=0E222F3A79850B8F8E exp=0E222F3A79850B8F8E + 5057.50ns INFO [00005059] Port=1 RD @03 + 5058.50ns INFO [00005060] Port=0 RD @06 + 5058.50ns INFO [00005060] Port=1 RD @05 + 5059.50ns INFO [00005061] * RD COMPARE * port=1 adr=03 act=C659257A4638201E2B exp=C659257A4638201E2B + 5060.50ns INFO [00005062] * RD COMPARE * port=0 adr=06 act=0B2D5CCB96C4C97CEC exp=0B2D5CCB96C4C97CEC + 5060.50ns INFO [00005062] * RD COMPARE * port=1 adr=05 act=0E222F3A79850B8F8E exp=0E222F3A79850B8F8E + 5060.50ns INFO [00005062] Port=0 RD @03 + 5061.50ns INFO [00005063] Port=0 RD @04 + 5062.50ns INFO [00005064] * RD COMPARE * port=0 adr=03 act=C659257A4638201E2B exp=C659257A4638201E2B + 5062.50ns INFO [00005064] Port=0 RD @07 + 5062.50ns INFO [00005064] Port=1 RD @05 + 5063.50ns INFO [00005065] * RD COMPARE * port=0 adr=04 act=79C13E445DCF16019F exp=79C13E445DCF16019F + 5063.50ns INFO [00005065] Port=0 WR @02=C79ABC997DAC567BAA + 5064.50ns INFO [00005066] * RD COMPARE * port=0 adr=07 act=DBBFE8211F5B8C55C1 exp=DBBFE8211F5B8C55C1 + 5064.50ns INFO [00005066] * RD COMPARE * port=1 adr=05 act=0E222F3A79850B8F8E exp=0E222F3A79850B8F8E + 5064.50ns INFO [00005066] Port=0 WR @00=62C1D7BF8CD9E361B5 + 5065.50ns INFO [00005067] Port=0 WR @00=F0EAE2D1DD0B64C05A + 5065.50ns INFO [00005067] Port=1 RD @04 + 5067.50ns INFO [00005069] * RD COMPARE * port=1 adr=04 act=79C13E445DCF16019F exp=79C13E445DCF16019F + 5067.50ns INFO [00005069] Port=0 WR @06=6ED79403A7FB0B94CA + 5067.50ns INFO [00005069] Port=0 RD @03 + 5068.50ns INFO [00005070] Port=1 RD @00 + 5069.50ns INFO [00005071] * RD COMPARE * port=0 adr=03 act=C659257A4638201E2B exp=C659257A4638201E2B + 5069.50ns INFO [00005071] Port=1 RD @05 + 5070.50ns INFO [00005072] * RD COMPARE * port=1 adr=00 act=F0EAE2D1DD0B64C05A exp=F0EAE2D1DD0B64C05A + 5071.50ns INFO [00005073] * RD COMPARE * port=1 adr=05 act=0E222F3A79850B8F8E exp=0E222F3A79850B8F8E + 5071.50ns INFO [00005073] Port=0 WR @07=964EC40A100D3D6F18 + 5071.50ns INFO [00005073] Port=0 RD @02 + 5072.50ns INFO [00005074] Port=0 RD @02 + 5073.50ns INFO [00005075] * RD COMPARE * port=0 adr=02 act=C79ABC997DAC567BAA exp=C79ABC997DAC567BAA + 5073.50ns INFO [00005075] Port=0 WR @01=0FA1FB7520A6200BB0 + 5073.50ns INFO [00005075] Port=0 RD @00 + 5074.50ns INFO [00005076] * RD COMPARE * port=0 adr=02 act=C79ABC997DAC567BAA exp=C79ABC997DAC567BAA + 5074.50ns INFO [00005076] Port=1 RD @03 + 5075.50ns INFO [00005077] * RD COMPARE * port=0 adr=00 act=F0EAE2D1DD0B64C05A exp=F0EAE2D1DD0B64C05A + 5075.50ns INFO [00005077] Port=0 RD @03 + 5075.50ns INFO [00005077] Port=1 RD @05 + 5076.50ns INFO [00005078] * RD COMPARE * port=1 adr=03 act=C659257A4638201E2B exp=C659257A4638201E2B + 5076.50ns INFO [00005078] Port=0 RD @02 + 5076.50ns INFO [00005078] Port=1 RD @04 + 5077.50ns INFO [00005079] * RD COMPARE * port=0 adr=03 act=C659257A4638201E2B exp=C659257A4638201E2B + 5077.50ns INFO [00005079] * RD COMPARE * port=1 adr=05 act=0E222F3A79850B8F8E exp=0E222F3A79850B8F8E + 5077.50ns INFO [00005079] Port=0 WR @04=41F2FAFC585CBFCE24 + 5077.50ns INFO [00005079] Port=1 RD @02 + 5078.50ns INFO [00005080] * RD COMPARE * port=0 adr=02 act=C79ABC997DAC567BAA exp=C79ABC997DAC567BAA + 5078.50ns INFO [00005080] * RD COMPARE * port=1 adr=04 act=79C13E445DCF16019F exp=79C13E445DCF16019F + 5079.50ns INFO [00005081] * RD COMPARE * port=1 adr=02 act=C79ABC997DAC567BAA exp=C79ABC997DAC567BAA + 5079.50ns INFO [00005081] Port=1 RD @03 + 5080.50ns INFO [00005082] Port=0 WR @06=DB95507C9EED6C92A1 + 5080.50ns INFO [00005082] Port=0 RD @00 + 5081.50ns INFO [00005083] * RD COMPARE * port=1 adr=03 act=C659257A4638201E2B exp=C659257A4638201E2B + 5081.50ns INFO [00005083] Port=0 WR @02=01CEDD1D5B6A7B74FE + 5081.50ns INFO [00005083] Port=1 RD @04 + 5082.50ns INFO [00005084] * RD COMPARE * port=0 adr=00 act=F0EAE2D1DD0B64C05A exp=F0EAE2D1DD0B64C05A + 5082.50ns INFO [00005084] Port=1 RD @07 + 5083.50ns INFO [00005085] * RD COMPARE * port=1 adr=04 act=41F2FAFC585CBFCE24 exp=41F2FAFC585CBFCE24 + 5083.50ns INFO [00005085] Port=0 WR @05=BA7D1ABF4BD8627CDE + 5084.50ns INFO [00005086] * RD COMPARE * port=1 adr=07 act=964EC40A100D3D6F18 exp=964EC40A100D3D6F18 + 5084.50ns INFO [00005086] Port=0 WR @07=094D7B718C5ACC7AA4 + 5085.50ns INFO [00005087] Port=0 WR @06=124AE4E8E62B41788E + 5085.50ns INFO [00005087] Port=0 RD @04 + 5085.50ns INFO [00005087] Port=1 RD @00 + 5086.50ns INFO [00005088] Port=0 WR @00=0E94AC22E0C55DFF33 + 5086.50ns INFO [00005088] Port=1 RD @05 + 5087.50ns INFO [00005089] * RD COMPARE * port=0 adr=04 act=41F2FAFC585CBFCE24 exp=41F2FAFC585CBFCE24 + 5087.50ns INFO [00005089] * RD COMPARE * port=1 adr=00 act=F0EAE2D1DD0B64C05A exp=F0EAE2D1DD0B64C05A + 5087.50ns INFO [00005089] Port=0 RD @02 + 5087.50ns INFO [00005089] Port=1 RD @03 + 5088.50ns INFO [00005090] * RD COMPARE * port=1 adr=05 act=BA7D1ABF4BD8627CDE exp=BA7D1ABF4BD8627CDE + 5088.50ns INFO [00005090] Port=1 RD @05 + 5089.50ns INFO [00005091] * RD COMPARE * port=0 adr=02 act=01CEDD1D5B6A7B74FE exp=01CEDD1D5B6A7B74FE + 5089.50ns INFO [00005091] * RD COMPARE * port=1 adr=03 act=C659257A4638201E2B exp=C659257A4638201E2B + 5089.50ns INFO [00005091] Port=0 WR @03=ECCAD44B298994906F + 5089.50ns INFO [00005091] Port=0 RD @01 + 5090.50ns INFO [00005092] * RD COMPARE * port=1 adr=05 act=BA7D1ABF4BD8627CDE exp=BA7D1ABF4BD8627CDE + 5090.50ns INFO [00005092] Port=0 RD @07 + 5090.50ns INFO [00005092] Port=1 RD @02 + 5091.50ns INFO [00005093] * RD COMPARE * port=0 adr=01 act=0FA1FB7520A6200BB0 exp=0FA1FB7520A6200BB0 + 5091.50ns INFO [00005093] Port=0 RD @06 + 5092.50ns INFO [00005094] * RD COMPARE * port=0 adr=07 act=094D7B718C5ACC7AA4 exp=094D7B718C5ACC7AA4 + 5092.50ns INFO [00005094] * RD COMPARE * port=1 adr=02 act=01CEDD1D5B6A7B74FE exp=01CEDD1D5B6A7B74FE + 5092.50ns INFO [00005094] Port=1 RD @04 + 5093.50ns INFO [00005095] * RD COMPARE * port=0 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5093.50ns INFO [00005095] Port=0 WR @04=386EB85014BF1FD8E2 + 5093.50ns INFO [00005095] Port=0 RD @07 + 5093.50ns INFO [00005095] Port=1 RD @05 + 5094.50ns INFO [00005096] * RD COMPARE * port=1 adr=04 act=41F2FAFC585CBFCE24 exp=41F2FAFC585CBFCE24 + 5095.50ns INFO [00005097] * RD COMPARE * port=0 adr=07 act=094D7B718C5ACC7AA4 exp=094D7B718C5ACC7AA4 + 5095.50ns INFO [00005097] * RD COMPARE * port=1 adr=05 act=BA7D1ABF4BD8627CDE exp=BA7D1ABF4BD8627CDE + 5095.50ns INFO [00005097] Port=1 RD @04 + 5096.50ns INFO [00005098] Port=0 RD @00 + 5097.50ns INFO [00005099] * RD COMPARE * port=1 adr=04 act=386EB85014BF1FD8E2 exp=386EB85014BF1FD8E2 + 5097.50ns INFO [00005099] Port=1 RD @00 + 5098.00ns INFO [00005100] [00005100] ...tick... + 5098.50ns INFO [00005100] * RD COMPARE * port=0 adr=00 act=0E94AC22E0C55DFF33 exp=0E94AC22E0C55DFF33 + 5098.50ns INFO [00005100] Port=1 RD @07 + 5099.50ns INFO [00005101] * RD COMPARE * port=1 adr=00 act=0E94AC22E0C55DFF33 exp=0E94AC22E0C55DFF33 + 5099.50ns INFO [00005101] Port=0 WR @04=A19FC9C7EEDEABA84D + 5100.50ns INFO [00005102] * RD COMPARE * port=1 adr=07 act=094D7B718C5ACC7AA4 exp=094D7B718C5ACC7AA4 + 5100.50ns INFO [00005102] Port=1 RD @07 + 5101.50ns INFO [00005103] Port=1 RD @04 + 5102.50ns INFO [00005104] * RD COMPARE * port=1 adr=07 act=094D7B718C5ACC7AA4 exp=094D7B718C5ACC7AA4 + 5102.50ns INFO [00005104] Port=0 RD @06 + 5102.50ns INFO [00005104] Port=1 RD @07 + 5103.50ns INFO [00005105] * RD COMPARE * port=1 adr=04 act=A19FC9C7EEDEABA84D exp=A19FC9C7EEDEABA84D + 5103.50ns INFO [00005105] Port=1 RD @05 + 5104.50ns INFO [00005106] * RD COMPARE * port=0 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5104.50ns INFO [00005106] * RD COMPARE * port=1 adr=07 act=094D7B718C5ACC7AA4 exp=094D7B718C5ACC7AA4 + 5104.50ns INFO [00005106] Port=0 WR @00=CA32023D6FA6E8BA78 + 5104.50ns INFO [00005106] Port=0 RD @04 + 5104.50ns INFO [00005106] Port=1 RD @03 + 5105.50ns INFO [00005107] * RD COMPARE * port=1 adr=05 act=BA7D1ABF4BD8627CDE exp=BA7D1ABF4BD8627CDE + 5106.50ns INFO [00005108] * RD COMPARE * port=0 adr=04 act=A19FC9C7EEDEABA84D exp=A19FC9C7EEDEABA84D + 5106.50ns INFO [00005108] * RD COMPARE * port=1 adr=03 act=ECCAD44B298994906F exp=ECCAD44B298994906F + 5106.50ns INFO [00005108] Port=0 RD @06 + 5106.50ns INFO [00005108] Port=1 RD @01 + 5107.50ns INFO [00005109] Port=0 WR @01=E59515D64558AD8105 + 5108.50ns INFO [00005110] * RD COMPARE * port=0 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5108.50ns INFO [00005110] * RD COMPARE * port=1 adr=01 act=0FA1FB7520A6200BB0 exp=0FA1FB7520A6200BB0 + 5108.50ns INFO [00005110] Port=0 WR @04=575FEE1E35D15F8584 + 5108.50ns INFO [00005110] Port=0 RD @05 + 5109.50ns INFO [00005111] Port=1 RD @03 + 5110.50ns INFO [00005112] * RD COMPARE * port=0 adr=05 act=BA7D1ABF4BD8627CDE exp=BA7D1ABF4BD8627CDE + 5110.50ns INFO [00005112] Port=0 WR @00=DDC71B69174A1BF8EE + 5110.50ns INFO [00005112] Port=0 RD @03 + 5111.50ns INFO [00005113] * RD COMPARE * port=1 adr=03 act=ECCAD44B298994906F exp=ECCAD44B298994906F + 5111.50ns INFO [00005113] Port=1 RD @00 + 5112.50ns INFO [00005114] * RD COMPARE * port=0 adr=03 act=ECCAD44B298994906F exp=ECCAD44B298994906F + 5113.50ns INFO [00005115] * RD COMPARE * port=1 adr=00 act=DDC71B69174A1BF8EE exp=DDC71B69174A1BF8EE + 5114.50ns INFO [00005116] Port=0 WR @04=D7121A24EEB0ED1FE1 + 5116.50ns INFO [00005118] Port=0 WR @01=ABE43FF81D0CA3824B + 5116.50ns INFO [00005118] Port=0 RD @04 + 5116.50ns INFO [00005118] Port=1 RD @00 + 5117.50ns INFO [00005119] Port=0 WR @01=FD4384FDA6D7A270A0 + 5117.50ns INFO [00005119] Port=0 RD @00 + 5118.50ns INFO [00005120] * RD COMPARE * port=0 adr=04 act=D7121A24EEB0ED1FE1 exp=D7121A24EEB0ED1FE1 + 5118.50ns INFO [00005120] * RD COMPARE * port=1 adr=00 act=DDC71B69174A1BF8EE exp=DDC71B69174A1BF8EE + 5119.50ns INFO [00005121] * RD COMPARE * port=0 adr=00 act=DDC71B69174A1BF8EE exp=DDC71B69174A1BF8EE + 5119.50ns INFO [00005121] Port=0 RD @05 + 5120.50ns INFO [00005122] Port=0 WR @01=7D31E38F7B301E1F6C + 5120.50ns INFO [00005122] Port=0 RD @02 + 5121.50ns INFO [00005123] * RD COMPARE * port=0 adr=05 act=BA7D1ABF4BD8627CDE exp=BA7D1ABF4BD8627CDE + 5121.50ns INFO [00005123] Port=0 RD @06 + 5122.50ns INFO [00005124] * RD COMPARE * port=0 adr=02 act=01CEDD1D5B6A7B74FE exp=01CEDD1D5B6A7B74FE + 5123.50ns INFO [00005125] * RD COMPARE * port=0 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5123.50ns INFO [00005125] Port=1 RD @03 + 5124.50ns INFO [00005126] Port=1 RD @07 + 5125.50ns INFO [00005127] * RD COMPARE * port=1 adr=03 act=ECCAD44B298994906F exp=ECCAD44B298994906F + 5125.50ns INFO [00005127] Port=0 RD @01 + 5125.50ns INFO [00005127] Port=1 RD @06 + 5126.50ns INFO [00005128] * RD COMPARE * port=1 adr=07 act=094D7B718C5ACC7AA4 exp=094D7B718C5ACC7AA4 + 5126.50ns INFO [00005128] Port=1 RD @02 + 5127.50ns INFO [00005129] * RD COMPARE * port=0 adr=01 act=7D31E38F7B301E1F6C exp=7D31E38F7B301E1F6C + 5127.50ns INFO [00005129] * RD COMPARE * port=1 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5127.50ns INFO [00005129] Port=0 WR @07=D6A673E76AF58BAD07 + 5127.50ns INFO [00005129] Port=0 RD @05 + 5127.50ns INFO [00005129] Port=1 RD @02 + 5128.50ns INFO [00005130] * RD COMPARE * port=1 adr=02 act=01CEDD1D5B6A7B74FE exp=01CEDD1D5B6A7B74FE + 5128.50ns INFO [00005130] Port=0 RD @05 + 5128.50ns INFO [00005130] Port=1 RD @04 + 5129.50ns INFO [00005131] * RD COMPARE * port=0 adr=05 act=BA7D1ABF4BD8627CDE exp=BA7D1ABF4BD8627CDE + 5129.50ns INFO [00005131] * RD COMPARE * port=1 adr=02 act=01CEDD1D5B6A7B74FE exp=01CEDD1D5B6A7B74FE + 5129.50ns INFO [00005131] Port=0 WR @07=00FE6D0379F324078A + 5129.50ns INFO [00005131] Port=1 RD @00 + 5130.50ns INFO [00005132] * RD COMPARE * port=0 adr=05 act=BA7D1ABF4BD8627CDE exp=BA7D1ABF4BD8627CDE + 5130.50ns INFO [00005132] * RD COMPARE * port=1 adr=04 act=D7121A24EEB0ED1FE1 exp=D7121A24EEB0ED1FE1 + 5130.50ns INFO [00005132] Port=0 RD @06 + 5131.50ns INFO [00005133] * RD COMPARE * port=1 adr=00 act=DDC71B69174A1BF8EE exp=DDC71B69174A1BF8EE + 5131.50ns INFO [00005133] Port=0 RD @06 + 5131.50ns INFO [00005133] Port=1 RD @07 + 5132.50ns INFO [00005134] * RD COMPARE * port=0 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5132.50ns INFO [00005134] Port=0 WR @04=DB248D9000F222B2BF + 5132.50ns INFO [00005134] Port=1 RD @00 + 5133.50ns INFO [00005135] * RD COMPARE * port=0 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5133.50ns INFO [00005135] * RD COMPARE * port=1 adr=07 act=00FE6D0379F324078A exp=00FE6D0379F324078A + 5133.50ns INFO [00005135] Port=0 RD @04 + 5134.50ns INFO [00005136] * RD COMPARE * port=1 adr=00 act=DDC71B69174A1BF8EE exp=DDC71B69174A1BF8EE + 5134.50ns INFO [00005136] Port=0 RD @01 + 5134.50ns INFO [00005136] Port=1 RD @04 + 5135.50ns INFO [00005137] * RD COMPARE * port=0 adr=04 act=DB248D9000F222B2BF exp=DB248D9000F222B2BF + 5135.50ns INFO [00005137] Port=0 WR @02=7FD793C3E798E3E3EB + 5136.50ns INFO [00005138] * RD COMPARE * port=0 adr=01 act=7D31E38F7B301E1F6C exp=7D31E38F7B301E1F6C + 5136.50ns INFO [00005138] * RD COMPARE * port=1 adr=04 act=DB248D9000F222B2BF exp=DB248D9000F222B2BF + 5136.50ns INFO [00005138] Port=1 RD @02 + 5138.50ns INFO [00005140] * RD COMPARE * port=1 adr=02 act=7FD793C3E798E3E3EB exp=7FD793C3E798E3E3EB + 5139.50ns INFO [00005141] Port=0 WR @03=648E519F855805E988 + 5140.50ns INFO [00005142] Port=1 RD @05 + 5141.50ns INFO [00005143] Port=0 WR @04=8751FC6AF16D13A8F0 + 5141.50ns INFO [00005143] Port=0 RD @03 + 5142.50ns INFO [00005144] * RD COMPARE * port=1 adr=05 act=BA7D1ABF4BD8627CDE exp=BA7D1ABF4BD8627CDE + 5142.50ns INFO [00005144] Port=1 RD @05 + 5143.50ns INFO [00005145] * RD COMPARE * port=0 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5143.50ns INFO [00005145] Port=0 RD @02 + 5144.50ns INFO [00005146] * RD COMPARE * port=1 adr=05 act=BA7D1ABF4BD8627CDE exp=BA7D1ABF4BD8627CDE + 5144.50ns INFO [00005146] Port=0 RD @03 + 5144.50ns INFO [00005146] Port=1 RD @06 + 5145.50ns INFO [00005147] * RD COMPARE * port=0 adr=02 act=7FD793C3E798E3E3EB exp=7FD793C3E798E3E3EB + 5145.50ns INFO [00005147] Port=0 WR @05=CA168AE483050C8906 + 5145.50ns INFO [00005147] Port=1 RD @06 + 5146.50ns INFO [00005148] * RD COMPARE * port=0 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5146.50ns INFO [00005148] * RD COMPARE * port=1 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5146.50ns INFO [00005148] Port=1 RD @06 + 5147.50ns INFO [00005149] * RD COMPARE * port=1 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5148.50ns INFO [00005150] * RD COMPARE * port=1 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5148.50ns INFO [00005150] Port=1 RD @03 + 5149.50ns INFO [00005151] Port=0 RD @06 + 5150.50ns INFO [00005152] * RD COMPARE * port=1 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5150.50ns INFO [00005152] Port=0 WR @00=0008FE822CA123C8F0 + 5150.50ns INFO [00005152] Port=0 RD @03 + 5151.50ns INFO [00005153] * RD COMPARE * port=0 adr=06 act=124AE4E8E62B41788E exp=124AE4E8E62B41788E + 5151.50ns INFO [00005153] Port=0 WR @06=A70F69CFD1F3D5AE0F + 5151.50ns INFO [00005153] Port=0 RD @05 + 5152.50ns INFO [00005154] * RD COMPARE * port=0 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5152.50ns INFO [00005154] Port=1 RD @01 + 5153.50ns INFO [00005155] * RD COMPARE * port=0 adr=05 act=CA168AE483050C8906 exp=CA168AE483050C8906 + 5153.50ns INFO [00005155] Port=0 RD @06 + 5154.50ns INFO [00005156] * RD COMPARE * port=1 adr=01 act=7D31E38F7B301E1F6C exp=7D31E38F7B301E1F6C + 5154.50ns INFO [00005156] Port=0 WR @04=BFE7632367786D2F5B + 5154.50ns INFO [00005156] Port=0 RD @05 + 5155.50ns INFO [00005157] * RD COMPARE * port=0 adr=06 act=A70F69CFD1F3D5AE0F exp=A70F69CFD1F3D5AE0F + 5155.50ns INFO [00005157] Port=0 WR @01=0E6B60132F577CF8EE + 5155.50ns INFO [00005157] Port=0 RD @07 + 5156.50ns INFO [00005158] * RD COMPARE * port=0 adr=05 act=CA168AE483050C8906 exp=CA168AE483050C8906 + 5156.50ns INFO [00005158] Port=1 RD @00 + 5157.50ns INFO [00005159] * RD COMPARE * port=0 adr=07 act=00FE6D0379F324078A exp=00FE6D0379F324078A + 5158.50ns INFO [00005160] * RD COMPARE * port=1 adr=00 act=0008FE822CA123C8F0 exp=0008FE822CA123C8F0 + 5158.50ns INFO [00005160] Port=0 WR @01=E6D4E5B2FC29153721 + 5158.50ns INFO [00005160] Port=0 RD @04 + 5159.50ns INFO [00005161] Port=0 RD @02 + 5160.50ns INFO [00005162] * RD COMPARE * port=0 adr=04 act=BFE7632367786D2F5B exp=BFE7632367786D2F5B + 5161.50ns INFO [00005163] * RD COMPARE * port=0 adr=02 act=7FD793C3E798E3E3EB exp=7FD793C3E798E3E3EB + 5161.50ns INFO [00005163] Port=0 WR @01=B7AD328C001CE4097B + 5161.50ns INFO [00005163] Port=0 RD @00 + 5161.50ns INFO [00005163] Port=1 RD @00 + 5162.50ns INFO [00005164] Port=0 WR @06=971BF896E907823982 + 5162.50ns INFO [00005164] Port=1 RD @07 + 5163.50ns INFO [00005165] * RD COMPARE * port=0 adr=00 act=0008FE822CA123C8F0 exp=0008FE822CA123C8F0 + 5163.50ns INFO [00005165] * RD COMPARE * port=1 adr=00 act=0008FE822CA123C8F0 exp=0008FE822CA123C8F0 + 5164.50ns INFO [00005166] * RD COMPARE * port=1 adr=07 act=00FE6D0379F324078A exp=00FE6D0379F324078A + 5165.50ns INFO [00005167] Port=0 RD @01 + 5166.50ns INFO [00005168] Port=1 RD @02 + 5167.50ns INFO [00005169] * RD COMPARE * port=0 adr=01 act=B7AD328C001CE4097B exp=B7AD328C001CE4097B + 5167.50ns INFO [00005169] Port=0 RD @03 + 5168.50ns INFO [00005170] * RD COMPARE * port=1 adr=02 act=7FD793C3E798E3E3EB exp=7FD793C3E798E3E3EB + 5168.50ns INFO [00005170] Port=1 RD @04 + 5169.50ns INFO [00005171] * RD COMPARE * port=0 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5169.50ns INFO [00005171] Port=1 RD @01 + 5170.50ns INFO [00005172] * RD COMPARE * port=1 adr=04 act=BFE7632367786D2F5B exp=BFE7632367786D2F5B + 5171.50ns INFO [00005173] * RD COMPARE * port=1 adr=01 act=B7AD328C001CE4097B exp=B7AD328C001CE4097B + 5171.50ns INFO [00005173] Port=0 WR @06=F3A2A516548EA13246 + 5171.50ns INFO [00005173] Port=1 RD @03 + 5172.50ns INFO [00005174] Port=0 WR @00=6866BE2E43B2D374F1 + 5172.50ns INFO [00005174] Port=1 RD @02 + 5173.50ns INFO [00005175] * RD COMPARE * port=1 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5174.50ns INFO [00005176] * RD COMPARE * port=1 adr=02 act=7FD793C3E798E3E3EB exp=7FD793C3E798E3E3EB + 5174.50ns INFO [00005176] Port=1 RD @02 + 5175.50ns INFO [00005177] Port=0 RD @06 + 5175.50ns INFO [00005177] Port=1 RD @03 + 5176.50ns INFO [00005178] * RD COMPARE * port=1 adr=02 act=7FD793C3E798E3E3EB exp=7FD793C3E798E3E3EB + 5176.50ns INFO [00005178] Port=1 RD @06 + 5177.50ns INFO [00005179] * RD COMPARE * port=0 adr=06 act=F3A2A516548EA13246 exp=F3A2A516548EA13246 + 5177.50ns INFO [00005179] * RD COMPARE * port=1 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5177.50ns INFO [00005179] Port=0 WR @02=5E60C059F480D4C6E0 + 5177.50ns INFO [00005179] Port=1 RD @03 + 5178.50ns INFO [00005180] * RD COMPARE * port=1 adr=06 act=F3A2A516548EA13246 exp=F3A2A516548EA13246 + 5179.50ns INFO [00005181] * RD COMPARE * port=1 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5179.50ns INFO [00005181] Port=0 RD @04 + 5179.50ns INFO [00005181] Port=1 RD @04 + 5180.50ns INFO [00005182] Port=0 WR @07=96156745C0DC528BFF + 5180.50ns INFO [00005182] Port=0 RD @05 + 5180.50ns INFO [00005182] Port=1 RD @03 + 5181.50ns INFO [00005183] * RD COMPARE * port=0 adr=04 act=BFE7632367786D2F5B exp=BFE7632367786D2F5B + 5181.50ns INFO [00005183] * RD COMPARE * port=1 adr=04 act=BFE7632367786D2F5B exp=BFE7632367786D2F5B + 5181.50ns INFO [00005183] Port=0 WR @00=92F659489EDC334C71 + 5181.50ns INFO [00005183] Port=0 RD @04 + 5182.50ns INFO [00005184] * RD COMPARE * port=0 adr=05 act=CA168AE483050C8906 exp=CA168AE483050C8906 + 5182.50ns INFO [00005184] * RD COMPARE * port=1 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5182.50ns INFO [00005184] Port=0 RD @00 + 5183.50ns INFO [00005185] * RD COMPARE * port=0 adr=04 act=BFE7632367786D2F5B exp=BFE7632367786D2F5B + 5183.50ns INFO [00005185] Port=0 WR @01=A1E236AFDE7A328EEB + 5183.50ns INFO [00005185] Port=0 RD @06 + 5183.50ns INFO [00005185] Port=1 RD @03 + 5184.50ns INFO [00005186] * RD COMPARE * port=0 adr=00 act=92F659489EDC334C71 exp=92F659489EDC334C71 + 5184.50ns INFO [00005186] Port=0 WR @02=B41D0478F3F6B89687 + 5184.50ns INFO [00005186] Port=0 RD @01 + 5184.50ns INFO [00005186] Port=1 RD @00 + 5185.50ns INFO [00005187] * RD COMPARE * port=0 adr=06 act=F3A2A516548EA13246 exp=F3A2A516548EA13246 + 5185.50ns INFO [00005187] * RD COMPARE * port=1 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5185.50ns INFO [00005187] Port=0 RD @03 + 5186.50ns INFO [00005188] * RD COMPARE * port=0 adr=01 act=A1E236AFDE7A328EEB exp=A1E236AFDE7A328EEB + 5186.50ns INFO [00005188] * RD COMPARE * port=1 adr=00 act=92F659489EDC334C71 exp=92F659489EDC334C71 + 5186.50ns INFO [00005188] Port=0 WR @01=AA7BBDD758F1C59C86 + 5187.50ns INFO [00005189] * RD COMPARE * port=0 adr=03 act=648E519F855805E988 exp=648E519F855805E988 + 5187.50ns INFO [00005189] Port=0 WR @04=5446F5C21635395C3F + 5187.50ns INFO [00005189] Port=0 RD @02 + 5188.50ns INFO [00005190] Port=0 WR @04=9CD179C4A8A7142F01 + 5189.50ns INFO [00005191] * RD COMPARE * port=0 adr=02 act=B41D0478F3F6B89687 exp=B41D0478F3F6B89687 + 5190.50ns INFO [00005192] Port=0 RD @06 + 5191.50ns INFO [00005193] Port=0 WR @05=1748D34786CD03D978 + 5191.50ns INFO [00005193] Port=1 RD @07 + 5192.50ns INFO [00005194] * RD COMPARE * port=0 adr=06 act=F3A2A516548EA13246 exp=F3A2A516548EA13246 + 5192.50ns INFO [00005194] Port=0 RD @06 + 5192.50ns INFO [00005194] Port=1 RD @00 + 5193.50ns INFO [00005195] * RD COMPARE * port=1 adr=07 act=96156745C0DC528BFF exp=96156745C0DC528BFF + 5193.50ns INFO [00005195] Port=0 RD @02 + 5194.50ns INFO [00005196] * RD COMPARE * port=0 adr=06 act=F3A2A516548EA13246 exp=F3A2A516548EA13246 + 5194.50ns INFO [00005196] * RD COMPARE * port=1 adr=00 act=92F659489EDC334C71 exp=92F659489EDC334C71 + 5194.50ns INFO [00005196] Port=0 WR @05=F0F25B89B95BE6C1B4 + 5195.50ns INFO [00005197] * RD COMPARE * port=0 adr=02 act=B41D0478F3F6B89687 exp=B41D0478F3F6B89687 + 5196.50ns INFO [00005198] Port=0 WR @06=9BB81E7FACD34927AB + 5198.00ns INFO [00005200] [00005200] ...tick... + 5198.50ns INFO [00005200] Port=0 WR @00=678E25716F3BA5A9A9 + 5198.50ns INFO [00005200] Port=0 RD @01 + 5198.50ns INFO [00005200] Port=1 RD @04 + 5199.50ns INFO [00005201] Port=0 WR @06=72BA5CECB15543A882 + 5200.50ns INFO [00005202] * RD COMPARE * port=0 adr=01 act=AA7BBDD758F1C59C86 exp=AA7BBDD758F1C59C86 + 5200.50ns INFO [00005202] * RD COMPARE * port=1 adr=04 act=9CD179C4A8A7142F01 exp=9CD179C4A8A7142F01 + 5200.50ns INFO [00005202] Port=0 WR @03=3C12CFD157166C1B22 + 5201.50ns INFO [00005203] Port=1 RD @04 + 5202.50ns INFO [00005204] Port=0 WR @00=812D5FF1307F09353F + 5202.50ns INFO [00005204] Port=0 RD @04 + 5202.50ns INFO [00005204] Port=1 RD @05 + 5203.50ns INFO [00005205] * RD COMPARE * port=1 adr=04 act=9CD179C4A8A7142F01 exp=9CD179C4A8A7142F01 + 5203.50ns INFO [00005205] Port=0 RD @06 + 5204.50ns INFO [00005206] * RD COMPARE * port=0 adr=04 act=9CD179C4A8A7142F01 exp=9CD179C4A8A7142F01 + 5204.50ns INFO [00005206] * RD COMPARE * port=1 adr=05 act=F0F25B89B95BE6C1B4 exp=F0F25B89B95BE6C1B4 + 5204.50ns INFO [00005206] Port=0 WR @01=37F027A1AAC7B84DEC + 5204.50ns INFO [00005206] Port=0 RD @03 + 5205.50ns INFO [00005207] * RD COMPARE * port=0 adr=06 act=72BA5CECB15543A882 exp=72BA5CECB15543A882 + 5206.50ns INFO [00005208] * RD COMPARE * port=0 adr=03 act=3C12CFD157166C1B22 exp=3C12CFD157166C1B22 + 5206.50ns INFO [00005208] Port=0 WR @04=EF8B669FEEE27E493D + 5207.50ns INFO [00005209] Port=0 RD @04 + 5207.50ns INFO [00005209] Port=1 RD @03 + 5208.50ns INFO [00005210] Port=0 RD @07 + 5209.50ns INFO [00005211] * RD COMPARE * port=0 adr=04 act=EF8B669FEEE27E493D exp=EF8B669FEEE27E493D + 5209.50ns INFO [00005211] * RD COMPARE * port=1 adr=03 act=3C12CFD157166C1B22 exp=3C12CFD157166C1B22 + 5209.50ns INFO [00005211] Port=0 WR @02=FFEAECD213E23F8BF6 + 5210.50ns INFO [00005212] * RD COMPARE * port=0 adr=07 act=96156745C0DC528BFF exp=96156745C0DC528BFF + 5211.50ns INFO [00005213] Port=0 RD @04 + 5212.50ns INFO [00005214] Port=0 WR @06=FB0BAAA39050ABFB1E + 5213.50ns INFO [00005215] * RD COMPARE * port=0 adr=04 act=EF8B669FEEE27E493D exp=EF8B669FEEE27E493D + 5213.50ns INFO [00005215] Port=1 RD @05 + 5214.50ns INFO [00005216] Port=0 WR @01=30AB0BF67FA1496735 + 5215.50ns INFO [00005217] * RD COMPARE * port=1 adr=05 act=F0F25B89B95BE6C1B4 exp=F0F25B89B95BE6C1B4 + 5215.50ns INFO [00005217] Port=0 WR @04=8D15C57BB28F8599A3 + 5215.50ns INFO [00005217] Port=1 RD @00 + 5216.50ns INFO [00005218] Port=1 RD @06 + 5217.50ns INFO [00005219] * RD COMPARE * port=1 adr=00 act=812D5FF1307F09353F exp=812D5FF1307F09353F + 5217.50ns INFO [00005219] Port=0 WR @06=F27627924C9497977E + 5217.50ns INFO [00005219] Port=0 RD @05 + 5218.50ns INFO [00005220] * RD COMPARE * port=1 adr=06 act=FB0BAAA39050ABFB1E exp=FB0BAAA39050ABFB1E + 5218.50ns INFO [00005220] Port=1 RD @07 + 5219.50ns INFO [00005221] * RD COMPARE * port=0 adr=05 act=F0F25B89B95BE6C1B4 exp=F0F25B89B95BE6C1B4 + 5219.50ns INFO [00005221] Port=1 RD @01 + 5220.50ns INFO [00005222] * RD COMPARE * port=1 adr=07 act=96156745C0DC528BFF exp=96156745C0DC528BFF + 5220.50ns INFO [00005222] Port=0 RD @03 + 5221.50ns INFO [00005223] * RD COMPARE * port=1 adr=01 act=30AB0BF67FA1496735 exp=30AB0BF67FA1496735 + 5221.50ns INFO [00005223] Port=0 RD @07 + 5222.50ns INFO [00005224] * RD COMPARE * port=0 adr=03 act=3C12CFD157166C1B22 exp=3C12CFD157166C1B22 + 5222.50ns INFO [00005224] Port=1 RD @00 + 5223.50ns INFO [00005225] * RD COMPARE * port=0 adr=07 act=96156745C0DC528BFF exp=96156745C0DC528BFF + 5223.50ns INFO [00005225] Port=0 WR @04=24BA8B6514B5824945 + 5223.50ns INFO [00005225] Port=1 RD @00 + 5224.50ns INFO [00005226] * RD COMPARE * port=1 adr=00 act=812D5FF1307F09353F exp=812D5FF1307F09353F + 5224.50ns INFO [00005226] Port=0 WR @07=A3A5900D99FB050D4D + 5225.50ns INFO [00005227] * RD COMPARE * port=1 adr=00 act=812D5FF1307F09353F exp=812D5FF1307F09353F + 5225.50ns INFO [00005227] Port=1 RD @00 + 5227.50ns INFO [00005229] * RD COMPARE * port=1 adr=00 act=812D5FF1307F09353F exp=812D5FF1307F09353F + 5229.50ns INFO [00005231] Port=0 WR @07=3D6A59E454BAD27A50 + 5229.50ns INFO [00005231] Port=1 RD @02 + 5231.50ns INFO [00005233] * RD COMPARE * port=1 adr=02 act=FFEAECD213E23F8BF6 exp=FFEAECD213E23F8BF6 + 5232.50ns INFO [00005234] Port=0 RD @03 + 5233.50ns INFO [00005235] Port=0 RD @06 + 5233.50ns INFO [00005235] Port=1 RD @04 + 5234.50ns INFO [00005236] * RD COMPARE * port=0 adr=03 act=3C12CFD157166C1B22 exp=3C12CFD157166C1B22 + 5234.50ns INFO [00005236] Port=0 RD @03 + 5234.50ns INFO [00005236] Port=1 RD @06 + 5235.50ns INFO [00005237] * RD COMPARE * port=0 adr=06 act=F27627924C9497977E exp=F27627924C9497977E + 5235.50ns INFO [00005237] * RD COMPARE * port=1 adr=04 act=24BA8B6514B5824945 exp=24BA8B6514B5824945 + 5235.50ns INFO [00005237] Port=0 WR @00=4149AC3767400631BC + 5235.50ns INFO [00005237] Port=1 RD @06 + 5236.50ns INFO [00005238] * RD COMPARE * port=0 adr=03 act=3C12CFD157166C1B22 exp=3C12CFD157166C1B22 + 5236.50ns INFO [00005238] * RD COMPARE * port=1 adr=06 act=F27627924C9497977E exp=F27627924C9497977E + 5236.50ns INFO [00005238] Port=0 WR @06=889E9ED04C87F418EC + 5236.50ns INFO [00005238] Port=0 RD @00 + 5237.50ns INFO [00005239] * RD COMPARE * port=1 adr=06 act=F27627924C9497977E exp=F27627924C9497977E + 5237.50ns INFO [00005239] Port=1 RD @00 + 5238.50ns INFO [00005240] * RD COMPARE * port=0 adr=00 act=4149AC3767400631BC exp=4149AC3767400631BC + 5238.50ns INFO [00005240] Port=0 RD @07 + 5238.50ns INFO [00005240] Port=1 RD @01 + 5239.50ns INFO [00005241] * RD COMPARE * port=1 adr=00 act=4149AC3767400631BC exp=4149AC3767400631BC + 5239.50ns INFO [00005241] Port=0 RD @00 + 5240.50ns INFO [00005242] * RD COMPARE * port=0 adr=07 act=3D6A59E454BAD27A50 exp=3D6A59E454BAD27A50 + 5240.50ns INFO [00005242] * RD COMPARE * port=1 adr=01 act=30AB0BF67FA1496735 exp=30AB0BF67FA1496735 + 5240.50ns INFO [00005242] Port=0 WR @07=70CC3EFEB7B37F423C + 5240.50ns INFO [00005242] Port=1 RD @00 + 5241.50ns INFO [00005243] * RD COMPARE * port=0 adr=00 act=4149AC3767400631BC exp=4149AC3767400631BC + 5241.50ns INFO [00005243] Port=0 RD @01 + 5241.50ns INFO [00005243] Port=1 RD @07 + 5242.50ns INFO [00005244] * RD COMPARE * port=1 adr=00 act=4149AC3767400631BC exp=4149AC3767400631BC + 5243.50ns INFO [00005245] * RD COMPARE * port=0 adr=01 act=30AB0BF67FA1496735 exp=30AB0BF67FA1496735 + 5243.50ns INFO [00005245] * RD COMPARE * port=1 adr=07 act=70CC3EFEB7B37F423C exp=70CC3EFEB7B37F423C + 5243.50ns INFO [00005245] Port=1 RD @04 + 5244.50ns INFO [00005246] Port=0 WR @06=D8805E111EA973AD14 + 5245.50ns INFO [00005247] * RD COMPARE * port=1 adr=04 act=24BA8B6514B5824945 exp=24BA8B6514B5824945 + 5245.50ns INFO [00005247] Port=0 WR @05=8F72FA6CC87A0808A6 + 5245.50ns INFO [00005247] Port=0 RD @07 + 5246.50ns INFO [00005248] Port=0 WR @03=C45671C1377922C71F + 5246.50ns INFO [00005248] Port=1 RD @02 + 5247.50ns INFO [00005249] * RD COMPARE * port=0 adr=07 act=70CC3EFEB7B37F423C exp=70CC3EFEB7B37F423C + 5247.50ns INFO [00005249] Port=0 RD @03 + 5247.50ns INFO [00005249] Port=1 RD @04 + 5248.50ns INFO [00005250] * RD COMPARE * port=1 adr=02 act=FFEAECD213E23F8BF6 exp=FFEAECD213E23F8BF6 + 5248.50ns INFO [00005250] Port=0 RD @07 + 5248.50ns INFO [00005250] Port=1 RD @06 + 5249.50ns INFO [00005251] * RD COMPARE * port=0 adr=03 act=C45671C1377922C71F exp=C45671C1377922C71F + 5249.50ns INFO [00005251] * RD COMPARE * port=1 adr=04 act=24BA8B6514B5824945 exp=24BA8B6514B5824945 + 5249.50ns INFO [00005251] Port=0 RD @00 + 5249.50ns INFO [00005251] Port=1 RD @06 + 5250.50ns INFO [00005252] * RD COMPARE * port=0 adr=07 act=70CC3EFEB7B37F423C exp=70CC3EFEB7B37F423C + 5250.50ns INFO [00005252] * RD COMPARE * port=1 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5250.50ns INFO [00005252] Port=0 WR @02=96B9045AE23D51599C + 5250.50ns INFO [00005252] Port=0 RD @00 + 5251.50ns INFO [00005253] * RD COMPARE * port=0 adr=00 act=4149AC3767400631BC exp=4149AC3767400631BC + 5251.50ns INFO [00005253] * RD COMPARE * port=1 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5252.50ns INFO [00005254] * RD COMPARE * port=0 adr=00 act=4149AC3767400631BC exp=4149AC3767400631BC + 5252.50ns INFO [00005254] Port=0 RD @03 + 5252.50ns INFO [00005254] Port=1 RD @00 + 5254.50ns INFO [00005256] * RD COMPARE * port=0 adr=03 act=C45671C1377922C71F exp=C45671C1377922C71F + 5254.50ns INFO [00005256] * RD COMPARE * port=1 adr=00 act=4149AC3767400631BC exp=4149AC3767400631BC + 5254.50ns INFO [00005256] Port=0 WR @07=54B52F673624986D3F + 5255.50ns INFO [00005257] Port=0 RD @04 + 5255.50ns INFO [00005257] Port=1 RD @04 + 5256.50ns INFO [00005258] Port=0 RD @02 + 5256.50ns INFO [00005258] Port=1 RD @02 + 5257.50ns INFO [00005259] * RD COMPARE * port=0 adr=04 act=24BA8B6514B5824945 exp=24BA8B6514B5824945 + 5257.50ns INFO [00005259] * RD COMPARE * port=1 adr=04 act=24BA8B6514B5824945 exp=24BA8B6514B5824945 + 5258.50ns INFO [00005260] * RD COMPARE * port=0 adr=02 act=96B9045AE23D51599C exp=96B9045AE23D51599C + 5258.50ns INFO [00005260] * RD COMPARE * port=1 adr=02 act=96B9045AE23D51599C exp=96B9045AE23D51599C + 5260.50ns INFO [00005262] Port=1 RD @06 + 5261.50ns INFO [00005263] Port=0 RD @03 + 5261.50ns INFO [00005263] Port=1 RD @03 + 5262.50ns INFO [00005264] * RD COMPARE * port=1 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5262.50ns INFO [00005264] Port=0 WR @00=7F483BA20E0C745301 + 5263.50ns INFO [00005265] * RD COMPARE * port=0 adr=03 act=C45671C1377922C71F exp=C45671C1377922C71F + 5263.50ns INFO [00005265] * RD COMPARE * port=1 adr=03 act=C45671C1377922C71F exp=C45671C1377922C71F + 5263.50ns INFO [00005265] Port=1 RD @06 + 5264.50ns INFO [00005266] Port=0 WR @04=21B70DDF9CE094CED9 + 5264.50ns INFO [00005266] Port=0 RD @01 + 5265.50ns INFO [00005267] * RD COMPARE * port=1 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5265.50ns INFO [00005267] Port=0 WR @07=D250FC2A75DBC101FB + 5266.50ns INFO [00005268] * RD COMPARE * port=0 adr=01 act=30AB0BF67FA1496735 exp=30AB0BF67FA1496735 + 5267.50ns INFO [00005269] Port=0 WR @02=3622CDAA44446E9D8E + 5268.50ns INFO [00005270] Port=1 RD @07 + 5269.50ns INFO [00005271] Port=0 WR @07=F26CF785E5F5F4120B + 5270.50ns INFO [00005272] * RD COMPARE * port=1 adr=07 act=D250FC2A75DBC101FB exp=D250FC2A75DBC101FB + 5270.50ns INFO [00005272] Port=0 WR @07=AE48FD29DB445B992E + 5270.50ns INFO [00005272] Port=0 RD @05 + 5271.50ns INFO [00005273] Port=1 RD @02 + 5272.50ns INFO [00005274] * RD COMPARE * port=0 adr=05 act=8F72FA6CC87A0808A6 exp=8F72FA6CC87A0808A6 + 5273.50ns INFO [00005275] * RD COMPARE * port=1 adr=02 act=3622CDAA44446E9D8E exp=3622CDAA44446E9D8E + 5273.50ns INFO [00005275] Port=0 WR @07=00C57EFCA0FE144823 + 5273.50ns INFO [00005275] Port=1 RD @04 + 5275.50ns INFO [00005277] * RD COMPARE * port=1 adr=04 act=21B70DDF9CE094CED9 exp=21B70DDF9CE094CED9 + 5275.50ns INFO [00005277] Port=0 RD @05 + 5277.50ns INFO [00005279] * RD COMPARE * port=0 adr=05 act=8F72FA6CC87A0808A6 exp=8F72FA6CC87A0808A6 + 5277.50ns INFO [00005279] Port=1 RD @07 + 5278.50ns INFO [00005280] Port=0 WR @04=EF170F8A5C4ECD9384 + 5278.50ns INFO [00005280] Port=0 RD @01 + 5278.50ns INFO [00005280] Port=1 RD @01 + 5279.50ns INFO [00005281] * RD COMPARE * port=1 adr=07 act=00C57EFCA0FE144823 exp=00C57EFCA0FE144823 + 5279.50ns INFO [00005281] Port=0 WR @01=2A47FECEFE75A9F4FC + 5279.50ns INFO [00005281] Port=1 RD @06 + 5280.50ns INFO [00005282] * RD COMPARE * port=0 adr=01 act=30AB0BF67FA1496735 exp=30AB0BF67FA1496735 + 5280.50ns INFO [00005282] * RD COMPARE * port=1 adr=01 act=30AB0BF67FA1496735 exp=30AB0BF67FA1496735 + 5280.50ns INFO [00005282] Port=0 WR @05=571C4817B7C46A56A0 + 5280.50ns INFO [00005282] Port=0 RD @06 + 5281.50ns INFO [00005283] * RD COMPARE * port=1 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5282.50ns INFO [00005284] * RD COMPARE * port=0 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5282.50ns INFO [00005284] Port=0 WR @02=2D1AEF2F1A80C45FD6 + 5282.50ns INFO [00005284] Port=1 RD @04 + 5283.50ns INFO [00005285] Port=0 RD @05 + 5284.50ns INFO [00005286] * RD COMPARE * port=1 adr=04 act=EF170F8A5C4ECD9384 exp=EF170F8A5C4ECD9384 + 5284.50ns INFO [00005286] Port=1 RD @01 + 5285.50ns INFO [00005287] * RD COMPARE * port=0 adr=05 act=571C4817B7C46A56A0 exp=571C4817B7C46A56A0 + 5285.50ns INFO [00005287] Port=1 RD @05 + 5286.50ns INFO [00005288] * RD COMPARE * port=1 adr=01 act=2A47FECEFE75A9F4FC exp=2A47FECEFE75A9F4FC + 5286.50ns INFO [00005288] Port=0 RD @00 + 5286.50ns INFO [00005288] Port=1 RD @04 + 5287.50ns INFO [00005289] * RD COMPARE * port=1 adr=05 act=571C4817B7C46A56A0 exp=571C4817B7C46A56A0 + 5287.50ns INFO [00005289] Port=0 WR @05=8384D7147488ED75B1 + 5287.50ns INFO [00005289] Port=0 RD @06 + 5288.50ns INFO [00005290] * RD COMPARE * port=0 adr=00 act=7F483BA20E0C745301 exp=7F483BA20E0C745301 + 5288.50ns INFO [00005290] * RD COMPARE * port=1 adr=04 act=EF170F8A5C4ECD9384 exp=EF170F8A5C4ECD9384 + 5289.50ns INFO [00005291] * RD COMPARE * port=0 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5289.50ns INFO [00005291] Port=0 WR @04=343C6CE4528ACD1669 + 5289.50ns INFO [00005291] Port=1 RD @06 + 5290.50ns INFO [00005292] Port=0 WR @05=E3496F9D0511622D3A + 5291.50ns INFO [00005293] * RD COMPARE * port=1 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5291.50ns INFO [00005293] Port=0 RD @06 + 5293.50ns INFO [00005295] * RD COMPARE * port=0 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5293.50ns INFO [00005295] Port=0 WR @02=EDB990D6E06D9B6040 + 5293.50ns INFO [00005295] Port=0 RD @00 + 5294.50ns INFO [00005296] Port=0 WR @05=7729C6F56DED967573 + 5294.50ns INFO [00005296] Port=0 RD @04 + 5295.50ns INFO [00005297] * RD COMPARE * port=0 adr=00 act=7F483BA20E0C745301 exp=7F483BA20E0C745301 + 5295.50ns INFO [00005297] Port=0 WR @01=1D02D1F2A5635E4F6B + 5296.50ns INFO [00005298] * RD COMPARE * port=0 adr=04 act=343C6CE4528ACD1669 exp=343C6CE4528ACD1669 + 5297.50ns INFO [00005299] Port=0 WR @05=25A0E96A12A0C09278 + 5298.00ns INFO [00005300] [00005300] ...tick... + 5298.50ns INFO [00005300] Port=0 WR @03=2987F8101529BE9407 + 5298.50ns INFO [00005300] Port=0 RD @01 + 5298.50ns INFO [00005300] Port=1 RD @02 + 5299.50ns INFO [00005301] Port=0 RD @04 + 5300.50ns INFO [00005302] * RD COMPARE * port=0 adr=01 act=1D02D1F2A5635E4F6B exp=1D02D1F2A5635E4F6B + 5300.50ns INFO [00005302] * RD COMPARE * port=1 adr=02 act=EDB990D6E06D9B6040 exp=EDB990D6E06D9B6040 + 5301.50ns INFO [00005303] * RD COMPARE * port=0 adr=04 act=343C6CE4528ACD1669 exp=343C6CE4528ACD1669 + 5301.50ns INFO [00005303] Port=1 RD @05 + 5302.50ns INFO [00005304] Port=1 RD @01 + 5303.50ns INFO [00005305] * RD COMPARE * port=1 adr=05 act=25A0E96A12A0C09278 exp=25A0E96A12A0C09278 + 5303.50ns INFO [00005305] Port=0 WR @01=9469A8ED6909C25B5D + 5303.50ns INFO [00005305] Port=0 RD @03 + 5304.50ns INFO [00005306] * RD COMPARE * port=1 adr=01 act=1D02D1F2A5635E4F6B exp=1D02D1F2A5635E4F6B + 5305.50ns INFO [00005307] * RD COMPARE * port=0 adr=03 act=2987F8101529BE9407 exp=2987F8101529BE9407 + 5305.50ns INFO [00005307] Port=0 RD @02 + 5306.50ns INFO [00005308] Port=0 WR @04=09BA6019725BEE427A + 5306.50ns INFO [00005308] Port=0 RD @07 + 5307.50ns INFO [00005309] * RD COMPARE * port=0 adr=02 act=EDB990D6E06D9B6040 exp=EDB990D6E06D9B6040 + 5307.50ns INFO [00005309] Port=0 WR @00=FA8B59A7943189250E + 5307.50ns INFO [00005309] Port=1 RD @07 + 5308.50ns INFO [00005310] * RD COMPARE * port=0 adr=07 act=00C57EFCA0FE144823 exp=00C57EFCA0FE144823 + 5308.50ns INFO [00005310] Port=0 WR @00=0DB8C6D8BADD8A9566 + 5309.50ns INFO [00005311] * RD COMPARE * port=1 adr=07 act=00C57EFCA0FE144823 exp=00C57EFCA0FE144823 + 5309.50ns INFO [00005311] Port=0 WR @05=EA8C2DE0A7EB107C7D + 5310.50ns INFO [00005312] Port=0 RD @01 + 5311.50ns INFO [00005313] Port=0 WR @04=DFC833BA2BA1BF57A7 + 5311.50ns INFO [00005313] Port=1 RD @06 + 5312.50ns INFO [00005314] * RD COMPARE * port=0 adr=01 act=9469A8ED6909C25B5D exp=9469A8ED6909C25B5D + 5312.50ns INFO [00005314] Port=0 WR @02=816D733237EC3FA276 + 5312.50ns INFO [00005314] Port=0 RD @05 + 5313.50ns INFO [00005315] * RD COMPARE * port=1 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5313.50ns INFO [00005315] Port=1 RD @00 + 5314.50ns INFO [00005316] * RD COMPARE * port=0 adr=05 act=EA8C2DE0A7EB107C7D exp=EA8C2DE0A7EB107C7D + 5314.50ns INFO [00005316] Port=0 WR @00=F1199ADE163470EC40 + 5314.50ns INFO [00005316] Port=0 RD @06 + 5314.50ns INFO [00005316] Port=1 RD @03 + 5315.50ns INFO [00005317] * RD COMPARE * port=1 adr=00 act=0DB8C6D8BADD8A9566 exp=0DB8C6D8BADD8A9566 + 5315.50ns INFO [00005317] Port=1 RD @01 + 5316.50ns INFO [00005318] * RD COMPARE * port=0 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5316.50ns INFO [00005318] * RD COMPARE * port=1 adr=03 act=2987F8101529BE9407 exp=2987F8101529BE9407 + 5316.50ns INFO [00005318] Port=0 RD @03 + 5316.50ns INFO [00005318] Port=1 RD @00 + 5317.50ns INFO [00005319] * RD COMPARE * port=1 adr=01 act=9469A8ED6909C25B5D exp=9469A8ED6909C25B5D + 5317.50ns INFO [00005319] Port=0 WR @07=CC65BEDC569F4FFBA0 + 5317.50ns INFO [00005319] Port=0 RD @01 + 5317.50ns INFO [00005319] Port=1 RD @01 + 5318.50ns INFO [00005320] * RD COMPARE * port=0 adr=03 act=2987F8101529BE9407 exp=2987F8101529BE9407 + 5318.50ns INFO [00005320] * RD COMPARE * port=1 adr=00 act=F1199ADE163470EC40 exp=F1199ADE163470EC40 + 5318.50ns INFO [00005320] Port=1 RD @00 + 5319.50ns INFO [00005321] * RD COMPARE * port=0 adr=01 act=9469A8ED6909C25B5D exp=9469A8ED6909C25B5D + 5319.50ns INFO [00005321] * RD COMPARE * port=1 adr=01 act=9469A8ED6909C25B5D exp=9469A8ED6909C25B5D + 5320.50ns INFO [00005322] * RD COMPARE * port=1 adr=00 act=F1199ADE163470EC40 exp=F1199ADE163470EC40 + 5320.50ns INFO [00005322] Port=0 WR @02=BA94B738D5FBB979E6 + 5321.50ns INFO [00005323] Port=0 WR @05=C1C359BE9D25B9CD0C + 5321.50ns INFO [00005323] Port=1 RD @03 + 5322.50ns INFO [00005324] Port=1 RD @07 + 5323.50ns INFO [00005325] * RD COMPARE * port=1 adr=03 act=2987F8101529BE9407 exp=2987F8101529BE9407 + 5324.50ns INFO [00005326] * RD COMPARE * port=1 adr=07 act=CC65BEDC569F4FFBA0 exp=CC65BEDC569F4FFBA0 + 5324.50ns INFO [00005326] Port=0 RD @07 + 5324.50ns INFO [00005326] Port=1 RD @03 + 5325.50ns INFO [00005327] Port=0 WR @04=8F82F86A96F1D106EE + 5325.50ns INFO [00005327] Port=1 RD @03 + 5326.50ns INFO [00005328] * RD COMPARE * port=0 adr=07 act=CC65BEDC569F4FFBA0 exp=CC65BEDC569F4FFBA0 + 5326.50ns INFO [00005328] * RD COMPARE * port=1 adr=03 act=2987F8101529BE9407 exp=2987F8101529BE9407 + 5326.50ns INFO [00005328] Port=1 RD @03 + 5327.50ns INFO [00005329] * RD COMPARE * port=1 adr=03 act=2987F8101529BE9407 exp=2987F8101529BE9407 + 5327.50ns INFO [00005329] Port=0 RD @03 + 5328.50ns INFO [00005330] * RD COMPARE * port=1 adr=03 act=2987F8101529BE9407 exp=2987F8101529BE9407 + 5328.50ns INFO [00005330] Port=0 WR @01=51422BFFA12874E030 + 5329.50ns INFO [00005331] * RD COMPARE * port=0 adr=03 act=2987F8101529BE9407 exp=2987F8101529BE9407 + 5329.50ns INFO [00005331] Port=1 RD @00 + 5330.50ns INFO [00005332] Port=0 WR @02=CD3567C41694CC359E + 5330.50ns INFO [00005332] Port=0 RD @06 + 5331.50ns INFO [00005333] * RD COMPARE * port=1 adr=00 act=F1199ADE163470EC40 exp=F1199ADE163470EC40 + 5331.50ns INFO [00005333] Port=0 WR @00=4EB526AD7AFB4865DC + 5331.50ns INFO [00005333] Port=0 RD @01 + 5332.50ns INFO [00005334] * RD COMPARE * port=0 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5332.50ns INFO [00005334] Port=0 WR @07=0F91C4D589D0A15E0D + 5332.50ns INFO [00005334] Port=1 RD @03 + 5333.50ns INFO [00005335] * RD COMPARE * port=0 adr=01 act=51422BFFA12874E030 exp=51422BFFA12874E030 + 5333.50ns INFO [00005335] Port=0 RD @00 + 5333.50ns INFO [00005335] Port=1 RD @02 + 5334.50ns INFO [00005336] * RD COMPARE * port=1 adr=03 act=2987F8101529BE9407 exp=2987F8101529BE9407 + 5334.50ns INFO [00005336] Port=0 RD @06 + 5334.50ns INFO [00005336] Port=1 RD @03 + 5335.50ns INFO [00005337] * RD COMPARE * port=0 adr=00 act=4EB526AD7AFB4865DC exp=4EB526AD7AFB4865DC + 5335.50ns INFO [00005337] * RD COMPARE * port=1 adr=02 act=CD3567C41694CC359E exp=CD3567C41694CC359E + 5335.50ns INFO [00005337] Port=0 RD @05 + 5335.50ns INFO [00005337] Port=1 RD @02 + 5336.50ns INFO [00005338] * RD COMPARE * port=0 adr=06 act=D8805E111EA973AD14 exp=D8805E111EA973AD14 + 5336.50ns INFO [00005338] * RD COMPARE * port=1 adr=03 act=2987F8101529BE9407 exp=2987F8101529BE9407 + 5336.50ns INFO [00005338] Port=0 WR @07=BB2F26C2AE23FE8DE2 + 5336.50ns INFO [00005338] Port=0 RD @04 + 5336.50ns INFO [00005338] Port=1 RD @04 + 5337.50ns INFO [00005339] * RD COMPARE * port=0 adr=05 act=C1C359BE9D25B9CD0C exp=C1C359BE9D25B9CD0C + 5337.50ns INFO [00005339] * RD COMPARE * port=1 adr=02 act=CD3567C41694CC359E exp=CD3567C41694CC359E + 5337.50ns INFO [00005339] Port=0 WR @07=CF3BB6E4693E13CE4A + 5338.50ns INFO [00005340] * RD COMPARE * port=0 adr=04 act=8F82F86A96F1D106EE exp=8F82F86A96F1D106EE + 5338.50ns INFO [00005340] * RD COMPARE * port=1 adr=04 act=8F82F86A96F1D106EE exp=8F82F86A96F1D106EE + 5338.50ns INFO [00005340] Port=0 WR @06=AA400B3C7CC9DF0F74 + 5338.50ns INFO [00005340] Port=0 RD @07 + 5338.50ns INFO [00005340] Port=1 RD @05 + 5340.50ns INFO [00005342] * RD COMPARE * port=0 adr=07 act=CF3BB6E4693E13CE4A exp=CF3BB6E4693E13CE4A + 5340.50ns INFO [00005342] * RD COMPARE * port=1 adr=05 act=C1C359BE9D25B9CD0C exp=C1C359BE9D25B9CD0C + 5341.50ns INFO [00005343] Port=1 RD @04 + 5343.50ns INFO [00005345] * RD COMPARE * port=1 adr=04 act=8F82F86A96F1D106EE exp=8F82F86A96F1D106EE + 5343.50ns INFO [00005345] Port=0 WR @01=9DF169FEA01A97465B + 5343.50ns INFO [00005345] Port=0 RD @02 + 5343.50ns INFO [00005345] Port=1 RD @07 + 5344.50ns INFO [00005346] Port=1 RD @06 + 5345.50ns INFO [00005347] * RD COMPARE * port=0 adr=02 act=CD3567C41694CC359E exp=CD3567C41694CC359E + 5345.50ns INFO [00005347] * RD COMPARE * port=1 adr=07 act=CF3BB6E4693E13CE4A exp=CF3BB6E4693E13CE4A + 5346.50ns INFO [00005348] * RD COMPARE * port=1 adr=06 act=AA400B3C7CC9DF0F74 exp=AA400B3C7CC9DF0F74 + 5347.50ns INFO [00005349] Port=0 WR @03=D9A69E5FF3CB5A0D4E + 5348.50ns INFO [00005350] Port=0 WR @03=D95A3B45994B1ECE8F + 5348.50ns INFO [00005350] Port=0 RD @06 + 5350.50ns INFO [00005352] * RD COMPARE * port=0 adr=06 act=AA400B3C7CC9DF0F74 exp=AA400B3C7CC9DF0F74 + 5350.50ns INFO [00005352] Port=1 RD @05 + 5351.50ns INFO [00005353] Port=0 WR @06=C828FC1857F3446805 + 5351.50ns INFO [00005353] Port=1 RD @07 + 5352.50ns INFO [00005354] * RD COMPARE * port=1 adr=05 act=C1C359BE9D25B9CD0C exp=C1C359BE9D25B9CD0C + 5352.50ns INFO [00005354] Port=0 WR @06=B5F8534DF6A3EBB630 + 5353.50ns INFO [00005355] * RD COMPARE * port=1 adr=07 act=CF3BB6E4693E13CE4A exp=CF3BB6E4693E13CE4A + 5354.50ns INFO [00005356] Port=0 WR @01=9A0ECCC1578F8EE953 + 5355.50ns INFO [00005357] Port=1 RD @00 + 5356.50ns INFO [00005358] Port=0 WR @04=9A955C9CF2CCAC04B6 + 5357.50ns INFO [00005359] * RD COMPARE * port=1 adr=00 act=4EB526AD7AFB4865DC exp=4EB526AD7AFB4865DC + 5357.50ns INFO [00005359] Port=1 RD @02 + 5358.50ns INFO [00005360] Port=0 WR @05=CED87114F4137A5707 + 5359.50ns INFO [00005361] * RD COMPARE * port=1 adr=02 act=CD3567C41694CC359E exp=CD3567C41694CC359E + 5359.50ns INFO [00005361] Port=0 WR @05=4EDA9AF3036D7F1151 + 5360.50ns INFO [00005362] Port=1 RD @05 + 5362.50ns INFO [00005364] * RD COMPARE * port=1 adr=05 act=4EDA9AF3036D7F1151 exp=4EDA9AF3036D7F1151 + 5363.50ns INFO [00005365] Port=0 WR @04=0DD2938571C113D397 + 5364.50ns INFO [00005366] Port=0 WR @02=114485868A8A0DE9D8 + 5365.50ns INFO [00005367] Port=0 WR @06=2AE95F5A0ECC9B5BAD + 5366.50ns INFO [00005368] Port=1 RD @02 + 5368.50ns INFO [00005370] * RD COMPARE * port=1 adr=02 act=114485868A8A0DE9D8 exp=114485868A8A0DE9D8 + 5370.50ns INFO [00005372] Port=0 RD @06 + 5372.50ns INFO [00005374] * RD COMPARE * port=0 adr=06 act=2AE95F5A0ECC9B5BAD exp=2AE95F5A0ECC9B5BAD + 5374.50ns INFO [00005376] Port=0 RD @07 + 5374.50ns INFO [00005376] Port=1 RD @00 + 5375.50ns INFO [00005377] Port=1 RD @05 + 5376.50ns INFO [00005378] * RD COMPARE * port=0 adr=07 act=CF3BB6E4693E13CE4A exp=CF3BB6E4693E13CE4A + 5376.50ns INFO [00005378] * RD COMPARE * port=1 adr=00 act=4EB526AD7AFB4865DC exp=4EB526AD7AFB4865DC + 5376.50ns INFO [00005378] Port=0 WR @06=605796702BE5B4D2CB + 5377.50ns INFO [00005379] * RD COMPARE * port=1 adr=05 act=4EDA9AF3036D7F1151 exp=4EDA9AF3036D7F1151 + 5377.50ns INFO [00005379] Port=0 RD @04 + 5378.50ns INFO [00005380] Port=0 WR @07=D658813DDF4D833A3D + 5378.50ns INFO [00005380] Port=0 RD @05 + 5379.50ns INFO [00005381] * RD COMPARE * port=0 adr=04 act=0DD2938571C113D397 exp=0DD2938571C113D397 + 5379.50ns INFO [00005381] Port=1 RD @03 + 5380.50ns INFO [00005382] * RD COMPARE * port=0 adr=05 act=4EDA9AF3036D7F1151 exp=4EDA9AF3036D7F1151 + 5381.50ns INFO [00005383] * RD COMPARE * port=1 adr=03 act=D95A3B45994B1ECE8F exp=D95A3B45994B1ECE8F + 5381.50ns INFO [00005383] Port=0 RD @02 + 5382.50ns INFO [00005384] Port=0 WR @00=A149FB69540B306592 + 5382.50ns INFO [00005384] Port=1 RD @02 + 5383.50ns INFO [00005385] * RD COMPARE * port=0 adr=02 act=114485868A8A0DE9D8 exp=114485868A8A0DE9D8 + 5383.50ns INFO [00005385] Port=1 RD @02 + 5384.50ns INFO [00005386] * RD COMPARE * port=1 adr=02 act=114485868A8A0DE9D8 exp=114485868A8A0DE9D8 + 5384.50ns INFO [00005386] Port=0 RD @01 + 5385.50ns INFO [00005387] * RD COMPARE * port=1 adr=02 act=114485868A8A0DE9D8 exp=114485868A8A0DE9D8 + 5385.50ns INFO [00005387] Port=0 WR @02=18F828423E1C04EFAE + 5386.50ns INFO [00005388] * RD COMPARE * port=0 adr=01 act=9A0ECCC1578F8EE953 exp=9A0ECCC1578F8EE953 + 5387.50ns INFO [00005389] Port=1 RD @01 + 5388.50ns INFO [00005390] Port=0 WR @04=DE90CD0295C2490584 + 5388.50ns INFO [00005390] Port=0 RD @07 + 5388.50ns INFO [00005390] Port=1 RD @07 + 5389.50ns INFO [00005391] * RD COMPARE * port=1 adr=01 act=9A0ECCC1578F8EE953 exp=9A0ECCC1578F8EE953 + 5389.50ns INFO [00005391] Port=0 WR @01=22410F589077AA0E3D + 5389.50ns INFO [00005391] Port=1 RD @00 + 5390.50ns INFO [00005392] * RD COMPARE * port=0 adr=07 act=D658813DDF4D833A3D exp=D658813DDF4D833A3D + 5390.50ns INFO [00005392] * RD COMPARE * port=1 adr=07 act=D658813DDF4D833A3D exp=D658813DDF4D833A3D + 5390.50ns INFO [00005392] Port=0 WR @00=D5C8D52412F3B0336D + 5391.50ns INFO [00005393] * RD COMPARE * port=1 adr=00 act=A149FB69540B306592 exp=A149FB69540B306592 + 5393.50ns INFO [00005395] Port=0 WR @04=5450DDE284DEBBF7A0 + 5393.50ns INFO [00005395] Port=0 RD @01 + 5393.50ns INFO [00005395] Port=1 RD @01 + 5394.50ns INFO [00005396] Port=0 RD @07 + 5394.50ns INFO [00005396] Port=1 RD @07 + 5395.50ns INFO [00005397] * RD COMPARE * port=0 adr=01 act=22410F589077AA0E3D exp=22410F589077AA0E3D + 5395.50ns INFO [00005397] * RD COMPARE * port=1 adr=01 act=22410F589077AA0E3D exp=22410F589077AA0E3D + 5396.50ns INFO [00005398] * RD COMPARE * port=0 adr=07 act=D658813DDF4D833A3D exp=D658813DDF4D833A3D + 5396.50ns INFO [00005398] * RD COMPARE * port=1 adr=07 act=D658813DDF4D833A3D exp=D658813DDF4D833A3D + 5396.50ns INFO [00005398] Port=0 WR @07=4FD693B4A02FD426D0 + 5396.50ns INFO [00005398] Port=1 RD @04 + 5397.50ns INFO [00005399] Port=0 WR @03=9144E6959922A77542 + 5398.00ns INFO [00005400] [00005400] ...tick... + 5398.50ns INFO [00005400] * RD COMPARE * port=1 adr=04 act=5450DDE284DEBBF7A0 exp=5450DDE284DEBBF7A0 + 5398.50ns INFO [00005400] Port=0 WR @03=383999CC79E0227287 + 5398.50ns INFO [00005400] Port=0 RD @07 + 5399.50ns INFO [00005401] Port=0 WR @02=FA795E06635A61C079 + 5399.50ns INFO [00005401] Port=1 RD @06 + 5400.50ns INFO [00005402] * RD COMPARE * port=0 adr=07 act=4FD693B4A02FD426D0 exp=4FD693B4A02FD426D0 + 5401.50ns INFO [00005403] * RD COMPARE * port=1 adr=06 act=605796702BE5B4D2CB exp=605796702BE5B4D2CB + 5401.50ns INFO [00005403] Port=0 RD @02 + 5402.50ns INFO [00005404] Port=0 RD @06 + 5402.50ns INFO [00005404] Port=1 RD @04 + 5403.50ns INFO [00005405] * RD COMPARE * port=0 adr=02 act=FA795E06635A61C079 exp=FA795E06635A61C079 + 5403.50ns INFO [00005405] Port=0 RD @03 + 5403.50ns INFO [00005405] Port=1 RD @06 + 5404.50ns INFO [00005406] * RD COMPARE * port=0 adr=06 act=605796702BE5B4D2CB exp=605796702BE5B4D2CB + 5404.50ns INFO [00005406] * RD COMPARE * port=1 adr=04 act=5450DDE284DEBBF7A0 exp=5450DDE284DEBBF7A0 + 5405.50ns INFO [00005407] * RD COMPARE * port=0 adr=03 act=383999CC79E0227287 exp=383999CC79E0227287 + 5405.50ns INFO [00005407] * RD COMPARE * port=1 adr=06 act=605796702BE5B4D2CB exp=605796702BE5B4D2CB + 5405.50ns INFO [00005407] Port=1 RD @06 + 5406.50ns INFO [00005408] Port=0 RD @02 + 5407.50ns INFO [00005409] * RD COMPARE * port=1 adr=06 act=605796702BE5B4D2CB exp=605796702BE5B4D2CB + 5407.50ns INFO [00005409] Port=0 WR @06=086D7A547C8CFE6285 + 5407.50ns INFO [00005409] Port=0 RD @07 + 5408.50ns INFO [00005410] * RD COMPARE * port=0 adr=02 act=FA795E06635A61C079 exp=FA795E06635A61C079 + 5409.50ns INFO [00005411] * RD COMPARE * port=0 adr=07 act=4FD693B4A02FD426D0 exp=4FD693B4A02FD426D0 + 5410.50ns INFO [00005412] Port=1 RD @02 + 5412.50ns INFO [00005414] * RD COMPARE * port=1 adr=02 act=FA795E06635A61C079 exp=FA795E06635A61C079 + 5412.50ns INFO [00005414] Port=1 RD @00 + 5413.50ns INFO [00005415] Port=0 WR @02=79D9852DF8AA4523BA + 5413.50ns INFO [00005415] Port=0 RD @05 + 5414.50ns INFO [00005416] * RD COMPARE * port=1 adr=00 act=D5C8D52412F3B0336D exp=D5C8D52412F3B0336D + 5414.50ns INFO [00005416] Port=1 RD @05 + 5415.50ns INFO [00005417] * RD COMPARE * port=0 adr=05 act=4EDA9AF3036D7F1151 exp=4EDA9AF3036D7F1151 + 5415.50ns INFO [00005417] Port=0 RD @04 + 5415.50ns INFO [00005417] Port=1 RD @04 + 5416.50ns INFO [00005418] * RD COMPARE * port=1 adr=05 act=4EDA9AF3036D7F1151 exp=4EDA9AF3036D7F1151 + 5416.50ns INFO [00005418] Port=0 WR @04=47815B6CBB619903AB + 5416.50ns INFO [00005418] Port=0 RD @02 + 5416.50ns INFO [00005418] Port=1 RD @05 + 5417.50ns INFO [00005419] * RD COMPARE * port=0 adr=04 act=5450DDE284DEBBF7A0 exp=5450DDE284DEBBF7A0 + 5417.50ns INFO [00005419] * RD COMPARE * port=1 adr=04 act=5450DDE284DEBBF7A0 exp=5450DDE284DEBBF7A0 + 5418.50ns INFO [00005420] * RD COMPARE * port=0 adr=02 act=79D9852DF8AA4523BA exp=79D9852DF8AA4523BA + 5418.50ns INFO [00005420] * RD COMPARE * port=1 adr=05 act=4EDA9AF3036D7F1151 exp=4EDA9AF3036D7F1151 + 5419.50ns INFO [00005421] Port=0 WR @03=408044BAE47E628B5C + 5419.50ns INFO [00005421] Port=0 RD @04 + 5420.50ns INFO [00005422] Port=0 WR @07=C0EF1D3F512D42BFC4 + 5421.50ns INFO [00005423] * RD COMPARE * port=0 adr=04 act=47815B6CBB619903AB exp=47815B6CBB619903AB + 5422.50ns INFO [00005424] Port=1 RD @03 + 5423.50ns INFO [00005425] Port=0 WR @01=EBCD9A74FCF940B92B + 5424.50ns INFO [00005426] * RD COMPARE * port=1 adr=03 act=408044BAE47E628B5C exp=408044BAE47E628B5C + 5424.50ns INFO [00005426] Port=0 RD @00 + 5425.50ns INFO [00005427] Port=0 WR @06=318EC7749F03D52F69 + 5425.50ns INFO [00005427] Port=1 RD @02 + 5426.50ns INFO [00005428] * RD COMPARE * port=0 adr=00 act=D5C8D52412F3B0336D exp=D5C8D52412F3B0336D + 5427.50ns INFO [00005429] * RD COMPARE * port=1 adr=02 act=79D9852DF8AA4523BA exp=79D9852DF8AA4523BA + 5428.50ns INFO [00005430] Port=0 WR @00=3C3C1DCEAF7EE552F4 + 5428.50ns INFO [00005430] Port=0 RD @01 + 5428.50ns INFO [00005430] Port=1 RD @06 + 5429.50ns INFO [00005431] Port=0 RD @00 + 5429.50ns INFO [00005431] Port=1 RD @03 + 5430.50ns INFO [00005432] * RD COMPARE * port=0 adr=01 act=EBCD9A74FCF940B92B exp=EBCD9A74FCF940B92B + 5430.50ns INFO [00005432] * RD COMPARE * port=1 adr=06 act=318EC7749F03D52F69 exp=318EC7749F03D52F69 + 5430.50ns INFO [00005432] Port=1 RD @02 + 5431.50ns INFO [00005433] * RD COMPARE * port=0 adr=00 act=3C3C1DCEAF7EE552F4 exp=3C3C1DCEAF7EE552F4 + 5431.50ns INFO [00005433] * RD COMPARE * port=1 adr=03 act=408044BAE47E628B5C exp=408044BAE47E628B5C + 5431.50ns INFO [00005433] Port=0 WR @05=EABE2C1AD85278BED0 + 5432.50ns INFO [00005434] * RD COMPARE * port=1 adr=02 act=79D9852DF8AA4523BA exp=79D9852DF8AA4523BA + 5432.50ns INFO [00005434] Port=0 RD @02 + 5434.50ns INFO [00005436] * RD COMPARE * port=0 adr=02 act=79D9852DF8AA4523BA exp=79D9852DF8AA4523BA + 5434.50ns INFO [00005436] Port=0 WR @07=0EE693DCE75B3A83E7 + 5434.50ns INFO [00005436] Port=0 RD @00 + 5434.50ns INFO [00005436] Port=1 RD @02 + 5435.50ns INFO [00005437] Port=0 WR @04=AF2DCECC815896C1B4 + 5435.50ns INFO [00005437] Port=0 RD @02 + 5436.50ns INFO [00005438] * RD COMPARE * port=0 adr=00 act=3C3C1DCEAF7EE552F4 exp=3C3C1DCEAF7EE552F4 + 5436.50ns INFO [00005438] * RD COMPARE * port=1 adr=02 act=79D9852DF8AA4523BA exp=79D9852DF8AA4523BA + 5436.50ns INFO [00005438] Port=0 RD @06 + 5437.50ns INFO [00005439] * RD COMPARE * port=0 adr=02 act=79D9852DF8AA4523BA exp=79D9852DF8AA4523BA + 5437.50ns INFO [00005439] Port=1 RD @04 + 5438.50ns INFO [00005440] * RD COMPARE * port=0 adr=06 act=318EC7749F03D52F69 exp=318EC7749F03D52F69 + 5439.50ns INFO [00005441] * RD COMPARE * port=1 adr=04 act=AF2DCECC815896C1B4 exp=AF2DCECC815896C1B4 + 5439.50ns INFO [00005441] Port=0 RD @04 + 5439.50ns INFO [00005441] Port=1 RD @01 + 5440.50ns INFO [00005442] Port=0 RD @07 + 5440.50ns INFO [00005442] Port=1 RD @05 + 5441.50ns INFO [00005443] * RD COMPARE * port=0 adr=04 act=AF2DCECC815896C1B4 exp=AF2DCECC815896C1B4 + 5441.50ns INFO [00005443] * RD COMPARE * port=1 adr=01 act=EBCD9A74FCF940B92B exp=EBCD9A74FCF940B92B + 5441.50ns INFO [00005443] Port=0 WR @02=B81021EA91F48AAF26 + 5441.50ns INFO [00005443] Port=0 RD @04 + 5441.50ns INFO [00005443] Port=1 RD @03 + 5442.50ns INFO [00005444] * RD COMPARE * port=0 adr=07 act=0EE693DCE75B3A83E7 exp=0EE693DCE75B3A83E7 + 5442.50ns INFO [00005444] * RD COMPARE * port=1 adr=05 act=EABE2C1AD85278BED0 exp=EABE2C1AD85278BED0 + 5442.50ns INFO [00005444] Port=0 RD @03 + 5442.50ns INFO [00005444] Port=1 RD @06 + 5443.50ns INFO [00005445] * RD COMPARE * port=0 adr=04 act=AF2DCECC815896C1B4 exp=AF2DCECC815896C1B4 + 5443.50ns INFO [00005445] * RD COMPARE * port=1 adr=03 act=408044BAE47E628B5C exp=408044BAE47E628B5C + 5443.50ns INFO [00005445] Port=0 WR @06=09A821640A2E40BC7D + 5443.50ns INFO [00005445] Port=0 RD @00 + 5444.50ns INFO [00005446] * RD COMPARE * port=0 adr=03 act=408044BAE47E628B5C exp=408044BAE47E628B5C + 5444.50ns INFO [00005446] * RD COMPARE * port=1 adr=06 act=318EC7749F03D52F69 exp=318EC7749F03D52F69 + 5444.50ns INFO [00005446] Port=1 RD @00 + 5445.50ns INFO [00005447] * RD COMPARE * port=0 adr=00 act=3C3C1DCEAF7EE552F4 exp=3C3C1DCEAF7EE552F4 + 5445.50ns INFO [00005447] Port=0 WR @05=564A56571784164629 + 5445.50ns INFO [00005447] Port=1 RD @06 + 5446.50ns INFO [00005448] * RD COMPARE * port=1 adr=00 act=3C3C1DCEAF7EE552F4 exp=3C3C1DCEAF7EE552F4 + 5447.50ns INFO [00005449] * RD COMPARE * port=1 adr=06 act=09A821640A2E40BC7D exp=09A821640A2E40BC7D + 5447.50ns INFO [00005449] Port=0 RD @04 + 5447.50ns INFO [00005449] Port=1 RD @00 + 5448.50ns INFO [00005450] Port=0 WR @00=FF61AC0155292C35A1 + 5448.50ns INFO [00005450] Port=1 RD @01 + 5449.50ns INFO [00005451] * RD COMPARE * port=0 adr=04 act=AF2DCECC815896C1B4 exp=AF2DCECC815896C1B4 + 5449.50ns INFO [00005451] * RD COMPARE * port=1 adr=00 act=3C3C1DCEAF7EE552F4 exp=3C3C1DCEAF7EE552F4 + 5449.50ns INFO [00005451] Port=0 RD @07 + 5450.50ns INFO [00005452] * RD COMPARE * port=1 adr=01 act=EBCD9A74FCF940B92B exp=EBCD9A74FCF940B92B + 5451.50ns INFO [00005453] * RD COMPARE * port=0 adr=07 act=0EE693DCE75B3A83E7 exp=0EE693DCE75B3A83E7 + 5451.50ns INFO [00005453] Port=0 RD @02 + 5452.50ns INFO [00005454] Port=0 WR @01=07FCF5A2CCFF26D14B + 5452.50ns INFO [00005454] Port=0 RD @04 + 5453.50ns INFO [00005455] * RD COMPARE * port=0 adr=02 act=B81021EA91F48AAF26 exp=B81021EA91F48AAF26 + 5453.50ns INFO [00005455] Port=1 RD @06 + 5454.50ns INFO [00005456] * RD COMPARE * port=0 adr=04 act=AF2DCECC815896C1B4 exp=AF2DCECC815896C1B4 + 5454.50ns INFO [00005456] Port=0 RD @01 + 5454.50ns INFO [00005456] Port=1 RD @02 + 5455.50ns INFO [00005457] * RD COMPARE * port=1 adr=06 act=09A821640A2E40BC7D exp=09A821640A2E40BC7D + 5455.50ns INFO [00005457] Port=0 WR @07=E8DE67C6A1F16A70A0 + 5456.50ns INFO [00005458] * RD COMPARE * port=0 adr=01 act=07FCF5A2CCFF26D14B exp=07FCF5A2CCFF26D14B + 5456.50ns INFO [00005458] * RD COMPARE * port=1 adr=02 act=B81021EA91F48AAF26 exp=B81021EA91F48AAF26 + 5457.50ns INFO [00005459] Port=0 RD @00 + 5457.50ns INFO [00005459] Port=1 RD @05 + 5458.50ns INFO [00005460] Port=0 RD @06 + 5459.50ns INFO [00005461] * RD COMPARE * port=0 adr=00 act=FF61AC0155292C35A1 exp=FF61AC0155292C35A1 + 5459.50ns INFO [00005461] * RD COMPARE * port=1 adr=05 act=564A56571784164629 exp=564A56571784164629 + 5460.50ns INFO [00005462] * RD COMPARE * port=0 adr=06 act=09A821640A2E40BC7D exp=09A821640A2E40BC7D + 5460.50ns INFO [00005462] Port=0 RD @05 + 5461.50ns INFO [00005463] Port=0 WR @01=B2815E131C728B797E + 5461.50ns INFO [00005463] Port=0 RD @03 + 5462.50ns INFO [00005464] * RD COMPARE * port=0 adr=05 act=564A56571784164629 exp=564A56571784164629 + 5462.50ns INFO [00005464] Port=0 RD @04 + 5463.50ns INFO [00005465] * RD COMPARE * port=0 adr=03 act=408044BAE47E628B5C exp=408044BAE47E628B5C + 5463.50ns INFO [00005465] Port=0 WR @07=B0DB16E76F5870A6D3 + 5463.50ns INFO [00005465] Port=0 RD @05 + 5464.50ns INFO [00005466] * RD COMPARE * port=0 adr=04 act=AF2DCECC815896C1B4 exp=AF2DCECC815896C1B4 + 5464.50ns INFO [00005466] Port=0 WR @01=50F4FF76EAC4F1D109 + 5465.50ns INFO [00005467] * RD COMPARE * port=0 adr=05 act=564A56571784164629 exp=564A56571784164629 + 5466.50ns INFO [00005468] Port=0 WR @03=943B2ED18C1F3C7FEB + 5467.50ns INFO [00005469] Port=0 WR @01=3D5C5EDF25DEAA2D22 + 5467.50ns INFO [00005469] Port=0 RD @07 + 5467.50ns INFO [00005469] Port=1 RD @02 + 5468.50ns INFO [00005470] Port=0 WR @07=A52CDE1E5ECA379DEE + 5468.50ns INFO [00005470] Port=1 RD @05 + 5469.50ns INFO [00005471] * RD COMPARE * port=0 adr=07 act=B0DB16E76F5870A6D3 exp=B0DB16E76F5870A6D3 + 5469.50ns INFO [00005471] * RD COMPARE * port=1 adr=02 act=B81021EA91F48AAF26 exp=B81021EA91F48AAF26 + 5469.50ns INFO [00005471] Port=0 WR @03=84E49ED75663C9D772 + 5469.50ns INFO [00005471] Port=1 RD @01 + 5470.50ns INFO [00005472] * RD COMPARE * port=1 adr=05 act=564A56571784164629 exp=564A56571784164629 + 5470.50ns INFO [00005472] Port=0 WR @05=AB0912DA45702C0CD7 + 5471.50ns INFO [00005473] * RD COMPARE * port=1 adr=01 act=3D5C5EDF25DEAA2D22 exp=3D5C5EDF25DEAA2D22 + 5471.50ns INFO [00005473] Port=1 RD @04 + 5472.50ns INFO [00005474] Port=1 RD @01 + 5473.50ns INFO [00005475] * RD COMPARE * port=1 adr=04 act=AF2DCECC815896C1B4 exp=AF2DCECC815896C1B4 + 5473.50ns INFO [00005475] Port=0 RD @04 + 5474.50ns INFO [00005476] * RD COMPARE * port=1 adr=01 act=3D5C5EDF25DEAA2D22 exp=3D5C5EDF25DEAA2D22 + 5474.50ns INFO [00005476] Port=0 WR @06=CABBE5CF0C61E6C584 + 5475.50ns INFO [00005477] * RD COMPARE * port=0 adr=04 act=AF2DCECC815896C1B4 exp=AF2DCECC815896C1B4 + 5475.50ns INFO [00005477] Port=0 WR @03=3593464CB15E119186 + 5476.50ns INFO [00005478] Port=0 WR @06=F39C0767FAB94005D2 + 5476.50ns INFO [00005478] Port=1 RD @01 + 5477.50ns INFO [00005479] Port=1 RD @02 + 5478.50ns INFO [00005480] * RD COMPARE * port=1 adr=01 act=3D5C5EDF25DEAA2D22 exp=3D5C5EDF25DEAA2D22 + 5478.50ns INFO [00005480] Port=0 WR @02=5343139F9BB6A79D91 + 5478.50ns INFO [00005480] Port=0 RD @03 + 5478.50ns INFO [00005480] Port=1 RD @01 + 5479.50ns INFO [00005481] * RD COMPARE * port=1 adr=02 act=B81021EA91F48AAF26 exp=B81021EA91F48AAF26 + 5479.50ns INFO [00005481] Port=1 RD @01 + 5480.50ns INFO [00005482] * RD COMPARE * port=0 adr=03 act=3593464CB15E119186 exp=3593464CB15E119186 + 5480.50ns INFO [00005482] * RD COMPARE * port=1 adr=01 act=3D5C5EDF25DEAA2D22 exp=3D5C5EDF25DEAA2D22 + 5480.50ns INFO [00005482] Port=1 RD @06 + 5481.50ns INFO [00005483] * RD COMPARE * port=1 adr=01 act=3D5C5EDF25DEAA2D22 exp=3D5C5EDF25DEAA2D22 + 5481.50ns INFO [00005483] Port=1 RD @06 + 5482.50ns INFO [00005484] * RD COMPARE * port=1 adr=06 act=F39C0767FAB94005D2 exp=F39C0767FAB94005D2 + 5482.50ns INFO [00005484] Port=0 WR @02=E4D23796FFD9E475C8 + 5483.50ns INFO [00005485] * RD COMPARE * port=1 adr=06 act=F39C0767FAB94005D2 exp=F39C0767FAB94005D2 + 5483.50ns INFO [00005485] Port=0 WR @05=36568EF2D86F6E3D32 + 5483.50ns INFO [00005485] Port=0 RD @01 + 5484.50ns INFO [00005486] Port=0 RD @05 + 5485.50ns INFO [00005487] * RD COMPARE * port=0 adr=01 act=3D5C5EDF25DEAA2D22 exp=3D5C5EDF25DEAA2D22 + 5485.50ns INFO [00005487] Port=0 WR @01=23F325796CD3D46FE4 + 5485.50ns INFO [00005487] Port=0 RD @02 + 5486.50ns INFO [00005488] * RD COMPARE * port=0 adr=05 act=36568EF2D86F6E3D32 exp=36568EF2D86F6E3D32 + 5486.50ns INFO [00005488] Port=0 WR @04=3EF9165A800479BCDD + 5487.50ns INFO [00005489] * RD COMPARE * port=0 adr=02 act=E4D23796FFD9E475C8 exp=E4D23796FFD9E475C8 + 5487.50ns INFO [00005489] Port=0 RD @05 + 5487.50ns INFO [00005489] Port=1 RD @07 + 5488.50ns INFO [00005490] Port=0 WR @05=43E6EC3E7824A68B29 + 5488.50ns INFO [00005490] Port=0 RD @02 + 5488.50ns INFO [00005490] Port=1 RD @03 + 5489.50ns INFO [00005491] * RD COMPARE * port=0 adr=05 act=36568EF2D86F6E3D32 exp=36568EF2D86F6E3D32 + 5489.50ns INFO [00005491] * RD COMPARE * port=1 adr=07 act=A52CDE1E5ECA379DEE exp=A52CDE1E5ECA379DEE + 5489.50ns INFO [00005491] Port=0 WR @07=74225221A15E123647 + 5489.50ns INFO [00005491] Port=0 RD @03 + 5490.50ns INFO [00005492] * RD COMPARE * port=0 adr=02 act=E4D23796FFD9E475C8 exp=E4D23796FFD9E475C8 + 5490.50ns INFO [00005492] * RD COMPARE * port=1 adr=03 act=3593464CB15E119186 exp=3593464CB15E119186 + 5491.50ns INFO [00005493] * RD COMPARE * port=0 adr=03 act=3593464CB15E119186 exp=3593464CB15E119186 + 5491.50ns INFO [00005493] Port=0 WR @01=8EDED6AFAC7FB0ACE0 + 5494.50ns INFO [00005496] Port=0 WR @02=8E34D868AAC4334576 + 5494.50ns INFO [00005496] Port=1 RD @06 + 5495.50ns INFO [00005497] Port=0 RD @07 + 5495.50ns INFO [00005497] Port=1 RD @03 + 5496.50ns INFO [00005498] * RD COMPARE * port=1 adr=06 act=F39C0767FAB94005D2 exp=F39C0767FAB94005D2 + 5497.50ns INFO [00005499] * RD COMPARE * port=0 adr=07 act=74225221A15E123647 exp=74225221A15E123647 + 5497.50ns INFO [00005499] * RD COMPARE * port=1 adr=03 act=3593464CB15E119186 exp=3593464CB15E119186 + 5497.50ns INFO [00005499] Port=0 WR @00=F15B90E3BFB552E985 + 5498.00ns INFO [00005500] [00005500] ...tick... + 5498.50ns INFO [00005500] Port=0 WR @01=E78DEBBF32E71FB948 + 5498.50ns INFO [00005500] Port=1 RD @00 + 5499.50ns INFO [00005501] Port=0 WR @06=83C278B0E0C9A77D94 + 5499.50ns INFO [00005501] Port=0 RD @00 + 5500.50ns INFO [00005502] * RD COMPARE * port=1 adr=00 act=F15B90E3BFB552E985 exp=F15B90E3BFB552E985 + 5500.50ns INFO [00005502] Port=0 WR @07=A4F6B246018E5091C3 + 5500.50ns INFO [00005502] Port=1 RD @01 + 5501.50ns INFO [00005503] * RD COMPARE * port=0 adr=00 act=F15B90E3BFB552E985 exp=F15B90E3BFB552E985 + 5501.50ns INFO [00005503] Port=0 WR @06=723D8014D8CFF4A7EF + 5502.50ns INFO [00005504] * RD COMPARE * port=1 adr=01 act=E78DEBBF32E71FB948 exp=E78DEBBF32E71FB948 + 5503.50ns INFO [00005505] Port=0 RD @06 + 5504.50ns INFO [00005506] Port=0 RD @07 + 5504.50ns INFO [00005506] Port=1 RD @05 + 5505.50ns INFO [00005507] * RD COMPARE * port=0 adr=06 act=723D8014D8CFF4A7EF exp=723D8014D8CFF4A7EF + 5505.50ns INFO [00005507] Port=0 WR @03=0A5AAA8219AFF2AC3D + 5505.50ns INFO [00005507] Port=0 RD @05 + 5506.50ns INFO [00005508] * RD COMPARE * port=0 adr=07 act=A4F6B246018E5091C3 exp=A4F6B246018E5091C3 + 5506.50ns INFO [00005508] * RD COMPARE * port=1 adr=05 act=43E6EC3E7824A68B29 exp=43E6EC3E7824A68B29 + 5506.50ns INFO [00005508] Port=1 RD @04 + 5507.50ns INFO [00005509] * RD COMPARE * port=0 adr=05 act=43E6EC3E7824A68B29 exp=43E6EC3E7824A68B29 + 5507.50ns INFO [00005509] Port=0 WR @06=21D2CB59A0ABC02B55 + 5507.50ns INFO [00005509] Port=1 RD @02 + 5508.50ns INFO [00005510] * RD COMPARE * port=1 adr=04 act=3EF9165A800479BCDD exp=3EF9165A800479BCDD + 5508.50ns INFO [00005510] Port=0 WR @07=3BB05B096E5B44AD0C + 5508.50ns INFO [00005510] Port=0 RD @06 + 5508.50ns INFO [00005510] Port=1 RD @00 + 5509.50ns INFO [00005511] * RD COMPARE * port=1 adr=02 act=8E34D868AAC4334576 exp=8E34D868AAC4334576 + 5509.50ns INFO [00005511] Port=0 WR @04=B630824E2BB8BDBE9A + 5510.50ns INFO [00005512] * RD COMPARE * port=0 adr=06 act=21D2CB59A0ABC02B55 exp=21D2CB59A0ABC02B55 + 5510.50ns INFO [00005512] * RD COMPARE * port=1 adr=00 act=F15B90E3BFB552E985 exp=F15B90E3BFB552E985 + 5510.50ns INFO [00005512] Port=0 WR @03=FCB79A3BF1E5A753AE + 5510.50ns INFO [00005512] Port=1 RD @06 + 5512.50ns INFO [00005514] * RD COMPARE * port=1 adr=06 act=21D2CB59A0ABC02B55 exp=21D2CB59A0ABC02B55 + 5512.50ns INFO [00005514] Port=1 RD @02 + 5513.50ns INFO [00005515] Port=0 WR @03=E4FDB73E62352030B2 + 5513.50ns INFO [00005515] Port=0 RD @06 + 5514.50ns INFO [00005516] * RD COMPARE * port=1 adr=02 act=8E34D868AAC4334576 exp=8E34D868AAC4334576 + 5515.50ns INFO [00005517] * RD COMPARE * port=0 adr=06 act=21D2CB59A0ABC02B55 exp=21D2CB59A0ABC02B55 + 5515.50ns INFO [00005517] Port=0 RD @03 + 5515.50ns INFO [00005517] Port=1 RD @03 + 5516.50ns INFO [00005518] Port=0 WR @04=641C16FD72C09E8041 + 5516.50ns INFO [00005518] Port=1 RD @06 + 5517.50ns INFO [00005519] * RD COMPARE * port=0 adr=03 act=E4FDB73E62352030B2 exp=E4FDB73E62352030B2 + 5517.50ns INFO [00005519] * RD COMPARE * port=1 adr=03 act=E4FDB73E62352030B2 exp=E4FDB73E62352030B2 + 5517.50ns INFO [00005519] Port=0 RD @04 + 5518.50ns INFO [00005520] * RD COMPARE * port=1 adr=06 act=21D2CB59A0ABC02B55 exp=21D2CB59A0ABC02B55 + 5519.50ns INFO [00005521] * RD COMPARE * port=0 adr=04 act=641C16FD72C09E8041 exp=641C16FD72C09E8041 + 5521.50ns INFO [00005523] Port=0 WR @04=F4B0B897689803B249 + 5522.50ns INFO [00005524] Port=0 WR @06=19CDC945B94D4F4A96 + 5522.50ns INFO [00005524] Port=1 RD @02 + 5523.50ns INFO [00005525] Port=0 WR @05=627EC5AB1216662EE8 + 5524.50ns INFO [00005526] * RD COMPARE * port=1 adr=02 act=8E34D868AAC4334576 exp=8E34D868AAC4334576 + 5525.50ns INFO [00005527] Port=0 RD @07 + 5527.50ns INFO [00005529] * RD COMPARE * port=0 adr=07 act=3BB05B096E5B44AD0C exp=3BB05B096E5B44AD0C + 5528.50ns INFO [00005530] Port=0 WR @01=FF9CF7E989F5284EEC + 5528.50ns INFO [00005530] Port=0 RD @07 + 5530.50ns INFO [00005532] * RD COMPARE * port=0 adr=07 act=3BB05B096E5B44AD0C exp=3BB05B096E5B44AD0C + 5531.50ns INFO [00005533] Port=0 RD @05 + 5532.50ns INFO [00005534] Port=1 RD @03 + 5533.50ns INFO [00005535] * RD COMPARE * port=0 adr=05 act=627EC5AB1216662EE8 exp=627EC5AB1216662EE8 + 5533.50ns INFO [00005535] Port=1 RD @03 + 5534.50ns INFO [00005536] * RD COMPARE * port=1 adr=03 act=E4FDB73E62352030B2 exp=E4FDB73E62352030B2 + 5534.50ns INFO [00005536] Port=0 RD @04 + 5535.50ns INFO [00005537] * RD COMPARE * port=1 adr=03 act=E4FDB73E62352030B2 exp=E4FDB73E62352030B2 + 5535.50ns INFO [00005537] Port=0 WR @04=5B4A85C1E461C51915 + 5535.50ns INFO [00005537] Port=0 RD @05 + 5536.50ns INFO [00005538] * RD COMPARE * port=0 adr=04 act=F4B0B897689803B249 exp=F4B0B897689803B249 + 5537.50ns INFO [00005539] * RD COMPARE * port=0 adr=05 act=627EC5AB1216662EE8 exp=627EC5AB1216662EE8 + 5537.50ns INFO [00005539] Port=0 RD @02 + 5537.50ns INFO [00005539] Port=1 RD @07 + 5538.50ns INFO [00005540] Port=0 WR @03=4C0AE89FC22DEF732B + 5538.50ns INFO [00005540] Port=0 RD @01 + 5539.50ns INFO [00005541] * RD COMPARE * port=0 adr=02 act=8E34D868AAC4334576 exp=8E34D868AAC4334576 + 5539.50ns INFO [00005541] * RD COMPARE * port=1 adr=07 act=3BB05B096E5B44AD0C exp=3BB05B096E5B44AD0C + 5539.50ns INFO [00005541] Port=0 RD @01 + 5540.50ns INFO [00005542] * RD COMPARE * port=0 adr=01 act=FF9CF7E989F5284EEC exp=FF9CF7E989F5284EEC + 5541.50ns INFO [00005543] * RD COMPARE * port=0 adr=01 act=FF9CF7E989F5284EEC exp=FF9CF7E989F5284EEC + 5541.50ns INFO [00005543] Port=0 WR @05=B70CCBEAEF42A2BAB0 + 5542.50ns INFO [00005544] Port=0 WR @02=BF788F796650CB7D6B + 5542.50ns INFO [00005544] Port=0 RD @03 + 5543.50ns INFO [00005545] Port=0 RD @06 + 5544.50ns INFO [00005546] * RD COMPARE * port=0 adr=03 act=4C0AE89FC22DEF732B exp=4C0AE89FC22DEF732B + 5544.50ns INFO [00005546] Port=0 WR @01=02E03ACA39333A16C9 + 5544.50ns INFO [00005546] Port=1 RD @02 + 5545.50ns INFO [00005547] * RD COMPARE * port=0 adr=06 act=19CDC945B94D4F4A96 exp=19CDC945B94D4F4A96 + 5545.50ns INFO [00005547] Port=1 RD @06 + 5546.50ns INFO [00005548] * RD COMPARE * port=1 adr=02 act=BF788F796650CB7D6B exp=BF788F796650CB7D6B + 5547.50ns INFO [00005549] * RD COMPARE * port=1 adr=06 act=19CDC945B94D4F4A96 exp=19CDC945B94D4F4A96 + 5547.50ns INFO [00005549] Port=0 RD @00 + 5547.50ns INFO [00005549] Port=1 RD @00 + 5548.50ns INFO [00005550] Port=0 RD @01 + 5549.50ns INFO [00005551] * RD COMPARE * port=0 adr=00 act=F15B90E3BFB552E985 exp=F15B90E3BFB552E985 + 5549.50ns INFO [00005551] * RD COMPARE * port=1 adr=00 act=F15B90E3BFB552E985 exp=F15B90E3BFB552E985 + 5549.50ns INFO [00005551] Port=0 RD @05 + 5550.50ns INFO [00005552] * RD COMPARE * port=0 adr=01 act=02E03ACA39333A16C9 exp=02E03ACA39333A16C9 + 5550.50ns INFO [00005552] Port=0 WR @06=752556A078118D2FCA + 5551.50ns INFO [00005553] * RD COMPARE * port=0 adr=05 act=B70CCBEAEF42A2BAB0 exp=B70CCBEAEF42A2BAB0 + 5551.50ns INFO [00005553] Port=0 WR @00=F1FAA524342E8176F9 + 5552.50ns INFO [00005554] Port=0 WR @03=6EA200163E4EBC7B94 + 5552.50ns INFO [00005554] Port=1 RD @07 + 5553.50ns INFO [00005555] Port=0 WR @07=E6493052627295F220 + 5554.50ns INFO [00005556] * RD COMPARE * port=1 adr=07 act=3BB05B096E5B44AD0C exp=3BB05B096E5B44AD0C + 5555.50ns INFO [00005557] Port=0 WR @05=7D53F880880B318113 + 5555.50ns INFO [00005557] Port=0 RD @02 + 5555.50ns INFO [00005557] Port=1 RD @03 + 5556.50ns INFO [00005558] Port=1 RD @02 + 5557.50ns INFO [00005559] * RD COMPARE * port=0 adr=02 act=BF788F796650CB7D6B exp=BF788F796650CB7D6B + 5557.50ns INFO [00005559] * RD COMPARE * port=1 adr=03 act=6EA200163E4EBC7B94 exp=6EA200163E4EBC7B94 + 5558.50ns INFO [00005560] * RD COMPARE * port=1 adr=02 act=BF788F796650CB7D6B exp=BF788F796650CB7D6B + 5558.50ns INFO [00005560] Port=0 WR @03=A517F710AE472D1C26 + 5558.50ns INFO [00005560] Port=1 RD @07 + 5559.50ns INFO [00005561] Port=1 RD @02 + 5560.50ns INFO [00005562] * RD COMPARE * port=1 adr=07 act=E6493052627295F220 exp=E6493052627295F220 + 5560.50ns INFO [00005562] Port=0 WR @00=4A9E00FA8F33140D75 + 5561.50ns INFO [00005563] * RD COMPARE * port=1 adr=02 act=BF788F796650CB7D6B exp=BF788F796650CB7D6B + 5561.50ns INFO [00005563] Port=0 WR @00=DFBC20E73D37AF3AA7 + 5561.50ns INFO [00005563] Port=0 RD @01 + 5562.50ns INFO [00005564] Port=0 RD @01 + 5562.50ns INFO [00005564] Port=1 RD @07 + 5563.50ns INFO [00005565] * RD COMPARE * port=0 adr=01 act=02E03ACA39333A16C9 exp=02E03ACA39333A16C9 + 5564.50ns INFO [00005566] * RD COMPARE * port=0 adr=01 act=02E03ACA39333A16C9 exp=02E03ACA39333A16C9 + 5564.50ns INFO [00005566] * RD COMPARE * port=1 adr=07 act=E6493052627295F220 exp=E6493052627295F220 + 5564.50ns INFO [00005566] Port=0 WR @07=281D608DE24170C842 + 5564.50ns INFO [00005566] Port=0 RD @05 + 5564.50ns INFO [00005566] Port=1 RD @03 + 5565.50ns INFO [00005567] Port=1 RD @02 + 5566.50ns INFO [00005568] * RD COMPARE * port=0 adr=05 act=7D53F880880B318113 exp=7D53F880880B318113 + 5566.50ns INFO [00005568] * RD COMPARE * port=1 adr=03 act=A517F710AE472D1C26 exp=A517F710AE472D1C26 + 5566.50ns INFO [00005568] Port=0 RD @00 + 5567.50ns INFO [00005569] * RD COMPARE * port=1 adr=02 act=BF788F796650CB7D6B exp=BF788F796650CB7D6B + 5567.50ns INFO [00005569] Port=1 RD @04 + 5568.50ns INFO [00005570] * RD COMPARE * port=0 adr=00 act=DFBC20E73D37AF3AA7 exp=DFBC20E73D37AF3AA7 + 5568.50ns INFO [00005570] Port=0 RD @01 + 5569.50ns INFO [00005571] * RD COMPARE * port=1 adr=04 act=5B4A85C1E461C51915 exp=5B4A85C1E461C51915 + 5569.50ns INFO [00005571] Port=0 RD @02 + 5570.50ns INFO [00005572] * RD COMPARE * port=0 adr=01 act=02E03ACA39333A16C9 exp=02E03ACA39333A16C9 + 5570.50ns INFO [00005572] Port=0 WR @06=1D1C1FD3F82BC421AD + 5570.50ns INFO [00005572] Port=0 RD @02 + 5571.50ns INFO [00005573] * RD COMPARE * port=0 adr=02 act=BF788F796650CB7D6B exp=BF788F796650CB7D6B + 5571.50ns INFO [00005573] Port=0 RD @06 + 5571.50ns INFO [00005573] Port=1 RD @07 + 5572.50ns INFO [00005574] * RD COMPARE * port=0 adr=02 act=BF788F796650CB7D6B exp=BF788F796650CB7D6B + 5573.50ns INFO [00005575] * RD COMPARE * port=0 adr=06 act=1D1C1FD3F82BC421AD exp=1D1C1FD3F82BC421AD + 5573.50ns INFO [00005575] * RD COMPARE * port=1 adr=07 act=281D608DE24170C842 exp=281D608DE24170C842 + 5573.50ns INFO [00005575] Port=1 RD @00 + 5574.50ns INFO [00005576] Port=0 WR @02=F6E83E68DD41913DBD + 5574.50ns INFO [00005576] Port=0 RD @00 + 5575.50ns INFO [00005577] * RD COMPARE * port=1 adr=00 act=DFBC20E73D37AF3AA7 exp=DFBC20E73D37AF3AA7 + 5575.50ns INFO [00005577] Port=0 WR @05=F4CB0FF79AC2137DE6 + 5575.50ns INFO [00005577] Port=1 RD @01 + 5576.50ns INFO [00005578] * RD COMPARE * port=0 adr=00 act=DFBC20E73D37AF3AA7 exp=DFBC20E73D37AF3AA7 + 5576.50ns INFO [00005578] Port=0 WR @03=9DAC605302429A9754 + 5576.50ns INFO [00005578] Port=0 RD @07 + 5577.50ns INFO [00005579] * RD COMPARE * port=1 adr=01 act=02E03ACA39333A16C9 exp=02E03ACA39333A16C9 + 5577.50ns INFO [00005579] Port=0 WR @03=F4B40151289BACCBD1 + 5577.50ns INFO [00005579] Port=1 RD @01 + 5578.50ns INFO [00005580] * RD COMPARE * port=0 adr=07 act=281D608DE24170C842 exp=281D608DE24170C842 + 5578.50ns INFO [00005580] Port=0 RD @04 + 5579.50ns INFO [00005581] * RD COMPARE * port=1 adr=01 act=02E03ACA39333A16C9 exp=02E03ACA39333A16C9 + 5579.50ns INFO [00005581] Port=0 WR @00=1C78FE71A982057675 + 5579.50ns INFO [00005581] Port=1 RD @05 + 5580.50ns INFO [00005582] * RD COMPARE * port=0 adr=04 act=5B4A85C1E461C51915 exp=5B4A85C1E461C51915 + 5580.50ns INFO [00005582] Port=0 RD @03 + 5581.50ns INFO [00005583] * RD COMPARE * port=1 adr=05 act=F4CB0FF79AC2137DE6 exp=F4CB0FF79AC2137DE6 + 5581.50ns INFO [00005583] Port=0 WR @06=7663AA617A018933BA + 5581.50ns INFO [00005583] Port=0 RD @00 + 5582.50ns INFO [00005584] * RD COMPARE * port=0 adr=03 act=F4B40151289BACCBD1 exp=F4B40151289BACCBD1 + 5582.50ns INFO [00005584] Port=0 RD @04 + 5582.50ns INFO [00005584] Port=1 RD @06 + 5583.50ns INFO [00005585] * RD COMPARE * port=0 adr=00 act=1C78FE71A982057675 exp=1C78FE71A982057675 + 5583.50ns INFO [00005585] Port=0 WR @04=F5087872A00E2BBDC7 + 5584.50ns INFO [00005586] * RD COMPARE * port=0 adr=04 act=5B4A85C1E461C51915 exp=5B4A85C1E461C51915 + 5584.50ns INFO [00005586] * RD COMPARE * port=1 adr=06 act=7663AA617A018933BA exp=7663AA617A018933BA + 5585.50ns INFO [00005587] Port=1 RD @07 + 5587.50ns INFO [00005589] * RD COMPARE * port=1 adr=07 act=281D608DE24170C842 exp=281D608DE24170C842 + 5587.50ns INFO [00005589] Port=0 WR @04=D986F27D7C5A77EC23 + 5589.50ns INFO [00005591] Port=0 WR @03=4B0E175BB3025EEF8A + 5590.50ns INFO [00005592] Port=0 WR @01=73E9012578C87FDBD7 + 5590.50ns INFO [00005592] Port=1 RD @05 + 5591.50ns INFO [00005593] Port=0 WR @00=C77A89A03DA310DF9D + 5591.50ns INFO [00005593] Port=0 RD @01 + 5591.50ns INFO [00005593] Port=1 RD @03 + 5592.50ns INFO [00005594] * RD COMPARE * port=1 adr=05 act=F4CB0FF79AC2137DE6 exp=F4CB0FF79AC2137DE6 + 5592.50ns INFO [00005594] Port=0 WR @03=21419D60886FF06D31 + 5592.50ns INFO [00005594] Port=0 RD @06 + 5592.50ns INFO [00005594] Port=1 RD @00 + 5593.50ns INFO [00005595] * RD COMPARE * port=0 adr=01 act=73E9012578C87FDBD7 exp=73E9012578C87FDBD7 + 5593.50ns INFO [00005595] * RD COMPARE * port=1 adr=03 act=4B0E175BB3025EEF8A exp=4B0E175BB3025EEF8A + 5593.50ns INFO [00005595] Port=0 WR @07=594F162F776CABCA3F + 5593.50ns INFO [00005595] Port=0 RD @06 + 5593.50ns INFO [00005595] Port=1 RD @04 + 5594.50ns INFO [00005596] * RD COMPARE * port=0 adr=06 act=7663AA617A018933BA exp=7663AA617A018933BA + 5594.50ns INFO [00005596] * RD COMPARE * port=1 adr=00 act=C77A89A03DA310DF9D exp=C77A89A03DA310DF9D + 5595.50ns INFO [00005597] * RD COMPARE * port=0 adr=06 act=7663AA617A018933BA exp=7663AA617A018933BA + 5595.50ns INFO [00005597] * RD COMPARE * port=1 adr=04 act=D986F27D7C5A77EC23 exp=D986F27D7C5A77EC23 + 5596.50ns INFO [00005598] Port=0 WR @01=0BBDCFB7D1D7EA17A1 + 5597.50ns INFO [00005599] Port=0 WR @05=F846EC233CD34E3B5A + 5598.00ns INFO [00005600] [00005600] ...tick... + 5598.50ns INFO [00005600] Port=1 RD @02 + 5599.50ns INFO [00005601] Port=1 RD @05 + 5600.50ns INFO [00005602] * RD COMPARE * port=1 adr=02 act=F6E83E68DD41913DBD exp=F6E83E68DD41913DBD + 5600.50ns INFO [00005602] Port=1 RD @00 + 5601.50ns INFO [00005603] * RD COMPARE * port=1 adr=05 act=F846EC233CD34E3B5A exp=F846EC233CD34E3B5A + 5601.50ns INFO [00005603] Port=0 WR @04=BA3D42E49AB0B771A9 + 5601.50ns INFO [00005603] Port=1 RD @07 + 5602.50ns INFO [00005604] * RD COMPARE * port=1 adr=00 act=C77A89A03DA310DF9D exp=C77A89A03DA310DF9D + 5602.50ns INFO [00005604] Port=0 RD @06 + 5603.50ns INFO [00005605] * RD COMPARE * port=1 adr=07 act=594F162F776CABCA3F exp=594F162F776CABCA3F + 5603.50ns INFO [00005605] Port=0 RD @03 + 5604.50ns INFO [00005606] * RD COMPARE * port=0 adr=06 act=7663AA617A018933BA exp=7663AA617A018933BA + 5604.50ns INFO [00005606] Port=0 WR @04=E419A99DFF219F5597 + 5605.50ns INFO [00005607] * RD COMPARE * port=0 adr=03 act=21419D60886FF06D31 exp=21419D60886FF06D31 + 5605.50ns INFO [00005607] Port=0 WR @07=956CCD26D4625F65B3 + 5605.50ns INFO [00005607] Port=1 RD @06 + 5606.50ns INFO [00005608] Port=0 WR @01=9394F184A2397A00EF + 5607.50ns INFO [00005609] * RD COMPARE * port=1 adr=06 act=7663AA617A018933BA exp=7663AA617A018933BA + 5607.50ns INFO [00005609] Port=0 RD @03 + 5608.50ns INFO [00005610] Port=0 WR @05=601FE1F34656267602 + 5609.50ns INFO [00005611] * RD COMPARE * port=0 adr=03 act=21419D60886FF06D31 exp=21419D60886FF06D31 + 5609.50ns INFO [00005611] Port=0 WR @00=8EBB16098215C4AD4A + 5610.50ns INFO [00005612] Port=0 WR @01=D9422EC7F6092BA5EE + 5610.50ns INFO [00005612] Port=0 RD @00 + 5611.50ns INFO [00005613] Port=0 WR @02=0CF6DE7577EDF3CA89 + 5611.50ns INFO [00005613] Port=1 RD @03 + 5612.50ns INFO [00005614] * RD COMPARE * port=0 adr=00 act=8EBB16098215C4AD4A exp=8EBB16098215C4AD4A + 5612.50ns INFO [00005614] Port=0 WR @02=F4911562E180261FFA + 5612.50ns INFO [00005614] Port=1 RD @01 + 5613.50ns INFO [00005615] * RD COMPARE * port=1 adr=03 act=21419D60886FF06D31 exp=21419D60886FF06D31 + 5613.50ns INFO [00005615] Port=0 WR @07=92E8ED5C1DD26A3DE3 + 5613.50ns INFO [00005615] Port=0 RD @00 + 5613.50ns INFO [00005615] Port=1 RD @01 + 5614.50ns INFO [00005616] * RD COMPARE * port=1 adr=01 act=D9422EC7F6092BA5EE exp=D9422EC7F6092BA5EE + 5615.50ns INFO [00005617] * RD COMPARE * port=0 adr=00 act=8EBB16098215C4AD4A exp=8EBB16098215C4AD4A + 5615.50ns INFO [00005617] * RD COMPARE * port=1 adr=01 act=D9422EC7F6092BA5EE exp=D9422EC7F6092BA5EE + 5615.50ns INFO [00005617] Port=0 RD @04 + 5617.50ns INFO [00005619] * RD COMPARE * port=0 adr=04 act=E419A99DFF219F5597 exp=E419A99DFF219F5597 + 5617.50ns INFO [00005619] Port=0 RD @05 + 5618.50ns INFO [00005620] Port=0 WR @01=258CE3F64D7DC9737F + 5619.50ns INFO [00005621] * RD COMPARE * port=0 adr=05 act=601FE1F34656267602 exp=601FE1F34656267602 + 5619.50ns INFO [00005621] Port=0 WR @01=C9ED4E4580A363CAB8 + 5619.50ns INFO [00005621] Port=0 RD @02 + 5620.50ns INFO [00005622] Port=1 RD @00 + 5621.50ns INFO [00005623] * RD COMPARE * port=0 adr=02 act=F4911562E180261FFA exp=F4911562E180261FFA + 5622.50ns INFO [00005624] * RD COMPARE * port=1 adr=00 act=8EBB16098215C4AD4A exp=8EBB16098215C4AD4A + 5622.50ns INFO [00005624] Port=1 RD @02 + 5623.50ns INFO [00005625] Port=0 WR @07=9ECB4CC246648EA878 + 5624.50ns INFO [00005626] * RD COMPARE * port=1 adr=02 act=F4911562E180261FFA exp=F4911562E180261FFA + 5625.50ns INFO [00005627] Port=0 WR @04=57718DA2C56759E0EA + 5625.50ns INFO [00005627] Port=1 RD @06 + 5626.50ns INFO [00005628] Port=1 RD @04 + 5627.50ns INFO [00005629] * RD COMPARE * port=1 adr=06 act=7663AA617A018933BA exp=7663AA617A018933BA + 5628.50ns INFO [00005630] * RD COMPARE * port=1 adr=04 act=57718DA2C56759E0EA exp=57718DA2C56759E0EA + 5628.50ns INFO [00005630] Port=1 RD @04 + 5629.50ns INFO [00005631] Port=0 WR @01=4B912614C8DDF3B387 + 5629.50ns INFO [00005631] Port=0 RD @03 + 5629.50ns INFO [00005631] Port=1 RD @05 + 5630.50ns INFO [00005632] * RD COMPARE * port=1 adr=04 act=57718DA2C56759E0EA exp=57718DA2C56759E0EA + 5630.50ns INFO [00005632] Port=0 WR @06=27EB4726031EE1D438 + 5630.50ns INFO [00005632] Port=1 RD @01 + 5631.50ns INFO [00005633] * RD COMPARE * port=0 adr=03 act=21419D60886FF06D31 exp=21419D60886FF06D31 + 5631.50ns INFO [00005633] * RD COMPARE * port=1 adr=05 act=601FE1F34656267602 exp=601FE1F34656267602 + 5632.50ns INFO [00005634] * RD COMPARE * port=1 adr=01 act=4B912614C8DDF3B387 exp=4B912614C8DDF3B387 + 5632.50ns INFO [00005634] Port=0 WR @00=FD4C251F6AC5FB428E + 5633.50ns INFO [00005635] Port=0 WR @04=FA7782F5620800B8CA + 5633.50ns INFO [00005635] Port=0 RD @02 + 5634.50ns INFO [00005636] Port=1 RD @01 + 5635.50ns INFO [00005637] * RD COMPARE * port=0 adr=02 act=F4911562E180261FFA exp=F4911562E180261FFA + 5636.50ns INFO [00005638] * RD COMPARE * port=1 adr=01 act=4B912614C8DDF3B387 exp=4B912614C8DDF3B387 + 5636.50ns INFO [00005638] Port=0 WR @04=7977DE4FB9D1F87324 + 5636.50ns INFO [00005638] Port=0 RD @06 + 5637.50ns INFO [00005639] Port=0 RD @01 + 5638.50ns INFO [00005640] * RD COMPARE * port=0 adr=06 act=27EB4726031EE1D438 exp=27EB4726031EE1D438 + 5638.50ns INFO [00005640] Port=0 WR @02=2E5D0236A5038FF28E + 5638.50ns INFO [00005640] Port=0 RD @06 + 5639.50ns INFO [00005641] * RD COMPARE * port=0 adr=01 act=4B912614C8DDF3B387 exp=4B912614C8DDF3B387 + 5640.50ns INFO [00005642] * RD COMPARE * port=0 adr=06 act=27EB4726031EE1D438 exp=27EB4726031EE1D438 + 5644.50ns INFO [00005646] Port=0 WR @03=1CFC19F00CD3BAFF38 + 5644.50ns INFO [00005646] Port=0 RD @04 + 5644.50ns INFO [00005646] Port=1 RD @00 + 5646.50ns INFO [00005648] * RD COMPARE * port=0 adr=04 act=7977DE4FB9D1F87324 exp=7977DE4FB9D1F87324 + 5646.50ns INFO [00005648] * RD COMPARE * port=1 adr=00 act=FD4C251F6AC5FB428E exp=FD4C251F6AC5FB428E + 5648.50ns INFO [00005650] Port=0 RD @07 + 5649.50ns INFO [00005651] Port=0 WR @02=EF7C77BABF06FF9D61 + 5650.50ns INFO [00005652] * RD COMPARE * port=0 adr=07 act=9ECB4CC246648EA878 exp=9ECB4CC246648EA878 + 5650.50ns INFO [00005652] Port=0 RD @06 + 5651.50ns INFO [00005653] Port=0 WR @00=DCDA9D10932A07595D + 5651.50ns INFO [00005653] Port=0 RD @03 + 5651.50ns INFO [00005653] Port=1 RD @07 + 5652.50ns INFO [00005654] * RD COMPARE * port=0 adr=06 act=27EB4726031EE1D438 exp=27EB4726031EE1D438 + 5652.50ns INFO [00005654] Port=0 WR @02=B4BE90925BF86AAFE2 + 5653.50ns INFO [00005655] * RD COMPARE * port=0 adr=03 act=1CFC19F00CD3BAFF38 exp=1CFC19F00CD3BAFF38 + 5653.50ns INFO [00005655] * RD COMPARE * port=1 adr=07 act=9ECB4CC246648EA878 exp=9ECB4CC246648EA878 + 5653.50ns INFO [00005655] Port=0 WR @00=E5E04310D14772FE6A + 5653.50ns INFO [00005655] Port=0 RD @02 + 5654.50ns INFO [00005656] Port=1 RD @03 + 5655.50ns INFO [00005657] * RD COMPARE * port=0 adr=02 act=B4BE90925BF86AAFE2 exp=B4BE90925BF86AAFE2 + 5655.50ns INFO [00005657] Port=0 WR @01=9632A55281C5B2EF1A + 5656.50ns INFO [00005658] * RD COMPARE * port=1 adr=03 act=1CFC19F00CD3BAFF38 exp=1CFC19F00CD3BAFF38 + 5656.50ns INFO [00005658] Port=0 WR @03=B135D76A5CC47317D4 + 5656.50ns INFO [00005658] Port=0 RD @07 + 5657.50ns INFO [00005659] Port=0 WR @03=E4FAAD3645CEA1D791 + 5657.50ns INFO [00005659] Port=0 RD @06 + 5657.50ns INFO [00005659] Port=1 RD @01 + 5658.50ns INFO [00005660] * RD COMPARE * port=0 adr=07 act=9ECB4CC246648EA878 exp=9ECB4CC246648EA878 + 5658.50ns INFO [00005660] Port=0 WR @00=CF655DD167D3FA3B7F + 5658.50ns INFO [00005660] Port=1 RD @03 + 5659.50ns INFO [00005661] * RD COMPARE * port=0 adr=06 act=27EB4726031EE1D438 exp=27EB4726031EE1D438 + 5659.50ns INFO [00005661] * RD COMPARE * port=1 adr=01 act=9632A55281C5B2EF1A exp=9632A55281C5B2EF1A + 5659.50ns INFO [00005661] Port=0 RD @03 + 5660.50ns INFO [00005662] * RD COMPARE * port=1 adr=03 act=E4FAAD3645CEA1D791 exp=E4FAAD3645CEA1D791 + 5660.50ns INFO [00005662] Port=0 WR @02=44E5E0F556BBCC6FEB + 5660.50ns INFO [00005662] Port=1 RD @01 + 5661.50ns INFO [00005663] * RD COMPARE * port=0 adr=03 act=E4FAAD3645CEA1D791 exp=E4FAAD3645CEA1D791 + 5661.50ns INFO [00005663] Port=0 WR @02=E60743AC9F175BD77C + 5661.50ns INFO [00005663] Port=0 RD @01 + 5662.50ns INFO [00005664] * RD COMPARE * port=1 adr=01 act=9632A55281C5B2EF1A exp=9632A55281C5B2EF1A + 5662.50ns INFO [00005664] Port=0 WR @03=1CF267A3A3572ACB10 + 5663.50ns INFO [00005665] * RD COMPARE * port=0 adr=01 act=9632A55281C5B2EF1A exp=9632A55281C5B2EF1A + 5664.50ns INFO [00005666] Port=1 RD @03 + 5665.50ns INFO [00005667] Port=0 RD @05 + 5666.50ns INFO [00005668] * RD COMPARE * port=1 adr=03 act=1CF267A3A3572ACB10 exp=1CF267A3A3572ACB10 + 5666.50ns INFO [00005668] Port=1 RD @05 + 5667.50ns INFO [00005669] * RD COMPARE * port=0 adr=05 act=601FE1F34656267602 exp=601FE1F34656267602 + 5668.50ns INFO [00005670] * RD COMPARE * port=1 adr=05 act=601FE1F34656267602 exp=601FE1F34656267602 + 5668.50ns INFO [00005670] Port=0 WR @06=75DAFCF0766CCDC349 + 5668.50ns INFO [00005670] Port=1 RD @02 + 5669.50ns INFO [00005671] Port=1 RD @00 + 5670.50ns INFO [00005672] * RD COMPARE * port=1 adr=02 act=E60743AC9F175BD77C exp=E60743AC9F175BD77C + 5671.50ns INFO [00005673] * RD COMPARE * port=1 adr=00 act=CF655DD167D3FA3B7F exp=CF655DD167D3FA3B7F + 5671.50ns INFO [00005673] Port=0 RD @07 + 5672.50ns INFO [00005674] Port=0 RD @00 + 5672.50ns INFO [00005674] Port=1 RD @03 + 5673.50ns INFO [00005675] * RD COMPARE * port=0 adr=07 act=9ECB4CC246648EA878 exp=9ECB4CC246648EA878 + 5673.50ns INFO [00005675] Port=1 RD @02 + 5674.50ns INFO [00005676] * RD COMPARE * port=0 adr=00 act=CF655DD167D3FA3B7F exp=CF655DD167D3FA3B7F + 5674.50ns INFO [00005676] * RD COMPARE * port=1 adr=03 act=1CF267A3A3572ACB10 exp=1CF267A3A3572ACB10 + 5674.50ns INFO [00005676] Port=0 WR @02=954E39B30D9C44C31E + 5675.50ns INFO [00005677] * RD COMPARE * port=1 adr=02 act=E60743AC9F175BD77C exp=E60743AC9F175BD77C + 5675.50ns INFO [00005677] Port=0 RD @02 + 5675.50ns INFO [00005677] Port=1 RD @01 + 5676.50ns INFO [00005678] Port=0 WR @05=A420124B198BCA9412 + 5676.50ns INFO [00005678] Port=1 RD @03 + 5677.50ns INFO [00005679] * RD COMPARE * port=0 adr=02 act=954E39B30D9C44C31E exp=954E39B30D9C44C31E + 5677.50ns INFO [00005679] * RD COMPARE * port=1 adr=01 act=9632A55281C5B2EF1A exp=9632A55281C5B2EF1A + 5678.50ns INFO [00005680] * RD COMPARE * port=1 adr=03 act=1CF267A3A3572ACB10 exp=1CF267A3A3572ACB10 + 5678.50ns INFO [00005680] Port=0 WR @07=632F8BE89209BEB936 + 5679.50ns INFO [00005681] Port=0 RD @03 + 5680.50ns INFO [00005682] Port=0 WR @00=E16B4CF5123F10616A + 5680.50ns INFO [00005682] Port=1 RD @01 + 5681.50ns INFO [00005683] * RD COMPARE * port=0 adr=03 act=1CF267A3A3572ACB10 exp=1CF267A3A3572ACB10 + 5681.50ns INFO [00005683] Port=1 RD @01 + 5682.50ns INFO [00005684] * RD COMPARE * port=1 adr=01 act=9632A55281C5B2EF1A exp=9632A55281C5B2EF1A + 5683.50ns INFO [00005685] * RD COMPARE * port=1 adr=01 act=9632A55281C5B2EF1A exp=9632A55281C5B2EF1A + 5683.50ns INFO [00005685] Port=0 WR @06=3E01BDC58B186DB060 + 5683.50ns INFO [00005685] Port=0 RD @02 + 5683.50ns INFO [00005685] Port=1 RD @04 + 5684.50ns INFO [00005686] Port=0 WR @07=439C45DC25AA919CE2 + 5684.50ns INFO [00005686] Port=1 RD @01 + 5685.50ns INFO [00005687] * RD COMPARE * port=0 adr=02 act=954E39B30D9C44C31E exp=954E39B30D9C44C31E + 5685.50ns INFO [00005687] * RD COMPARE * port=1 adr=04 act=7977DE4FB9D1F87324 exp=7977DE4FB9D1F87324 + 5685.50ns INFO [00005687] Port=0 WR @04=03C240038868B03D2B + 5685.50ns INFO [00005687] Port=0 RD @02 + 5686.50ns INFO [00005688] * RD COMPARE * port=1 adr=01 act=9632A55281C5B2EF1A exp=9632A55281C5B2EF1A + 5686.50ns INFO [00005688] Port=0 WR @01=CE1A024D86AF6CEC62 + 5686.50ns INFO [00005688] Port=0 RD @03 + 5687.50ns INFO [00005689] * RD COMPARE * port=0 adr=02 act=954E39B30D9C44C31E exp=954E39B30D9C44C31E + 5687.50ns INFO [00005689] Port=0 WR @06=FAFC1BE983CF190516 + 5688.50ns INFO [00005690] * RD COMPARE * port=0 adr=03 act=1CF267A3A3572ACB10 exp=1CF267A3A3572ACB10 + 5688.50ns INFO [00005690] Port=1 RD @02 + 5689.50ns INFO [00005691] Port=0 WR @05=E6C919255A84DC33C8 + 5690.50ns INFO [00005692] * RD COMPARE * port=1 adr=02 act=954E39B30D9C44C31E exp=954E39B30D9C44C31E + 5690.50ns INFO [00005692] Port=1 RD @04 + 5692.50ns INFO [00005694] * RD COMPARE * port=1 adr=04 act=03C240038868B03D2B exp=03C240038868B03D2B + 5692.50ns INFO [00005694] Port=0 RD @00 + 5692.50ns INFO [00005694] Port=1 RD @04 + 5693.50ns INFO [00005695] Port=0 RD @03 + 5694.50ns INFO [00005696] * RD COMPARE * port=0 adr=00 act=E16B4CF5123F10616A exp=E16B4CF5123F10616A + 5694.50ns INFO [00005696] * RD COMPARE * port=1 adr=04 act=03C240038868B03D2B exp=03C240038868B03D2B + 5694.50ns INFO [00005696] Port=0 WR @00=4D5657476B4E679471 + 5694.50ns INFO [00005696] Port=1 RD @03 + 5695.50ns INFO [00005697] * RD COMPARE * port=0 adr=03 act=1CF267A3A3572ACB10 exp=1CF267A3A3572ACB10 + 5695.50ns INFO [00005697] Port=0 WR @03=4EF04B0D8CF7A1D4AA + 5695.50ns INFO [00005697] Port=0 RD @07 + 5696.50ns INFO [00005698] * RD COMPARE * port=1 adr=03 act=1CF267A3A3572ACB10 exp=1CF267A3A3572ACB10 + 5697.50ns INFO [00005699] * RD COMPARE * port=0 adr=07 act=439C45DC25AA919CE2 exp=439C45DC25AA919CE2 + 5697.50ns INFO [00005699] Port=0 RD @00 + 5697.50ns INFO [00005699] Port=1 RD @06 + 5698.00ns INFO [00005700] [00005700] ...tick... + 5698.50ns INFO [00005700] Port=0 WR @06=8DC3D4F4CBF3870A4D + 5698.50ns INFO [00005700] Port=1 RD @07 + 5699.50ns INFO [00005701] * RD COMPARE * port=0 adr=00 act=4D5657476B4E679471 exp=4D5657476B4E679471 + 5699.50ns INFO [00005701] * RD COMPARE * port=1 adr=06 act=FAFC1BE983CF190516 exp=FAFC1BE983CF190516 + 5699.50ns INFO [00005701] Port=0 WR @06=52F7600BE82D78034F + 5700.50ns INFO [00005702] * RD COMPARE * port=1 adr=07 act=439C45DC25AA919CE2 exp=439C45DC25AA919CE2 + 5700.50ns INFO [00005702] Port=0 WR @02=2D4F19D0078FF8D39F + 5700.50ns INFO [00005702] Port=1 RD @00 + 5701.50ns INFO [00005703] Port=0 WR @00=97A3434ED5CE097C74 + 5701.50ns INFO [00005703] Port=0 RD @03 + 5702.50ns INFO [00005704] * RD COMPARE * port=1 adr=00 act=4D5657476B4E679471 exp=4D5657476B4E679471 + 5702.50ns INFO [00005704] Port=1 RD @04 + 5703.50ns INFO [00005705] * RD COMPARE * port=0 adr=03 act=4EF04B0D8CF7A1D4AA exp=4EF04B0D8CF7A1D4AA + 5703.50ns INFO [00005705] Port=0 WR @02=E746745A60FEBCF3D8 + 5704.50ns INFO [00005706] * RD COMPARE * port=1 adr=04 act=03C240038868B03D2B exp=03C240038868B03D2B + 5705.50ns INFO [00005707] Port=0 RD @02 + 5705.50ns INFO [00005707] Port=1 RD @06 + 5707.50ns INFO [00005709] * RD COMPARE * port=0 adr=02 act=E746745A60FEBCF3D8 exp=E746745A60FEBCF3D8 + 5707.50ns INFO [00005709] * RD COMPARE * port=1 adr=06 act=52F7600BE82D78034F exp=52F7600BE82D78034F + 5709.50ns INFO [00005711] Port=0 WR @06=3A21E78F6898FDC5A8 + 5709.50ns INFO [00005711] Port=0 RD @00 + 5710.50ns INFO [00005712] Port=0 RD @03 + 5710.50ns INFO [00005712] Port=1 RD @05 + 5711.50ns INFO [00005713] * RD COMPARE * port=0 adr=00 act=97A3434ED5CE097C74 exp=97A3434ED5CE097C74 + 5711.50ns INFO [00005713] Port=0 WR @05=16E9DDAC22FF6E359F + 5711.50ns INFO [00005713] Port=0 RD @06 + 5712.50ns INFO [00005714] * RD COMPARE * port=0 adr=03 act=4EF04B0D8CF7A1D4AA exp=4EF04B0D8CF7A1D4AA + 5712.50ns INFO [00005714] * RD COMPARE * port=1 adr=05 act=E6C919255A84DC33C8 exp=E6C919255A84DC33C8 + 5712.50ns INFO [00005714] Port=0 WR @05=A162B398759EB21F1A + 5713.50ns INFO [00005715] * RD COMPARE * port=0 adr=06 act=3A21E78F6898FDC5A8 exp=3A21E78F6898FDC5A8 + 5713.50ns INFO [00005715] Port=0 WR @00=1F51135FDD57824E62 + 5714.50ns INFO [00005716] Port=0 WR @07=CA2749A58D06D5C598 + 5714.50ns INFO [00005716] Port=1 RD @02 + 5716.50ns INFO [00005718] * RD COMPARE * port=1 adr=02 act=E746745A60FEBCF3D8 exp=E746745A60FEBCF3D8 + 5717.50ns INFO [00005719] Port=0 WR @01=93E87D454DEB8831CB + 5719.50ns INFO [00005721] Port=1 RD @04 + 5720.50ns INFO [00005722] Port=0 WR @03=CF15CA3AD3C54A2877 + 5720.50ns INFO [00005722] Port=1 RD @05 + 5721.50ns INFO [00005723] * RD COMPARE * port=1 adr=04 act=03C240038868B03D2B exp=03C240038868B03D2B + 5721.50ns INFO [00005723] Port=0 RD @04 + 5721.50ns INFO [00005723] Port=1 RD @03 + 5722.50ns INFO [00005724] * RD COMPARE * port=1 adr=05 act=A162B398759EB21F1A exp=A162B398759EB21F1A + 5722.50ns INFO [00005724] Port=0 WR @01=9F0C8938741346E675 + 5723.50ns INFO [00005725] * RD COMPARE * port=0 adr=04 act=03C240038868B03D2B exp=03C240038868B03D2B + 5723.50ns INFO [00005725] * RD COMPARE * port=1 adr=03 act=CF15CA3AD3C54A2877 exp=CF15CA3AD3C54A2877 + 5723.50ns INFO [00005725] Port=0 WR @04=9F863FF7749A2EC7DA + 5724.50ns INFO [00005726] Port=0 WR @05=AA817803CD3DFBBB7E + 5727.50ns INFO [00005729] Port=1 RD @01 + 5728.50ns INFO [00005730] Port=0 WR @04=4B7A1741D3EE063FA9 + 5728.50ns INFO [00005730] Port=1 RD @05 + 5729.50ns INFO [00005731] * RD COMPARE * port=1 adr=01 act=9F0C8938741346E675 exp=9F0C8938741346E675 + 5729.50ns INFO [00005731] Port=0 RD @01 + 5729.50ns INFO [00005731] Port=1 RD @02 + 5730.50ns INFO [00005732] * RD COMPARE * port=1 adr=05 act=AA817803CD3DFBBB7E exp=AA817803CD3DFBBB7E + 5731.50ns INFO [00005733] * RD COMPARE * port=0 adr=01 act=9F0C8938741346E675 exp=9F0C8938741346E675 + 5731.50ns INFO [00005733] * RD COMPARE * port=1 adr=02 act=E746745A60FEBCF3D8 exp=E746745A60FEBCF3D8 + 5731.50ns INFO [00005733] Port=1 RD @03 + 5732.50ns INFO [00005734] Port=1 RD @00 + 5733.50ns INFO [00005735] * RD COMPARE * port=1 adr=03 act=CF15CA3AD3C54A2877 exp=CF15CA3AD3C54A2877 + 5733.50ns INFO [00005735] Port=0 WR @07=D36FA6E1359FCD9F0A + 5734.50ns INFO [00005736] * RD COMPARE * port=1 adr=00 act=1F51135FDD57824E62 exp=1F51135FDD57824E62 + 5734.50ns INFO [00005736] Port=1 RD @03 + 5735.50ns INFO [00005737] Port=1 RD @00 + 5736.50ns INFO [00005738] * RD COMPARE * port=1 adr=03 act=CF15CA3AD3C54A2877 exp=CF15CA3AD3C54A2877 + 5737.50ns INFO [00005739] * RD COMPARE * port=1 adr=00 act=1F51135FDD57824E62 exp=1F51135FDD57824E62 + 5738.50ns INFO [00005740] Port=0 WR @03=6274E18FA729F39A4F + 5739.50ns INFO [00005741] Port=0 WR @00=DA6C040FA4D36768F5 + 5739.50ns INFO [00005741] Port=1 RD @04 + 5741.50ns INFO [00005743] * RD COMPARE * port=1 adr=04 act=4B7A1741D3EE063FA9 exp=4B7A1741D3EE063FA9 + 5741.50ns INFO [00005743] Port=0 WR @04=7784C163A401B0645B + 5741.50ns INFO [00005743] Port=0 RD @07 + 5743.50ns INFO [00005745] * RD COMPARE * port=0 adr=07 act=D36FA6E1359FCD9F0A exp=D36FA6E1359FCD9F0A + 5744.50ns INFO [00005746] Port=0 WR @02=2073FC3096C15A3C9A + 5744.50ns INFO [00005746] Port=0 RD @04 + 5745.50ns INFO [00005747] Port=0 RD @02 + 5745.50ns INFO [00005747] Port=1 RD @04 + 5746.50ns INFO [00005748] * RD COMPARE * port=0 adr=04 act=7784C163A401B0645B exp=7784C163A401B0645B + 5746.50ns INFO [00005748] Port=1 RD @07 + 5747.50ns INFO [00005749] * RD COMPARE * port=0 adr=02 act=2073FC3096C15A3C9A exp=2073FC3096C15A3C9A + 5747.50ns INFO [00005749] * RD COMPARE * port=1 adr=04 act=7784C163A401B0645B exp=7784C163A401B0645B + 5747.50ns INFO [00005749] Port=1 RD @00 + 5748.50ns INFO [00005750] * RD COMPARE * port=1 adr=07 act=D36FA6E1359FCD9F0A exp=D36FA6E1359FCD9F0A + 5748.50ns INFO [00005750] Port=0 RD @07 + 5749.50ns INFO [00005751] * RD COMPARE * port=1 adr=00 act=DA6C040FA4D36768F5 exp=DA6C040FA4D36768F5 + 5749.50ns INFO [00005751] Port=1 RD @06 + 5750.50ns INFO [00005752] * RD COMPARE * port=0 adr=07 act=D36FA6E1359FCD9F0A exp=D36FA6E1359FCD9F0A + 5750.50ns INFO [00005752] Port=0 WR @03=939020D0CFBE7A453C + 5751.50ns INFO [00005753] * RD COMPARE * port=1 adr=06 act=3A21E78F6898FDC5A8 exp=3A21E78F6898FDC5A8 + 5751.50ns INFO [00005753] Port=1 RD @03 + 5752.50ns INFO [00005754] Port=1 RD @05 + 5753.50ns INFO [00005755] * RD COMPARE * port=1 adr=03 act=939020D0CFBE7A453C exp=939020D0CFBE7A453C + 5753.50ns INFO [00005755] Port=0 RD @02 + 5754.50ns INFO [00005756] * RD COMPARE * port=1 adr=05 act=AA817803CD3DFBBB7E exp=AA817803CD3DFBBB7E + 5754.50ns INFO [00005756] Port=1 RD @06 + 5755.50ns INFO [00005757] * RD COMPARE * port=0 adr=02 act=2073FC3096C15A3C9A exp=2073FC3096C15A3C9A + 5755.50ns INFO [00005757] Port=0 RD @06 + 5756.50ns INFO [00005758] * RD COMPARE * port=1 adr=06 act=3A21E78F6898FDC5A8 exp=3A21E78F6898FDC5A8 + 5756.50ns INFO [00005758] Port=1 RD @02 + 5757.50ns INFO [00005759] * RD COMPARE * port=0 adr=06 act=3A21E78F6898FDC5A8 exp=3A21E78F6898FDC5A8 + 5757.50ns INFO [00005759] Port=0 WR @07=38BC9D2CF0E4D8D0C0 + 5757.50ns INFO [00005759] Port=1 RD @06 + 5758.50ns INFO [00005760] * RD COMPARE * port=1 adr=02 act=2073FC3096C15A3C9A exp=2073FC3096C15A3C9A + 5759.50ns INFO [00005761] * RD COMPARE * port=1 adr=06 act=3A21E78F6898FDC5A8 exp=3A21E78F6898FDC5A8 + 5759.50ns INFO [00005761] Port=0 WR @06=A82A1FCF1B696BD993 + 5760.50ns INFO [00005762] Port=0 WR @00=4F485EF8226F7B4974 + 5760.50ns INFO [00005762] Port=1 RD @02 + 5762.50ns INFO [00005764] * RD COMPARE * port=1 adr=02 act=2073FC3096C15A3C9A exp=2073FC3096C15A3C9A + 5762.50ns INFO [00005764] Port=0 RD @05 + 5763.50ns INFO [00005765] Port=1 RD @00 + 5764.50ns INFO [00005766] * RD COMPARE * port=0 adr=05 act=AA817803CD3DFBBB7E exp=AA817803CD3DFBBB7E + 5764.50ns INFO [00005766] Port=1 RD @04 + 5765.50ns INFO [00005767] * RD COMPARE * port=1 adr=00 act=4F485EF8226F7B4974 exp=4F485EF8226F7B4974 + 5766.50ns INFO [00005768] * RD COMPARE * port=1 adr=04 act=7784C163A401B0645B exp=7784C163A401B0645B + 5766.50ns INFO [00005768] Port=0 WR @05=6A5F6BC667CBE8B71C + 5767.50ns INFO [00005769] Port=0 WR @04=CDE4ED8611B864AC17 + 5767.50ns INFO [00005769] Port=0 RD @06 + 5769.50ns INFO [00005771] * RD COMPARE * port=0 adr=06 act=A82A1FCF1B696BD993 exp=A82A1FCF1B696BD993 + 5769.50ns INFO [00005771] Port=0 WR @03=9E3FB47090D71F3E4F + 5771.50ns INFO [00005773] Port=0 WR @04=3E02BAFA20AA3E1F2A + 5771.50ns INFO [00005773] Port=0 RD @06 + 5771.50ns INFO [00005773] Port=1 RD @06 + 5772.50ns INFO [00005774] Port=0 WR @02=80333D8600642DC344 + 5772.50ns INFO [00005774] Port=0 RD @03 + 5773.50ns INFO [00005775] * RD COMPARE * port=0 adr=06 act=A82A1FCF1B696BD993 exp=A82A1FCF1B696BD993 + 5773.50ns INFO [00005775] * RD COMPARE * port=1 adr=06 act=A82A1FCF1B696BD993 exp=A82A1FCF1B696BD993 + 5773.50ns INFO [00005775] Port=0 RD @05 + 5774.50ns INFO [00005776] * RD COMPARE * port=0 adr=03 act=9E3FB47090D71F3E4F exp=9E3FB47090D71F3E4F + 5774.50ns INFO [00005776] Port=0 RD @03 + 5775.50ns INFO [00005777] * RD COMPARE * port=0 adr=05 act=6A5F6BC667CBE8B71C exp=6A5F6BC667CBE8B71C + 5775.50ns INFO [00005777] Port=0 RD @02 + 5775.50ns INFO [00005777] Port=1 RD @07 + 5776.50ns INFO [00005778] * RD COMPARE * port=0 adr=03 act=9E3FB47090D71F3E4F exp=9E3FB47090D71F3E4F + 5777.50ns INFO [00005779] * RD COMPARE * port=0 adr=02 act=80333D8600642DC344 exp=80333D8600642DC344 + 5777.50ns INFO [00005779] * RD COMPARE * port=1 adr=07 act=38BC9D2CF0E4D8D0C0 exp=38BC9D2CF0E4D8D0C0 + 5777.50ns INFO [00005779] Port=0 RD @05 + 5778.50ns INFO [00005780] Port=0 RD @01 + 5779.50ns INFO [00005781] * RD COMPARE * port=0 adr=05 act=6A5F6BC667CBE8B71C exp=6A5F6BC667CBE8B71C + 5779.50ns INFO [00005781] Port=0 RD @00 + 5779.50ns INFO [00005781] Port=1 RD @00 + 5780.50ns INFO [00005782] * RD COMPARE * port=0 adr=01 act=9F0C8938741346E675 exp=9F0C8938741346E675 + 5781.50ns INFO [00005783] * RD COMPARE * port=0 adr=00 act=4F485EF8226F7B4974 exp=4F485EF8226F7B4974 + 5781.50ns INFO [00005783] * RD COMPARE * port=1 adr=00 act=4F485EF8226F7B4974 exp=4F485EF8226F7B4974 + 5781.50ns INFO [00005783] Port=0 WR @01=7C44B2BF1C531F7472 + 5784.50ns INFO [00005786] Port=1 RD @02 + 5785.50ns INFO [00005787] Port=1 RD @01 + 5786.50ns INFO [00005788] * RD COMPARE * port=1 adr=02 act=80333D8600642DC344 exp=80333D8600642DC344 + 5787.50ns INFO [00005789] * RD COMPARE * port=1 adr=01 act=7C44B2BF1C531F7472 exp=7C44B2BF1C531F7472 + 5787.50ns INFO [00005789] Port=1 RD @00 + 5788.50ns INFO [00005790] Port=1 RD @07 + 5789.50ns INFO [00005791] * RD COMPARE * port=1 adr=00 act=4F485EF8226F7B4974 exp=4F485EF8226F7B4974 + 5789.50ns INFO [00005791] Port=0 WR @05=3779663F0EA5736636 + 5790.50ns INFO [00005792] * RD COMPARE * port=1 adr=07 act=38BC9D2CF0E4D8D0C0 exp=38BC9D2CF0E4D8D0C0 + 5790.50ns INFO [00005792] Port=0 WR @02=F391D390BE9AC6585D + 5791.50ns INFO [00005793] Port=0 WR @07=4AB46F5734BBA917E9 + 5792.50ns INFO [00005794] Port=1 RD @06 + 5793.50ns INFO [00005795] Port=0 RD @00 + 5793.50ns INFO [00005795] Port=1 RD @04 + 5794.50ns INFO [00005796] * RD COMPARE * port=1 adr=06 act=A82A1FCF1B696BD993 exp=A82A1FCF1B696BD993 + 5794.50ns INFO [00005796] Port=0 WR @07=1DC243796D72C5A756 + 5794.50ns INFO [00005796] Port=1 RD @05 + 5795.50ns INFO [00005797] * RD COMPARE * port=0 adr=00 act=4F485EF8226F7B4974 exp=4F485EF8226F7B4974 + 5795.50ns INFO [00005797] * RD COMPARE * port=1 adr=04 act=3E02BAFA20AA3E1F2A exp=3E02BAFA20AA3E1F2A + 5795.50ns INFO [00005797] Port=1 RD @07 + 5796.50ns INFO [00005798] * RD COMPARE * port=1 adr=05 act=3779663F0EA5736636 exp=3779663F0EA5736636 + 5796.50ns INFO [00005798] Port=0 WR @00=03C4864D0F0E3A8F4C + 5796.50ns INFO [00005798] Port=0 RD @03 + 5797.50ns INFO [00005799] * RD COMPARE * port=1 adr=07 act=1DC243796D72C5A756 exp=1DC243796D72C5A756 + 5797.50ns INFO [00005799] Port=0 WR @04=31EEF65277A229911A + 5797.50ns INFO [00005799] Port=1 RD @06 + 5798.00ns INFO [00005800] [00005800] ...tick... + 5798.50ns INFO [00005800] * RD COMPARE * port=0 adr=03 act=9E3FB47090D71F3E4F exp=9E3FB47090D71F3E4F + 5798.50ns INFO [00005800] Port=0 RD @02 + 5798.50ns INFO [00005800] Port=1 RD @05 + 5799.50ns INFO [00005801] * RD COMPARE * port=1 adr=06 act=A82A1FCF1B696BD993 exp=A82A1FCF1B696BD993 + 5799.50ns INFO [00005801] Port=0 WR @06=8A5D26FC9846E5DB91 + 5800.50ns INFO [00005802] * RD COMPARE * port=0 adr=02 act=F391D390BE9AC6585D exp=F391D390BE9AC6585D + 5800.50ns INFO [00005802] * RD COMPARE * port=1 adr=05 act=3779663F0EA5736636 exp=3779663F0EA5736636 + 5801.50ns INFO [00005803] Port=0 RD @01 + 5801.50ns INFO [00005803] Port=1 RD @04 + 5802.50ns INFO [00005804] Port=1 RD @03 + 5803.50ns INFO [00005805] * RD COMPARE * port=0 adr=01 act=7C44B2BF1C531F7472 exp=7C44B2BF1C531F7472 + 5803.50ns INFO [00005805] * RD COMPARE * port=1 adr=04 act=31EEF65277A229911A exp=31EEF65277A229911A + 5803.50ns INFO [00005805] Port=1 RD @04 + 5804.50ns INFO [00005806] * RD COMPARE * port=1 adr=03 act=9E3FB47090D71F3E4F exp=9E3FB47090D71F3E4F + 5804.50ns INFO [00005806] Port=0 RD @03 + 5804.50ns INFO [00005806] Port=1 RD @05 + 5805.50ns INFO [00005807] * RD COMPARE * port=1 adr=04 act=31EEF65277A229911A exp=31EEF65277A229911A + 5805.50ns INFO [00005807] Port=0 WR @06=580BD4D0CC1F3FC6E9 + 5806.50ns INFO [00005808] * RD COMPARE * port=0 adr=03 act=9E3FB47090D71F3E4F exp=9E3FB47090D71F3E4F + 5806.50ns INFO [00005808] * RD COMPARE * port=1 adr=05 act=3779663F0EA5736636 exp=3779663F0EA5736636 + 5806.50ns INFO [00005808] Port=0 WR @06=05DF01BF9A5FB7588E + 5807.50ns INFO [00005809] Port=0 WR @06=76F1B31DC0C177859E + 5808.50ns INFO [00005810] Port=0 RD @05 + 5808.50ns INFO [00005810] Port=1 RD @03 + 5809.50ns INFO [00005811] Port=0 WR @04=5E72D7BD8D446B49AE + 5810.50ns INFO [00005812] * RD COMPARE * port=0 adr=05 act=3779663F0EA5736636 exp=3779663F0EA5736636 + 5810.50ns INFO [00005812] * RD COMPARE * port=1 adr=03 act=9E3FB47090D71F3E4F exp=9E3FB47090D71F3E4F + 5810.50ns INFO [00005812] Port=0 RD @07 + 5812.50ns INFO [00005814] * RD COMPARE * port=0 adr=07 act=1DC243796D72C5A756 exp=1DC243796D72C5A756 + 5813.50ns INFO [00005815] Port=0 RD @02 + 5814.50ns INFO [00005816] Port=1 RD @00 + 5815.50ns INFO [00005817] * RD COMPARE * port=0 adr=02 act=F391D390BE9AC6585D exp=F391D390BE9AC6585D + 5815.50ns INFO [00005817] Port=1 RD @07 + 5816.50ns INFO [00005818] * RD COMPARE * port=1 adr=00 act=03C4864D0F0E3A8F4C exp=03C4864D0F0E3A8F4C + 5816.50ns INFO [00005818] Port=0 WR @06=1339A0CD75183FA64D + 5816.50ns INFO [00005818] Port=1 RD @02 + 5817.50ns INFO [00005819] * RD COMPARE * port=1 adr=07 act=1DC243796D72C5A756 exp=1DC243796D72C5A756 + 5817.50ns INFO [00005819] Port=0 RD @04 + 5818.50ns INFO [00005820] * RD COMPARE * port=1 adr=02 act=F391D390BE9AC6585D exp=F391D390BE9AC6585D + 5818.50ns INFO [00005820] Port=0 WR @04=3EAF37B7B09DA733D9 + 5818.50ns INFO [00005820] Port=0 RD @02 + 5819.50ns INFO [00005821] * RD COMPARE * port=0 adr=04 act=5E72D7BD8D446B49AE exp=5E72D7BD8D446B49AE + 5819.50ns INFO [00005821] Port=0 RD @07 + 5820.50ns INFO [00005822] * RD COMPARE * port=0 adr=02 act=F391D390BE9AC6585D exp=F391D390BE9AC6585D + 5820.50ns INFO [00005822] Port=0 WR @06=34DF727375AA4358FD + 5820.50ns INFO [00005822] Port=1 RD @01 + 5821.50ns INFO [00005823] * RD COMPARE * port=0 adr=07 act=1DC243796D72C5A756 exp=1DC243796D72C5A756 + 5822.50ns INFO [00005824] * RD COMPARE * port=1 adr=01 act=7C44B2BF1C531F7472 exp=7C44B2BF1C531F7472 + 5822.50ns INFO [00005824] Port=0 WR @06=FCB1F7D6EE8213703F + 5822.50ns INFO [00005824] Port=0 RD @03 + 5822.50ns INFO [00005824] Port=1 RD @02 + 5824.50ns INFO [00005826] * RD COMPARE * port=0 adr=03 act=9E3FB47090D71F3E4F exp=9E3FB47090D71F3E4F + 5824.50ns INFO [00005826] * RD COMPARE * port=1 adr=02 act=F391D390BE9AC6585D exp=F391D390BE9AC6585D + 5825.50ns INFO [00005827] Port=1 RD @05 + 5827.50ns INFO [00005829] * RD COMPARE * port=1 adr=05 act=3779663F0EA5736636 exp=3779663F0EA5736636 + 5828.50ns INFO [00005830] Port=0 WR @03=B2C8BAA0F666FF905F + 5829.50ns INFO [00005831] Port=0 WR @05=AD800458B691BE78F5 + 5829.50ns INFO [00005831] Port=0 RD @00 + 5831.50ns INFO [00005833] * RD COMPARE * port=0 adr=00 act=03C4864D0F0E3A8F4C exp=03C4864D0F0E3A8F4C + 5831.50ns INFO [00005833] Port=0 WR @02=B80AD8F716A487EB2B + 5831.50ns INFO [00005833] Port=0 RD @00 + 5831.50ns INFO [00005833] Port=1 RD @00 + 5832.50ns INFO [00005834] Port=0 RD @01 + 5832.50ns INFO [00005834] Port=1 RD @03 + 5833.50ns INFO [00005835] * RD COMPARE * port=0 adr=00 act=03C4864D0F0E3A8F4C exp=03C4864D0F0E3A8F4C + 5833.50ns INFO [00005835] * RD COMPARE * port=1 adr=00 act=03C4864D0F0E3A8F4C exp=03C4864D0F0E3A8F4C + 5833.50ns INFO [00005835] Port=0 WR @02=3716549D52874E0015 + 5833.50ns INFO [00005835] Port=1 RD @03 + 5834.50ns INFO [00005836] * RD COMPARE * port=0 adr=01 act=7C44B2BF1C531F7472 exp=7C44B2BF1C531F7472 + 5834.50ns INFO [00005836] * RD COMPARE * port=1 adr=03 act=B2C8BAA0F666FF905F exp=B2C8BAA0F666FF905F + 5834.50ns INFO [00005836] Port=0 WR @00=7E92989F5117F64615 + 5834.50ns INFO [00005836] Port=1 RD @07 + 5835.50ns INFO [00005837] * RD COMPARE * port=1 adr=03 act=B2C8BAA0F666FF905F exp=B2C8BAA0F666FF905F + 5835.50ns INFO [00005837] Port=0 RD @02 + 5836.50ns INFO [00005838] * RD COMPARE * port=1 adr=07 act=1DC243796D72C5A756 exp=1DC243796D72C5A756 + 5836.50ns INFO [00005838] Port=0 WR @04=380F410135BAC1D45F + 5837.50ns INFO [00005839] * RD COMPARE * port=0 adr=02 act=3716549D52874E0015 exp=3716549D52874E0015 + 5837.50ns INFO [00005839] Port=0 WR @02=94CC9E534A5A6AE2C8 + 5837.50ns INFO [00005839] Port=0 RD @05 + 5838.50ns INFO [00005840] Port=0 RD @04 + 5839.50ns INFO [00005841] * RD COMPARE * port=0 adr=05 act=AD800458B691BE78F5 exp=AD800458B691BE78F5 + 5839.50ns INFO [00005841] Port=0 RD @03 + 5840.50ns INFO [00005842] * RD COMPARE * port=0 adr=04 act=380F410135BAC1D45F exp=380F410135BAC1D45F + 5841.50ns INFO [00005843] * RD COMPARE * port=0 adr=03 act=B2C8BAA0F666FF905F exp=B2C8BAA0F666FF905F + 5842.50ns INFO [00005844] Port=1 RD @02 + 5843.50ns INFO [00005845] Port=0 RD @07 + 5844.50ns INFO [00005846] * RD COMPARE * port=1 adr=02 act=94CC9E534A5A6AE2C8 exp=94CC9E534A5A6AE2C8 + 5844.50ns INFO [00005846] Port=0 RD @03 + 5845.50ns INFO [00005847] * RD COMPARE * port=0 adr=07 act=1DC243796D72C5A756 exp=1DC243796D72C5A756 + 5846.50ns INFO [00005848] * RD COMPARE * port=0 adr=03 act=B2C8BAA0F666FF905F exp=B2C8BAA0F666FF905F + 5846.50ns INFO [00005848] Port=0 WR @04=62265AA32E060F3040 + 5847.50ns INFO [00005849] Port=1 RD @06 + 5848.50ns INFO [00005850] Port=0 RD @04 + 5848.50ns INFO [00005850] Port=1 RD @07 + 5849.50ns INFO [00005851] * RD COMPARE * port=1 adr=06 act=FCB1F7D6EE8213703F exp=FCB1F7D6EE8213703F + 5849.50ns INFO [00005851] Port=0 WR @02=DCB45572EFA6DEF4BC + 5850.50ns INFO [00005852] * RD COMPARE * port=0 adr=04 act=62265AA32E060F3040 exp=62265AA32E060F3040 + 5850.50ns INFO [00005852] * RD COMPARE * port=1 adr=07 act=1DC243796D72C5A756 exp=1DC243796D72C5A756 + 5853.50ns INFO [00005855] Port=0 WR @01=7F58CE3DF1271A4683 + 5855.50ns INFO [00005857] Port=0 WR @02=92FB9F3D12A704FEBD + 5855.50ns INFO [00005857] Port=0 RD @03 + 5855.50ns INFO [00005857] Port=1 RD @04 + 5856.50ns INFO [00005858] Port=0 WR @06=2F6C02537BD9D53B55 + 5856.50ns INFO [00005858] Port=0 RD @01 + 5856.50ns INFO [00005858] Port=1 RD @00 + 5857.50ns INFO [00005859] * RD COMPARE * port=0 adr=03 act=B2C8BAA0F666FF905F exp=B2C8BAA0F666FF905F + 5857.50ns INFO [00005859] * RD COMPARE * port=1 adr=04 act=62265AA32E060F3040 exp=62265AA32E060F3040 + 5857.50ns INFO [00005859] Port=0 WR @06=A2323DBA6950D60478 + 5857.50ns INFO [00005859] Port=1 RD @07 + 5858.50ns INFO [00005860] * RD COMPARE * port=0 adr=01 act=7F58CE3DF1271A4683 exp=7F58CE3DF1271A4683 + 5858.50ns INFO [00005860] * RD COMPARE * port=1 adr=00 act=7E92989F5117F64615 exp=7E92989F5117F64615 + 5858.50ns INFO [00005860] Port=0 RD @06 + 5859.50ns INFO [00005861] * RD COMPARE * port=1 adr=07 act=1DC243796D72C5A756 exp=1DC243796D72C5A756 + 5859.50ns INFO [00005861] Port=0 WR @00=430F2EDD33D4D802B9 + 5859.50ns INFO [00005861] Port=1 RD @07 + 5860.50ns INFO [00005862] * RD COMPARE * port=0 adr=06 act=A2323DBA6950D60478 exp=A2323DBA6950D60478 + 5860.50ns INFO [00005862] Port=0 RD @02 + 5861.50ns INFO [00005863] * RD COMPARE * port=1 adr=07 act=1DC243796D72C5A756 exp=1DC243796D72C5A756 + 5861.50ns INFO [00005863] Port=0 WR @06=F95C1A80EB747CF65E + 5862.50ns INFO [00005864] * RD COMPARE * port=0 adr=02 act=92FB9F3D12A704FEBD exp=92FB9F3D12A704FEBD + 5862.50ns INFO [00005864] Port=0 WR @07=6CADD9555AC0BE0F1B + 5862.50ns INFO [00005864] Port=0 RD @00 + 5862.50ns INFO [00005864] Port=1 RD @01 + 5864.50ns INFO [00005866] * RD COMPARE * port=0 adr=00 act=430F2EDD33D4D802B9 exp=430F2EDD33D4D802B9 + 5864.50ns INFO [00005866] * RD COMPARE * port=1 adr=01 act=7F58CE3DF1271A4683 exp=7F58CE3DF1271A4683 + 5864.50ns INFO [00005866] Port=0 RD @07 + 5865.50ns INFO [00005867] Port=0 WR @05=0944114501E4A548E2 + 5865.50ns INFO [00005867] Port=1 RD @04 + 5866.50ns INFO [00005868] * RD COMPARE * port=0 adr=07 act=6CADD9555AC0BE0F1B exp=6CADD9555AC0BE0F1B + 5867.50ns INFO [00005869] * RD COMPARE * port=1 adr=04 act=62265AA32E060F3040 exp=62265AA32E060F3040 + 5867.50ns INFO [00005869] Port=0 WR @00=8DFAA3966BFDBB92D7 + 5867.50ns INFO [00005869] Port=1 RD @02 + 5868.50ns INFO [00005870] Port=0 WR @06=0901B78992B0E162FB + 5868.50ns INFO [00005870] Port=1 RD @03 + 5869.50ns INFO [00005871] * RD COMPARE * port=1 adr=02 act=92FB9F3D12A704FEBD exp=92FB9F3D12A704FEBD + 5869.50ns INFO [00005871] Port=0 WR @06=71C3C2D4853F7B43EA + 5869.50ns INFO [00005871] Port=1 RD @04 + 5870.50ns INFO [00005872] * RD COMPARE * port=1 adr=03 act=B2C8BAA0F666FF905F exp=B2C8BAA0F666FF905F + 5871.50ns INFO [00005873] * RD COMPARE * port=1 adr=04 act=62265AA32E060F3040 exp=62265AA32E060F3040 + 5871.50ns INFO [00005873] Port=0 RD @02 + 5872.50ns INFO [00005874] Port=0 RD @00 + 5873.50ns INFO [00005875] * RD COMPARE * port=0 adr=02 act=92FB9F3D12A704FEBD exp=92FB9F3D12A704FEBD + 5873.50ns INFO [00005875] Port=1 RD @03 + 5874.50ns INFO [00005876] * RD COMPARE * port=0 adr=00 act=8DFAA3966BFDBB92D7 exp=8DFAA3966BFDBB92D7 + 5875.50ns INFO [00005877] * RD COMPARE * port=1 adr=03 act=B2C8BAA0F666FF905F exp=B2C8BAA0F666FF905F + 5875.50ns INFO [00005877] Port=0 RD @05 + 5875.50ns INFO [00005877] Port=1 RD @07 + 5877.50ns INFO [00005879] * RD COMPARE * port=0 adr=05 act=0944114501E4A548E2 exp=0944114501E4A548E2 + 5877.50ns INFO [00005879] * RD COMPARE * port=1 adr=07 act=6CADD9555AC0BE0F1B exp=6CADD9555AC0BE0F1B + 5878.50ns INFO [00005880] Port=0 WR @02=90C371A71F9C920FCF + 5879.50ns INFO [00005881] Port=1 RD @00 + 5880.50ns INFO [00005882] Port=0 WR @03=D6131D0E47B81AA318 + 5881.50ns INFO [00005883] * RD COMPARE * port=1 adr=00 act=8DFAA3966BFDBB92D7 exp=8DFAA3966BFDBB92D7 + 5881.50ns INFO [00005883] Port=0 RD @03 + 5883.50ns INFO [00005885] * RD COMPARE * port=0 adr=03 act=D6131D0E47B81AA318 exp=D6131D0E47B81AA318 + 5883.50ns INFO [00005885] Port=0 WR @02=42813D57F8E6707845 + 5884.50ns INFO [00005886] Port=1 RD @02 + 5885.50ns INFO [00005887] Port=0 WR @01=0B886AC21FC9794DBC + 5885.50ns INFO [00005887] Port=0 RD @03 + 5885.50ns INFO [00005887] Port=1 RD @06 + 5886.50ns INFO [00005888] * RD COMPARE * port=1 adr=02 act=42813D57F8E6707845 exp=42813D57F8E6707845 + 5886.50ns INFO [00005888] Port=0 WR @05=8547233255E8DDD026 + 5886.50ns INFO [00005888] Port=0 RD @04 + 5886.50ns INFO [00005888] Port=1 RD @00 + 5887.50ns INFO [00005889] * RD COMPARE * port=0 adr=03 act=D6131D0E47B81AA318 exp=D6131D0E47B81AA318 + 5887.50ns INFO [00005889] * RD COMPARE * port=1 adr=06 act=71C3C2D4853F7B43EA exp=71C3C2D4853F7B43EA + 5888.50ns INFO [00005890] * RD COMPARE * port=0 adr=04 act=62265AA32E060F3040 exp=62265AA32E060F3040 + 5888.50ns INFO [00005890] * RD COMPARE * port=1 adr=00 act=8DFAA3966BFDBB92D7 exp=8DFAA3966BFDBB92D7 + 5889.50ns INFO [00005891] Port=0 WR @04=8A6753226AF9123611 + 5889.50ns INFO [00005891] Port=0 RD @00 + 5889.50ns INFO [00005891] Port=1 RD @03 + 5890.50ns INFO [00005892] Port=0 RD @04 + 5890.50ns INFO [00005892] Port=1 RD @07 + 5891.50ns INFO [00005893] * RD COMPARE * port=0 adr=00 act=8DFAA3966BFDBB92D7 exp=8DFAA3966BFDBB92D7 + 5891.50ns INFO [00005893] * RD COMPARE * port=1 adr=03 act=D6131D0E47B81AA318 exp=D6131D0E47B81AA318 + 5891.50ns INFO [00005893] Port=0 RD @05 + 5891.50ns INFO [00005893] Port=1 RD @02 + 5892.50ns INFO [00005894] * RD COMPARE * port=0 adr=04 act=8A6753226AF9123611 exp=8A6753226AF9123611 + 5892.50ns INFO [00005894] * RD COMPARE * port=1 adr=07 act=6CADD9555AC0BE0F1B exp=6CADD9555AC0BE0F1B + 5893.50ns INFO [00005895] * RD COMPARE * port=0 adr=05 act=8547233255E8DDD026 exp=8547233255E8DDD026 + 5893.50ns INFO [00005895] * RD COMPARE * port=1 adr=02 act=42813D57F8E6707845 exp=42813D57F8E6707845 + 5893.50ns INFO [00005895] Port=1 RD @07 + 5895.50ns INFO [00005897] * RD COMPARE * port=1 adr=07 act=6CADD9555AC0BE0F1B exp=6CADD9555AC0BE0F1B + 5896.50ns INFO [00005898] Port=0 WR @01=BAB4A2409EAC250489 + 5898.00ns INFO [00005900] [00005900] ...tick... + 5899.50ns INFO [00005901] Port=0 WR @01=3136946DB4687D6D37 + 5899.50ns INFO [00005901] Port=0 RD @07 + 5900.50ns INFO [00005902] Port=0 WR @06=57A05214CF51BF582A + 5900.50ns INFO [00005902] Port=0 RD @00 + 5900.50ns INFO [00005902] Port=1 RD @07 + 5901.50ns INFO [00005903] * RD COMPARE * port=0 adr=07 act=6CADD9555AC0BE0F1B exp=6CADD9555AC0BE0F1B + 5901.50ns INFO [00005903] Port=1 RD @02 + 5902.50ns INFO [00005904] * RD COMPARE * port=0 adr=00 act=8DFAA3966BFDBB92D7 exp=8DFAA3966BFDBB92D7 + 5902.50ns INFO [00005904] * RD COMPARE * port=1 adr=07 act=6CADD9555AC0BE0F1B exp=6CADD9555AC0BE0F1B + 5902.50ns INFO [00005904] Port=0 RD @01 + 5902.50ns INFO [00005904] Port=1 RD @00 + 5903.50ns INFO [00005905] * RD COMPARE * port=1 adr=02 act=42813D57F8E6707845 exp=42813D57F8E6707845 + 5904.50ns INFO [00005906] * RD COMPARE * port=0 adr=01 act=3136946DB4687D6D37 exp=3136946DB4687D6D37 + 5904.50ns INFO [00005906] * RD COMPARE * port=1 adr=00 act=8DFAA3966BFDBB92D7 exp=8DFAA3966BFDBB92D7 + 5904.50ns INFO [00005906] Port=0 WR @06=5A493E6BC0A8C43B32 + 5904.50ns INFO [00005906] Port=0 RD @03 + 5905.50ns INFO [00005907] Port=0 WR @06=20EA6E01CA6D911947 + 5905.50ns INFO [00005907] Port=0 RD @05 + 5906.50ns INFO [00005908] * RD COMPARE * port=0 adr=03 act=D6131D0E47B81AA318 exp=D6131D0E47B81AA318 + 5906.50ns INFO [00005908] Port=0 RD @02 + 5906.50ns INFO [00005908] Port=1 RD @05 + 5907.50ns INFO [00005909] * RD COMPARE * port=0 adr=05 act=8547233255E8DDD026 exp=8547233255E8DDD026 + 5908.50ns INFO [00005910] * RD COMPARE * port=0 adr=02 act=42813D57F8E6707845 exp=42813D57F8E6707845 + 5908.50ns INFO [00005910] * RD COMPARE * port=1 adr=05 act=8547233255E8DDD026 exp=8547233255E8DDD026 + 5908.50ns INFO [00005910] Port=0 RD @01 + 5909.50ns INFO [00005911] Port=1 RD @05 + 5910.50ns INFO [00005912] * RD COMPARE * port=0 adr=01 act=3136946DB4687D6D37 exp=3136946DB4687D6D37 + 5910.50ns INFO [00005912] Port=1 RD @06 + 5911.50ns INFO [00005913] * RD COMPARE * port=1 adr=05 act=8547233255E8DDD026 exp=8547233255E8DDD026 + 5912.50ns INFO [00005914] * RD COMPARE * port=1 adr=06 act=20EA6E01CA6D911947 exp=20EA6E01CA6D911947 + 5916.50ns INFO [00005918] Port=0 WR @01=AF3D944F2F5FAB09C5 + 5917.50ns INFO [00005919] Port=1 RD @02 + 5919.50ns INFO [00005921] * RD COMPARE * port=1 adr=02 act=42813D57F8E6707845 exp=42813D57F8E6707845 + 5919.50ns INFO [00005921] Port=0 WR @07=6DEBF4DB845EF08078 + 5919.50ns INFO [00005921] Port=1 RD @04 + 5920.50ns INFO [00005922] Port=0 WR @00=6A7329161789F2E17B + 5921.50ns INFO [00005923] * RD COMPARE * port=1 adr=04 act=8A6753226AF9123611 exp=8A6753226AF9123611 + 5922.50ns INFO [00005924] Port=0 WR @04=A21F814DAC8FF78884 + 5922.50ns INFO [00005924] Port=0 RD @05 + 5923.50ns INFO [00005925] Port=1 RD @05 + 5924.50ns INFO [00005926] * RD COMPARE * port=0 adr=05 act=8547233255E8DDD026 exp=8547233255E8DDD026 + 5924.50ns INFO [00005926] Port=0 RD @02 + 5925.50ns INFO [00005927] * RD COMPARE * port=1 adr=05 act=8547233255E8DDD026 exp=8547233255E8DDD026 + 5925.50ns INFO [00005927] Port=0 WR @05=F1D72C941A50F7CD49 + 5925.50ns INFO [00005927] Port=1 RD @06 + 5926.50ns INFO [00005928] * RD COMPARE * port=0 adr=02 act=42813D57F8E6707845 exp=42813D57F8E6707845 + 5926.50ns INFO [00005928] Port=0 RD @03 + 5927.50ns INFO [00005929] * RD COMPARE * port=1 adr=06 act=20EA6E01CA6D911947 exp=20EA6E01CA6D911947 + 5928.50ns INFO [00005930] * RD COMPARE * port=0 adr=03 act=D6131D0E47B81AA318 exp=D6131D0E47B81AA318 + 5928.50ns INFO [00005930] Port=0 RD @01 + 5929.50ns INFO [00005931] Port=0 RD @02 + 5930.50ns INFO [00005932] * RD COMPARE * port=0 adr=01 act=AF3D944F2F5FAB09C5 exp=AF3D944F2F5FAB09C5 + 5930.50ns INFO [00005932] Port=0 WR @06=0FA502F9A8BD418B89 + 5931.50ns INFO [00005933] * RD COMPARE * port=0 adr=02 act=42813D57F8E6707845 exp=42813D57F8E6707845 + 5932.50ns INFO [00005934] Port=0 RD @05 + 5933.50ns INFO [00005935] Port=0 WR @00=C8D42C740DA0F0D0B4 + 5934.50ns INFO [00005936] * RD COMPARE * port=0 adr=05 act=F1D72C941A50F7CD49 exp=F1D72C941A50F7CD49 + 5934.50ns INFO [00005936] Port=1 RD @04 + 5935.50ns INFO [00005937] Port=0 RD @05 + 5936.50ns INFO [00005938] * RD COMPARE * port=1 adr=04 act=A21F814DAC8FF78884 exp=A21F814DAC8FF78884 + 5936.50ns INFO [00005938] Port=0 WR @05=F365C6C52B6996AB4B + 5936.50ns INFO [00005938] Port=0 RD @07 + 5936.50ns INFO [00005938] Port=1 RD @03 + 5937.50ns INFO [00005939] * RD COMPARE * port=0 adr=05 act=F1D72C941A50F7CD49 exp=F1D72C941A50F7CD49 + 5937.50ns INFO [00005939] Port=1 RD @06 + 5938.50ns INFO [00005940] * RD COMPARE * port=0 adr=07 act=6DEBF4DB845EF08078 exp=6DEBF4DB845EF08078 + 5938.50ns INFO [00005940] * RD COMPARE * port=1 adr=03 act=D6131D0E47B81AA318 exp=D6131D0E47B81AA318 + 5939.50ns INFO [00005941] * RD COMPARE * port=1 adr=06 act=0FA502F9A8BD418B89 exp=0FA502F9A8BD418B89 + 5939.50ns INFO [00005941] Port=0 WR @00=520CB3997FED893CC8 + 5940.50ns INFO [00005942] Port=0 RD @03 + 5941.50ns INFO [00005943] Port=0 WR @07=DD6E856B9DD496F147 + 5942.50ns INFO [00005944] * RD COMPARE * port=0 adr=03 act=D6131D0E47B81AA318 exp=D6131D0E47B81AA318 + 5942.50ns INFO [00005944] Port=0 WR @05=A63B8D7AF79543CE1C + 5944.50ns INFO [00005946] Port=0 WR @03=85A045C1ACBB0768AA + 5944.50ns INFO [00005946] Port=1 RD @02 + 5946.50ns INFO [00005948] * RD COMPARE * port=1 adr=02 act=42813D57F8E6707845 exp=42813D57F8E6707845 + 5946.50ns INFO [00005948] Port=0 WR @04=8DF39C5915778E9787 + 5946.50ns INFO [00005948] Port=1 RD @02 + 5947.50ns INFO [00005949] Port=0 WR @02=CF3D5B6097EE68E98A + 5947.50ns INFO [00005949] Port=0 RD @01 + 5947.50ns INFO [00005949] Port=1 RD @06 + 5948.50ns INFO [00005950] * RD COMPARE * port=1 adr=02 act=42813D57F8E6707845 exp=42813D57F8E6707845 + 5948.50ns INFO [00005950] Port=1 RD @07 + 5949.50ns INFO [00005951] * RD COMPARE * port=0 adr=01 act=AF3D944F2F5FAB09C5 exp=AF3D944F2F5FAB09C5 + 5949.50ns INFO [00005951] * RD COMPARE * port=1 adr=06 act=0FA502F9A8BD418B89 exp=0FA502F9A8BD418B89 + 5949.50ns INFO [00005951] Port=0 WR @07=3938FA7DB830D25A9E + 5950.50ns INFO [00005952] * RD COMPARE * port=1 adr=07 act=DD6E856B9DD496F147 exp=DD6E856B9DD496F147 + 5950.50ns INFO [00005952] Port=0 RD @05 + 5951.50ns INFO [00005953] Port=0 RD @00 + 5951.50ns INFO [00005953] Port=1 RD @05 + 5952.50ns INFO [00005954] * RD COMPARE * port=0 adr=05 act=A63B8D7AF79543CE1C exp=A63B8D7AF79543CE1C + 5952.50ns INFO [00005954] Port=0 WR @04=FF724CA5C1287FBC73 + 5952.50ns INFO [00005954] Port=1 RD @05 + 5953.50ns INFO [00005955] * RD COMPARE * port=0 adr=00 act=520CB3997FED893CC8 exp=520CB3997FED893CC8 + 5953.50ns INFO [00005955] * RD COMPARE * port=1 adr=05 act=A63B8D7AF79543CE1C exp=A63B8D7AF79543CE1C + 5953.50ns INFO [00005955] Port=0 WR @01=EB8B7E17C4071AFE4E + 5954.50ns INFO [00005956] * RD COMPARE * port=1 adr=05 act=A63B8D7AF79543CE1C exp=A63B8D7AF79543CE1C + 5954.50ns INFO [00005956] Port=0 RD @04 + 5955.50ns INFO [00005957] Port=0 WR @01=01655EA77588943A06 + 5956.50ns INFO [00005958] * RD COMPARE * port=0 adr=04 act=FF724CA5C1287FBC73 exp=FF724CA5C1287FBC73 + 5956.50ns INFO [00005958] Port=0 RD @07 + 5956.50ns INFO [00005958] Port=1 RD @07 + 5958.50ns INFO [00005960] * RD COMPARE * port=0 adr=07 act=3938FA7DB830D25A9E exp=3938FA7DB830D25A9E + 5958.50ns INFO [00005960] * RD COMPARE * port=1 adr=07 act=3938FA7DB830D25A9E exp=3938FA7DB830D25A9E + 5958.50ns INFO [00005960] Port=0 WR @01=DA3B0E5F72DA75292A + 5959.50ns INFO [00005961] Port=0 WR @02=EE52AAE3E648C93203 + 5959.50ns INFO [00005961] Port=0 RD @06 + 5960.50ns INFO [00005962] Port=0 WR @04=65F957C2420C8FCD41 + 5961.50ns INFO [00005963] * RD COMPARE * port=0 adr=06 act=0FA502F9A8BD418B89 exp=0FA502F9A8BD418B89 + 5961.50ns INFO [00005963] Port=1 RD @04 + 5962.50ns INFO [00005964] Port=0 RD @01 + 5962.50ns INFO [00005964] Port=1 RD @00 + 5963.50ns INFO [00005965] * RD COMPARE * port=1 adr=04 act=65F957C2420C8FCD41 exp=65F957C2420C8FCD41 + 5963.50ns INFO [00005965] Port=0 RD @02 + 5963.50ns INFO [00005965] Port=1 RD @00 + 5964.50ns INFO [00005966] * RD COMPARE * port=0 adr=01 act=DA3B0E5F72DA75292A exp=DA3B0E5F72DA75292A + 5964.50ns INFO [00005966] * RD COMPARE * port=1 adr=00 act=520CB3997FED893CC8 exp=520CB3997FED893CC8 + 5965.50ns INFO [00005967] * RD COMPARE * port=0 adr=02 act=EE52AAE3E648C93203 exp=EE52AAE3E648C93203 + 5965.50ns INFO [00005967] * RD COMPARE * port=1 adr=00 act=520CB3997FED893CC8 exp=520CB3997FED893CC8 + 5967.50ns INFO [00005969] Port=0 RD @06 + 5969.50ns INFO [00005971] * RD COMPARE * port=0 adr=06 act=0FA502F9A8BD418B89 exp=0FA502F9A8BD418B89 + 5971.50ns INFO [00005973] Port=0 RD @02 + 5972.50ns INFO [00005974] Port=0 RD @05 + 5972.50ns INFO [00005974] Port=1 RD @06 + 5973.50ns INFO [00005975] * RD COMPARE * port=0 adr=02 act=EE52AAE3E648C93203 exp=EE52AAE3E648C93203 + 5974.50ns INFO [00005976] * RD COMPARE * port=0 adr=05 act=A63B8D7AF79543CE1C exp=A63B8D7AF79543CE1C + 5974.50ns INFO [00005976] * RD COMPARE * port=1 adr=06 act=0FA502F9A8BD418B89 exp=0FA502F9A8BD418B89 + 5974.50ns INFO [00005976] Port=0 WR @03=54B2112EB3729D3AB7 + 5975.50ns INFO [00005977] Port=0 RD @05 + 5975.50ns INFO [00005977] Port=1 RD @03 + 5977.50ns INFO [00005979] * RD COMPARE * port=0 adr=05 act=A63B8D7AF79543CE1C exp=A63B8D7AF79543CE1C + 5977.50ns INFO [00005979] * RD COMPARE * port=1 adr=03 act=54B2112EB3729D3AB7 exp=54B2112EB3729D3AB7 + 5977.50ns INFO [00005979] Port=0 WR @01=F7062291580473AF7B + 5978.50ns INFO [00005980] Port=0 WR @05=96D4454571FD7819E5 + 5978.50ns INFO [00005980] Port=1 RD @04 + 5980.50ns INFO [00005982] * RD COMPARE * port=1 adr=04 act=65F957C2420C8FCD41 exp=65F957C2420C8FCD41 + 5980.50ns INFO [00005982] Port=0 RD @00 + 5981.50ns INFO [00005983] Port=0 WR @07=927C6B38DFFFE380D7 + 5982.50ns INFO [00005984] * RD COMPARE * port=0 adr=00 act=520CB3997FED893CC8 exp=520CB3997FED893CC8 + 5982.50ns INFO [00005984] Port=0 WR @04=CC6BF0A2D382BE12B9 + 5982.50ns INFO [00005984] Port=0 RD @07 + 5984.50ns INFO [00005986] * RD COMPARE * port=0 adr=07 act=927C6B38DFFFE380D7 exp=927C6B38DFFFE380D7 + 5984.50ns INFO [00005986] Port=0 RD @03 + 5985.50ns INFO [00005987] Port=1 RD @07 + 5986.50ns INFO [00005988] * RD COMPARE * port=0 adr=03 act=54B2112EB3729D3AB7 exp=54B2112EB3729D3AB7 + 5987.50ns INFO [00005989] * RD COMPARE * port=1 adr=07 act=927C6B38DFFFE380D7 exp=927C6B38DFFFE380D7 + 5987.50ns INFO [00005989] Port=0 WR @03=51F3376C952CC93F3D + 5987.50ns INFO [00005989] Port=1 RD @04 + 5988.50ns INFO [00005990] Port=0 RD @04 + 5989.50ns INFO [00005991] * RD COMPARE * port=1 adr=04 act=CC6BF0A2D382BE12B9 exp=CC6BF0A2D382BE12B9 + 5989.50ns INFO [00005991] Port=0 WR @07=68B4C16B85A400BBF1 + 5990.50ns INFO [00005992] * RD COMPARE * port=0 adr=04 act=CC6BF0A2D382BE12B9 exp=CC6BF0A2D382BE12B9 + 5992.50ns INFO [00005994] Port=0 RD @03 + 5993.50ns INFO [00005995] Port=0 RD @07 + 5994.50ns INFO [00005996] * RD COMPARE * port=0 adr=03 act=51F3376C952CC93F3D exp=51F3376C952CC93F3D + 5994.50ns INFO [00005996] Port=0 RD @07 + 5995.50ns INFO [00005997] * RD COMPARE * port=0 adr=07 act=68B4C16B85A400BBF1 exp=68B4C16B85A400BBF1 + 5996.50ns INFO [00005998] * RD COMPARE * port=0 adr=07 act=68B4C16B85A400BBF1 exp=68B4C16B85A400BBF1 + 5997.50ns INFO [00005999] Port=1 RD @01 + 5998.00ns INFO [00006000] [00006000] ...tick... + 5999.50ns INFO [00006001] * RD COMPARE * port=1 adr=01 act=F7062291580473AF7B exp=F7062291580473AF7B + 5999.50ns INFO [00006001] Port=0 RD @04 + 6000.50ns INFO [00006002] Port=1 RD @03 + 6001.50ns INFO [00006003] * RD COMPARE * port=0 adr=04 act=CC6BF0A2D382BE12B9 exp=CC6BF0A2D382BE12B9 + 6001.50ns INFO [00006003] Port=0 WR @06=46C086F25BC1E8C677 + 6002.50ns INFO [00006004] * RD COMPARE * port=1 adr=03 act=51F3376C952CC93F3D exp=51F3376C952CC93F3D + 6002.50ns INFO [00006004] Port=0 RD @01 + 6003.50ns INFO [00006005] Port=0 WR @06=D13F366BE2D6DBCCBE + 6004.50ns INFO [00006006] * RD COMPARE * port=0 adr=01 act=F7062291580473AF7B exp=F7062291580473AF7B + 6004.50ns INFO [00006006] Port=0 WR @00=4BBD161A1B69940F12 + 6004.50ns INFO [00006006] Port=1 RD @03 + 6005.50ns INFO [00006007] Port=0 WR @00=5E0B5452E61FDDD80F + 6005.50ns INFO [00006007] Port=1 RD @07 + 6006.50ns INFO [00006008] * RD COMPARE * port=1 adr=03 act=51F3376C952CC93F3D exp=51F3376C952CC93F3D + 6006.50ns INFO [00006008] Port=0 RD @00 + 6006.50ns INFO [00006008] Port=1 RD @04 + 6007.50ns INFO [00006009] * RD COMPARE * port=1 adr=07 act=68B4C16B85A400BBF1 exp=68B4C16B85A400BBF1 + 6007.50ns INFO [00006009] Port=0 WR @00=5711E1786F0BE89093 + 6007.50ns INFO [00006009] Port=0 RD @05 + 6008.50ns INFO [00006010] * RD COMPARE * port=0 adr=00 act=5E0B5452E61FDDD80F exp=5E0B5452E61FDDD80F + 6008.50ns INFO [00006010] * RD COMPARE * port=1 adr=04 act=CC6BF0A2D382BE12B9 exp=CC6BF0A2D382BE12B9 + 6009.50ns INFO [00006011] * RD COMPARE * port=0 adr=05 act=96D4454571FD7819E5 exp=96D4454571FD7819E5 + 6009.50ns INFO [00006011] Port=0 WR @01=692EF647899069158A + 6009.50ns INFO [00006011] Port=0 RD @05 + 6010.50ns INFO [00006012] Port=1 RD @07 + 6011.50ns INFO [00006013] * RD COMPARE * port=0 adr=05 act=96D4454571FD7819E5 exp=96D4454571FD7819E5 + 6011.50ns INFO [00006013] Port=0 WR @04=1489530285CC96878C + 6011.50ns INFO [00006013] Port=1 RD @01 + 6012.50ns INFO [00006014] * RD COMPARE * port=1 adr=07 act=68B4C16B85A400BBF1 exp=68B4C16B85A400BBF1 + 6012.50ns INFO [00006014] Port=0 WR @01=637C0577CFA9CC09E8 + 6012.50ns INFO [00006014] Port=1 RD @07 + 6013.50ns INFO [00006015] * RD COMPARE * port=1 adr=01 act=692EF647899069158A exp=692EF647899069158A + 6014.50ns INFO [00006016] * RD COMPARE * port=1 adr=07 act=68B4C16B85A400BBF1 exp=68B4C16B85A400BBF1 + 6016.50ns INFO [00006018] Port=0 WR @03=09BA83FF49406C93DB + 6016.50ns INFO [00006018] Port=0 RD @02 + 6017.50ns INFO [00006019] Port=0 WR @05=C82E40C1C4709E3934 + 6017.50ns INFO [00006019] Port=0 RD @06 + 6017.50ns INFO [00006019] Port=1 RD @01 + 6018.50ns INFO [00006020] * RD COMPARE * port=0 adr=02 act=EE52AAE3E648C93203 exp=EE52AAE3E648C93203 + 6018.50ns INFO [00006020] Port=0 RD @04 + 6019.50ns INFO [00006021] * RD COMPARE * port=0 adr=06 act=D13F366BE2D6DBCCBE exp=D13F366BE2D6DBCCBE + 6019.50ns INFO [00006021] * RD COMPARE * port=1 adr=01 act=637C0577CFA9CC09E8 exp=637C0577CFA9CC09E8 + 6019.50ns INFO [00006021] Port=1 RD @06 + 6020.50ns INFO [00006022] * RD COMPARE * port=0 adr=04 act=1489530285CC96878C exp=1489530285CC96878C + 6020.50ns INFO [00006022] Port=0 WR @02=F574D47DE6D2C73EBD + 6020.50ns INFO [00006022] Port=0 RD @04 + 6020.50ns INFO [00006022] Port=1 RD @06 + 6021.50ns INFO [00006023] * RD COMPARE * port=1 adr=06 act=D13F366BE2D6DBCCBE exp=D13F366BE2D6DBCCBE + 6021.50ns INFO [00006023] Port=0 WR @05=986B5CCCFC9C8D9090 + 6022.50ns INFO [00006024] * RD COMPARE * port=0 adr=04 act=1489530285CC96878C exp=1489530285CC96878C + 6022.50ns INFO [00006024] * RD COMPARE * port=1 adr=06 act=D13F366BE2D6DBCCBE exp=D13F366BE2D6DBCCBE + 6024.50ns INFO [00006026] Port=0 RD @06 + 6025.50ns INFO [00006027] Port=0 RD @04 + 6026.50ns INFO [00006028] * RD COMPARE * port=0 adr=06 act=D13F366BE2D6DBCCBE exp=D13F366BE2D6DBCCBE + 6026.50ns INFO [00006028] Port=0 RD @01 + 6026.50ns INFO [00006028] Port=1 RD @01 + 6027.50ns INFO [00006029] * RD COMPARE * port=0 adr=04 act=1489530285CC96878C exp=1489530285CC96878C + 6028.50ns INFO [00006030] * RD COMPARE * port=0 adr=01 act=637C0577CFA9CC09E8 exp=637C0577CFA9CC09E8 + 6028.50ns INFO [00006030] * RD COMPARE * port=1 adr=01 act=637C0577CFA9CC09E8 exp=637C0577CFA9CC09E8 + 6028.50ns INFO [00006030] Port=0 WR @00=361E7ED8D30C1BBB0F + 6028.50ns INFO [00006030] Port=1 RD @04 + 6029.50ns INFO [00006031] Port=1 RD @00 + 6030.50ns INFO [00006032] * RD COMPARE * port=1 adr=04 act=1489530285CC96878C exp=1489530285CC96878C + 6030.50ns INFO [00006032] Port=0 WR @06=32CE6B9A1F418EADBA + 6030.50ns INFO [00006032] Port=1 RD @07 + 6031.50ns INFO [00006033] * RD COMPARE * port=1 adr=00 act=361E7ED8D30C1BBB0F exp=361E7ED8D30C1BBB0F + 6031.50ns INFO [00006033] Port=0 RD @04 + 6031.50ns INFO [00006033] Port=1 RD @02 + 6032.50ns INFO [00006034] * RD COMPARE * port=1 adr=07 act=68B4C16B85A400BBF1 exp=68B4C16B85A400BBF1 + 6032.50ns INFO [00006034] Port=0 WR @03=305A666AC957A7624A + 6032.50ns INFO [00006034] Port=1 RD @07 + 6033.50ns INFO [00006035] * RD COMPARE * port=0 adr=04 act=1489530285CC96878C exp=1489530285CC96878C + 6033.50ns INFO [00006035] * RD COMPARE * port=1 adr=02 act=F574D47DE6D2C73EBD exp=F574D47DE6D2C73EBD + 6033.50ns INFO [00006035] Port=1 RD @06 + 6034.50ns INFO [00006036] * RD COMPARE * port=1 adr=07 act=68B4C16B85A400BBF1 exp=68B4C16B85A400BBF1 + 6034.50ns INFO [00006036] Port=1 RD @03 + 6035.50ns INFO [00006037] * RD COMPARE * port=1 adr=06 act=32CE6B9A1F418EADBA exp=32CE6B9A1F418EADBA + 6035.50ns INFO [00006037] Port=1 RD @05 + 6036.50ns INFO [00006038] * RD COMPARE * port=1 adr=03 act=305A666AC957A7624A exp=305A666AC957A7624A + 6036.50ns INFO [00006038] Port=1 RD @00 + 6037.50ns INFO [00006039] * RD COMPARE * port=1 adr=05 act=986B5CCCFC9C8D9090 exp=986B5CCCFC9C8D9090 + 6037.50ns INFO [00006039] Port=0 RD @06 + 6038.50ns INFO [00006040] * RD COMPARE * port=1 adr=00 act=361E7ED8D30C1BBB0F exp=361E7ED8D30C1BBB0F + 6038.50ns INFO [00006040] Port=1 RD @04 + 6039.50ns INFO [00006041] * RD COMPARE * port=0 adr=06 act=32CE6B9A1F418EADBA exp=32CE6B9A1F418EADBA + 6039.50ns INFO [00006041] Port=1 RD @06 + 6040.50ns INFO [00006042] * RD COMPARE * port=1 adr=04 act=1489530285CC96878C exp=1489530285CC96878C + 6040.50ns INFO [00006042] Port=0 WR @02=4BE509172C7EC336CD + 6041.50ns INFO [00006043] * RD COMPARE * port=1 adr=06 act=32CE6B9A1F418EADBA exp=32CE6B9A1F418EADBA + 6041.50ns INFO [00006043] Port=0 RD @04 + 6041.50ns INFO [00006043] Port=1 RD @01 + 6043.50ns INFO [00006045] * RD COMPARE * port=0 adr=04 act=1489530285CC96878C exp=1489530285CC96878C + 6043.50ns INFO [00006045] * RD COMPARE * port=1 adr=01 act=637C0577CFA9CC09E8 exp=637C0577CFA9CC09E8 + 6043.50ns INFO [00006045] Port=0 WR @00=6A7EC09A1DFFDC4317 + 6045.50ns INFO [00006047] Port=0 WR @01=41677339797886198E + 6046.50ns INFO [00006048] Port=0 RD @02 + 6048.50ns INFO [00006050] * RD COMPARE * port=0 adr=02 act=4BE509172C7EC336CD exp=4BE509172C7EC336CD + 6048.50ns INFO [00006050] Port=1 RD @07 + 6049.50ns INFO [00006051] Port=0 WR @07=6FD619405A20F86C4D + 6049.50ns INFO [00006051] Port=0 RD @05 + 6050.50ns INFO [00006052] * RD COMPARE * port=1 adr=07 act=68B4C16B85A400BBF1 exp=68B4C16B85A400BBF1 + 6050.50ns INFO [00006052] Port=0 WR @05=4CC0785107F733B147 + 6051.50ns INFO [00006053] * RD COMPARE * port=0 adr=05 act=986B5CCCFC9C8D9090 exp=986B5CCCFC9C8D9090 + 6053.50ns INFO [00006055] Port=0 RD @05 + 6053.50ns INFO [00006055] Port=1 RD @04 + 6054.50ns INFO [00006056] Port=0 WR @03=07744375F9EE032648 + 6055.50ns INFO [00006057] * RD COMPARE * port=0 adr=05 act=4CC0785107F733B147 exp=4CC0785107F733B147 + 6055.50ns INFO [00006057] * RD COMPARE * port=1 adr=04 act=1489530285CC96878C exp=1489530285CC96878C + 6055.50ns INFO [00006057] Port=1 RD @01 + 6056.50ns INFO [00006058] Port=0 RD @03 + 6057.50ns INFO [00006059] * RD COMPARE * port=1 adr=01 act=41677339797886198E exp=41677339797886198E + 6058.50ns INFO [00006060] * RD COMPARE * port=0 adr=03 act=07744375F9EE032648 exp=07744375F9EE032648 + 6059.50ns INFO [00006061] Port=0 RD @01 + 6059.50ns INFO [00006061] Port=1 RD @07 + 6060.50ns INFO [00006062] Port=0 WR @06=2916E46E1274308C41 + 6060.50ns INFO [00006062] Port=0 RD @07 + 6060.50ns INFO [00006062] Port=1 RD @01 + 6061.50ns INFO [00006063] * RD COMPARE * port=0 adr=01 act=41677339797886198E exp=41677339797886198E + 6061.50ns INFO [00006063] * RD COMPARE * port=1 adr=07 act=6FD619405A20F86C4D exp=6FD619405A20F86C4D + 6062.50ns INFO [00006064] * RD COMPARE * port=0 adr=07 act=6FD619405A20F86C4D exp=6FD619405A20F86C4D + 6062.50ns INFO [00006064] * RD COMPARE * port=1 adr=01 act=41677339797886198E exp=41677339797886198E + 6063.50ns INFO [00006065] Port=0 WR @05=157A456177D83CBE36 + 6063.50ns INFO [00006065] Port=0 RD @06 + 6064.50ns INFO [00006066] Port=0 WR @00=554DB624B4A3CD5D8D + 6065.50ns INFO [00006067] * RD COMPARE * port=0 adr=06 act=2916E46E1274308C41 exp=2916E46E1274308C41 + 6065.50ns INFO [00006067] Port=0 RD @00 + 6065.50ns INFO [00006067] Port=1 RD @01 + 6066.50ns INFO [00006068] Port=0 RD @02 + 6067.50ns INFO [00006069] * RD COMPARE * port=0 adr=00 act=554DB624B4A3CD5D8D exp=554DB624B4A3CD5D8D + 6067.50ns INFO [00006069] * RD COMPARE * port=1 adr=01 act=41677339797886198E exp=41677339797886198E + 6067.50ns INFO [00006069] Port=0 WR @03=F35C59CEA9547324CC + 6067.50ns INFO [00006069] Port=1 RD @05 + 6068.50ns INFO [00006070] * RD COMPARE * port=0 adr=02 act=4BE509172C7EC336CD exp=4BE509172C7EC336CD + 6068.50ns INFO [00006070] Port=0 RD @05 + 6069.50ns INFO [00006071] * RD COMPARE * port=1 adr=05 act=157A456177D83CBE36 exp=157A456177D83CBE36 + 6070.50ns INFO [00006072] * RD COMPARE * port=0 adr=05 act=157A456177D83CBE36 exp=157A456177D83CBE36 + 6070.50ns INFO [00006072] Port=1 RD @02 + 6071.50ns INFO [00006073] Port=0 RD @00 + 6072.50ns INFO [00006074] * RD COMPARE * port=1 adr=02 act=4BE509172C7EC336CD exp=4BE509172C7EC336CD + 6072.50ns INFO [00006074] Port=0 WR @07=323C70DEB609759129 + 6073.50ns INFO [00006075] * RD COMPARE * port=0 adr=00 act=554DB624B4A3CD5D8D exp=554DB624B4A3CD5D8D + 6073.50ns INFO [00006075] Port=0 RD @07 + 6074.50ns INFO [00006076] Port=0 RD @02 + 6074.50ns INFO [00006076] Port=1 RD @03 + 6075.50ns INFO [00006077] * RD COMPARE * port=0 adr=07 act=323C70DEB609759129 exp=323C70DEB609759129 + 6075.50ns INFO [00006077] Port=0 WR @05=FF901835687B0BA281 + 6075.50ns INFO [00006077] Port=1 RD @01 + 6076.50ns INFO [00006078] * RD COMPARE * port=0 adr=02 act=4BE509172C7EC336CD exp=4BE509172C7EC336CD + 6076.50ns INFO [00006078] * RD COMPARE * port=1 adr=03 act=F35C59CEA9547324CC exp=F35C59CEA9547324CC + 6076.50ns INFO [00006078] Port=1 RD @01 + 6077.50ns INFO [00006079] * RD COMPARE * port=1 adr=01 act=41677339797886198E exp=41677339797886198E + 6077.50ns INFO [00006079] Port=1 RD @07 + 6078.50ns INFO [00006080] * RD COMPARE * port=1 adr=01 act=41677339797886198E exp=41677339797886198E + 6078.50ns INFO [00006080] Port=1 RD @05 + 6079.50ns INFO [00006081] * RD COMPARE * port=1 adr=07 act=323C70DEB609759129 exp=323C70DEB609759129 + 6079.50ns INFO [00006081] Port=1 RD @00 + 6080.50ns INFO [00006082] * RD COMPARE * port=1 adr=05 act=FF901835687B0BA281 exp=FF901835687B0BA281 + 6081.50ns INFO [00006083] * RD COMPARE * port=1 adr=00 act=554DB624B4A3CD5D8D exp=554DB624B4A3CD5D8D + 6081.50ns INFO [00006083] Port=0 RD @02 + 6081.50ns INFO [00006083] Port=1 RD @06 + 6082.50ns INFO [00006084] Port=1 RD @05 + 6083.50ns INFO [00006085] * RD COMPARE * port=0 adr=02 act=4BE509172C7EC336CD exp=4BE509172C7EC336CD + 6083.50ns INFO [00006085] * RD COMPARE * port=1 adr=06 act=2916E46E1274308C41 exp=2916E46E1274308C41 + 6083.50ns INFO [00006085] Port=0 RD @06 + 6084.50ns INFO [00006086] * RD COMPARE * port=1 adr=05 act=FF901835687B0BA281 exp=FF901835687B0BA281 + 6084.50ns INFO [00006086] Port=0 WR @03=7CDC5D6BE1CA09D0F0 + 6084.50ns INFO [00006086] Port=1 RD @02 + 6085.50ns INFO [00006087] * RD COMPARE * port=0 adr=06 act=2916E46E1274308C41 exp=2916E46E1274308C41 + 6085.50ns INFO [00006087] Port=0 WR @05=AE0EA68B165E7AC8E2 + 6086.50ns INFO [00006088] * RD COMPARE * port=1 adr=02 act=4BE509172C7EC336CD exp=4BE509172C7EC336CD + 6086.50ns INFO [00006088] Port=0 WR @07=C463E1CA48392BEBDD + 6086.50ns INFO [00006088] Port=1 RD @06 + 6087.50ns INFO [00006089] Port=1 RD @05 + 6088.50ns INFO [00006090] * RD COMPARE * port=1 adr=06 act=2916E46E1274308C41 exp=2916E46E1274308C41 + 6088.50ns INFO [00006090] Port=1 RD @00 + 6089.50ns INFO [00006091] * RD COMPARE * port=1 adr=05 act=AE0EA68B165E7AC8E2 exp=AE0EA68B165E7AC8E2 + 6089.50ns INFO [00006091] Port=0 WR @04=83C71DEFB5898A1BFF + 6089.50ns INFO [00006091] Port=0 RD @03 + 6089.50ns INFO [00006091] Port=1 RD @02 + 6090.50ns INFO [00006092] * RD COMPARE * port=1 adr=00 act=554DB624B4A3CD5D8D exp=554DB624B4A3CD5D8D + 6090.50ns INFO [00006092] Port=0 RD @06 + 6091.50ns INFO [00006093] * RD COMPARE * port=0 adr=03 act=7CDC5D6BE1CA09D0F0 exp=7CDC5D6BE1CA09D0F0 + 6091.50ns INFO [00006093] * RD COMPARE * port=1 adr=02 act=4BE509172C7EC336CD exp=4BE509172C7EC336CD + 6091.50ns INFO [00006093] Port=1 RD @00 + 6092.50ns INFO [00006094] * RD COMPARE * port=0 adr=06 act=2916E46E1274308C41 exp=2916E46E1274308C41 + 6093.50ns INFO [00006095] * RD COMPARE * port=1 adr=00 act=554DB624B4A3CD5D8D exp=554DB624B4A3CD5D8D + 6093.50ns INFO [00006095] Port=1 RD @07 + 6094.50ns INFO [00006096] Port=1 RD @03 + 6095.50ns INFO [00006097] * RD COMPARE * port=1 adr=07 act=C463E1CA48392BEBDD exp=C463E1CA48392BEBDD + 6095.50ns INFO [00006097] Port=1 RD @07 + 6096.50ns INFO [00006098] * RD COMPARE * port=1 adr=03 act=7CDC5D6BE1CA09D0F0 exp=7CDC5D6BE1CA09D0F0 + 6096.50ns INFO [00006098] Port=0 WR @04=85B8BD2B0B024E2401 + 6096.50ns INFO [00006098] Port=0 RD @03 + 6096.50ns INFO [00006098] Port=1 RD @05 + 6097.50ns INFO [00006099] * RD COMPARE * port=1 adr=07 act=C463E1CA48392BEBDD exp=C463E1CA48392BEBDD + 6097.50ns INFO [00006099] Port=0 RD @01 + 6097.50ns INFO [00006099] Port=1 RD @06 + 6098.00ns INFO [00006100] [00006100] ...tick... + 6098.50ns INFO [00006100] * RD COMPARE * port=0 adr=03 act=7CDC5D6BE1CA09D0F0 exp=7CDC5D6BE1CA09D0F0 + 6098.50ns INFO [00006100] * RD COMPARE * port=1 adr=05 act=AE0EA68B165E7AC8E2 exp=AE0EA68B165E7AC8E2 + 6098.50ns INFO [00006100] Port=0 WR @02=9DE0FF994C23218246 + 6098.50ns INFO [00006100] Port=1 RD @03 + 6099.50ns INFO [00006101] * RD COMPARE * port=0 adr=01 act=41677339797886198E exp=41677339797886198E + 6099.50ns INFO [00006101] * RD COMPARE * port=1 adr=06 act=2916E46E1274308C41 exp=2916E46E1274308C41 + 6099.50ns INFO [00006101] Port=0 WR @01=8DB530B0A386134F33 + 6100.50ns INFO [00006102] * RD COMPARE * port=1 adr=03 act=7CDC5D6BE1CA09D0F0 exp=7CDC5D6BE1CA09D0F0 + 6100.50ns INFO [00006102] Port=0 WR @01=2BE4E7D26BFC2F3EF8 + 6100.50ns INFO [00006102] Port=0 RD @02 + 6100.50ns INFO [00006102] Port=1 RD @06 + 6101.50ns INFO [00006103] Port=0 RD @00 + 6102.50ns INFO [00006104] * RD COMPARE * port=0 adr=02 act=9DE0FF994C23218246 exp=9DE0FF994C23218246 + 6102.50ns INFO [00006104] * RD COMPARE * port=1 adr=06 act=2916E46E1274308C41 exp=2916E46E1274308C41 + 6102.50ns INFO [00006104] Port=0 RD @07 + 6103.50ns INFO [00006105] * RD COMPARE * port=0 adr=00 act=554DB624B4A3CD5D8D exp=554DB624B4A3CD5D8D + 6103.50ns INFO [00006105] Port=0 WR @03=19962CFA3A89758C60 + 6103.50ns INFO [00006105] Port=1 RD @04 + 6104.50ns INFO [00006106] * RD COMPARE * port=0 adr=07 act=C463E1CA48392BEBDD exp=C463E1CA48392BEBDD + 6104.50ns INFO [00006106] Port=0 WR @00=5AB9FC267D4CCC6F3A + 6105.50ns INFO [00006107] * RD COMPARE * port=1 adr=04 act=85B8BD2B0B024E2401 exp=85B8BD2B0B024E2401 + 6106.50ns INFO [00006108] Port=0 WR @03=488020DD4590F55601 + 6107.50ns INFO [00006109] Port=0 WR @02=7816F54A156E9BF8C4 + 6107.50ns INFO [00006109] Port=0 RD @00 + 6108.50ns INFO [00006110] Port=1 RD @06 + 6109.50ns INFO [00006111] * RD COMPARE * port=0 adr=00 act=5AB9FC267D4CCC6F3A exp=5AB9FC267D4CCC6F3A + 6109.50ns INFO [00006111] Port=0 RD @06 + 6110.50ns INFO [00006112] * RD COMPARE * port=1 adr=06 act=2916E46E1274308C41 exp=2916E46E1274308C41 + 6110.50ns INFO [00006112] Port=0 RD @02 + 6110.50ns INFO [00006112] Port=1 RD @00 + 6111.50ns INFO [00006113] * RD COMPARE * port=0 adr=06 act=2916E46E1274308C41 exp=2916E46E1274308C41 + 6111.50ns INFO [00006113] Port=0 WR @00=15DBA6808DA89F7E69 + 6112.50ns INFO [00006114] * RD COMPARE * port=0 adr=02 act=7816F54A156E9BF8C4 exp=7816F54A156E9BF8C4 + 6112.50ns INFO [00006114] * RD COMPARE * port=1 adr=00 act=5AB9FC267D4CCC6F3A exp=5AB9FC267D4CCC6F3A + 6112.50ns INFO [00006114] Port=0 RD @03 + 6113.50ns INFO [00006115] Port=0 WR @06=7971A2833B32B959D2 + 6114.50ns INFO [00006116] * RD COMPARE * port=0 adr=03 act=488020DD4590F55601 exp=488020DD4590F55601 + 6114.50ns INFO [00006116] Port=0 RD @06 + 6115.50ns INFO [00006117] Port=0 RD @02 + 6116.50ns INFO [00006118] * RD COMPARE * port=0 adr=06 act=7971A2833B32B959D2 exp=7971A2833B32B959D2 + 6116.50ns INFO [00006118] Port=0 RD @00 + 6116.50ns INFO [00006118] Port=1 RD @00 + 6117.50ns INFO [00006119] * RD COMPARE * port=0 adr=02 act=7816F54A156E9BF8C4 exp=7816F54A156E9BF8C4 + 6118.50ns INFO [00006120] * RD COMPARE * port=0 adr=00 act=15DBA6808DA89F7E69 exp=15DBA6808DA89F7E69 + 6118.50ns INFO [00006120] * RD COMPARE * port=1 adr=00 act=15DBA6808DA89F7E69 exp=15DBA6808DA89F7E69 + 6119.50ns INFO [00006121] Port=0 WR @02=3AA4853F197CE016C4 + 6119.50ns INFO [00006121] Port=0 RD @04 + 6121.50ns INFO [00006123] * RD COMPARE * port=0 adr=04 act=85B8BD2B0B024E2401 exp=85B8BD2B0B024E2401 + 6121.50ns INFO [00006123] Port=0 RD @02 + 6121.50ns INFO [00006123] Port=1 RD @06 + 6122.50ns INFO [00006124] Port=0 RD @02 + 6122.50ns INFO [00006124] Port=1 RD @01 + 6123.50ns INFO [00006125] * RD COMPARE * port=0 adr=02 act=3AA4853F197CE016C4 exp=3AA4853F197CE016C4 + 6123.50ns INFO [00006125] * RD COMPARE * port=1 adr=06 act=7971A2833B32B959D2 exp=7971A2833B32B959D2 + 6123.50ns INFO [00006125] Port=0 RD @01 + 6124.50ns INFO [00006126] * RD COMPARE * port=0 adr=02 act=3AA4853F197CE016C4 exp=3AA4853F197CE016C4 + 6124.50ns INFO [00006126] * RD COMPARE * port=1 adr=01 act=2BE4E7D26BFC2F3EF8 exp=2BE4E7D26BFC2F3EF8 + 6124.50ns INFO [00006126] Port=1 RD @03 + 6125.50ns INFO [00006127] * RD COMPARE * port=0 adr=01 act=2BE4E7D26BFC2F3EF8 exp=2BE4E7D26BFC2F3EF8 + 6125.50ns INFO [00006127] Port=0 WR @05=BDA2227250DE3B5EA9 + 6125.50ns INFO [00006127] Port=0 RD @03 + 6125.50ns INFO [00006127] Port=1 RD @04 + 6126.50ns INFO [00006128] * RD COMPARE * port=1 adr=03 act=488020DD4590F55601 exp=488020DD4590F55601 + 6126.50ns INFO [00006128] Port=0 WR @03=D9976E18F060BA595D + 6126.50ns INFO [00006128] Port=0 RD @04 + 6127.50ns INFO [00006129] * RD COMPARE * port=0 adr=03 act=488020DD4590F55601 exp=488020DD4590F55601 + 6127.50ns INFO [00006129] * RD COMPARE * port=1 adr=04 act=85B8BD2B0B024E2401 exp=85B8BD2B0B024E2401 + 6128.50ns INFO [00006130] * RD COMPARE * port=0 adr=04 act=85B8BD2B0B024E2401 exp=85B8BD2B0B024E2401 + 6128.50ns INFO [00006130] Port=0 WR @04=EF49D1AB290F18715B + 6128.50ns INFO [00006130] Port=1 RD @03 + 6129.50ns INFO [00006131] Port=0 RD @02 + 6130.50ns INFO [00006132] * RD COMPARE * port=1 adr=03 act=D9976E18F060BA595D exp=D9976E18F060BA595D + 6131.50ns INFO [00006133] * RD COMPARE * port=0 adr=02 act=3AA4853F197CE016C4 exp=3AA4853F197CE016C4 + 6133.50ns INFO [00006135] Port=0 WR @07=A863E8D72C2C53F882 + 6133.50ns INFO [00006135] Port=1 RD @05 + 6134.50ns INFO [00006136] Port=1 RD @05 + 6135.50ns INFO [00006137] * RD COMPARE * port=1 adr=05 act=BDA2227250DE3B5EA9 exp=BDA2227250DE3B5EA9 + 6135.50ns INFO [00006137] Port=0 WR @01=2E35B4EAA3E9F98667 + 6136.50ns INFO [00006138] * RD COMPARE * port=1 adr=05 act=BDA2227250DE3B5EA9 exp=BDA2227250DE3B5EA9 + 6136.50ns INFO [00006138] Port=0 RD @02 + 6136.50ns INFO [00006138] Port=1 RD @07 + 6137.50ns INFO [00006139] Port=0 WR @03=55362BE8AE20833148 + 6138.50ns INFO [00006140] * RD COMPARE * port=0 adr=02 act=3AA4853F197CE016C4 exp=3AA4853F197CE016C4 + 6138.50ns INFO [00006140] * RD COMPARE * port=1 adr=07 act=A863E8D72C2C53F882 exp=A863E8D72C2C53F882 + 6138.50ns INFO [00006140] Port=0 WR @00=4C4DFAB17AB8060A2B + 6138.50ns INFO [00006140] Port=0 RD @04 + 6138.50ns INFO [00006140] Port=1 RD @01 + 6139.50ns INFO [00006141] Port=0 WR @00=7C903AE2ED20D3B003 + 6139.50ns INFO [00006141] Port=0 RD @05 + 6139.50ns INFO [00006141] Port=1 RD @02 + 6140.50ns INFO [00006142] * RD COMPARE * port=0 adr=04 act=EF49D1AB290F18715B exp=EF49D1AB290F18715B + 6140.50ns INFO [00006142] * RD COMPARE * port=1 adr=01 act=2E35B4EAA3E9F98667 exp=2E35B4EAA3E9F98667 + 6141.50ns INFO [00006143] * RD COMPARE * port=0 adr=05 act=BDA2227250DE3B5EA9 exp=BDA2227250DE3B5EA9 + 6141.50ns INFO [00006143] * RD COMPARE * port=1 adr=02 act=3AA4853F197CE016C4 exp=3AA4853F197CE016C4 + 6141.50ns INFO [00006143] Port=0 RD @07 + 6141.50ns INFO [00006143] Port=1 RD @07 + 6142.50ns INFO [00006144] Port=0 RD @03 + 6142.50ns INFO [00006144] Port=1 RD @04 + 6143.50ns INFO [00006145] * RD COMPARE * port=0 adr=07 act=A863E8D72C2C53F882 exp=A863E8D72C2C53F882 + 6143.50ns INFO [00006145] * RD COMPARE * port=1 adr=07 act=A863E8D72C2C53F882 exp=A863E8D72C2C53F882 + 6143.50ns INFO [00006145] Port=1 RD @01 + 6144.50ns INFO [00006146] * RD COMPARE * port=0 adr=03 act=55362BE8AE20833148 exp=55362BE8AE20833148 + 6144.50ns INFO [00006146] * RD COMPARE * port=1 adr=04 act=EF49D1AB290F18715B exp=EF49D1AB290F18715B + 6144.50ns INFO [00006146] Port=0 RD @05 + 6145.50ns INFO [00006147] * RD COMPARE * port=1 adr=01 act=2E35B4EAA3E9F98667 exp=2E35B4EAA3E9F98667 + 6146.50ns INFO [00006148] * RD COMPARE * port=0 adr=05 act=BDA2227250DE3B5EA9 exp=BDA2227250DE3B5EA9 + 6147.50ns INFO [00006149] Port=1 RD @03 + 6148.50ns INFO [00006150] Port=0 WR @04=3BA6586326E2F2D456 + 6148.50ns INFO [00006150] Port=1 RD @00 + 6149.50ns INFO [00006151] * RD COMPARE * port=1 adr=03 act=55362BE8AE20833148 exp=55362BE8AE20833148 + 6149.50ns INFO [00006151] Port=1 RD @01 + 6150.50ns INFO [00006152] * RD COMPARE * port=1 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6150.50ns INFO [00006152] Port=0 WR @02=306E35B272D96CD619 + 6150.50ns INFO [00006152] Port=0 RD @01 + 6151.50ns INFO [00006153] * RD COMPARE * port=1 adr=01 act=2E35B4EAA3E9F98667 exp=2E35B4EAA3E9F98667 + 6152.50ns INFO [00006154] * RD COMPARE * port=0 adr=01 act=2E35B4EAA3E9F98667 exp=2E35B4EAA3E9F98667 + 6152.50ns INFO [00006154] Port=0 RD @01 + 6153.50ns INFO [00006155] Port=0 RD @00 + 6154.50ns INFO [00006156] * RD COMPARE * port=0 adr=01 act=2E35B4EAA3E9F98667 exp=2E35B4EAA3E9F98667 + 6155.50ns INFO [00006157] * RD COMPARE * port=0 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6156.50ns INFO [00006158] Port=0 WR @05=3A9DB58DA480FC0856 + 6157.50ns INFO [00006159] Port=0 RD @05 + 6158.50ns INFO [00006160] Port=0 WR @04=8E7200ADBB4F323441 + 6159.50ns INFO [00006161] * RD COMPARE * port=0 adr=05 act=3A9DB58DA480FC0856 exp=3A9DB58DA480FC0856 + 6159.50ns INFO [00006161] Port=1 RD @01 + 6160.50ns INFO [00006162] Port=0 WR @01=2AB0286FFDB0C3CFA5 + 6161.50ns INFO [00006163] * RD COMPARE * port=1 adr=01 act=2E35B4EAA3E9F98667 exp=2E35B4EAA3E9F98667 + 6161.50ns INFO [00006163] Port=0 WR @05=8B6F4B8275CC507CFE + 6163.50ns INFO [00006165] Port=0 RD @00 + 6163.50ns INFO [00006165] Port=1 RD @03 + 6164.50ns INFO [00006166] Port=0 WR @03=98F4A8418CE77451FC + 6164.50ns INFO [00006166] Port=0 RD @00 + 6164.50ns INFO [00006166] Port=1 RD @02 + 6165.50ns INFO [00006167] * RD COMPARE * port=0 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6165.50ns INFO [00006167] * RD COMPARE * port=1 adr=03 act=55362BE8AE20833148 exp=55362BE8AE20833148 + 6165.50ns INFO [00006167] Port=0 WR @05=308C761B625BCBB67B + 6165.50ns INFO [00006167] Port=0 RD @02 + 6166.50ns INFO [00006168] * RD COMPARE * port=0 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6166.50ns INFO [00006168] * RD COMPARE * port=1 adr=02 act=306E35B272D96CD619 exp=306E35B272D96CD619 + 6166.50ns INFO [00006168] Port=0 RD @00 + 6167.50ns INFO [00006169] * RD COMPARE * port=0 adr=02 act=306E35B272D96CD619 exp=306E35B272D96CD619 + 6167.50ns INFO [00006169] Port=1 RD @01 + 6168.50ns INFO [00006170] * RD COMPARE * port=0 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6169.50ns INFO [00006171] * RD COMPARE * port=1 adr=01 act=2AB0286FFDB0C3CFA5 exp=2AB0286FFDB0C3CFA5 + 6169.50ns INFO [00006171] Port=0 RD @00 + 6171.50ns INFO [00006173] * RD COMPARE * port=0 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6171.50ns INFO [00006173] Port=0 WR @02=A1062136527DB6CE0C + 6172.50ns INFO [00006174] Port=0 RD @01 + 6173.50ns INFO [00006175] Port=0 RD @05 + 6174.50ns INFO [00006176] * RD COMPARE * port=0 adr=01 act=2AB0286FFDB0C3CFA5 exp=2AB0286FFDB0C3CFA5 + 6174.50ns INFO [00006176] Port=0 WR @07=8E2C28D667DB3C7E75 + 6174.50ns INFO [00006176] Port=0 RD @06 + 6174.50ns INFO [00006176] Port=1 RD @05 + 6175.50ns INFO [00006177] * RD COMPARE * port=0 adr=05 act=308C761B625BCBB67B exp=308C761B625BCBB67B + 6175.50ns INFO [00006177] Port=0 RD @07 + 6175.50ns INFO [00006177] Port=1 RD @02 + 6176.50ns INFO [00006178] * RD COMPARE * port=0 adr=06 act=7971A2833B32B959D2 exp=7971A2833B32B959D2 + 6176.50ns INFO [00006178] * RD COMPARE * port=1 adr=05 act=308C761B625BCBB67B exp=308C761B625BCBB67B + 6176.50ns INFO [00006178] Port=0 RD @01 + 6177.50ns INFO [00006179] * RD COMPARE * port=0 adr=07 act=8E2C28D667DB3C7E75 exp=8E2C28D667DB3C7E75 + 6177.50ns INFO [00006179] * RD COMPARE * port=1 adr=02 act=A1062136527DB6CE0C exp=A1062136527DB6CE0C + 6178.50ns INFO [00006180] * RD COMPARE * port=0 adr=01 act=2AB0286FFDB0C3CFA5 exp=2AB0286FFDB0C3CFA5 + 6178.50ns INFO [00006180] Port=1 RD @00 + 6179.50ns INFO [00006181] Port=0 WR @06=DE948105E8446D226B + 6179.50ns INFO [00006181] Port=0 RD @07 + 6180.50ns INFO [00006182] * RD COMPARE * port=1 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6180.50ns INFO [00006182] Port=0 WR @04=66E2E7954B514CDE9A + 6181.50ns INFO [00006183] * RD COMPARE * port=0 adr=07 act=8E2C28D667DB3C7E75 exp=8E2C28D667DB3C7E75 + 6182.50ns INFO [00006184] Port=0 WR @01=B3907879AFB1DA4B0E + 6182.50ns INFO [00006184] Port=1 RD @04 + 6184.50ns INFO [00006186] * RD COMPARE * port=1 adr=04 act=66E2E7954B514CDE9A exp=66E2E7954B514CDE9A + 6184.50ns INFO [00006186] Port=0 RD @03 + 6185.50ns INFO [00006187] Port=0 WR @01=56725DBFE72330CA12 + 6186.50ns INFO [00006188] * RD COMPARE * port=0 adr=03 act=98F4A8418CE77451FC exp=98F4A8418CE77451FC + 6186.50ns INFO [00006188] Port=1 RD @03 + 6188.50ns INFO [00006190] * RD COMPARE * port=1 adr=03 act=98F4A8418CE77451FC exp=98F4A8418CE77451FC + 6190.50ns INFO [00006192] Port=0 RD @00 + 6190.50ns INFO [00006192] Port=1 RD @05 + 6192.50ns INFO [00006194] * RD COMPARE * port=0 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6192.50ns INFO [00006194] * RD COMPARE * port=1 adr=05 act=308C761B625BCBB67B exp=308C761B625BCBB67B + 6193.50ns INFO [00006195] Port=0 RD @03 + 6194.50ns INFO [00006196] Port=1 RD @05 + 6195.50ns INFO [00006197] * RD COMPARE * port=0 adr=03 act=98F4A8418CE77451FC exp=98F4A8418CE77451FC + 6195.50ns INFO [00006197] Port=0 WR @06=C82F8922F05BA1D55A + 6195.50ns INFO [00006197] Port=0 RD @03 + 6196.50ns INFO [00006198] * RD COMPARE * port=1 adr=05 act=308C761B625BCBB67B exp=308C761B625BCBB67B + 6197.50ns INFO [00006199] * RD COMPARE * port=0 adr=03 act=98F4A8418CE77451FC exp=98F4A8418CE77451FC + 6197.50ns INFO [00006199] Port=0 WR @01=F2B4E5A309545734C0 + 6197.50ns INFO [00006199] Port=1 RD @06 + 6198.00ns INFO [00006200] [00006200] ...tick... + 6199.50ns INFO [00006201] * RD COMPARE * port=1 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6199.50ns INFO [00006201] Port=0 RD @03 + 6199.50ns INFO [00006201] Port=1 RD @06 + 6200.50ns INFO [00006202] Port=0 WR @01=6CEA45B211913EF10E + 6200.50ns INFO [00006202] Port=0 RD @03 + 6201.50ns INFO [00006203] * RD COMPARE * port=0 adr=03 act=98F4A8418CE77451FC exp=98F4A8418CE77451FC + 6201.50ns INFO [00006203] * RD COMPARE * port=1 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6201.50ns INFO [00006203] Port=0 WR @03=5A0E4E2CBC820E9A87 + 6201.50ns INFO [00006203] Port=0 RD @04 + 6202.50ns INFO [00006204] * RD COMPARE * port=0 adr=03 act=98F4A8418CE77451FC exp=98F4A8418CE77451FC + 6202.50ns INFO [00006204] Port=1 RD @05 + 6203.50ns INFO [00006205] * RD COMPARE * port=0 adr=04 act=66E2E7954B514CDE9A exp=66E2E7954B514CDE9A + 6204.50ns INFO [00006206] * RD COMPARE * port=1 adr=05 act=308C761B625BCBB67B exp=308C761B625BCBB67B + 6205.50ns INFO [00006207] Port=0 WR @05=B8824348325B72036B + 6205.50ns INFO [00006207] Port=0 RD @01 + 6206.50ns INFO [00006208] Port=1 RD @00 + 6207.50ns INFO [00006209] * RD COMPARE * port=0 adr=01 act=6CEA45B211913EF10E exp=6CEA45B211913EF10E + 6207.50ns INFO [00006209] Port=0 RD @02 + 6207.50ns INFO [00006209] Port=1 RD @00 + 6208.50ns INFO [00006210] * RD COMPARE * port=1 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6209.50ns INFO [00006211] * RD COMPARE * port=0 adr=02 act=A1062136527DB6CE0C exp=A1062136527DB6CE0C + 6209.50ns INFO [00006211] * RD COMPARE * port=1 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6209.50ns INFO [00006211] Port=0 RD @06 + 6209.50ns INFO [00006211] Port=1 RD @00 + 6210.50ns INFO [00006212] Port=0 RD @06 + 6211.50ns INFO [00006213] * RD COMPARE * port=0 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6211.50ns INFO [00006213] * RD COMPARE * port=1 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6211.50ns INFO [00006213] Port=1 RD @06 + 6212.50ns INFO [00006214] * RD COMPARE * port=0 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6212.50ns INFO [00006214] Port=0 WR @03=94E25F2BCEA04F1FA1 + 6212.50ns INFO [00006214] Port=0 RD @00 + 6213.50ns INFO [00006215] * RD COMPARE * port=1 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6213.50ns INFO [00006215] Port=0 RD @02 + 6213.50ns INFO [00006215] Port=1 RD @06 + 6214.50ns INFO [00006216] * RD COMPARE * port=0 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6215.50ns INFO [00006217] * RD COMPARE * port=0 adr=02 act=A1062136527DB6CE0C exp=A1062136527DB6CE0C + 6215.50ns INFO [00006217] * RD COMPARE * port=1 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6216.50ns INFO [00006218] Port=0 WR @07=EAFC64542D44B9E971 + 6217.50ns INFO [00006219] Port=0 WR @01=C95359E6C47140D24D + 6217.50ns INFO [00006219] Port=1 RD @06 + 6218.50ns INFO [00006220] Port=0 WR @04=F0EC4FF9D5986AABC1 + 6219.50ns INFO [00006221] * RD COMPARE * port=1 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6219.50ns INFO [00006221] Port=0 WR @03=987E3CC93292203395 + 6220.50ns INFO [00006222] Port=0 WR @04=76238990786C539F06 + 6220.50ns INFO [00006222] Port=0 RD @01 + 6220.50ns INFO [00006222] Port=1 RD @01 + 6221.50ns INFO [00006223] Port=0 RD @06 + 6221.50ns INFO [00006223] Port=1 RD @01 + 6222.50ns INFO [00006224] * RD COMPARE * port=0 adr=01 act=C95359E6C47140D24D exp=C95359E6C47140D24D + 6222.50ns INFO [00006224] * RD COMPARE * port=1 adr=01 act=C95359E6C47140D24D exp=C95359E6C47140D24D + 6223.50ns INFO [00006225] * RD COMPARE * port=0 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6223.50ns INFO [00006225] * RD COMPARE * port=1 adr=01 act=C95359E6C47140D24D exp=C95359E6C47140D24D + 6223.50ns INFO [00006225] Port=0 WR @05=33096AF716E408D35E + 6225.50ns INFO [00006227] Port=1 RD @04 + 6227.50ns INFO [00006229] * RD COMPARE * port=1 adr=04 act=76238990786C539F06 exp=76238990786C539F06 + 6227.50ns INFO [00006229] Port=0 RD @04 + 6227.50ns INFO [00006229] Port=1 RD @00 + 6228.50ns INFO [00006230] Port=0 WR @03=FD9BEEA4BF8E953ED5 + 6229.50ns INFO [00006231] * RD COMPARE * port=0 adr=04 act=76238990786C539F06 exp=76238990786C539F06 + 6229.50ns INFO [00006231] * RD COMPARE * port=1 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6229.50ns INFO [00006231] Port=1 RD @06 + 6230.50ns INFO [00006232] Port=0 WR @07=C2762310E1FCAEA075 + 6230.50ns INFO [00006232] Port=0 RD @00 + 6231.50ns INFO [00006233] * RD COMPARE * port=1 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6231.50ns INFO [00006233] Port=0 RD @02 + 6232.50ns INFO [00006234] * RD COMPARE * port=0 adr=00 act=7C903AE2ED20D3B003 exp=7C903AE2ED20D3B003 + 6232.50ns INFO [00006234] Port=1 RD @05 + 6233.50ns INFO [00006235] * RD COMPARE * port=0 adr=02 act=A1062136527DB6CE0C exp=A1062136527DB6CE0C + 6233.50ns INFO [00006235] Port=0 RD @07 + 6233.50ns INFO [00006235] Port=1 RD @03 + 6234.50ns INFO [00006236] * RD COMPARE * port=1 adr=05 act=33096AF716E408D35E exp=33096AF716E408D35E + 6234.50ns INFO [00006236] Port=0 RD @07 + 6235.50ns INFO [00006237] * RD COMPARE * port=0 adr=07 act=C2762310E1FCAEA075 exp=C2762310E1FCAEA075 + 6235.50ns INFO [00006237] * RD COMPARE * port=1 adr=03 act=FD9BEEA4BF8E953ED5 exp=FD9BEEA4BF8E953ED5 + 6235.50ns INFO [00006237] Port=0 WR @00=688F2DF25159FF1AF5 + 6236.50ns INFO [00006238] * RD COMPARE * port=0 adr=07 act=C2762310E1FCAEA075 exp=C2762310E1FCAEA075 + 6236.50ns INFO [00006238] Port=0 RD @01 + 6236.50ns INFO [00006238] Port=1 RD @06 + 6237.50ns INFO [00006239] Port=0 RD @06 + 6238.50ns INFO [00006240] * RD COMPARE * port=0 adr=01 act=C95359E6C47140D24D exp=C95359E6C47140D24D + 6238.50ns INFO [00006240] * RD COMPARE * port=1 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6239.50ns INFO [00006241] * RD COMPARE * port=0 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6239.50ns INFO [00006241] Port=0 RD @00 + 6240.50ns INFO [00006242] Port=0 WR @05=6910F31AFDD5B2685A + 6240.50ns INFO [00006242] Port=0 RD @04 + 6241.50ns INFO [00006243] * RD COMPARE * port=0 adr=00 act=688F2DF25159FF1AF5 exp=688F2DF25159FF1AF5 + 6241.50ns INFO [00006243] Port=1 RD @00 + 6242.50ns INFO [00006244] * RD COMPARE * port=0 adr=04 act=76238990786C539F06 exp=76238990786C539F06 + 6242.50ns INFO [00006244] Port=0 WR @00=2C1D39CD4DDDF7CE0C + 6242.50ns INFO [00006244] Port=0 RD @05 + 6243.50ns INFO [00006245] * RD COMPARE * port=1 adr=00 act=688F2DF25159FF1AF5 exp=688F2DF25159FF1AF5 + 6244.50ns INFO [00006246] * RD COMPARE * port=0 adr=05 act=6910F31AFDD5B2685A exp=6910F31AFDD5B2685A + 6245.50ns INFO [00006247] Port=0 RD @01 + 6246.50ns INFO [00006248] Port=0 WR @00=A848A1C6590C3ED0E0 + 6247.50ns INFO [00006249] * RD COMPARE * port=0 adr=01 act=C95359E6C47140D24D exp=C95359E6C47140D24D + 6247.50ns INFO [00006249] Port=0 WR @04=C01FB6ACB034430822 + 6247.50ns INFO [00006249] Port=1 RD @00 + 6248.50ns INFO [00006250] Port=0 WR @04=6D3AA8493FAA3450C9 + 6248.50ns INFO [00006250] Port=0 RD @06 + 6248.50ns INFO [00006250] Port=1 RD @01 + 6249.50ns INFO [00006251] * RD COMPARE * port=1 adr=00 act=A848A1C6590C3ED0E0 exp=A848A1C6590C3ED0E0 + 6249.50ns INFO [00006251] Port=0 RD @05 + 6250.50ns INFO [00006252] * RD COMPARE * port=0 adr=06 act=C82F8922F05BA1D55A exp=C82F8922F05BA1D55A + 6250.50ns INFO [00006252] * RD COMPARE * port=1 adr=01 act=C95359E6C47140D24D exp=C95359E6C47140D24D + 6251.50ns INFO [00006253] * RD COMPARE * port=0 adr=05 act=6910F31AFDD5B2685A exp=6910F31AFDD5B2685A + 6251.50ns INFO [00006253] Port=0 RD @05 + 6251.50ns INFO [00006253] Port=1 RD @02 + 6252.50ns INFO [00006254] Port=0 WR @03=480196AA856632671F + 6252.50ns INFO [00006254] Port=0 RD @00 + 6253.50ns INFO [00006255] * RD COMPARE * port=0 adr=05 act=6910F31AFDD5B2685A exp=6910F31AFDD5B2685A + 6253.50ns INFO [00006255] * RD COMPARE * port=1 adr=02 act=A1062136527DB6CE0C exp=A1062136527DB6CE0C + 6253.50ns INFO [00006255] Port=0 WR @02=1565FE70B743A1B768 + 6253.50ns INFO [00006255] Port=0 RD @03 + 6254.50ns INFO [00006256] * RD COMPARE * port=0 adr=00 act=A848A1C6590C3ED0E0 exp=A848A1C6590C3ED0E0 + 6254.50ns INFO [00006256] Port=0 RD @04 + 6254.50ns INFO [00006256] Port=1 RD @05 + 6255.50ns INFO [00006257] * RD COMPARE * port=0 adr=03 act=480196AA856632671F exp=480196AA856632671F + 6256.50ns INFO [00006258] * RD COMPARE * port=0 adr=04 act=6D3AA8493FAA3450C9 exp=6D3AA8493FAA3450C9 + 6256.50ns INFO [00006258] * RD COMPARE * port=1 adr=05 act=6910F31AFDD5B2685A exp=6910F31AFDD5B2685A + 6256.50ns INFO [00006258] Port=0 RD @07 + 6257.50ns INFO [00006259] Port=0 RD @05 + 6257.50ns INFO [00006259] Port=1 RD @04 + 6258.50ns INFO [00006260] * RD COMPARE * port=0 adr=07 act=C2762310E1FCAEA075 exp=C2762310E1FCAEA075 + 6258.50ns INFO [00006260] Port=0 WR @03=4D44CFC2425A3F5D12 + 6258.50ns INFO [00006260] Port=1 RD @05 + 6259.50ns INFO [00006261] * RD COMPARE * port=0 adr=05 act=6910F31AFDD5B2685A exp=6910F31AFDD5B2685A + 6259.50ns INFO [00006261] * RD COMPARE * port=1 adr=04 act=6D3AA8493FAA3450C9 exp=6D3AA8493FAA3450C9 + 6259.50ns INFO [00006261] Port=0 WR @04=27E8BDAE579AF6831E + 6259.50ns INFO [00006261] Port=0 RD @07 + 6259.50ns INFO [00006261] Port=1 RD @01 + 6260.50ns INFO [00006262] * RD COMPARE * port=1 adr=05 act=6910F31AFDD5B2685A exp=6910F31AFDD5B2685A + 6260.50ns INFO [00006262] Port=1 RD @07 + 6261.50ns INFO [00006263] * RD COMPARE * port=0 adr=07 act=C2762310E1FCAEA075 exp=C2762310E1FCAEA075 + 6261.50ns INFO [00006263] * RD COMPARE * port=1 adr=01 act=C95359E6C47140D24D exp=C95359E6C47140D24D + 6261.50ns INFO [00006263] Port=0 WR @03=B86FEC9DA015A2BFF4 + 6261.50ns INFO [00006263] Port=0 RD @04 + 6262.50ns INFO [00006264] * RD COMPARE * port=1 adr=07 act=C2762310E1FCAEA075 exp=C2762310E1FCAEA075 + 6263.50ns INFO [00006265] * RD COMPARE * port=0 adr=04 act=27E8BDAE579AF6831E exp=27E8BDAE579AF6831E + 6263.50ns INFO [00006265] Port=0 WR @03=CD8D4DF74880F94B7B + 6265.50ns INFO [00006267] Port=0 RD @05 + 6265.50ns INFO [00006267] Port=1 RD @02 + 6267.50ns INFO [00006269] * RD COMPARE * port=0 adr=05 act=6910F31AFDD5B2685A exp=6910F31AFDD5B2685A + 6267.50ns INFO [00006269] * RD COMPARE * port=1 adr=02 act=1565FE70B743A1B768 exp=1565FE70B743A1B768 + 6267.50ns INFO [00006269] Port=0 WR @07=8F06CF6634010796A7 + 6268.50ns INFO [00006270] Port=0 WR @00=346DB5FEF807BEE490 + 6268.50ns INFO [00006270] Port=1 RD @01 + 6269.50ns INFO [00006271] Port=1 RD @02 + 6270.50ns INFO [00006272] * RD COMPARE * port=1 adr=01 act=C95359E6C47140D24D exp=C95359E6C47140D24D + 6270.50ns INFO [00006272] Port=0 WR @06=D7484823CE7E3EFE9D + 6270.50ns INFO [00006272] Port=0 RD @05 + 6271.50ns INFO [00006273] * RD COMPARE * port=1 adr=02 act=1565FE70B743A1B768 exp=1565FE70B743A1B768 + 6271.50ns INFO [00006273] Port=0 WR @00=05FCC1ECF2A8CA5939 + 6272.50ns INFO [00006274] * RD COMPARE * port=0 adr=05 act=6910F31AFDD5B2685A exp=6910F31AFDD5B2685A + 6272.50ns INFO [00006274] Port=0 WR @05=A0836069CD0B2AD610 + 6274.50ns INFO [00006276] Port=0 WR @04=22B500A57CAEBD630A + 6275.50ns INFO [00006277] Port=0 RD @02 + 6276.50ns INFO [00006278] Port=0 WR @04=7819C7DBB534BEE0C5 + 6276.50ns INFO [00006278] Port=0 RD @03 + 6277.50ns INFO [00006279] * RD COMPARE * port=0 adr=02 act=1565FE70B743A1B768 exp=1565FE70B743A1B768 + 6277.50ns INFO [00006279] Port=0 RD @04 + 6278.50ns INFO [00006280] * RD COMPARE * port=0 adr=03 act=CD8D4DF74880F94B7B exp=CD8D4DF74880F94B7B + 6278.50ns INFO [00006280] Port=0 WR @01=E7E2E2A204776A271E + 6278.50ns INFO [00006280] Port=1 RD @05 + 6279.50ns INFO [00006281] * RD COMPARE * port=0 adr=04 act=7819C7DBB534BEE0C5 exp=7819C7DBB534BEE0C5 + 6279.50ns INFO [00006281] Port=1 RD @04 + 6280.50ns INFO [00006282] * RD COMPARE * port=1 adr=05 act=A0836069CD0B2AD610 exp=A0836069CD0B2AD610 + 6280.50ns INFO [00006282] Port=0 WR @00=9C40E0764175E94411 + 6280.50ns INFO [00006282] Port=1 RD @06 + 6281.50ns INFO [00006283] * RD COMPARE * port=1 adr=04 act=7819C7DBB534BEE0C5 exp=7819C7DBB534BEE0C5 + 6281.50ns INFO [00006283] Port=0 RD @00 + 6282.50ns INFO [00006284] * RD COMPARE * port=1 adr=06 act=D7484823CE7E3EFE9D exp=D7484823CE7E3EFE9D + 6282.50ns INFO [00006284] Port=0 WR @06=83FC83975815B6EF19 + 6283.50ns INFO [00006285] * RD COMPARE * port=0 adr=00 act=9C40E0764175E94411 exp=9C40E0764175E94411 + 6284.50ns INFO [00006286] Port=0 WR @06=8832478D68212CA00C + 6284.50ns INFO [00006286] Port=1 RD @05 + 6285.50ns INFO [00006287] Port=0 WR @04=97315E5D086FB21A35 + 6286.50ns INFO [00006288] * RD COMPARE * port=1 adr=05 act=A0836069CD0B2AD610 exp=A0836069CD0B2AD610 + 6286.50ns INFO [00006288] Port=0 WR @00=8C654C4CD8A7558C40 + 6286.50ns INFO [00006288] Port=1 RD @01 + 6288.50ns INFO [00006290] * RD COMPARE * port=1 adr=01 act=E7E2E2A204776A271E exp=E7E2E2A204776A271E + 6288.50ns INFO [00006290] Port=0 RD @02 + 6289.50ns INFO [00006291] Port=1 RD @04 + 6290.50ns INFO [00006292] * RD COMPARE * port=0 adr=02 act=1565FE70B743A1B768 exp=1565FE70B743A1B768 + 6290.50ns INFO [00006292] Port=1 RD @07 + 6291.50ns INFO [00006293] * RD COMPARE * port=1 adr=04 act=97315E5D086FB21A35 exp=97315E5D086FB21A35 + 6291.50ns INFO [00006293] Port=1 RD @00 + 6292.50ns INFO [00006294] * RD COMPARE * port=1 adr=07 act=8F06CF6634010796A7 exp=8F06CF6634010796A7 + 6293.50ns INFO [00006295] * RD COMPARE * port=1 adr=00 act=8C654C4CD8A7558C40 exp=8C654C4CD8A7558C40 + 6293.50ns INFO [00006295] Port=0 WR @04=7A1D6B890EE3417AF3 + 6293.50ns INFO [00006295] Port=0 RD @06 + 6294.50ns INFO [00006296] Port=0 WR @05=E3588CD9EE9CF65D0A + 6294.50ns INFO [00006296] Port=0 RD @06 + 6294.50ns INFO [00006296] Port=1 RD @04 + 6295.50ns INFO [00006297] * RD COMPARE * port=0 adr=06 act=8832478D68212CA00C exp=8832478D68212CA00C + 6295.50ns INFO [00006297] Port=0 WR @05=506A025B319C91B06E + 6295.50ns INFO [00006297] Port=0 RD @01 + 6295.50ns INFO [00006297] Port=1 RD @06 + 6296.50ns INFO [00006298] * RD COMPARE * port=0 adr=06 act=8832478D68212CA00C exp=8832478D68212CA00C + 6296.50ns INFO [00006298] * RD COMPARE * port=1 adr=04 act=7A1D6B890EE3417AF3 exp=7A1D6B890EE3417AF3 + 6296.50ns INFO [00006298] Port=0 RD @02 + 6297.50ns INFO [00006299] * RD COMPARE * port=0 adr=01 act=E7E2E2A204776A271E exp=E7E2E2A204776A271E + 6297.50ns INFO [00006299] * RD COMPARE * port=1 adr=06 act=8832478D68212CA00C exp=8832478D68212CA00C + 6297.50ns INFO [00006299] Port=1 RD @00 + 6298.00ns INFO [00006300] [00006300] ...tick... + 6298.50ns INFO [00006300] * RD COMPARE * port=0 adr=02 act=1565FE70B743A1B768 exp=1565FE70B743A1B768 + 6299.50ns INFO [00006301] * RD COMPARE * port=1 adr=00 act=8C654C4CD8A7558C40 exp=8C654C4CD8A7558C40 + 6300.50ns INFO [00006302] Port=0 WR @00=1564F4F0A5ACE90BFC + 6301.50ns INFO [00006303] Port=0 WR @03=E87EF49236A4F1059A + 6301.50ns INFO [00006303] Port=0 RD @02 + 6301.50ns INFO [00006303] Port=1 RD @01 + 6302.50ns INFO [00006304] Port=0 WR @02=6CD70D21851C426BD0 + 6303.50ns INFO [00006305] * RD COMPARE * port=0 adr=02 act=1565FE70B743A1B768 exp=1565FE70B743A1B768 + 6303.50ns INFO [00006305] * RD COMPARE * port=1 adr=01 act=E7E2E2A204776A271E exp=E7E2E2A204776A271E + 6303.50ns INFO [00006305] Port=0 RD @02 + 6304.50ns INFO [00006306] Port=1 RD @01 + 6305.50ns INFO [00006307] * RD COMPARE * port=0 adr=02 act=6CD70D21851C426BD0 exp=6CD70D21851C426BD0 + 6305.50ns INFO [00006307] Port=0 WR @07=B318936FF0E20354C4 + 6305.50ns INFO [00006307] Port=1 RD @04 + 6306.50ns INFO [00006308] * RD COMPARE * port=1 adr=01 act=E7E2E2A204776A271E exp=E7E2E2A204776A271E + 6306.50ns INFO [00006308] Port=0 RD @03 + 6307.50ns INFO [00006309] * RD COMPARE * port=1 adr=04 act=7A1D6B890EE3417AF3 exp=7A1D6B890EE3417AF3 + 6307.50ns INFO [00006309] Port=0 WR @06=3CE5EBF12EA179A5FA + 6307.50ns INFO [00006309] Port=0 RD @07 + 6307.50ns INFO [00006309] Port=1 RD @02 + 6308.50ns INFO [00006310] * RD COMPARE * port=0 adr=03 act=E87EF49236A4F1059A exp=E87EF49236A4F1059A + 6308.50ns INFO [00006310] Port=0 RD @06 + 6309.50ns INFO [00006311] * RD COMPARE * port=0 adr=07 act=B318936FF0E20354C4 exp=B318936FF0E20354C4 + 6309.50ns INFO [00006311] * RD COMPARE * port=1 adr=02 act=6CD70D21851C426BD0 exp=6CD70D21851C426BD0 + 6309.50ns INFO [00006311] Port=1 RD @00 + 6310.50ns INFO [00006312] * RD COMPARE * port=0 adr=06 act=3CE5EBF12EA179A5FA exp=3CE5EBF12EA179A5FA + 6311.50ns INFO [00006313] * RD COMPARE * port=1 adr=00 act=1564F4F0A5ACE90BFC exp=1564F4F0A5ACE90BFC + 6312.50ns INFO [00006314] Port=0 WR @06=66CFA5F03B1081E1A6 + 6313.50ns INFO [00006315] Port=0 WR @05=63850E325BE07CDB48 + 6316.50ns INFO [00006318] Port=1 RD @03 + 6317.50ns INFO [00006319] Port=0 WR @03=A1C2FCA56506F7EE78 + 6317.50ns INFO [00006319] Port=0 RD @07 + 6318.50ns INFO [00006320] * RD COMPARE * port=1 adr=03 act=E87EF49236A4F1059A exp=E87EF49236A4F1059A + 6318.50ns INFO [00006320] Port=0 WR @05=5E1B0A1AC955D14987 + 6318.50ns INFO [00006320] Port=0 RD @06 + 6318.50ns INFO [00006320] Port=1 RD @03 + 6319.50ns INFO [00006321] * RD COMPARE * port=0 adr=07 act=B318936FF0E20354C4 exp=B318936FF0E20354C4 + 6320.50ns INFO [00006322] * RD COMPARE * port=0 adr=06 act=66CFA5F03B1081E1A6 exp=66CFA5F03B1081E1A6 + 6320.50ns INFO [00006322] * RD COMPARE * port=1 adr=03 act=A1C2FCA56506F7EE78 exp=A1C2FCA56506F7EE78 + 6320.50ns INFO [00006322] Port=0 WR @04=A511623DF86C82206D + 6320.50ns INFO [00006322] Port=0 RD @03 + 6320.50ns INFO [00006322] Port=1 RD @05 + 6321.50ns INFO [00006323] Port=0 RD @03 + 6321.50ns INFO [00006323] Port=1 RD @03 + 6322.50ns INFO [00006324] * RD COMPARE * port=0 adr=03 act=A1C2FCA56506F7EE78 exp=A1C2FCA56506F7EE78 + 6322.50ns INFO [00006324] * RD COMPARE * port=1 adr=05 act=5E1B0A1AC955D14987 exp=5E1B0A1AC955D14987 + 6322.50ns INFO [00006324] Port=0 WR @06=1527133ABD9936E7C4 + 6322.50ns INFO [00006324] Port=1 RD @07 + 6323.50ns INFO [00006325] * RD COMPARE * port=0 adr=03 act=A1C2FCA56506F7EE78 exp=A1C2FCA56506F7EE78 + 6323.50ns INFO [00006325] * RD COMPARE * port=1 adr=03 act=A1C2FCA56506F7EE78 exp=A1C2FCA56506F7EE78 + 6323.50ns INFO [00006325] Port=1 RD @06 + 6324.50ns INFO [00006326] * RD COMPARE * port=1 adr=07 act=B318936FF0E20354C4 exp=B318936FF0E20354C4 + 6324.50ns INFO [00006326] Port=0 WR @03=748672F686602118E7 + 6324.50ns INFO [00006326] Port=0 RD @01 + 6325.50ns INFO [00006327] * RD COMPARE * port=1 adr=06 act=1527133ABD9936E7C4 exp=1527133ABD9936E7C4 + 6325.50ns INFO [00006327] Port=0 WR @02=CA48112FB06AE2C521 + 6326.50ns INFO [00006328] * RD COMPARE * port=0 adr=01 act=E7E2E2A204776A271E exp=E7E2E2A204776A271E + 6326.50ns INFO [00006328] Port=0 WR @04=D11B8352420C7F628C + 6326.50ns INFO [00006328] Port=0 RD @06 + 6327.50ns INFO [00006329] Port=0 WR @02=6D91E011C68310E4E4 + 6327.50ns INFO [00006329] Port=0 RD @05 + 6327.50ns INFO [00006329] Port=1 RD @07 + 6328.50ns INFO [00006330] * RD COMPARE * port=0 adr=06 act=1527133ABD9936E7C4 exp=1527133ABD9936E7C4 + 6328.50ns INFO [00006330] Port=0 RD @03 + 6328.50ns INFO [00006330] Port=1 RD @01 + 6329.50ns INFO [00006331] * RD COMPARE * port=0 adr=05 act=5E1B0A1AC955D14987 exp=5E1B0A1AC955D14987 + 6329.50ns INFO [00006331] * RD COMPARE * port=1 adr=07 act=B318936FF0E20354C4 exp=B318936FF0E20354C4 + 6329.50ns INFO [00006331] Port=0 RD @07 + 6330.50ns INFO [00006332] * RD COMPARE * port=0 adr=03 act=748672F686602118E7 exp=748672F686602118E7 + 6330.50ns INFO [00006332] * RD COMPARE * port=1 adr=01 act=E7E2E2A204776A271E exp=E7E2E2A204776A271E + 6331.50ns INFO [00006333] * RD COMPARE * port=0 adr=07 act=B318936FF0E20354C4 exp=B318936FF0E20354C4 + 6334.50ns INFO [00006336] Port=0 WR @03=E366AF48FD0461D05C + 6334.50ns INFO [00006336] Port=0 RD @04 + 6335.50ns INFO [00006337] Port=0 WR @03=0C43B220345D088790 + 6335.50ns INFO [00006337] Port=0 RD @04 + 6335.50ns INFO [00006337] Port=1 RD @04 + 6336.50ns INFO [00006338] * RD COMPARE * port=0 adr=04 act=D11B8352420C7F628C exp=D11B8352420C7F628C + 6336.50ns INFO [00006338] Port=0 RD @06 + 6336.50ns INFO [00006338] Port=1 RD @03 + 6337.50ns INFO [00006339] * RD COMPARE * port=0 adr=04 act=D11B8352420C7F628C exp=D11B8352420C7F628C + 6337.50ns INFO [00006339] * RD COMPARE * port=1 adr=04 act=D11B8352420C7F628C exp=D11B8352420C7F628C + 6337.50ns INFO [00006339] Port=0 WR @03=037C6CC2035DF81582 + 6337.50ns INFO [00006339] Port=0 RD @01 + 6337.50ns INFO [00006339] Port=1 RD @05 + 6338.50ns INFO [00006340] * RD COMPARE * port=0 adr=06 act=1527133ABD9936E7C4 exp=1527133ABD9936E7C4 + 6338.50ns INFO [00006340] * RD COMPARE * port=1 adr=03 act=0C43B220345D088790 exp=0C43B220345D088790 + 6338.50ns INFO [00006340] Port=0 WR @07=EF65302A4CC352D575 + 6338.50ns INFO [00006340] Port=0 RD @04 + 6338.50ns INFO [00006340] Port=1 RD @03 + 6339.50ns INFO [00006341] * RD COMPARE * port=0 adr=01 act=E7E2E2A204776A271E exp=E7E2E2A204776A271E + 6339.50ns INFO [00006341] * RD COMPARE * port=1 adr=05 act=5E1B0A1AC955D14987 exp=5E1B0A1AC955D14987 + 6339.50ns INFO [00006341] Port=0 WR @07=BD7174C2B6BEF5EF76 + 6339.50ns INFO [00006341] Port=0 RD @04 + 6340.50ns INFO [00006342] * RD COMPARE * port=0 adr=04 act=D11B8352420C7F628C exp=D11B8352420C7F628C + 6340.50ns INFO [00006342] * RD COMPARE * port=1 adr=03 act=037C6CC2035DF81582 exp=037C6CC2035DF81582 + 6340.50ns INFO [00006342] Port=0 WR @05=6F31735A00FD77EF35 + 6341.50ns INFO [00006343] * RD COMPARE * port=0 adr=04 act=D11B8352420C7F628C exp=D11B8352420C7F628C + 6343.50ns INFO [00006345] Port=0 RD @06 + 6345.50ns INFO [00006347] * RD COMPARE * port=0 adr=06 act=1527133ABD9936E7C4 exp=1527133ABD9936E7C4 + 6345.50ns INFO [00006347] Port=0 RD @04 + 6346.50ns INFO [00006348] Port=1 RD @04 + 6347.50ns INFO [00006349] * RD COMPARE * port=0 adr=04 act=D11B8352420C7F628C exp=D11B8352420C7F628C + 6347.50ns INFO [00006349] Port=0 WR @04=C3038401CCBA8116C4 + 6348.50ns INFO [00006350] * RD COMPARE * port=1 adr=04 act=D11B8352420C7F628C exp=D11B8352420C7F628C + 6348.50ns INFO [00006350] Port=0 RD @01 + 6349.50ns INFO [00006351] Port=0 WR @00=D0773162AE41E73E66 + 6350.50ns INFO [00006352] * RD COMPARE * port=0 adr=01 act=E7E2E2A204776A271E exp=E7E2E2A204776A271E + 6350.50ns INFO [00006352] Port=0 WR @01=D5685BCC304ACF66CA + 6350.50ns INFO [00006352] Port=0 RD @02 + 6350.50ns INFO [00006352] Port=1 RD @04 + 6351.50ns INFO [00006353] Port=0 WR @04=9FBE7CBB43436A5F12 + 6351.50ns INFO [00006353] Port=1 RD @03 + 6352.50ns INFO [00006354] * RD COMPARE * port=0 adr=02 act=6D91E011C68310E4E4 exp=6D91E011C68310E4E4 + 6352.50ns INFO [00006354] * RD COMPARE * port=1 adr=04 act=C3038401CCBA8116C4 exp=C3038401CCBA8116C4 + 6352.50ns INFO [00006354] Port=0 WR @03=2DD588D6815B88B03A + 6352.50ns INFO [00006354] Port=0 RD @05 + 6352.50ns INFO [00006354] Port=1 RD @02 + 6353.50ns INFO [00006355] * RD COMPARE * port=1 adr=03 act=037C6CC2035DF81582 exp=037C6CC2035DF81582 + 6353.50ns INFO [00006355] Port=0 WR @07=ADD2A406B33B4023C9 + 6353.50ns INFO [00006355] Port=0 RD @00 + 6353.50ns INFO [00006355] Port=1 RD @05 + 6354.50ns INFO [00006356] * RD COMPARE * port=0 adr=05 act=6F31735A00FD77EF35 exp=6F31735A00FD77EF35 + 6354.50ns INFO [00006356] * RD COMPARE * port=1 adr=02 act=6D91E011C68310E4E4 exp=6D91E011C68310E4E4 + 6355.50ns INFO [00006357] * RD COMPARE * port=0 adr=00 act=D0773162AE41E73E66 exp=D0773162AE41E73E66 + 6355.50ns INFO [00006357] * RD COMPARE * port=1 adr=05 act=6F31735A00FD77EF35 exp=6F31735A00FD77EF35 + 6355.50ns INFO [00006357] Port=0 WR @00=62B33130F4E4429522 + 6356.50ns INFO [00006358] Port=0 WR @02=1653CE0B87D9C6D2FD + 6356.50ns INFO [00006358] Port=1 RD @06 + 6357.50ns INFO [00006359] Port=0 WR @03=6316C60A7E7663BBBA + 6358.50ns INFO [00006360] * RD COMPARE * port=1 adr=06 act=1527133ABD9936E7C4 exp=1527133ABD9936E7C4 + 6361.50ns INFO [00006363] Port=0 WR @03=B75ED32B258D5401C6 + 6362.50ns INFO [00006364] Port=0 WR @04=FDB5C90D909DFA51F1 + 6362.50ns INFO [00006364] Port=0 RD @03 + 6362.50ns INFO [00006364] Port=1 RD @06 + 6364.50ns INFO [00006366] * RD COMPARE * port=0 adr=03 act=B75ED32B258D5401C6 exp=B75ED32B258D5401C6 + 6364.50ns INFO [00006366] * RD COMPARE * port=1 adr=06 act=1527133ABD9936E7C4 exp=1527133ABD9936E7C4 + 6364.50ns INFO [00006366] Port=0 WR @06=5A154CCEC29F1E5471 + 6364.50ns INFO [00006366] Port=0 RD @04 + 6364.50ns INFO [00006366] Port=1 RD @04 + 6365.50ns INFO [00006367] Port=0 RD @01 + 6365.50ns INFO [00006367] Port=1 RD @07 + 6366.50ns INFO [00006368] * RD COMPARE * port=0 adr=04 act=FDB5C90D909DFA51F1 exp=FDB5C90D909DFA51F1 + 6366.50ns INFO [00006368] * RD COMPARE * port=1 adr=04 act=FDB5C90D909DFA51F1 exp=FDB5C90D909DFA51F1 + 6366.50ns INFO [00006368] Port=0 RD @03 + 6366.50ns INFO [00006368] Port=1 RD @01 + 6367.50ns INFO [00006369] * RD COMPARE * port=0 adr=01 act=D5685BCC304ACF66CA exp=D5685BCC304ACF66CA + 6367.50ns INFO [00006369] * RD COMPARE * port=1 adr=07 act=ADD2A406B33B4023C9 exp=ADD2A406B33B4023C9 + 6367.50ns INFO [00006369] Port=0 WR @04=670EA57872BEB8D15C + 6367.50ns INFO [00006369] Port=0 RD @06 + 6368.50ns INFO [00006370] * RD COMPARE * port=0 adr=03 act=B75ED32B258D5401C6 exp=B75ED32B258D5401C6 + 6368.50ns INFO [00006370] * RD COMPARE * port=1 adr=01 act=D5685BCC304ACF66CA exp=D5685BCC304ACF66CA + 6369.50ns INFO [00006371] * RD COMPARE * port=0 adr=06 act=5A154CCEC29F1E5471 exp=5A154CCEC29F1E5471 + 6369.50ns INFO [00006371] Port=0 WR @06=A07A06F62EBF2BCA44 + 6369.50ns INFO [00006371] Port=0 RD @05 + 6371.50ns INFO [00006373] * RD COMPARE * port=0 adr=05 act=6F31735A00FD77EF35 exp=6F31735A00FD77EF35 + 6371.50ns INFO [00006373] Port=0 RD @00 + 6371.50ns INFO [00006373] Port=1 RD @04 + 6372.50ns INFO [00006374] Port=0 WR @05=920AD4320DA54BB3DC + 6373.50ns INFO [00006375] * RD COMPARE * port=0 adr=00 act=62B33130F4E4429522 exp=62B33130F4E4429522 + 6373.50ns INFO [00006375] * RD COMPARE * port=1 adr=04 act=670EA57872BEB8D15C exp=670EA57872BEB8D15C + 6373.50ns INFO [00006375] Port=0 WR @07=E1BA128A286BAE54AE + 6373.50ns INFO [00006375] Port=1 RD @00 + 6375.50ns INFO [00006377] * RD COMPARE * port=1 adr=00 act=62B33130F4E4429522 exp=62B33130F4E4429522 + 6375.50ns INFO [00006377] Port=0 WR @04=3BBE38EBFFF65699D8 + 6376.50ns INFO [00006378] Port=0 WR @04=49F8E64D43975E037B + 6377.50ns INFO [00006379] Port=0 WR @06=733AF52DF9CD791815 + 6378.50ns INFO [00006380] Port=1 RD @06 + 6379.50ns INFO [00006381] Port=1 RD @02 + 6380.50ns INFO [00006382] * RD COMPARE * port=1 adr=06 act=733AF52DF9CD791815 exp=733AF52DF9CD791815 + 6381.50ns INFO [00006383] * RD COMPARE * port=1 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6381.50ns INFO [00006383] Port=0 WR @04=829820D8079C9FA27F + 6381.50ns INFO [00006383] Port=0 RD @01 + 6381.50ns INFO [00006383] Port=1 RD @02 + 6382.50ns INFO [00006384] Port=1 RD @02 + 6383.50ns INFO [00006385] * RD COMPARE * port=0 adr=01 act=D5685BCC304ACF66CA exp=D5685BCC304ACF66CA + 6383.50ns INFO [00006385] * RD COMPARE * port=1 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6383.50ns INFO [00006385] Port=0 WR @05=58E9EEBAE967F33BE3 + 6384.50ns INFO [00006386] * RD COMPARE * port=1 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6384.50ns INFO [00006386] Port=0 RD @05 + 6386.50ns INFO [00006388] * RD COMPARE * port=0 adr=05 act=58E9EEBAE967F33BE3 exp=58E9EEBAE967F33BE3 + 6386.50ns INFO [00006388] Port=0 RD @02 + 6386.50ns INFO [00006388] Port=1 RD @00 + 6388.50ns INFO [00006390] * RD COMPARE * port=0 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6388.50ns INFO [00006390] * RD COMPARE * port=1 adr=00 act=62B33130F4E4429522 exp=62B33130F4E4429522 + 6388.50ns INFO [00006390] Port=1 RD @06 + 6389.50ns INFO [00006391] Port=0 WR @06=970E612FE7011AA2B9 + 6390.50ns INFO [00006392] * RD COMPARE * port=1 adr=06 act=733AF52DF9CD791815 exp=733AF52DF9CD791815 + 6390.50ns INFO [00006392] Port=0 WR @06=71742FB0498092BB70 + 6390.50ns INFO [00006392] Port=0 RD @05 + 6391.50ns INFO [00006393] Port=0 RD @03 + 6392.50ns INFO [00006394] * RD COMPARE * port=0 adr=05 act=58E9EEBAE967F33BE3 exp=58E9EEBAE967F33BE3 + 6393.50ns INFO [00006395] * RD COMPARE * port=0 adr=03 act=B75ED32B258D5401C6 exp=B75ED32B258D5401C6 + 6393.50ns INFO [00006395] Port=1 RD @05 + 6395.50ns INFO [00006397] * RD COMPARE * port=1 adr=05 act=58E9EEBAE967F33BE3 exp=58E9EEBAE967F33BE3 + 6395.50ns INFO [00006397] Port=0 RD @03 + 6395.50ns INFO [00006397] Port=1 RD @00 + 6396.50ns INFO [00006398] Port=0 WR @07=E737D0A08931A39F8A + 6396.50ns INFO [00006398] Port=0 RD @02 + 6396.50ns INFO [00006398] Port=1 RD @03 + 6397.50ns INFO [00006399] * RD COMPARE * port=0 adr=03 act=B75ED32B258D5401C6 exp=B75ED32B258D5401C6 + 6397.50ns INFO [00006399] * RD COMPARE * port=1 adr=00 act=62B33130F4E4429522 exp=62B33130F4E4429522 + 6398.00ns INFO [00006400] [00006400] ...tick... + 6398.50ns INFO [00006400] * RD COMPARE * port=0 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6398.50ns INFO [00006400] * RD COMPARE * port=1 adr=03 act=B75ED32B258D5401C6 exp=B75ED32B258D5401C6 + 6398.50ns INFO [00006400] Port=0 RD @00 + 6399.50ns INFO [00006401] Port=1 RD @05 + 6400.50ns INFO [00006402] * RD COMPARE * port=0 adr=00 act=62B33130F4E4429522 exp=62B33130F4E4429522 + 6400.50ns INFO [00006402] Port=0 WR @00=E7F7ADD6819C196C6E + 6400.50ns INFO [00006402] Port=1 RD @05 + 6401.50ns INFO [00006403] * RD COMPARE * port=1 adr=05 act=58E9EEBAE967F33BE3 exp=58E9EEBAE967F33BE3 + 6401.50ns INFO [00006403] Port=0 WR @07=0ADA4CC91CBF85C4AA + 6401.50ns INFO [00006403] Port=0 RD @02 + 6401.50ns INFO [00006403] Port=1 RD @01 + 6402.50ns INFO [00006404] * RD COMPARE * port=1 adr=05 act=58E9EEBAE967F33BE3 exp=58E9EEBAE967F33BE3 + 6402.50ns INFO [00006404] Port=1 RD @03 + 6403.50ns INFO [00006405] * RD COMPARE * port=0 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6403.50ns INFO [00006405] * RD COMPARE * port=1 adr=01 act=D5685BCC304ACF66CA exp=D5685BCC304ACF66CA + 6403.50ns INFO [00006405] Port=0 WR @01=6F616B2BFF2F27D444 + 6403.50ns INFO [00006405] Port=1 RD @04 + 6404.50ns INFO [00006406] * RD COMPARE * port=1 adr=03 act=B75ED32B258D5401C6 exp=B75ED32B258D5401C6 + 6405.50ns INFO [00006407] * RD COMPARE * port=1 adr=04 act=829820D8079C9FA27F exp=829820D8079C9FA27F + 6405.50ns INFO [00006407] Port=0 RD @00 + 6406.50ns INFO [00006408] Port=0 WR @00=22E3B24FE454976331 + 6407.50ns INFO [00006409] * RD COMPARE * port=0 adr=00 act=E7F7ADD6819C196C6E exp=E7F7ADD6819C196C6E + 6407.50ns INFO [00006409] Port=0 WR @03=EB4AD224354AA91AE7 + 6407.50ns INFO [00006409] Port=0 RD @02 + 6408.50ns INFO [00006410] Port=1 RD @04 + 6409.50ns INFO [00006411] * RD COMPARE * port=0 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6409.50ns INFO [00006411] Port=0 WR @07=9B666F8723225579EA + 6410.50ns INFO [00006412] * RD COMPARE * port=1 adr=04 act=829820D8079C9FA27F exp=829820D8079C9FA27F + 6410.50ns INFO [00006412] Port=0 WR @01=41006C561360841FCC + 6410.50ns INFO [00006412] Port=1 RD @00 + 6412.50ns INFO [00006414] * RD COMPARE * port=1 adr=00 act=22E3B24FE454976331 exp=22E3B24FE454976331 + 6413.50ns INFO [00006415] Port=0 WR @05=E06243BA478E41C2E0 + 6414.50ns INFO [00006416] Port=0 WR @04=69DB5C58805A85A435 + 6414.50ns INFO [00006416] Port=0 RD @07 + 6415.50ns INFO [00006417] Port=0 WR @05=DF3A4B2EE000B158AF + 6415.50ns INFO [00006417] Port=0 RD @01 + 6415.50ns INFO [00006417] Port=1 RD @00 + 6416.50ns INFO [00006418] * RD COMPARE * port=0 adr=07 act=9B666F8723225579EA exp=9B666F8723225579EA + 6416.50ns INFO [00006418] Port=0 RD @00 + 6417.50ns INFO [00006419] * RD COMPARE * port=0 adr=01 act=41006C561360841FCC exp=41006C561360841FCC + 6417.50ns INFO [00006419] * RD COMPARE * port=1 adr=00 act=22E3B24FE454976331 exp=22E3B24FE454976331 + 6417.50ns INFO [00006419] Port=0 RD @00 + 6418.50ns INFO [00006420] * RD COMPARE * port=0 adr=00 act=22E3B24FE454976331 exp=22E3B24FE454976331 + 6418.50ns INFO [00006420] Port=0 WR @03=6221D012ABB9F3054E + 6418.50ns INFO [00006420] Port=1 RD @05 + 6419.50ns INFO [00006421] * RD COMPARE * port=0 adr=00 act=22E3B24FE454976331 exp=22E3B24FE454976331 + 6419.50ns INFO [00006421] Port=1 RD @06 + 6420.50ns INFO [00006422] * RD COMPARE * port=1 adr=05 act=DF3A4B2EE000B158AF exp=DF3A4B2EE000B158AF + 6420.50ns INFO [00006422] Port=0 WR @06=3DD478B3C1F4A3F0BC + 6421.50ns INFO [00006423] * RD COMPARE * port=1 adr=06 act=71742FB0498092BB70 exp=71742FB0498092BB70 + 6421.50ns INFO [00006423] Port=0 WR @03=B9B2FA72798525FE8A + 6421.50ns INFO [00006423] Port=0 RD @01 + 6422.50ns INFO [00006424] Port=0 RD @05 + 6422.50ns INFO [00006424] Port=1 RD @01 + 6423.50ns INFO [00006425] * RD COMPARE * port=0 adr=01 act=41006C561360841FCC exp=41006C561360841FCC + 6423.50ns INFO [00006425] Port=0 WR @00=6BF7EBA2A68384EBE1 + 6423.50ns INFO [00006425] Port=0 RD @04 + 6423.50ns INFO [00006425] Port=1 RD @07 + 6424.50ns INFO [00006426] * RD COMPARE * port=0 adr=05 act=DF3A4B2EE000B158AF exp=DF3A4B2EE000B158AF + 6424.50ns INFO [00006426] * RD COMPARE * port=1 adr=01 act=41006C561360841FCC exp=41006C561360841FCC + 6424.50ns INFO [00006426] Port=0 WR @00=A7CC84556A3A4499EE + 6424.50ns INFO [00006426] Port=0 RD @04 + 6424.50ns INFO [00006426] Port=1 RD @07 + 6425.50ns INFO [00006427] * RD COMPARE * port=0 adr=04 act=69DB5C58805A85A435 exp=69DB5C58805A85A435 + 6425.50ns INFO [00006427] * RD COMPARE * port=1 adr=07 act=9B666F8723225579EA exp=9B666F8723225579EA + 6425.50ns INFO [00006427] Port=1 RD @03 + 6426.50ns INFO [00006428] * RD COMPARE * port=0 adr=04 act=69DB5C58805A85A435 exp=69DB5C58805A85A435 + 6426.50ns INFO [00006428] * RD COMPARE * port=1 adr=07 act=9B666F8723225579EA exp=9B666F8723225579EA + 6426.50ns INFO [00006428] Port=0 WR @01=4566E1598F34CDBAA4 + 6426.50ns INFO [00006428] Port=1 RD @05 + 6427.50ns INFO [00006429] * RD COMPARE * port=1 adr=03 act=B9B2FA72798525FE8A exp=B9B2FA72798525FE8A + 6427.50ns INFO [00006429] Port=0 RD @07 + 6427.50ns INFO [00006429] Port=1 RD @05 + 6428.50ns INFO [00006430] * RD COMPARE * port=1 adr=05 act=DF3A4B2EE000B158AF exp=DF3A4B2EE000B158AF + 6428.50ns INFO [00006430] Port=1 RD @02 + 6429.50ns INFO [00006431] * RD COMPARE * port=0 adr=07 act=9B666F8723225579EA exp=9B666F8723225579EA + 6429.50ns INFO [00006431] * RD COMPARE * port=1 adr=05 act=DF3A4B2EE000B158AF exp=DF3A4B2EE000B158AF + 6429.50ns INFO [00006431] Port=0 WR @07=8BBA71C3ABFD5EFB5E + 6430.50ns INFO [00006432] * RD COMPARE * port=1 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6430.50ns INFO [00006432] Port=0 RD @05 + 6431.50ns INFO [00006433] Port=0 RD @02 + 6432.50ns INFO [00006434] * RD COMPARE * port=0 adr=05 act=DF3A4B2EE000B158AF exp=DF3A4B2EE000B158AF + 6432.50ns INFO [00006434] Port=0 WR @05=71D1ECC143589AC693 + 6432.50ns INFO [00006434] Port=0 RD @07 + 6432.50ns INFO [00006434] Port=1 RD @01 + 6433.50ns INFO [00006435] * RD COMPARE * port=0 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6434.50ns INFO [00006436] * RD COMPARE * port=0 adr=07 act=8BBA71C3ABFD5EFB5E exp=8BBA71C3ABFD5EFB5E + 6434.50ns INFO [00006436] * RD COMPARE * port=1 adr=01 act=4566E1598F34CDBAA4 exp=4566E1598F34CDBAA4 + 6434.50ns INFO [00006436] Port=0 WR @07=AB146A431B3D074B1E + 6434.50ns INFO [00006436] Port=0 RD @02 + 6434.50ns INFO [00006436] Port=1 RD @01 + 6435.50ns INFO [00006437] Port=0 RD @02 + 6436.50ns INFO [00006438] * RD COMPARE * port=0 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6436.50ns INFO [00006438] * RD COMPARE * port=1 adr=01 act=4566E1598F34CDBAA4 exp=4566E1598F34CDBAA4 + 6436.50ns INFO [00006438] Port=0 WR @03=E18B4219E3FDEB1E3B + 6436.50ns INFO [00006438] Port=0 RD @00 + 6437.50ns INFO [00006439] * RD COMPARE * port=0 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6437.50ns INFO [00006439] Port=0 WR @00=EBF2D7C9A060C5C048 + 6438.50ns INFO [00006440] * RD COMPARE * port=0 adr=00 act=A7CC84556A3A4499EE exp=A7CC84556A3A4499EE + 6438.50ns INFO [00006440] Port=1 RD @06 + 6440.50ns INFO [00006442] * RD COMPARE * port=1 adr=06 act=3DD478B3C1F4A3F0BC exp=3DD478B3C1F4A3F0BC + 6442.50ns INFO [00006444] Port=0 WR @03=2FCFB8B180AA0672C1 + 6442.50ns INFO [00006444] Port=1 RD @07 + 6443.50ns INFO [00006445] Port=0 WR @03=E282594F93D43E8433 + 6444.50ns INFO [00006446] * RD COMPARE * port=1 adr=07 act=AB146A431B3D074B1E exp=AB146A431B3D074B1E + 6444.50ns INFO [00006446] Port=0 RD @05 + 6445.50ns INFO [00006447] Port=0 WR @00=73B9C37BBFFA6170B4 + 6445.50ns INFO [00006447] Port=0 RD @05 + 6446.50ns INFO [00006448] * RD COMPARE * port=0 adr=05 act=71D1ECC143589AC693 exp=71D1ECC143589AC693 + 6447.50ns INFO [00006449] * RD COMPARE * port=0 adr=05 act=71D1ECC143589AC693 exp=71D1ECC143589AC693 + 6447.50ns INFO [00006449] Port=1 RD @06 + 6448.50ns INFO [00006450] Port=0 RD @03 + 6448.50ns INFO [00006450] Port=1 RD @03 + 6449.50ns INFO [00006451] * RD COMPARE * port=1 adr=06 act=3DD478B3C1F4A3F0BC exp=3DD478B3C1F4A3F0BC + 6449.50ns INFO [00006451] Port=0 WR @07=9EA855EA127020A381 + 6450.50ns INFO [00006452] * RD COMPARE * port=0 adr=03 act=E282594F93D43E8433 exp=E282594F93D43E8433 + 6450.50ns INFO [00006452] * RD COMPARE * port=1 adr=03 act=E282594F93D43E8433 exp=E282594F93D43E8433 + 6451.50ns INFO [00006453] Port=0 WR @06=CAE15F81F51A262F5F + 6451.50ns INFO [00006453] Port=0 RD @00 + 6452.50ns INFO [00006454] Port=0 WR @05=E05270F518B1D838A4 + 6453.50ns INFO [00006455] * RD COMPARE * port=0 adr=00 act=73B9C37BBFFA6170B4 exp=73B9C37BBFFA6170B4 + 6454.50ns INFO [00006456] Port=0 WR @05=1A57865E4CC7E2A8EC + 6456.50ns INFO [00006458] Port=0 RD @00 + 6456.50ns INFO [00006458] Port=1 RD @03 + 6458.50ns INFO [00006460] * RD COMPARE * port=0 adr=00 act=73B9C37BBFFA6170B4 exp=73B9C37BBFFA6170B4 + 6458.50ns INFO [00006460] * RD COMPARE * port=1 adr=03 act=E282594F93D43E8433 exp=E282594F93D43E8433 + 6458.50ns INFO [00006460] Port=0 WR @04=97A3EA365DD99018B3 + 6460.50ns INFO [00006462] Port=0 RD @00 + 6461.50ns INFO [00006463] Port=0 RD @03 + 6461.50ns INFO [00006463] Port=1 RD @00 + 6462.50ns INFO [00006464] * RD COMPARE * port=0 adr=00 act=73B9C37BBFFA6170B4 exp=73B9C37BBFFA6170B4 + 6463.50ns INFO [00006465] * RD COMPARE * port=0 adr=03 act=E282594F93D43E8433 exp=E282594F93D43E8433 + 6463.50ns INFO [00006465] * RD COMPARE * port=1 adr=00 act=73B9C37BBFFA6170B4 exp=73B9C37BBFFA6170B4 + 6463.50ns INFO [00006465] Port=0 WR @01=3503EAD68037D1D309 + 6463.50ns INFO [00006465] Port=0 RD @03 + 6464.50ns INFO [00006466] Port=0 WR @03=8C2CE6F35314DADDFA + 6464.50ns INFO [00006466] Port=1 RD @02 + 6465.50ns INFO [00006467] * RD COMPARE * port=0 adr=03 act=E282594F93D43E8433 exp=E282594F93D43E8433 + 6466.50ns INFO [00006468] * RD COMPARE * port=1 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6466.50ns INFO [00006468] Port=0 WR @04=CF60D09B2307466397 + 6466.50ns INFO [00006468] Port=0 RD @00 + 6466.50ns INFO [00006468] Port=1 RD @07 + 6467.50ns INFO [00006469] Port=0 RD @05 + 6467.50ns INFO [00006469] Port=1 RD @06 + 6468.50ns INFO [00006470] * RD COMPARE * port=0 adr=00 act=73B9C37BBFFA6170B4 exp=73B9C37BBFFA6170B4 + 6468.50ns INFO [00006470] * RD COMPARE * port=1 adr=07 act=9EA855EA127020A381 exp=9EA855EA127020A381 + 6468.50ns INFO [00006470] Port=1 RD @05 + 6469.50ns INFO [00006471] * RD COMPARE * port=0 adr=05 act=1A57865E4CC7E2A8EC exp=1A57865E4CC7E2A8EC + 6469.50ns INFO [00006471] * RD COMPARE * port=1 adr=06 act=CAE15F81F51A262F5F exp=CAE15F81F51A262F5F + 6469.50ns INFO [00006471] Port=0 WR @07=33523DC3D1638B57FC + 6469.50ns INFO [00006471] Port=0 RD @06 + 6470.50ns INFO [00006472] * RD COMPARE * port=1 adr=05 act=1A57865E4CC7E2A8EC exp=1A57865E4CC7E2A8EC + 6470.50ns INFO [00006472] Port=0 WR @03=4F96ADDA490AD8454E + 6470.50ns INFO [00006472] Port=1 RD @00 + 6471.50ns INFO [00006473] * RD COMPARE * port=0 adr=06 act=CAE15F81F51A262F5F exp=CAE15F81F51A262F5F + 6472.50ns INFO [00006474] * RD COMPARE * port=1 adr=00 act=73B9C37BBFFA6170B4 exp=73B9C37BBFFA6170B4 + 6472.50ns INFO [00006474] Port=1 RD @01 + 6473.50ns INFO [00006475] Port=1 RD @01 + 6474.50ns INFO [00006476] * RD COMPARE * port=1 adr=01 act=3503EAD68037D1D309 exp=3503EAD68037D1D309 + 6474.50ns INFO [00006476] Port=1 RD @01 + 6475.50ns INFO [00006477] * RD COMPARE * port=1 adr=01 act=3503EAD68037D1D309 exp=3503EAD68037D1D309 + 6475.50ns INFO [00006477] Port=0 WR @05=D5A7415DA7D9216F06 + 6475.50ns INFO [00006477] Port=1 RD @07 + 6476.50ns INFO [00006478] * RD COMPARE * port=1 adr=01 act=3503EAD68037D1D309 exp=3503EAD68037D1D309 + 6476.50ns INFO [00006478] Port=0 RD @00 + 6477.50ns INFO [00006479] * RD COMPARE * port=1 adr=07 act=33523DC3D1638B57FC exp=33523DC3D1638B57FC + 6477.50ns INFO [00006479] Port=0 WR @04=A340338A998FAAF765 + 6477.50ns INFO [00006479] Port=0 RD @01 + 6477.50ns INFO [00006479] Port=1 RD @02 + 6478.50ns INFO [00006480] * RD COMPARE * port=0 adr=00 act=73B9C37BBFFA6170B4 exp=73B9C37BBFFA6170B4 + 6478.50ns INFO [00006480] Port=0 WR @06=742E646DEAE7880373 + 6479.50ns INFO [00006481] * RD COMPARE * port=0 adr=01 act=3503EAD68037D1D309 exp=3503EAD68037D1D309 + 6479.50ns INFO [00006481] * RD COMPARE * port=1 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6479.50ns INFO [00006481] Port=0 WR @07=5CA315C25FCCEB0259 + 6479.50ns INFO [00006481] Port=0 RD @05 + 6479.50ns INFO [00006481] Port=1 RD @05 + 6480.50ns INFO [00006482] Port=0 WR @06=6E4B97611F399AB7C8 + 6481.50ns INFO [00006483] * RD COMPARE * port=0 adr=05 act=D5A7415DA7D9216F06 exp=D5A7415DA7D9216F06 + 6481.50ns INFO [00006483] * RD COMPARE * port=1 adr=05 act=D5A7415DA7D9216F06 exp=D5A7415DA7D9216F06 + 6481.50ns INFO [00006483] Port=0 WR @06=74527DD132E928FD0A + 6481.50ns INFO [00006483] Port=1 RD @02 + 6482.50ns INFO [00006484] Port=0 RD @00 + 6483.50ns INFO [00006485] * RD COMPARE * port=1 adr=02 act=1653CE0B87D9C6D2FD exp=1653CE0B87D9C6D2FD + 6483.50ns INFO [00006485] Port=0 RD @07 + 6483.50ns INFO [00006485] Port=1 RD @07 + 6484.50ns INFO [00006486] * RD COMPARE * port=0 adr=00 act=73B9C37BBFFA6170B4 exp=73B9C37BBFFA6170B4 + 6484.50ns INFO [00006486] Port=0 RD @06 + 6485.50ns INFO [00006487] * RD COMPARE * port=0 adr=07 act=5CA315C25FCCEB0259 exp=5CA315C25FCCEB0259 + 6485.50ns INFO [00006487] * RD COMPARE * port=1 adr=07 act=5CA315C25FCCEB0259 exp=5CA315C25FCCEB0259 + 6485.50ns INFO [00006487] Port=1 RD @04 + 6486.50ns INFO [00006488] * RD COMPARE * port=0 adr=06 act=74527DD132E928FD0A exp=74527DD132E928FD0A + 6487.50ns INFO [00006489] * RD COMPARE * port=1 adr=04 act=A340338A998FAAF765 exp=A340338A998FAAF765 + 6488.50ns INFO [00006490] Port=0 RD @07 + 6488.50ns INFO [00006490] Port=1 RD @04 + 6489.50ns INFO [00006491] Port=0 WR @04=6336BEDBD034C92E60 + 6489.50ns INFO [00006491] Port=1 RD @05 + 6490.50ns INFO [00006492] * RD COMPARE * port=0 adr=07 act=5CA315C25FCCEB0259 exp=5CA315C25FCCEB0259 + 6490.50ns INFO [00006492] * RD COMPARE * port=1 adr=04 act=A340338A998FAAF765 exp=A340338A998FAAF765 + 6491.50ns INFO [00006493] * RD COMPARE * port=1 adr=05 act=D5A7415DA7D9216F06 exp=D5A7415DA7D9216F06 + 6491.50ns INFO [00006493] Port=0 RD @05 + 6492.50ns INFO [00006494] Port=0 WR @07=006D979F6F763D9B99 + 6492.50ns INFO [00006494] Port=0 RD @04 + 6492.50ns INFO [00006494] Port=1 RD @00 + 6493.50ns INFO [00006495] * RD COMPARE * port=0 adr=05 act=D5A7415DA7D9216F06 exp=D5A7415DA7D9216F06 + 6493.50ns INFO [00006495] Port=0 WR @02=2B627B181E85886673 + 6493.50ns INFO [00006495] Port=0 RD @00 + 6493.50ns INFO [00006495] Port=1 RD @06 + 6494.50ns INFO [00006496] * RD COMPARE * port=0 adr=04 act=6336BEDBD034C92E60 exp=6336BEDBD034C92E60 + 6494.50ns INFO [00006496] * RD COMPARE * port=1 adr=00 act=73B9C37BBFFA6170B4 exp=73B9C37BBFFA6170B4 + 6494.50ns INFO [00006496] Port=0 WR @05=7991DCEC5DFD4EBCEE + 6494.50ns INFO [00006496] Port=0 RD @01 + 6495.50ns INFO [00006497] * RD COMPARE * port=0 adr=00 act=73B9C37BBFFA6170B4 exp=73B9C37BBFFA6170B4 + 6495.50ns INFO [00006497] * RD COMPARE * port=1 adr=06 act=74527DD132E928FD0A exp=74527DD132E928FD0A + 6496.50ns INFO [00006498] * RD COMPARE * port=0 adr=01 act=3503EAD68037D1D309 exp=3503EAD68037D1D309 + 6496.50ns INFO [00006498] Port=0 WR @02=0F99CF6071396DEB25 + 6497.50ns INFO [00006499] Port=0 WR @00=5FB1136C39E5D44248 + 6497.50ns INFO [00006499] Port=0 RD @02 + 6498.00ns INFO [00006500] [00006500] ...tick... + 6499.50ns INFO [00006501] * RD COMPARE * port=0 adr=02 act=0F99CF6071396DEB25 exp=0F99CF6071396DEB25 + 6500.50ns INFO [00006502] Port=0 RD @07 + 6501.50ns INFO [00006503] Port=0 RD @07 + 6502.50ns INFO [00006504] * RD COMPARE * port=0 adr=07 act=006D979F6F763D9B99 exp=006D979F6F763D9B99 + 6502.50ns INFO [00006504] Port=0 WR @03=880299489A685E49DC + 6503.50ns INFO [00006505] * RD COMPARE * port=0 adr=07 act=006D979F6F763D9B99 exp=006D979F6F763D9B99 + 6503.50ns INFO [00006505] Port=0 RD @05 + 6503.50ns INFO [00006505] Port=1 RD @02 + 6504.50ns INFO [00006506] Port=0 WR @03=14BAB4C9BF930A6593 + 6505.50ns INFO [00006507] * RD COMPARE * port=0 adr=05 act=7991DCEC5DFD4EBCEE exp=7991DCEC5DFD4EBCEE + 6505.50ns INFO [00006507] * RD COMPARE * port=1 adr=02 act=0F99CF6071396DEB25 exp=0F99CF6071396DEB25 + 6505.50ns INFO [00006507] Port=0 WR @01=DA7C6CC76BEB069E0C + 6506.50ns INFO [00006508] Port=0 RD @03 + 6507.50ns INFO [00006509] Port=0 RD @06 + 6508.50ns INFO [00006510] * RD COMPARE * port=0 adr=03 act=14BAB4C9BF930A6593 exp=14BAB4C9BF930A6593 + 6508.50ns INFO [00006510] Port=0 WR @07=6B3DEADFFC3820C39A + 6508.50ns INFO [00006510] Port=1 RD @01 + 6509.50ns INFO [00006511] * RD COMPARE * port=0 adr=06 act=74527DD132E928FD0A exp=74527DD132E928FD0A + 6509.50ns INFO [00006511] Port=0 WR @06=AC3A7A214DEA013B0B + 6509.50ns INFO [00006511] Port=0 RD @03 + 6510.50ns INFO [00006512] * RD COMPARE * port=1 adr=01 act=DA7C6CC76BEB069E0C exp=DA7C6CC76BEB069E0C + 6510.50ns INFO [00006512] Port=0 WR @03=1C308AE5F43F655B43 + 6510.50ns INFO [00006512] Port=0 RD @00 + 6510.50ns INFO [00006512] Port=1 RD @07 + 6511.50ns INFO [00006513] * RD COMPARE * port=0 adr=03 act=14BAB4C9BF930A6593 exp=14BAB4C9BF930A6593 + 6511.50ns INFO [00006513] Port=1 RD @02 + 6512.50ns INFO [00006514] * RD COMPARE * port=0 adr=00 act=5FB1136C39E5D44248 exp=5FB1136C39E5D44248 + 6512.50ns INFO [00006514] * RD COMPARE * port=1 adr=07 act=6B3DEADFFC3820C39A exp=6B3DEADFFC3820C39A + 6512.50ns INFO [00006514] Port=0 RD @00 + 6513.50ns INFO [00006515] * RD COMPARE * port=1 adr=02 act=0F99CF6071396DEB25 exp=0F99CF6071396DEB25 + 6513.50ns INFO [00006515] Port=0 RD @01 + 6513.50ns INFO [00006515] Port=1 RD @00 + 6514.50ns INFO [00006516] * RD COMPARE * port=0 adr=00 act=5FB1136C39E5D44248 exp=5FB1136C39E5D44248 + 6514.50ns INFO [00006516] Port=1 RD @01 + 6515.50ns INFO [00006517] * RD COMPARE * port=0 adr=01 act=DA7C6CC76BEB069E0C exp=DA7C6CC76BEB069E0C + 6515.50ns INFO [00006517] * RD COMPARE * port=1 adr=00 act=5FB1136C39E5D44248 exp=5FB1136C39E5D44248 + 6515.50ns INFO [00006517] Port=0 WR @02=3808C648EB51DC65C3 + 6515.50ns INFO [00006517] Port=1 RD @06 + 6516.50ns INFO [00006518] * RD COMPARE * port=1 adr=01 act=DA7C6CC76BEB069E0C exp=DA7C6CC76BEB069E0C + 6516.50ns INFO [00006518] Port=0 WR @03=CD8CAFDB851E280F61 + 6517.50ns INFO [00006519] * RD COMPARE * port=1 adr=06 act=AC3A7A214DEA013B0B exp=AC3A7A214DEA013B0B + 6517.50ns INFO [00006519] Port=0 WR @02=DFC8A67420E9D5DB0C + 6517.50ns INFO [00006519] Port=0 RD @03 + 6518.50ns INFO [00006520] Port=0 RD @01 + 6519.50ns INFO [00006521] * RD COMPARE * port=0 adr=03 act=CD8CAFDB851E280F61 exp=CD8CAFDB851E280F61 + 6519.50ns INFO [00006521] Port=0 WR @04=E4361A835336272D2B + 6519.50ns INFO [00006521] Port=0 RD @07 + 6520.50ns INFO [00006522] * RD COMPARE * port=0 adr=01 act=DA7C6CC76BEB069E0C exp=DA7C6CC76BEB069E0C + 6521.50ns INFO [00006523] * RD COMPARE * port=0 adr=07 act=6B3DEADFFC3820C39A exp=6B3DEADFFC3820C39A + 6521.50ns INFO [00006523] Port=0 WR @04=B311B02D290562C56A + 6522.50ns INFO [00006524] Port=0 WR @03=8E5E9586B141E3FA41 + 6522.50ns INFO [00006524] Port=0 RD @04 + 6523.50ns INFO [00006525] Port=0 RD @06 + 6524.50ns INFO [00006526] * RD COMPARE * port=0 adr=04 act=B311B02D290562C56A exp=B311B02D290562C56A + 6524.50ns INFO [00006526] Port=0 WR @01=0FF4EDAEAE00551C0A + 6524.50ns INFO [00006526] Port=0 RD @06 + 6525.50ns INFO [00006527] * RD COMPARE * port=0 adr=06 act=AC3A7A214DEA013B0B exp=AC3A7A214DEA013B0B + 6525.50ns INFO [00006527] Port=0 WR @00=56AB38F9FA5444013A + 6526.50ns INFO [00006528] * RD COMPARE * port=0 adr=06 act=AC3A7A214DEA013B0B exp=AC3A7A214DEA013B0B + 6526.50ns INFO [00006528] Port=0 WR @04=F0B0162552EF8D0E13 + 6529.50ns INFO [00006531] Port=0 WR @05=1B924DB7DF1B768973 + 6529.50ns INFO [00006531] Port=1 RD @02 + 6530.50ns INFO [00006532] Port=0 RD @00 + 6531.50ns INFO [00006533] * RD COMPARE * port=1 adr=02 act=DFC8A67420E9D5DB0C exp=DFC8A67420E9D5DB0C + 6531.50ns INFO [00006533] Port=0 WR @03=018176CDB85A436962 + 6532.50ns INFO [00006534] * RD COMPARE * port=0 adr=00 act=56AB38F9FA5444013A exp=56AB38F9FA5444013A + 6532.50ns INFO [00006534] Port=0 RD @07 + 6534.50ns INFO [00006536] * RD COMPARE * port=0 adr=07 act=6B3DEADFFC3820C39A exp=6B3DEADFFC3820C39A + 6534.50ns INFO [00006536] Port=1 RD @05 + 6536.50ns INFO [00006538] * RD COMPARE * port=1 adr=05 act=1B924DB7DF1B768973 exp=1B924DB7DF1B768973 + 6536.50ns INFO [00006538] Port=0 RD @06 + 6536.50ns INFO [00006538] Port=1 RD @04 + 6538.50ns INFO [00006540] * RD COMPARE * port=0 adr=06 act=AC3A7A214DEA013B0B exp=AC3A7A214DEA013B0B + 6538.50ns INFO [00006540] * RD COMPARE * port=1 adr=04 act=F0B0162552EF8D0E13 exp=F0B0162552EF8D0E13 + 6540.50ns INFO [00006542] Port=0 WR @06=BDB817A520689A0AAD + 6541.50ns INFO [00006543] Port=1 RD @06 + 6542.50ns INFO [00006544] Port=1 RD @05 + 6543.50ns INFO [00006545] * RD COMPARE * port=1 adr=06 act=BDB817A520689A0AAD exp=BDB817A520689A0AAD + 6544.50ns INFO [00006546] * RD COMPARE * port=1 adr=05 act=1B924DB7DF1B768973 exp=1B924DB7DF1B768973 + 6544.50ns INFO [00006546] Port=0 WR @01=4ED5DA5A1014ADE4DD + 6544.50ns INFO [00006546] Port=0 RD @07 + 6544.50ns INFO [00006546] Port=1 RD @02 + 6545.50ns INFO [00006547] Port=0 WR @06=77CA59CD521609B33E + 6545.50ns INFO [00006547] Port=1 RD @03 + 6546.50ns INFO [00006548] * RD COMPARE * port=0 adr=07 act=6B3DEADFFC3820C39A exp=6B3DEADFFC3820C39A + 6546.50ns INFO [00006548] * RD COMPARE * port=1 adr=02 act=DFC8A67420E9D5DB0C exp=DFC8A67420E9D5DB0C + 6547.50ns INFO [00006549] * RD COMPARE * port=1 adr=03 act=018176CDB85A436962 exp=018176CDB85A436962 + 6548.50ns INFO [00006550] Port=0 WR @04=2EFC295DACF709AF59 + 6549.50ns INFO [00006551] Port=0 WR @01=DED1DAA58D162C51B3 + 6549.50ns INFO [00006551] Port=0 RD @02 + 6549.50ns INFO [00006551] Port=1 RD @04 + 6550.50ns INFO [00006552] Port=0 RD @03 + 6551.50ns INFO [00006553] * RD COMPARE * port=0 adr=02 act=DFC8A67420E9D5DB0C exp=DFC8A67420E9D5DB0C + 6551.50ns INFO [00006553] * RD COMPARE * port=1 adr=04 act=2EFC295DACF709AF59 exp=2EFC295DACF709AF59 + 6552.50ns INFO [00006554] * RD COMPARE * port=0 adr=03 act=018176CDB85A436962 exp=018176CDB85A436962 + 6552.50ns INFO [00006554] Port=0 WR @00=4C4D074C2382519312 + 6552.50ns INFO [00006554] Port=1 RD @07 + 6553.50ns INFO [00006555] Port=0 WR @05=EC484B320B97B9B411 + 6554.50ns INFO [00006556] * RD COMPARE * port=1 adr=07 act=6B3DEADFFC3820C39A exp=6B3DEADFFC3820C39A + 6555.50ns INFO [00006557] Port=0 WR @00=1B24A136085677B74B + 6555.50ns INFO [00006557] Port=0 RD @01 + 6556.50ns INFO [00006558] Port=0 RD @03 + 6556.50ns INFO [00006558] Port=1 RD @07 + 6557.50ns INFO [00006559] * RD COMPARE * port=0 adr=01 act=DED1DAA58D162C51B3 exp=DED1DAA58D162C51B3 + 6558.50ns INFO [00006560] * RD COMPARE * port=0 adr=03 act=018176CDB85A436962 exp=018176CDB85A436962 + 6558.50ns INFO [00006560] * RD COMPARE * port=1 adr=07 act=6B3DEADFFC3820C39A exp=6B3DEADFFC3820C39A + 6558.50ns INFO [00006560] Port=0 WR @05=15BBE2E76723C0B825 + 6558.50ns INFO [00006560] Port=1 RD @04 + 6559.50ns INFO [00006561] Port=0 RD @07 + 6559.50ns INFO [00006561] Port=1 RD @07 + 6560.50ns INFO [00006562] * RD COMPARE * port=1 adr=04 act=2EFC295DACF709AF59 exp=2EFC295DACF709AF59 + 6560.50ns INFO [00006562] Port=0 WR @04=5B5B6099139548DF74 + 6560.50ns INFO [00006562] Port=1 RD @02 + 6561.50ns INFO [00006563] * RD COMPARE * port=0 adr=07 act=6B3DEADFFC3820C39A exp=6B3DEADFFC3820C39A + 6561.50ns INFO [00006563] * RD COMPARE * port=1 adr=07 act=6B3DEADFFC3820C39A exp=6B3DEADFFC3820C39A + 6562.50ns INFO [00006564] * RD COMPARE * port=1 adr=02 act=DFC8A67420E9D5DB0C exp=DFC8A67420E9D5DB0C + 6562.50ns INFO [00006564] Port=0 WR @07=48DA1BDBF8C39078F6 + 6562.50ns INFO [00006564] Port=1 RD @06 + 6563.50ns INFO [00006565] Port=0 RD @06 + 6564.50ns INFO [00006566] * RD COMPARE * port=1 adr=06 act=77CA59CD521609B33E exp=77CA59CD521609B33E + 6564.50ns INFO [00006566] Port=0 WR @03=BD6FE5E2895CE6D977 + 6564.50ns INFO [00006566] Port=1 RD @07 + 6565.50ns INFO [00006567] * RD COMPARE * port=0 adr=06 act=77CA59CD521609B33E exp=77CA59CD521609B33E + 6565.50ns INFO [00006567] Port=0 WR @05=C636B8B4D9B4C00C12 + 6565.50ns INFO [00006567] Port=0 RD @02 + 6566.50ns INFO [00006568] * RD COMPARE * port=1 adr=07 act=48DA1BDBF8C39078F6 exp=48DA1BDBF8C39078F6 + 6566.50ns INFO [00006568] Port=0 WR @06=812972ADB555DB822A + 6567.50ns INFO [00006569] * RD COMPARE * port=0 adr=02 act=DFC8A67420E9D5DB0C exp=DFC8A67420E9D5DB0C + 6567.50ns INFO [00006569] Port=0 RD @01 + 6568.50ns INFO [00006570] Port=1 RD @03 + 6569.50ns INFO [00006571] * RD COMPARE * port=0 adr=01 act=DED1DAA58D162C51B3 exp=DED1DAA58D162C51B3 + 6570.50ns INFO [00006572] * RD COMPARE * port=1 adr=03 act=BD6FE5E2895CE6D977 exp=BD6FE5E2895CE6D977 + 6570.50ns INFO [00006572] Port=0 WR @00=F082D8583C7C7F18EC + 6571.50ns INFO [00006573] Port=1 RD @02 + 6572.50ns INFO [00006574] Port=0 RD @02 + 6573.50ns INFO [00006575] * RD COMPARE * port=1 adr=02 act=DFC8A67420E9D5DB0C exp=DFC8A67420E9D5DB0C + 6573.50ns INFO [00006575] Port=0 WR @05=A02FCCA236F3EDEF94 + 6573.50ns INFO [00006575] Port=1 RD @04 + 6574.50ns INFO [00006576] * RD COMPARE * port=0 adr=02 act=DFC8A67420E9D5DB0C exp=DFC8A67420E9D5DB0C + 6574.50ns INFO [00006576] Port=0 WR @02=C157A6737327D36A14 + 6575.50ns INFO [00006577] * RD COMPARE * port=1 adr=04 act=5B5B6099139548DF74 exp=5B5B6099139548DF74 + 6575.50ns INFO [00006577] Port=0 WR @02=5D54EFFFCD14027026 + 6575.50ns INFO [00006577] Port=1 RD @05 + 6576.50ns INFO [00006578] Port=0 WR @03=8B4679F8895978043C + 6576.50ns INFO [00006578] Port=0 RD @04 + 6577.50ns INFO [00006579] * RD COMPARE * port=1 adr=05 act=A02FCCA236F3EDEF94 exp=A02FCCA236F3EDEF94 + 6577.50ns INFO [00006579] Port=0 WR @04=4629B801E407942B98 + 6577.50ns INFO [00006579] Port=0 RD @00 + 6578.50ns INFO [00006580] * RD COMPARE * port=0 adr=04 act=5B5B6099139548DF74 exp=5B5B6099139548DF74 + 6579.50ns INFO [00006581] * RD COMPARE * port=0 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6580.50ns INFO [00006582] Port=0 RD @07 + 6580.50ns INFO [00006582] Port=1 RD @03 + 6582.50ns INFO [00006584] * RD COMPARE * port=0 adr=07 act=48DA1BDBF8C39078F6 exp=48DA1BDBF8C39078F6 + 6582.50ns INFO [00006584] * RD COMPARE * port=1 adr=03 act=8B4679F8895978043C exp=8B4679F8895978043C + 6582.50ns INFO [00006584] Port=0 WR @01=54029895C3FCAE0E5F + 6583.50ns INFO [00006585] Port=1 RD @03 + 6584.50ns INFO [00006586] Port=0 WR @05=0A34127976B2F98122 + 6585.50ns INFO [00006587] * RD COMPARE * port=1 adr=03 act=8B4679F8895978043C exp=8B4679F8895978043C + 6585.50ns INFO [00006587] Port=1 RD @03 + 6586.50ns INFO [00006588] Port=0 RD @01 + 6587.50ns INFO [00006589] * RD COMPARE * port=1 adr=03 act=8B4679F8895978043C exp=8B4679F8895978043C + 6587.50ns INFO [00006589] Port=0 RD @04 + 6588.50ns INFO [00006590] * RD COMPARE * port=0 adr=01 act=54029895C3FCAE0E5F exp=54029895C3FCAE0E5F + 6588.50ns INFO [00006590] Port=0 WR @02=B543521FB69CBA8E59 + 6589.50ns INFO [00006591] * RD COMPARE * port=0 adr=04 act=4629B801E407942B98 exp=4629B801E407942B98 + 6589.50ns INFO [00006591] Port=0 RD @01 + 6589.50ns INFO [00006591] Port=1 RD @06 + 6591.50ns INFO [00006593] * RD COMPARE * port=0 adr=01 act=54029895C3FCAE0E5F exp=54029895C3FCAE0E5F + 6591.50ns INFO [00006593] * RD COMPARE * port=1 adr=06 act=812972ADB555DB822A exp=812972ADB555DB822A + 6591.50ns INFO [00006593] Port=0 RD @04 + 6591.50ns INFO [00006593] Port=1 RD @04 + 6593.50ns INFO [00006595] * RD COMPARE * port=0 adr=04 act=4629B801E407942B98 exp=4629B801E407942B98 + 6593.50ns INFO [00006595] * RD COMPARE * port=1 adr=04 act=4629B801E407942B98 exp=4629B801E407942B98 + 6594.50ns INFO [00006596] Port=1 RD @05 + 6595.50ns INFO [00006597] Port=0 WR @07=565C55D5C35F7E9978 + 6596.50ns INFO [00006598] * RD COMPARE * port=1 adr=05 act=0A34127976B2F98122 exp=0A34127976B2F98122 + 6596.50ns INFO [00006598] Port=0 RD @04 + 6598.00ns INFO [00006600] [00006600] ...tick... + 6598.50ns INFO [00006600] * RD COMPARE * port=0 adr=04 act=4629B801E407942B98 exp=4629B801E407942B98 + 6600.50ns INFO [00006602] Port=1 RD @05 + 6602.50ns INFO [00006604] * RD COMPARE * port=1 adr=05 act=0A34127976B2F98122 exp=0A34127976B2F98122 + 6602.50ns INFO [00006604] Port=0 RD @04 + 6602.50ns INFO [00006604] Port=1 RD @05 + 6603.50ns INFO [00006605] Port=0 WR @02=D03486D558ADEE490A + 6604.50ns INFO [00006606] * RD COMPARE * port=0 adr=04 act=4629B801E407942B98 exp=4629B801E407942B98 + 6604.50ns INFO [00006606] * RD COMPARE * port=1 adr=05 act=0A34127976B2F98122 exp=0A34127976B2F98122 + 6604.50ns INFO [00006606] Port=1 RD @03 + 6605.50ns INFO [00006607] Port=0 RD @06 + 6606.50ns INFO [00006608] * RD COMPARE * port=1 adr=03 act=8B4679F8895978043C exp=8B4679F8895978043C + 6607.50ns INFO [00006609] * RD COMPARE * port=0 adr=06 act=812972ADB555DB822A exp=812972ADB555DB822A + 6607.50ns INFO [00006609] Port=0 RD @04 + 6609.50ns INFO [00006611] * RD COMPARE * port=0 adr=04 act=4629B801E407942B98 exp=4629B801E407942B98 + 6609.50ns INFO [00006611] Port=0 RD @01 + 6609.50ns INFO [00006611] Port=1 RD @06 + 6610.50ns INFO [00006612] Port=0 RD @06 + 6610.50ns INFO [00006612] Port=1 RD @07 + 6611.50ns INFO [00006613] * RD COMPARE * port=0 adr=01 act=54029895C3FCAE0E5F exp=54029895C3FCAE0E5F + 6611.50ns INFO [00006613] * RD COMPARE * port=1 adr=06 act=812972ADB555DB822A exp=812972ADB555DB822A + 6611.50ns INFO [00006613] Port=0 RD @07 + 6612.50ns INFO [00006614] * RD COMPARE * port=0 adr=06 act=812972ADB555DB822A exp=812972ADB555DB822A + 6612.50ns INFO [00006614] * RD COMPARE * port=1 adr=07 act=565C55D5C35F7E9978 exp=565C55D5C35F7E9978 + 6612.50ns INFO [00006614] Port=0 RD @06 + 6612.50ns INFO [00006614] Port=1 RD @06 + 6613.50ns INFO [00006615] * RD COMPARE * port=0 adr=07 act=565C55D5C35F7E9978 exp=565C55D5C35F7E9978 + 6613.50ns INFO [00006615] Port=0 WR @04=F5D775413441F49CF9 + 6614.50ns INFO [00006616] * RD COMPARE * port=0 adr=06 act=812972ADB555DB822A exp=812972ADB555DB822A + 6614.50ns INFO [00006616] * RD COMPARE * port=1 adr=06 act=812972ADB555DB822A exp=812972ADB555DB822A + 6614.50ns INFO [00006616] Port=0 WR @04=201F3E8D17E115EC84 + 6615.50ns INFO [00006617] Port=0 RD @03 + 6617.50ns INFO [00006619] * RD COMPARE * port=0 adr=03 act=8B4679F8895978043C exp=8B4679F8895978043C + 6617.50ns INFO [00006619] Port=0 RD @00 + 6617.50ns INFO [00006619] Port=1 RD @05 + 6618.50ns INFO [00006620] Port=0 WR @01=5E653ED1D8FF146A2C + 6618.50ns INFO [00006620] Port=1 RD @03 + 6619.50ns INFO [00006621] * RD COMPARE * port=0 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6619.50ns INFO [00006621] * RD COMPARE * port=1 adr=05 act=0A34127976B2F98122 exp=0A34127976B2F98122 + 6619.50ns INFO [00006621] Port=0 RD @04 + 6619.50ns INFO [00006621] Port=1 RD @02 + 6620.50ns INFO [00006622] * RD COMPARE * port=1 adr=03 act=8B4679F8895978043C exp=8B4679F8895978043C + 6620.50ns INFO [00006622] Port=1 RD @00 + 6621.50ns INFO [00006623] * RD COMPARE * port=0 adr=04 act=201F3E8D17E115EC84 exp=201F3E8D17E115EC84 + 6621.50ns INFO [00006623] * RD COMPARE * port=1 adr=02 act=D03486D558ADEE490A exp=D03486D558ADEE490A + 6621.50ns INFO [00006623] Port=1 RD @06 + 6622.50ns INFO [00006624] * RD COMPARE * port=1 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6623.50ns INFO [00006625] * RD COMPARE * port=1 adr=06 act=812972ADB555DB822A exp=812972ADB555DB822A + 6623.50ns INFO [00006625] Port=1 RD @06 + 6624.50ns INFO [00006626] Port=0 WR @07=36B49935F65966A590 + 6624.50ns INFO [00006626] Port=1 RD @01 + 6625.50ns INFO [00006627] * RD COMPARE * port=1 adr=06 act=812972ADB555DB822A exp=812972ADB555DB822A + 6625.50ns INFO [00006627] Port=0 RD @05 + 6626.50ns INFO [00006628] * RD COMPARE * port=1 adr=01 act=5E653ED1D8FF146A2C exp=5E653ED1D8FF146A2C + 6626.50ns INFO [00006628] Port=0 RD @00 + 6627.50ns INFO [00006629] * RD COMPARE * port=0 adr=05 act=0A34127976B2F98122 exp=0A34127976B2F98122 + 6627.50ns INFO [00006629] Port=0 RD @05 + 6628.50ns INFO [00006630] * RD COMPARE * port=0 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6628.50ns INFO [00006630] Port=0 RD @00 + 6628.50ns INFO [00006630] Port=1 RD @02 + 6629.50ns INFO [00006631] * RD COMPARE * port=0 adr=05 act=0A34127976B2F98122 exp=0A34127976B2F98122 + 6629.50ns INFO [00006631] Port=0 WR @05=8DD1743BC71D58D460 + 6629.50ns INFO [00006631] Port=1 RD @06 + 6630.50ns INFO [00006632] * RD COMPARE * port=0 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6630.50ns INFO [00006632] * RD COMPARE * port=1 adr=02 act=D03486D558ADEE490A exp=D03486D558ADEE490A + 6630.50ns INFO [00006632] Port=1 RD @02 + 6631.50ns INFO [00006633] * RD COMPARE * port=1 adr=06 act=812972ADB555DB822A exp=812972ADB555DB822A + 6632.50ns INFO [00006634] * RD COMPARE * port=1 adr=02 act=D03486D558ADEE490A exp=D03486D558ADEE490A + 6632.50ns INFO [00006634] Port=0 WR @07=9CF929CE10F0A660E1 + 6633.50ns INFO [00006635] Port=0 RD @03 + 6634.50ns INFO [00006636] Port=0 WR @03=DDDCBC9C4ADE0AEA0C + 6634.50ns INFO [00006636] Port=1 RD @00 + 6635.50ns INFO [00006637] * RD COMPARE * port=0 adr=03 act=8B4679F8895978043C exp=8B4679F8895978043C + 6635.50ns INFO [00006637] Port=0 WR @02=D7337C84A95D3A9D8E + 6635.50ns INFO [00006637] Port=0 RD @07 + 6636.50ns INFO [00006638] * RD COMPARE * port=1 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6636.50ns INFO [00006638] Port=0 RD @00 + 6637.50ns INFO [00006639] * RD COMPARE * port=0 adr=07 act=9CF929CE10F0A660E1 exp=9CF929CE10F0A660E1 + 6637.50ns INFO [00006639] Port=1 RD @03 + 6638.50ns INFO [00006640] * RD COMPARE * port=0 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6638.50ns INFO [00006640] Port=0 RD @04 + 6639.50ns INFO [00006641] * RD COMPARE * port=1 adr=03 act=DDDCBC9C4ADE0AEA0C exp=DDDCBC9C4ADE0AEA0C + 6639.50ns INFO [00006641] Port=0 WR @04=BE18F74A455425817B + 6640.50ns INFO [00006642] * RD COMPARE * port=0 adr=04 act=201F3E8D17E115EC84 exp=201F3E8D17E115EC84 + 6641.50ns INFO [00006643] Port=1 RD @01 + 6642.50ns INFO [00006644] Port=0 WR @06=07496D7391A3E4D148 + 6643.50ns INFO [00006645] * RD COMPARE * port=1 adr=01 act=5E653ED1D8FF146A2C exp=5E653ED1D8FF146A2C + 6643.50ns INFO [00006645] Port=0 WR @05=E3111F908ACCD492FC + 6643.50ns INFO [00006645] Port=0 RD @03 + 6643.50ns INFO [00006645] Port=1 RD @04 + 6645.50ns INFO [00006647] * RD COMPARE * port=0 adr=03 act=DDDCBC9C4ADE0AEA0C exp=DDDCBC9C4ADE0AEA0C + 6645.50ns INFO [00006647] * RD COMPARE * port=1 adr=04 act=BE18F74A455425817B exp=BE18F74A455425817B + 6645.50ns INFO [00006647] Port=0 WR @07=F20BDC2FF92436BB41 + 6646.50ns INFO [00006648] Port=0 RD @04 + 6647.50ns INFO [00006649] Port=0 WR @03=5438B115A211FCC7CC + 6648.50ns INFO [00006650] * RD COMPARE * port=0 adr=04 act=BE18F74A455425817B exp=BE18F74A455425817B + 6648.50ns INFO [00006650] Port=0 RD @00 + 6649.50ns INFO [00006651] Port=0 RD @05 + 6650.50ns INFO [00006652] * RD COMPARE * port=0 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6650.50ns INFO [00006652] Port=0 RD @06 + 6650.50ns INFO [00006652] Port=1 RD @04 + 6651.50ns INFO [00006653] * RD COMPARE * port=0 adr=05 act=E3111F908ACCD492FC exp=E3111F908ACCD492FC + 6651.50ns INFO [00006653] Port=0 WR @05=0B73B211F73211BC04 + 6651.50ns INFO [00006653] Port=0 RD @07 + 6652.50ns INFO [00006654] * RD COMPARE * port=0 adr=06 act=07496D7391A3E4D148 exp=07496D7391A3E4D148 + 6652.50ns INFO [00006654] * RD COMPARE * port=1 adr=04 act=BE18F74A455425817B exp=BE18F74A455425817B + 6653.50ns INFO [00006655] * RD COMPARE * port=0 adr=07 act=F20BDC2FF92436BB41 exp=F20BDC2FF92436BB41 + 6653.50ns INFO [00006655] Port=0 WR @06=1443B58D394F6ADFEB + 6656.50ns INFO [00006658] Port=1 RD @01 + 6657.50ns INFO [00006659] Port=0 RD @04 + 6657.50ns INFO [00006659] Port=1 RD @06 + 6658.50ns INFO [00006660] * RD COMPARE * port=1 adr=01 act=5E653ED1D8FF146A2C exp=5E653ED1D8FF146A2C + 6658.50ns INFO [00006660] Port=0 RD @02 + 6659.50ns INFO [00006661] * RD COMPARE * port=0 adr=04 act=BE18F74A455425817B exp=BE18F74A455425817B + 6659.50ns INFO [00006661] * RD COMPARE * port=1 adr=06 act=1443B58D394F6ADFEB exp=1443B58D394F6ADFEB + 6659.50ns INFO [00006661] Port=0 WR @01=74797A88A53F938518 + 6659.50ns INFO [00006661] Port=0 RD @02 + 6660.50ns INFO [00006662] * RD COMPARE * port=0 adr=02 act=D7337C84A95D3A9D8E exp=D7337C84A95D3A9D8E + 6660.50ns INFO [00006662] Port=1 RD @01 + 6661.50ns INFO [00006663] * RD COMPARE * port=0 adr=02 act=D7337C84A95D3A9D8E exp=D7337C84A95D3A9D8E + 6661.50ns INFO [00006663] Port=0 WR @04=1A9A0D07BF22966668 + 6661.50ns INFO [00006663] Port=0 RD @03 + 6662.50ns INFO [00006664] * RD COMPARE * port=1 adr=01 act=74797A88A53F938518 exp=74797A88A53F938518 + 6662.50ns INFO [00006664] Port=1 RD @03 + 6663.50ns INFO [00006665] * RD COMPARE * port=0 adr=03 act=5438B115A211FCC7CC exp=5438B115A211FCC7CC + 6663.50ns INFO [00006665] Port=0 WR @06=6E08F6E89F3AA92720 + 6663.50ns INFO [00006665] Port=0 RD @02 + 6664.50ns INFO [00006666] * RD COMPARE * port=1 adr=03 act=5438B115A211FCC7CC exp=5438B115A211FCC7CC + 6664.50ns INFO [00006666] Port=0 WR @01=D05F99C16F01F486C7 + 6664.50ns INFO [00006666] Port=0 RD @00 + 6664.50ns INFO [00006666] Port=1 RD @00 + 6665.50ns INFO [00006667] * RD COMPARE * port=0 adr=02 act=D7337C84A95D3A9D8E exp=D7337C84A95D3A9D8E + 6665.50ns INFO [00006667] Port=1 RD @07 + 6666.50ns INFO [00006668] * RD COMPARE * port=0 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6666.50ns INFO [00006668] * RD COMPARE * port=1 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6666.50ns INFO [00006668] Port=0 WR @03=7E80C5258D07484581 + 6667.50ns INFO [00006669] * RD COMPARE * port=1 adr=07 act=F20BDC2FF92436BB41 exp=F20BDC2FF92436BB41 + 6667.50ns INFO [00006669] Port=1 RD @02 + 6668.50ns INFO [00006670] Port=0 RD @01 + 6669.50ns INFO [00006671] * RD COMPARE * port=1 adr=02 act=D7337C84A95D3A9D8E exp=D7337C84A95D3A9D8E + 6669.50ns INFO [00006671] Port=0 WR @01=E1CC20AA6169D6D0FA + 6669.50ns INFO [00006671] Port=1 RD @00 + 6670.50ns INFO [00006672] * RD COMPARE * port=0 adr=01 act=D05F99C16F01F486C7 exp=D05F99C16F01F486C7 + 6670.50ns INFO [00006672] Port=1 RD @04 + 6671.50ns INFO [00006673] * RD COMPARE * port=1 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6671.50ns INFO [00006673] Port=0 RD @07 + 6672.50ns INFO [00006674] * RD COMPARE * port=1 adr=04 act=1A9A0D07BF22966668 exp=1A9A0D07BF22966668 + 6672.50ns INFO [00006674] Port=0 WR @03=831943C812C9D6FD10 + 6672.50ns INFO [00006674] Port=0 RD @06 + 6673.50ns INFO [00006675] * RD COMPARE * port=0 adr=07 act=F20BDC2FF92436BB41 exp=F20BDC2FF92436BB41 + 6673.50ns INFO [00006675] Port=1 RD @00 + 6674.50ns INFO [00006676] * RD COMPARE * port=0 adr=06 act=6E08F6E89F3AA92720 exp=6E08F6E89F3AA92720 + 6674.50ns INFO [00006676] Port=1 RD @06 + 6675.50ns INFO [00006677] * RD COMPARE * port=1 adr=00 act=F082D8583C7C7F18EC exp=F082D8583C7C7F18EC + 6675.50ns INFO [00006677] Port=1 RD @04 + 6676.50ns INFO [00006678] * RD COMPARE * port=1 adr=06 act=6E08F6E89F3AA92720 exp=6E08F6E89F3AA92720 + 6676.50ns INFO [00006678] Port=0 WR @00=B9171374CF825FEE71 + 6677.50ns INFO [00006679] * RD COMPARE * port=1 adr=04 act=1A9A0D07BF22966668 exp=1A9A0D07BF22966668 + 6677.50ns INFO [00006679] Port=0 RD @06 + 6677.50ns INFO [00006679] Port=1 RD @07 + 6678.50ns INFO [00006680] Port=0 WR @04=CC956C673526C2ECF2 + 6679.50ns INFO [00006681] * RD COMPARE * port=0 adr=06 act=6E08F6E89F3AA92720 exp=6E08F6E89F3AA92720 + 6679.50ns INFO [00006681] * RD COMPARE * port=1 adr=07 act=F20BDC2FF92436BB41 exp=F20BDC2FF92436BB41 + 6679.50ns INFO [00006681] Port=0 RD @07 + 6681.50ns INFO [00006683] * RD COMPARE * port=0 adr=07 act=F20BDC2FF92436BB41 exp=F20BDC2FF92436BB41 + 6681.50ns INFO [00006683] Port=1 RD @01 + 6682.50ns INFO [00006684] Port=1 RD @04 + 6683.50ns INFO [00006685] * RD COMPARE * port=1 adr=01 act=E1CC20AA6169D6D0FA exp=E1CC20AA6169D6D0FA + 6683.50ns INFO [00006685] Port=1 RD @02 + 6684.50ns INFO [00006686] * RD COMPARE * port=1 adr=04 act=CC956C673526C2ECF2 exp=CC956C673526C2ECF2 + 6684.50ns INFO [00006686] Port=0 WR @05=9CD8D8FD4ED5DDE15A + 6685.50ns INFO [00006687] * RD COMPARE * port=1 adr=02 act=D7337C84A95D3A9D8E exp=D7337C84A95D3A9D8E + 6685.50ns INFO [00006687] Port=0 RD @03 + 6686.50ns INFO [00006688] Port=0 WR @04=3C8CE8B2E947C798DF + 6687.50ns INFO [00006689] * RD COMPARE * port=0 adr=03 act=831943C812C9D6FD10 exp=831943C812C9D6FD10 + 6687.50ns INFO [00006689] Port=0 RD @07 + 6688.50ns INFO [00006690] Port=1 RD @01 + 6689.50ns INFO [00006691] * RD COMPARE * port=0 adr=07 act=F20BDC2FF92436BB41 exp=F20BDC2FF92436BB41 + 6690.50ns INFO [00006692] * RD COMPARE * port=1 adr=01 act=E1CC20AA6169D6D0FA exp=E1CC20AA6169D6D0FA + 6691.50ns INFO [00006693] Port=0 RD @02 + 6692.50ns INFO [00006694] Port=0 WR @05=26752C2DDE3DEA9F8B + 6692.50ns INFO [00006694] Port=0 RD @00 + 6692.50ns INFO [00006694] Port=1 RD @04 + 6693.50ns INFO [00006695] * RD COMPARE * port=0 adr=02 act=D7337C84A95D3A9D8E exp=D7337C84A95D3A9D8E + 6693.50ns INFO [00006695] Port=0 RD @00 + 6694.50ns INFO [00006696] * RD COMPARE * port=0 adr=00 act=B9171374CF825FEE71 exp=B9171374CF825FEE71 + 6694.50ns INFO [00006696] * RD COMPARE * port=1 adr=04 act=3C8CE8B2E947C798DF exp=3C8CE8B2E947C798DF + 6694.50ns INFO [00006696] Port=0 RD @00 + 6695.50ns INFO [00006697] * RD COMPARE * port=0 adr=00 act=B9171374CF825FEE71 exp=B9171374CF825FEE71 + 6695.50ns INFO [00006697] Port=0 WR @02=0395A3B212F8AE4D4F + 6695.50ns INFO [00006697] Port=0 RD @06 + 6695.50ns INFO [00006697] Port=1 RD @07 + 6696.50ns INFO [00006698] * RD COMPARE * port=0 adr=00 act=B9171374CF825FEE71 exp=B9171374CF825FEE71 + 6697.50ns INFO [00006699] * RD COMPARE * port=0 adr=06 act=6E08F6E89F3AA92720 exp=6E08F6E89F3AA92720 + 6697.50ns INFO [00006699] * RD COMPARE * port=1 adr=07 act=F20BDC2FF92436BB41 exp=F20BDC2FF92436BB41 + 6697.50ns INFO [00006699] Port=0 WR @03=4604C4C6FEAB41309F + 6697.50ns INFO [00006699] Port=0 RD @02 + 6698.00ns INFO [00006700] [00006700] ...tick... + 6698.50ns INFO [00006700] Port=0 WR @06=ECFB1DA4B9588D7A01 + 6699.50ns INFO [00006701] * RD COMPARE * port=0 adr=02 act=0395A3B212F8AE4D4F exp=0395A3B212F8AE4D4F + 6699.50ns INFO [00006701] Port=1 RD @07 + 6700.50ns INFO [00006702] Port=1 RD @06 + 6701.50ns INFO [00006703] * RD COMPARE * port=1 adr=07 act=F20BDC2FF92436BB41 exp=F20BDC2FF92436BB41 + 6702.50ns INFO [00006704] * RD COMPARE * port=1 adr=06 act=ECFB1DA4B9588D7A01 exp=ECFB1DA4B9588D7A01 + 6702.50ns INFO [00006704] Port=0 WR @02=74896E7288BA9B17C6 + 6702.50ns INFO [00006704] Port=1 RD @06 + 6703.50ns INFO [00006705] Port=0 WR @02=DDB73861416A971CEA + 6703.50ns INFO [00006705] Port=0 RD @01 + 6704.50ns INFO [00006706] * RD COMPARE * port=1 adr=06 act=ECFB1DA4B9588D7A01 exp=ECFB1DA4B9588D7A01 + 6704.50ns INFO [00006706] Port=0 RD @00 + 6705.50ns INFO [00006707] * RD COMPARE * port=0 adr=01 act=E1CC20AA6169D6D0FA exp=E1CC20AA6169D6D0FA + 6705.50ns INFO [00006707] Port=0 RD @01 + 6706.50ns INFO [00006708] * RD COMPARE * port=0 adr=00 act=B9171374CF825FEE71 exp=B9171374CF825FEE71 + 6706.50ns INFO [00006708] Port=1 RD @06 + 6707.50ns INFO [00006709] * RD COMPARE * port=0 adr=01 act=E1CC20AA6169D6D0FA exp=E1CC20AA6169D6D0FA + 6707.50ns INFO [00006709] Port=1 RD @03 + 6708.50ns INFO [00006710] * RD COMPARE * port=1 adr=06 act=ECFB1DA4B9588D7A01 exp=ECFB1DA4B9588D7A01 + 6708.50ns INFO [00006710] Port=1 RD @04 + 6709.50ns INFO [00006711] * RD COMPARE * port=1 adr=03 act=4604C4C6FEAB41309F exp=4604C4C6FEAB41309F + 6710.50ns INFO [00006712] * RD COMPARE * port=1 adr=04 act=3C8CE8B2E947C798DF exp=3C8CE8B2E947C798DF + 6710.50ns INFO [00006712] Port=0 WR @02=3DE12C0B078D294CA0 + 6710.50ns INFO [00006712] Port=0 RD @03 + 6711.50ns INFO [00006713] Port=0 WR @07=11198447973D52A12C + 6711.50ns INFO [00006713] Port=1 RD @04 + 6712.50ns INFO [00006714] * RD COMPARE * port=0 adr=03 act=4604C4C6FEAB41309F exp=4604C4C6FEAB41309F + 6712.50ns INFO [00006714] Port=0 WR @00=65DC4BC41BEC9061ED + 6713.50ns INFO [00006715] * RD COMPARE * port=1 adr=04 act=3C8CE8B2E947C798DF exp=3C8CE8B2E947C798DF + 6715.50ns INFO [00006717] Port=0 WR @02=6682229C3766E4C962 + 6716.50ns INFO [00006718] Port=0 WR @05=17B5D322E4F4B5B9D0 + 6716.50ns INFO [00006718] Port=0 RD @01 + 6717.50ns INFO [00006719] Port=0 RD @07 + 6718.50ns INFO [00006720] * RD COMPARE * port=0 adr=01 act=E1CC20AA6169D6D0FA exp=E1CC20AA6169D6D0FA + 6718.50ns INFO [00006720] Port=0 RD @00 + 6718.50ns INFO [00006720] Port=1 RD @03 + 6719.50ns INFO [00006721] * RD COMPARE * port=0 adr=07 act=11198447973D52A12C exp=11198447973D52A12C + 6720.50ns INFO [00006722] * RD COMPARE * port=0 adr=00 act=65DC4BC41BEC9061ED exp=65DC4BC41BEC9061ED + 6720.50ns INFO [00006722] * RD COMPARE * port=1 adr=03 act=4604C4C6FEAB41309F exp=4604C4C6FEAB41309F + 6720.50ns INFO [00006722] Port=1 RD @03 + 6721.50ns INFO [00006723] Port=0 WR @07=1503F401174FFE0503 + 6721.50ns INFO [00006723] Port=1 RD @01 + 6722.50ns INFO [00006724] * RD COMPARE * port=1 adr=03 act=4604C4C6FEAB41309F exp=4604C4C6FEAB41309F + 6722.50ns INFO [00006724] Port=0 RD @03 + 6723.50ns INFO [00006725] * RD COMPARE * port=1 adr=01 act=E1CC20AA6169D6D0FA exp=E1CC20AA6169D6D0FA + 6723.50ns INFO [00006725] Port=0 RD @07 + 6723.50ns INFO [00006725] Port=1 RD @06 + 6724.50ns INFO [00006726] * RD COMPARE * port=0 adr=03 act=4604C4C6FEAB41309F exp=4604C4C6FEAB41309F + 6724.50ns INFO [00006726] Port=1 RD @07 + 6725.50ns INFO [00006727] * RD COMPARE * port=0 adr=07 act=1503F401174FFE0503 exp=1503F401174FFE0503 + 6725.50ns INFO [00006727] * RD COMPARE * port=1 adr=06 act=ECFB1DA4B9588D7A01 exp=ECFB1DA4B9588D7A01 + 6725.50ns INFO [00006727] Port=0 RD @05 + 6726.50ns INFO [00006728] * RD COMPARE * port=1 adr=07 act=1503F401174FFE0503 exp=1503F401174FFE0503 + 6727.50ns INFO [00006729] * RD COMPARE * port=0 adr=05 act=17B5D322E4F4B5B9D0 exp=17B5D322E4F4B5B9D0 + 6727.50ns INFO [00006729] Port=0 WR @01=40C524D9B28475F481 + 6728.50ns INFO [00006730] Port=0 WR @07=9B20FEAD64893FDED9 + 6728.50ns INFO [00006730] Port=1 RD @00 + 6729.50ns INFO [00006731] Port=0 WR @02=B1B5771A45E49B1177 + 6729.50ns INFO [00006731] Port=0 RD @06 + 6729.50ns INFO [00006731] Port=1 RD @01 + 6730.50ns INFO [00006732] * RD COMPARE * port=1 adr=00 act=65DC4BC41BEC9061ED exp=65DC4BC41BEC9061ED + 6730.50ns INFO [00006732] Port=0 RD @01 + 6731.50ns INFO [00006733] * RD COMPARE * port=0 adr=06 act=ECFB1DA4B9588D7A01 exp=ECFB1DA4B9588D7A01 + 6731.50ns INFO [00006733] * RD COMPARE * port=1 adr=01 act=40C524D9B28475F481 exp=40C524D9B28475F481 + 6731.50ns INFO [00006733] Port=0 WR @04=F999E23DF0AC53B7EB + 6732.50ns INFO [00006734] * RD COMPARE * port=0 adr=01 act=40C524D9B28475F481 exp=40C524D9B28475F481 + 6732.50ns INFO [00006734] Port=1 RD @03 + 6733.50ns INFO [00006735] Port=1 RD @02 + 6734.50ns INFO [00006736] * RD COMPARE * port=1 adr=03 act=4604C4C6FEAB41309F exp=4604C4C6FEAB41309F + 6734.50ns INFO [00006736] Port=0 RD @02 + 6735.50ns INFO [00006737] * RD COMPARE * port=1 adr=02 act=B1B5771A45E49B1177 exp=B1B5771A45E49B1177 + 6735.50ns INFO [00006737] Port=0 RD @07 + 6736.50ns INFO [00006738] * RD COMPARE * port=0 adr=02 act=B1B5771A45E49B1177 exp=B1B5771A45E49B1177 + 6736.50ns INFO [00006738] Port=1 RD @04 + 6737.50ns INFO [00006739] * RD COMPARE * port=0 adr=07 act=9B20FEAD64893FDED9 exp=9B20FEAD64893FDED9 + 6737.50ns INFO [00006739] Port=0 RD @02 + 6738.50ns INFO [00006740] * RD COMPARE * port=1 adr=04 act=F999E23DF0AC53B7EB exp=F999E23DF0AC53B7EB + 6738.50ns INFO [00006740] Port=0 WR @02=5FA454243481B79204 + 6738.50ns INFO [00006740] Port=0 RD @03 + 6738.50ns INFO [00006740] Port=1 RD @00 + 6739.50ns INFO [00006741] * RD COMPARE * port=0 adr=02 act=B1B5771A45E49B1177 exp=B1B5771A45E49B1177 + 6740.50ns INFO [00006742] * RD COMPARE * port=0 adr=03 act=4604C4C6FEAB41309F exp=4604C4C6FEAB41309F + 6740.50ns INFO [00006742] * RD COMPARE * port=1 adr=00 act=65DC4BC41BEC9061ED exp=65DC4BC41BEC9061ED + 6741.50ns INFO [00006743] Port=0 WR @05=9EE5041725BC0E6005 + 6741.50ns INFO [00006743] Port=0 RD @06 + 6741.50ns INFO [00006743] Port=1 RD @01 + 6743.50ns INFO [00006745] * RD COMPARE * port=0 adr=06 act=ECFB1DA4B9588D7A01 exp=ECFB1DA4B9588D7A01 + 6743.50ns INFO [00006745] * RD COMPARE * port=1 adr=01 act=40C524D9B28475F481 exp=40C524D9B28475F481 + 6743.50ns INFO [00006745] Port=0 RD @00 + 6743.50ns INFO [00006745] Port=1 RD @05 + 6744.50ns INFO [00006746] Port=1 RD @05 + 6745.50ns INFO [00006747] * RD COMPARE * port=0 adr=00 act=65DC4BC41BEC9061ED exp=65DC4BC41BEC9061ED + 6745.50ns INFO [00006747] * RD COMPARE * port=1 adr=05 act=9EE5041725BC0E6005 exp=9EE5041725BC0E6005 + 6745.50ns INFO [00006747] Port=0 WR @01=6BACA8F1CB25782A46 + 6745.50ns INFO [00006747] Port=0 RD @07 + 6746.50ns INFO [00006748] * RD COMPARE * port=1 adr=05 act=9EE5041725BC0E6005 exp=9EE5041725BC0E6005 + 6746.50ns INFO [00006748] Port=0 WR @05=B5869E02B541C0A797 + 6747.50ns INFO [00006749] * RD COMPARE * port=0 adr=07 act=9B20FEAD64893FDED9 exp=9B20FEAD64893FDED9 + 6747.50ns INFO [00006749] Port=0 WR @05=08EFE8D123ED142C06 + 6748.50ns INFO [00006750] Port=0 RD @02 + 6749.50ns INFO [00006751] Port=0 WR @07=D8FFB89EE8BC0B0410 + 6750.50ns INFO [00006752] * RD COMPARE * port=0 adr=02 act=5FA454243481B79204 exp=5FA454243481B79204 + 6750.50ns INFO [00006752] Port=1 RD @05 + 6752.50ns INFO [00006754] * RD COMPARE * port=1 adr=05 act=08EFE8D123ED142C06 exp=08EFE8D123ED142C06 + 6752.50ns INFO [00006754] Port=0 WR @07=3B905E93BE8C1DCC97 + 6752.50ns INFO [00006754] Port=1 RD @05 + 6753.50ns INFO [00006755] Port=0 WR @01=B8EC28F05D13F0394A + 6753.50ns INFO [00006755] Port=0 RD @05 + 6753.50ns INFO [00006755] Port=1 RD @04 + 6754.50ns INFO [00006756] * RD COMPARE * port=1 adr=05 act=08EFE8D123ED142C06 exp=08EFE8D123ED142C06 + 6754.50ns INFO [00006756] Port=0 RD @00 + 6754.50ns INFO [00006756] Port=1 RD @03 + 6755.50ns INFO [00006757] * RD COMPARE * port=0 adr=05 act=08EFE8D123ED142C06 exp=08EFE8D123ED142C06 + 6755.50ns INFO [00006757] * RD COMPARE * port=1 adr=04 act=F999E23DF0AC53B7EB exp=F999E23DF0AC53B7EB + 6755.50ns INFO [00006757] Port=1 RD @02 + 6756.50ns INFO [00006758] * RD COMPARE * port=0 adr=00 act=65DC4BC41BEC9061ED exp=65DC4BC41BEC9061ED + 6756.50ns INFO [00006758] * RD COMPARE * port=1 adr=03 act=4604C4C6FEAB41309F exp=4604C4C6FEAB41309F + 6756.50ns INFO [00006758] Port=0 WR @01=097538EA61B5771097 + 6756.50ns INFO [00006758] Port=0 RD @06 + 6757.50ns INFO [00006759] * RD COMPARE * port=1 adr=02 act=5FA454243481B79204 exp=5FA454243481B79204 + 6757.50ns INFO [00006759] Port=1 RD @06 + 6758.50ns INFO [00006760] * RD COMPARE * port=0 adr=06 act=ECFB1DA4B9588D7A01 exp=ECFB1DA4B9588D7A01 + 6758.50ns INFO [00006760] Port=0 WR @01=C76A0DA62BE2979AA5 + 6758.50ns INFO [00006760] Port=0 RD @00 + 6758.50ns INFO [00006760] Port=1 RD @00 + 6759.50ns INFO [00006761] * RD COMPARE * port=1 adr=06 act=ECFB1DA4B9588D7A01 exp=ECFB1DA4B9588D7A01 + 6760.50ns INFO [00006762] * RD COMPARE * port=0 adr=00 act=65DC4BC41BEC9061ED exp=65DC4BC41BEC9061ED + 6760.50ns INFO [00006762] * RD COMPARE * port=1 adr=00 act=65DC4BC41BEC9061ED exp=65DC4BC41BEC9061ED + 6760.50ns INFO [00006762] Port=1 RD @02 + 6761.50ns INFO [00006763] Port=0 WR @05=D6E92289CADF5339D8 + 6761.50ns INFO [00006763] Port=1 RD @01 + 6762.50ns INFO [00006764] * RD COMPARE * port=1 adr=02 act=5FA454243481B79204 exp=5FA454243481B79204 + 6762.50ns INFO [00006764] Port=0 WR @05=8EB8480903E99F8217 + 6762.50ns INFO [00006764] Port=1 RD @01 + 6763.50ns INFO [00006765] * RD COMPARE * port=1 adr=01 act=C76A0DA62BE2979AA5 exp=C76A0DA62BE2979AA5 + 6763.50ns INFO [00006765] Port=1 RD @03 + 6764.50ns INFO [00006766] * RD COMPARE * port=1 adr=01 act=C76A0DA62BE2979AA5 exp=C76A0DA62BE2979AA5 + 6764.50ns INFO [00006766] Port=0 WR @03=1F4426D1A3B55618A6 + 6764.50ns INFO [00006766] Port=1 RD @06 + 6765.50ns INFO [00006767] * RD COMPARE * port=1 adr=03 act=4604C4C6FEAB41309F exp=4604C4C6FEAB41309F + 6765.50ns INFO [00006767] Port=0 WR @03=C153ECEA4AF053B300 + 6766.50ns INFO [00006768] * RD COMPARE * port=1 adr=06 act=ECFB1DA4B9588D7A01 exp=ECFB1DA4B9588D7A01 + 6766.50ns INFO [00006768] Port=0 WR @05=9BE3F3E1EB34F52FE3 + 6767.50ns INFO [00006769] Port=0 WR @04=CDC21B8FABDFF09978 + 6768.50ns INFO [00006770] Port=0 WR @03=A52347B8ACE8B9BAF9 + 6768.50ns INFO [00006770] Port=1 RD @02 + 6769.50ns INFO [00006771] Port=0 WR @06=D8FEA286F4FDC31971 + 6769.50ns INFO [00006771] Port=1 RD @05 + 6770.50ns INFO [00006772] * RD COMPARE * port=1 adr=02 act=5FA454243481B79204 exp=5FA454243481B79204 + 6771.50ns INFO [00006773] * RD COMPARE * port=1 adr=05 act=9BE3F3E1EB34F52FE3 exp=9BE3F3E1EB34F52FE3 + 6771.50ns INFO [00006773] Port=0 WR @00=860A978286149A220F + 6771.50ns INFO [00006773] Port=0 RD @07 + 6772.50ns INFO [00006774] Port=0 WR @03=BDDC9AFAF32B942EA8 + 6772.50ns INFO [00006774] Port=1 RD @05 + 6773.50ns INFO [00006775] * RD COMPARE * port=0 adr=07 act=3B905E93BE8C1DCC97 exp=3B905E93BE8C1DCC97 + 6773.50ns INFO [00006775] Port=1 RD @07 + 6774.50ns INFO [00006776] * RD COMPARE * port=1 adr=05 act=9BE3F3E1EB34F52FE3 exp=9BE3F3E1EB34F52FE3 + 6774.50ns INFO [00006776] Port=0 RD @05 + 6775.50ns INFO [00006777] * RD COMPARE * port=1 adr=07 act=3B905E93BE8C1DCC97 exp=3B905E93BE8C1DCC97 + 6776.50ns INFO [00006778] * RD COMPARE * port=0 adr=05 act=9BE3F3E1EB34F52FE3 exp=9BE3F3E1EB34F52FE3 + 6776.50ns INFO [00006778] Port=0 WR @07=5DA8CB6BAA07CA2296 + 6777.50ns INFO [00006779] Port=1 RD @05 + 6778.50ns INFO [00006780] Port=0 WR @01=0350CEEC3E57D270CE + 6779.50ns INFO [00006781] * RD COMPARE * port=1 adr=05 act=9BE3F3E1EB34F52FE3 exp=9BE3F3E1EB34F52FE3 + 6779.50ns INFO [00006781] Port=0 WR @03=903DB9AB1E49372786 + 6780.50ns INFO [00006782] Port=0 RD @04 + 6782.50ns INFO [00006784] * RD COMPARE * port=0 adr=04 act=CDC21B8FABDFF09978 exp=CDC21B8FABDFF09978 + 6782.50ns INFO [00006784] Port=0 WR @04=03E364822DD60BB522 + 6785.50ns INFO [00006787] Port=0 RD @06 + 6786.50ns INFO [00006788] Port=0 WR @01=8C313CA6882EAF21ED + 6786.50ns INFO [00006788] Port=1 RD @06 + 6787.50ns INFO [00006789] * RD COMPARE * port=0 adr=06 act=D8FEA286F4FDC31971 exp=D8FEA286F4FDC31971 + 6787.50ns INFO [00006789] Port=0 WR @07=7A61CDF8E3DAB32EF5 + 6787.50ns INFO [00006789] Port=0 RD @02 + 6787.50ns INFO [00006789] Port=1 RD @02 + 6788.50ns INFO [00006790] * RD COMPARE * port=1 adr=06 act=D8FEA286F4FDC31971 exp=D8FEA286F4FDC31971 + 6788.50ns INFO [00006790] Port=0 RD @00 + 6789.50ns INFO [00006791] * RD COMPARE * port=0 adr=02 act=5FA454243481B79204 exp=5FA454243481B79204 + 6789.50ns INFO [00006791] * RD COMPARE * port=1 adr=02 act=5FA454243481B79204 exp=5FA454243481B79204 + 6790.50ns INFO [00006792] * RD COMPARE * port=0 adr=00 act=860A978286149A220F exp=860A978286149A220F + 6790.50ns INFO [00006792] Port=1 RD @01 + 6791.50ns INFO [00006793] Port=0 WR @04=92380C81E6E00BDCF8 + 6792.50ns INFO [00006794] * RD COMPARE * port=1 adr=01 act=8C313CA6882EAF21ED exp=8C313CA6882EAF21ED + 6793.50ns INFO [00006795] Port=0 WR @02=AFA638E246CB1FB582 + 6793.50ns INFO [00006795] Port=0 RD @07 + 6795.50ns INFO [00006797] * RD COMPARE * port=0 adr=07 act=7A61CDF8E3DAB32EF5 exp=7A61CDF8E3DAB32EF5 + 6795.50ns INFO [00006797] Port=0 WR @02=E15D73BD087491539A + 6795.50ns INFO [00006797] Port=1 RD @00 + 6796.50ns INFO [00006798] Port=1 RD @06 + 6797.50ns INFO [00006799] * RD COMPARE * port=1 adr=00 act=860A978286149A220F exp=860A978286149A220F + 6798.00ns INFO [00006800] [00006800] ...tick... + 6798.50ns INFO [00006800] * RD COMPARE * port=1 adr=06 act=D8FEA286F4FDC31971 exp=D8FEA286F4FDC31971 + 6799.50ns INFO [00006801] Port=0 RD @02 + 6800.50ns INFO [00006802] Port=1 RD @07 + 6801.50ns INFO [00006803] * RD COMPARE * port=0 adr=02 act=E15D73BD087491539A exp=E15D73BD087491539A + 6802.50ns INFO [00006804] * RD COMPARE * port=1 adr=07 act=7A61CDF8E3DAB32EF5 exp=7A61CDF8E3DAB32EF5 + 6803.50ns INFO [00006805] Port=0 WR @04=1FE3E59F28ED29049C + 6804.50ns INFO [00006806] Port=0 WR @06=F3F7E71772AD3286B6 + 6804.50ns INFO [00006806] Port=1 RD @05 + 6805.50ns INFO [00006807] Port=0 WR @02=1054AFF6BD47C284B3 + 6805.50ns INFO [00006807] Port=0 RD @03 + 6805.50ns INFO [00006807] Port=1 RD @03 + 6806.50ns INFO [00006808] * RD COMPARE * port=1 adr=05 act=9BE3F3E1EB34F52FE3 exp=9BE3F3E1EB34F52FE3 + 6806.50ns INFO [00006808] Port=1 RD @07 + 6807.50ns INFO [00006809] * RD COMPARE * port=0 adr=03 act=903DB9AB1E49372786 exp=903DB9AB1E49372786 + 6807.50ns INFO [00006809] * RD COMPARE * port=1 adr=03 act=903DB9AB1E49372786 exp=903DB9AB1E49372786 + 6807.50ns INFO [00006809] Port=0 WR @05=BB3E03297582EA57E2 + 6807.50ns INFO [00006809] Port=0 RD @06 + 6808.50ns INFO [00006810] * RD COMPARE * port=1 adr=07 act=7A61CDF8E3DAB32EF5 exp=7A61CDF8E3DAB32EF5 + 6809.50ns INFO [00006811] * RD COMPARE * port=0 adr=06 act=F3F7E71772AD3286B6 exp=F3F7E71772AD3286B6 + 6809.50ns INFO [00006811] Port=0 WR @07=372522C173B956ADC5 + 6812.50ns INFO [00006814] Port=0 WR @07=5B5634D176483523D2 + 6812.50ns INFO [00006814] Port=0 RD @05 + 6813.50ns INFO [00006815] Port=0 WR @04=67FF84EFB7CD4B2D9E + 6813.50ns INFO [00006815] Port=0 RD @02 + 6814.50ns INFO [00006816] * RD COMPARE * port=0 adr=05 act=BB3E03297582EA57E2 exp=BB3E03297582EA57E2 + 6814.50ns INFO [00006816] Port=0 WR @05=89EC05843E6DDA7889 + 6814.50ns INFO [00006816] Port=0 RD @02 + 6815.50ns INFO [00006817] * RD COMPARE * port=0 adr=02 act=1054AFF6BD47C284B3 exp=1054AFF6BD47C284B3 + 6815.50ns INFO [00006817] Port=0 RD @04 + 6816.50ns INFO [00006818] * RD COMPARE * port=0 adr=02 act=1054AFF6BD47C284B3 exp=1054AFF6BD47C284B3 + 6816.50ns INFO [00006818] Port=0 WR @00=3B86BAF68361AD73C3 + 6817.50ns INFO [00006819] * RD COMPARE * port=0 adr=04 act=67FF84EFB7CD4B2D9E exp=67FF84EFB7CD4B2D9E + 6818.50ns INFO [00006820] Port=0 RD @00 + 6820.50ns INFO [00006822] * RD COMPARE * port=0 adr=00 act=3B86BAF68361AD73C3 exp=3B86BAF68361AD73C3 + 6820.50ns INFO [00006822] Port=0 RD @03 + 6821.50ns INFO [00006823] Port=0 WR @06=65B7EC1AB036A62FAC + 6821.50ns INFO [00006823] Port=0 RD @03 + 6821.50ns INFO [00006823] Port=1 RD @02 + 6822.50ns INFO [00006824] * RD COMPARE * port=0 adr=03 act=903DB9AB1E49372786 exp=903DB9AB1E49372786 + 6822.50ns INFO [00006824] Port=0 WR @05=CB2E86D31A79892F40 + 6823.50ns INFO [00006825] * RD COMPARE * port=0 adr=03 act=903DB9AB1E49372786 exp=903DB9AB1E49372786 + 6823.50ns INFO [00006825] * RD COMPARE * port=1 adr=02 act=1054AFF6BD47C284B3 exp=1054AFF6BD47C284B3 + 6823.50ns INFO [00006825] Port=0 WR @03=29E46FA7D7CE9FA9E6 + 6823.50ns INFO [00006825] Port=0 RD @01 + 6824.50ns INFO [00006826] Port=0 WR @01=D836F6174D201D8648 + 6824.50ns INFO [00006826] Port=1 RD @04 + 6825.50ns INFO [00006827] * RD COMPARE * port=0 adr=01 act=8C313CA6882EAF21ED exp=8C313CA6882EAF21ED + 6825.50ns INFO [00006827] Port=1 RD @03 + 6826.50ns INFO [00006828] * RD COMPARE * port=1 adr=04 act=67FF84EFB7CD4B2D9E exp=67FF84EFB7CD4B2D9E + 6826.50ns INFO [00006828] Port=0 WR @02=E64BE048C49FD4F649 + 6827.50ns INFO [00006829] * RD COMPARE * port=1 adr=03 act=29E46FA7D7CE9FA9E6 exp=29E46FA7D7CE9FA9E6 + 6827.50ns INFO [00006829] Port=0 RD @05 + 6827.50ns INFO [00006829] Port=1 RD @01 + 6828.50ns INFO [00006830] Port=0 WR @06=6289C7B81161D7F51A + 6829.50ns INFO [00006831] * RD COMPARE * port=0 adr=05 act=CB2E86D31A79892F40 exp=CB2E86D31A79892F40 + 6829.50ns INFO [00006831] * RD COMPARE * port=1 adr=01 act=D836F6174D201D8648 exp=D836F6174D201D8648 + 6829.50ns INFO [00006831] Port=1 RD @02 + 6830.50ns INFO [00006832] Port=0 WR @02=A09A7F0E1AC413DF25 + 6830.50ns INFO [00006832] Port=0 RD @00 + 6830.50ns INFO [00006832] Port=1 RD @05 + 6831.50ns INFO [00006833] * RD COMPARE * port=1 adr=02 act=E64BE048C49FD4F649 exp=E64BE048C49FD4F649 + 6831.50ns INFO [00006833] Port=0 WR @02=876E2D8FA6AC96408B + 6832.50ns INFO [00006834] * RD COMPARE * port=0 adr=00 act=3B86BAF68361AD73C3 exp=3B86BAF68361AD73C3 + 6832.50ns INFO [00006834] * RD COMPARE * port=1 adr=05 act=CB2E86D31A79892F40 exp=CB2E86D31A79892F40 + 6832.50ns INFO [00006834] Port=0 RD @02 + 6832.50ns INFO [00006834] Port=1 RD @06 + 6834.50ns INFO [00006836] * RD COMPARE * port=0 adr=02 act=876E2D8FA6AC96408B exp=876E2D8FA6AC96408B + 6834.50ns INFO [00006836] * RD COMPARE * port=1 adr=06 act=6289C7B81161D7F51A exp=6289C7B81161D7F51A + 6834.50ns INFO [00006836] Port=1 RD @06 + 6835.50ns INFO [00006837] Port=0 RD @04 + 6835.50ns INFO [00006837] Port=1 RD @00 + 6836.50ns INFO [00006838] * RD COMPARE * port=1 adr=06 act=6289C7B81161D7F51A exp=6289C7B81161D7F51A + 6837.50ns INFO [00006839] * RD COMPARE * port=0 adr=04 act=67FF84EFB7CD4B2D9E exp=67FF84EFB7CD4B2D9E + 6837.50ns INFO [00006839] * RD COMPARE * port=1 adr=00 act=3B86BAF68361AD73C3 exp=3B86BAF68361AD73C3 + 6838.50ns INFO [00006840] Port=0 WR @07=A3F457DCF7E4CCE26C + 6838.50ns INFO [00006840] Port=0 RD @05 + 6839.50ns INFO [00006841] Port=0 RD @07 + 6839.50ns INFO [00006841] Port=1 RD @06 + 6840.50ns INFO [00006842] * RD COMPARE * port=0 adr=05 act=CB2E86D31A79892F40 exp=CB2E86D31A79892F40 + 6840.50ns INFO [00006842] Port=0 WR @05=B1E88FA9CB00348983 + 6841.50ns INFO [00006843] * RD COMPARE * port=0 adr=07 act=A3F457DCF7E4CCE26C exp=A3F457DCF7E4CCE26C + 6841.50ns INFO [00006843] * RD COMPARE * port=1 adr=06 act=6289C7B81161D7F51A exp=6289C7B81161D7F51A + 6843.50ns INFO [00006845] Port=0 WR @01=FC2A40ACB6B10B5D1D + 6843.50ns INFO [00006845] Port=0 RD @04 + 6843.50ns INFO [00006845] Port=1 RD @05 + 6844.50ns INFO [00006846] Port=0 RD @04 + 6845.50ns INFO [00006847] * RD COMPARE * port=0 adr=04 act=67FF84EFB7CD4B2D9E exp=67FF84EFB7CD4B2D9E + 6845.50ns INFO [00006847] * RD COMPARE * port=1 adr=05 act=B1E88FA9CB00348983 exp=B1E88FA9CB00348983 + 6845.50ns INFO [00006847] Port=0 RD @02 + 6846.50ns INFO [00006848] * RD COMPARE * port=0 adr=04 act=67FF84EFB7CD4B2D9E exp=67FF84EFB7CD4B2D9E + 6846.50ns INFO [00006848] Port=0 RD @04 + 6846.50ns INFO [00006848] Port=1 RD @05 + 6847.50ns INFO [00006849] * RD COMPARE * port=0 adr=02 act=876E2D8FA6AC96408B exp=876E2D8FA6AC96408B + 6848.50ns INFO [00006850] * RD COMPARE * port=0 adr=04 act=67FF84EFB7CD4B2D9E exp=67FF84EFB7CD4B2D9E + 6848.50ns INFO [00006850] * RD COMPARE * port=1 adr=05 act=B1E88FA9CB00348983 exp=B1E88FA9CB00348983 + 6849.50ns INFO [00006851] Port=0 RD @06 + 6850.50ns INFO [00006852] Port=0 WR @02=97F3B56EDAD8151799 + 6850.50ns INFO [00006852] Port=1 RD @04 + 6851.50ns INFO [00006853] * RD COMPARE * port=0 adr=06 act=6289C7B81161D7F51A exp=6289C7B81161D7F51A + 6851.50ns INFO [00006853] Port=0 RD @01 + 6852.50ns INFO [00006854] * RD COMPARE * port=1 adr=04 act=67FF84EFB7CD4B2D9E exp=67FF84EFB7CD4B2D9E + 6852.50ns INFO [00006854] Port=0 WR @07=D368AAFF02CD371760 + 6853.50ns INFO [00006855] * RD COMPARE * port=0 adr=01 act=FC2A40ACB6B10B5D1D exp=FC2A40ACB6B10B5D1D + 6854.50ns INFO [00006856] Port=0 RD @07 + 6855.50ns INFO [00006857] Port=0 WR @00=CD65D1F8A2FC0C45A9 + 6856.50ns INFO [00006858] * RD COMPARE * port=0 adr=07 act=D368AAFF02CD371760 exp=D368AAFF02CD371760 + 6857.50ns INFO [00006859] Port=0 RD @04 + 6858.50ns INFO [00006860] Port=0 WR @00=6B0C45915CBAC951E7 + 6858.50ns INFO [00006860] Port=0 RD @07 + 6858.50ns INFO [00006860] Port=1 RD @06 + 6859.50ns INFO [00006861] * RD COMPARE * port=0 adr=04 act=67FF84EFB7CD4B2D9E exp=67FF84EFB7CD4B2D9E + 6859.50ns INFO [00006861] Port=0 WR @03=058BAD09DEC283E6E9 + 6859.50ns INFO [00006861] Port=0 RD @01 + 6859.50ns INFO [00006861] Port=1 RD @01 + 6860.50ns INFO [00006862] * RD COMPARE * port=0 adr=07 act=D368AAFF02CD371760 exp=D368AAFF02CD371760 + 6860.50ns INFO [00006862] * RD COMPARE * port=1 adr=06 act=6289C7B81161D7F51A exp=6289C7B81161D7F51A + 6860.50ns INFO [00006862] Port=0 WR @04=676161F7348EF3CF9B + 6860.50ns INFO [00006862] Port=1 RD @02 + 6861.50ns INFO [00006863] * RD COMPARE * port=0 adr=01 act=FC2A40ACB6B10B5D1D exp=FC2A40ACB6B10B5D1D + 6861.50ns INFO [00006863] * RD COMPARE * port=1 adr=01 act=FC2A40ACB6B10B5D1D exp=FC2A40ACB6B10B5D1D + 6862.50ns INFO [00006864] * RD COMPARE * port=1 adr=02 act=97F3B56EDAD8151799 exp=97F3B56EDAD8151799 + 6864.50ns INFO [00006866] Port=0 WR @06=E801B334306CC8DB2A + 6865.50ns INFO [00006867] Port=1 RD @07 + 6867.50ns INFO [00006869] * RD COMPARE * port=1 adr=07 act=D368AAFF02CD371760 exp=D368AAFF02CD371760 + 6867.50ns INFO [00006869] Port=0 WR @03=89C36052CCF7329D35 + 6868.50ns INFO [00006870] Port=0 RD @02 + 6869.50ns INFO [00006871] Port=0 WR @04=2A81C2D01FB9720938 + 6869.50ns INFO [00006871] Port=0 RD @06 + 6869.50ns INFO [00006871] Port=1 RD @01 + 6870.50ns INFO [00006872] * RD COMPARE * port=0 adr=02 act=97F3B56EDAD8151799 exp=97F3B56EDAD8151799 + 6871.50ns INFO [00006873] * RD COMPARE * port=0 adr=06 act=E801B334306CC8DB2A exp=E801B334306CC8DB2A + 6871.50ns INFO [00006873] * RD COMPARE * port=1 adr=01 act=FC2A40ACB6B10B5D1D exp=FC2A40ACB6B10B5D1D + 6871.50ns INFO [00006873] Port=0 WR @03=15123EC6DA76F8DED6 + 6873.50ns INFO [00006875] Port=0 WR @07=BB65891F8534D8480D + 6874.50ns INFO [00006876] Port=0 WR @07=882785C22FCBC14E34 + 6874.50ns INFO [00006876] Port=0 RD @02 + 6876.50ns INFO [00006878] * RD COMPARE * port=0 adr=02 act=97F3B56EDAD8151799 exp=97F3B56EDAD8151799 + 6876.50ns INFO [00006878] Port=0 WR @03=9F271439A2AF3FCE36 + 6876.50ns INFO [00006878] Port=0 RD @05 + 6876.50ns INFO [00006878] Port=1 RD @02 + 6877.50ns INFO [00006879] Port=0 RD @07 + 6877.50ns INFO [00006879] Port=1 RD @01 + 6878.50ns INFO [00006880] * RD COMPARE * port=0 adr=05 act=B1E88FA9CB00348983 exp=B1E88FA9CB00348983 + 6878.50ns INFO [00006880] * RD COMPARE * port=1 adr=02 act=97F3B56EDAD8151799 exp=97F3B56EDAD8151799 + 6878.50ns INFO [00006880] Port=0 RD @07 + 6879.50ns INFO [00006881] * RD COMPARE * port=0 adr=07 act=882785C22FCBC14E34 exp=882785C22FCBC14E34 + 6879.50ns INFO [00006881] * RD COMPARE * port=1 adr=01 act=FC2A40ACB6B10B5D1D exp=FC2A40ACB6B10B5D1D + 6879.50ns INFO [00006881] Port=0 WR @06=C68AA83D00759911A4 + 6880.50ns INFO [00006882] * RD COMPARE * port=0 adr=07 act=882785C22FCBC14E34 exp=882785C22FCBC14E34 + 6880.50ns INFO [00006882] Port=1 RD @05 + 6881.50ns INFO [00006883] Port=0 WR @02=A2675574764F10794D + 6881.50ns INFO [00006883] Port=1 RD @04 + 6882.50ns INFO [00006884] * RD COMPARE * port=1 adr=05 act=B1E88FA9CB00348983 exp=B1E88FA9CB00348983 + 6882.50ns INFO [00006884] Port=0 WR @07=902E27206FC41C3356 + 6882.50ns INFO [00006884] Port=0 RD @06 + 6882.50ns INFO [00006884] Port=1 RD @01 + 6883.50ns INFO [00006885] * RD COMPARE * port=1 adr=04 act=2A81C2D01FB9720938 exp=2A81C2D01FB9720938 + 6884.50ns INFO [00006886] * RD COMPARE * port=0 adr=06 act=C68AA83D00759911A4 exp=C68AA83D00759911A4 + 6884.50ns INFO [00006886] * RD COMPARE * port=1 adr=01 act=FC2A40ACB6B10B5D1D exp=FC2A40ACB6B10B5D1D + 6885.50ns INFO [00006887] Port=0 WR @05=B0345E15B0ECD17B4F + 6885.50ns INFO [00006887] Port=0 RD @04 + 6886.50ns INFO [00006888] Port=0 WR @07=E803071D0947BCF47D + 6887.50ns INFO [00006889] * RD COMPARE * port=0 adr=04 act=2A81C2D01FB9720938 exp=2A81C2D01FB9720938 + 6887.50ns INFO [00006889] Port=0 WR @02=23C296095D4441A35D + 6887.50ns INFO [00006889] Port=0 RD @04 + 6888.50ns INFO [00006890] Port=1 RD @07 + 6889.50ns INFO [00006891] * RD COMPARE * port=0 adr=04 act=2A81C2D01FB9720938 exp=2A81C2D01FB9720938 + 6889.50ns INFO [00006891] Port=0 RD @06 + 6889.50ns INFO [00006891] Port=1 RD @03 + 6890.50ns INFO [00006892] * RD COMPARE * port=1 adr=07 act=E803071D0947BCF47D exp=E803071D0947BCF47D + 6890.50ns INFO [00006892] Port=0 WR @05=4B48C851F2C7788DC3 + 6890.50ns INFO [00006892] Port=1 RD @04 + 6891.50ns INFO [00006893] * RD COMPARE * port=0 adr=06 act=C68AA83D00759911A4 exp=C68AA83D00759911A4 + 6891.50ns INFO [00006893] * RD COMPARE * port=1 adr=03 act=9F271439A2AF3FCE36 exp=9F271439A2AF3FCE36 + 6892.50ns INFO [00006894] * RD COMPARE * port=1 adr=04 act=2A81C2D01FB9720938 exp=2A81C2D01FB9720938 + 6894.50ns INFO [00006896] Port=0 WR @02=BD4308E269AC0026E0 + 6894.50ns INFO [00006896] Port=0 RD @00 + 6895.50ns INFO [00006897] Port=1 RD @03 + 6896.50ns INFO [00006898] * RD COMPARE * port=0 adr=00 act=6B0C45915CBAC951E7 exp=6B0C45915CBAC951E7 + 6896.50ns INFO [00006898] Port=0 WR @06=F5B9DE1489E3D3E56E + 6896.50ns INFO [00006898] Port=0 RD @03 + 6896.50ns INFO [00006898] Port=1 RD @02 + 6897.50ns INFO [00006899] * RD COMPARE * port=1 adr=03 act=9F271439A2AF3FCE36 exp=9F271439A2AF3FCE36 + 6897.50ns INFO [00006899] Port=1 RD @02 + 6898.00ns INFO [00006900] [00006900] ...tick... + 6898.50ns INFO [00006900] * RD COMPARE * port=0 adr=03 act=9F271439A2AF3FCE36 exp=9F271439A2AF3FCE36 + 6898.50ns INFO [00006900] * RD COMPARE * port=1 adr=02 act=BD4308E269AC0026E0 exp=BD4308E269AC0026E0 + 6898.50ns INFO [00006900] Port=1 RD @04 + 6899.50ns INFO [00006901] * RD COMPARE * port=1 adr=02 act=BD4308E269AC0026E0 exp=BD4308E269AC0026E0 + 6899.50ns INFO [00006901] Port=0 RD @05 + 6900.50ns INFO [00006902] * RD COMPARE * port=1 adr=04 act=2A81C2D01FB9720938 exp=2A81C2D01FB9720938 + 6900.50ns INFO [00006902] Port=0 WR @01=10F7D4B0F01B38AB9E + 6900.50ns INFO [00006902] Port=0 RD @06 + 6900.50ns INFO [00006902] Port=1 RD @07 + 6901.50ns INFO [00006903] * RD COMPARE * port=0 adr=05 act=4B48C851F2C7788DC3 exp=4B48C851F2C7788DC3 + 6901.50ns INFO [00006903] Port=0 WR @04=418CE2E145DD68E0DC + 6902.50ns INFO [00006904] * RD COMPARE * port=0 adr=06 act=F5B9DE1489E3D3E56E exp=F5B9DE1489E3D3E56E + 6902.50ns INFO [00006904] * RD COMPARE * port=1 adr=07 act=E803071D0947BCF47D exp=E803071D0947BCF47D + 6902.50ns INFO [00006904] Port=1 RD @06 + 6903.50ns INFO [00006905] Port=0 WR @00=50FC31F82199C6FE0F + 6904.50ns INFO [00006906] * RD COMPARE * port=1 adr=06 act=F5B9DE1489E3D3E56E exp=F5B9DE1489E3D3E56E + 6904.50ns INFO [00006906] Port=0 WR @07=5E81AD296D67A8B396 + 6904.50ns INFO [00006906] Port=1 RD @06 + 6905.50ns INFO [00006907] Port=0 WR @01=AD5EF52649177CFAA7 + 6906.50ns INFO [00006908] * RD COMPARE * port=1 adr=06 act=F5B9DE1489E3D3E56E exp=F5B9DE1489E3D3E56E + 6906.50ns INFO [00006908] Port=0 WR @07=F47FFA105052D28397 + 6906.50ns INFO [00006908] Port=0 RD @00 + 6906.50ns INFO [00006908] Port=1 RD @03 + 6907.50ns INFO [00006909] Port=0 RD @06 + 6908.50ns INFO [00006910] * RD COMPARE * port=0 adr=00 act=50FC31F82199C6FE0F exp=50FC31F82199C6FE0F + 6908.50ns INFO [00006910] * RD COMPARE * port=1 adr=03 act=9F271439A2AF3FCE36 exp=9F271439A2AF3FCE36 + 6908.50ns INFO [00006910] Port=0 RD @01 + 6909.50ns INFO [00006911] * RD COMPARE * port=0 adr=06 act=F5B9DE1489E3D3E56E exp=F5B9DE1489E3D3E56E + 6909.50ns INFO [00006911] Port=0 RD @04 + 6909.50ns INFO [00006911] Port=1 RD @06 + 6910.50ns INFO [00006912] * RD COMPARE * port=0 adr=01 act=AD5EF52649177CFAA7 exp=AD5EF52649177CFAA7 + 6910.50ns INFO [00006912] Port=0 WR @06=76C3C91C793593F140 + 6910.50ns INFO [00006912] Port=1 RD @00 + 6911.50ns INFO [00006913] * RD COMPARE * port=0 adr=04 act=418CE2E145DD68E0DC exp=418CE2E145DD68E0DC + 6911.50ns INFO [00006913] * RD COMPARE * port=1 adr=06 act=F5B9DE1489E3D3E56E exp=F5B9DE1489E3D3E56E + 6911.50ns INFO [00006913] Port=0 RD @03 + 6911.50ns INFO [00006913] Port=1 RD @07 + 6912.50ns INFO [00006914] * RD COMPARE * port=1 adr=00 act=50FC31F82199C6FE0F exp=50FC31F82199C6FE0F + 6912.50ns INFO [00006914] Port=0 WR @05=7991CB69A171D106D9 + 6912.50ns INFO [00006914] Port=1 RD @01 + 6913.50ns INFO [00006915] * RD COMPARE * port=0 adr=03 act=9F271439A2AF3FCE36 exp=9F271439A2AF3FCE36 + 6913.50ns INFO [00006915] * RD COMPARE * port=1 adr=07 act=F47FFA105052D28397 exp=F47FFA105052D28397 + 6913.50ns INFO [00006915] Port=0 WR @01=30A8333BAA3E56B4CF + 6913.50ns INFO [00006915] Port=0 RD @04 + 6914.50ns INFO [00006916] * RD COMPARE * port=1 adr=01 act=AD5EF52649177CFAA7 exp=AD5EF52649177CFAA7 + 6914.50ns INFO [00006916] Port=0 WR @07=9551AA5E59765C5F95 + 6914.50ns INFO [00006916] Port=0 RD @02 + 6915.50ns INFO [00006917] * RD COMPARE * port=0 adr=04 act=418CE2E145DD68E0DC exp=418CE2E145DD68E0DC + 6915.50ns INFO [00006917] Port=0 WR @07=3D4F1301F4C71024B7 + 6915.50ns INFO [00006917] Port=1 RD @00 + 6916.50ns INFO [00006918] * RD COMPARE * port=0 adr=02 act=BD4308E269AC0026E0 exp=BD4308E269AC0026E0 + 6916.50ns INFO [00006918] Port=0 WR @07=2C23C209005C218915 + 6916.50ns INFO [00006918] Port=0 RD @02 + 6916.50ns INFO [00006918] Port=1 RD @04 + 6917.50ns INFO [00006919] * RD COMPARE * port=1 adr=00 act=50FC31F82199C6FE0F exp=50FC31F82199C6FE0F + 6917.50ns INFO [00006919] Port=0 RD @07 + 6918.50ns INFO [00006920] * RD COMPARE * port=0 adr=02 act=BD4308E269AC0026E0 exp=BD4308E269AC0026E0 + 6918.50ns INFO [00006920] * RD COMPARE * port=1 adr=04 act=418CE2E145DD68E0DC exp=418CE2E145DD68E0DC + 6918.50ns INFO [00006920] Port=0 WR @01=30176F34C7D7B6C7BD + 6918.50ns INFO [00006920] Port=0 RD @07 + 6919.50ns INFO [00006921] * RD COMPARE * port=0 adr=07 act=2C23C209005C218915 exp=2C23C209005C218915 + 6919.50ns INFO [00006921] Port=0 WR @05=9BBDC3A6302024746F + 6920.50ns INFO [00006922] * RD COMPARE * port=0 adr=07 act=2C23C209005C218915 exp=2C23C209005C218915 + 6920.50ns INFO [00006922] Port=0 WR @07=1EDCF1FEDE90E994BF + 6920.50ns INFO [00006922] Port=0 RD @05 + 6921.50ns INFO [00006923] Port=0 WR @06=13D7A10431DF12FB38 + 6922.50ns INFO [00006924] * RD COMPARE * port=0 adr=05 act=9BBDC3A6302024746F exp=9BBDC3A6302024746F + 6922.50ns INFO [00006924] Port=0 RD @00 + 6923.50ns INFO [00006925] Port=0 RD @01 + 6923.50ns INFO [00006925] Port=1 RD @06 + 6924.50ns INFO [00006926] * RD COMPARE * port=0 adr=00 act=50FC31F82199C6FE0F exp=50FC31F82199C6FE0F + 6924.50ns INFO [00006926] Port=1 RD @02 + 6925.50ns INFO [00006927] * RD COMPARE * port=0 adr=01 act=30176F34C7D7B6C7BD exp=30176F34C7D7B6C7BD + 6925.50ns INFO [00006927] * RD COMPARE * port=1 adr=06 act=13D7A10431DF12FB38 exp=13D7A10431DF12FB38 + 6926.50ns INFO [00006928] * RD COMPARE * port=1 adr=02 act=BD4308E269AC0026E0 exp=BD4308E269AC0026E0 + 6926.50ns INFO [00006928] Port=1 RD @04 + 6927.50ns INFO [00006929] Port=1 RD @02 + 6928.50ns INFO [00006930] * RD COMPARE * port=1 adr=04 act=418CE2E145DD68E0DC exp=418CE2E145DD68E0DC + 6928.50ns INFO [00006930] Port=0 RD @04 + 6928.50ns INFO [00006930] Port=1 RD @00 + 6929.50ns INFO [00006931] * RD COMPARE * port=1 adr=02 act=BD4308E269AC0026E0 exp=BD4308E269AC0026E0 + 6930.50ns INFO [00006932] * RD COMPARE * port=0 adr=04 act=418CE2E145DD68E0DC exp=418CE2E145DD68E0DC + 6930.50ns INFO [00006932] * RD COMPARE * port=1 adr=00 act=50FC31F82199C6FE0F exp=50FC31F82199C6FE0F + 6931.50ns INFO [00006933] Port=0 WR @07=F2701207BD916DD34C + 6931.50ns INFO [00006933] Port=1 RD @00 + 6933.50ns INFO [00006935] * RD COMPARE * port=1 adr=00 act=50FC31F82199C6FE0F exp=50FC31F82199C6FE0F + 6933.50ns INFO [00006935] Port=0 RD @00 + 6934.50ns INFO [00006936] Port=0 WR @01=681507DD1D61A5C5E7 + 6935.50ns INFO [00006937] * RD COMPARE * port=0 adr=00 act=50FC31F82199C6FE0F exp=50FC31F82199C6FE0F + 6935.50ns INFO [00006937] Port=1 RD @02 + 6936.50ns INFO [00006938] Port=0 WR @07=62A6A899994EA44BB7 + 6936.50ns INFO [00006938] Port=0 RD @05 + 6936.50ns INFO [00006938] Port=1 RD @04 + 6937.50ns INFO [00006939] * RD COMPARE * port=1 adr=02 act=BD4308E269AC0026E0 exp=BD4308E269AC0026E0 + 6937.50ns INFO [00006939] Port=0 WR @04=10E1A9514D4B2B9AB9 + 6937.50ns INFO [00006939] Port=0 RD @00 + 6938.50ns INFO [00006940] * RD COMPARE * port=0 adr=05 act=9BBDC3A6302024746F exp=9BBDC3A6302024746F + 6938.50ns INFO [00006940] * RD COMPARE * port=1 adr=04 act=418CE2E145DD68E0DC exp=418CE2E145DD68E0DC + 6938.50ns INFO [00006940] Port=0 WR @01=9BCEDD8848FED32C98 + 6938.50ns INFO [00006940] Port=0 RD @04 + 6938.50ns INFO [00006940] Port=1 RD @06 + 6939.50ns INFO [00006941] * RD COMPARE * port=0 adr=00 act=50FC31F82199C6FE0F exp=50FC31F82199C6FE0F + 6939.50ns INFO [00006941] Port=0 WR @04=C184BD13E83BE4FDB6 + 6939.50ns INFO [00006941] Port=0 RD @06 + 6939.50ns INFO [00006941] Port=1 RD @05 + 6940.50ns INFO [00006942] * RD COMPARE * port=0 adr=04 act=10E1A9514D4B2B9AB9 exp=10E1A9514D4B2B9AB9 + 6940.50ns INFO [00006942] * RD COMPARE * port=1 adr=06 act=13D7A10431DF12FB38 exp=13D7A10431DF12FB38 + 6940.50ns INFO [00006942] Port=0 WR @06=F4BA702C4831517A10 + 6940.50ns INFO [00006942] Port=0 RD @02 + 6941.50ns INFO [00006943] * RD COMPARE * port=0 adr=06 act=13D7A10431DF12FB38 exp=13D7A10431DF12FB38 + 6941.50ns INFO [00006943] * RD COMPARE * port=1 adr=05 act=9BBDC3A6302024746F exp=9BBDC3A6302024746F + 6941.50ns INFO [00006943] Port=0 RD @06 + 6942.50ns INFO [00006944] * RD COMPARE * port=0 adr=02 act=BD4308E269AC0026E0 exp=BD4308E269AC0026E0 + 6942.50ns INFO [00006944] Port=1 RD @03 + 6943.50ns INFO [00006945] * RD COMPARE * port=0 adr=06 act=F4BA702C4831517A10 exp=F4BA702C4831517A10 + 6943.50ns INFO [00006945] Port=0 WR @06=B6AA48C1E4074B7224 + 6943.50ns INFO [00006945] Port=1 RD @04 + 6944.50ns INFO [00006946] * RD COMPARE * port=1 adr=03 act=9F271439A2AF3FCE36 exp=9F271439A2AF3FCE36 + 6944.50ns INFO [00006946] Port=0 RD @00 + 6944.50ns INFO [00006946] Port=1 RD @05 + 6945.50ns INFO [00006947] * RD COMPARE * port=1 adr=04 act=C184BD13E83BE4FDB6 exp=C184BD13E83BE4FDB6 + 6945.50ns INFO [00006947] Port=1 RD @06 + 6946.50ns INFO [00006948] * RD COMPARE * port=0 adr=00 act=50FC31F82199C6FE0F exp=50FC31F82199C6FE0F + 6946.50ns INFO [00006948] * RD COMPARE * port=1 adr=05 act=9BBDC3A6302024746F exp=9BBDC3A6302024746F + 6946.50ns INFO [00006948] Port=0 WR @04=41FA24C8AB8E63BEA9 + 6947.50ns INFO [00006949] * RD COMPARE * port=1 adr=06 act=B6AA48C1E4074B7224 exp=B6AA48C1E4074B7224 + 6947.50ns INFO [00006949] Port=1 RD @05 + 6948.50ns INFO [00006950] Port=0 WR @00=B066709D050DDE5C8D + 6948.50ns INFO [00006950] Port=0 RD @06 + 6949.50ns INFO [00006951] * RD COMPARE * port=1 adr=05 act=9BBDC3A6302024746F exp=9BBDC3A6302024746F + 6949.50ns INFO [00006951] Port=0 WR @00=8E3C880F428211E98D + 6949.50ns INFO [00006951] Port=0 RD @05 + 6950.50ns INFO [00006952] * RD COMPARE * port=0 adr=06 act=B6AA48C1E4074B7224 exp=B6AA48C1E4074B7224 + 6950.50ns INFO [00006952] Port=0 WR @03=09FCA4BF97B2D34D81 + 6950.50ns INFO [00006952] Port=0 RD @00 + 6951.50ns INFO [00006953] * RD COMPARE * port=0 adr=05 act=9BBDC3A6302024746F exp=9BBDC3A6302024746F + 6951.50ns INFO [00006953] Port=0 WR @07=B191CD765B2F4FC3F8 + 6952.50ns INFO [00006954] * RD COMPARE * port=0 adr=00 act=8E3C880F428211E98D exp=8E3C880F428211E98D + 6952.50ns INFO [00006954] Port=0 RD @05 + 6952.50ns INFO [00006954] Port=1 RD @00 + 6953.50ns INFO [00006955] Port=0 WR @02=44D108B16CB45C00C7 + 6954.50ns INFO [00006956] * RD COMPARE * port=0 adr=05 act=9BBDC3A6302024746F exp=9BBDC3A6302024746F + 6954.50ns INFO [00006956] * RD COMPARE * port=1 adr=00 act=8E3C880F428211E98D exp=8E3C880F428211E98D + 6955.50ns INFO [00006957] Port=0 RD @04 + 6956.50ns INFO [00006958] Port=0 WR @03=59063D6CFF470E274D + 6957.50ns INFO [00006959] * RD COMPARE * port=0 adr=04 act=41FA24C8AB8E63BEA9 exp=41FA24C8AB8E63BEA9 + 6957.50ns INFO [00006959] Port=0 WR @05=59E9E5525FB4776A54 + 6957.50ns INFO [00006959] Port=0 RD @06 + 6957.50ns INFO [00006959] Port=1 RD @04 + 6958.50ns INFO [00006960] Port=1 RD @07 + 6959.50ns INFO [00006961] * RD COMPARE * port=0 adr=06 act=B6AA48C1E4074B7224 exp=B6AA48C1E4074B7224 + 6959.50ns INFO [00006961] * RD COMPARE * port=1 adr=04 act=41FA24C8AB8E63BEA9 exp=41FA24C8AB8E63BEA9 + 6959.50ns INFO [00006961] Port=0 RD @01 + 6960.50ns INFO [00006962] * RD COMPARE * port=1 adr=07 act=B191CD765B2F4FC3F8 exp=B191CD765B2F4FC3F8 + 6960.50ns INFO [00006962] Port=0 RD @04 + 6960.50ns INFO [00006962] Port=1 RD @02 + 6961.50ns INFO [00006963] * RD COMPARE * port=0 adr=01 act=9BCEDD8848FED32C98 exp=9BCEDD8848FED32C98 + 6961.50ns INFO [00006963] Port=0 WR @06=637631701DE600B066 + 6962.50ns INFO [00006964] * RD COMPARE * port=0 adr=04 act=41FA24C8AB8E63BEA9 exp=41FA24C8AB8E63BEA9 + 6962.50ns INFO [00006964] * RD COMPARE * port=1 adr=02 act=44D108B16CB45C00C7 exp=44D108B16CB45C00C7 + 6962.50ns INFO [00006964] Port=0 WR @04=076AE80CF4FD3106C4 + 6962.50ns INFO [00006964] Port=0 RD @03 + 6962.50ns INFO [00006964] Port=1 RD @06 + 6963.50ns INFO [00006965] Port=0 WR @07=A3159745276CA015D1 + 6964.50ns INFO [00006966] * RD COMPARE * port=0 adr=03 act=59063D6CFF470E274D exp=59063D6CFF470E274D + 6964.50ns INFO [00006966] * RD COMPARE * port=1 adr=06 act=637631701DE600B066 exp=637631701DE600B066 + 6964.50ns INFO [00006966] Port=0 RD @03 + 6964.50ns INFO [00006966] Port=1 RD @00 + 6965.50ns INFO [00006967] Port=0 WR @01=BB7303392970E5BD00 + 6966.50ns INFO [00006968] * RD COMPARE * port=0 adr=03 act=59063D6CFF470E274D exp=59063D6CFF470E274D + 6966.50ns INFO [00006968] * RD COMPARE * port=1 adr=00 act=8E3C880F428211E98D exp=8E3C880F428211E98D + 6966.50ns INFO [00006968] Port=1 RD @06 + 6967.50ns INFO [00006969] Port=0 WR @00=27A01EF3ED00B05BE9 + 6968.50ns INFO [00006970] * RD COMPARE * port=1 adr=06 act=637631701DE600B066 exp=637631701DE600B066 + 6968.50ns INFO [00006970] Port=0 RD @03 + 6969.50ns INFO [00006971] Port=0 WR @03=D5E73F1A541F807222 + 6970.50ns INFO [00006972] * RD COMPARE * port=0 adr=03 act=59063D6CFF470E274D exp=59063D6CFF470E274D + 6970.50ns INFO [00006972] Port=0 WR @00=8E6EFE005C1484E350 + 6971.50ns INFO [00006973] Port=0 WR @03=E67FAB6A9FD61A8FBC + 6971.50ns INFO [00006973] Port=0 RD @05 + 6973.50ns INFO [00006975] * RD COMPARE * port=0 adr=05 act=59E9E5525FB4776A54 exp=59E9E5525FB4776A54 + 6973.50ns INFO [00006975] Port=0 RD @02 + 6973.50ns INFO [00006975] Port=1 RD @05 + 6974.50ns INFO [00006976] Port=1 RD @00 + 6975.50ns INFO [00006977] * RD COMPARE * port=0 adr=02 act=44D108B16CB45C00C7 exp=44D108B16CB45C00C7 + 6975.50ns INFO [00006977] * RD COMPARE * port=1 adr=05 act=59E9E5525FB4776A54 exp=59E9E5525FB4776A54 + 6975.50ns INFO [00006977] Port=0 WR @00=9CCA2E1555A30B1A55 + 6976.50ns INFO [00006978] * RD COMPARE * port=1 adr=00 act=8E6EFE005C1484E350 exp=8E6EFE005C1484E350 + 6977.50ns INFO [00006979] Port=0 WR @05=BCC97F4A6FE578E9A8 + 6979.50ns INFO [00006981] Port=0 RD @03 + 6980.50ns INFO [00006982] Port=0 RD @07 + 6981.50ns INFO [00006983] * RD COMPARE * port=0 adr=03 act=E67FAB6A9FD61A8FBC exp=E67FAB6A9FD61A8FBC + 6981.50ns INFO [00006983] Port=0 RD @07 + 6982.50ns INFO [00006984] * RD COMPARE * port=0 adr=07 act=A3159745276CA015D1 exp=A3159745276CA015D1 + 6982.50ns INFO [00006984] Port=0 WR @06=4A01715E1A1DF22D7C + 6983.50ns INFO [00006985] * RD COMPARE * port=0 adr=07 act=A3159745276CA015D1 exp=A3159745276CA015D1 + 6983.50ns INFO [00006985] Port=0 WR @05=BF4B0E84044D028553 + 6984.50ns INFO [00006986] Port=0 WR @06=1CBA06CC8C7EFBE298 + 6985.50ns INFO [00006987] Port=0 WR @07=9685D54289BC2318D1 + 6987.50ns INFO [00006989] Port=0 WR @07=276C4B75A64C8A24D0 + 6987.50ns INFO [00006989] Port=1 RD @06 + 6988.50ns INFO [00006990] Port=0 RD @04 + 6988.50ns INFO [00006990] Port=1 RD @04 + 6989.50ns INFO [00006991] * RD COMPARE * port=1 adr=06 act=1CBA06CC8C7EFBE298 exp=1CBA06CC8C7EFBE298 + 6989.50ns INFO [00006991] Port=0 RD @04 + 6990.50ns INFO [00006992] * RD COMPARE * port=0 adr=04 act=076AE80CF4FD3106C4 exp=076AE80CF4FD3106C4 + 6990.50ns INFO [00006992] * RD COMPARE * port=1 adr=04 act=076AE80CF4FD3106C4 exp=076AE80CF4FD3106C4 + 6990.50ns INFO [00006992] Port=0 RD @06 + 6990.50ns INFO [00006992] Port=1 RD @02 + 6991.50ns INFO [00006993] * RD COMPARE * port=0 adr=04 act=076AE80CF4FD3106C4 exp=076AE80CF4FD3106C4 + 6991.50ns INFO [00006993] Port=0 WR @00=57E507959B988C9760 + 6991.50ns INFO [00006993] Port=1 RD @07 + 6992.50ns INFO [00006994] * RD COMPARE * port=0 adr=06 act=1CBA06CC8C7EFBE298 exp=1CBA06CC8C7EFBE298 + 6992.50ns INFO [00006994] * RD COMPARE * port=1 adr=02 act=44D108B16CB45C00C7 exp=44D108B16CB45C00C7 + 6992.50ns INFO [00006994] Port=0 RD @02 + 6993.50ns INFO [00006995] * RD COMPARE * port=1 adr=07 act=276C4B75A64C8A24D0 exp=276C4B75A64C8A24D0 + 6993.50ns INFO [00006995] Port=0 WR @04=241DA73743CC8ACAA8 + 6994.50ns INFO [00006996] * RD COMPARE * port=0 adr=02 act=44D108B16CB45C00C7 exp=44D108B16CB45C00C7 + 6994.50ns INFO [00006996] Port=0 WR @06=7369A8CA18CD9C6550 + 6995.50ns INFO [00006997] Port=0 WR @01=51FB040F2EBC34DBEF + 6996.50ns INFO [00006998] Port=1 RD @02 + 6997.50ns INFO [00006999] Port=1 RD @04 + 6998.00ns INFO [00007000] [00007000] ...tick... + 6998.50ns INFO [00007000] * RD COMPARE * port=1 adr=02 act=44D108B16CB45C00C7 exp=44D108B16CB45C00C7 + 6998.50ns INFO [00007000] Port=0 WR @06=CEA6902C256BE82549 + 6998.50ns INFO [00007000] Port=0 RD @07 + 6999.50ns INFO [00007001] * RD COMPARE * port=1 adr=04 act=241DA73743CC8ACAA8 exp=241DA73743CC8ACAA8 + 7000.50ns INFO [00007002] * RD COMPARE * port=0 adr=07 act=276C4B75A64C8A24D0 exp=276C4B75A64C8A24D0 + 7000.50ns INFO [00007002] Port=0 RD @02 + 7001.50ns INFO [00007003] Port=1 RD @03 + 7002.50ns INFO [00007004] * RD COMPARE * port=0 adr=02 act=44D108B16CB45C00C7 exp=44D108B16CB45C00C7 + 7002.50ns INFO [00007004] Port=0 RD @02 + 7003.50ns INFO [00007005] * RD COMPARE * port=1 adr=03 act=E67FAB6A9FD61A8FBC exp=E67FAB6A9FD61A8FBC + 7003.50ns INFO [00007005] Port=1 RD @06 + 7004.50ns INFO [00007006] * RD COMPARE * port=0 adr=02 act=44D108B16CB45C00C7 exp=44D108B16CB45C00C7 + 7004.50ns INFO [00007006] Port=0 WR @01=F364574C33D6B6B584 + 7004.50ns INFO [00007006] Port=0 RD @00 + 7004.50ns INFO [00007006] Port=1 RD @07 + 7005.50ns INFO [00007007] * RD COMPARE * port=1 adr=06 act=CEA6902C256BE82549 exp=CEA6902C256BE82549 + 7006.50ns INFO [00007008] * RD COMPARE * port=0 adr=00 act=57E507959B988C9760 exp=57E507959B988C9760 + 7006.50ns INFO [00007008] * RD COMPARE * port=1 adr=07 act=276C4B75A64C8A24D0 exp=276C4B75A64C8A24D0 + 7006.50ns INFO [00007008] Port=0 WR @02=E71C4EFB2859259D3D + 7006.50ns INFO [00007008] Port=0 RD @07 + 7008.50ns INFO [00007010] * RD COMPARE * port=0 adr=07 act=276C4B75A64C8A24D0 exp=276C4B75A64C8A24D0 + 7008.50ns INFO [00007010] Port=0 RD @02 + 7009.50ns INFO [00007011] Port=0 WR @00=21B0CD5E0CC7B700F9 + 7010.50ns INFO [00007012] * RD COMPARE * port=0 adr=02 act=E71C4EFB2859259D3D exp=E71C4EFB2859259D3D + 7010.50ns INFO [00007012] Port=0 RD @00 + 7010.50ns INFO [00007012] Port=1 RD @01 + 7011.50ns INFO [00007013] Port=0 WR @01=93DE95EEEE48856E6E + 7011.50ns INFO [00007013] Port=1 RD @02 + 7012.50ns INFO [00007014] * RD COMPARE * port=0 adr=00 act=21B0CD5E0CC7B700F9 exp=21B0CD5E0CC7B700F9 + 7012.50ns INFO [00007014] * RD COMPARE * port=1 adr=01 act=F364574C33D6B6B584 exp=F364574C33D6B6B584 + 7012.50ns INFO [00007014] Port=0 WR @03=917B836ACA93CCD6E1 + 7012.50ns INFO [00007014] Port=0 RD @05 + 7013.50ns INFO [00007015] * RD COMPARE * port=1 adr=02 act=E71C4EFB2859259D3D exp=E71C4EFB2859259D3D + 7013.50ns INFO [00007015] Port=0 WR @01=A35E292BCF668DC990 + 7013.50ns INFO [00007015] Port=1 RD @06 + 7014.50ns INFO [00007016] * RD COMPARE * port=0 adr=05 act=BF4B0E84044D028553 exp=BF4B0E84044D028553 + 7014.50ns INFO [00007016] Port=1 RD @07 + 7015.50ns INFO [00007017] * RD COMPARE * port=1 adr=06 act=CEA6902C256BE82549 exp=CEA6902C256BE82549 + 7015.50ns INFO [00007017] Port=0 WR @06=819294E27717D34E68 + 7015.50ns INFO [00007017] Port=0 RD @02 + 7015.50ns INFO [00007017] Port=1 RD @00 + 7016.50ns INFO [00007018] * RD COMPARE * port=1 adr=07 act=276C4B75A64C8A24D0 exp=276C4B75A64C8A24D0 + 7016.50ns INFO [00007018] Port=1 RD @04 + 7017.50ns INFO [00007019] * RD COMPARE * port=0 adr=02 act=E71C4EFB2859259D3D exp=E71C4EFB2859259D3D + 7017.50ns INFO [00007019] * RD COMPARE * port=1 adr=00 act=21B0CD5E0CC7B700F9 exp=21B0CD5E0CC7B700F9 + 7017.50ns INFO [00007019] Port=0 WR @01=B61A7E93F26722892B + 7017.50ns INFO [00007019] Port=0 RD @06 + 7018.50ns INFO [00007020] * RD COMPARE * port=1 adr=04 act=241DA73743CC8ACAA8 exp=241DA73743CC8ACAA8 + 7018.50ns INFO [00007020] Port=0 WR @03=C197102A666AA8BF4E + 7019.50ns INFO [00007021] * RD COMPARE * port=0 adr=06 act=819294E27717D34E68 exp=819294E27717D34E68 + 7019.50ns INFO [00007021] Port=1 RD @07 + 7020.50ns INFO [00007022] Port=1 RD @00 + 7021.50ns INFO [00007023] * RD COMPARE * port=1 adr=07 act=276C4B75A64C8A24D0 exp=276C4B75A64C8A24D0 + 7021.50ns INFO [00007023] Port=0 RD @00 + 7022.50ns INFO [00007024] * RD COMPARE * port=1 adr=00 act=21B0CD5E0CC7B700F9 exp=21B0CD5E0CC7B700F9 + 7022.50ns INFO [00007024] Port=0 RD @06 + 7022.50ns INFO [00007024] Port=1 RD @01 + 7023.50ns INFO [00007025] * RD COMPARE * port=0 adr=00 act=21B0CD5E0CC7B700F9 exp=21B0CD5E0CC7B700F9 + 7023.50ns INFO [00007025] Port=0 WR @06=80ABB4B3B990A3BCC4 + 7023.50ns INFO [00007025] Port=0 RD @01 + 7023.50ns INFO [00007025] Port=1 RD @04 + 7024.50ns INFO [00007026] * RD COMPARE * port=0 adr=06 act=819294E27717D34E68 exp=819294E27717D34E68 + 7024.50ns INFO [00007026] * RD COMPARE * port=1 adr=01 act=B61A7E93F26722892B exp=B61A7E93F26722892B + 7024.50ns INFO [00007026] Port=0 WR @00=8D23C7B10C4C791BAF + 7024.50ns INFO [00007026] Port=1 RD @02 + 7025.50ns INFO [00007027] * RD COMPARE * port=0 adr=01 act=B61A7E93F26722892B exp=B61A7E93F26722892B + 7025.50ns INFO [00007027] * RD COMPARE * port=1 adr=04 act=241DA73743CC8ACAA8 exp=241DA73743CC8ACAA8 + 7025.50ns INFO [00007027] Port=0 WR @03=A3FA2D69718361237F + 7025.50ns INFO [00007027] Port=0 RD @07 + 7026.50ns INFO [00007028] * RD COMPARE * port=1 adr=02 act=E71C4EFB2859259D3D exp=E71C4EFB2859259D3D + 7026.50ns INFO [00007028] Port=0 WR @00=EC405AE3EE7355AA14 + 7026.50ns INFO [00007028] Port=1 RD @04 + 7027.50ns INFO [00007029] * RD COMPARE * port=0 adr=07 act=276C4B75A64C8A24D0 exp=276C4B75A64C8A24D0 + 7027.50ns INFO [00007029] Port=0 RD @03 + 7028.50ns INFO [00007030] * RD COMPARE * port=1 adr=04 act=241DA73743CC8ACAA8 exp=241DA73743CC8ACAA8 + 7028.50ns INFO [00007030] Port=0 RD @02 + 7028.50ns INFO [00007030] Port=1 RD @07 + 7029.50ns INFO [00007031] * RD COMPARE * port=0 adr=03 act=A3FA2D69718361237F exp=A3FA2D69718361237F + 7029.50ns INFO [00007031] Port=0 RD @00 + 7029.50ns INFO [00007031] Port=1 RD @01 + 7030.50ns INFO [00007032] * RD COMPARE * port=0 adr=02 act=E71C4EFB2859259D3D exp=E71C4EFB2859259D3D + 7030.50ns INFO [00007032] * RD COMPARE * port=1 adr=07 act=276C4B75A64C8A24D0 exp=276C4B75A64C8A24D0 + 7030.50ns INFO [00007032] Port=0 RD @00 + 7030.50ns INFO [00007032] Port=1 RD @02 + 7031.50ns INFO [00007033] * RD COMPARE * port=0 adr=00 act=EC405AE3EE7355AA14 exp=EC405AE3EE7355AA14 + 7031.50ns INFO [00007033] * RD COMPARE * port=1 adr=01 act=B61A7E93F26722892B exp=B61A7E93F26722892B + 7032.50ns INFO [00007034] * RD COMPARE * port=0 adr=00 act=EC405AE3EE7355AA14 exp=EC405AE3EE7355AA14 + 7032.50ns INFO [00007034] * RD COMPARE * port=1 adr=02 act=E71C4EFB2859259D3D exp=E71C4EFB2859259D3D + 7032.50ns INFO [00007034] Port=0 RD @02 + 7033.50ns INFO [00007035] Port=0 WR @06=88FB2C37F327A0B839 + 7033.50ns INFO [00007035] Port=1 RD @04 + 7034.50ns INFO [00007036] * RD COMPARE * port=0 adr=02 act=E71C4EFB2859259D3D exp=E71C4EFB2859259D3D + 7034.50ns INFO [00007036] Port=1 RD @04 + 7035.50ns INFO [00007037] * RD COMPARE * port=1 adr=04 act=241DA73743CC8ACAA8 exp=241DA73743CC8ACAA8 + 7035.50ns INFO [00007037] Port=1 RD @02 + 7036.50ns INFO [00007038] * RD COMPARE * port=1 adr=04 act=241DA73743CC8ACAA8 exp=241DA73743CC8ACAA8 + 7037.50ns INFO [00007039] * RD COMPARE * port=1 adr=02 act=E71C4EFB2859259D3D exp=E71C4EFB2859259D3D + 7038.50ns INFO [00007040] Port=0 WR @02=55F5B272775C2CAE3B + 7038.50ns INFO [00007040] Port=0 RD @05 + 7039.50ns INFO [00007041] Port=0 WR @01=EA67B7F1FB513A98C4 + 7040.50ns INFO [00007042] * RD COMPARE * port=0 adr=05 act=BF4B0E84044D028553 exp=BF4B0E84044D028553 + 7041.50ns INFO [00007043] Port=0 RD @01 + 7041.50ns INFO [00007043] Port=1 RD @05 + 7043.50ns INFO [00007045] * RD COMPARE * port=0 adr=01 act=EA67B7F1FB513A98C4 exp=EA67B7F1FB513A98C4 + 7043.50ns INFO [00007045] * RD COMPARE * port=1 adr=05 act=BF4B0E84044D028553 exp=BF4B0E84044D028553 + 7043.50ns INFO [00007045] Port=0 WR @02=9765987A7493B72249 + 7043.50ns INFO [00007045] Port=1 RD @06 + 7044.50ns INFO [00007046] Port=0 WR @06=3CCBAF4D85150528D9 + 7044.50ns INFO [00007046] Port=1 RD @04 + 7045.50ns INFO [00007047] * RD COMPARE * port=1 adr=06 act=88FB2C37F327A0B839 exp=88FB2C37F327A0B839 + 7046.50ns INFO [00007048] * RD COMPARE * port=1 adr=04 act=241DA73743CC8ACAA8 exp=241DA73743CC8ACAA8 + 7046.50ns INFO [00007048] Port=0 WR @06=0D8478CAAD1A78499A + 7048.50ns INFO [00007050] Port=1 RD @01 + 7049.50ns INFO [00007051] Port=0 WR @03=4809FDE68A6DFBF5FB + 7049.50ns INFO [00007051] Port=0 RD @00 + 7050.50ns INFO [00007052] * RD COMPARE * port=1 adr=01 act=EA67B7F1FB513A98C4 exp=EA67B7F1FB513A98C4 + 7050.50ns INFO [00007052] Port=1 RD @00 + 7051.50ns INFO [00007053] * RD COMPARE * port=0 adr=00 act=EC405AE3EE7355AA14 exp=EC405AE3EE7355AA14 + 7051.50ns INFO [00007053] Port=0 RD @03 + 7051.50ns INFO [00007053] Port=1 RD @04 + 7052.50ns INFO [00007054] * RD COMPARE * port=1 adr=00 act=EC405AE3EE7355AA14 exp=EC405AE3EE7355AA14 + 7052.50ns INFO [00007054] Port=0 RD @05 + 7053.50ns INFO [00007055] * RD COMPARE * port=0 adr=03 act=4809FDE68A6DFBF5FB exp=4809FDE68A6DFBF5FB + 7053.50ns INFO [00007055] * RD COMPARE * port=1 adr=04 act=241DA73743CC8ACAA8 exp=241DA73743CC8ACAA8 + 7053.50ns INFO [00007055] Port=0 WR @01=27417321622041A3C0 + 7053.50ns INFO [00007055] Port=0 RD @05 + 7053.50ns INFO [00007055] Port=1 RD @03 + 7054.50ns INFO [00007056] * RD COMPARE * port=0 adr=05 act=BF4B0E84044D028553 exp=BF4B0E84044D028553 + 7054.50ns INFO [00007056] Port=0 WR @07=CEEE6575841B829B4F + 7055.50ns INFO [00007057] * RD COMPARE * port=0 adr=05 act=BF4B0E84044D028553 exp=BF4B0E84044D028553 + 7055.50ns INFO [00007057] * RD COMPARE * port=1 adr=03 act=4809FDE68A6DFBF5FB exp=4809FDE68A6DFBF5FB + 7055.50ns INFO [00007057] Port=0 WR @06=C5B819023F2D9D65CA + 7055.50ns INFO [00007057] Port=0 RD @03 + 7057.50ns INFO [00007059] * RD COMPARE * port=0 adr=03 act=4809FDE68A6DFBF5FB exp=4809FDE68A6DFBF5FB + 7057.50ns INFO [00007059] Port=0 RD @04 + 7058.50ns INFO [00007060] Port=1 RD @05 + 7059.50ns INFO [00007061] * RD COMPARE * port=0 adr=04 act=241DA73743CC8ACAA8 exp=241DA73743CC8ACAA8 + 7060.50ns INFO [00007062] * RD COMPARE * port=1 adr=05 act=BF4B0E84044D028553 exp=BF4B0E84044D028553 + 7061.50ns INFO [00007063] Port=0 WR @05=7470C18145A0C6CCAF + 7063.50ns INFO [00007065] Port=0 WR @04=011E0AE2B60C763A7C + 7063.50ns INFO [00007065] Port=1 RD @06 + 7064.50ns INFO [00007066] Port=0 WR @01=966C6399E4808DC963 + 7064.50ns INFO [00007066] Port=0 RD @06 + 7065.50ns INFO [00007067] * RD COMPARE * port=1 adr=06 act=C5B819023F2D9D65CA exp=C5B819023F2D9D65CA + 7065.50ns INFO [00007067] Port=0 WR @00=8691774C49ABE4E132 + 7066.50ns INFO [00007068] * RD COMPARE * port=0 adr=06 act=C5B819023F2D9D65CA exp=C5B819023F2D9D65CA + 7067.50ns INFO [00007069] Port=0 RD @03 + 7068.50ns INFO [00007070] Port=0 WR @04=1FB178FB80C74F8988 + 7068.50ns INFO [00007070] Port=1 RD @01 + 7069.50ns INFO [00007071] * RD COMPARE * port=0 adr=03 act=4809FDE68A6DFBF5FB exp=4809FDE68A6DFBF5FB + 7069.50ns INFO [00007071] Port=0 RD @04 + 7070.50ns INFO [00007072] * RD COMPARE * port=1 adr=01 act=966C6399E4808DC963 exp=966C6399E4808DC963 + 7070.50ns INFO [00007072] Port=0 WR @00=9AB414A8CFD2B14D83 + 7070.50ns INFO [00007072] Port=1 RD @07 + 7071.50ns INFO [00007073] * RD COMPARE * port=0 adr=04 act=1FB178FB80C74F8988 exp=1FB178FB80C74F8988 + 7071.50ns INFO [00007073] Port=0 RD @05 + 7072.50ns INFO [00007074] * RD COMPARE * port=1 adr=07 act=CEEE6575841B829B4F exp=CEEE6575841B829B4F + 7072.50ns INFO [00007074] Port=0 WR @06=ACDB1BA8BBE500EB22 + 7072.50ns INFO [00007074] Port=0 RD @00 + 7072.50ns INFO [00007074] Port=1 RD @02 + 7073.50ns INFO [00007075] * RD COMPARE * port=0 adr=05 act=7470C18145A0C6CCAF exp=7470C18145A0C6CCAF + 7073.50ns INFO [00007075] Port=0 RD @04 + 7074.50ns INFO [00007076] * RD COMPARE * port=0 adr=00 act=9AB414A8CFD2B14D83 exp=9AB414A8CFD2B14D83 + 7074.50ns INFO [00007076] * RD COMPARE * port=1 adr=02 act=9765987A7493B72249 exp=9765987A7493B72249 + 7074.50ns INFO [00007076] Port=0 WR @02=28B25E6C4F7855BEFC + 7075.50ns INFO [00007077] * RD COMPARE * port=0 adr=04 act=1FB178FB80C74F8988 exp=1FB178FB80C74F8988 + 7075.50ns INFO [00007077] Port=0 WR @01=BAECFF0232DB025EE5 + 7076.50ns INFO [00007078] Port=1 RD @04 + 7077.50ns INFO [00007079] Port=0 RD @02 + 7078.50ns INFO [00007080] * RD COMPARE * port=1 adr=04 act=1FB178FB80C74F8988 exp=1FB178FB80C74F8988 + 7078.50ns INFO [00007080] Port=1 RD @05 + 7079.50ns INFO [00007081] * RD COMPARE * port=0 adr=02 act=28B25E6C4F7855BEFC exp=28B25E6C4F7855BEFC + 7079.50ns INFO [00007081] Port=0 RD @07 + 7080.50ns INFO [00007082] * RD COMPARE * port=1 adr=05 act=7470C18145A0C6CCAF exp=7470C18145A0C6CCAF + 7080.50ns INFO [00007082] Port=0 RD @05 + 7081.50ns INFO [00007083] * RD COMPARE * port=0 adr=07 act=CEEE6575841B829B4F exp=CEEE6575841B829B4F + 7081.50ns INFO [00007083] Port=0 WR @02=AAFD8E95BC8ED2F0CE + 7081.50ns INFO [00007083] Port=1 RD @05 + 7082.50ns INFO [00007084] * RD COMPARE * port=0 adr=05 act=7470C18145A0C6CCAF exp=7470C18145A0C6CCAF + 7082.50ns INFO [00007084] Port=0 RD @04 + 7083.50ns INFO [00007085] * RD COMPARE * port=1 adr=05 act=7470C18145A0C6CCAF exp=7470C18145A0C6CCAF + 7083.50ns INFO [00007085] Port=0 WR @00=17D7F4C22BC415CBAD + 7083.50ns INFO [00007085] Port=0 RD @01 + 7084.50ns INFO [00007086] * RD COMPARE * port=0 adr=04 act=1FB178FB80C74F8988 exp=1FB178FB80C74F8988 + 7084.50ns INFO [00007086] Port=1 RD @02 + 7085.50ns INFO [00007087] * RD COMPARE * port=0 adr=01 act=BAECFF0232DB025EE5 exp=BAECFF0232DB025EE5 + 7085.50ns INFO [00007087] Port=0 RD @07 + 7086.50ns INFO [00007088] * RD COMPARE * port=1 adr=02 act=AAFD8E95BC8ED2F0CE exp=AAFD8E95BC8ED2F0CE + 7086.50ns INFO [00007088] Port=0 WR @05=F7526C895AF28283C6 + 7086.50ns INFO [00007088] Port=0 RD @07 + 7086.50ns INFO [00007088] Port=1 RD @07 + 7087.50ns INFO [00007089] * RD COMPARE * port=0 adr=07 act=CEEE6575841B829B4F exp=CEEE6575841B829B4F + 7088.50ns INFO [00007090] * RD COMPARE * port=0 adr=07 act=CEEE6575841B829B4F exp=CEEE6575841B829B4F + 7088.50ns INFO [00007090] * RD COMPARE * port=1 adr=07 act=CEEE6575841B829B4F exp=CEEE6575841B829B4F + 7088.50ns INFO [00007090] Port=0 RD @01 + 7089.50ns INFO [00007091] Port=0 RD @02 + 7089.50ns INFO [00007091] Port=1 RD @02 + 7090.50ns INFO [00007092] * RD COMPARE * port=0 adr=01 act=BAECFF0232DB025EE5 exp=BAECFF0232DB025EE5 + 7090.50ns INFO [00007092] Port=1 RD @03 + 7091.50ns INFO [00007093] * RD COMPARE * port=0 adr=02 act=AAFD8E95BC8ED2F0CE exp=AAFD8E95BC8ED2F0CE + 7091.50ns INFO [00007093] * RD COMPARE * port=1 adr=02 act=AAFD8E95BC8ED2F0CE exp=AAFD8E95BC8ED2F0CE + 7091.50ns INFO [00007093] Port=0 WR @06=4E6A4CBD3F3EB4ECF5 + 7091.50ns INFO [00007093] Port=0 RD @07 + 7091.50ns INFO [00007093] Port=1 RD @07 + 7092.50ns INFO [00007094] * RD COMPARE * port=1 adr=03 act=4809FDE68A6DFBF5FB exp=4809FDE68A6DFBF5FB + 7093.50ns INFO [00007095] * RD COMPARE * port=0 adr=07 act=CEEE6575841B829B4F exp=CEEE6575841B829B4F + 7093.50ns INFO [00007095] * RD COMPARE * port=1 adr=07 act=CEEE6575841B829B4F exp=CEEE6575841B829B4F + 7093.50ns INFO [00007095] Port=0 RD @05 + 7093.50ns INFO [00007095] Port=1 RD @05 + 7094.50ns INFO [00007096] Port=1 RD @05 + 7095.50ns INFO [00007097] * RD COMPARE * port=0 adr=05 act=F7526C895AF28283C6 exp=F7526C895AF28283C6 + 7095.50ns INFO [00007097] * RD COMPARE * port=1 adr=05 act=F7526C895AF28283C6 exp=F7526C895AF28283C6 + 7095.50ns INFO [00007097] Port=0 WR @03=94495C15C2E13638CB + 7096.50ns INFO [00007098] * RD COMPARE * port=1 adr=05 act=F7526C895AF28283C6 exp=F7526C895AF28283C6 + 7096.50ns INFO [00007098] Port=0 WR @03=436744247AAF83FBDA + 7096.50ns INFO [00007098] Port=0 RD @01 + 7097.50ns INFO [00007099] Port=0 RD @07 + 7098.00ns INFO [00007100] [00007100] ...tick... + 7098.50ns INFO [00007100] * RD COMPARE * port=0 adr=01 act=BAECFF0232DB025EE5 exp=BAECFF0232DB025EE5 + 7098.50ns INFO [00007100] Port=1 RD @05 + 7099.50ns INFO [00007101] * RD COMPARE * port=0 adr=07 act=CEEE6575841B829B4F exp=CEEE6575841B829B4F + 7099.50ns INFO [00007101] Port=0 RD @00 + 7099.50ns INFO [00007101] Port=1 RD @02 + 7100.50ns INFO [00007102] * RD COMPARE * port=1 adr=05 act=F7526C895AF28283C6 exp=F7526C895AF28283C6 + 7100.50ns INFO [00007102] Port=0 RD @05 + 7101.50ns INFO [00007103] * RD COMPARE * port=0 adr=00 act=17D7F4C22BC415CBAD exp=17D7F4C22BC415CBAD + 7101.50ns INFO [00007103] * RD COMPARE * port=1 adr=02 act=AAFD8E95BC8ED2F0CE exp=AAFD8E95BC8ED2F0CE + 7101.50ns INFO [00007103] Port=0 WR @02=78A38154F702603F8B + 7101.50ns INFO [00007103] Port=1 RD @00 + 7102.50ns INFO [00007104] * RD COMPARE * port=0 adr=05 act=F7526C895AF28283C6 exp=F7526C895AF28283C6 + 7102.50ns INFO [00007104] Port=0 WR @07=9F4A62C52D591C329E + 7102.50ns INFO [00007104] Port=1 RD @05 + 7103.50ns INFO [00007105] * RD COMPARE * port=1 adr=00 act=17D7F4C22BC415CBAD exp=17D7F4C22BC415CBAD + 7103.50ns INFO [00007105] Port=0 RD @07 + 7104.50ns INFO [00007106] * RD COMPARE * port=1 adr=05 act=F7526C895AF28283C6 exp=F7526C895AF28283C6 + 7104.50ns INFO [00007106] Port=0 WR @05=1782163510C2630C83 + 7104.50ns INFO [00007106] Port=0 RD @07 + 7104.50ns INFO [00007106] Port=1 RD @07 + 7105.50ns INFO [00007107] * RD COMPARE * port=0 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7105.50ns INFO [00007107] Port=0 RD @07 + 7105.50ns INFO [00007107] Port=1 RD @03 + 7106.50ns INFO [00007108] * RD COMPARE * port=0 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7106.50ns INFO [00007108] * RD COMPARE * port=1 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7106.50ns INFO [00007108] Port=1 RD @04 + 7107.50ns INFO [00007109] * RD COMPARE * port=0 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7107.50ns INFO [00007109] * RD COMPARE * port=1 adr=03 act=436744247AAF83FBDA exp=436744247AAF83FBDA + 7107.50ns INFO [00007109] Port=0 RD @01 + 7107.50ns INFO [00007109] Port=1 RD @01 + 7108.50ns INFO [00007110] * RD COMPARE * port=1 adr=04 act=1FB178FB80C74F8988 exp=1FB178FB80C74F8988 + 7108.50ns INFO [00007110] Port=0 RD @03 + 7109.50ns INFO [00007111] * RD COMPARE * port=0 adr=01 act=BAECFF0232DB025EE5 exp=BAECFF0232DB025EE5 + 7109.50ns INFO [00007111] * RD COMPARE * port=1 adr=01 act=BAECFF0232DB025EE5 exp=BAECFF0232DB025EE5 + 7109.50ns INFO [00007111] Port=0 WR @00=7647F46C2262490815 + 7110.50ns INFO [00007112] * RD COMPARE * port=0 adr=03 act=436744247AAF83FBDA exp=436744247AAF83FBDA + 7110.50ns INFO [00007112] Port=0 WR @06=100CDAC2A972B30552 + 7110.50ns INFO [00007112] Port=1 RD @02 + 7111.50ns INFO [00007113] Port=0 WR @01=FAE0BB90F7B7AAB3CF + 7111.50ns INFO [00007113] Port=1 RD @07 + 7112.50ns INFO [00007114] * RD COMPARE * port=1 adr=02 act=78A38154F702603F8B exp=78A38154F702603F8B + 7112.50ns INFO [00007114] Port=0 WR @06=7360C54B820B1C0764 + 7113.50ns INFO [00007115] * RD COMPARE * port=1 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7113.50ns INFO [00007115] Port=0 WR @00=C09CB74A72040D9A69 + 7113.50ns INFO [00007115] Port=1 RD @03 + 7114.50ns INFO [00007116] Port=0 WR @00=A377F184DA6F3EE7B5 + 7115.50ns INFO [00007117] * RD COMPARE * port=1 adr=03 act=436744247AAF83FBDA exp=436744247AAF83FBDA + 7115.50ns INFO [00007117] Port=0 WR @03=62FD58544D51D321BB + 7115.50ns INFO [00007117] Port=0 RD @06 + 7117.50ns INFO [00007119] * RD COMPARE * port=0 adr=06 act=7360C54B820B1C0764 exp=7360C54B820B1C0764 + 7117.50ns INFO [00007119] Port=0 WR @03=0D1AA15E13276F639C + 7119.50ns INFO [00007121] Port=0 WR @01=366CB7CAAEB7A718DC + 7119.50ns INFO [00007121] Port=1 RD @05 + 7120.50ns INFO [00007122] Port=1 RD @00 + 7121.50ns INFO [00007123] * RD COMPARE * port=1 adr=05 act=1782163510C2630C83 exp=1782163510C2630C83 + 7121.50ns INFO [00007123] Port=0 RD @03 + 7122.50ns INFO [00007124] * RD COMPARE * port=1 adr=00 act=A377F184DA6F3EE7B5 exp=A377F184DA6F3EE7B5 + 7123.50ns INFO [00007125] * RD COMPARE * port=0 adr=03 act=0D1AA15E13276F639C exp=0D1AA15E13276F639C + 7123.50ns INFO [00007125] Port=1 RD @01 + 7124.50ns INFO [00007126] Port=0 RD @02 + 7124.50ns INFO [00007126] Port=1 RD @07 + 7125.50ns INFO [00007127] * RD COMPARE * port=1 adr=01 act=366CB7CAAEB7A718DC exp=366CB7CAAEB7A718DC + 7125.50ns INFO [00007127] Port=0 WR @00=A8BAB28898B0840CEB + 7126.50ns INFO [00007128] * RD COMPARE * port=0 adr=02 act=78A38154F702603F8B exp=78A38154F702603F8B + 7126.50ns INFO [00007128] * RD COMPARE * port=1 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7126.50ns INFO [00007128] Port=0 WR @00=738B0EBC46D0BECBC6 + 7127.50ns INFO [00007129] Port=0 WR @04=2759125142CE1D7F8C + 7127.50ns INFO [00007129] Port=0 RD @03 + 7129.50ns INFO [00007131] * RD COMPARE * port=0 adr=03 act=0D1AA15E13276F639C exp=0D1AA15E13276F639C + 7130.50ns INFO [00007132] Port=0 RD @04 + 7131.50ns INFO [00007133] Port=1 RD @06 + 7132.50ns INFO [00007134] * RD COMPARE * port=0 adr=04 act=2759125142CE1D7F8C exp=2759125142CE1D7F8C + 7133.50ns INFO [00007135] * RD COMPARE * port=1 adr=06 act=7360C54B820B1C0764 exp=7360C54B820B1C0764 + 7133.50ns INFO [00007135] Port=0 WR @04=A8737D7FFF1CCE6E4B + 7133.50ns INFO [00007135] Port=1 RD @07 + 7134.50ns INFO [00007136] Port=0 RD @04 + 7135.50ns INFO [00007137] * RD COMPARE * port=1 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7135.50ns INFO [00007137] Port=0 WR @05=7729778AED66076444 + 7135.50ns INFO [00007137] Port=1 RD @01 + 7136.50ns INFO [00007138] * RD COMPARE * port=0 adr=04 act=A8737D7FFF1CCE6E4B exp=A8737D7FFF1CCE6E4B + 7137.50ns INFO [00007139] * RD COMPARE * port=1 adr=01 act=366CB7CAAEB7A718DC exp=366CB7CAAEB7A718DC + 7137.50ns INFO [00007139] Port=0 WR @05=0EAE55D2C5F27BBDDB + 7138.50ns INFO [00007140] Port=0 WR @05=C4FBFA8F0ABE30A2A9 + 7138.50ns INFO [00007140] Port=1 RD @07 + 7140.50ns INFO [00007142] * RD COMPARE * port=1 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7142.50ns INFO [00007144] Port=0 WR @06=428C11EE74BF635E7F + 7144.50ns INFO [00007146] Port=0 WR @06=CBD1743B67231B05C8 + 7144.50ns INFO [00007146] Port=1 RD @01 + 7145.50ns INFO [00007147] Port=0 WR @03=76619A8C8C4CDC933A + 7146.50ns INFO [00007148] * RD COMPARE * port=1 adr=01 act=366CB7CAAEB7A718DC exp=366CB7CAAEB7A718DC + 7146.50ns INFO [00007148] Port=0 WR @00=F1754351B306ADC329 + 7146.50ns INFO [00007148] Port=0 RD @04 + 7146.50ns INFO [00007148] Port=1 RD @06 + 7148.50ns INFO [00007150] * RD COMPARE * port=0 adr=04 act=A8737D7FFF1CCE6E4B exp=A8737D7FFF1CCE6E4B + 7148.50ns INFO [00007150] * RD COMPARE * port=1 adr=06 act=CBD1743B67231B05C8 exp=CBD1743B67231B05C8 + 7148.50ns INFO [00007150] Port=0 RD @06 + 7148.50ns INFO [00007150] Port=1 RD @07 + 7149.50ns INFO [00007151] Port=0 WR @02=18D7F454EF1051D007 + 7149.50ns INFO [00007151] Port=1 RD @04 + 7150.50ns INFO [00007152] * RD COMPARE * port=0 adr=06 act=CBD1743B67231B05C8 exp=CBD1743B67231B05C8 + 7150.50ns INFO [00007152] * RD COMPARE * port=1 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7150.50ns INFO [00007152] Port=0 RD @03 + 7150.50ns INFO [00007152] Port=1 RD @07 + 7151.50ns INFO [00007153] * RD COMPARE * port=1 adr=04 act=A8737D7FFF1CCE6E4B exp=A8737D7FFF1CCE6E4B + 7151.50ns INFO [00007153] Port=0 RD @00 + 7152.50ns INFO [00007154] * RD COMPARE * port=0 adr=03 act=76619A8C8C4CDC933A exp=76619A8C8C4CDC933A + 7152.50ns INFO [00007154] * RD COMPARE * port=1 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7152.50ns INFO [00007154] Port=0 RD @06 + 7153.50ns INFO [00007155] * RD COMPARE * port=0 adr=00 act=F1754351B306ADC329 exp=F1754351B306ADC329 + 7153.50ns INFO [00007155] Port=0 WR @02=F8E08BD372ACBD803A + 7153.50ns INFO [00007155] Port=1 RD @06 + 7154.50ns INFO [00007156] * RD COMPARE * port=0 adr=06 act=CBD1743B67231B05C8 exp=CBD1743B67231B05C8 + 7154.50ns INFO [00007156] Port=0 RD @03 + 7154.50ns INFO [00007156] Port=1 RD @01 + 7155.50ns INFO [00007157] * RD COMPARE * port=1 adr=06 act=CBD1743B67231B05C8 exp=CBD1743B67231B05C8 + 7156.50ns INFO [00007158] * RD COMPARE * port=0 adr=03 act=76619A8C8C4CDC933A exp=76619A8C8C4CDC933A + 7156.50ns INFO [00007158] * RD COMPARE * port=1 adr=01 act=366CB7CAAEB7A718DC exp=366CB7CAAEB7A718DC + 7156.50ns INFO [00007158] Port=0 WR @01=1A9FEF0566C306C96E + 7156.50ns INFO [00007158] Port=0 RD @07 + 7157.50ns INFO [00007159] Port=0 RD @07 + 7158.50ns INFO [00007160] * RD COMPARE * port=0 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7158.50ns INFO [00007160] Port=0 RD @00 + 7159.50ns INFO [00007161] * RD COMPARE * port=0 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7160.50ns INFO [00007162] * RD COMPARE * port=0 adr=00 act=F1754351B306ADC329 exp=F1754351B306ADC329 + 7160.50ns INFO [00007162] Port=0 WR @00=C9EBC12BF7F7C6D08B + 7160.50ns INFO [00007162] Port=0 RD @02 + 7161.50ns INFO [00007163] Port=0 RD @01 + 7162.50ns INFO [00007164] * RD COMPARE * port=0 adr=02 act=F8E08BD372ACBD803A exp=F8E08BD372ACBD803A + 7162.50ns INFO [00007164] Port=0 WR @05=631D36795A23B7F07F + 7162.50ns INFO [00007164] Port=0 RD @02 + 7163.50ns INFO [00007165] * RD COMPARE * port=0 adr=01 act=1A9FEF0566C306C96E exp=1A9FEF0566C306C96E + 7163.50ns INFO [00007165] Port=0 WR @06=F950FB68B52EFA6BB3 + 7163.50ns INFO [00007165] Port=0 RD @04 + 7164.50ns INFO [00007166] * RD COMPARE * port=0 adr=02 act=F8E08BD372ACBD803A exp=F8E08BD372ACBD803A + 7164.50ns INFO [00007166] Port=1 RD @03 + 7165.50ns INFO [00007167] * RD COMPARE * port=0 adr=04 act=A8737D7FFF1CCE6E4B exp=A8737D7FFF1CCE6E4B + 7165.50ns INFO [00007167] Port=0 WR @04=9F0FD100425D2F4BA4 + 7165.50ns INFO [00007167] Port=0 RD @00 + 7166.50ns INFO [00007168] * RD COMPARE * port=1 adr=03 act=76619A8C8C4CDC933A exp=76619A8C8C4CDC933A + 7166.50ns INFO [00007168] Port=1 RD @04 + 7167.50ns INFO [00007169] * RD COMPARE * port=0 adr=00 act=C9EBC12BF7F7C6D08B exp=C9EBC12BF7F7C6D08B + 7168.50ns INFO [00007170] * RD COMPARE * port=1 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7168.50ns INFO [00007170] Port=0 WR @02=5FF79703C7EC822712 + 7169.50ns INFO [00007171] Port=1 RD @00 + 7171.50ns INFO [00007173] * RD COMPARE * port=1 adr=00 act=C9EBC12BF7F7C6D08B exp=C9EBC12BF7F7C6D08B + 7171.50ns INFO [00007173] Port=1 RD @03 + 7172.50ns INFO [00007174] Port=0 RD @04 + 7173.50ns INFO [00007175] * RD COMPARE * port=1 adr=03 act=76619A8C8C4CDC933A exp=76619A8C8C4CDC933A + 7173.50ns INFO [00007175] Port=0 RD @00 + 7174.50ns INFO [00007176] * RD COMPARE * port=0 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7175.50ns INFO [00007177] * RD COMPARE * port=0 adr=00 act=C9EBC12BF7F7C6D08B exp=C9EBC12BF7F7C6D08B + 7175.50ns INFO [00007177] Port=0 RD @01 + 7175.50ns INFO [00007177] Port=1 RD @03 + 7176.50ns INFO [00007178] Port=0 RD @07 + 7176.50ns INFO [00007178] Port=1 RD @06 + 7177.50ns INFO [00007179] * RD COMPARE * port=0 adr=01 act=1A9FEF0566C306C96E exp=1A9FEF0566C306C96E + 7177.50ns INFO [00007179] * RD COMPARE * port=1 adr=03 act=76619A8C8C4CDC933A exp=76619A8C8C4CDC933A + 7177.50ns INFO [00007179] Port=0 RD @06 + 7178.50ns INFO [00007180] * RD COMPARE * port=0 adr=07 act=9F4A62C52D591C329E exp=9F4A62C52D591C329E + 7178.50ns INFO [00007180] * RD COMPARE * port=1 adr=06 act=F950FB68B52EFA6BB3 exp=F950FB68B52EFA6BB3 + 7178.50ns INFO [00007180] Port=0 WR @01=85B1512958AC2F145A + 7178.50ns INFO [00007180] Port=0 RD @00 + 7178.50ns INFO [00007180] Port=1 RD @00 + 7179.50ns INFO [00007181] * RD COMPARE * port=0 adr=06 act=F950FB68B52EFA6BB3 exp=F950FB68B52EFA6BB3 + 7179.50ns INFO [00007181] Port=1 RD @00 + 7180.50ns INFO [00007182] * RD COMPARE * port=0 adr=00 act=C9EBC12BF7F7C6D08B exp=C9EBC12BF7F7C6D08B + 7180.50ns INFO [00007182] * RD COMPARE * port=1 adr=00 act=C9EBC12BF7F7C6D08B exp=C9EBC12BF7F7C6D08B + 7181.50ns INFO [00007183] * RD COMPARE * port=1 adr=00 act=C9EBC12BF7F7C6D08B exp=C9EBC12BF7F7C6D08B + 7181.50ns INFO [00007183] Port=0 RD @06 + 7182.50ns INFO [00007184] Port=0 WR @02=AAA17F6B7B8D1E37AB + 7183.50ns INFO [00007185] * RD COMPARE * port=0 adr=06 act=F950FB68B52EFA6BB3 exp=F950FB68B52EFA6BB3 + 7183.50ns INFO [00007185] Port=1 RD @00 + 7184.50ns INFO [00007186] Port=0 RD @00 + 7185.50ns INFO [00007187] * RD COMPARE * port=1 adr=00 act=C9EBC12BF7F7C6D08B exp=C9EBC12BF7F7C6D08B + 7185.50ns INFO [00007187] Port=0 RD @06 + 7186.50ns INFO [00007188] * RD COMPARE * port=0 adr=00 act=C9EBC12BF7F7C6D08B exp=C9EBC12BF7F7C6D08B + 7186.50ns INFO [00007188] Port=0 WR @03=DA3239E9E94162BBCF + 7186.50ns INFO [00007188] Port=0 RD @04 + 7186.50ns INFO [00007188] Port=1 RD @00 + 7187.50ns INFO [00007189] * RD COMPARE * port=0 adr=06 act=F950FB68B52EFA6BB3 exp=F950FB68B52EFA6BB3 + 7188.50ns INFO [00007190] * RD COMPARE * port=0 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7188.50ns INFO [00007190] * RD COMPARE * port=1 adr=00 act=C9EBC12BF7F7C6D08B exp=C9EBC12BF7F7C6D08B + 7189.50ns INFO [00007191] Port=0 WR @03=0BEFD8016930F22729 + 7189.50ns INFO [00007191] Port=1 RD @02 + 7190.50ns INFO [00007192] Port=0 WR @07=1E1A2644CC60687DE8 + 7190.50ns INFO [00007192] Port=1 RD @02 + 7191.50ns INFO [00007193] * RD COMPARE * port=1 adr=02 act=AAA17F6B7B8D1E37AB exp=AAA17F6B7B8D1E37AB + 7192.50ns INFO [00007194] * RD COMPARE * port=1 adr=02 act=AAA17F6B7B8D1E37AB exp=AAA17F6B7B8D1E37AB + 7193.50ns INFO [00007195] Port=0 WR @05=7926A6DE5B57AC2F3E + 7194.50ns INFO [00007196] Port=0 RD @04 + 7194.50ns INFO [00007196] Port=1 RD @05 + 7195.50ns INFO [00007197] Port=0 RD @01 + 7196.50ns INFO [00007198] * RD COMPARE * port=0 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7196.50ns INFO [00007198] * RD COMPARE * port=1 adr=05 act=7926A6DE5B57AC2F3E exp=7926A6DE5B57AC2F3E + 7197.50ns INFO [00007199] * RD COMPARE * port=0 adr=01 act=85B1512958AC2F145A exp=85B1512958AC2F145A + 7197.50ns INFO [00007199] Port=0 WR @01=8DA39AA43828EFBB1C + 7197.50ns INFO [00007199] Port=1 RD @02 + 7198.00ns INFO [00007200] [00007200] ...tick... + 7199.50ns INFO [00007201] * RD COMPARE * port=1 adr=02 act=AAA17F6B7B8D1E37AB exp=AAA17F6B7B8D1E37AB + 7199.50ns INFO [00007201] Port=0 WR @00=453652E838CE912DC3 + 7200.50ns INFO [00007202] Port=0 WR @02=BA4AF71A703F371A5B + 7200.50ns INFO [00007202] Port=0 RD @04 + 7200.50ns INFO [00007202] Port=1 RD @04 + 7201.50ns INFO [00007203] Port=1 RD @01 + 7202.50ns INFO [00007204] * RD COMPARE * port=0 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7202.50ns INFO [00007204] * RD COMPARE * port=1 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7202.50ns INFO [00007204] Port=0 RD @07 + 7203.50ns INFO [00007205] * RD COMPARE * port=1 adr=01 act=8DA39AA43828EFBB1C exp=8DA39AA43828EFBB1C + 7204.50ns INFO [00007206] * RD COMPARE * port=0 adr=07 act=1E1A2644CC60687DE8 exp=1E1A2644CC60687DE8 + 7204.50ns INFO [00007206] Port=0 WR @02=A425C371823F90A917 + 7204.50ns INFO [00007206] Port=0 RD @03 + 7204.50ns INFO [00007206] Port=1 RD @04 + 7206.50ns INFO [00007208] * RD COMPARE * port=0 adr=03 act=0BEFD8016930F22729 exp=0BEFD8016930F22729 + 7206.50ns INFO [00007208] * RD COMPARE * port=1 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7206.50ns INFO [00007208] Port=0 RD @06 + 7206.50ns INFO [00007208] Port=1 RD @03 + 7207.50ns INFO [00007209] Port=0 WR @07=27BD9E01660ADA7A7F + 7207.50ns INFO [00007209] Port=0 RD @02 + 7207.50ns INFO [00007209] Port=1 RD @01 + 7208.50ns INFO [00007210] * RD COMPARE * port=0 adr=06 act=F950FB68B52EFA6BB3 exp=F950FB68B52EFA6BB3 + 7208.50ns INFO [00007210] * RD COMPARE * port=1 adr=03 act=0BEFD8016930F22729 exp=0BEFD8016930F22729 + 7208.50ns INFO [00007210] Port=0 RD @04 + 7208.50ns INFO [00007210] Port=1 RD @06 + 7209.50ns INFO [00007211] * RD COMPARE * port=0 adr=02 act=A425C371823F90A917 exp=A425C371823F90A917 + 7209.50ns INFO [00007211] * RD COMPARE * port=1 adr=01 act=8DA39AA43828EFBB1C exp=8DA39AA43828EFBB1C + 7209.50ns INFO [00007211] Port=0 WR @06=3EB82BA35D3AAA0ACA + 7209.50ns INFO [00007211] Port=0 RD @01 + 7210.50ns INFO [00007212] * RD COMPARE * port=0 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7210.50ns INFO [00007212] * RD COMPARE * port=1 adr=06 act=F950FB68B52EFA6BB3 exp=F950FB68B52EFA6BB3 + 7210.50ns INFO [00007212] Port=0 RD @04 + 7210.50ns INFO [00007212] Port=1 RD @00 + 7211.50ns INFO [00007213] * RD COMPARE * port=0 adr=01 act=8DA39AA43828EFBB1C exp=8DA39AA43828EFBB1C + 7211.50ns INFO [00007213] Port=0 RD @05 + 7211.50ns INFO [00007213] Port=1 RD @00 + 7212.50ns INFO [00007214] * RD COMPARE * port=0 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7212.50ns INFO [00007214] * RD COMPARE * port=1 adr=00 act=453652E838CE912DC3 exp=453652E838CE912DC3 + 7212.50ns INFO [00007214] Port=0 RD @04 + 7213.50ns INFO [00007215] * RD COMPARE * port=0 adr=05 act=7926A6DE5B57AC2F3E exp=7926A6DE5B57AC2F3E + 7213.50ns INFO [00007215] * RD COMPARE * port=1 adr=00 act=453652E838CE912DC3 exp=453652E838CE912DC3 + 7213.50ns INFO [00007215] Port=0 WR @03=009A24447586C5EB82 + 7213.50ns INFO [00007215] Port=0 RD @01 + 7214.50ns INFO [00007216] * RD COMPARE * port=0 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7214.50ns INFO [00007216] Port=1 RD @00 + 7215.50ns INFO [00007217] * RD COMPARE * port=0 adr=01 act=8DA39AA43828EFBB1C exp=8DA39AA43828EFBB1C + 7216.50ns INFO [00007218] * RD COMPARE * port=1 adr=00 act=453652E838CE912DC3 exp=453652E838CE912DC3 + 7217.50ns INFO [00007219] Port=1 RD @04 + 7219.50ns INFO [00007221] * RD COMPARE * port=1 adr=04 act=9F0FD100425D2F4BA4 exp=9F0FD100425D2F4BA4 + 7219.50ns INFO [00007221] Port=0 WR @05=A500C0DAE7C3DCBD85 + 7220.50ns INFO [00007222] Port=0 WR @04=C9F89F0BBFD6BD1A37 + 7220.50ns INFO [00007222] Port=0 RD @05 + 7222.50ns INFO [00007224] * RD COMPARE * port=0 adr=05 act=A500C0DAE7C3DCBD85 exp=A500C0DAE7C3DCBD85 + 7222.50ns INFO [00007224] Port=0 WR @06=52DD05EB1950019D20 + 7224.50ns INFO [00007226] Port=0 WR @07=5BCC61323E5BCDD3D7 + 7225.50ns INFO [00007227] Port=0 WR @01=D00EC468AC9B3789FF + 7226.50ns INFO [00007228] Port=0 RD @05 + 7226.50ns INFO [00007228] Port=1 RD @03 + 7227.50ns INFO [00007229] Port=0 RD @02 + 7228.50ns INFO [00007230] * RD COMPARE * port=0 adr=05 act=A500C0DAE7C3DCBD85 exp=A500C0DAE7C3DCBD85 + 7228.50ns INFO [00007230] * RD COMPARE * port=1 adr=03 act=009A24447586C5EB82 exp=009A24447586C5EB82 + 7228.50ns INFO [00007230] Port=0 WR @01=FBF9C4C1FAF875EB43 + 7228.50ns INFO [00007230] Port=1 RD @07 + 7229.50ns INFO [00007231] * RD COMPARE * port=0 adr=02 act=A425C371823F90A917 exp=A425C371823F90A917 + 7229.50ns INFO [00007231] Port=1 RD @01 + 7230.50ns INFO [00007232] * RD COMPARE * port=1 adr=07 act=5BCC61323E5BCDD3D7 exp=5BCC61323E5BCDD3D7 + 7230.50ns INFO [00007232] Port=0 RD @00 + 7230.50ns INFO [00007232] Port=1 RD @03 + 7231.50ns INFO [00007233] * RD COMPARE * port=1 adr=01 act=FBF9C4C1FAF875EB43 exp=FBF9C4C1FAF875EB43 + 7232.50ns INFO [00007234] * RD COMPARE * port=0 adr=00 act=453652E838CE912DC3 exp=453652E838CE912DC3 + 7232.50ns INFO [00007234] * RD COMPARE * port=1 adr=03 act=009A24447586C5EB82 exp=009A24447586C5EB82 + 7232.50ns INFO [00007234] Port=1 RD @07 + 7233.50ns INFO [00007235] Port=0 WR @00=0E5F8A865C02A164CC + 7234.50ns INFO [00007236] * RD COMPARE * port=1 adr=07 act=5BCC61323E5BCDD3D7 exp=5BCC61323E5BCDD3D7 + 7234.50ns INFO [00007236] Port=0 RD @04 + 7235.50ns INFO [00007237] Port=1 RD @02 + 7236.50ns INFO [00007238] * RD COMPARE * port=0 adr=04 act=C9F89F0BBFD6BD1A37 exp=C9F89F0BBFD6BD1A37 + 7236.50ns INFO [00007238] Port=0 RD @00 + 7236.50ns INFO [00007238] Port=1 RD @03 + 7237.50ns INFO [00007239] * RD COMPARE * port=1 adr=02 act=A425C371823F90A917 exp=A425C371823F90A917 + 7238.50ns INFO [00007240] * RD COMPARE * port=0 adr=00 act=0E5F8A865C02A164CC exp=0E5F8A865C02A164CC + 7238.50ns INFO [00007240] * RD COMPARE * port=1 adr=03 act=009A24447586C5EB82 exp=009A24447586C5EB82 + 7239.50ns INFO [00007241] Port=0 RD @06 + 7239.50ns INFO [00007241] Port=1 RD @01 + 7241.50ns INFO [00007243] * RD COMPARE * port=0 adr=06 act=52DD05EB1950019D20 exp=52DD05EB1950019D20 + 7241.50ns INFO [00007243] * RD COMPARE * port=1 adr=01 act=FBF9C4C1FAF875EB43 exp=FBF9C4C1FAF875EB43 + 7241.50ns INFO [00007243] Port=0 WR @00=3271A7A99F11096273 + 7241.50ns INFO [00007243] Port=1 RD @04 + 7242.50ns INFO [00007244] Port=0 WR @01=198557A2C99F817F58 + 7242.50ns INFO [00007244] Port=1 RD @06 + 7243.50ns INFO [00007245] * RD COMPARE * port=1 adr=04 act=C9F89F0BBFD6BD1A37 exp=C9F89F0BBFD6BD1A37 + 7243.50ns INFO [00007245] Port=1 RD @05 + 7244.50ns INFO [00007246] * RD COMPARE * port=1 adr=06 act=52DD05EB1950019D20 exp=52DD05EB1950019D20 + 7244.50ns INFO [00007246] Port=0 WR @04=E306122169C7F2FA64 + 7245.50ns INFO [00007247] * RD COMPARE * port=1 adr=05 act=A500C0DAE7C3DCBD85 exp=A500C0DAE7C3DCBD85 + 7245.50ns INFO [00007247] Port=1 RD @00 + 7246.50ns INFO [00007248] Port=0 WR @05=F23ACE93086F2401D9 + 7246.50ns INFO [00007248] Port=0 RD @01 + 7246.50ns INFO [00007248] Port=1 RD @00 + 7247.50ns INFO [00007249] * RD COMPARE * port=1 adr=00 act=3271A7A99F11096273 exp=3271A7A99F11096273 + 7247.50ns INFO [00007249] Port=1 RD @06 + 7248.50ns INFO [00007250] * RD COMPARE * port=0 adr=01 act=198557A2C99F817F58 exp=198557A2C99F817F58 + 7248.50ns INFO [00007250] * RD COMPARE * port=1 adr=00 act=3271A7A99F11096273 exp=3271A7A99F11096273 + 7248.50ns INFO [00007250] Port=0 WR @07=8815A54EC7A4010E58 + 7248.50ns INFO [00007250] Port=1 RD @00 + 7249.50ns INFO [00007251] * RD COMPARE * port=1 adr=06 act=52DD05EB1950019D20 exp=52DD05EB1950019D20 + 7250.50ns INFO [00007252] * RD COMPARE * port=1 adr=00 act=3271A7A99F11096273 exp=3271A7A99F11096273 + 7250.50ns INFO [00007252] Port=0 RD @00 + 7252.50ns INFO [00007254] * RD COMPARE * port=0 adr=00 act=3271A7A99F11096273 exp=3271A7A99F11096273 + 7252.50ns INFO [00007254] Port=0 RD @07 + 7253.50ns INFO [00007255] Port=0 RD @06 + 7254.50ns INFO [00007256] * RD COMPARE * port=0 adr=07 act=8815A54EC7A4010E58 exp=8815A54EC7A4010E58 + 7254.50ns INFO [00007256] Port=1 RD @07 + 7255.50ns INFO [00007257] * RD COMPARE * port=0 adr=06 act=52DD05EB1950019D20 exp=52DD05EB1950019D20 + 7255.50ns INFO [00007257] Port=1 RD @02 + 7256.50ns INFO [00007258] * RD COMPARE * port=1 adr=07 act=8815A54EC7A4010E58 exp=8815A54EC7A4010E58 + 7257.50ns INFO [00007259] * RD COMPARE * port=1 adr=02 act=A425C371823F90A917 exp=A425C371823F90A917 + 7258.50ns INFO [00007260] Port=0 WR @06=CA480B6B26E5F05649 + 7258.50ns INFO [00007260] Port=1 RD @00 + 7260.50ns INFO [00007262] * RD COMPARE * port=1 adr=00 act=3271A7A99F11096273 exp=3271A7A99F11096273 + 7260.50ns INFO [00007262] Port=0 WR @07=AD1C98826DDBAE1915 + 7260.50ns INFO [00007262] Port=0 RD @05 + 7261.50ns INFO [00007263] Port=0 WR @05=5736FF41D12AF1E7F7 + 7262.50ns INFO [00007264] * RD COMPARE * port=0 adr=05 act=F23ACE93086F2401D9 exp=F23ACE93086F2401D9 + 7262.50ns INFO [00007264] Port=0 WR @06=7E71392C9336D828B9 + 7262.50ns INFO [00007264] Port=0 RD @03 + 7262.50ns INFO [00007264] Port=1 RD @01 + 7263.50ns INFO [00007265] Port=0 WR @04=F6D6A82BF339C07717 + 7264.50ns INFO [00007266] * RD COMPARE * port=0 adr=03 act=009A24447586C5EB82 exp=009A24447586C5EB82 + 7264.50ns INFO [00007266] * RD COMPARE * port=1 adr=01 act=198557A2C99F817F58 exp=198557A2C99F817F58 + 7264.50ns INFO [00007266] Port=0 RD @07 + 7265.50ns INFO [00007267] Port=0 WR @00=6A450ED8412D1C65E8 + 7265.50ns INFO [00007267] Port=0 RD @04 + 7266.50ns INFO [00007268] * RD COMPARE * port=0 adr=07 act=AD1C98826DDBAE1915 exp=AD1C98826DDBAE1915 + 7266.50ns INFO [00007268] Port=0 RD @00 + 7267.50ns INFO [00007269] * RD COMPARE * port=0 adr=04 act=F6D6A82BF339C07717 exp=F6D6A82BF339C07717 + 7267.50ns INFO [00007269] Port=0 WR @02=CE062E9C41BDA7F687 + 7267.50ns INFO [00007269] Port=0 RD @01 + 7268.50ns INFO [00007270] * RD COMPARE * port=0 adr=00 act=6A450ED8412D1C65E8 exp=6A450ED8412D1C65E8 + 7269.50ns INFO [00007271] * RD COMPARE * port=0 adr=01 act=198557A2C99F817F58 exp=198557A2C99F817F58 + 7269.50ns INFO [00007271] Port=0 WR @02=0CF4309CBC0E6F59B9 + 7271.50ns INFO [00007273] Port=0 WR @04=4D847A7CCB61129C33 + 7271.50ns INFO [00007273] Port=0 RD @05 + 7272.50ns INFO [00007274] Port=0 WR @00=30155877A28E015A79 + 7272.50ns INFO [00007274] Port=1 RD @04 + 7273.50ns INFO [00007275] * RD COMPARE * port=0 adr=05 act=5736FF41D12AF1E7F7 exp=5736FF41D12AF1E7F7 + 7274.50ns INFO [00007276] * RD COMPARE * port=1 adr=04 act=4D847A7CCB61129C33 exp=4D847A7CCB61129C33 + 7274.50ns INFO [00007276] Port=0 RD @05 + 7275.50ns INFO [00007277] Port=0 WR @00=5D88FF5C1731055C39 + 7275.50ns INFO [00007277] Port=1 RD @06 + 7276.50ns INFO [00007278] * RD COMPARE * port=0 adr=05 act=5736FF41D12AF1E7F7 exp=5736FF41D12AF1E7F7 + 7276.50ns INFO [00007278] Port=0 WR @03=C4473B8BBF39CF3355 + 7276.50ns INFO [00007278] Port=1 RD @05 + 7277.50ns INFO [00007279] * RD COMPARE * port=1 adr=06 act=7E71392C9336D828B9 exp=7E71392C9336D828B9 + 7278.50ns INFO [00007280] * RD COMPARE * port=1 adr=05 act=5736FF41D12AF1E7F7 exp=5736FF41D12AF1E7F7 + 7278.50ns INFO [00007280] Port=0 WR @07=5892039DCF2F9E4BDA + 7279.50ns INFO [00007281] Port=0 RD @07 + 7280.50ns INFO [00007282] Port=0 WR @00=66028139CA9B55A1CD + 7281.50ns INFO [00007283] * RD COMPARE * port=0 adr=07 act=5892039DCF2F9E4BDA exp=5892039DCF2F9E4BDA + 7281.50ns INFO [00007283] Port=0 WR @04=A9FD1AF9E0C3203ABF + 7281.50ns INFO [00007283] Port=0 RD @03 + 7283.50ns INFO [00007285] * RD COMPARE * port=0 adr=03 act=C4473B8BBF39CF3355 exp=C4473B8BBF39CF3355 + 7283.50ns INFO [00007285] Port=1 RD @05 + 7284.50ns INFO [00007286] Port=0 WR @01=3C1B96D0A7ADEC1BFE + 7284.50ns INFO [00007286] Port=1 RD @03 + 7285.50ns INFO [00007287] * RD COMPARE * port=1 adr=05 act=5736FF41D12AF1E7F7 exp=5736FF41D12AF1E7F7 + 7285.50ns INFO [00007287] Port=0 WR @04=A365C68BCEF015F25F + 7286.50ns INFO [00007288] * RD COMPARE * port=1 adr=03 act=C4473B8BBF39CF3355 exp=C4473B8BBF39CF3355 + 7286.50ns INFO [00007288] Port=1 RD @01 + 7287.50ns INFO [00007289] Port=1 RD @02 + 7288.50ns INFO [00007290] * RD COMPARE * port=1 adr=01 act=3C1B96D0A7ADEC1BFE exp=3C1B96D0A7ADEC1BFE + 7289.50ns INFO [00007291] * RD COMPARE * port=1 adr=02 act=0CF4309CBC0E6F59B9 exp=0CF4309CBC0E6F59B9 + 7289.50ns INFO [00007291] Port=0 WR @02=AFFC60B39B976F54C7 + 7290.50ns INFO [00007292] Port=1 RD @03 + 7291.50ns INFO [00007293] Port=1 RD @05 + 7292.50ns INFO [00007294] * RD COMPARE * port=1 adr=03 act=C4473B8BBF39CF3355 exp=C4473B8BBF39CF3355 + 7293.50ns INFO [00007295] * RD COMPARE * port=1 adr=05 act=5736FF41D12AF1E7F7 exp=5736FF41D12AF1E7F7 + 7293.50ns INFO [00007295] Port=1 RD @07 + 7294.50ns INFO [00007296] Port=1 RD @05 + 7295.50ns INFO [00007297] * RD COMPARE * port=1 adr=07 act=5892039DCF2F9E4BDA exp=5892039DCF2F9E4BDA + 7296.50ns INFO [00007298] * RD COMPARE * port=1 adr=05 act=5736FF41D12AF1E7F7 exp=5736FF41D12AF1E7F7 + 7296.50ns INFO [00007298] Port=0 RD @04 + 7297.50ns INFO [00007299] Port=0 WR @07=973713C79C99B72A5C + 7298.00ns INFO [00007300] [00007300] ...tick... + 7298.50ns INFO [00007300] * RD COMPARE * port=0 adr=04 act=A365C68BCEF015F25F exp=A365C68BCEF015F25F + 7300.50ns INFO [00007302] Port=0 RD @01 + 7300.50ns INFO [00007302] Port=1 RD @01 + 7302.50ns INFO [00007304] * RD COMPARE * port=0 adr=01 act=3C1B96D0A7ADEC1BFE exp=3C1B96D0A7ADEC1BFE + 7302.50ns INFO [00007304] * RD COMPARE * port=1 adr=01 act=3C1B96D0A7ADEC1BFE exp=3C1B96D0A7ADEC1BFE + 7303.50ns INFO [00007305] Port=1 RD @03 + 7304.50ns INFO [00007306] Port=0 RD @06 + 7305.50ns INFO [00007307] * RD COMPARE * port=1 adr=03 act=C4473B8BBF39CF3355 exp=C4473B8BBF39CF3355 + 7305.50ns INFO [00007307] Port=0 RD @02 + 7305.50ns INFO [00007307] Port=1 RD @01 + 7306.50ns INFO [00007308] * RD COMPARE * port=0 adr=06 act=7E71392C9336D828B9 exp=7E71392C9336D828B9 + 7306.50ns INFO [00007308] Port=0 WR @05=E9A1DFB02E3E13806D + 7307.50ns INFO [00007309] * RD COMPARE * port=0 adr=02 act=AFFC60B39B976F54C7 exp=AFFC60B39B976F54C7 + 7307.50ns INFO [00007309] * RD COMPARE * port=1 adr=01 act=3C1B96D0A7ADEC1BFE exp=3C1B96D0A7ADEC1BFE + 7307.50ns INFO [00007309] Port=1 RD @07 + 7308.50ns INFO [00007310] Port=0 WR @01=7E71F1C33192AC87F2 + 7308.50ns INFO [00007310] Port=1 RD @04 + 7309.50ns INFO [00007311] * RD COMPARE * port=1 adr=07 act=973713C79C99B72A5C exp=973713C79C99B72A5C + 7310.50ns INFO [00007312] * RD COMPARE * port=1 adr=04 act=A365C68BCEF015F25F exp=A365C68BCEF015F25F + 7311.50ns INFO [00007313] Port=0 RD @03 + 7311.50ns INFO [00007313] Port=1 RD @04 + 7312.50ns INFO [00007314] Port=1 RD @07 + 7313.50ns INFO [00007315] * RD COMPARE * port=0 adr=03 act=C4473B8BBF39CF3355 exp=C4473B8BBF39CF3355 + 7313.50ns INFO [00007315] * RD COMPARE * port=1 adr=04 act=A365C68BCEF015F25F exp=A365C68BCEF015F25F + 7314.50ns INFO [00007316] * RD COMPARE * port=1 adr=07 act=973713C79C99B72A5C exp=973713C79C99B72A5C + 7315.50ns INFO [00007317] Port=0 RD @06 + 7317.50ns INFO [00007319] * RD COMPARE * port=0 adr=06 act=7E71392C9336D828B9 exp=7E71392C9336D828B9 + 7317.50ns INFO [00007319] Port=1 RD @07 + 7319.50ns INFO [00007321] * RD COMPARE * port=1 adr=07 act=973713C79C99B72A5C exp=973713C79C99B72A5C + 7319.50ns INFO [00007321] Port=0 RD @00 + 7320.50ns INFO [00007322] Port=0 WR @07=1412EDF7B8E7282CDD + 7320.50ns INFO [00007322] Port=0 RD @03 + 7321.50ns INFO [00007323] * RD COMPARE * port=0 adr=00 act=66028139CA9B55A1CD exp=66028139CA9B55A1CD + 7322.50ns INFO [00007324] * RD COMPARE * port=0 adr=03 act=C4473B8BBF39CF3355 exp=C4473B8BBF39CF3355 + 7322.50ns INFO [00007324] Port=0 RD @03 + 7323.50ns INFO [00007325] Port=0 RD @05 + 7323.50ns INFO [00007325] Port=1 RD @07 + 7324.50ns INFO [00007326] * RD COMPARE * port=0 adr=03 act=C4473B8BBF39CF3355 exp=C4473B8BBF39CF3355 + 7324.50ns INFO [00007326] Port=0 RD @03 + 7325.50ns INFO [00007327] * RD COMPARE * port=0 adr=05 act=E9A1DFB02E3E13806D exp=E9A1DFB02E3E13806D + 7325.50ns INFO [00007327] * RD COMPARE * port=1 adr=07 act=1412EDF7B8E7282CDD exp=1412EDF7B8E7282CDD + 7325.50ns INFO [00007327] Port=0 RD @00 + 7326.50ns INFO [00007328] * RD COMPARE * port=0 adr=03 act=C4473B8BBF39CF3355 exp=C4473B8BBF39CF3355 + 7326.50ns INFO [00007328] Port=0 WR @04=8EEF17E0F84159FD12 + 7326.50ns INFO [00007328] Port=0 RD @00 + 7326.50ns INFO [00007328] Port=1 RD @01 + 7327.50ns INFO [00007329] * RD COMPARE * port=0 adr=00 act=66028139CA9B55A1CD exp=66028139CA9B55A1CD + 7327.50ns INFO [00007329] Port=0 WR @06=F5442D3AEC1A2E99BC + 7327.50ns INFO [00007329] Port=0 RD @05 + 7328.50ns INFO [00007330] * RD COMPARE * port=0 adr=00 act=66028139CA9B55A1CD exp=66028139CA9B55A1CD + 7328.50ns INFO [00007330] * RD COMPARE * port=1 adr=01 act=7E71F1C33192AC87F2 exp=7E71F1C33192AC87F2 + 7328.50ns INFO [00007330] Port=0 RD @03 + 7329.50ns INFO [00007331] * RD COMPARE * port=0 adr=05 act=E9A1DFB02E3E13806D exp=E9A1DFB02E3E13806D + 7329.50ns INFO [00007331] Port=0 WR @02=6218206D5105D69E1F + 7329.50ns INFO [00007331] Port=0 RD @06 + 7329.50ns INFO [00007331] Port=1 RD @07 + 7330.50ns INFO [00007332] * RD COMPARE * port=0 adr=03 act=C4473B8BBF39CF3355 exp=C4473B8BBF39CF3355 + 7330.50ns INFO [00007332] Port=0 RD @07 + 7331.50ns INFO [00007333] * RD COMPARE * port=0 adr=06 act=F5442D3AEC1A2E99BC exp=F5442D3AEC1A2E99BC + 7331.50ns INFO [00007333] * RD COMPARE * port=1 adr=07 act=1412EDF7B8E7282CDD exp=1412EDF7B8E7282CDD + 7331.50ns INFO [00007333] Port=0 RD @07 + 7332.50ns INFO [00007334] * RD COMPARE * port=0 adr=07 act=1412EDF7B8E7282CDD exp=1412EDF7B8E7282CDD + 7332.50ns INFO [00007334] Port=1 RD @07 + 7333.50ns INFO [00007335] * RD COMPARE * port=0 adr=07 act=1412EDF7B8E7282CDD exp=1412EDF7B8E7282CDD + 7333.50ns INFO [00007335] Port=0 RD @07 + 7334.50ns INFO [00007336] * RD COMPARE * port=1 adr=07 act=1412EDF7B8E7282CDD exp=1412EDF7B8E7282CDD + 7334.50ns INFO [00007336] Port=0 WR @03=44873087597841EF9A + 7334.50ns INFO [00007336] Port=0 RD @05 + 7335.50ns INFO [00007337] * RD COMPARE * port=0 adr=07 act=1412EDF7B8E7282CDD exp=1412EDF7B8E7282CDD + 7335.50ns INFO [00007337] Port=0 WR @05=E6BB53013E1AACBF31 + 7335.50ns INFO [00007337] Port=0 RD @00 + 7336.50ns INFO [00007338] * RD COMPARE * port=0 adr=05 act=E9A1DFB02E3E13806D exp=E9A1DFB02E3E13806D + 7336.50ns INFO [00007338] Port=0 RD @01 + 7336.50ns INFO [00007338] Port=1 RD @03 + 7337.50ns INFO [00007339] * RD COMPARE * port=0 adr=00 act=66028139CA9B55A1CD exp=66028139CA9B55A1CD + 7337.50ns INFO [00007339] Port=0 RD @07 + 7338.50ns INFO [00007340] * RD COMPARE * port=0 adr=01 act=7E71F1C33192AC87F2 exp=7E71F1C33192AC87F2 + 7338.50ns INFO [00007340] * RD COMPARE * port=1 adr=03 act=44873087597841EF9A exp=44873087597841EF9A + 7338.50ns INFO [00007340] Port=1 RD @06 + 7339.50ns INFO [00007341] * RD COMPARE * port=0 adr=07 act=1412EDF7B8E7282CDD exp=1412EDF7B8E7282CDD + 7340.50ns INFO [00007342] * RD COMPARE * port=1 adr=06 act=F5442D3AEC1A2E99BC exp=F5442D3AEC1A2E99BC + 7340.50ns INFO [00007342] Port=0 WR @07=011B5AF0A1478F6482 + 7341.50ns INFO [00007343] Port=1 RD @05 + 7342.50ns INFO [00007344] Port=0 WR @06=D2651B0D86BE01D2C0 + 7343.50ns INFO [00007345] * RD COMPARE * port=1 adr=05 act=E6BB53013E1AACBF31 exp=E6BB53013E1AACBF31 + 7344.50ns INFO [00007346] Port=0 WR @06=C0F70545FFBD841434 + 7344.50ns INFO [00007346] Port=1 RD @03 + 7345.50ns INFO [00007347] Port=0 WR @00=CB85585A1DCD9B12DC + 7346.50ns INFO [00007348] * RD COMPARE * port=1 adr=03 act=44873087597841EF9A exp=44873087597841EF9A + 7346.50ns INFO [00007348] Port=0 WR @04=ECB982AE123E15D1CD + 7346.50ns INFO [00007348] Port=0 RD @06 + 7347.50ns INFO [00007349] Port=0 RD @01 + 7348.50ns INFO [00007350] * RD COMPARE * port=0 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7348.50ns INFO [00007350] Port=0 RD @05 + 7348.50ns INFO [00007350] Port=1 RD @02 + 7349.50ns INFO [00007351] * RD COMPARE * port=0 adr=01 act=7E71F1C33192AC87F2 exp=7E71F1C33192AC87F2 + 7349.50ns INFO [00007351] Port=0 WR @03=BC1A06A0F7878E4B9E + 7350.50ns INFO [00007352] * RD COMPARE * port=0 adr=05 act=E6BB53013E1AACBF31 exp=E6BB53013E1AACBF31 + 7350.50ns INFO [00007352] * RD COMPARE * port=1 adr=02 act=6218206D5105D69E1F exp=6218206D5105D69E1F + 7351.50ns INFO [00007353] Port=0 WR @00=35A0C9166FA0394408 + 7352.50ns INFO [00007354] Port=0 RD @06 + 7352.50ns INFO [00007354] Port=1 RD @06 + 7353.50ns INFO [00007355] Port=1 RD @04 + 7354.50ns INFO [00007356] * RD COMPARE * port=0 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7354.50ns INFO [00007356] * RD COMPARE * port=1 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7354.50ns INFO [00007356] Port=0 RD @06 + 7354.50ns INFO [00007356] Port=1 RD @05 + 7355.50ns INFO [00007357] * RD COMPARE * port=1 adr=04 act=ECB982AE123E15D1CD exp=ECB982AE123E15D1CD + 7355.50ns INFO [00007357] Port=0 RD @00 + 7356.50ns INFO [00007358] * RD COMPARE * port=0 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7356.50ns INFO [00007358] * RD COMPARE * port=1 adr=05 act=E6BB53013E1AACBF31 exp=E6BB53013E1AACBF31 + 7356.50ns INFO [00007358] Port=0 WR @04=EA16F89FB42ADF5832 + 7356.50ns INFO [00007358] Port=0 RD @07 + 7357.50ns INFO [00007359] * RD COMPARE * port=0 adr=00 act=35A0C9166FA0394408 exp=35A0C9166FA0394408 + 7357.50ns INFO [00007359] Port=0 WR @00=ACCA6A4B2853C5C8BC + 7357.50ns INFO [00007359] Port=0 RD @02 + 7357.50ns INFO [00007359] Port=1 RD @01 + 7358.50ns INFO [00007360] * RD COMPARE * port=0 adr=07 act=011B5AF0A1478F6482 exp=011B5AF0A1478F6482 + 7358.50ns INFO [00007360] Port=0 WR @00=99F000C400E6EFFAE4 + 7359.50ns INFO [00007361] * RD COMPARE * port=0 adr=02 act=6218206D5105D69E1F exp=6218206D5105D69E1F + 7359.50ns INFO [00007361] * RD COMPARE * port=1 adr=01 act=7E71F1C33192AC87F2 exp=7E71F1C33192AC87F2 + 7359.50ns INFO [00007361] Port=0 RD @07 + 7360.50ns INFO [00007362] Port=0 WR @04=3BC710591B7BB30F9D + 7360.50ns INFO [00007362] Port=0 RD @00 + 7360.50ns INFO [00007362] Port=1 RD @06 + 7361.50ns INFO [00007363] * RD COMPARE * port=0 adr=07 act=011B5AF0A1478F6482 exp=011B5AF0A1478F6482 + 7361.50ns INFO [00007363] Port=0 WR @00=78A7171701AE798573 + 7361.50ns INFO [00007363] Port=1 RD @07 + 7362.50ns INFO [00007364] * RD COMPARE * port=0 adr=00 act=99F000C400E6EFFAE4 exp=99F000C400E6EFFAE4 + 7362.50ns INFO [00007364] * RD COMPARE * port=1 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7362.50ns INFO [00007364] Port=0 WR @07=AD70DBE9684C7BB530 + 7363.50ns INFO [00007365] * RD COMPARE * port=1 adr=07 act=011B5AF0A1478F6482 exp=011B5AF0A1478F6482 + 7363.50ns INFO [00007365] Port=0 WR @03=0CEA2ECA536D9C0277 + 7363.50ns INFO [00007365] Port=1 RD @05 + 7364.50ns INFO [00007366] Port=0 RD @06 + 7364.50ns INFO [00007366] Port=1 RD @05 + 7365.50ns INFO [00007367] * RD COMPARE * port=1 adr=05 act=E6BB53013E1AACBF31 exp=E6BB53013E1AACBF31 + 7366.50ns INFO [00007368] * RD COMPARE * port=0 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7366.50ns INFO [00007368] * RD COMPARE * port=1 adr=05 act=E6BB53013E1AACBF31 exp=E6BB53013E1AACBF31 + 7366.50ns INFO [00007368] Port=0 WR @03=F9E73CDC27E16ED843 + 7366.50ns INFO [00007368] Port=0 RD @05 + 7366.50ns INFO [00007368] Port=1 RD @05 + 7367.50ns INFO [00007369] Port=0 RD @06 + 7368.50ns INFO [00007370] * RD COMPARE * port=0 adr=05 act=E6BB53013E1AACBF31 exp=E6BB53013E1AACBF31 + 7368.50ns INFO [00007370] * RD COMPARE * port=1 adr=05 act=E6BB53013E1AACBF31 exp=E6BB53013E1AACBF31 + 7369.50ns INFO [00007371] * RD COMPARE * port=0 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7372.50ns INFO [00007374] Port=0 WR @04=D664872EA545ACD2F8 + 7373.50ns INFO [00007375] Port=1 RD @07 + 7375.50ns INFO [00007377] * RD COMPARE * port=1 adr=07 act=AD70DBE9684C7BB530 exp=AD70DBE9684C7BB530 + 7375.50ns INFO [00007377] Port=1 RD @06 + 7376.50ns INFO [00007378] Port=0 RD @07 + 7376.50ns INFO [00007378] Port=1 RD @01 + 7377.50ns INFO [00007379] * RD COMPARE * port=1 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7377.50ns INFO [00007379] Port=0 WR @07=A4B174B147DA43DEBB + 7378.50ns INFO [00007380] * RD COMPARE * port=0 adr=07 act=AD70DBE9684C7BB530 exp=AD70DBE9684C7BB530 + 7378.50ns INFO [00007380] * RD COMPARE * port=1 adr=01 act=7E71F1C33192AC87F2 exp=7E71F1C33192AC87F2 + 7380.50ns INFO [00007382] Port=1 RD @07 + 7381.50ns INFO [00007383] Port=0 WR @04=744EAF85F90518AC30 + 7381.50ns INFO [00007383] Port=0 RD @02 + 7382.50ns INFO [00007384] * RD COMPARE * port=1 adr=07 act=A4B174B147DA43DEBB exp=A4B174B147DA43DEBB + 7382.50ns INFO [00007384] Port=0 WR @07=BB23EFECB4E25A8E71 + 7382.50ns INFO [00007384] Port=0 RD @06 + 7383.50ns INFO [00007385] * RD COMPARE * port=0 adr=02 act=6218206D5105D69E1F exp=6218206D5105D69E1F + 7383.50ns INFO [00007385] Port=0 WR @00=7DE8ACD31D2FAD0391 + 7383.50ns INFO [00007385] Port=1 RD @05 + 7384.50ns INFO [00007386] * RD COMPARE * port=0 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7384.50ns INFO [00007386] Port=0 WR @05=BCA6FEBBBC4F1BE8C2 + 7384.50ns INFO [00007386] Port=1 RD @07 + 7385.50ns INFO [00007387] * RD COMPARE * port=1 adr=05 act=E6BB53013E1AACBF31 exp=E6BB53013E1AACBF31 + 7385.50ns INFO [00007387] Port=0 WR @04=9C3AE9F94AC543645D + 7385.50ns INFO [00007387] Port=1 RD @01 + 7386.50ns INFO [00007388] * RD COMPARE * port=1 adr=07 act=BB23EFECB4E25A8E71 exp=BB23EFECB4E25A8E71 + 7386.50ns INFO [00007388] Port=0 RD @04 + 7387.50ns INFO [00007389] * RD COMPARE * port=1 adr=01 act=7E71F1C33192AC87F2 exp=7E71F1C33192AC87F2 + 7388.50ns INFO [00007390] * RD COMPARE * port=0 adr=04 act=9C3AE9F94AC543645D exp=9C3AE9F94AC543645D + 7388.50ns INFO [00007390] Port=0 WR @01=283D84CF5260466C94 + 7388.50ns INFO [00007390] Port=0 RD @05 + 7388.50ns INFO [00007390] Port=1 RD @02 + 7389.50ns INFO [00007391] Port=1 RD @07 + 7390.50ns INFO [00007392] * RD COMPARE * port=0 adr=05 act=BCA6FEBBBC4F1BE8C2 exp=BCA6FEBBBC4F1BE8C2 + 7390.50ns INFO [00007392] * RD COMPARE * port=1 adr=02 act=6218206D5105D69E1F exp=6218206D5105D69E1F + 7390.50ns INFO [00007392] Port=0 WR @04=93A0E4FAC97A784B7F + 7391.50ns INFO [00007393] * RD COMPARE * port=1 adr=07 act=BB23EFECB4E25A8E71 exp=BB23EFECB4E25A8E71 + 7392.50ns INFO [00007394] Port=0 WR @01=60857E44D927471E5D + 7392.50ns INFO [00007394] Port=0 RD @07 + 7392.50ns INFO [00007394] Port=1 RD @05 + 7393.50ns INFO [00007395] Port=0 RD @02 + 7394.50ns INFO [00007396] * RD COMPARE * port=0 adr=07 act=BB23EFECB4E25A8E71 exp=BB23EFECB4E25A8E71 + 7394.50ns INFO [00007396] * RD COMPARE * port=1 adr=05 act=BCA6FEBBBC4F1BE8C2 exp=BCA6FEBBBC4F1BE8C2 + 7395.50ns INFO [00007397] * RD COMPARE * port=0 adr=02 act=6218206D5105D69E1F exp=6218206D5105D69E1F + 7395.50ns INFO [00007397] Port=1 RD @03 + 7397.50ns INFO [00007399] * RD COMPARE * port=1 adr=03 act=F9E73CDC27E16ED843 exp=F9E73CDC27E16ED843 + 7397.50ns INFO [00007399] Port=0 WR @07=C2AAF54D60C63E71FD + 7397.50ns INFO [00007399] Port=1 RD @01 + 7398.00ns INFO [00007400] [00007400] ...tick... + 7399.50ns INFO [00007401] * RD COMPARE * port=1 adr=01 act=60857E44D927471E5D exp=60857E44D927471E5D + 7399.50ns INFO [00007401] Port=0 RD @07 + 7400.50ns INFO [00007402] Port=1 RD @04 + 7401.50ns INFO [00007403] * RD COMPARE * port=0 adr=07 act=C2AAF54D60C63E71FD exp=C2AAF54D60C63E71FD + 7401.50ns INFO [00007403] Port=0 WR @07=11408FAC2D57FB4C79 + 7402.50ns INFO [00007404] * RD COMPARE * port=1 adr=04 act=93A0E4FAC97A784B7F exp=93A0E4FAC97A784B7F + 7403.50ns INFO [00007405] Port=0 WR @03=0EE01FD56AC512ECC9 + 7403.50ns INFO [00007405] Port=0 RD @04 + 7404.50ns INFO [00007406] Port=0 WR @04=D74FC575900EB27738 + 7405.50ns INFO [00007407] * RD COMPARE * port=0 adr=04 act=93A0E4FAC97A784B7F exp=93A0E4FAC97A784B7F + 7406.50ns INFO [00007408] Port=0 RD @04 + 7406.50ns INFO [00007408] Port=1 RD @04 + 7407.50ns INFO [00007409] Port=0 RD @06 + 7407.50ns INFO [00007409] Port=1 RD @04 + 7408.50ns INFO [00007410] * RD COMPARE * port=0 adr=04 act=D74FC575900EB27738 exp=D74FC575900EB27738 + 7408.50ns INFO [00007410] * RD COMPARE * port=1 adr=04 act=D74FC575900EB27738 exp=D74FC575900EB27738 + 7408.50ns INFO [00007410] Port=0 RD @06 + 7409.50ns INFO [00007411] * RD COMPARE * port=0 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7409.50ns INFO [00007411] * RD COMPARE * port=1 adr=04 act=D74FC575900EB27738 exp=D74FC575900EB27738 + 7409.50ns INFO [00007411] Port=0 WR @07=3F33E455B401D1BF7D + 7410.50ns INFO [00007412] * RD COMPARE * port=0 adr=06 act=C0F70545FFBD841434 exp=C0F70545FFBD841434 + 7410.50ns INFO [00007412] Port=0 RD @01 + 7412.50ns INFO [00007414] * RD COMPARE * port=0 adr=01 act=60857E44D927471E5D exp=60857E44D927471E5D + 7413.50ns INFO [00007415] Port=0 WR @04=58414942222752757F + 7414.50ns INFO [00007416] Port=0 WR @06=D427E8BB40C8879C68 + 7414.50ns INFO [00007416] Port=1 RD @02 + 7415.50ns INFO [00007417] Port=0 WR @05=F6A00AAF021D731749 + 7415.50ns INFO [00007417] Port=0 RD @03 + 7416.50ns INFO [00007418] * RD COMPARE * port=1 adr=02 act=6218206D5105D69E1F exp=6218206D5105D69E1F + 7416.50ns INFO [00007418] Port=1 RD @07 + 7417.50ns INFO [00007419] * RD COMPARE * port=0 adr=03 act=0EE01FD56AC512ECC9 exp=0EE01FD56AC512ECC9 + 7418.50ns INFO [00007420] * RD COMPARE * port=1 adr=07 act=3F33E455B401D1BF7D exp=3F33E455B401D1BF7D + 7418.50ns INFO [00007420] Port=0 RD @03 + 7418.50ns INFO [00007420] Port=1 RD @00 + 7419.50ns INFO [00007421] Port=0 WR @02=1E70B81A887C7F75F1 + 7419.50ns INFO [00007421] Port=0 RD @00 + 7420.50ns INFO [00007422] * RD COMPARE * port=0 adr=03 act=0EE01FD56AC512ECC9 exp=0EE01FD56AC512ECC9 + 7420.50ns INFO [00007422] * RD COMPARE * port=1 adr=00 act=7DE8ACD31D2FAD0391 exp=7DE8ACD31D2FAD0391 + 7421.50ns INFO [00007423] * RD COMPARE * port=0 adr=00 act=7DE8ACD31D2FAD0391 exp=7DE8ACD31D2FAD0391 + 7421.50ns INFO [00007423] Port=0 WR @01=69BB4DC2E2083CC8E6 + 7421.50ns INFO [00007423] Port=1 RD @05 + 7422.50ns INFO [00007424] Port=0 WR @01=0411F699DF8796BF98 + 7422.50ns INFO [00007424] Port=0 RD @02 + 7423.50ns INFO [00007425] * RD COMPARE * port=1 adr=05 act=F6A00AAF021D731749 exp=F6A00AAF021D731749 + 7423.50ns INFO [00007425] Port=0 RD @07 + 7423.50ns INFO [00007425] Port=1 RD @05 + 7424.50ns INFO [00007426] * RD COMPARE * port=0 adr=02 act=1E70B81A887C7F75F1 exp=1E70B81A887C7F75F1 + 7424.50ns INFO [00007426] Port=1 RD @06 + 7425.50ns INFO [00007427] * RD COMPARE * port=0 adr=07 act=3F33E455B401D1BF7D exp=3F33E455B401D1BF7D + 7425.50ns INFO [00007427] * RD COMPARE * port=1 adr=05 act=F6A00AAF021D731749 exp=F6A00AAF021D731749 + 7425.50ns INFO [00007427] Port=0 RD @02 + 7426.50ns INFO [00007428] * RD COMPARE * port=1 adr=06 act=D427E8BB40C8879C68 exp=D427E8BB40C8879C68 + 7426.50ns INFO [00007428] Port=0 WR @05=3466360B023AD5E42C + 7426.50ns INFO [00007428] Port=0 RD @04 + 7426.50ns INFO [00007428] Port=1 RD @00 + 7427.50ns INFO [00007429] * RD COMPARE * port=0 adr=02 act=1E70B81A887C7F75F1 exp=1E70B81A887C7F75F1 + 7427.50ns INFO [00007429] Port=0 RD @07 + 7428.50ns INFO [00007430] * RD COMPARE * port=0 adr=04 act=58414942222752757F exp=58414942222752757F + 7428.50ns INFO [00007430] * RD COMPARE * port=1 adr=00 act=7DE8ACD31D2FAD0391 exp=7DE8ACD31D2FAD0391 + 7428.50ns INFO [00007430] Port=0 RD @02 + 7429.50ns INFO [00007431] * RD COMPARE * port=0 adr=07 act=3F33E455B401D1BF7D exp=3F33E455B401D1BF7D + 7429.50ns INFO [00007431] Port=0 WR @06=CD408E55AAA76345D7 + 7429.50ns INFO [00007431] Port=0 RD @05 + 7430.50ns INFO [00007432] * RD COMPARE * port=0 adr=02 act=1E70B81A887C7F75F1 exp=1E70B81A887C7F75F1 + 7431.50ns INFO [00007433] * RD COMPARE * port=0 adr=05 act=3466360B023AD5E42C exp=3466360B023AD5E42C + 7431.50ns INFO [00007433] Port=1 RD @05 + 7432.50ns INFO [00007434] Port=0 WR @03=D5256D8DC5CD722163 + 7432.50ns INFO [00007434] Port=1 RD @00 + 7433.50ns INFO [00007435] * RD COMPARE * port=1 adr=05 act=3466360B023AD5E42C exp=3466360B023AD5E42C + 7434.50ns INFO [00007436] * RD COMPARE * port=1 adr=00 act=7DE8ACD31D2FAD0391 exp=7DE8ACD31D2FAD0391 + 7434.50ns INFO [00007436] Port=0 WR @01=E58B3B65A17C7CFA97 + 7434.50ns INFO [00007436] Port=0 RD @05 + 7434.50ns INFO [00007436] Port=1 RD @03 + 7436.50ns INFO [00007438] * RD COMPARE * port=0 adr=05 act=3466360B023AD5E42C exp=3466360B023AD5E42C + 7436.50ns INFO [00007438] * RD COMPARE * port=1 adr=03 act=D5256D8DC5CD722163 exp=D5256D8DC5CD722163 + 7436.50ns INFO [00007438] Port=0 WR @06=B8AE6A61875EE550F2 + 7436.50ns INFO [00007438] Port=0 RD @00 + 7436.50ns INFO [00007438] Port=1 RD @02 + 7437.50ns INFO [00007439] Port=0 WR @01=1336DE655425C13134 + 7438.50ns INFO [00007440] * RD COMPARE * port=0 adr=00 act=7DE8ACD31D2FAD0391 exp=7DE8ACD31D2FAD0391 + 7438.50ns INFO [00007440] * RD COMPARE * port=1 adr=02 act=1E70B81A887C7F75F1 exp=1E70B81A887C7F75F1 + 7438.50ns INFO [00007440] Port=0 WR @02=765B96B20EEAA9E26B + 7439.50ns INFO [00007441] Port=1 RD @04 + 7440.50ns INFO [00007442] Port=0 WR @03=387826162D1767FDAC + 7440.50ns INFO [00007442] Port=1 RD @05 + 7441.50ns INFO [00007443] * RD COMPARE * port=1 adr=04 act=58414942222752757F exp=58414942222752757F + 7441.50ns INFO [00007443] Port=0 WR @06=1E890BF5AE8B1ACF63 + 7442.50ns INFO [00007444] * RD COMPARE * port=1 adr=05 act=3466360B023AD5E42C exp=3466360B023AD5E42C + 7442.50ns INFO [00007444] Port=0 WR @03=34FE9DF0104ABE537B + 7442.50ns INFO [00007444] Port=0 RD @02 + 7443.50ns INFO [00007445] Port=0 RD @05 + 7443.50ns INFO [00007445] Port=1 RD @02 + 7444.50ns INFO [00007446] * RD COMPARE * port=0 adr=02 act=765B96B20EEAA9E26B exp=765B96B20EEAA9E26B + 7444.50ns INFO [00007446] Port=0 WR @01=51E9469BF203D2AB42 + 7445.50ns INFO [00007447] * RD COMPARE * port=0 adr=05 act=3466360B023AD5E42C exp=3466360B023AD5E42C + 7445.50ns INFO [00007447] * RD COMPARE * port=1 adr=02 act=765B96B20EEAA9E26B exp=765B96B20EEAA9E26B + 7445.50ns INFO [00007447] Port=1 RD @05 + 7446.50ns INFO [00007448] Port=0 WR @07=FA91556D80656502C7 + 7446.50ns INFO [00007448] Port=0 RD @04 + 7447.50ns INFO [00007449] * RD COMPARE * port=1 adr=05 act=3466360B023AD5E42C exp=3466360B023AD5E42C + 7447.50ns INFO [00007449] Port=0 WR @05=48DF1D9716C8E61C91 + 7448.50ns INFO [00007450] * RD COMPARE * port=0 adr=04 act=58414942222752757F exp=58414942222752757F + 7448.50ns INFO [00007450] Port=0 WR @02=48B84B33D58D95BB78 + 7448.50ns INFO [00007450] Port=0 RD @00 + 7449.50ns INFO [00007451] Port=0 WR @07=703C59AC4388D45BA1 + 7449.50ns INFO [00007451] Port=1 RD @03 + 7450.50ns INFO [00007452] * RD COMPARE * port=0 adr=00 act=7DE8ACD31D2FAD0391 exp=7DE8ACD31D2FAD0391 + 7450.50ns INFO [00007452] Port=0 RD @05 + 7450.50ns INFO [00007452] Port=1 RD @03 + 7451.50ns INFO [00007453] * RD COMPARE * port=1 adr=03 act=34FE9DF0104ABE537B exp=34FE9DF0104ABE537B + 7451.50ns INFO [00007453] Port=1 RD @03 + 7452.50ns INFO [00007454] * RD COMPARE * port=0 adr=05 act=48DF1D9716C8E61C91 exp=48DF1D9716C8E61C91 + 7452.50ns INFO [00007454] * RD COMPARE * port=1 adr=03 act=34FE9DF0104ABE537B exp=34FE9DF0104ABE537B + 7452.50ns INFO [00007454] Port=0 RD @04 + 7452.50ns INFO [00007454] Port=1 RD @03 + 7453.50ns INFO [00007455] * RD COMPARE * port=1 adr=03 act=34FE9DF0104ABE537B exp=34FE9DF0104ABE537B + 7453.50ns INFO [00007455] Port=0 RD @06 + 7453.50ns INFO [00007455] Port=1 RD @04 + 7454.50ns INFO [00007456] * RD COMPARE * port=0 adr=04 act=58414942222752757F exp=58414942222752757F + 7454.50ns INFO [00007456] * RD COMPARE * port=1 adr=03 act=34FE9DF0104ABE537B exp=34FE9DF0104ABE537B + 7454.50ns INFO [00007456] Port=0 WR @05=FF641314AF3405C63F + 7454.50ns INFO [00007456] Port=0 RD @01 + 7455.50ns INFO [00007457] * RD COMPARE * port=0 adr=06 act=1E890BF5AE8B1ACF63 exp=1E890BF5AE8B1ACF63 + 7455.50ns INFO [00007457] * RD COMPARE * port=1 adr=04 act=58414942222752757F exp=58414942222752757F + 7455.50ns INFO [00007457] Port=0 RD @04 + 7455.50ns INFO [00007457] Port=1 RD @06 + 7456.50ns INFO [00007458] * RD COMPARE * port=0 adr=01 act=51E9469BF203D2AB42 exp=51E9469BF203D2AB42 + 7457.50ns INFO [00007459] * RD COMPARE * port=0 adr=04 act=58414942222752757F exp=58414942222752757F + 7457.50ns INFO [00007459] * RD COMPARE * port=1 adr=06 act=1E890BF5AE8B1ACF63 exp=1E890BF5AE8B1ACF63 + 7457.50ns INFO [00007459] Port=1 RD @02 + 7458.50ns INFO [00007460] Port=0 WR @00=7F73A594241CFF4860 + 7458.50ns INFO [00007460] Port=0 RD @06 + 7459.50ns INFO [00007461] * RD COMPARE * port=1 adr=02 act=48B84B33D58D95BB78 exp=48B84B33D58D95BB78 + 7459.50ns INFO [00007461] Port=1 RD @04 + 7460.50ns INFO [00007462] * RD COMPARE * port=0 adr=06 act=1E890BF5AE8B1ACF63 exp=1E890BF5AE8B1ACF63 + 7460.50ns INFO [00007462] Port=0 WR @03=9F7B347E4CF8E8E05F + 7460.50ns INFO [00007462] Port=1 RD @04 + 7461.50ns INFO [00007463] * RD COMPARE * port=1 adr=04 act=58414942222752757F exp=58414942222752757F + 7461.50ns INFO [00007463] Port=0 RD @00 + 7462.50ns INFO [00007464] * RD COMPARE * port=1 adr=04 act=58414942222752757F exp=58414942222752757F + 7462.50ns INFO [00007464] Port=0 WR @03=09804B4DE9C7E57ED4 + 7463.50ns INFO [00007465] * RD COMPARE * port=0 adr=00 act=7F73A594241CFF4860 exp=7F73A594241CFF4860 + 7464.50ns INFO [00007466] Port=0 WR @06=D62F2CA91D57ED7F77 + 7465.50ns INFO [00007467] Port=0 WR @02=3CC9F0DB8A337B373C + 7465.50ns INFO [00007467] Port=0 RD @06 + 7465.50ns INFO [00007467] Port=1 RD @06 + 7467.50ns INFO [00007469] * RD COMPARE * port=0 adr=06 act=D62F2CA91D57ED7F77 exp=D62F2CA91D57ED7F77 + 7467.50ns INFO [00007469] * RD COMPARE * port=1 adr=06 act=D62F2CA91D57ED7F77 exp=D62F2CA91D57ED7F77 + 7468.50ns INFO [00007470] Port=0 WR @05=4FD93274065282D33E + 7468.50ns INFO [00007470] Port=0 RD @02 + 7468.50ns INFO [00007470] Port=1 RD @03 + 7469.50ns INFO [00007471] Port=0 WR @02=74F57BC3F277E2BCE3 + 7469.50ns INFO [00007471] Port=0 RD @07 + 7469.50ns INFO [00007471] Port=1 RD @01 + 7470.50ns INFO [00007472] * RD COMPARE * port=0 adr=02 act=3CC9F0DB8A337B373C exp=3CC9F0DB8A337B373C + 7470.50ns INFO [00007472] * RD COMPARE * port=1 adr=03 act=09804B4DE9C7E57ED4 exp=09804B4DE9C7E57ED4 + 7470.50ns INFO [00007472] Port=0 WR @02=74FAFDDDD15E0AF69F + 7470.50ns INFO [00007472] Port=0 RD @00 + 7470.50ns INFO [00007472] Port=1 RD @07 + 7471.50ns INFO [00007473] * RD COMPARE * port=0 adr=07 act=703C59AC4388D45BA1 exp=703C59AC4388D45BA1 + 7471.50ns INFO [00007473] * RD COMPARE * port=1 adr=01 act=51E9469BF203D2AB42 exp=51E9469BF203D2AB42 + 7472.50ns INFO [00007474] * RD COMPARE * port=0 adr=00 act=7F73A594241CFF4860 exp=7F73A594241CFF4860 + 7472.50ns INFO [00007474] * RD COMPARE * port=1 adr=07 act=703C59AC4388D45BA1 exp=703C59AC4388D45BA1 + 7472.50ns INFO [00007474] Port=0 WR @05=A285E144556D9F452D + 7472.50ns INFO [00007474] Port=0 RD @06 + 7473.50ns INFO [00007475] Port=0 WR @03=CF45A8101AD0A7581C + 7473.50ns INFO [00007475] Port=0 RD @05 + 7474.50ns INFO [00007476] * RD COMPARE * port=0 adr=06 act=D62F2CA91D57ED7F77 exp=D62F2CA91D57ED7F77 + 7474.50ns INFO [00007476] Port=0 WR @05=483E2107C9F49F1656 + 7475.50ns INFO [00007477] * RD COMPARE * port=0 adr=05 act=A285E144556D9F452D exp=A285E144556D9F452D + 7475.50ns INFO [00007477] Port=0 WR @05=465E34AA5591B86D3C + 7475.50ns INFO [00007477] Port=1 RD @04 + 7476.50ns INFO [00007478] Port=1 RD @04 + 7477.50ns INFO [00007479] * RD COMPARE * port=1 adr=04 act=58414942222752757F exp=58414942222752757F + 7477.50ns INFO [00007479] Port=0 RD @05 + 7477.50ns INFO [00007479] Port=1 RD @06 + 7478.50ns INFO [00007480] * RD COMPARE * port=1 adr=04 act=58414942222752757F exp=58414942222752757F + 7478.50ns INFO [00007480] Port=0 WR @05=ECCE93D848E3E1D6C3 + 7478.50ns INFO [00007480] Port=1 RD @03 + 7479.50ns INFO [00007481] * RD COMPARE * port=0 adr=05 act=465E34AA5591B86D3C exp=465E34AA5591B86D3C + 7479.50ns INFO [00007481] * RD COMPARE * port=1 adr=06 act=D62F2CA91D57ED7F77 exp=D62F2CA91D57ED7F77 + 7480.50ns INFO [00007482] * RD COMPARE * port=1 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7480.50ns INFO [00007482] Port=0 WR @00=9E3EB8119B9585B478 + 7480.50ns INFO [00007482] Port=1 RD @03 + 7481.50ns INFO [00007483] Port=1 RD @01 + 7482.50ns INFO [00007484] * RD COMPARE * port=1 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7482.50ns INFO [00007484] Port=0 RD @01 + 7483.50ns INFO [00007485] * RD COMPARE * port=1 adr=01 act=51E9469BF203D2AB42 exp=51E9469BF203D2AB42 + 7483.50ns INFO [00007485] Port=0 WR @04=BACF6D781D6C1EF76C + 7483.50ns INFO [00007485] Port=0 RD @07 + 7484.50ns INFO [00007486] * RD COMPARE * port=0 adr=01 act=51E9469BF203D2AB42 exp=51E9469BF203D2AB42 + 7484.50ns INFO [00007486] Port=0 WR @01=F8AAEE86249CB43552 + 7484.50ns INFO [00007486] Port=0 RD @06 + 7485.50ns INFO [00007487] * RD COMPARE * port=0 adr=07 act=703C59AC4388D45BA1 exp=703C59AC4388D45BA1 + 7485.50ns INFO [00007487] Port=1 RD @03 + 7486.50ns INFO [00007488] * RD COMPARE * port=0 adr=06 act=D62F2CA91D57ED7F77 exp=D62F2CA91D57ED7F77 + 7486.50ns INFO [00007488] Port=0 WR @00=42DE3AB6F3429B450D + 7487.50ns INFO [00007489] * RD COMPARE * port=1 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7487.50ns INFO [00007489] Port=0 WR @00=4654F02364A66B8526 + 7487.50ns INFO [00007489] Port=0 RD @07 + 7489.50ns INFO [00007491] * RD COMPARE * port=0 adr=07 act=703C59AC4388D45BA1 exp=703C59AC4388D45BA1 + 7489.50ns INFO [00007491] Port=0 RD @02 + 7490.50ns INFO [00007492] Port=0 RD @07 + 7490.50ns INFO [00007492] Port=1 RD @02 + 7491.50ns INFO [00007493] * RD COMPARE * port=0 adr=02 act=74FAFDDDD15E0AF69F exp=74FAFDDDD15E0AF69F + 7492.50ns INFO [00007494] * RD COMPARE * port=0 adr=07 act=703C59AC4388D45BA1 exp=703C59AC4388D45BA1 + 7492.50ns INFO [00007494] * RD COMPARE * port=1 adr=02 act=74FAFDDDD15E0AF69F exp=74FAFDDDD15E0AF69F + 7492.50ns INFO [00007494] Port=0 RD @05 + 7492.50ns INFO [00007494] Port=1 RD @03 + 7493.50ns INFO [00007495] Port=0 WR @05=C421C6F7FC594EB85E + 7494.50ns INFO [00007496] * RD COMPARE * port=0 adr=05 act=ECCE93D848E3E1D6C3 exp=ECCE93D848E3E1D6C3 + 7494.50ns INFO [00007496] * RD COMPARE * port=1 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7494.50ns INFO [00007496] Port=0 WR @06=70C1F15547C3D1B1CF + 7496.50ns INFO [00007498] Port=0 RD @01 + 7497.50ns INFO [00007499] Port=0 RD @04 + 7497.50ns INFO [00007499] Port=1 RD @02 + 7498.00ns INFO [00007500] [00007500] ...tick... + 7498.50ns INFO [00007500] * RD COMPARE * port=0 adr=01 act=F8AAEE86249CB43552 exp=F8AAEE86249CB43552 + 7498.50ns INFO [00007500] Port=0 WR @05=71FFEC353FE65CD99F + 7499.50ns INFO [00007501] * RD COMPARE * port=0 adr=04 act=BACF6D781D6C1EF76C exp=BACF6D781D6C1EF76C + 7499.50ns INFO [00007501] * RD COMPARE * port=1 adr=02 act=74FAFDDDD15E0AF69F exp=74FAFDDDD15E0AF69F + 7499.50ns INFO [00007501] Port=0 RD @00 + 7501.50ns INFO [00007503] * RD COMPARE * port=0 adr=00 act=4654F02364A66B8526 exp=4654F02364A66B8526 + 7502.50ns INFO [00007504] Port=0 RD @06 + 7502.50ns INFO [00007504] Port=1 RD @00 + 7503.50ns INFO [00007505] Port=0 WR @02=D5E275E451E8B5C0DE + 7503.50ns INFO [00007505] Port=0 RD @01 + 7503.50ns INFO [00007505] Port=1 RD @04 + 7504.50ns INFO [00007506] * RD COMPARE * port=0 adr=06 act=70C1F15547C3D1B1CF exp=70C1F15547C3D1B1CF + 7504.50ns INFO [00007506] * RD COMPARE * port=1 adr=00 act=4654F02364A66B8526 exp=4654F02364A66B8526 + 7505.50ns INFO [00007507] * RD COMPARE * port=0 adr=01 act=F8AAEE86249CB43552 exp=F8AAEE86249CB43552 + 7505.50ns INFO [00007507] * RD COMPARE * port=1 adr=04 act=BACF6D781D6C1EF76C exp=BACF6D781D6C1EF76C + 7505.50ns INFO [00007507] Port=0 WR @01=41260F593DB90FC8AE + 7506.50ns INFO [00007508] Port=0 WR @07=6D4E9ED8A536EE0AD6 + 7506.50ns INFO [00007508] Port=1 RD @02 + 7507.50ns INFO [00007509] Port=0 WR @02=46C3F20FA8FFEB93F8 + 7507.50ns INFO [00007509] Port=0 RD @07 + 7508.50ns INFO [00007510] * RD COMPARE * port=1 adr=02 act=D5E275E451E8B5C0DE exp=D5E275E451E8B5C0DE + 7509.50ns INFO [00007511] * RD COMPARE * port=0 adr=07 act=6D4E9ED8A536EE0AD6 exp=6D4E9ED8A536EE0AD6 + 7509.50ns INFO [00007511] Port=1 RD @02 + 7510.50ns INFO [00007512] Port=0 WR @02=E2BF2833EBA89EBA3C + 7511.50ns INFO [00007513] * RD COMPARE * port=1 adr=02 act=46C3F20FA8FFEB93F8 exp=46C3F20FA8FFEB93F8 + 7511.50ns INFO [00007513] Port=0 WR @04=635616AB9291D930A6 + 7511.50ns INFO [00007513] Port=0 RD @02 + 7512.50ns INFO [00007514] Port=0 WR @04=138AC8181003679E66 + 7512.50ns INFO [00007514] Port=1 RD @00 + 7513.50ns INFO [00007515] * RD COMPARE * port=0 adr=02 act=E2BF2833EBA89EBA3C exp=E2BF2833EBA89EBA3C + 7514.50ns INFO [00007516] * RD COMPARE * port=1 adr=00 act=4654F02364A66B8526 exp=4654F02364A66B8526 + 7514.50ns INFO [00007516] Port=1 RD @06 + 7515.50ns INFO [00007517] Port=1 RD @04 + 7516.50ns INFO [00007518] * RD COMPARE * port=1 adr=06 act=70C1F15547C3D1B1CF exp=70C1F15547C3D1B1CF + 7517.50ns INFO [00007519] * RD COMPARE * port=1 adr=04 act=138AC8181003679E66 exp=138AC8181003679E66 + 7517.50ns INFO [00007519] Port=0 RD @04 + 7519.50ns INFO [00007521] * RD COMPARE * port=0 adr=04 act=138AC8181003679E66 exp=138AC8181003679E66 + 7519.50ns INFO [00007521] Port=0 WR @05=02CCEF4F718B8A6BC8 + 7519.50ns INFO [00007521] Port=0 RD @02 + 7521.50ns INFO [00007523] * RD COMPARE * port=0 adr=02 act=E2BF2833EBA89EBA3C exp=E2BF2833EBA89EBA3C + 7521.50ns INFO [00007523] Port=0 RD @04 + 7522.50ns INFO [00007524] Port=0 WR @01=36EA8CC7CC51DA9CF6 + 7522.50ns INFO [00007524] Port=1 RD @03 + 7523.50ns INFO [00007525] * RD COMPARE * port=0 adr=04 act=138AC8181003679E66 exp=138AC8181003679E66 + 7524.50ns INFO [00007526] * RD COMPARE * port=1 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7524.50ns INFO [00007526] Port=0 WR @00=60ABAF47FCD08BFE8A + 7524.50ns INFO [00007526] Port=1 RD @04 + 7525.50ns INFO [00007527] Port=1 RD @05 + 7526.50ns INFO [00007528] * RD COMPARE * port=1 adr=04 act=138AC8181003679E66 exp=138AC8181003679E66 + 7526.50ns INFO [00007528] Port=0 WR @07=B5BC56FB7B81629BCC + 7526.50ns INFO [00007528] Port=0 RD @03 + 7527.50ns INFO [00007529] * RD COMPARE * port=1 adr=05 act=02CCEF4F718B8A6BC8 exp=02CCEF4F718B8A6BC8 + 7527.50ns INFO [00007529] Port=0 WR @00=261DBB8DF552AFE9E8 + 7527.50ns INFO [00007529] Port=0 RD @03 + 7527.50ns INFO [00007529] Port=1 RD @03 + 7528.50ns INFO [00007530] * RD COMPARE * port=0 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7528.50ns INFO [00007530] Port=0 RD @04 + 7528.50ns INFO [00007530] Port=1 RD @06 + 7529.50ns INFO [00007531] * RD COMPARE * port=0 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7529.50ns INFO [00007531] * RD COMPARE * port=1 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7529.50ns INFO [00007531] Port=0 WR @05=5FBE5C77491A24C38F + 7529.50ns INFO [00007531] Port=0 RD @01 + 7529.50ns INFO [00007531] Port=1 RD @00 + 7530.50ns INFO [00007532] * RD COMPARE * port=0 adr=04 act=138AC8181003679E66 exp=138AC8181003679E66 + 7530.50ns INFO [00007532] * RD COMPARE * port=1 adr=06 act=70C1F15547C3D1B1CF exp=70C1F15547C3D1B1CF + 7530.50ns INFO [00007532] Port=0 RD @03 + 7531.50ns INFO [00007533] * RD COMPARE * port=0 adr=01 act=36EA8CC7CC51DA9CF6 exp=36EA8CC7CC51DA9CF6 + 7531.50ns INFO [00007533] * RD COMPARE * port=1 adr=00 act=261DBB8DF552AFE9E8 exp=261DBB8DF552AFE9E8 + 7532.50ns INFO [00007534] * RD COMPARE * port=0 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7532.50ns INFO [00007534] Port=0 RD @03 + 7532.50ns INFO [00007534] Port=1 RD @01 + 7533.50ns INFO [00007535] Port=0 WR @04=BFD2585263DC8698B5 + 7534.50ns INFO [00007536] * RD COMPARE * port=0 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7534.50ns INFO [00007536] * RD COMPARE * port=1 adr=01 act=36EA8CC7CC51DA9CF6 exp=36EA8CC7CC51DA9CF6 + 7534.50ns INFO [00007536] Port=0 RD @07 + 7534.50ns INFO [00007536] Port=1 RD @03 + 7536.50ns INFO [00007538] * RD COMPARE * port=0 adr=07 act=B5BC56FB7B81629BCC exp=B5BC56FB7B81629BCC + 7536.50ns INFO [00007538] * RD COMPARE * port=1 adr=03 act=CF45A8101AD0A7581C exp=CF45A8101AD0A7581C + 7536.50ns INFO [00007538] Port=1 RD @02 + 7537.50ns INFO [00007539] Port=1 RD @06 + 7538.50ns INFO [00007540] * RD COMPARE * port=1 adr=02 act=E2BF2833EBA89EBA3C exp=E2BF2833EBA89EBA3C + 7538.50ns INFO [00007540] Port=0 WR @05=839E11D35234FB091D + 7538.50ns INFO [00007540] Port=1 RD @00 + 7539.50ns INFO [00007541] * RD COMPARE * port=1 adr=06 act=70C1F15547C3D1B1CF exp=70C1F15547C3D1B1CF + 7539.50ns INFO [00007541] Port=1 RD @01 + 7540.50ns INFO [00007542] * RD COMPARE * port=1 adr=00 act=261DBB8DF552AFE9E8 exp=261DBB8DF552AFE9E8 + 7541.50ns INFO [00007543] * RD COMPARE * port=1 adr=01 act=36EA8CC7CC51DA9CF6 exp=36EA8CC7CC51DA9CF6 + 7541.50ns INFO [00007543] Port=0 WR @03=CB17686591DD11555C + 7541.50ns INFO [00007543] Port=0 RD @05 + 7541.50ns INFO [00007543] Port=1 RD @05 + 7542.50ns INFO [00007544] Port=1 RD @00 + 7543.50ns INFO [00007545] * RD COMPARE * port=0 adr=05 act=839E11D35234FB091D exp=839E11D35234FB091D + 7543.50ns INFO [00007545] * RD COMPARE * port=1 adr=05 act=839E11D35234FB091D exp=839E11D35234FB091D + 7543.50ns INFO [00007545] Port=1 RD @02 + 7544.50ns INFO [00007546] * RD COMPARE * port=1 adr=00 act=261DBB8DF552AFE9E8 exp=261DBB8DF552AFE9E8 + 7544.50ns INFO [00007546] Port=0 RD @03 + 7544.50ns INFO [00007546] Port=1 RD @00 + 7545.50ns INFO [00007547] * RD COMPARE * port=1 adr=02 act=E2BF2833EBA89EBA3C exp=E2BF2833EBA89EBA3C + 7545.50ns INFO [00007547] Port=0 RD @01 + 7546.50ns INFO [00007548] * RD COMPARE * port=0 adr=03 act=CB17686591DD11555C exp=CB17686591DD11555C + 7546.50ns INFO [00007548] * RD COMPARE * port=1 adr=00 act=261DBB8DF552AFE9E8 exp=261DBB8DF552AFE9E8 + 7547.50ns INFO [00007549] * RD COMPARE * port=0 adr=01 act=36EA8CC7CC51DA9CF6 exp=36EA8CC7CC51DA9CF6 + 7547.50ns INFO [00007549] Port=0 WR @02=B9A940DF90E92640C9 + 7547.50ns INFO [00007549] Port=0 RD @00 + 7548.50ns INFO [00007550] Port=0 RD @00 + 7549.50ns INFO [00007551] * RD COMPARE * port=0 adr=00 act=261DBB8DF552AFE9E8 exp=261DBB8DF552AFE9E8 + 7550.50ns INFO [00007552] * RD COMPARE * port=0 adr=00 act=261DBB8DF552AFE9E8 exp=261DBB8DF552AFE9E8 + 7552.50ns INFO [00007554] Port=0 WR @01=996A37CE86C059CE09 + 7553.50ns INFO [00007555] Port=0 RD @06 + 7554.50ns INFO [00007556] Port=0 WR @07=C4D0B9A95414ED4820 + 7554.50ns INFO [00007556] Port=0 RD @04 + 7554.50ns INFO [00007556] Port=1 RD @03 + 7555.50ns INFO [00007557] * RD COMPARE * port=0 adr=06 act=70C1F15547C3D1B1CF exp=70C1F15547C3D1B1CF + 7555.50ns INFO [00007557] Port=0 WR @06=C012A0DB2C7D299D29 + 7555.50ns INFO [00007557] Port=0 RD @02 + 7556.50ns INFO [00007558] * RD COMPARE * port=0 adr=04 act=BFD2585263DC8698B5 exp=BFD2585263DC8698B5 + 7556.50ns INFO [00007558] * RD COMPARE * port=1 adr=03 act=CB17686591DD11555C exp=CB17686591DD11555C + 7556.50ns INFO [00007558] Port=0 RD @03 + 7557.50ns INFO [00007559] * RD COMPARE * port=0 adr=02 act=B9A940DF90E92640C9 exp=B9A940DF90E92640C9 + 7557.50ns INFO [00007559] Port=0 WR @01=492BDC48ABB67E7CD5 + 7557.50ns INFO [00007559] Port=0 RD @02 + 7558.50ns INFO [00007560] * RD COMPARE * port=0 adr=03 act=CB17686591DD11555C exp=CB17686591DD11555C + 7558.50ns INFO [00007560] Port=1 RD @03 + 7559.50ns INFO [00007561] * RD COMPARE * port=0 adr=02 act=B9A940DF90E92640C9 exp=B9A940DF90E92640C9 + 7559.50ns INFO [00007561] Port=0 RD @02 + 7560.50ns INFO [00007562] * RD COMPARE * port=1 adr=03 act=CB17686591DD11555C exp=CB17686591DD11555C + 7561.50ns INFO [00007563] * RD COMPARE * port=0 adr=02 act=B9A940DF90E92640C9 exp=B9A940DF90E92640C9 + 7561.50ns INFO [00007563] Port=0 WR @00=E9B73499AD61AE8B9A + 7561.50ns INFO [00007563] Port=0 RD @02 + 7561.50ns INFO [00007563] Port=1 RD @05 + 7563.50ns INFO [00007565] * RD COMPARE * port=0 adr=02 act=B9A940DF90E92640C9 exp=B9A940DF90E92640C9 + 7563.50ns INFO [00007565] * RD COMPARE * port=1 adr=05 act=839E11D35234FB091D exp=839E11D35234FB091D + 7564.50ns INFO [00007566] Port=0 WR @02=CF7E26CAE8B23B8F9B + 7564.50ns INFO [00007566] Port=0 RD @01 + 7564.50ns INFO [00007566] Port=1 RD @07 + 7565.50ns INFO [00007567] Port=0 WR @07=44990B13C8CD4563B0 + 7565.50ns INFO [00007567] Port=1 RD @02 + 7566.50ns INFO [00007568] * RD COMPARE * port=0 adr=01 act=492BDC48ABB67E7CD5 exp=492BDC48ABB67E7CD5 + 7566.50ns INFO [00007568] * RD COMPARE * port=1 adr=07 act=C4D0B9A95414ED4820 exp=C4D0B9A95414ED4820 + 7566.50ns INFO [00007568] Port=1 RD @06 + 7567.50ns INFO [00007569] * RD COMPARE * port=1 adr=02 act=CF7E26CAE8B23B8F9B exp=CF7E26CAE8B23B8F9B + 7568.50ns INFO [00007570] * RD COMPARE * port=1 adr=06 act=C012A0DB2C7D299D29 exp=C012A0DB2C7D299D29 + 7568.50ns INFO [00007570] Port=0 WR @05=7FBDCE292B4484BD0E + 7568.50ns INFO [00007570] Port=0 RD @00 + 7568.50ns INFO [00007570] Port=1 RD @02 + 7569.50ns INFO [00007571] Port=0 RD @07 + 7570.50ns INFO [00007572] * RD COMPARE * port=0 adr=00 act=E9B73499AD61AE8B9A exp=E9B73499AD61AE8B9A + 7570.50ns INFO [00007572] * RD COMPARE * port=1 adr=02 act=CF7E26CAE8B23B8F9B exp=CF7E26CAE8B23B8F9B + 7570.50ns INFO [00007572] Port=0 WR @03=B6A4407422F673344A + 7570.50ns INFO [00007572] Port=0 RD @02 + 7570.50ns INFO [00007572] Port=1 RD @02 + 7571.50ns INFO [00007573] * RD COMPARE * port=0 adr=07 act=44990B13C8CD4563B0 exp=44990B13C8CD4563B0 + 7571.50ns INFO [00007573] Port=0 WR @05=955D5DC15207A07A7F + 7572.50ns INFO [00007574] * RD COMPARE * port=0 adr=02 act=CF7E26CAE8B23B8F9B exp=CF7E26CAE8B23B8F9B + 7572.50ns INFO [00007574] * RD COMPARE * port=1 adr=02 act=CF7E26CAE8B23B8F9B exp=CF7E26CAE8B23B8F9B + 7573.50ns INFO [00007575] Port=0 WR @03=DE03695F567EB76F7A + 7573.50ns INFO [00007575] Port=1 RD @01 + 7574.50ns INFO [00007576] Port=0 WR @02=47943271526185917F + 7574.50ns INFO [00007576] Port=0 RD @03 + 7575.50ns INFO [00007577] * RD COMPARE * port=1 adr=01 act=492BDC48ABB67E7CD5 exp=492BDC48ABB67E7CD5 + 7575.50ns INFO [00007577] Port=0 RD @06 + 7576.50ns INFO [00007578] * RD COMPARE * port=0 adr=03 act=DE03695F567EB76F7A exp=DE03695F567EB76F7A + 7576.50ns INFO [00007578] Port=0 RD @02 + 7577.50ns INFO [00007579] * RD COMPARE * port=0 adr=06 act=C012A0DB2C7D299D29 exp=C012A0DB2C7D299D29 + 7578.50ns INFO [00007580] * RD COMPARE * port=0 adr=02 act=47943271526185917F exp=47943271526185917F + 7579.50ns INFO [00007581] Port=0 WR @03=F14728D70817D2E07A + 7579.50ns INFO [00007581] Port=0 RD @00 + 7580.50ns INFO [00007582] Port=0 RD @03 + 7581.50ns INFO [00007583] * RD COMPARE * port=0 adr=00 act=E9B73499AD61AE8B9A exp=E9B73499AD61AE8B9A + 7581.50ns INFO [00007583] Port=0 WR @07=926329BB2A1FCACE53 + 7581.50ns INFO [00007583] Port=0 RD @00 + 7581.50ns INFO [00007583] Port=1 RD @04 + 7582.50ns INFO [00007584] * RD COMPARE * port=0 adr=03 act=F14728D70817D2E07A exp=F14728D70817D2E07A + 7582.50ns INFO [00007584] Port=0 RD @02 + 7582.50ns INFO [00007584] Port=1 RD @00 + 7583.50ns INFO [00007585] * RD COMPARE * port=0 adr=00 act=E9B73499AD61AE8B9A exp=E9B73499AD61AE8B9A + 7583.50ns INFO [00007585] * RD COMPARE * port=1 adr=04 act=BFD2585263DC8698B5 exp=BFD2585263DC8698B5 + 7583.50ns INFO [00007585] Port=0 RD @03 + 7584.50ns INFO [00007586] * RD COMPARE * port=0 adr=02 act=47943271526185917F exp=47943271526185917F + 7584.50ns INFO [00007586] * RD COMPARE * port=1 adr=00 act=E9B73499AD61AE8B9A exp=E9B73499AD61AE8B9A + 7585.50ns INFO [00007587] * RD COMPARE * port=0 adr=03 act=F14728D70817D2E07A exp=F14728D70817D2E07A + 7585.50ns INFO [00007587] Port=1 RD @01 + 7586.50ns INFO [00007588] Port=0 WR @03=F154EE27EE42ADC28E + 7586.50ns INFO [00007588] Port=0 RD @06 + 7586.50ns INFO [00007588] Port=1 RD @02 + 7587.50ns INFO [00007589] * RD COMPARE * port=1 adr=01 act=492BDC48ABB67E7CD5 exp=492BDC48ABB67E7CD5 + 7587.50ns INFO [00007589] Port=0 RD @00 + 7588.50ns INFO [00007590] * RD COMPARE * port=0 adr=06 act=C012A0DB2C7D299D29 exp=C012A0DB2C7D299D29 + 7588.50ns INFO [00007590] * RD COMPARE * port=1 adr=02 act=47943271526185917F exp=47943271526185917F + 7588.50ns INFO [00007590] Port=0 WR @06=C6319A1BE13FDA8AC2 + 7589.50ns INFO [00007591] * RD COMPARE * port=0 adr=00 act=E9B73499AD61AE8B9A exp=E9B73499AD61AE8B9A + 7589.50ns INFO [00007591] Port=0 WR @07=5C80C046E53A205540 + 7589.50ns INFO [00007591] Port=0 RD @06 + 7590.50ns INFO [00007592] Port=0 WR @02=66BF109B2E808B6B35 + 7590.50ns INFO [00007592] Port=0 RD @06 + 7590.50ns INFO [00007592] Port=1 RD @07 + 7591.50ns INFO [00007593] * RD COMPARE * port=0 adr=06 act=C6319A1BE13FDA8AC2 exp=C6319A1BE13FDA8AC2 + 7591.50ns INFO [00007593] Port=0 WR @02=537E7831E03EB6D4F5 + 7591.50ns INFO [00007593] Port=0 RD @01 + 7592.50ns INFO [00007594] * RD COMPARE * port=0 adr=06 act=C6319A1BE13FDA8AC2 exp=C6319A1BE13FDA8AC2 + 7592.50ns INFO [00007594] * RD COMPARE * port=1 adr=07 act=5C80C046E53A205540 exp=5C80C046E53A205540 + 7592.50ns INFO [00007594] Port=1 RD @06 + 7593.50ns INFO [00007595] * RD COMPARE * port=0 adr=01 act=492BDC48ABB67E7CD5 exp=492BDC48ABB67E7CD5 + 7593.50ns INFO [00007595] Port=0 WR @04=0C8BD7D95E8B727B64 + 7594.50ns INFO [00007596] * RD COMPARE * port=1 adr=06 act=C6319A1BE13FDA8AC2 exp=C6319A1BE13FDA8AC2 + 7594.50ns INFO [00007596] Port=0 WR @05=C01D488621CA7D3A85 + 7596.50ns INFO [00007598] Port=0 RD @06 + 7596.50ns INFO [00007598] Port=1 RD @04 + 7597.50ns INFO [00007599] Port=0 RD @07 + 7598.00ns INFO [00007600] [00007600] ...tick... + 7598.50ns INFO [00007600] * RD COMPARE * port=0 adr=06 act=C6319A1BE13FDA8AC2 exp=C6319A1BE13FDA8AC2 + 7598.50ns INFO [00007600] * RD COMPARE * port=1 adr=04 act=0C8BD7D95E8B727B64 exp=0C8BD7D95E8B727B64 + 7598.50ns INFO [00007600] Port=0 RD @05 + 7598.50ns INFO [00007600] Port=1 RD @03 + 7599.50ns INFO [00007601] * RD COMPARE * port=0 adr=07 act=5C80C046E53A205540 exp=5C80C046E53A205540 + 7599.50ns INFO [00007601] Port=0 RD @06 + 7600.50ns INFO [00007602] * RD COMPARE * port=0 adr=05 act=C01D488621CA7D3A85 exp=C01D488621CA7D3A85 + 7600.50ns INFO [00007602] * RD COMPARE * port=1 adr=03 act=F154EE27EE42ADC28E exp=F154EE27EE42ADC28E + 7600.50ns INFO [00007602] Port=0 RD @02 + 7601.50ns INFO [00007603] * RD COMPARE * port=0 adr=06 act=C6319A1BE13FDA8AC2 exp=C6319A1BE13FDA8AC2 + 7601.50ns INFO [00007603] Port=0 WR @04=85FFA4223F5A0792F9 + 7602.50ns INFO [00007604] * RD COMPARE * port=0 adr=02 act=537E7831E03EB6D4F5 exp=537E7831E03EB6D4F5 + 7603.50ns INFO [00007605] Port=1 RD @04 + 7604.50ns INFO [00007606] Port=0 WR @06=BA330D0EB25C5424B3 + 7604.50ns INFO [00007606] Port=0 RD @03 + 7605.50ns INFO [00007607] * RD COMPARE * port=1 adr=04 act=85FFA4223F5A0792F9 exp=85FFA4223F5A0792F9 + 7605.50ns INFO [00007607] Port=0 RD @00 + 7605.50ns INFO [00007607] Port=1 RD @07 + 7606.50ns INFO [00007608] * RD COMPARE * port=0 adr=03 act=F154EE27EE42ADC28E exp=F154EE27EE42ADC28E + 7606.50ns INFO [00007608] Port=0 RD @07 + 7607.50ns INFO [00007609] * RD COMPARE * port=0 adr=00 act=E9B73499AD61AE8B9A exp=E9B73499AD61AE8B9A + 7607.50ns INFO [00007609] * RD COMPARE * port=1 adr=07 act=5C80C046E53A205540 exp=5C80C046E53A205540 + 7607.50ns INFO [00007609] Port=1 RD @07 + 7608.50ns INFO [00007610] * RD COMPARE * port=0 adr=07 act=5C80C046E53A205540 exp=5C80C046E53A205540 + 7609.50ns INFO [00007611] * RD COMPARE * port=1 adr=07 act=5C80C046E53A205540 exp=5C80C046E53A205540 + 7609.50ns INFO [00007611] Port=0 WR @05=BDDD2D2B1563D45CCE + 7610.50ns INFO [00007612] Port=0 WR @04=FEB86AB1CA6758201D + 7610.50ns INFO [00007612] Port=0 RD @06 + 7612.50ns INFO [00007614] * RD COMPARE * port=0 adr=06 act=BA330D0EB25C5424B3 exp=BA330D0EB25C5424B3 + 7612.50ns INFO [00007614] Port=1 RD @06 + 7614.50ns INFO [00007616] * RD COMPARE * port=1 adr=06 act=BA330D0EB25C5424B3 exp=BA330D0EB25C5424B3 + 7614.50ns INFO [00007616] Port=0 RD @06 + 7614.50ns INFO [00007616] Port=1 RD @00 + 7616.50ns INFO [00007618] * RD COMPARE * port=0 adr=06 act=BA330D0EB25C5424B3 exp=BA330D0EB25C5424B3 + 7616.50ns INFO [00007618] * RD COMPARE * port=1 adr=00 act=E9B73499AD61AE8B9A exp=E9B73499AD61AE8B9A + 7617.50ns INFO [00007619] Port=1 RD @03 + 7619.50ns INFO [00007621] * RD COMPARE * port=1 adr=03 act=F154EE27EE42ADC28E exp=F154EE27EE42ADC28E + 7621.50ns INFO [00007623] Port=0 WR @06=18934F3F4DDC4903B5 + 7621.50ns INFO [00007623] Port=1 RD @01 + 7622.50ns INFO [00007624] Port=1 RD @04 + 7623.50ns INFO [00007625] * RD COMPARE * port=1 adr=01 act=492BDC48ABB67E7CD5 exp=492BDC48ABB67E7CD5 + 7624.50ns INFO [00007626] * RD COMPARE * port=1 adr=04 act=FEB86AB1CA6758201D exp=FEB86AB1CA6758201D + 7624.50ns INFO [00007626] Port=0 WR @02=B76B93852A47116F72 + 7625.50ns INFO [00007627] Port=0 RD @04 + 7626.50ns INFO [00007628] Port=0 WR @07=DF2D0D478308E26AAC + 7626.50ns INFO [00007628] Port=1 RD @00 + 7627.50ns INFO [00007629] * RD COMPARE * port=0 adr=04 act=FEB86AB1CA6758201D exp=FEB86AB1CA6758201D + 7627.50ns INFO [00007629] Port=0 RD @03 + 7627.50ns INFO [00007629] Port=1 RD @00 + 7628.50ns INFO [00007630] * RD COMPARE * port=1 adr=00 act=E9B73499AD61AE8B9A exp=E9B73499AD61AE8B9A + 7628.50ns INFO [00007630] Port=0 WR @00=BABA2C47055D953E7A + 7629.50ns INFO [00007631] * RD COMPARE * port=0 adr=03 act=F154EE27EE42ADC28E exp=F154EE27EE42ADC28E + 7629.50ns INFO [00007631] * RD COMPARE * port=1 adr=00 act=E9B73499AD61AE8B9A exp=E9B73499AD61AE8B9A + 7629.50ns INFO [00007631] Port=0 WR @05=5570C419B24E2952C2 + 7630.50ns INFO [00007632] Port=0 WR @05=0AB8281C0292305EDB + 7630.50ns INFO [00007632] Port=0 RD @00 + 7630.50ns INFO [00007632] Port=1 RD @04 + 7631.50ns INFO [00007633] Port=0 RD @05 + 7632.50ns INFO [00007634] * RD COMPARE * port=0 adr=00 act=BABA2C47055D953E7A exp=BABA2C47055D953E7A + 7632.50ns INFO [00007634] * RD COMPARE * port=1 adr=04 act=FEB86AB1CA6758201D exp=FEB86AB1CA6758201D + 7633.50ns INFO [00007635] * RD COMPARE * port=0 adr=05 act=0AB8281C0292305EDB exp=0AB8281C0292305EDB + 7633.50ns INFO [00007635] Port=1 RD @00 + 7634.50ns INFO [00007636] Port=0 WR @00=5D7BB18E3809200D4F + 7635.50ns INFO [00007637] * RD COMPARE * port=1 adr=00 act=BABA2C47055D953E7A exp=BABA2C47055D953E7A + 7636.50ns INFO [00007638] Port=1 RD @04 + 7637.50ns INFO [00007639] Port=0 RD @06 + 7637.50ns INFO [00007639] Port=1 RD @03 + 7638.50ns INFO [00007640] * RD COMPARE * port=1 adr=04 act=FEB86AB1CA6758201D exp=FEB86AB1CA6758201D + 7639.50ns INFO [00007641] * RD COMPARE * port=0 adr=06 act=18934F3F4DDC4903B5 exp=18934F3F4DDC4903B5 + 7639.50ns INFO [00007641] * RD COMPARE * port=1 adr=03 act=F154EE27EE42ADC28E exp=F154EE27EE42ADC28E + 7640.50ns INFO [00007642] Port=0 WR @02=F73EAB252A7ACFBA39 + 7641.50ns INFO [00007643] Port=0 WR @03=A85B040D86314411A8 + 7641.50ns INFO [00007643] Port=1 RD @05 + 7642.50ns INFO [00007644] Port=0 WR @06=D73B8C513D64E21020 + 7642.50ns INFO [00007644] Port=0 RD @05 + 7643.50ns INFO [00007645] * RD COMPARE * port=1 adr=05 act=0AB8281C0292305EDB exp=0AB8281C0292305EDB + 7643.50ns INFO [00007645] Port=0 RD @05 + 7644.50ns INFO [00007646] * RD COMPARE * port=0 adr=05 act=0AB8281C0292305EDB exp=0AB8281C0292305EDB + 7644.50ns INFO [00007646] Port=0 WR @02=84506CF8323FDBAB1B + 7645.50ns INFO [00007647] * RD COMPARE * port=0 adr=05 act=0AB8281C0292305EDB exp=0AB8281C0292305EDB + 7645.50ns INFO [00007647] Port=0 WR @05=664695C2129E25639D + 7645.50ns INFO [00007647] Port=0 RD @04 + 7645.50ns INFO [00007647] Port=1 RD @04 + 7646.50ns INFO [00007648] Port=0 RD @02 + 7646.50ns INFO [00007648] Port=1 RD @07 + 7647.50ns INFO [00007649] * RD COMPARE * port=0 adr=04 act=FEB86AB1CA6758201D exp=FEB86AB1CA6758201D + 7647.50ns INFO [00007649] * RD COMPARE * port=1 adr=04 act=FEB86AB1CA6758201D exp=FEB86AB1CA6758201D + 7647.50ns INFO [00007649] Port=1 RD @06 + 7648.50ns INFO [00007650] * RD COMPARE * port=0 adr=02 act=84506CF8323FDBAB1B exp=84506CF8323FDBAB1B + 7648.50ns INFO [00007650] * RD COMPARE * port=1 adr=07 act=DF2D0D478308E26AAC exp=DF2D0D478308E26AAC + 7648.50ns INFO [00007650] Port=0 WR @04=C635C7CF06F7535229 + 7648.50ns INFO [00007650] Port=0 RD @00 + 7649.50ns INFO [00007651] * RD COMPARE * port=1 adr=06 act=D73B8C513D64E21020 exp=D73B8C513D64E21020 + 7649.50ns INFO [00007651] Port=0 WR @00=7558F826D6D8A2FD26 + 7649.50ns INFO [00007651] Port=0 RD @01 + 7650.50ns INFO [00007652] * RD COMPARE * port=0 adr=00 act=5D7BB18E3809200D4F exp=5D7BB18E3809200D4F + 7650.50ns INFO [00007652] Port=0 WR @00=751A5E84C34712698E + 7650.50ns INFO [00007652] Port=0 RD @05 + 7651.50ns INFO [00007653] * RD COMPARE * port=0 adr=01 act=492BDC48ABB67E7CD5 exp=492BDC48ABB67E7CD5 + 7651.50ns INFO [00007653] Port=0 WR @00=374737B212B2F3DD66 + 7651.50ns INFO [00007653] Port=0 RD @02 + 7651.50ns INFO [00007653] Port=1 RD @06 + 7652.50ns INFO [00007654] * RD COMPARE * port=0 adr=05 act=664695C2129E25639D exp=664695C2129E25639D + 7652.50ns INFO [00007654] Port=0 RD @01 + 7653.50ns INFO [00007655] * RD COMPARE * port=0 adr=02 act=84506CF8323FDBAB1B exp=84506CF8323FDBAB1B + 7653.50ns INFO [00007655] * RD COMPARE * port=1 adr=06 act=D73B8C513D64E21020 exp=D73B8C513D64E21020 + 7653.50ns INFO [00007655] Port=0 RD @00 + 7654.50ns INFO [00007656] * RD COMPARE * port=0 adr=01 act=492BDC48ABB67E7CD5 exp=492BDC48ABB67E7CD5 + 7655.50ns INFO [00007657] * RD COMPARE * port=0 adr=00 act=374737B212B2F3DD66 exp=374737B212B2F3DD66 + 7655.50ns INFO [00007657] Port=0 WR @05=03F3D2D175E4E4A74C + 7656.50ns INFO [00007658] Port=1 RD @02 + 7657.50ns INFO [00007659] Port=0 WR @01=F08C8964A3921DE797 + 7657.50ns INFO [00007659] Port=0 RD @05 + 7658.50ns INFO [00007660] * RD COMPARE * port=1 adr=02 act=84506CF8323FDBAB1B exp=84506CF8323FDBAB1B + 7658.50ns INFO [00007660] Port=0 WR @04=56257CE21EF33ECEEB + 7659.50ns INFO [00007661] * RD COMPARE * port=0 adr=05 act=03F3D2D175E4E4A74C exp=03F3D2D175E4E4A74C + 7659.50ns INFO [00007661] Port=0 WR @04=027EA747F0350FE2F3 + 7659.50ns INFO [00007661] Port=0 RD @02 + 7659.50ns INFO [00007661] Port=1 RD @06 + 7660.50ns INFO [00007662] Port=1 RD @04 + 7661.50ns INFO [00007663] * RD COMPARE * port=0 adr=02 act=84506CF8323FDBAB1B exp=84506CF8323FDBAB1B + 7661.50ns INFO [00007663] * RD COMPARE * port=1 adr=06 act=D73B8C513D64E21020 exp=D73B8C513D64E21020 + 7661.50ns INFO [00007663] Port=0 WR @05=91C6E47C6A837CA988 + 7661.50ns INFO [00007663] Port=1 RD @00 + 7662.50ns INFO [00007664] * RD COMPARE * port=1 adr=04 act=027EA747F0350FE2F3 exp=027EA747F0350FE2F3 + 7662.50ns INFO [00007664] Port=0 WR @05=6DE727785A63EB212B + 7662.50ns INFO [00007664] Port=0 RD @04 + 7662.50ns INFO [00007664] Port=1 RD @06 + 7663.50ns INFO [00007665] * RD COMPARE * port=1 adr=00 act=374737B212B2F3DD66 exp=374737B212B2F3DD66 + 7663.50ns INFO [00007665] Port=0 WR @00=9BA9431E088E960DCB + 7663.50ns INFO [00007665] Port=0 RD @02 + 7664.50ns INFO [00007666] * RD COMPARE * port=0 adr=04 act=027EA747F0350FE2F3 exp=027EA747F0350FE2F3 + 7664.50ns INFO [00007666] * RD COMPARE * port=1 adr=06 act=D73B8C513D64E21020 exp=D73B8C513D64E21020 + 7664.50ns INFO [00007666] Port=0 WR @04=138879AE095D6DAB7F + 7665.50ns INFO [00007667] * RD COMPARE * port=0 adr=02 act=84506CF8323FDBAB1B exp=84506CF8323FDBAB1B + 7665.50ns INFO [00007667] Port=0 RD @01 + 7665.50ns INFO [00007667] Port=1 RD @05 + 7666.50ns INFO [00007668] Port=0 RD @06 + 7666.50ns INFO [00007668] Port=1 RD @05 + 7667.50ns INFO [00007669] * RD COMPARE * port=0 adr=01 act=F08C8964A3921DE797 exp=F08C8964A3921DE797 + 7667.50ns INFO [00007669] * RD COMPARE * port=1 adr=05 act=6DE727785A63EB212B exp=6DE727785A63EB212B + 7667.50ns INFO [00007669] Port=0 WR @05=7212DCD797A6693DC8 + 7668.50ns INFO [00007670] * RD COMPARE * port=0 adr=06 act=D73B8C513D64E21020 exp=D73B8C513D64E21020 + 7668.50ns INFO [00007670] * RD COMPARE * port=1 adr=05 act=6DE727785A63EB212B exp=6DE727785A63EB212B + 7668.50ns INFO [00007670] Port=0 RD @00 + 7668.50ns INFO [00007670] Port=1 RD @02 + 7669.50ns INFO [00007671] Port=0 WR @06=6E45F619BDE83B6E98 + 7669.50ns INFO [00007671] Port=0 RD @01 + 7670.50ns INFO [00007672] * RD COMPARE * port=0 adr=00 act=9BA9431E088E960DCB exp=9BA9431E088E960DCB + 7670.50ns INFO [00007672] * RD COMPARE * port=1 adr=02 act=84506CF8323FDBAB1B exp=84506CF8323FDBAB1B + 7670.50ns INFO [00007672] Port=1 RD @07 + 7671.50ns INFO [00007673] * RD COMPARE * port=0 adr=01 act=F08C8964A3921DE797 exp=F08C8964A3921DE797 + 7672.50ns INFO [00007674] * RD COMPARE * port=1 adr=07 act=DF2D0D478308E26AAC exp=DF2D0D478308E26AAC + 7672.50ns INFO [00007674] Port=0 RD @06 + 7673.50ns INFO [00007675] Port=0 WR @02=D862C095B11B133355 + 7673.50ns INFO [00007675] Port=1 RD @05 + 7674.50ns INFO [00007676] * RD COMPARE * port=0 adr=06 act=6E45F619BDE83B6E98 exp=6E45F619BDE83B6E98 + 7674.50ns INFO [00007676] Port=0 WR @05=4599D03C7601040DEE + 7675.50ns INFO [00007677] * RD COMPARE * port=1 adr=05 act=7212DCD797A6693DC8 exp=7212DCD797A6693DC8 + 7676.50ns INFO [00007678] Port=0 WR @04=519A7B94D9F3DD22CF + 7676.50ns INFO [00007678] Port=0 RD @00 + 7677.50ns INFO [00007679] Port=0 RD @00 + 7678.50ns INFO [00007680] * RD COMPARE * port=0 adr=00 act=9BA9431E088E960DCB exp=9BA9431E088E960DCB + 7678.50ns INFO [00007680] Port=1 RD @02 + 7679.50ns INFO [00007681] * RD COMPARE * port=0 adr=00 act=9BA9431E088E960DCB exp=9BA9431E088E960DCB + 7679.50ns INFO [00007681] Port=1 RD @02 + 7680.50ns INFO [00007682] * RD COMPARE * port=1 adr=02 act=D862C095B11B133355 exp=D862C095B11B133355 + 7680.50ns INFO [00007682] Port=0 RD @00 + 7680.50ns INFO [00007682] Port=1 RD @01 + 7681.50ns INFO [00007683] * RD COMPARE * port=1 adr=02 act=D862C095B11B133355 exp=D862C095B11B133355 + 7682.50ns INFO [00007684] * RD COMPARE * port=0 adr=00 act=9BA9431E088E960DCB exp=9BA9431E088E960DCB + 7682.50ns INFO [00007684] * RD COMPARE * port=1 adr=01 act=F08C8964A3921DE797 exp=F08C8964A3921DE797 + 7683.50ns INFO [00007685] Port=0 RD @05 + 7684.50ns INFO [00007686] Port=0 WR @01=959081DE43AB298A37 + 7684.50ns INFO [00007686] Port=1 RD @02 + 7685.50ns INFO [00007687] * RD COMPARE * port=0 adr=05 act=4599D03C7601040DEE exp=4599D03C7601040DEE + 7685.50ns INFO [00007687] Port=1 RD @03 + 7686.50ns INFO [00007688] * RD COMPARE * port=1 adr=02 act=D862C095B11B133355 exp=D862C095B11B133355 + 7686.50ns INFO [00007688] Port=0 WR @06=18EF03D7FC7DAB67A4 + 7686.50ns INFO [00007688] Port=0 RD @00 + 7687.50ns INFO [00007689] * RD COMPARE * port=1 adr=03 act=A85B040D86314411A8 exp=A85B040D86314411A8 + 7687.50ns INFO [00007689] Port=0 WR @03=30ED244084680D4D86 + 7687.50ns INFO [00007689] Port=0 RD @07 + 7688.50ns INFO [00007690] * RD COMPARE * port=0 adr=00 act=9BA9431E088E960DCB exp=9BA9431E088E960DCB + 7688.50ns INFO [00007690] Port=0 WR @03=D3D5C2FF2FFDAD9FCC + 7688.50ns INFO [00007690] Port=0 RD @02 + 7689.50ns INFO [00007691] * RD COMPARE * port=0 adr=07 act=DF2D0D478308E26AAC exp=DF2D0D478308E26AAC + 7689.50ns INFO [00007691] Port=0 RD @05 + 7690.50ns INFO [00007692] * RD COMPARE * port=0 adr=02 act=D862C095B11B133355 exp=D862C095B11B133355 + 7690.50ns INFO [00007692] Port=0 RD @01 + 7690.50ns INFO [00007692] Port=1 RD @02 + 7691.50ns INFO [00007693] * RD COMPARE * port=0 adr=05 act=4599D03C7601040DEE exp=4599D03C7601040DEE + 7691.50ns INFO [00007693] Port=0 WR @05=0A256AA358E9773132 + 7691.50ns INFO [00007693] Port=1 RD @06 + 7692.50ns INFO [00007694] * RD COMPARE * port=0 adr=01 act=959081DE43AB298A37 exp=959081DE43AB298A37 + 7692.50ns INFO [00007694] * RD COMPARE * port=1 adr=02 act=D862C095B11B133355 exp=D862C095B11B133355 + 7693.50ns INFO [00007695] * RD COMPARE * port=1 adr=06 act=18EF03D7FC7DAB67A4 exp=18EF03D7FC7DAB67A4 + 7693.50ns INFO [00007695] Port=0 RD @03 + 7693.50ns INFO [00007695] Port=1 RD @00 + 7695.50ns INFO [00007697] * RD COMPARE * port=0 adr=03 act=D3D5C2FF2FFDAD9FCC exp=D3D5C2FF2FFDAD9FCC + 7695.50ns INFO [00007697] * RD COMPARE * port=1 adr=00 act=9BA9431E088E960DCB exp=9BA9431E088E960DCB + 7695.50ns INFO [00007697] Port=0 RD @00 + 7695.50ns INFO [00007697] Port=1 RD @06 + 7696.50ns INFO [00007698] Port=1 RD @03 + 7697.50ns INFO [00007699] * RD COMPARE * port=0 adr=00 act=9BA9431E088E960DCB exp=9BA9431E088E960DCB + 7697.50ns INFO [00007699] * RD COMPARE * port=1 adr=06 act=18EF03D7FC7DAB67A4 exp=18EF03D7FC7DAB67A4 + 7698.00ns INFO [00007700] [00007700] ...tick... + 7698.50ns INFO [00007700] * RD COMPARE * port=1 adr=03 act=D3D5C2FF2FFDAD9FCC exp=D3D5C2FF2FFDAD9FCC + 7698.50ns INFO [00007700] Port=1 RD @05 + 7699.50ns INFO [00007701] Port=0 WR @01=32378EB3BF13F3193C + 7700.50ns INFO [00007702] * RD COMPARE * port=1 adr=05 act=0A256AA358E9773132 exp=0A256AA358E9773132 + 7700.50ns INFO [00007702] Port=0 WR @06=D8225EB73F7B601230 + 7700.50ns INFO [00007702] Port=1 RD @05 + 7701.50ns INFO [00007703] Port=0 RD @06 + 7701.50ns INFO [00007703] Port=1 RD @07 + 7702.50ns INFO [00007704] * RD COMPARE * port=1 adr=05 act=0A256AA358E9773132 exp=0A256AA358E9773132 + 7702.50ns INFO [00007704] Port=0 RD @04 + 7703.50ns INFO [00007705] * RD COMPARE * port=0 adr=06 act=D8225EB73F7B601230 exp=D8225EB73F7B601230 + 7703.50ns INFO [00007705] * RD COMPARE * port=1 adr=07 act=DF2D0D478308E26AAC exp=DF2D0D478308E26AAC + 7704.50ns INFO [00007706] * RD COMPARE * port=0 adr=04 act=519A7B94D9F3DD22CF exp=519A7B94D9F3DD22CF + 7705.50ns INFO [00007707] Port=0 WR @04=25996B1FA2459DE97E + 7706.50ns INFO [00007708] Port=0 RD @05 + 7708.50ns INFO [00007710] * RD COMPARE * port=0 adr=05 act=0A256AA358E9773132 exp=0A256AA358E9773132 + 7708.50ns INFO [00007710] Port=1 RD @07 + 7709.50ns INFO [00007711] Port=0 RD @05 + 7709.50ns INFO [00007711] Port=1 RD @00 + 7710.50ns INFO [00007712] * RD COMPARE * port=1 adr=07 act=DF2D0D478308E26AAC exp=DF2D0D478308E26AAC + 7710.50ns INFO [00007712] Port=0 WR @03=9288CF466D211D5FC0 + 7710.50ns INFO [00007712] Port=0 RD @05 + 7711.50ns INFO [00007713] * RD COMPARE * port=0 adr=05 act=0A256AA358E9773132 exp=0A256AA358E9773132 + 7711.50ns INFO [00007713] * RD COMPARE * port=1 adr=00 act=9BA9431E088E960DCB exp=9BA9431E088E960DCB + 7711.50ns INFO [00007713] Port=0 RD @04 + 7712.50ns INFO [00007714] * RD COMPARE * port=0 adr=05 act=0A256AA358E9773132 exp=0A256AA358E9773132 + 7712.50ns INFO [00007714] Port=1 RD @06 + 7713.50ns INFO [00007715] * RD COMPARE * port=0 adr=04 act=25996B1FA2459DE97E exp=25996B1FA2459DE97E + 7713.50ns INFO [00007715] Port=0 RD @02 + 7713.50ns INFO [00007715] Port=1 RD @07 + 7714.50ns INFO [00007716] * RD COMPARE * port=1 adr=06 act=D8225EB73F7B601230 exp=D8225EB73F7B601230 + 7714.50ns INFO [00007716] Port=0 RD @03 + 7715.50ns INFO [00007717] * RD COMPARE * port=0 adr=02 act=D862C095B11B133355 exp=D862C095B11B133355 + 7715.50ns INFO [00007717] * RD COMPARE * port=1 adr=07 act=DF2D0D478308E26AAC exp=DF2D0D478308E26AAC + 7715.50ns INFO [00007717] Port=0 WR @05=E3A35C83E079C3AE1B + 7716.50ns INFO [00007718] * RD COMPARE * port=0 adr=03 act=9288CF466D211D5FC0 exp=9288CF466D211D5FC0 + 7716.50ns INFO [00007718] Port=0 WR @01=EC488E7F21DC6CDA67 + 7716.50ns INFO [00007718] Port=1 RD @00 + 7717.50ns INFO [00007719] Port=0 WR @01=7C3C998EC7ACFB7DDF + 7717.50ns INFO [00007719] Port=1 RD @03 + 7718.50ns INFO [00007720] * RD COMPARE * port=1 adr=00 act=9BA9431E088E960DCB exp=9BA9431E088E960DCB + 7718.50ns INFO [00007720] Port=0 WR @01=177AA55DE097B1ECCD + 7719.50ns INFO [00007721] * RD COMPARE * port=1 adr=03 act=9288CF466D211D5FC0 exp=9288CF466D211D5FC0 + 7719.50ns INFO [00007721] Port=1 RD @06 + 7720.50ns INFO [00007722] Port=1 RD @03 + 7721.50ns INFO [00007723] * RD COMPARE * port=1 adr=06 act=D8225EB73F7B601230 exp=D8225EB73F7B601230 + 7721.50ns INFO [00007723] Port=0 WR @07=9860551C51749D77D9 + 7721.50ns INFO [00007723] Port=0 RD @05 + 7722.50ns INFO [00007724] * RD COMPARE * port=1 adr=03 act=9288CF466D211D5FC0 exp=9288CF466D211D5FC0 + 7722.50ns INFO [00007724] Port=0 RD @05 + 7723.50ns INFO [00007725] * RD COMPARE * port=0 adr=05 act=E3A35C83E079C3AE1B exp=E3A35C83E079C3AE1B + 7723.50ns INFO [00007725] Port=0 WR @04=7DF9C53A8BE081F397 + 7723.50ns INFO [00007725] Port=1 RD @01 + 7724.50ns INFO [00007726] * RD COMPARE * port=0 adr=05 act=E3A35C83E079C3AE1B exp=E3A35C83E079C3AE1B + 7725.50ns INFO [00007727] * RD COMPARE * port=1 adr=01 act=177AA55DE097B1ECCD exp=177AA55DE097B1ECCD + 7725.50ns INFO [00007727] Port=0 WR @04=41D01B57A262C38BBC + 7727.50ns INFO [00007729] Port=0 RD @02 + 7728.50ns INFO [00007730] Port=1 RD @07 + 7729.50ns INFO [00007731] * RD COMPARE * port=0 adr=02 act=D862C095B11B133355 exp=D862C095B11B133355 + 7730.50ns INFO [00007732] * RD COMPARE * port=1 adr=07 act=9860551C51749D77D9 exp=9860551C51749D77D9 + 7730.50ns INFO [00007732] Port=0 RD @07 + 7731.50ns INFO [00007733] Port=0 WR @00=1DD7AEA9242DFAE7E9 + 7731.50ns INFO [00007733] Port=1 RD @02 + 7732.50ns INFO [00007734] * RD COMPARE * port=0 adr=07 act=9860551C51749D77D9 exp=9860551C51749D77D9 + 7732.50ns INFO [00007734] Port=0 RD @02 + 7733.50ns INFO [00007735] * RD COMPARE * port=1 adr=02 act=D862C095B11B133355 exp=D862C095B11B133355 + 7733.50ns INFO [00007735] Port=0 WR @01=2F5F62B2B6EB6E5D95 + 7733.50ns INFO [00007735] Port=1 RD @07 + 7734.50ns INFO [00007736] * RD COMPARE * port=0 adr=02 act=D862C095B11B133355 exp=D862C095B11B133355 + 7734.50ns INFO [00007736] Port=1 RD @02 + 7735.50ns INFO [00007737] * RD COMPARE * port=1 adr=07 act=9860551C51749D77D9 exp=9860551C51749D77D9 + 7735.50ns INFO [00007737] Port=0 WR @07=A4F4B31AD50DB13DF2 + 7736.50ns INFO [00007738] * RD COMPARE * port=1 adr=02 act=D862C095B11B133355 exp=D862C095B11B133355 + 7736.50ns INFO [00007738] Port=0 WR @02=70B062D97D4FC9992A + 7736.50ns INFO [00007738] Port=0 RD @04 + 7738.50ns INFO [00007740] * RD COMPARE * port=0 adr=04 act=41D01B57A262C38BBC exp=41D01B57A262C38BBC + 7738.50ns INFO [00007740] Port=0 WR @06=3CCA011121E5DC1E56 + 7739.50ns INFO [00007741] Port=0 RD @00 + 7740.50ns INFO [00007742] Port=0 WR @03=7344292657F2EDEAA6 + 7740.50ns INFO [00007742] Port=1 RD @02 + 7741.50ns INFO [00007743] * RD COMPARE * port=0 adr=00 act=1DD7AEA9242DFAE7E9 exp=1DD7AEA9242DFAE7E9 + 7741.50ns INFO [00007743] Port=1 RD @03 + 7742.50ns INFO [00007744] * RD COMPARE * port=1 adr=02 act=70B062D97D4FC9992A exp=70B062D97D4FC9992A + 7742.50ns INFO [00007744] Port=1 RD @01 + 7743.50ns INFO [00007745] * RD COMPARE * port=1 adr=03 act=7344292657F2EDEAA6 exp=7344292657F2EDEAA6 + 7744.50ns INFO [00007746] * RD COMPARE * port=1 adr=01 act=2F5F62B2B6EB6E5D95 exp=2F5F62B2B6EB6E5D95 + 7744.50ns INFO [00007746] Port=0 WR @07=C1E496E3AAB476074C + 7744.50ns INFO [00007746] Port=0 RD @02 + 7745.50ns INFO [00007747] Port=0 WR @03=CBD778C62B44AF63FB + 7745.50ns INFO [00007747] Port=0 RD @00 + 7746.50ns INFO [00007748] * RD COMPARE * port=0 adr=02 act=70B062D97D4FC9992A exp=70B062D97D4FC9992A + 7746.50ns INFO [00007748] Port=0 RD @01 + 7746.50ns INFO [00007748] Port=1 RD @01 + 7747.50ns INFO [00007749] * RD COMPARE * port=0 adr=00 act=1DD7AEA9242DFAE7E9 exp=1DD7AEA9242DFAE7E9 + 7747.50ns INFO [00007749] Port=1 RD @02 + 7748.50ns INFO [00007750] * RD COMPARE * port=0 adr=01 act=2F5F62B2B6EB6E5D95 exp=2F5F62B2B6EB6E5D95 + 7748.50ns INFO [00007750] * RD COMPARE * port=1 adr=01 act=2F5F62B2B6EB6E5D95 exp=2F5F62B2B6EB6E5D95 + 7748.50ns INFO [00007750] Port=1 RD @03 + 7749.50ns INFO [00007751] * RD COMPARE * port=1 adr=02 act=70B062D97D4FC9992A exp=70B062D97D4FC9992A + 7749.50ns INFO [00007751] Port=0 WR @02=8BDC50D61F1F3D4456 + 7749.50ns INFO [00007751] Port=1 RD @05 + 7750.50ns INFO [00007752] * RD COMPARE * port=1 adr=03 act=CBD778C62B44AF63FB exp=CBD778C62B44AF63FB + 7750.50ns INFO [00007752] Port=0 RD @07 + 7750.50ns INFO [00007752] Port=1 RD @06 + 7751.50ns INFO [00007753] * RD COMPARE * port=1 adr=05 act=E3A35C83E079C3AE1B exp=E3A35C83E079C3AE1B + 7751.50ns INFO [00007753] Port=0 WR @07=897074DF5977CCD11E + 7751.50ns INFO [00007753] Port=0 RD @00 + 7751.50ns INFO [00007753] Port=1 RD @01 + 7752.50ns INFO [00007754] * RD COMPARE * port=0 adr=07 act=C1E496E3AAB476074C exp=C1E496E3AAB476074C + 7752.50ns INFO [00007754] * RD COMPARE * port=1 adr=06 act=3CCA011121E5DC1E56 exp=3CCA011121E5DC1E56 + 7752.50ns INFO [00007754] Port=1 RD @01 + 7753.50ns INFO [00007755] * RD COMPARE * port=0 adr=00 act=1DD7AEA9242DFAE7E9 exp=1DD7AEA9242DFAE7E9 + 7753.50ns INFO [00007755] * RD COMPARE * port=1 adr=01 act=2F5F62B2B6EB6E5D95 exp=2F5F62B2B6EB6E5D95 + 7753.50ns INFO [00007755] Port=0 WR @03=A1795ED52A56998646 + 7753.50ns INFO [00007755] Port=0 RD @07 + 7754.50ns INFO [00007756] * RD COMPARE * port=1 adr=01 act=2F5F62B2B6EB6E5D95 exp=2F5F62B2B6EB6E5D95 + 7754.50ns INFO [00007756] Port=0 RD @01 + 7755.50ns INFO [00007757] * RD COMPARE * port=0 adr=07 act=897074DF5977CCD11E exp=897074DF5977CCD11E + 7756.50ns INFO [00007758] * RD COMPARE * port=0 adr=01 act=2F5F62B2B6EB6E5D95 exp=2F5F62B2B6EB6E5D95 + 7756.50ns INFO [00007758] Port=1 RD @01 + 7757.50ns INFO [00007759] Port=0 WR @05=2BFB82402EB9DA1EB9 + 7757.50ns INFO [00007759] Port=0 RD @04 + 7758.50ns INFO [00007760] * RD COMPARE * port=1 adr=01 act=2F5F62B2B6EB6E5D95 exp=2F5F62B2B6EB6E5D95 + 7759.50ns INFO [00007761] * RD COMPARE * port=0 adr=04 act=41D01B57A262C38BBC exp=41D01B57A262C38BBC + 7759.50ns INFO [00007761] Port=1 RD @05 + 7760.50ns INFO [00007762] Port=0 WR @07=764E03F52571E2E402 + 7760.50ns INFO [00007762] Port=0 RD @05 + 7760.50ns INFO [00007762] Port=1 RD @00 + 7761.50ns INFO [00007763] * RD COMPARE * port=1 adr=05 act=2BFB82402EB9DA1EB9 exp=2BFB82402EB9DA1EB9 + 7761.50ns INFO [00007763] Port=0 WR @03=40A9844A84568EE8E6 + 7761.50ns INFO [00007763] Port=1 RD @02 + 7762.50ns INFO [00007764] * RD COMPARE * port=0 adr=05 act=2BFB82402EB9DA1EB9 exp=2BFB82402EB9DA1EB9 + 7762.50ns INFO [00007764] * RD COMPARE * port=1 adr=00 act=1DD7AEA9242DFAE7E9 exp=1DD7AEA9242DFAE7E9 + 7762.50ns INFO [00007764] Port=0 RD @00 + 7762.50ns INFO [00007764] Port=1 RD @02 + 7763.50ns INFO [00007765] * RD COMPARE * port=1 adr=02 act=8BDC50D61F1F3D4456 exp=8BDC50D61F1F3D4456 + 7763.50ns INFO [00007765] Port=0 WR @02=4EA0751F459FA34C7C + 7764.50ns INFO [00007766] * RD COMPARE * port=0 adr=00 act=1DD7AEA9242DFAE7E9 exp=1DD7AEA9242DFAE7E9 + 7764.50ns INFO [00007766] * RD COMPARE * port=1 adr=02 act=8BDC50D61F1F3D4456 exp=8BDC50D61F1F3D4456 + 7764.50ns INFO [00007766] Port=0 WR @00=7BD458265865843209 + 7764.50ns INFO [00007766] Port=1 RD @01 + 7765.50ns INFO [00007767] Port=0 RD @00 + 7765.50ns INFO [00007767] Port=1 RD @05 + 7766.50ns INFO [00007768] * RD COMPARE * port=1 adr=01 act=2F5F62B2B6EB6E5D95 exp=2F5F62B2B6EB6E5D95 + 7766.50ns INFO [00007768] Port=0 WR @06=114C439EDD5EFCADF9 + 7767.50ns INFO [00007769] * RD COMPARE * port=0 adr=00 act=7BD458265865843209 exp=7BD458265865843209 + 7767.50ns INFO [00007769] * RD COMPARE * port=1 adr=05 act=2BFB82402EB9DA1EB9 exp=2BFB82402EB9DA1EB9 + 7767.50ns INFO [00007769] Port=0 WR @01=351C719BF9C01DF387 + 7768.50ns INFO [00007770] Port=0 WR @07=D571B0275DEE0D8634 + 7768.50ns INFO [00007770] Port=0 RD @05 + 7769.50ns INFO [00007771] Port=0 WR @05=C191E7674C04685E99 + 7769.50ns INFO [00007771] Port=0 RD @07 + 7770.50ns INFO [00007772] * RD COMPARE * port=0 adr=05 act=2BFB82402EB9DA1EB9 exp=2BFB82402EB9DA1EB9 + 7770.50ns INFO [00007772] Port=0 WR @00=7529CDBBE7B58F1E70 + 7770.50ns INFO [00007772] Port=1 RD @04 + 7771.50ns INFO [00007773] * RD COMPARE * port=0 adr=07 act=D571B0275DEE0D8634 exp=D571B0275DEE0D8634 + 7771.50ns INFO [00007773] Port=1 RD @00 + 7772.50ns INFO [00007774] * RD COMPARE * port=1 adr=04 act=41D01B57A262C38BBC exp=41D01B57A262C38BBC + 7772.50ns INFO [00007774] Port=0 RD @06 + 7773.50ns INFO [00007775] * RD COMPARE * port=1 adr=00 act=7529CDBBE7B58F1E70 exp=7529CDBBE7B58F1E70 + 7773.50ns INFO [00007775] Port=0 WR @07=07F5D2FF2F585DBD44 + 7773.50ns INFO [00007775] Port=0 RD @02 + 7774.50ns INFO [00007776] * RD COMPARE * port=0 adr=06 act=114C439EDD5EFCADF9 exp=114C439EDD5EFCADF9 + 7775.50ns INFO [00007777] * RD COMPARE * port=0 adr=02 act=4EA0751F459FA34C7C exp=4EA0751F459FA34C7C + 7775.50ns INFO [00007777] Port=0 RD @06 + 7776.50ns INFO [00007778] Port=0 WR @00=B906D1B15656AB10D9 + 7776.50ns INFO [00007778] Port=0 RD @04 + 7777.50ns INFO [00007779] * RD COMPARE * port=0 adr=06 act=114C439EDD5EFCADF9 exp=114C439EDD5EFCADF9 + 7778.50ns INFO [00007780] * RD COMPARE * port=0 adr=04 act=41D01B57A262C38BBC exp=41D01B57A262C38BBC + 7778.50ns INFO [00007780] Port=0 WR @04=CA5462F85DA5506ADE + 7778.50ns INFO [00007780] Port=0 RD @03 + 7779.50ns INFO [00007781] Port=0 WR @05=3974E128049B79F45A + 7780.50ns INFO [00007782] * RD COMPARE * port=0 adr=03 act=40A9844A84568EE8E6 exp=40A9844A84568EE8E6 + 7780.50ns INFO [00007782] Port=0 RD @06 + 7780.50ns INFO [00007782] Port=1 RD @00 + 7781.50ns INFO [00007783] Port=1 RD @06 + 7782.50ns INFO [00007784] * RD COMPARE * port=0 adr=06 act=114C439EDD5EFCADF9 exp=114C439EDD5EFCADF9 + 7782.50ns INFO [00007784] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7782.50ns INFO [00007784] Port=0 RD @03 + 7783.50ns INFO [00007785] * RD COMPARE * port=1 adr=06 act=114C439EDD5EFCADF9 exp=114C439EDD5EFCADF9 + 7783.50ns INFO [00007785] Port=0 WR @01=9FD5930C8B2ADD8F24 + 7784.50ns INFO [00007786] * RD COMPARE * port=0 adr=03 act=40A9844A84568EE8E6 exp=40A9844A84568EE8E6 + 7784.50ns INFO [00007786] Port=0 RD @07 + 7784.50ns INFO [00007786] Port=1 RD @00 + 7786.50ns INFO [00007788] * RD COMPARE * port=0 adr=07 act=07F5D2FF2F585DBD44 exp=07F5D2FF2F585DBD44 + 7786.50ns INFO [00007788] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7786.50ns INFO [00007788] Port=0 WR @07=C86F0FBD598E8BBC91 + 7786.50ns INFO [00007788] Port=0 RD @03 + 7787.50ns INFO [00007789] Port=1 RD @00 + 7788.50ns INFO [00007790] * RD COMPARE * port=0 adr=03 act=40A9844A84568EE8E6 exp=40A9844A84568EE8E6 + 7788.50ns INFO [00007790] Port=0 WR @02=AFC4575298DB2FC6E0 + 7788.50ns INFO [00007790] Port=0 RD @04 + 7788.50ns INFO [00007790] Port=1 RD @06 + 7789.50ns INFO [00007791] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7789.50ns INFO [00007791] Port=0 WR @05=77CB227961FED4295B + 7789.50ns INFO [00007791] Port=0 RD @02 + 7790.50ns INFO [00007792] * RD COMPARE * port=0 adr=04 act=CA5462F85DA5506ADE exp=CA5462F85DA5506ADE + 7790.50ns INFO [00007792] * RD COMPARE * port=1 adr=06 act=114C439EDD5EFCADF9 exp=114C439EDD5EFCADF9 + 7791.50ns INFO [00007793] * RD COMPARE * port=0 adr=02 act=AFC4575298DB2FC6E0 exp=AFC4575298DB2FC6E0 + 7791.50ns INFO [00007793] Port=0 RD @01 + 7792.50ns INFO [00007794] Port=0 WR @02=39ED389791D10C66E2 + 7792.50ns INFO [00007794] Port=1 RD @04 + 7793.50ns INFO [00007795] * RD COMPARE * port=0 adr=01 act=9FD5930C8B2ADD8F24 exp=9FD5930C8B2ADD8F24 + 7793.50ns INFO [00007795] Port=0 WR @05=60BADA66DD99C4FFE9 + 7794.50ns INFO [00007796] * RD COMPARE * port=1 adr=04 act=CA5462F85DA5506ADE exp=CA5462F85DA5506ADE + 7794.50ns INFO [00007796] Port=0 WR @04=4534C2AADB8A42C9CC + 7796.50ns INFO [00007798] Port=0 WR @03=0BEDDB799E8AC901D3 + 7796.50ns INFO [00007798] Port=0 RD @00 + 7798.00ns INFO [00007800] [00007800] ...tick... + 7798.50ns INFO [00007800] * RD COMPARE * port=0 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7800.50ns INFO [00007802] Port=0 WR @01=C927FBDAEC1A6F0D1E + 7800.50ns INFO [00007802] Port=0 RD @06 + 7801.50ns INFO [00007803] Port=0 RD @06 + 7802.50ns INFO [00007804] * RD COMPARE * port=0 adr=06 act=114C439EDD5EFCADF9 exp=114C439EDD5EFCADF9 + 7803.50ns INFO [00007805] * RD COMPARE * port=0 adr=06 act=114C439EDD5EFCADF9 exp=114C439EDD5EFCADF9 + 7803.50ns INFO [00007805] Port=0 WR @07=C8415A3394DCC3E586 + 7803.50ns INFO [00007805] Port=0 RD @05 + 7804.50ns INFO [00007806] Port=0 WR @06=3852E99BA71EDD149F + 7804.50ns INFO [00007806] Port=0 RD @05 + 7805.50ns INFO [00007807] * RD COMPARE * port=0 adr=05 act=60BADA66DD99C4FFE9 exp=60BADA66DD99C4FFE9 + 7805.50ns INFO [00007807] Port=0 WR @03=6CBEFB46DDF2F0D297 + 7806.50ns INFO [00007808] * RD COMPARE * port=0 adr=05 act=60BADA66DD99C4FFE9 exp=60BADA66DD99C4FFE9 + 7806.50ns INFO [00007808] Port=0 WR @04=4F0879E619B7BB931F + 7806.50ns INFO [00007808] Port=0 RD @03 + 7806.50ns INFO [00007808] Port=1 RD @07 + 7808.50ns INFO [00007810] * RD COMPARE * port=0 adr=03 act=6CBEFB46DDF2F0D297 exp=6CBEFB46DDF2F0D297 + 7808.50ns INFO [00007810] * RD COMPARE * port=1 adr=07 act=C8415A3394DCC3E586 exp=C8415A3394DCC3E586 + 7808.50ns INFO [00007810] Port=0 RD @06 + 7808.50ns INFO [00007810] Port=1 RD @05 + 7809.50ns INFO [00007811] Port=0 WR @02=665A30798146FDA26A + 7809.50ns INFO [00007811] Port=1 RD @06 + 7810.50ns INFO [00007812] * RD COMPARE * port=0 adr=06 act=3852E99BA71EDD149F exp=3852E99BA71EDD149F + 7810.50ns INFO [00007812] * RD COMPARE * port=1 adr=05 act=60BADA66DD99C4FFE9 exp=60BADA66DD99C4FFE9 + 7810.50ns INFO [00007812] Port=0 WR @04=739DFEF8906BB2AF85 + 7811.50ns INFO [00007813] * RD COMPARE * port=1 adr=06 act=3852E99BA71EDD149F exp=3852E99BA71EDD149F + 7811.50ns INFO [00007813] Port=1 RD @04 + 7812.50ns INFO [00007814] Port=0 WR @06=E65C290B8827CCFEBE + 7813.50ns INFO [00007815] * RD COMPARE * port=1 adr=04 act=739DFEF8906BB2AF85 exp=739DFEF8906BB2AF85 + 7813.50ns INFO [00007815] Port=0 WR @03=14277D82CFA42C61A2 + 7813.50ns INFO [00007815] Port=1 RD @04 + 7815.50ns INFO [00007817] * RD COMPARE * port=1 adr=04 act=739DFEF8906BB2AF85 exp=739DFEF8906BB2AF85 + 7815.50ns INFO [00007817] Port=0 WR @06=32C4FA77E4986EA2D3 + 7816.50ns INFO [00007818] Port=0 RD @00 + 7818.50ns INFO [00007820] * RD COMPARE * port=0 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7818.50ns INFO [00007820] Port=0 WR @03=C83E8A2D5A355F2366 + 7819.50ns INFO [00007821] Port=0 RD @02 + 7819.50ns INFO [00007821] Port=1 RD @01 + 7820.50ns INFO [00007822] Port=0 WR @02=4DD1557AD0B8E6817F + 7820.50ns INFO [00007822] Port=0 RD @04 + 7820.50ns INFO [00007822] Port=1 RD @00 + 7821.50ns INFO [00007823] * RD COMPARE * port=0 adr=02 act=665A30798146FDA26A exp=665A30798146FDA26A + 7821.50ns INFO [00007823] * RD COMPARE * port=1 adr=01 act=C927FBDAEC1A6F0D1E exp=C927FBDAEC1A6F0D1E + 7822.50ns INFO [00007824] * RD COMPARE * port=0 adr=04 act=739DFEF8906BB2AF85 exp=739DFEF8906BB2AF85 + 7822.50ns INFO [00007824] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7822.50ns INFO [00007824] Port=0 RD @05 + 7823.50ns INFO [00007825] Port=0 RD @03 + 7824.50ns INFO [00007826] * RD COMPARE * port=0 adr=05 act=60BADA66DD99C4FFE9 exp=60BADA66DD99C4FFE9 + 7824.50ns INFO [00007826] Port=0 WR @05=9B90E69E8F44CEE7F2 + 7824.50ns INFO [00007826] Port=1 RD @02 + 7825.50ns INFO [00007827] * RD COMPARE * port=0 adr=03 act=C83E8A2D5A355F2366 exp=C83E8A2D5A355F2366 + 7825.50ns INFO [00007827] Port=0 RD @02 + 7826.50ns INFO [00007828] * RD COMPARE * port=1 adr=02 act=4DD1557AD0B8E6817F exp=4DD1557AD0B8E6817F + 7826.50ns INFO [00007828] Port=0 WR @04=67B710525448AAF5F0 + 7827.50ns INFO [00007829] * RD COMPARE * port=0 adr=02 act=4DD1557AD0B8E6817F exp=4DD1557AD0B8E6817F + 7827.50ns INFO [00007829] Port=0 RD @01 + 7827.50ns INFO [00007829] Port=1 RD @01 + 7828.50ns INFO [00007830] Port=1 RD @03 + 7829.50ns INFO [00007831] * RD COMPARE * port=0 adr=01 act=C927FBDAEC1A6F0D1E exp=C927FBDAEC1A6F0D1E + 7829.50ns INFO [00007831] * RD COMPARE * port=1 adr=01 act=C927FBDAEC1A6F0D1E exp=C927FBDAEC1A6F0D1E + 7829.50ns INFO [00007831] Port=0 WR @07=6A07C196C92E52533F + 7829.50ns INFO [00007831] Port=0 RD @02 + 7830.50ns INFO [00007832] * RD COMPARE * port=1 adr=03 act=C83E8A2D5A355F2366 exp=C83E8A2D5A355F2366 + 7830.50ns INFO [00007832] Port=0 RD @04 + 7830.50ns INFO [00007832] Port=1 RD @01 + 7831.50ns INFO [00007833] * RD COMPARE * port=0 adr=02 act=4DD1557AD0B8E6817F exp=4DD1557AD0B8E6817F + 7831.50ns INFO [00007833] Port=0 RD @06 + 7831.50ns INFO [00007833] Port=1 RD @05 + 7832.50ns INFO [00007834] * RD COMPARE * port=0 adr=04 act=67B710525448AAF5F0 exp=67B710525448AAF5F0 + 7832.50ns INFO [00007834] * RD COMPARE * port=1 adr=01 act=C927FBDAEC1A6F0D1E exp=C927FBDAEC1A6F0D1E + 7832.50ns INFO [00007834] Port=0 WR @05=8D8B064BEEEF5F40A3 + 7833.50ns INFO [00007835] * RD COMPARE * port=0 adr=06 act=32C4FA77E4986EA2D3 exp=32C4FA77E4986EA2D3 + 7833.50ns INFO [00007835] * RD COMPARE * port=1 adr=05 act=9B90E69E8F44CEE7F2 exp=9B90E69E8F44CEE7F2 + 7833.50ns INFO [00007835] Port=0 RD @04 + 7835.50ns INFO [00007837] * RD COMPARE * port=0 adr=04 act=67B710525448AAF5F0 exp=67B710525448AAF5F0 + 7836.50ns INFO [00007838] Port=0 WR @07=4375B02B8BBCC0B8E4 + 7837.50ns INFO [00007839] Port=0 WR @01=17A669D88DB535C637 + 7838.50ns INFO [00007840] Port=0 WR @04=8718BEA4FD98972470 + 7839.50ns INFO [00007841] Port=1 RD @07 + 7840.50ns INFO [00007842] Port=0 WR @07=E75539F40614206D24 + 7841.50ns INFO [00007843] * RD COMPARE * port=1 adr=07 act=4375B02B8BBCC0B8E4 exp=4375B02B8BBCC0B8E4 + 7843.50ns INFO [00007845] Port=0 RD @03 + 7844.50ns INFO [00007846] Port=0 WR @07=99CCBBA086AB46045E + 7845.50ns INFO [00007847] * RD COMPARE * port=0 adr=03 act=C83E8A2D5A355F2366 exp=C83E8A2D5A355F2366 + 7846.50ns INFO [00007848] Port=0 WR @02=9BB85A551AD885D719 + 7847.50ns INFO [00007849] Port=0 WR @06=E47042E46780D6B147 + 7847.50ns INFO [00007849] Port=1 RD @01 + 7848.50ns INFO [00007850] Port=0 WR @02=94587816B60B39C2AF + 7848.50ns INFO [00007850] Port=1 RD @00 + 7849.50ns INFO [00007851] * RD COMPARE * port=1 adr=01 act=17A669D88DB535C637 exp=17A669D88DB535C637 + 7849.50ns INFO [00007851] Port=0 WR @02=7AFF95A1B5C9B9A41B + 7849.50ns INFO [00007851] Port=0 RD @06 + 7849.50ns INFO [00007851] Port=1 RD @00 + 7850.50ns INFO [00007852] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7850.50ns INFO [00007852] Port=0 RD @05 + 7850.50ns INFO [00007852] Port=1 RD @02 + 7851.50ns INFO [00007853] * RD COMPARE * port=0 adr=06 act=E47042E46780D6B147 exp=E47042E46780D6B147 + 7851.50ns INFO [00007853] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7851.50ns INFO [00007853] Port=0 RD @00 + 7851.50ns INFO [00007853] Port=1 RD @06 + 7852.50ns INFO [00007854] * RD COMPARE * port=0 adr=05 act=8D8B064BEEEF5F40A3 exp=8D8B064BEEEF5F40A3 + 7852.50ns INFO [00007854] * RD COMPARE * port=1 adr=02 act=7AFF95A1B5C9B9A41B exp=7AFF95A1B5C9B9A41B + 7852.50ns INFO [00007854] Port=1 RD @05 + 7853.50ns INFO [00007855] * RD COMPARE * port=0 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7853.50ns INFO [00007855] * RD COMPARE * port=1 adr=06 act=E47042E46780D6B147 exp=E47042E46780D6B147 + 7854.50ns INFO [00007856] * RD COMPARE * port=1 adr=05 act=8D8B064BEEEF5F40A3 exp=8D8B064BEEEF5F40A3 + 7854.50ns INFO [00007856] Port=0 RD @06 + 7855.50ns INFO [00007857] Port=0 WR @06=F49F3EC6F17EDDC248 + 7855.50ns INFO [00007857] Port=1 RD @04 + 7856.50ns INFO [00007858] * RD COMPARE * port=0 adr=06 act=E47042E46780D6B147 exp=E47042E46780D6B147 + 7856.50ns INFO [00007858] Port=1 RD @00 + 7857.50ns INFO [00007859] * RD COMPARE * port=1 adr=04 act=8718BEA4FD98972470 exp=8718BEA4FD98972470 + 7858.50ns INFO [00007860] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7858.50ns INFO [00007860] Port=0 WR @06=D8F3AFEEE04D8C6070 + 7858.50ns INFO [00007860] Port=1 RD @01 + 7859.50ns INFO [00007861] Port=0 RD @01 + 7860.50ns INFO [00007862] * RD COMPARE * port=1 adr=01 act=17A669D88DB535C637 exp=17A669D88DB535C637 + 7860.50ns INFO [00007862] Port=0 RD @07 + 7861.50ns INFO [00007863] * RD COMPARE * port=0 adr=01 act=17A669D88DB535C637 exp=17A669D88DB535C637 + 7861.50ns INFO [00007863] Port=0 WR @02=4FFBE71991783F2B33 + 7861.50ns INFO [00007863] Port=0 RD @06 + 7861.50ns INFO [00007863] Port=1 RD @07 + 7862.50ns INFO [00007864] * RD COMPARE * port=0 adr=07 act=99CCBBA086AB46045E exp=99CCBBA086AB46045E + 7863.50ns INFO [00007865] * RD COMPARE * port=0 adr=06 act=D8F3AFEEE04D8C6070 exp=D8F3AFEEE04D8C6070 + 7863.50ns INFO [00007865] * RD COMPARE * port=1 adr=07 act=99CCBBA086AB46045E exp=99CCBBA086AB46045E + 7865.50ns INFO [00007867] Port=0 WR @04=2163BD2FF54F7A86BA + 7867.50ns INFO [00007869] Port=0 WR @04=9DF9511385F93C397B + 7868.50ns INFO [00007870] Port=0 WR @02=C11E12473DDA224740 + 7869.50ns INFO [00007871] Port=0 WR @03=3EF5155BD1D5CD1A23 + 7869.50ns INFO [00007871] Port=1 RD @01 + 7870.50ns INFO [00007872] Port=0 WR @02=25564C389A06F88A9F + 7871.50ns INFO [00007873] * RD COMPARE * port=1 adr=01 act=17A669D88DB535C637 exp=17A669D88DB535C637 + 7872.50ns INFO [00007874] Port=0 WR @04=BF4B1C47191C91187A + 7875.50ns INFO [00007877] Port=0 WR @02=48DE1BCD27627FD659 + 7876.50ns INFO [00007878] Port=1 RD @02 + 7878.50ns INFO [00007880] * RD COMPARE * port=1 adr=02 act=48DE1BCD27627FD659 exp=48DE1BCD27627FD659 + 7878.50ns INFO [00007880] Port=0 RD @05 + 7878.50ns INFO [00007880] Port=1 RD @02 + 7880.50ns INFO [00007882] * RD COMPARE * port=0 adr=05 act=8D8B064BEEEF5F40A3 exp=8D8B064BEEEF5F40A3 + 7880.50ns INFO [00007882] * RD COMPARE * port=1 adr=02 act=48DE1BCD27627FD659 exp=48DE1BCD27627FD659 + 7882.50ns INFO [00007884] Port=1 RD @01 + 7883.50ns INFO [00007885] Port=0 WR @04=EBF3A4F109D84E0C90 + 7884.50ns INFO [00007886] * RD COMPARE * port=1 adr=01 act=17A669D88DB535C637 exp=17A669D88DB535C637 + 7884.50ns INFO [00007886] Port=0 WR @06=2E22A93C613F7B6B44 + 7885.50ns INFO [00007887] Port=0 WR @02=07D7D5FE07115A8786 + 7885.50ns INFO [00007887] Port=0 RD @04 + 7886.50ns INFO [00007888] Port=0 WR @01=64E519C61FB088ABFF + 7886.50ns INFO [00007888] Port=0 RD @02 + 7887.50ns INFO [00007889] * RD COMPARE * port=0 adr=04 act=EBF3A4F109D84E0C90 exp=EBF3A4F109D84E0C90 + 7887.50ns INFO [00007889] Port=0 WR @05=0102457E35F8231589 + 7887.50ns INFO [00007889] Port=0 RD @02 + 7888.50ns INFO [00007890] * RD COMPARE * port=0 adr=02 act=07D7D5FE07115A8786 exp=07D7D5FE07115A8786 + 7889.50ns INFO [00007891] * RD COMPARE * port=0 adr=02 act=07D7D5FE07115A8786 exp=07D7D5FE07115A8786 + 7889.50ns INFO [00007891] Port=0 WR @07=6F4A41F6EE31FA99BF + 7890.50ns INFO [00007892] Port=1 RD @04 + 7891.50ns INFO [00007893] Port=0 WR @04=28F384119F8E92672C + 7891.50ns INFO [00007893] Port=1 RD @03 + 7892.50ns INFO [00007894] * RD COMPARE * port=1 adr=04 act=EBF3A4F109D84E0C90 exp=EBF3A4F109D84E0C90 + 7892.50ns INFO [00007894] Port=0 WR @07=4495A0A819B9A5C54D + 7892.50ns INFO [00007894] Port=1 RD @01 + 7893.50ns INFO [00007895] * RD COMPARE * port=1 adr=03 act=3EF5155BD1D5CD1A23 exp=3EF5155BD1D5CD1A23 + 7893.50ns INFO [00007895] Port=0 WR @01=53F3C6F2C964644C9E + 7893.50ns INFO [00007895] Port=0 RD @05 + 7893.50ns INFO [00007895] Port=1 RD @07 + 7894.50ns INFO [00007896] * RD COMPARE * port=1 adr=01 act=64E519C61FB088ABFF exp=64E519C61FB088ABFF + 7895.50ns INFO [00007897] * RD COMPARE * port=0 adr=05 act=0102457E35F8231589 exp=0102457E35F8231589 + 7895.50ns INFO [00007897] * RD COMPARE * port=1 adr=07 act=4495A0A819B9A5C54D exp=4495A0A819B9A5C54D + 7895.50ns INFO [00007897] Port=0 RD @03 + 7896.50ns INFO [00007898] Port=1 RD @05 + 7897.50ns INFO [00007899] * RD COMPARE * port=0 adr=03 act=3EF5155BD1D5CD1A23 exp=3EF5155BD1D5CD1A23 + 7897.50ns INFO [00007899] Port=0 WR @07=153CBD4B53C5B876E1 + 7897.50ns INFO [00007899] Port=0 RD @03 + 7898.00ns INFO [00007900] [00007900] ...tick... + 7898.50ns INFO [00007900] * RD COMPARE * port=1 adr=05 act=0102457E35F8231589 exp=0102457E35F8231589 + 7898.50ns INFO [00007900] Port=1 RD @00 + 7899.50ns INFO [00007901] * RD COMPARE * port=0 adr=03 act=3EF5155BD1D5CD1A23 exp=3EF5155BD1D5CD1A23 + 7900.50ns INFO [00007902] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7901.50ns INFO [00007903] Port=0 WR @02=7B848F50E32672F141 + 7902.50ns INFO [00007904] Port=0 RD @02 + 7904.50ns INFO [00007906] * RD COMPARE * port=0 adr=02 act=7B848F50E32672F141 exp=7B848F50E32672F141 + 7904.50ns INFO [00007906] Port=0 RD @03 + 7906.50ns INFO [00007908] * RD COMPARE * port=0 adr=03 act=3EF5155BD1D5CD1A23 exp=3EF5155BD1D5CD1A23 + 7906.50ns INFO [00007908] Port=1 RD @04 + 7907.50ns INFO [00007909] Port=1 RD @06 + 7908.50ns INFO [00007910] * RD COMPARE * port=1 adr=04 act=28F384119F8E92672C exp=28F384119F8E92672C + 7908.50ns INFO [00007910] Port=0 WR @03=6C07F13122AE04572D + 7909.50ns INFO [00007911] * RD COMPARE * port=1 adr=06 act=2E22A93C613F7B6B44 exp=2E22A93C613F7B6B44 + 7909.50ns INFO [00007911] Port=0 WR @03=D4EF2710356BB72D2E + 7909.50ns INFO [00007911] Port=0 RD @01 + 7910.50ns INFO [00007912] Port=0 RD @01 + 7911.50ns INFO [00007913] * RD COMPARE * port=0 adr=01 act=53F3C6F2C964644C9E exp=53F3C6F2C964644C9E + 7911.50ns INFO [00007913] Port=0 RD @05 + 7911.50ns INFO [00007913] Port=1 RD @00 + 7912.50ns INFO [00007914] * RD COMPARE * port=0 adr=01 act=53F3C6F2C964644C9E exp=53F3C6F2C964644C9E + 7912.50ns INFO [00007914] Port=0 RD @07 + 7912.50ns INFO [00007914] Port=1 RD @01 + 7913.50ns INFO [00007915] * RD COMPARE * port=0 adr=05 act=0102457E35F8231589 exp=0102457E35F8231589 + 7913.50ns INFO [00007915] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7913.50ns INFO [00007915] Port=0 WR @02=2389460B295E37B628 + 7913.50ns INFO [00007915] Port=1 RD @03 + 7914.50ns INFO [00007916] * RD COMPARE * port=0 adr=07 act=153CBD4B53C5B876E1 exp=153CBD4B53C5B876E1 + 7914.50ns INFO [00007916] * RD COMPARE * port=1 adr=01 act=53F3C6F2C964644C9E exp=53F3C6F2C964644C9E + 7914.50ns INFO [00007916] Port=0 WR @05=8425930A5238396534 + 7914.50ns INFO [00007916] Port=1 RD @03 + 7915.50ns INFO [00007917] * RD COMPARE * port=1 adr=03 act=D4EF2710356BB72D2E exp=D4EF2710356BB72D2E + 7915.50ns INFO [00007917] Port=1 RD @05 + 7916.50ns INFO [00007918] * RD COMPARE * port=1 adr=03 act=D4EF2710356BB72D2E exp=D4EF2710356BB72D2E + 7916.50ns INFO [00007918] Port=0 WR @01=0A0A7185B335226BC4 + 7917.50ns INFO [00007919] * RD COMPARE * port=1 adr=05 act=8425930A5238396534 exp=8425930A5238396534 + 7918.50ns INFO [00007920] Port=0 RD @01 + 7920.50ns INFO [00007922] * RD COMPARE * port=0 adr=01 act=0A0A7185B335226BC4 exp=0A0A7185B335226BC4 + 7920.50ns INFO [00007922] Port=0 WR @02=B3F177F24112FF9C89 + 7920.50ns INFO [00007922] Port=1 RD @01 + 7921.50ns INFO [00007923] Port=0 RD @06 + 7922.50ns INFO [00007924] * RD COMPARE * port=1 adr=01 act=0A0A7185B335226BC4 exp=0A0A7185B335226BC4 + 7922.50ns INFO [00007924] Port=0 RD @02 + 7922.50ns INFO [00007924] Port=1 RD @03 + 7923.50ns INFO [00007925] * RD COMPARE * port=0 adr=06 act=2E22A93C613F7B6B44 exp=2E22A93C613F7B6B44 + 7923.50ns INFO [00007925] Port=0 RD @06 + 7924.50ns INFO [00007926] * RD COMPARE * port=0 adr=02 act=B3F177F24112FF9C89 exp=B3F177F24112FF9C89 + 7924.50ns INFO [00007926] * RD COMPARE * port=1 adr=03 act=D4EF2710356BB72D2E exp=D4EF2710356BB72D2E + 7924.50ns INFO [00007926] Port=1 RD @04 + 7925.50ns INFO [00007927] * RD COMPARE * port=0 adr=06 act=2E22A93C613F7B6B44 exp=2E22A93C613F7B6B44 + 7926.50ns INFO [00007928] * RD COMPARE * port=1 adr=04 act=28F384119F8E92672C exp=28F384119F8E92672C + 7927.50ns INFO [00007929] Port=0 WR @06=75576FF59E6196FDCD + 7927.50ns INFO [00007929] Port=0 RD @04 + 7928.50ns INFO [00007930] Port=0 WR @04=A49241F59AC47FEA2E + 7928.50ns INFO [00007930] Port=0 RD @02 + 7929.50ns INFO [00007931] * RD COMPARE * port=0 adr=04 act=28F384119F8E92672C exp=28F384119F8E92672C + 7929.50ns INFO [00007931] Port=0 WR @02=7AA4A3A4520CCA4CFA + 7929.50ns INFO [00007931] Port=1 RD @06 + 7930.50ns INFO [00007932] * RD COMPARE * port=0 adr=02 act=B3F177F24112FF9C89 exp=B3F177F24112FF9C89 + 7931.50ns INFO [00007933] * RD COMPARE * port=1 adr=06 act=75576FF59E6196FDCD exp=75576FF59E6196FDCD + 7931.50ns INFO [00007933] Port=0 RD @03 + 7931.50ns INFO [00007933] Port=1 RD @00 + 7932.50ns INFO [00007934] Port=0 RD @04 + 7933.50ns INFO [00007935] * RD COMPARE * port=0 adr=03 act=D4EF2710356BB72D2E exp=D4EF2710356BB72D2E + 7933.50ns INFO [00007935] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7933.50ns INFO [00007935] Port=0 RD @05 + 7934.50ns INFO [00007936] * RD COMPARE * port=0 adr=04 act=A49241F59AC47FEA2E exp=A49241F59AC47FEA2E + 7934.50ns INFO [00007936] Port=0 WR @06=D82A2527CD2B425390 + 7934.50ns INFO [00007936] Port=0 RD @00 + 7935.50ns INFO [00007937] * RD COMPARE * port=0 adr=05 act=8425930A5238396534 exp=8425930A5238396534 + 7935.50ns INFO [00007937] Port=0 RD @07 + 7935.50ns INFO [00007937] Port=1 RD @02 + 7936.50ns INFO [00007938] * RD COMPARE * port=0 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7937.50ns INFO [00007939] * RD COMPARE * port=0 adr=07 act=153CBD4B53C5B876E1 exp=153CBD4B53C5B876E1 + 7937.50ns INFO [00007939] * RD COMPARE * port=1 adr=02 act=7AA4A3A4520CCA4CFA exp=7AA4A3A4520CCA4CFA + 7937.50ns INFO [00007939] Port=0 WR @07=85A25012D9C0E24504 + 7937.50ns INFO [00007939] Port=1 RD @00 + 7938.50ns INFO [00007940] Port=1 RD @06 + 7939.50ns INFO [00007941] * RD COMPARE * port=1 adr=00 act=B906D1B15656AB10D9 exp=B906D1B15656AB10D9 + 7939.50ns INFO [00007941] Port=0 WR @06=50D1189BF284EBE93A + 7939.50ns INFO [00007941] Port=0 RD @05 + 7939.50ns INFO [00007941] Port=1 RD @02 + 7940.50ns INFO [00007942] * RD COMPARE * port=1 adr=06 act=D82A2527CD2B425390 exp=D82A2527CD2B425390 + 7940.50ns INFO [00007942] Port=0 RD @04 + 7941.50ns INFO [00007943] * RD COMPARE * port=0 adr=05 act=8425930A5238396534 exp=8425930A5238396534 + 7941.50ns INFO [00007943] * RD COMPARE * port=1 adr=02 act=7AA4A3A4520CCA4CFA exp=7AA4A3A4520CCA4CFA + 7941.50ns INFO [00007943] Port=0 RD @06 + 7942.50ns INFO [00007944] * RD COMPARE * port=0 adr=04 act=A49241F59AC47FEA2E exp=A49241F59AC47FEA2E + 7943.50ns INFO [00007945] * RD COMPARE * port=0 adr=06 act=50D1189BF284EBE93A exp=50D1189BF284EBE93A + 7943.50ns INFO [00007945] Port=0 WR @02=F1FD5866246088C249 + 7945.50ns INFO [00007947] Port=0 RD @01 + 7946.50ns INFO [00007948] Port=0 WR @02=F7B553057C4EE1348C + 7946.50ns INFO [00007948] Port=0 RD @04 + 7947.50ns INFO [00007949] * RD COMPARE * port=0 adr=01 act=0A0A7185B335226BC4 exp=0A0A7185B335226BC4 + 7948.50ns INFO [00007950] * RD COMPARE * port=0 adr=04 act=A49241F59AC47FEA2E exp=A49241F59AC47FEA2E + 7949.50ns INFO [00007951] Port=0 RD @01 + 7950.50ns INFO [00007952] Port=0 WR @06=BFFDE503180EAD23E4 + 7951.50ns INFO [00007953] * RD COMPARE * port=0 adr=01 act=0A0A7185B335226BC4 exp=0A0A7185B335226BC4 + 7951.50ns INFO [00007953] Port=0 WR @00=5A863C9EE4F19C28C0 + 7952.50ns INFO [00007954] Port=0 WR @05=70790F717DDC3338B1 + 7952.50ns INFO [00007954] Port=0 RD @01 + 7952.50ns INFO [00007954] Port=1 RD @06 + 7953.50ns INFO [00007955] Port=0 RD @07 + 7953.50ns INFO [00007955] Port=1 RD @01 + 7954.50ns INFO [00007956] * RD COMPARE * port=0 adr=01 act=0A0A7185B335226BC4 exp=0A0A7185B335226BC4 + 7954.50ns INFO [00007956] * RD COMPARE * port=1 adr=06 act=BFFDE503180EAD23E4 exp=BFFDE503180EAD23E4 + 7954.50ns INFO [00007956] Port=1 RD @03 + 7955.50ns INFO [00007957] * RD COMPARE * port=0 adr=07 act=85A25012D9C0E24504 exp=85A25012D9C0E24504 + 7955.50ns INFO [00007957] * RD COMPARE * port=1 adr=01 act=0A0A7185B335226BC4 exp=0A0A7185B335226BC4 + 7956.50ns INFO [00007958] * RD COMPARE * port=1 adr=03 act=D4EF2710356BB72D2E exp=D4EF2710356BB72D2E + 7956.50ns INFO [00007958] Port=1 RD @00 + 7957.50ns INFO [00007959] Port=1 RD @04 + 7958.50ns INFO [00007960] * RD COMPARE * port=1 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 7958.50ns INFO [00007960] Port=1 RD @00 + 7959.50ns INFO [00007961] * RD COMPARE * port=1 adr=04 act=A49241F59AC47FEA2E exp=A49241F59AC47FEA2E + 7959.50ns INFO [00007961] Port=1 RD @01 + 7960.50ns INFO [00007962] * RD COMPARE * port=1 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 7960.50ns INFO [00007962] Port=0 RD @01 + 7960.50ns INFO [00007962] Port=1 RD @00 + 7961.50ns INFO [00007963] * RD COMPARE * port=1 adr=01 act=0A0A7185B335226BC4 exp=0A0A7185B335226BC4 + 7961.50ns INFO [00007963] Port=0 WR @01=2DCEE856E803CE9463 + 7962.50ns INFO [00007964] * RD COMPARE * port=0 adr=01 act=0A0A7185B335226BC4 exp=0A0A7185B335226BC4 + 7962.50ns INFO [00007964] * RD COMPARE * port=1 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 7962.50ns INFO [00007964] Port=0 RD @02 + 7962.50ns INFO [00007964] Port=1 RD @06 + 7963.50ns INFO [00007965] Port=0 WR @05=80E43EB061BDEBDB73 + 7963.50ns INFO [00007965] Port=1 RD @06 + 7964.50ns INFO [00007966] * RD COMPARE * port=0 adr=02 act=F7B553057C4EE1348C exp=F7B553057C4EE1348C + 7964.50ns INFO [00007966] * RD COMPARE * port=1 adr=06 act=BFFDE503180EAD23E4 exp=BFFDE503180EAD23E4 + 7964.50ns INFO [00007966] Port=0 WR @02=E2DAA098BC9AE98D40 + 7965.50ns INFO [00007967] * RD COMPARE * port=1 adr=06 act=BFFDE503180EAD23E4 exp=BFFDE503180EAD23E4 + 7965.50ns INFO [00007967] Port=0 WR @01=D869D5DBF1D83F2F9D + 7966.50ns INFO [00007968] Port=0 WR @06=BB58F9CA180C5541B7 + 7966.50ns INFO [00007968] Port=0 RD @01 + 7967.50ns INFO [00007969] Port=1 RD @06 + 7968.50ns INFO [00007970] * RD COMPARE * port=0 adr=01 act=D869D5DBF1D83F2F9D exp=D869D5DBF1D83F2F9D + 7968.50ns INFO [00007970] Port=1 RD @03 + 7969.50ns INFO [00007971] * RD COMPARE * port=1 adr=06 act=BB58F9CA180C5541B7 exp=BB58F9CA180C5541B7 + 7969.50ns INFO [00007971] Port=1 RD @05 + 7970.50ns INFO [00007972] * RD COMPARE * port=1 adr=03 act=D4EF2710356BB72D2E exp=D4EF2710356BB72D2E + 7970.50ns INFO [00007972] Port=0 WR @05=72749B8E778DE72746 + 7970.50ns INFO [00007972] Port=0 RD @04 + 7971.50ns INFO [00007973] * RD COMPARE * port=1 adr=05 act=80E43EB061BDEBDB73 exp=80E43EB061BDEBDB73 + 7971.50ns INFO [00007973] Port=0 RD @00 + 7971.50ns INFO [00007973] Port=1 RD @00 + 7972.50ns INFO [00007974] * RD COMPARE * port=0 adr=04 act=A49241F59AC47FEA2E exp=A49241F59AC47FEA2E + 7973.50ns INFO [00007975] * RD COMPARE * port=0 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 7973.50ns INFO [00007975] * RD COMPARE * port=1 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 7973.50ns INFO [00007975] Port=0 WR @05=2EA72D8A7335743B9B + 7973.50ns INFO [00007975] Port=1 RD @07 + 7974.50ns INFO [00007976] Port=0 RD @06 + 7975.50ns INFO [00007977] * RD COMPARE * port=1 adr=07 act=85A25012D9C0E24504 exp=85A25012D9C0E24504 + 7975.50ns INFO [00007977] Port=0 WR @05=0D23A5DA587268F483 + 7975.50ns INFO [00007977] Port=0 RD @01 + 7976.50ns INFO [00007978] * RD COMPARE * port=0 adr=06 act=BB58F9CA180C5541B7 exp=BB58F9CA180C5541B7 + 7977.50ns INFO [00007979] * RD COMPARE * port=0 adr=01 act=D869D5DBF1D83F2F9D exp=D869D5DBF1D83F2F9D + 7977.50ns INFO [00007979] Port=1 RD @07 + 7978.50ns INFO [00007980] Port=0 WR @04=8C1A7332E75A6D23F4 + 7978.50ns INFO [00007980] Port=1 RD @03 + 7979.50ns INFO [00007981] * RD COMPARE * port=1 adr=07 act=85A25012D9C0E24504 exp=85A25012D9C0E24504 + 7980.50ns INFO [00007982] * RD COMPARE * port=1 adr=03 act=D4EF2710356BB72D2E exp=D4EF2710356BB72D2E + 7980.50ns INFO [00007982] Port=0 RD @05 + 7980.50ns INFO [00007982] Port=1 RD @04 + 7981.50ns INFO [00007983] Port=1 RD @06 + 7982.50ns INFO [00007984] * RD COMPARE * port=0 adr=05 act=0D23A5DA587268F483 exp=0D23A5DA587268F483 + 7982.50ns INFO [00007984] * RD COMPARE * port=1 adr=04 act=8C1A7332E75A6D23F4 exp=8C1A7332E75A6D23F4 + 7982.50ns INFO [00007984] Port=0 WR @07=0AE2198741D50473F3 + 7982.50ns INFO [00007984] Port=0 RD @03 + 7983.50ns INFO [00007985] * RD COMPARE * port=1 adr=06 act=BB58F9CA180C5541B7 exp=BB58F9CA180C5541B7 + 7983.50ns INFO [00007985] Port=0 WR @02=FB3197BE430FE834F3 + 7984.50ns INFO [00007986] * RD COMPARE * port=0 adr=03 act=D4EF2710356BB72D2E exp=D4EF2710356BB72D2E + 7987.50ns INFO [00007989] Port=0 WR @02=A336741B4DB3DF67A4 + 7987.50ns INFO [00007989] Port=1 RD @07 + 7988.50ns INFO [00007990] Port=1 RD @00 + 7989.50ns INFO [00007991] * RD COMPARE * port=1 adr=07 act=0AE2198741D50473F3 exp=0AE2198741D50473F3 + 7990.50ns INFO [00007992] * RD COMPARE * port=1 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 7990.50ns INFO [00007992] Port=0 RD @03 + 7990.50ns INFO [00007992] Port=1 RD @05 + 7991.50ns INFO [00007993] Port=0 WR @07=76A125E4E7585AD889 + 7991.50ns INFO [00007993] Port=1 RD @04 + 7992.50ns INFO [00007994] * RD COMPARE * port=0 adr=03 act=D4EF2710356BB72D2E exp=D4EF2710356BB72D2E + 7992.50ns INFO [00007994] * RD COMPARE * port=1 adr=05 act=0D23A5DA587268F483 exp=0D23A5DA587268F483 + 7993.50ns INFO [00007995] * RD COMPARE * port=1 adr=04 act=8C1A7332E75A6D23F4 exp=8C1A7332E75A6D23F4 + 7996.50ns INFO [00007998] Port=1 RD @03 + 7997.50ns INFO [00007999] Port=0 RD @01 + 7997.50ns INFO [00007999] Port=1 RD @06 + 7998.00ns INFO [00008000] [00008000] ...tick... + 7998.50ns INFO [00008000] * RD COMPARE * port=1 adr=03 act=D4EF2710356BB72D2E exp=D4EF2710356BB72D2E + 7998.50ns INFO [00008000] Port=0 WR @07=CCCC8427FF5E34788C + 7999.50ns INFO [00008001] * RD COMPARE * port=0 adr=01 act=D869D5DBF1D83F2F9D exp=D869D5DBF1D83F2F9D + 7999.50ns INFO [00008001] * RD COMPARE * port=1 adr=06 act=BB58F9CA180C5541B7 exp=BB58F9CA180C5541B7 + 7999.50ns INFO [00008001] Port=0 WR @03=3F4DEFA24B24907FAB + 8001.50ns INFO [00008003] Port=1 RD @07 + 8003.50ns INFO [00008005] * RD COMPARE * port=1 adr=07 act=CCCC8427FF5E34788C exp=CCCC8427FF5E34788C + 8005.50ns INFO [00008007] Port=0 RD @02 + 8005.50ns INFO [00008007] Port=1 RD @01 + 8007.50ns INFO [00008009] * RD COMPARE * port=0 adr=02 act=A336741B4DB3DF67A4 exp=A336741B4DB3DF67A4 + 8007.50ns INFO [00008009] * RD COMPARE * port=1 adr=01 act=D869D5DBF1D83F2F9D exp=D869D5DBF1D83F2F9D + 8007.50ns INFO [00008009] Port=0 RD @03 + 8007.50ns INFO [00008009] Port=1 RD @06 + 8008.50ns INFO [00008010] Port=0 RD @00 + 8009.50ns INFO [00008011] * RD COMPARE * port=0 adr=03 act=3F4DEFA24B24907FAB exp=3F4DEFA24B24907FAB + 8009.50ns INFO [00008011] * RD COMPARE * port=1 adr=06 act=BB58F9CA180C5541B7 exp=BB58F9CA180C5541B7 + 8009.50ns INFO [00008011] Port=0 WR @05=9C12F39A15ECE24AC7 + 8009.50ns INFO [00008011] Port=0 RD @04 + 8009.50ns INFO [00008011] Port=1 RD @04 + 8010.50ns INFO [00008012] * RD COMPARE * port=0 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 8011.50ns INFO [00008013] * RD COMPARE * port=0 adr=04 act=8C1A7332E75A6D23F4 exp=8C1A7332E75A6D23F4 + 8011.50ns INFO [00008013] * RD COMPARE * port=1 adr=04 act=8C1A7332E75A6D23F4 exp=8C1A7332E75A6D23F4 + 8011.50ns INFO [00008013] Port=1 RD @00 + 8012.50ns INFO [00008014] Port=0 RD @04 + 8013.50ns INFO [00008015] * RD COMPARE * port=1 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 8013.50ns INFO [00008015] Port=0 WR @04=BFBE788AA3FA632849 + 8013.50ns INFO [00008015] Port=1 RD @06 + 8014.50ns INFO [00008016] * RD COMPARE * port=0 adr=04 act=8C1A7332E75A6D23F4 exp=8C1A7332E75A6D23F4 + 8015.50ns INFO [00008017] * RD COMPARE * port=1 adr=06 act=BB58F9CA180C5541B7 exp=BB58F9CA180C5541B7 + 8015.50ns INFO [00008017] Port=1 RD @02 + 8016.50ns INFO [00008018] Port=0 RD @02 + 8016.50ns INFO [00008018] Port=1 RD @03 + 8017.50ns INFO [00008019] * RD COMPARE * port=1 adr=02 act=A336741B4DB3DF67A4 exp=A336741B4DB3DF67A4 + 8017.50ns INFO [00008019] Port=1 RD @05 + 8018.50ns INFO [00008020] * RD COMPARE * port=0 adr=02 act=A336741B4DB3DF67A4 exp=A336741B4DB3DF67A4 + 8018.50ns INFO [00008020] * RD COMPARE * port=1 adr=03 act=3F4DEFA24B24907FAB exp=3F4DEFA24B24907FAB + 8018.50ns INFO [00008020] Port=0 RD @07 + 8019.50ns INFO [00008021] * RD COMPARE * port=1 adr=05 act=9C12F39A15ECE24AC7 exp=9C12F39A15ECE24AC7 + 8020.50ns INFO [00008022] * RD COMPARE * port=0 adr=07 act=CCCC8427FF5E34788C exp=CCCC8427FF5E34788C + 8020.50ns INFO [00008022] Port=0 WR @02=F83907C550D9FB19C6 + 8020.50ns INFO [00008022] Port=0 RD @07 + 8020.50ns INFO [00008022] Port=1 RD @01 + 8021.50ns INFO [00008023] Port=0 RD @04 + 8021.50ns INFO [00008023] Port=1 RD @01 + 8022.50ns INFO [00008024] * RD COMPARE * port=0 adr=07 act=CCCC8427FF5E34788C exp=CCCC8427FF5E34788C + 8022.50ns INFO [00008024] * RD COMPARE * port=1 adr=01 act=D869D5DBF1D83F2F9D exp=D869D5DBF1D83F2F9D + 8023.50ns INFO [00008025] * RD COMPARE * port=0 adr=04 act=BFBE788AA3FA632849 exp=BFBE788AA3FA632849 + 8023.50ns INFO [00008025] * RD COMPARE * port=1 adr=01 act=D869D5DBF1D83F2F9D exp=D869D5DBF1D83F2F9D + 8023.50ns INFO [00008025] Port=1 RD @05 + 8024.50ns INFO [00008026] Port=0 RD @02 + 8025.50ns INFO [00008027] * RD COMPARE * port=1 adr=05 act=9C12F39A15ECE24AC7 exp=9C12F39A15ECE24AC7 + 8025.50ns INFO [00008027] Port=0 RD @07 + 8026.50ns INFO [00008028] * RD COMPARE * port=0 adr=02 act=F83907C550D9FB19C6 exp=F83907C550D9FB19C6 + 8026.50ns INFO [00008028] Port=0 WR @07=34CDA86449DC66FE89 + 8026.50ns INFO [00008028] Port=1 RD @01 + 8027.50ns INFO [00008029] * RD COMPARE * port=0 adr=07 act=CCCC8427FF5E34788C exp=CCCC8427FF5E34788C + 8027.50ns INFO [00008029] Port=0 RD @07 + 8027.50ns INFO [00008029] Port=1 RD @04 + 8028.50ns INFO [00008030] * RD COMPARE * port=1 adr=01 act=D869D5DBF1D83F2F9D exp=D869D5DBF1D83F2F9D + 8028.50ns INFO [00008030] Port=1 RD @03 + 8029.50ns INFO [00008031] * RD COMPARE * port=0 adr=07 act=34CDA86449DC66FE89 exp=34CDA86449DC66FE89 + 8029.50ns INFO [00008031] * RD COMPARE * port=1 adr=04 act=BFBE788AA3FA632849 exp=BFBE788AA3FA632849 + 8029.50ns INFO [00008031] Port=1 RD @07 + 8030.50ns INFO [00008032] * RD COMPARE * port=1 adr=03 act=3F4DEFA24B24907FAB exp=3F4DEFA24B24907FAB + 8030.50ns INFO [00008032] Port=0 RD @04 + 8031.50ns INFO [00008033] * RD COMPARE * port=1 adr=07 act=34CDA86449DC66FE89 exp=34CDA86449DC66FE89 + 8031.50ns INFO [00008033] Port=0 RD @01 + 8031.50ns INFO [00008033] Port=1 RD @02 + 8032.50ns INFO [00008034] * RD COMPARE * port=0 adr=04 act=BFBE788AA3FA632849 exp=BFBE788AA3FA632849 + 8032.50ns INFO [00008034] Port=0 WR @07=5763AB490403032A3D + 8032.50ns INFO [00008034] Port=1 RD @01 + 8033.50ns INFO [00008035] * RD COMPARE * port=0 adr=01 act=D869D5DBF1D83F2F9D exp=D869D5DBF1D83F2F9D + 8033.50ns INFO [00008035] * RD COMPARE * port=1 adr=02 act=F83907C550D9FB19C6 exp=F83907C550D9FB19C6 + 8033.50ns INFO [00008035] Port=0 RD @00 + 8033.50ns INFO [00008035] Port=1 RD @00 + 8034.50ns INFO [00008036] * RD COMPARE * port=1 adr=01 act=D869D5DBF1D83F2F9D exp=D869D5DBF1D83F2F9D + 8034.50ns INFO [00008036] Port=1 RD @06 + 8035.50ns INFO [00008037] * RD COMPARE * port=0 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 8035.50ns INFO [00008037] * RD COMPARE * port=1 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 8035.50ns INFO [00008037] Port=0 RD @01 + 8035.50ns INFO [00008037] Port=1 RD @03 + 8036.50ns INFO [00008038] * RD COMPARE * port=1 adr=06 act=BB58F9CA180C5541B7 exp=BB58F9CA180C5541B7 + 8037.50ns INFO [00008039] * RD COMPARE * port=0 adr=01 act=D869D5DBF1D83F2F9D exp=D869D5DBF1D83F2F9D + 8037.50ns INFO [00008039] * RD COMPARE * port=1 adr=03 act=3F4DEFA24B24907FAB exp=3F4DEFA24B24907FAB + 8038.50ns INFO [00008040] Port=1 RD @04 + 8040.50ns INFO [00008042] * RD COMPARE * port=1 adr=04 act=BFBE788AA3FA632849 exp=BFBE788AA3FA632849 + 8040.50ns INFO [00008042] Port=1 RD @02 + 8041.50ns INFO [00008043] Port=0 WR @04=45FB9B12FB0A599F26 + 8041.50ns INFO [00008043] Port=1 RD @02 + 8042.50ns INFO [00008044] * RD COMPARE * port=1 adr=02 act=F83907C550D9FB19C6 exp=F83907C550D9FB19C6 + 8043.50ns INFO [00008045] * RD COMPARE * port=1 adr=02 act=F83907C550D9FB19C6 exp=F83907C550D9FB19C6 + 8043.50ns INFO [00008045] Port=1 RD @07 + 8044.50ns INFO [00008046] Port=0 WR @01=F67046F9F27C760F32 + 8044.50ns INFO [00008046] Port=0 RD @00 + 8045.50ns INFO [00008047] * RD COMPARE * port=1 adr=07 act=5763AB490403032A3D exp=5763AB490403032A3D + 8045.50ns INFO [00008047] Port=0 WR @06=C63CB455392CC4448A + 8046.50ns INFO [00008048] * RD COMPARE * port=0 adr=00 act=5A863C9EE4F19C28C0 exp=5A863C9EE4F19C28C0 + 8046.50ns INFO [00008048] Port=0 WR @05=32A7C13F67D42BB087 + 8047.50ns INFO [00008049] Port=0 WR @00=CDCFB87800CE20EA17 + 8047.50ns INFO [00008049] Port=0 RD @06 + 8047.50ns INFO [00008049] Port=1 RD @05 + 8048.50ns INFO [00008050] Port=0 WR @05=9636E769136BA46E71 + 8049.50ns INFO [00008051] * RD COMPARE * port=0 adr=06 act=C63CB455392CC4448A exp=C63CB455392CC4448A + 8049.50ns INFO [00008051] * RD COMPARE * port=1 adr=05 act=32A7C13F67D42BB087 exp=32A7C13F67D42BB087 + 8049.50ns INFO [00008051] Port=0 WR @01=8507EA29760A418A82 + 8049.50ns INFO [00008051] Port=1 RD @00 + 8051.50ns INFO [00008053] * RD COMPARE * port=1 adr=00 act=CDCFB87800CE20EA17 exp=CDCFB87800CE20EA17 + 8051.50ns INFO [00008053] Port=1 RD @04 + 8053.50ns INFO [00008055] * RD COMPARE * port=1 adr=04 act=45FB9B12FB0A599F26 exp=45FB9B12FB0A599F26 + 8054.50ns INFO [00008056] Port=0 RD @06 + 8055.50ns INFO [00008057] Port=0 RD @04 + 8056.50ns INFO [00008058] * RD COMPARE * port=0 adr=06 act=C63CB455392CC4448A exp=C63CB455392CC4448A + 8056.50ns INFO [00008058] Port=0 WR @05=A624A927391A80A0CE + 8057.50ns INFO [00008059] * RD COMPARE * port=0 adr=04 act=45FB9B12FB0A599F26 exp=45FB9B12FB0A599F26 + 8057.50ns INFO [00008059] Port=1 RD @03 + 8059.50ns INFO [00008061] * RD COMPARE * port=1 adr=03 act=3F4DEFA24B24907FAB exp=3F4DEFA24B24907FAB + 8060.50ns INFO [00008062] Port=0 RD @05 + 8060.50ns INFO [00008062] Port=1 RD @03 + 8061.50ns INFO [00008063] Port=0 RD @00 + 8062.50ns INFO [00008064] * RD COMPARE * port=0 adr=05 act=A624A927391A80A0CE exp=A624A927391A80A0CE + 8062.50ns INFO [00008064] * RD COMPARE * port=1 adr=03 act=3F4DEFA24B24907FAB exp=3F4DEFA24B24907FAB + 8062.50ns INFO [00008064] Port=1 RD @02 + 8063.50ns INFO [00008065] * RD COMPARE * port=0 adr=00 act=CDCFB87800CE20EA17 exp=CDCFB87800CE20EA17 + 8064.50ns INFO [00008066] * RD COMPARE * port=1 adr=02 act=F83907C550D9FB19C6 exp=F83907C550D9FB19C6 + 8064.50ns INFO [00008066] Port=0 RD @07 + 8065.50ns INFO [00008067] Port=1 RD @07 + 8066.50ns INFO [00008068] * RD COMPARE * port=0 adr=07 act=5763AB490403032A3D exp=5763AB490403032A3D + 8066.50ns INFO [00008068] Port=1 RD @01 + 8067.50ns INFO [00008069] * RD COMPARE * port=1 adr=07 act=5763AB490403032A3D exp=5763AB490403032A3D + 8068.50ns INFO [00008070] * RD COMPARE * port=1 adr=01 act=8507EA29760A418A82 exp=8507EA29760A418A82 + 8068.50ns INFO [00008070] Port=0 RD @05 + 8069.50ns INFO [00008071] Port=1 RD @03 + 8070.50ns INFO [00008072] * RD COMPARE * port=0 adr=05 act=A624A927391A80A0CE exp=A624A927391A80A0CE + 8070.50ns INFO [00008072] Port=0 WR @07=AC7911E2384C136008 + 8070.50ns INFO [00008072] Port=1 RD @00 + 8071.50ns INFO [00008073] * RD COMPARE * port=1 adr=03 act=3F4DEFA24B24907FAB exp=3F4DEFA24B24907FAB + 8071.50ns INFO [00008073] Port=0 RD @00 + 8071.50ns INFO [00008073] Port=1 RD @03 + 8072.50ns INFO [00008074] * RD COMPARE * port=1 adr=00 act=CDCFB87800CE20EA17 exp=CDCFB87800CE20EA17 + 8072.50ns INFO [00008074] Port=0 RD @01 + 8072.50ns INFO [00008074] Port=1 RD @01 + 8073.50ns INFO [00008075] * RD COMPARE * port=0 adr=00 act=CDCFB87800CE20EA17 exp=CDCFB87800CE20EA17 + 8073.50ns INFO [00008075] * RD COMPARE * port=1 adr=03 act=3F4DEFA24B24907FAB exp=3F4DEFA24B24907FAB + 8073.50ns INFO [00008075] Port=0 RD @03 + 8074.50ns INFO [00008076] * RD COMPARE * port=0 adr=01 act=8507EA29760A418A82 exp=8507EA29760A418A82 + 8074.50ns INFO [00008076] * RD COMPARE * port=1 adr=01 act=8507EA29760A418A82 exp=8507EA29760A418A82 + 8074.50ns INFO [00008076] Port=0 WR @03=F5FAE4A2FB0F1DDAEF + 8075.50ns INFO [00008077] * RD COMPARE * port=0 adr=03 act=3F4DEFA24B24907FAB exp=3F4DEFA24B24907FAB + 8075.50ns INFO [00008077] Port=0 WR @04=6D21404A73C4CB06EF + 8076.50ns INFO [00008078] Port=1 RD @03 + 8078.50ns INFO [00008080] * RD COMPARE * port=1 adr=03 act=F5FAE4A2FB0F1DDAEF exp=F5FAE4A2FB0F1DDAEF + 8079.50ns INFO [00008081] Port=0 WR @04=0759BB929AEF4623CF + 8080.50ns INFO [00008082] Port=0 RD @05 + 8080.50ns INFO [00008082] Port=1 RD @00 + 8082.50ns INFO [00008084] * RD COMPARE * port=0 adr=05 act=A624A927391A80A0CE exp=A624A927391A80A0CE + 8082.50ns INFO [00008084] * RD COMPARE * port=1 adr=00 act=CDCFB87800CE20EA17 exp=CDCFB87800CE20EA17 + 8082.50ns INFO [00008084] Port=0 WR @05=83B7A58AFD8F33E753 + 8083.50ns INFO [00008085] Port=0 RD @02 + 8085.50ns INFO [00008087] * RD COMPARE * port=0 adr=02 act=F83907C550D9FB19C6 exp=F83907C550D9FB19C6 + 8085.50ns INFO [00008087] Port=1 RD @05 + 8087.50ns INFO [00008089] * RD COMPARE * port=1 adr=05 act=83B7A58AFD8F33E753 exp=83B7A58AFD8F33E753 + 8087.50ns INFO [00008089] Port=0 WR @05=D317383537A8A26362 + 8088.50ns INFO [00008090] Port=0 RD @00 + 8088.50ns INFO [00008090] Port=1 RD @03 + 8089.50ns INFO [00008091] Port=0 RD @00 + 8090.50ns INFO [00008092] * RD COMPARE * port=0 adr=00 act=CDCFB87800CE20EA17 exp=CDCFB87800CE20EA17 + 8090.50ns INFO [00008092] * RD COMPARE * port=1 adr=03 act=F5FAE4A2FB0F1DDAEF exp=F5FAE4A2FB0F1DDAEF + 8090.50ns INFO [00008092] Port=0 RD @07 + 8091.50ns INFO [00008093] * RD COMPARE * port=0 adr=00 act=CDCFB87800CE20EA17 exp=CDCFB87800CE20EA17 + 8091.50ns INFO [00008093] Port=0 RD @00 + 8092.50ns INFO [00008094] * RD COMPARE * port=0 adr=07 act=AC7911E2384C136008 exp=AC7911E2384C136008 + 8092.50ns INFO [00008094] Port=0 WR @03=DD8FB9BCDA97A85157 + 8092.50ns INFO [00008094] Port=1 RD @04 + 8093.50ns INFO [00008095] * RD COMPARE * port=0 adr=00 act=CDCFB87800CE20EA17 exp=CDCFB87800CE20EA17 + 8093.50ns INFO [00008095] Port=1 RD @05 + 8094.50ns INFO [00008096] * RD COMPARE * port=1 adr=04 act=0759BB929AEF4623CF exp=0759BB929AEF4623CF + 8095.50ns INFO [00008097] * RD COMPARE * port=1 adr=05 act=D317383537A8A26362 exp=D317383537A8A26362 + 8095.50ns INFO [00008097] Port=0 RD @07 + 8096.50ns INFO [00008098] Port=0 WR @07=80C8DA1676E1F72028 + 8097.50ns INFO [00008099] * RD COMPARE * port=0 adr=07 act=AC7911E2384C136008 exp=AC7911E2384C136008 + 8097.50ns INFO [00008099] Port=0 WR @02=640DC7D5D5DCE9B019 + 8097.50ns INFO [00008099] Port=1 RD @04 + 8098.00ns INFO [00008100] [00008100] ...tick... + 8098.50ns INFO [00008100] Port=0 WR @02=8103CC7E7F2AE14CBA + 8099.50ns INFO [00008101] * RD COMPARE * port=1 adr=04 act=0759BB929AEF4623CF exp=0759BB929AEF4623CF + 8099.50ns INFO [00008101] Port=0 WR @07=E436F067F82823A8B3 + 8099.50ns INFO [00008101] Port=1 RD @02 + 8100.50ns INFO [00008102] Port=0 WR @02=4D9823D035A3469F68 + 8100.50ns INFO [00008102] Port=0 RD @05 + 8101.50ns INFO [00008103] * RD COMPARE * port=1 adr=02 act=8103CC7E7F2AE14CBA exp=8103CC7E7F2AE14CBA + 8101.50ns INFO [00008103] Port=1 RD @02 + 8102.50ns INFO [00008104] * RD COMPARE * port=0 adr=05 act=D317383537A8A26362 exp=D317383537A8A26362 + 8102.50ns INFO [00008104] Port=0 WR @05=64C0758DAE711290E6 + 8103.50ns INFO [00008105] * RD COMPARE * port=1 adr=02 act=4D9823D035A3469F68 exp=4D9823D035A3469F68 + 8103.50ns INFO [00008105] Port=1 RD @07 + 8105.50ns INFO [00008107] * RD COMPARE * port=1 adr=07 act=E436F067F82823A8B3 exp=E436F067F82823A8B3 + 8105.50ns INFO [00008107] Port=1 RD @04 + 8106.50ns INFO [00008108] Port=0 RD @01 + 8107.50ns INFO [00008109] * RD COMPARE * port=1 adr=04 act=0759BB929AEF4623CF exp=0759BB929AEF4623CF + 8107.50ns INFO [00008109] Port=0 RD @00 + 8108.50ns INFO [00008110] * RD COMPARE * port=0 adr=01 act=8507EA29760A418A82 exp=8507EA29760A418A82 + 8109.50ns INFO [00008111] * RD COMPARE * port=0 adr=00 act=CDCFB87800CE20EA17 exp=CDCFB87800CE20EA17 + 8109.50ns INFO [00008111] Port=0 RD @00 + 8110.50ns INFO [00008112] Port=0 WR @02=F8B416C8AB789B42C5 + 8110.50ns INFO [00008112] Port=1 RD @03 + 8111.50ns INFO [00008113] * RD COMPARE * port=0 adr=00 act=CDCFB87800CE20EA17 exp=CDCFB87800CE20EA17 + 8112.50ns INFO [00008114] * RD COMPARE * port=1 adr=03 act=DD8FB9BCDA97A85157 exp=DD8FB9BCDA97A85157 + 8112.50ns INFO [00008114] Port=1 RD @05 + 8113.50ns INFO [00008115] Port=0 RD @07 + 8114.50ns INFO [00008116] * RD COMPARE * port=1 adr=05 act=64C0758DAE711290E6 exp=64C0758DAE711290E6 + 8114.50ns INFO [00008116] Port=0 WR @00=B6DE4C8460C6D72DD4 + 8115.50ns INFO [00008117] * RD COMPARE * port=0 adr=07 act=E436F067F82823A8B3 exp=E436F067F82823A8B3 + 8115.50ns INFO [00008117] Port=1 RD @03 + 8116.50ns INFO [00008118] Port=0 WR @02=8A8D2B7EFBEA8E4C53 + 8117.50ns INFO [00008119] * RD COMPARE * port=1 adr=03 act=DD8FB9BCDA97A85157 exp=DD8FB9BCDA97A85157 + 8117.50ns INFO [00008119] Port=0 RD @07 + 8117.50ns INFO [00008119] Port=1 RD @00 + 8118.50ns INFO [00008120] Port=0 WR @01=1E4053F258DE2813C7 + 8118.50ns INFO [00008120] Port=1 RD @05 + 8119.50ns INFO [00008121] * RD COMPARE * port=0 adr=07 act=E436F067F82823A8B3 exp=E436F067F82823A8B3 + 8119.50ns INFO [00008121] * RD COMPARE * port=1 adr=00 act=B6DE4C8460C6D72DD4 exp=B6DE4C8460C6D72DD4 + 8119.50ns INFO [00008121] Port=0 WR @03=C9D2D7328A9EC660EE + 8119.50ns INFO [00008121] Port=1 RD @05 + 8120.50ns INFO [00008122] * RD COMPARE * port=1 adr=05 act=64C0758DAE711290E6 exp=64C0758DAE711290E6 + 8120.50ns INFO [00008122] Port=0 RD @04 + 8121.50ns INFO [00008123] * RD COMPARE * port=1 adr=05 act=64C0758DAE711290E6 exp=64C0758DAE711290E6 + 8121.50ns INFO [00008123] Port=1 RD @04 + 8122.50ns INFO [00008124] * RD COMPARE * port=0 adr=04 act=0759BB929AEF4623CF exp=0759BB929AEF4623CF + 8123.50ns INFO [00008125] * RD COMPARE * port=1 adr=04 act=0759BB929AEF4623CF exp=0759BB929AEF4623CF + 8123.50ns INFO [00008125] Port=0 WR @01=0B7D790494FF87B3AC + 8123.50ns INFO [00008125] Port=0 RD @02 + 8124.50ns INFO [00008126] Port=0 RD @06 + 8125.50ns INFO [00008127] * RD COMPARE * port=0 adr=02 act=8A8D2B7EFBEA8E4C53 exp=8A8D2B7EFBEA8E4C53 + 8125.50ns INFO [00008127] Port=1 RD @03 + 8126.50ns INFO [00008128] * RD COMPARE * port=0 adr=06 act=C63CB455392CC4448A exp=C63CB455392CC4448A + 8127.50ns INFO [00008129] * RD COMPARE * port=1 adr=03 act=C9D2D7328A9EC660EE exp=C9D2D7328A9EC660EE + 8127.50ns INFO [00008129] Port=0 WR @02=AEA8F63F7E8F5339A8 + 8127.50ns INFO [00008129] Port=1 RD @03 + 8128.50ns INFO [00008130] Port=0 RD @05 + 8129.50ns INFO [00008131] * RD COMPARE * port=1 adr=03 act=C9D2D7328A9EC660EE exp=C9D2D7328A9EC660EE + 8129.50ns INFO [00008131] Port=0 WR @07=13756F05A7D19644E2 + 8130.50ns INFO [00008132] * RD COMPARE * port=0 adr=05 act=64C0758DAE711290E6 exp=64C0758DAE711290E6 + 8130.50ns INFO [00008132] Port=0 RD @03 + 8131.50ns INFO [00008133] Port=0 WR @07=CB3784D00616505462 + 8132.50ns INFO [00008134] * RD COMPARE * port=0 adr=03 act=C9D2D7328A9EC660EE exp=C9D2D7328A9EC660EE + 8132.50ns INFO [00008134] Port=0 WR @07=6A118EB7BEB40841FC + 8132.50ns INFO [00008134] Port=1 RD @02 + 8133.50ns INFO [00008135] Port=0 RD @02 + 8134.50ns INFO [00008136] * RD COMPARE * port=1 adr=02 act=AEA8F63F7E8F5339A8 exp=AEA8F63F7E8F5339A8 + 8134.50ns INFO [00008136] Port=1 RD @07 + 8135.50ns INFO [00008137] * RD COMPARE * port=0 adr=02 act=AEA8F63F7E8F5339A8 exp=AEA8F63F7E8F5339A8 + 8136.50ns INFO [00008138] * RD COMPARE * port=1 adr=07 act=6A118EB7BEB40841FC exp=6A118EB7BEB40841FC + 8136.50ns INFO [00008138] Port=1 RD @00 + 8137.50ns INFO [00008139] Port=0 RD @00 + 8137.50ns INFO [00008139] Port=1 RD @05 + 8138.50ns INFO [00008140] * RD COMPARE * port=1 adr=00 act=B6DE4C8460C6D72DD4 exp=B6DE4C8460C6D72DD4 + 8138.50ns INFO [00008140] Port=0 RD @05 + 8138.50ns INFO [00008140] Port=1 RD @00 + 8139.50ns INFO [00008141] * RD COMPARE * port=0 adr=00 act=B6DE4C8460C6D72DD4 exp=B6DE4C8460C6D72DD4 + 8139.50ns INFO [00008141] * RD COMPARE * port=1 adr=05 act=64C0758DAE711290E6 exp=64C0758DAE711290E6 + 8140.50ns INFO [00008142] * RD COMPARE * port=0 adr=05 act=64C0758DAE711290E6 exp=64C0758DAE711290E6 + 8140.50ns INFO [00008142] * RD COMPARE * port=1 adr=00 act=B6DE4C8460C6D72DD4 exp=B6DE4C8460C6D72DD4 + 8140.50ns INFO [00008142] Port=0 WR @02=AF0A33AADD68F7CF47 + 8141.50ns INFO [00008143] Port=1 RD @05 + 8142.50ns INFO [00008144] Port=0 RD @07 + 8142.50ns INFO [00008144] Port=1 RD @05 + 8143.50ns INFO [00008145] * RD COMPARE * port=1 adr=05 act=64C0758DAE711290E6 exp=64C0758DAE711290E6 + 8143.50ns INFO [00008145] Port=0 RD @03 + 8144.50ns INFO [00008146] * RD COMPARE * port=0 adr=07 act=6A118EB7BEB40841FC exp=6A118EB7BEB40841FC + 8144.50ns INFO [00008146] * RD COMPARE * port=1 adr=05 act=64C0758DAE711290E6 exp=64C0758DAE711290E6 + 8144.50ns INFO [00008146] Port=0 WR @03=052A63FCEEE489AC65 + 8144.50ns INFO [00008146] Port=0 RD @04 + 8144.50ns INFO [00008146] Port=1 RD @06 + 8145.50ns INFO [00008147] * RD COMPARE * port=0 adr=03 act=C9D2D7328A9EC660EE exp=C9D2D7328A9EC660EE + 8145.50ns INFO [00008147] Port=0 WR @04=5DB48900E1B445E1E2 + 8145.50ns INFO [00008147] Port=0 RD @02 + 8146.50ns INFO [00008148] * RD COMPARE * port=0 adr=04 act=0759BB929AEF4623CF exp=0759BB929AEF4623CF + 8146.50ns INFO [00008148] * RD COMPARE * port=1 adr=06 act=C63CB455392CC4448A exp=C63CB455392CC4448A + 8146.50ns INFO [00008148] Port=1 RD @02 + 8147.50ns INFO [00008149] * RD COMPARE * port=0 adr=02 act=AF0A33AADD68F7CF47 exp=AF0A33AADD68F7CF47 + 8147.50ns INFO [00008149] Port=1 RD @02 + 8148.50ns INFO [00008150] * RD COMPARE * port=1 adr=02 act=AF0A33AADD68F7CF47 exp=AF0A33AADD68F7CF47 + 8148.50ns INFO [00008150] Port=0 RD @00 + 8149.50ns INFO [00008151] * RD COMPARE * port=1 adr=02 act=AF0A33AADD68F7CF47 exp=AF0A33AADD68F7CF47 + 8150.50ns INFO [00008152] * RD COMPARE * port=0 adr=00 act=B6DE4C8460C6D72DD4 exp=B6DE4C8460C6D72DD4 + 8150.50ns INFO [00008152] Port=1 RD @04 + 8151.50ns INFO [00008153] Port=0 RD @00 + 8152.50ns INFO [00008154] * RD COMPARE * port=1 adr=04 act=5DB48900E1B445E1E2 exp=5DB48900E1B445E1E2 + 8152.50ns INFO [00008154] Port=0 WR @05=9AAF35045045B3DB6F + 8153.50ns INFO [00008155] * RD COMPARE * port=0 adr=00 act=B6DE4C8460C6D72DD4 exp=B6DE4C8460C6D72DD4 + 8153.50ns INFO [00008155] Port=0 RD @04 + 8154.50ns INFO [00008156] Port=0 WR @04=EE26F11268DB8485F0 + 8155.50ns INFO [00008157] * RD COMPARE * port=0 adr=04 act=5DB48900E1B445E1E2 exp=5DB48900E1B445E1E2 + 8155.50ns INFO [00008157] Port=0 RD @03 + 8155.50ns INFO [00008157] Port=1 RD @07 + 8156.50ns INFO [00008158] Port=0 WR @06=71DDADEF327A26CCF1 + 8157.50ns INFO [00008159] * RD COMPARE * port=0 adr=03 act=052A63FCEEE489AC65 exp=052A63FCEEE489AC65 + 8157.50ns INFO [00008159] * RD COMPARE * port=1 adr=07 act=6A118EB7BEB40841FC exp=6A118EB7BEB40841FC + 8157.50ns INFO [00008159] Port=0 WR @06=84690E5C38336B0E6B + 8158.50ns INFO [00008160] Port=0 WR @06=6FF404F0705E0249F5 + 8158.50ns INFO [00008160] Port=0 RD @01 + 8159.50ns INFO [00008161] Port=0 WR @02=1623A0350DCB266C87 + 8160.50ns INFO [00008162] * RD COMPARE * port=0 adr=01 act=0B7D790494FF87B3AC exp=0B7D790494FF87B3AC + 8160.50ns INFO [00008162] Port=0 RD @05 + 8161.50ns INFO [00008163] Port=0 RD @01 + 8161.50ns INFO [00008163] Port=1 RD @02 + 8162.50ns INFO [00008164] * RD COMPARE * port=0 adr=05 act=9AAF35045045B3DB6F exp=9AAF35045045B3DB6F + 8162.50ns INFO [00008164] Port=0 RD @06 + 8162.50ns INFO [00008164] Port=1 RD @05 + 8163.50ns INFO [00008165] * RD COMPARE * port=0 adr=01 act=0B7D790494FF87B3AC exp=0B7D790494FF87B3AC + 8163.50ns INFO [00008165] * RD COMPARE * port=1 adr=02 act=1623A0350DCB266C87 exp=1623A0350DCB266C87 + 8163.50ns INFO [00008165] Port=0 WR @03=E2F408C9F6769B2010 + 8163.50ns INFO [00008165] Port=0 RD @04 + 8163.50ns INFO [00008165] Port=1 RD @07 + 8164.50ns INFO [00008166] * RD COMPARE * port=0 adr=06 act=6FF404F0705E0249F5 exp=6FF404F0705E0249F5 + 8164.50ns INFO [00008166] * RD COMPARE * port=1 adr=05 act=9AAF35045045B3DB6F exp=9AAF35045045B3DB6F + 8164.50ns INFO [00008166] Port=0 WR @01=AFA606E3500C21B240 + 8165.50ns INFO [00008167] * RD COMPARE * port=0 adr=04 act=EE26F11268DB8485F0 exp=EE26F11268DB8485F0 + 8165.50ns INFO [00008167] * RD COMPARE * port=1 adr=07 act=6A118EB7BEB40841FC exp=6A118EB7BEB40841FC + 8166.50ns INFO [00008168] Port=0 RD @04 + 8168.50ns INFO [00008170] * RD COMPARE * port=0 adr=04 act=EE26F11268DB8485F0 exp=EE26F11268DB8485F0 + 8168.50ns INFO [00008170] Port=0 RD @00 + 8169.50ns INFO [00008171] Port=0 RD @07 + 8170.50ns INFO [00008172] * RD COMPARE * port=0 adr=00 act=B6DE4C8460C6D72DD4 exp=B6DE4C8460C6D72DD4 + 8170.50ns INFO [00008172] Port=0 RD @04 + 8171.50ns INFO [00008173] * RD COMPARE * port=0 adr=07 act=6A118EB7BEB40841FC exp=6A118EB7BEB40841FC + 8171.50ns INFO [00008173] Port=1 RD @00 + 8172.50ns INFO [00008174] * RD COMPARE * port=0 adr=04 act=EE26F11268DB8485F0 exp=EE26F11268DB8485F0 + 8172.50ns INFO [00008174] Port=1 RD @07 + 8173.50ns INFO [00008175] * RD COMPARE * port=1 adr=00 act=B6DE4C8460C6D72DD4 exp=B6DE4C8460C6D72DD4 + 8174.50ns INFO [00008176] * RD COMPARE * port=1 adr=07 act=6A118EB7BEB40841FC exp=6A118EB7BEB40841FC + 8174.50ns INFO [00008176] Port=0 RD @07 + 8174.50ns INFO [00008176] Port=1 RD @04 + 8175.50ns INFO [00008177] Port=0 WR @07=14C9111020D47D861A + 8175.50ns INFO [00008177] Port=0 RD @04 + 8176.50ns INFO [00008178] * RD COMPARE * port=0 adr=07 act=6A118EB7BEB40841FC exp=6A118EB7BEB40841FC + 8176.50ns INFO [00008178] * RD COMPARE * port=1 adr=04 act=EE26F11268DB8485F0 exp=EE26F11268DB8485F0 + 8176.50ns INFO [00008178] Port=0 RD @04 + 8176.50ns INFO [00008178] Port=1 RD @04 + 8177.50ns INFO [00008179] * RD COMPARE * port=0 adr=04 act=EE26F11268DB8485F0 exp=EE26F11268DB8485F0 + 8177.50ns INFO [00008179] Port=0 WR @03=0691712E8EF74FC22B + 8177.50ns INFO [00008179] Port=1 RD @05 + 8178.50ns INFO [00008180] * RD COMPARE * port=0 adr=04 act=EE26F11268DB8485F0 exp=EE26F11268DB8485F0 + 8178.50ns INFO [00008180] * RD COMPARE * port=1 adr=04 act=EE26F11268DB8485F0 exp=EE26F11268DB8485F0 + 8178.50ns INFO [00008180] Port=0 WR @03=EFD5981D2FB9515A5A + 8178.50ns INFO [00008180] Port=0 RD @06 + 8179.50ns INFO [00008181] * RD COMPARE * port=1 adr=05 act=9AAF35045045B3DB6F exp=9AAF35045045B3DB6F + 8179.50ns INFO [00008181] Port=0 WR @07=B36EF354D2F5285F0C + 8179.50ns INFO [00008181] Port=0 RD @01 + 8180.50ns INFO [00008182] * RD COMPARE * port=0 adr=06 act=6FF404F0705E0249F5 exp=6FF404F0705E0249F5 + 8180.50ns INFO [00008182] Port=1 RD @04 + 8181.50ns INFO [00008183] * RD COMPARE * port=0 adr=01 act=AFA606E3500C21B240 exp=AFA606E3500C21B240 + 8181.50ns INFO [00008183] Port=0 WR @06=10E45103A8ACDE276B + 8182.50ns INFO [00008184] * RD COMPARE * port=1 adr=04 act=EE26F11268DB8485F0 exp=EE26F11268DB8485F0 + 8182.50ns INFO [00008184] Port=0 WR @06=787A7E746A8CC52D6D + 8183.50ns INFO [00008185] Port=0 WR @07=106239A499079D2859 + 8185.50ns INFO [00008187] Port=0 RD @00 + 8186.50ns INFO [00008188] Port=0 WR @07=5F8CC1492B0C3302AC + 8186.50ns INFO [00008188] Port=0 RD @04 + 8187.50ns INFO [00008189] * RD COMPARE * port=0 adr=00 act=B6DE4C8460C6D72DD4 exp=B6DE4C8460C6D72DD4 + 8187.50ns INFO [00008189] Port=1 RD @06 + 8188.50ns INFO [00008190] * RD COMPARE * port=0 adr=04 act=EE26F11268DB8485F0 exp=EE26F11268DB8485F0 + 8188.50ns INFO [00008190] Port=0 WR @00=06E671B0CD5DDC477E + 8188.50ns INFO [00008190] Port=0 RD @03 + 8189.50ns INFO [00008191] * RD COMPARE * port=1 adr=06 act=787A7E746A8CC52D6D exp=787A7E746A8CC52D6D + 8189.50ns INFO [00008191] Port=0 RD @06 + 8190.50ns INFO [00008192] * RD COMPARE * port=0 adr=03 act=EFD5981D2FB9515A5A exp=EFD5981D2FB9515A5A + 8191.50ns INFO [00008193] * RD COMPARE * port=0 adr=06 act=787A7E746A8CC52D6D exp=787A7E746A8CC52D6D + 8191.50ns INFO [00008193] Port=0 WR @02=C73DFF6E22053F5B36 + 8191.50ns INFO [00008193] Port=0 RD @01 + 8192.50ns INFO [00008194] Port=0 WR @05=E3D38CE5BB033FD29A + 8192.50ns INFO [00008194] Port=0 RD @06 + 8193.50ns INFO [00008195] * RD COMPARE * port=0 adr=01 act=AFA606E3500C21B240 exp=AFA606E3500C21B240 + 8193.50ns INFO [00008195] Port=0 WR @07=C586052BCAC9E0B3A5 + 8193.50ns INFO [00008195] Port=0 RD @06 + 8194.50ns INFO [00008196] * RD COMPARE * port=0 adr=06 act=787A7E746A8CC52D6D exp=787A7E746A8CC52D6D + 8194.50ns INFO [00008196] Port=0 WR @01=5BCDE54FC72080D3BB + 8194.50ns INFO [00008196] Port=1 RD @02 + 8195.50ns INFO [00008197] * RD COMPARE * port=0 adr=06 act=787A7E746A8CC52D6D exp=787A7E746A8CC52D6D + 8196.50ns INFO [00008198] * RD COMPARE * port=1 adr=02 act=C73DFF6E22053F5B36 exp=C73DFF6E22053F5B36 + 8196.50ns INFO [00008198] Port=1 RD @00 + 8197.50ns INFO [00008199] Port=1 RD @07 + 8198.00ns INFO [00008200] [00008200] ...tick... + 8198.50ns INFO [00008200] * RD COMPARE * port=1 adr=00 act=06E671B0CD5DDC477E exp=06E671B0CD5DDC477E + 8198.50ns INFO [00008200] Port=0 RD @06 + 8199.50ns INFO [00008201] * RD COMPARE * port=1 adr=07 act=C586052BCAC9E0B3A5 exp=C586052BCAC9E0B3A5 + 8199.50ns INFO [00008201] Port=1 RD @02 + 8200.50ns INFO [00008202] * RD COMPARE * port=0 adr=06 act=787A7E746A8CC52D6D exp=787A7E746A8CC52D6D + 8200.50ns INFO [00008202] Port=0 WR @02=39E78F0249451D82CD + 8200.50ns INFO [00008202] Port=1 RD @03 + 8201.50ns INFO [00008203] * RD COMPARE * port=1 adr=02 act=C73DFF6E22053F5B36 exp=C73DFF6E22053F5B36 + 8201.50ns INFO [00008203] Port=0 RD @07 + 8202.50ns INFO [00008204] * RD COMPARE * port=1 adr=03 act=EFD5981D2FB9515A5A exp=EFD5981D2FB9515A5A + 8202.50ns INFO [00008204] Port=1 RD @06 + 8203.50ns INFO [00008205] * RD COMPARE * port=0 adr=07 act=C586052BCAC9E0B3A5 exp=C586052BCAC9E0B3A5 + 8203.50ns INFO [00008205] Port=0 RD @03 + 8204.50ns INFO [00008206] * RD COMPARE * port=1 adr=06 act=787A7E746A8CC52D6D exp=787A7E746A8CC52D6D + 8204.50ns INFO [00008206] Port=0 RD @03 + 8205.50ns INFO [00008207] * RD COMPARE * port=0 adr=03 act=EFD5981D2FB9515A5A exp=EFD5981D2FB9515A5A + 8205.50ns INFO [00008207] Port=0 WR @06=35CD838CB1C227DFA9 + 8205.50ns INFO [00008207] Port=0 RD @05 + 8206.50ns INFO [00008208] * RD COMPARE * port=0 adr=03 act=EFD5981D2FB9515A5A exp=EFD5981D2FB9515A5A + 8206.50ns INFO [00008208] Port=0 WR @04=9BAF84F10EE219A632 + 8207.50ns INFO [00008209] * RD COMPARE * port=0 adr=05 act=E3D38CE5BB033FD29A exp=E3D38CE5BB033FD29A + 8207.50ns INFO [00008209] Port=0 RD @05 + 8208.50ns INFO [00008210] Port=0 WR @03=440B08D46D2DAAD89F + 8208.50ns INFO [00008210] Port=1 RD @05 + 8209.50ns INFO [00008211] * RD COMPARE * port=0 adr=05 act=E3D38CE5BB033FD29A exp=E3D38CE5BB033FD29A + 8209.50ns INFO [00008211] Port=0 WR @04=ACBA458A50B08E8F68 + 8209.50ns INFO [00008211] Port=1 RD @07 + 8210.50ns INFO [00008212] * RD COMPARE * port=1 adr=05 act=E3D38CE5BB033FD29A exp=E3D38CE5BB033FD29A + 8210.50ns INFO [00008212] Port=0 WR @06=3BE98AF1B0744CB12B + 8211.50ns INFO [00008213] * RD COMPARE * port=1 adr=07 act=C586052BCAC9E0B3A5 exp=C586052BCAC9E0B3A5 + 8211.50ns INFO [00008213] Port=0 WR @05=A049F652B2C5CA0043 + 8212.50ns INFO [00008214] Port=0 WR @07=9F3EA9864969D5571E + 8212.50ns INFO [00008214] Port=1 RD @01 + 8214.50ns INFO [00008216] * RD COMPARE * port=1 adr=01 act=5BCDE54FC72080D3BB exp=5BCDE54FC72080D3BB + 8214.50ns INFO [00008216] Port=0 WR @06=583810BA2F46326855 + 8214.50ns INFO [00008216] Port=1 RD @04 + 8215.50ns INFO [00008217] Port=0 WR @00=7144C9E29A1F929ACE + 8215.50ns INFO [00008217] Port=1 RD @07 + 8216.50ns INFO [00008218] * RD COMPARE * port=1 adr=04 act=ACBA458A50B08E8F68 exp=ACBA458A50B08E8F68 + 8216.50ns INFO [00008218] Port=0 RD @03 + 8217.50ns INFO [00008219] * RD COMPARE * port=1 adr=07 act=9F3EA9864969D5571E exp=9F3EA9864969D5571E + 8217.50ns INFO [00008219] Port=0 RD @04 + 8218.50ns INFO [00008220] * RD COMPARE * port=0 adr=03 act=440B08D46D2DAAD89F exp=440B08D46D2DAAD89F + 8218.50ns INFO [00008220] Port=0 WR @03=46B8981F2C0B70AB26 + 8219.50ns INFO [00008221] * RD COMPARE * port=0 adr=04 act=ACBA458A50B08E8F68 exp=ACBA458A50B08E8F68 + 8219.50ns INFO [00008221] Port=0 RD @07 + 8221.50ns INFO [00008223] * RD COMPARE * port=0 adr=07 act=9F3EA9864969D5571E exp=9F3EA9864969D5571E + 8221.50ns INFO [00008223] Port=0 RD @02 + 8221.50ns INFO [00008223] Port=1 RD @05 + 8222.50ns INFO [00008224] Port=0 RD @06 + 8222.50ns INFO [00008224] Port=1 RD @05 + 8223.50ns INFO [00008225] * RD COMPARE * port=0 adr=02 act=39E78F0249451D82CD exp=39E78F0249451D82CD + 8223.50ns INFO [00008225] * RD COMPARE * port=1 adr=05 act=A049F652B2C5CA0043 exp=A049F652B2C5CA0043 + 8223.50ns INFO [00008225] Port=1 RD @00 + 8224.50ns INFO [00008226] * RD COMPARE * port=0 adr=06 act=583810BA2F46326855 exp=583810BA2F46326855 + 8224.50ns INFO [00008226] * RD COMPARE * port=1 adr=05 act=A049F652B2C5CA0043 exp=A049F652B2C5CA0043 + 8224.50ns INFO [00008226] Port=0 WR @07=4DC85D7E32BFDCCAAA + 8224.50ns INFO [00008226] Port=0 RD @02 + 8225.50ns INFO [00008227] * RD COMPARE * port=1 adr=00 act=7144C9E29A1F929ACE exp=7144C9E29A1F929ACE + 8225.50ns INFO [00008227] Port=0 WR @05=45518BDB925B0D5445 + 8225.50ns INFO [00008227] Port=0 RD @02 + 8226.50ns INFO [00008228] * RD COMPARE * port=0 adr=02 act=39E78F0249451D82CD exp=39E78F0249451D82CD + 8226.50ns INFO [00008228] Port=0 RD @00 + 8226.50ns INFO [00008228] Port=1 RD @07 + 8227.50ns INFO [00008229] * RD COMPARE * port=0 adr=02 act=39E78F0249451D82CD exp=39E78F0249451D82CD + 8227.50ns INFO [00008229] Port=0 RD @03 + 8227.50ns INFO [00008229] Port=1 RD @03 + 8228.50ns INFO [00008230] * RD COMPARE * port=0 adr=00 act=7144C9E29A1F929ACE exp=7144C9E29A1F929ACE + 8228.50ns INFO [00008230] * RD COMPARE * port=1 adr=07 act=4DC85D7E32BFDCCAAA exp=4DC85D7E32BFDCCAAA + 8228.50ns INFO [00008230] Port=0 WR @04=449BD56B2B81055B0E + 8228.50ns INFO [00008230] Port=0 RD @01 + 8229.50ns INFO [00008231] * RD COMPARE * port=0 adr=03 act=46B8981F2C0B70AB26 exp=46B8981F2C0B70AB26 + 8229.50ns INFO [00008231] * RD COMPARE * port=1 adr=03 act=46B8981F2C0B70AB26 exp=46B8981F2C0B70AB26 + 8229.50ns INFO [00008231] Port=1 RD @03 + 8230.50ns INFO [00008232] * RD COMPARE * port=0 adr=01 act=5BCDE54FC72080D3BB exp=5BCDE54FC72080D3BB + 8231.50ns INFO [00008233] * RD COMPARE * port=1 adr=03 act=46B8981F2C0B70AB26 exp=46B8981F2C0B70AB26 + 8232.50ns INFO [00008234] Port=1 RD @06 + 8234.50ns INFO [00008236] * RD COMPARE * port=1 adr=06 act=583810BA2F46326855 exp=583810BA2F46326855 + 8234.50ns INFO [00008236] Port=0 WR @03=DDD3F8A9A485088647 + 8234.50ns INFO [00008236] Port=0 RD @05 + 8234.50ns INFO [00008236] Port=1 RD @07 + 8235.50ns INFO [00008237] Port=0 RD @02 + 8235.50ns INFO [00008237] Port=1 RD @07 + 8236.50ns INFO [00008238] * RD COMPARE * port=0 adr=05 act=45518BDB925B0D5445 exp=45518BDB925B0D5445 + 8236.50ns INFO [00008238] * RD COMPARE * port=1 adr=07 act=4DC85D7E32BFDCCAAA exp=4DC85D7E32BFDCCAAA + 8236.50ns INFO [00008238] Port=0 RD @00 + 8237.50ns INFO [00008239] * RD COMPARE * port=0 adr=02 act=39E78F0249451D82CD exp=39E78F0249451D82CD + 8237.50ns INFO [00008239] * RD COMPARE * port=1 adr=07 act=4DC85D7E32BFDCCAAA exp=4DC85D7E32BFDCCAAA + 8237.50ns INFO [00008239] Port=1 RD @02 + 8238.50ns INFO [00008240] * RD COMPARE * port=0 adr=00 act=7144C9E29A1F929ACE exp=7144C9E29A1F929ACE + 8239.50ns INFO [00008241] * RD COMPARE * port=1 adr=02 act=39E78F0249451D82CD exp=39E78F0249451D82CD + 8240.50ns INFO [00008242] Port=1 RD @03 + 8242.50ns INFO [00008244] * RD COMPARE * port=1 adr=03 act=DDD3F8A9A485088647 exp=DDD3F8A9A485088647 + 8242.50ns INFO [00008244] Port=0 RD @01 + 8242.50ns INFO [00008244] Port=1 RD @03 + 8243.50ns INFO [00008245] Port=0 WR @02=8FFC09EED7AB538DFD + 8243.50ns INFO [00008245] Port=1 RD @07 + 8244.50ns INFO [00008246] * RD COMPARE * port=0 adr=01 act=5BCDE54FC72080D3BB exp=5BCDE54FC72080D3BB + 8244.50ns INFO [00008246] * RD COMPARE * port=1 adr=03 act=DDD3F8A9A485088647 exp=DDD3F8A9A485088647 + 8244.50ns INFO [00008246] Port=1 RD @00 + 8245.50ns INFO [00008247] * RD COMPARE * port=1 adr=07 act=4DC85D7E32BFDCCAAA exp=4DC85D7E32BFDCCAAA + 8245.50ns INFO [00008247] Port=0 WR @05=20BA61B5143DDF0759 + 8245.50ns INFO [00008247] Port=0 RD @02 + 8245.50ns INFO [00008247] Port=1 RD @03 + 8246.50ns INFO [00008248] * RD COMPARE * port=1 adr=00 act=7144C9E29A1F929ACE exp=7144C9E29A1F929ACE + 8246.50ns INFO [00008248] Port=0 RD @04 + 8247.50ns INFO [00008249] * RD COMPARE * port=0 adr=02 act=8FFC09EED7AB538DFD exp=8FFC09EED7AB538DFD + 8247.50ns INFO [00008249] * RD COMPARE * port=1 adr=03 act=DDD3F8A9A485088647 exp=DDD3F8A9A485088647 + 8248.50ns INFO [00008250] * RD COMPARE * port=0 adr=04 act=449BD56B2B81055B0E exp=449BD56B2B81055B0E + 8248.50ns INFO [00008250] Port=0 WR @00=E739B9DA89BB18A816 + 8248.50ns INFO [00008250] Port=0 RD @03 + 8249.50ns INFO [00008251] Port=0 WR @06=D590A012AD852360C2 + 8249.50ns INFO [00008251] Port=0 RD @01 + 8249.50ns INFO [00008251] Port=1 RD @02 + 8250.50ns INFO [00008252] * RD COMPARE * port=0 adr=03 act=DDD3F8A9A485088647 exp=DDD3F8A9A485088647 + 8250.50ns INFO [00008252] Port=1 RD @02 + 8251.50ns INFO [00008253] * RD COMPARE * port=0 adr=01 act=5BCDE54FC72080D3BB exp=5BCDE54FC72080D3BB + 8251.50ns INFO [00008253] * RD COMPARE * port=1 adr=02 act=8FFC09EED7AB538DFD exp=8FFC09EED7AB538DFD + 8251.50ns INFO [00008253] Port=0 WR @07=9D298B891E7D9E1EF5 + 8251.50ns INFO [00008253] Port=0 RD @04 + 8251.50ns INFO [00008253] Port=1 RD @04 + 8252.50ns INFO [00008254] * RD COMPARE * port=1 adr=02 act=8FFC09EED7AB538DFD exp=8FFC09EED7AB538DFD + 8252.50ns INFO [00008254] Port=0 RD @04 + 8253.50ns INFO [00008255] * RD COMPARE * port=0 adr=04 act=449BD56B2B81055B0E exp=449BD56B2B81055B0E + 8253.50ns INFO [00008255] * RD COMPARE * port=1 adr=04 act=449BD56B2B81055B0E exp=449BD56B2B81055B0E + 8254.50ns INFO [00008256] * RD COMPARE * port=0 adr=04 act=449BD56B2B81055B0E exp=449BD56B2B81055B0E + 8254.50ns INFO [00008256] Port=0 RD @04 + 8254.50ns INFO [00008256] Port=1 RD @04 + 8255.50ns INFO [00008257] Port=0 RD @07 + 8255.50ns INFO [00008257] Port=1 RD @05 + 8256.50ns INFO [00008258] * RD COMPARE * port=0 adr=04 act=449BD56B2B81055B0E exp=449BD56B2B81055B0E + 8256.50ns INFO [00008258] * RD COMPARE * port=1 adr=04 act=449BD56B2B81055B0E exp=449BD56B2B81055B0E + 8256.50ns INFO [00008258] Port=0 RD @03 + 8257.50ns INFO [00008259] * RD COMPARE * port=0 adr=07 act=9D298B891E7D9E1EF5 exp=9D298B891E7D9E1EF5 + 8257.50ns INFO [00008259] * RD COMPARE * port=1 adr=05 act=20BA61B5143DDF0759 exp=20BA61B5143DDF0759 + 8258.50ns INFO [00008260] * RD COMPARE * port=0 adr=03 act=DDD3F8A9A485088647 exp=DDD3F8A9A485088647 + 8258.50ns INFO [00008260] Port=0 RD @07 + 8258.50ns INFO [00008260] Port=1 RD @05 + 8259.50ns INFO [00008261] Port=0 RD @03 + 8260.50ns INFO [00008262] * RD COMPARE * port=0 adr=07 act=9D298B891E7D9E1EF5 exp=9D298B891E7D9E1EF5 + 8260.50ns INFO [00008262] * RD COMPARE * port=1 adr=05 act=20BA61B5143DDF0759 exp=20BA61B5143DDF0759 + 8260.50ns INFO [00008262] Port=0 WR @04=D2A408071A618CC163 + 8260.50ns INFO [00008262] Port=1 RD @07 + 8261.50ns INFO [00008263] * RD COMPARE * port=0 adr=03 act=DDD3F8A9A485088647 exp=DDD3F8A9A485088647 + 8261.50ns INFO [00008263] Port=0 RD @00 + 8261.50ns INFO [00008263] Port=1 RD @02 + 8262.50ns INFO [00008264] * RD COMPARE * port=1 adr=07 act=9D298B891E7D9E1EF5 exp=9D298B891E7D9E1EF5 + 8262.50ns INFO [00008264] Port=0 RD @07 + 8263.50ns INFO [00008265] * RD COMPARE * port=0 adr=00 act=E739B9DA89BB18A816 exp=E739B9DA89BB18A816 + 8263.50ns INFO [00008265] * RD COMPARE * port=1 adr=02 act=8FFC09EED7AB538DFD exp=8FFC09EED7AB538DFD + 8263.50ns INFO [00008265] Port=1 RD @06 + 8264.50ns INFO [00008266] * RD COMPARE * port=0 adr=07 act=9D298B891E7D9E1EF5 exp=9D298B891E7D9E1EF5 + 8265.50ns INFO [00008267] * RD COMPARE * port=1 adr=06 act=D590A012AD852360C2 exp=D590A012AD852360C2 + 8265.50ns INFO [00008267] Port=0 WR @02=17E7544491EEC653CF + 8265.50ns INFO [00008267] Port=0 RD @00 + 8265.50ns INFO [00008267] Port=1 RD @00 + 8266.50ns INFO [00008268] Port=0 WR @02=A49E7394DB204D99DD + 8267.50ns INFO [00008269] * RD COMPARE * port=0 adr=00 act=E739B9DA89BB18A816 exp=E739B9DA89BB18A816 + 8267.50ns INFO [00008269] * RD COMPARE * port=1 adr=00 act=E739B9DA89BB18A816 exp=E739B9DA89BB18A816 + 8267.50ns INFO [00008269] Port=1 RD @00 + 8268.50ns INFO [00008270] Port=0 WR @01=956BB48357A3801BCB + 8268.50ns INFO [00008270] Port=0 RD @06 + 8269.50ns INFO [00008271] * RD COMPARE * port=1 adr=00 act=E739B9DA89BB18A816 exp=E739B9DA89BB18A816 + 8269.50ns INFO [00008271] Port=0 RD @05 + 8269.50ns INFO [00008271] Port=1 RD @07 + 8270.50ns INFO [00008272] * RD COMPARE * port=0 adr=06 act=D590A012AD852360C2 exp=D590A012AD852360C2 + 8271.50ns INFO [00008273] * RD COMPARE * port=0 adr=05 act=20BA61B5143DDF0759 exp=20BA61B5143DDF0759 + 8271.50ns INFO [00008273] * RD COMPARE * port=1 adr=07 act=9D298B891E7D9E1EF5 exp=9D298B891E7D9E1EF5 + 8271.50ns INFO [00008273] Port=1 RD @05 + 8272.50ns INFO [00008274] Port=0 RD @07 + 8273.50ns INFO [00008275] * RD COMPARE * port=1 adr=05 act=20BA61B5143DDF0759 exp=20BA61B5143DDF0759 + 8273.50ns INFO [00008275] Port=0 RD @02 + 8274.50ns INFO [00008276] * RD COMPARE * port=0 adr=07 act=9D298B891E7D9E1EF5 exp=9D298B891E7D9E1EF5 + 8274.50ns INFO [00008276] Port=1 RD @00 + 8275.50ns INFO [00008277] * RD COMPARE * port=0 adr=02 act=A49E7394DB204D99DD exp=A49E7394DB204D99DD + 8275.50ns INFO [00008277] Port=0 WR @03=EA67D452ACCA74360F + 8276.50ns INFO [00008278] * RD COMPARE * port=1 adr=00 act=E739B9DA89BB18A816 exp=E739B9DA89BB18A816 + 8276.50ns INFO [00008278] Port=0 WR @05=3571CEEFE1C422DECA + 8276.50ns INFO [00008278] Port=0 RD @06 + 8276.50ns INFO [00008278] Port=1 RD @02 + 8277.50ns INFO [00008279] Port=0 WR @07=3D3DC82D16579C7AB4 + 8278.50ns INFO [00008280] * RD COMPARE * port=0 adr=06 act=D590A012AD852360C2 exp=D590A012AD852360C2 + 8278.50ns INFO [00008280] * RD COMPARE * port=1 adr=02 act=A49E7394DB204D99DD exp=A49E7394DB204D99DD + 8278.50ns INFO [00008280] Port=0 RD @02 + 8278.50ns INFO [00008280] Port=1 RD @03 + 8280.50ns INFO [00008282] * RD COMPARE * port=0 adr=02 act=A49E7394DB204D99DD exp=A49E7394DB204D99DD + 8280.50ns INFO [00008282] * RD COMPARE * port=1 adr=03 act=EA67D452ACCA74360F exp=EA67D452ACCA74360F + 8281.50ns INFO [00008283] Port=0 RD @04 + 8281.50ns INFO [00008283] Port=1 RD @06 + 8282.50ns INFO [00008284] Port=0 RD @01 + 8283.50ns INFO [00008285] * RD COMPARE * port=0 adr=04 act=D2A408071A618CC163 exp=D2A408071A618CC163 + 8283.50ns INFO [00008285] * RD COMPARE * port=1 adr=06 act=D590A012AD852360C2 exp=D590A012AD852360C2 + 8283.50ns INFO [00008285] Port=1 RD @02 + 8284.50ns INFO [00008286] * RD COMPARE * port=0 adr=01 act=956BB48357A3801BCB exp=956BB48357A3801BCB + 8284.50ns INFO [00008286] Port=0 WR @02=31C60B7BEDDB1945CF + 8284.50ns INFO [00008286] Port=1 RD @00 + 8285.50ns INFO [00008287] * RD COMPARE * port=1 adr=02 act=A49E7394DB204D99DD exp=A49E7394DB204D99DD + 8285.50ns INFO [00008287] Port=0 WR @03=56F4E31E57CE1DD208 + 8285.50ns INFO [00008287] Port=1 RD @04 + 8286.50ns INFO [00008288] * RD COMPARE * port=1 adr=00 act=E739B9DA89BB18A816 exp=E739B9DA89BB18A816 + 8286.50ns INFO [00008288] Port=0 WR @04=70062D4CD687EB6B6F + 8287.50ns INFO [00008289] * RD COMPARE * port=1 adr=04 act=D2A408071A618CC163 exp=D2A408071A618CC163 + 8289.50ns INFO [00008291] Port=0 WR @06=B653A0C6CF73D8CB56 + 8289.50ns INFO [00008291] Port=1 RD @02 + 8291.50ns INFO [00008293] * RD COMPARE * port=1 adr=02 act=31C60B7BEDDB1945CF exp=31C60B7BEDDB1945CF + 8291.50ns INFO [00008293] Port=0 RD @03 + 8292.50ns INFO [00008294] Port=0 RD @07 + 8293.50ns INFO [00008295] * RD COMPARE * port=0 adr=03 act=56F4E31E57CE1DD208 exp=56F4E31E57CE1DD208 + 8293.50ns INFO [00008295] Port=0 RD @02 + 8294.50ns INFO [00008296] * RD COMPARE * port=0 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8294.50ns INFO [00008296] Port=0 RD @07 + 8295.50ns INFO [00008297] * RD COMPARE * port=0 adr=02 act=31C60B7BEDDB1945CF exp=31C60B7BEDDB1945CF + 8295.50ns INFO [00008297] Port=0 WR @06=BEBFE08075FD9898A7 + 8295.50ns INFO [00008297] Port=1 RD @07 + 8296.50ns INFO [00008298] * RD COMPARE * port=0 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8296.50ns INFO [00008298] Port=0 RD @04 + 8296.50ns INFO [00008298] Port=1 RD @03 + 8297.50ns INFO [00008299] * RD COMPARE * port=1 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8297.50ns INFO [00008299] Port=0 WR @03=D77F5A9B7E92FF24B5 + 8297.50ns INFO [00008299] Port=0 RD @07 + 8297.50ns INFO [00008299] Port=1 RD @02 + 8298.00ns INFO [00008300] [00008300] ...tick... + 8298.50ns INFO [00008300] * RD COMPARE * port=0 adr=04 act=70062D4CD687EB6B6F exp=70062D4CD687EB6B6F + 8298.50ns INFO [00008300] * RD COMPARE * port=1 adr=03 act=56F4E31E57CE1DD208 exp=56F4E31E57CE1DD208 + 8298.50ns INFO [00008300] Port=0 WR @00=11F7034A05EDDADDB6 + 8299.50ns INFO [00008301] * RD COMPARE * port=0 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8299.50ns INFO [00008301] * RD COMPARE * port=1 adr=02 act=31C60B7BEDDB1945CF exp=31C60B7BEDDB1945CF + 8300.50ns INFO [00008302] Port=0 RD @01 + 8300.50ns INFO [00008302] Port=1 RD @02 + 8301.50ns INFO [00008303] Port=0 WR @06=563F90652892190654 + 8302.50ns INFO [00008304] * RD COMPARE * port=0 adr=01 act=956BB48357A3801BCB exp=956BB48357A3801BCB + 8302.50ns INFO [00008304] * RD COMPARE * port=1 adr=02 act=31C60B7BEDDB1945CF exp=31C60B7BEDDB1945CF + 8302.50ns INFO [00008304] Port=0 WR @06=DFC65458BF4BA7A693 + 8304.50ns INFO [00008306] Port=0 WR @06=E6AB37C67548CAE12E + 8304.50ns INFO [00008306] Port=0 RD @03 + 8304.50ns INFO [00008306] Port=1 RD @01 + 8305.50ns INFO [00008307] Port=0 RD @06 + 8306.50ns INFO [00008308] * RD COMPARE * port=0 adr=03 act=D77F5A9B7E92FF24B5 exp=D77F5A9B7E92FF24B5 + 8306.50ns INFO [00008308] * RD COMPARE * port=1 adr=01 act=956BB48357A3801BCB exp=956BB48357A3801BCB + 8306.50ns INFO [00008308] Port=0 RD @04 + 8306.50ns INFO [00008308] Port=1 RD @01 + 8307.50ns INFO [00008309] * RD COMPARE * port=0 adr=06 act=E6AB37C67548CAE12E exp=E6AB37C67548CAE12E + 8307.50ns INFO [00008309] Port=0 RD @06 + 8308.50ns INFO [00008310] * RD COMPARE * port=0 adr=04 act=70062D4CD687EB6B6F exp=70062D4CD687EB6B6F + 8308.50ns INFO [00008310] * RD COMPARE * port=1 adr=01 act=956BB48357A3801BCB exp=956BB48357A3801BCB + 8309.50ns INFO [00008311] * RD COMPARE * port=0 adr=06 act=E6AB37C67548CAE12E exp=E6AB37C67548CAE12E + 8309.50ns INFO [00008311] Port=1 RD @01 + 8310.50ns INFO [00008312] Port=0 RD @01 + 8311.50ns INFO [00008313] * RD COMPARE * port=1 adr=01 act=956BB48357A3801BCB exp=956BB48357A3801BCB + 8312.50ns INFO [00008314] * RD COMPARE * port=0 adr=01 act=956BB48357A3801BCB exp=956BB48357A3801BCB + 8312.50ns INFO [00008314] Port=0 RD @01 + 8313.50ns INFO [00008315] Port=0 WR @04=C1C983F548ECA6FB42 + 8313.50ns INFO [00008315] Port=0 RD @07 + 8313.50ns INFO [00008315] Port=1 RD @07 + 8314.50ns INFO [00008316] * RD COMPARE * port=0 adr=01 act=956BB48357A3801BCB exp=956BB48357A3801BCB + 8314.50ns INFO [00008316] Port=0 WR @01=80FAE42DB834EE7D7B + 8314.50ns INFO [00008316] Port=0 RD @07 + 8315.50ns INFO [00008317] * RD COMPARE * port=0 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8315.50ns INFO [00008317] * RD COMPARE * port=1 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8315.50ns INFO [00008317] Port=1 RD @07 + 8316.50ns INFO [00008318] * RD COMPARE * port=0 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8317.50ns INFO [00008319] * RD COMPARE * port=1 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8317.50ns INFO [00008319] Port=1 RD @03 + 8319.50ns INFO [00008321] * RD COMPARE * port=1 adr=03 act=D77F5A9B7E92FF24B5 exp=D77F5A9B7E92FF24B5 + 8319.50ns INFO [00008321] Port=0 WR @00=D28DA16FBA939520E4 + 8319.50ns INFO [00008321] Port=0 RD @06 + 8319.50ns INFO [00008321] Port=1 RD @03 + 8320.50ns INFO [00008322] Port=0 RD @07 + 8321.50ns INFO [00008323] * RD COMPARE * port=0 adr=06 act=E6AB37C67548CAE12E exp=E6AB37C67548CAE12E + 8321.50ns INFO [00008323] * RD COMPARE * port=1 adr=03 act=D77F5A9B7E92FF24B5 exp=D77F5A9B7E92FF24B5 + 8322.50ns INFO [00008324] * RD COMPARE * port=0 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8322.50ns INFO [00008324] Port=0 WR @05=0FF60A30279C5389CE + 8322.50ns INFO [00008324] Port=0 RD @03 + 8324.50ns INFO [00008326] * RD COMPARE * port=0 adr=03 act=D77F5A9B7E92FF24B5 exp=D77F5A9B7E92FF24B5 + 8324.50ns INFO [00008326] Port=0 WR @06=A1701603E12305F05D + 8325.50ns INFO [00008327] Port=1 RD @05 + 8326.50ns INFO [00008328] Port=1 RD @04 + 8327.50ns INFO [00008329] * RD COMPARE * port=1 adr=05 act=0FF60A30279C5389CE exp=0FF60A30279C5389CE + 8327.50ns INFO [00008329] Port=0 WR @05=6CF776A51FB7D4356A + 8327.50ns INFO [00008329] Port=0 RD @00 + 8327.50ns INFO [00008329] Port=1 RD @03 + 8328.50ns INFO [00008330] * RD COMPARE * port=1 adr=04 act=C1C983F548ECA6FB42 exp=C1C983F548ECA6FB42 + 8328.50ns INFO [00008330] Port=0 WR @03=9F9994B6C26C1B2672 + 8328.50ns INFO [00008330] Port=1 RD @05 + 8329.50ns INFO [00008331] * RD COMPARE * port=0 adr=00 act=D28DA16FBA939520E4 exp=D28DA16FBA939520E4 + 8329.50ns INFO [00008331] * RD COMPARE * port=1 adr=03 act=D77F5A9B7E92FF24B5 exp=D77F5A9B7E92FF24B5 + 8329.50ns INFO [00008331] Port=0 WR @06=43CBE1EEDA883F576D + 8329.50ns INFO [00008331] Port=0 RD @02 + 8330.50ns INFO [00008332] * RD COMPARE * port=1 adr=05 act=6CF776A51FB7D4356A exp=6CF776A51FB7D4356A + 8330.50ns INFO [00008332] Port=0 WR @02=D247D382161557ABA0 + 8330.50ns INFO [00008332] Port=1 RD @06 + 8331.50ns INFO [00008333] * RD COMPARE * port=0 adr=02 act=31C60B7BEDDB1945CF exp=31C60B7BEDDB1945CF + 8331.50ns INFO [00008333] Port=1 RD @07 + 8332.50ns INFO [00008334] * RD COMPARE * port=1 adr=06 act=43CBE1EEDA883F576D exp=43CBE1EEDA883F576D + 8332.50ns INFO [00008334] Port=0 RD @05 + 8333.50ns INFO [00008335] * RD COMPARE * port=1 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8334.50ns INFO [00008336] * RD COMPARE * port=0 adr=05 act=6CF776A51FB7D4356A exp=6CF776A51FB7D4356A + 8335.50ns INFO [00008337] Port=0 WR @00=ADFB39D3EC392624B4 + 8336.50ns INFO [00008338] Port=0 WR @01=B925DA37868AB9ED3D + 8336.50ns INFO [00008338] Port=0 RD @05 + 8337.50ns INFO [00008339] Port=0 WR @01=0E0A513CD1C6C81D33 + 8338.50ns INFO [00008340] * RD COMPARE * port=0 adr=05 act=6CF776A51FB7D4356A exp=6CF776A51FB7D4356A + 8338.50ns INFO [00008340] Port=0 RD @06 + 8338.50ns INFO [00008340] Port=1 RD @01 + 8339.50ns INFO [00008341] Port=0 WR @02=F033E8B889AF688124 + 8339.50ns INFO [00008341] Port=1 RD @01 + 8340.50ns INFO [00008342] * RD COMPARE * port=0 adr=06 act=43CBE1EEDA883F576D exp=43CBE1EEDA883F576D + 8340.50ns INFO [00008342] * RD COMPARE * port=1 adr=01 act=0E0A513CD1C6C81D33 exp=0E0A513CD1C6C81D33 + 8340.50ns INFO [00008342] Port=1 RD @00 + 8341.50ns INFO [00008343] * RD COMPARE * port=1 adr=01 act=0E0A513CD1C6C81D33 exp=0E0A513CD1C6C81D33 + 8341.50ns INFO [00008343] Port=0 RD @04 + 8342.50ns INFO [00008344] * RD COMPARE * port=1 adr=00 act=ADFB39D3EC392624B4 exp=ADFB39D3EC392624B4 + 8342.50ns INFO [00008344] Port=0 WR @00=1211556C44D9EDCB31 + 8342.50ns INFO [00008344] Port=0 RD @04 + 8342.50ns INFO [00008344] Port=1 RD @07 + 8343.50ns INFO [00008345] * RD COMPARE * port=0 adr=04 act=C1C983F548ECA6FB42 exp=C1C983F548ECA6FB42 + 8343.50ns INFO [00008345] Port=0 WR @00=1EAEA1DCFFF5A83D97 + 8343.50ns INFO [00008345] Port=1 RD @07 + 8344.50ns INFO [00008346] * RD COMPARE * port=0 adr=04 act=C1C983F548ECA6FB42 exp=C1C983F548ECA6FB42 + 8344.50ns INFO [00008346] * RD COMPARE * port=1 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8344.50ns INFO [00008346] Port=0 RD @07 + 8345.50ns INFO [00008347] * RD COMPARE * port=1 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8345.50ns INFO [00008347] Port=0 RD @03 + 8346.50ns INFO [00008348] * RD COMPARE * port=0 adr=07 act=3D3DC82D16579C7AB4 exp=3D3DC82D16579C7AB4 + 8346.50ns INFO [00008348] Port=0 WR @04=9E21FD4FDE56FB4B53 + 8346.50ns INFO [00008348] Port=0 RD @01 + 8346.50ns INFO [00008348] Port=1 RD @03 + 8347.50ns INFO [00008349] * RD COMPARE * port=0 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8347.50ns INFO [00008349] Port=1 RD @05 + 8348.50ns INFO [00008350] * RD COMPARE * port=0 adr=01 act=0E0A513CD1C6C81D33 exp=0E0A513CD1C6C81D33 + 8348.50ns INFO [00008350] * RD COMPARE * port=1 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8348.50ns INFO [00008350] Port=0 WR @07=2431A3A63720B40611 + 8349.50ns INFO [00008351] * RD COMPARE * port=1 adr=05 act=6CF776A51FB7D4356A exp=6CF776A51FB7D4356A + 8349.50ns INFO [00008351] Port=0 WR @02=4574CEC4C46534EF4F + 8349.50ns INFO [00008351] Port=0 RD @01 + 8350.50ns INFO [00008352] Port=0 RD @06 + 8350.50ns INFO [00008352] Port=1 RD @01 + 8351.50ns INFO [00008353] * RD COMPARE * port=0 adr=01 act=0E0A513CD1C6C81D33 exp=0E0A513CD1C6C81D33 + 8351.50ns INFO [00008353] Port=1 RD @04 + 8352.50ns INFO [00008354] * RD COMPARE * port=0 adr=06 act=43CBE1EEDA883F576D exp=43CBE1EEDA883F576D + 8352.50ns INFO [00008354] * RD COMPARE * port=1 adr=01 act=0E0A513CD1C6C81D33 exp=0E0A513CD1C6C81D33 + 8352.50ns INFO [00008354] Port=0 WR @02=196242A4697B3614C2 + 8353.50ns INFO [00008355] * RD COMPARE * port=1 adr=04 act=9E21FD4FDE56FB4B53 exp=9E21FD4FDE56FB4B53 + 8354.50ns INFO [00008356] Port=0 RD @04 + 8355.50ns INFO [00008357] Port=0 RD @01 + 8356.50ns INFO [00008358] * RD COMPARE * port=0 adr=04 act=9E21FD4FDE56FB4B53 exp=9E21FD4FDE56FB4B53 + 8357.50ns INFO [00008359] * RD COMPARE * port=0 adr=01 act=0E0A513CD1C6C81D33 exp=0E0A513CD1C6C81D33 + 8357.50ns INFO [00008359] Port=1 RD @02 + 8358.50ns INFO [00008360] Port=0 RD @01 + 8358.50ns INFO [00008360] Port=1 RD @03 + 8359.50ns INFO [00008361] * RD COMPARE * port=1 adr=02 act=196242A4697B3614C2 exp=196242A4697B3614C2 + 8359.50ns INFO [00008361] Port=0 RD @06 + 8359.50ns INFO [00008361] Port=1 RD @03 + 8360.50ns INFO [00008362] * RD COMPARE * port=0 adr=01 act=0E0A513CD1C6C81D33 exp=0E0A513CD1C6C81D33 + 8360.50ns INFO [00008362] * RD COMPARE * port=1 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8360.50ns INFO [00008362] Port=0 WR @00=3858F4F86080DDBFE9 + 8360.50ns INFO [00008362] Port=1 RD @06 + 8361.50ns INFO [00008363] * RD COMPARE * port=0 adr=06 act=43CBE1EEDA883F576D exp=43CBE1EEDA883F576D + 8361.50ns INFO [00008363] * RD COMPARE * port=1 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8361.50ns INFO [00008363] Port=0 WR @00=73602A5BF2847CA11D + 8361.50ns INFO [00008363] Port=1 RD @07 + 8362.50ns INFO [00008364] * RD COMPARE * port=1 adr=06 act=43CBE1EEDA883F576D exp=43CBE1EEDA883F576D + 8362.50ns INFO [00008364] Port=1 RD @00 + 8363.50ns INFO [00008365] * RD COMPARE * port=1 adr=07 act=2431A3A63720B40611 exp=2431A3A63720B40611 + 8363.50ns INFO [00008365] Port=0 WR @00=C302F7F19ED07C51E7 + 8364.50ns INFO [00008366] * RD COMPARE * port=1 adr=00 act=73602A5BF2847CA11D exp=73602A5BF2847CA11D + 8365.50ns INFO [00008367] Port=0 WR @06=B23DDF13CC10306605 + 8365.50ns INFO [00008367] Port=0 RD @04 + 8366.50ns INFO [00008368] Port=0 RD @07 + 8366.50ns INFO [00008368] Port=1 RD @01 + 8367.50ns INFO [00008369] * RD COMPARE * port=0 adr=04 act=9E21FD4FDE56FB4B53 exp=9E21FD4FDE56FB4B53 + 8368.50ns INFO [00008370] * RD COMPARE * port=0 adr=07 act=2431A3A63720B40611 exp=2431A3A63720B40611 + 8368.50ns INFO [00008370] * RD COMPARE * port=1 adr=01 act=0E0A513CD1C6C81D33 exp=0E0A513CD1C6C81D33 + 8368.50ns INFO [00008370] Port=1 RD @07 + 8370.50ns INFO [00008372] * RD COMPARE * port=1 adr=07 act=2431A3A63720B40611 exp=2431A3A63720B40611 + 8370.50ns INFO [00008372] Port=0 WR @04=B8CDBB2F50FCCD0E80 + 8371.50ns INFO [00008373] Port=1 RD @06 + 8373.50ns INFO [00008375] * RD COMPARE * port=1 adr=06 act=B23DDF13CC10306605 exp=B23DDF13CC10306605 + 8373.50ns INFO [00008375] Port=1 RD @03 + 8374.50ns INFO [00008376] Port=0 RD @01 + 8375.50ns INFO [00008377] * RD COMPARE * port=1 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8375.50ns INFO [00008377] Port=0 RD @05 + 8375.50ns INFO [00008377] Port=1 RD @03 + 8376.50ns INFO [00008378] * RD COMPARE * port=0 adr=01 act=0E0A513CD1C6C81D33 exp=0E0A513CD1C6C81D33 + 8376.50ns INFO [00008378] Port=0 WR @01=96E843F326714E3446 + 8377.50ns INFO [00008379] * RD COMPARE * port=0 adr=05 act=6CF776A51FB7D4356A exp=6CF776A51FB7D4356A + 8377.50ns INFO [00008379] * RD COMPARE * port=1 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8378.50ns INFO [00008380] Port=0 RD @05 + 8378.50ns INFO [00008380] Port=1 RD @06 + 8379.50ns INFO [00008381] Port=0 WR @01=D36C998E00DFF2875B + 8380.50ns INFO [00008382] * RD COMPARE * port=0 adr=05 act=6CF776A51FB7D4356A exp=6CF776A51FB7D4356A + 8380.50ns INFO [00008382] * RD COMPARE * port=1 adr=06 act=B23DDF13CC10306605 exp=B23DDF13CC10306605 + 8381.50ns INFO [00008383] Port=0 RD @06 + 8381.50ns INFO [00008383] Port=1 RD @03 + 8382.50ns INFO [00008384] Port=0 WR @00=4115D64176CFB479AD + 8382.50ns INFO [00008384] Port=1 RD @03 + 8383.50ns INFO [00008385] * RD COMPARE * port=0 adr=06 act=B23DDF13CC10306605 exp=B23DDF13CC10306605 + 8383.50ns INFO [00008385] * RD COMPARE * port=1 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8384.50ns INFO [00008386] * RD COMPARE * port=1 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8384.50ns INFO [00008386] Port=0 RD @07 + 8384.50ns INFO [00008386] Port=1 RD @04 + 8386.50ns INFO [00008388] * RD COMPARE * port=0 adr=07 act=2431A3A63720B40611 exp=2431A3A63720B40611 + 8386.50ns INFO [00008388] * RD COMPARE * port=1 adr=04 act=B8CDBB2F50FCCD0E80 exp=B8CDBB2F50FCCD0E80 + 8386.50ns INFO [00008388] Port=1 RD @06 + 8387.50ns INFO [00008389] Port=0 WR @07=14AAD8446E4AF12668 + 8388.50ns INFO [00008390] * RD COMPARE * port=1 adr=06 act=B23DDF13CC10306605 exp=B23DDF13CC10306605 + 8388.50ns INFO [00008390] Port=0 RD @02 + 8388.50ns INFO [00008390] Port=1 RD @02 + 8389.50ns INFO [00008391] Port=0 RD @07 + 8390.50ns INFO [00008392] * RD COMPARE * port=0 adr=02 act=196242A4697B3614C2 exp=196242A4697B3614C2 + 8390.50ns INFO [00008392] * RD COMPARE * port=1 adr=02 act=196242A4697B3614C2 exp=196242A4697B3614C2 + 8390.50ns INFO [00008392] Port=0 RD @00 + 8390.50ns INFO [00008392] Port=1 RD @02 + 8391.50ns INFO [00008393] * RD COMPARE * port=0 adr=07 act=14AAD8446E4AF12668 exp=14AAD8446E4AF12668 + 8392.50ns INFO [00008394] * RD COMPARE * port=0 adr=00 act=4115D64176CFB479AD exp=4115D64176CFB479AD + 8392.50ns INFO [00008394] * RD COMPARE * port=1 adr=02 act=196242A4697B3614C2 exp=196242A4697B3614C2 + 8393.50ns INFO [00008395] Port=0 RD @03 + 8393.50ns INFO [00008395] Port=1 RD @07 + 8394.50ns INFO [00008396] Port=0 WR @06=AC2B123A37145233DB + 8394.50ns INFO [00008396] Port=1 RD @03 + 8395.50ns INFO [00008397] * RD COMPARE * port=0 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8395.50ns INFO [00008397] * RD COMPARE * port=1 adr=07 act=14AAD8446E4AF12668 exp=14AAD8446E4AF12668 + 8395.50ns INFO [00008397] Port=0 WR @02=9E2A151926A15FBD62 + 8395.50ns INFO [00008397] Port=1 RD @03 + 8396.50ns INFO [00008398] * RD COMPARE * port=1 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8396.50ns INFO [00008398] Port=0 WR @05=574C67510CDC38E986 + 8397.50ns INFO [00008399] * RD COMPARE * port=1 adr=03 act=9F9994B6C26C1B2672 exp=9F9994B6C26C1B2672 + 8397.50ns INFO [00008399] Port=0 WR @00=C57A9737C60C159861 + 8397.50ns INFO [00008399] Port=0 RD @06 + 8397.50ns INFO [00008399] Port=1 RD @06 + 8398.00ns INFO [00008400] [00008400] ...tick... + 8399.50ns INFO [00008401] * RD COMPARE * port=0 adr=06 act=AC2B123A37145233DB exp=AC2B123A37145233DB + 8399.50ns INFO [00008401] * RD COMPARE * port=1 adr=06 act=AC2B123A37145233DB exp=AC2B123A37145233DB + 8399.50ns INFO [00008401] Port=1 RD @04 + 8400.50ns INFO [00008402] Port=0 RD @06 + 8401.50ns INFO [00008403] * RD COMPARE * port=1 adr=04 act=B8CDBB2F50FCCD0E80 exp=B8CDBB2F50FCCD0E80 + 8401.50ns INFO [00008403] Port=0 RD @00 + 8402.50ns INFO [00008404] * RD COMPARE * port=0 adr=06 act=AC2B123A37145233DB exp=AC2B123A37145233DB + 8403.50ns INFO [00008405] * RD COMPARE * port=0 adr=00 act=C57A9737C60C159861 exp=C57A9737C60C159861 + 8403.50ns INFO [00008405] Port=0 WR @00=FA03290E0CB5EA186E + 8403.50ns INFO [00008405] Port=1 RD @06 + 8405.50ns INFO [00008407] * RD COMPARE * port=1 adr=06 act=AC2B123A37145233DB exp=AC2B123A37145233DB + 8405.50ns INFO [00008407] Port=0 WR @03=E8B8140ED140729205 + 8406.50ns INFO [00008408] Port=0 RD @00 + 8406.50ns INFO [00008408] Port=1 RD @07 + 8407.50ns INFO [00008409] Port=0 RD @02 + 8408.50ns INFO [00008410] * RD COMPARE * port=0 adr=00 act=FA03290E0CB5EA186E exp=FA03290E0CB5EA186E + 8408.50ns INFO [00008410] * RD COMPARE * port=1 adr=07 act=14AAD8446E4AF12668 exp=14AAD8446E4AF12668 + 8408.50ns INFO [00008410] Port=0 RD @02 + 8409.50ns INFO [00008411] * RD COMPARE * port=0 adr=02 act=9E2A151926A15FBD62 exp=9E2A151926A15FBD62 + 8409.50ns INFO [00008411] Port=0 WR @04=179D1406D1674AE0DB + 8409.50ns INFO [00008411] Port=1 RD @05 + 8410.50ns INFO [00008412] * RD COMPARE * port=0 adr=02 act=9E2A151926A15FBD62 exp=9E2A151926A15FBD62 + 8410.50ns INFO [00008412] Port=1 RD @03 + 8411.50ns INFO [00008413] * RD COMPARE * port=1 adr=05 act=574C67510CDC38E986 exp=574C67510CDC38E986 + 8411.50ns INFO [00008413] Port=1 RD @07 + 8412.50ns INFO [00008414] * RD COMPARE * port=1 adr=03 act=E8B8140ED140729205 exp=E8B8140ED140729205 + 8413.50ns INFO [00008415] * RD COMPARE * port=1 adr=07 act=14AAD8446E4AF12668 exp=14AAD8446E4AF12668 + 8414.50ns INFO [00008416] Port=1 RD @03 + 8415.50ns INFO [00008417] Port=1 RD @00 + 8416.50ns INFO [00008418] * RD COMPARE * port=1 adr=03 act=E8B8140ED140729205 exp=E8B8140ED140729205 + 8416.50ns INFO [00008418] Port=1 RD @02 + 8417.50ns INFO [00008419] * RD COMPARE * port=1 adr=00 act=FA03290E0CB5EA186E exp=FA03290E0CB5EA186E + 8418.50ns INFO [00008420] * RD COMPARE * port=1 adr=02 act=9E2A151926A15FBD62 exp=9E2A151926A15FBD62 + 8418.50ns INFO [00008420] Port=0 WR @04=D56B2D5CA1CEF5C5E5 + 8419.50ns INFO [00008421] Port=0 WR @02=E0882C090DD1B88C91 + 8419.50ns INFO [00008421] Port=1 RD @07 + 8420.50ns INFO [00008422] Port=0 WR @01=577A8B837B210296E7 + 8421.50ns INFO [00008423] * RD COMPARE * port=1 adr=07 act=14AAD8446E4AF12668 exp=14AAD8446E4AF12668 + 8422.50ns INFO [00008424] Port=1 RD @02 + 8424.50ns INFO [00008426] * RD COMPARE * port=1 adr=02 act=E0882C090DD1B88C91 exp=E0882C090DD1B88C91 + 8425.50ns INFO [00008427] Port=0 WR @07=ACCB8E496965D96B6C + 8425.50ns INFO [00008427] Port=0 RD @06 + 8427.50ns INFO [00008429] * RD COMPARE * port=0 adr=06 act=AC2B123A37145233DB exp=AC2B123A37145233DB + 8427.50ns INFO [00008429] Port=0 WR @01=F91EB312555179A956 + 8428.50ns INFO [00008430] Port=1 RD @03 + 8429.50ns INFO [00008431] Port=0 WR @00=B6C49A8005C4E143E6 + 8429.50ns INFO [00008431] Port=0 RD @05 + 8430.50ns INFO [00008432] * RD COMPARE * port=1 adr=03 act=E8B8140ED140729205 exp=E8B8140ED140729205 + 8430.50ns INFO [00008432] Port=0 WR @03=510AFD31CA2E7998A4 + 8431.50ns INFO [00008433] * RD COMPARE * port=0 adr=05 act=574C67510CDC38E986 exp=574C67510CDC38E986 + 8431.50ns INFO [00008433] Port=1 RD @04 + 8433.50ns INFO [00008435] * RD COMPARE * port=1 adr=04 act=D56B2D5CA1CEF5C5E5 exp=D56B2D5CA1CEF5C5E5 + 8433.50ns INFO [00008435] Port=0 RD @06 + 8434.50ns INFO [00008436] Port=0 WR @07=3AB603E977AD8F34FE + 8435.50ns INFO [00008437] * RD COMPARE * port=0 adr=06 act=AC2B123A37145233DB exp=AC2B123A37145233DB + 8435.50ns INFO [00008437] Port=0 RD @03 + 8435.50ns INFO [00008437] Port=1 RD @02 + 8436.50ns INFO [00008438] Port=1 RD @05 + 8437.50ns INFO [00008439] * RD COMPARE * port=0 adr=03 act=510AFD31CA2E7998A4 exp=510AFD31CA2E7998A4 + 8437.50ns INFO [00008439] * RD COMPARE * port=1 adr=02 act=E0882C090DD1B88C91 exp=E0882C090DD1B88C91 + 8437.50ns INFO [00008439] Port=0 WR @06=189E41B5524571F268 + 8437.50ns INFO [00008439] Port=1 RD @01 + 8438.50ns INFO [00008440] * RD COMPARE * port=1 adr=05 act=574C67510CDC38E986 exp=574C67510CDC38E986 + 8438.50ns INFO [00008440] Port=0 WR @00=C3BFECD6DC8925B28D + 8438.50ns INFO [00008440] Port=0 RD @03 + 8439.50ns INFO [00008441] * RD COMPARE * port=1 adr=01 act=F91EB312555179A956 exp=F91EB312555179A956 + 8439.50ns INFO [00008441] Port=1 RD @00 + 8440.50ns INFO [00008442] * RD COMPARE * port=0 adr=03 act=510AFD31CA2E7998A4 exp=510AFD31CA2E7998A4 + 8440.50ns INFO [00008442] Port=1 RD @01 + 8441.50ns INFO [00008443] * RD COMPARE * port=1 adr=00 act=C3BFECD6DC8925B28D exp=C3BFECD6DC8925B28D + 8441.50ns INFO [00008443] Port=0 WR @03=AE27F9940744C0A7A6 + 8442.50ns INFO [00008444] * RD COMPARE * port=1 adr=01 act=F91EB312555179A956 exp=F91EB312555179A956 + 8442.50ns INFO [00008444] Port=0 RD @04 + 8443.50ns INFO [00008445] Port=0 WR @02=87323A9A43FD37341A + 8443.50ns INFO [00008445] Port=1 RD @00 + 8444.50ns INFO [00008446] * RD COMPARE * port=0 adr=04 act=D56B2D5CA1CEF5C5E5 exp=D56B2D5CA1CEF5C5E5 + 8444.50ns INFO [00008446] Port=0 RD @01 + 8445.50ns INFO [00008447] * RD COMPARE * port=1 adr=00 act=C3BFECD6DC8925B28D exp=C3BFECD6DC8925B28D + 8446.50ns INFO [00008448] * RD COMPARE * port=0 adr=01 act=F91EB312555179A956 exp=F91EB312555179A956 + 8446.50ns INFO [00008448] Port=0 WR @06=47C1C62D27D66D8AA0 + 8446.50ns INFO [00008448] Port=0 RD @04 + 8447.50ns INFO [00008449] Port=0 WR @03=7E90C478209AE3C18C + 8447.50ns INFO [00008449] Port=1 RD @00 + 8448.50ns INFO [00008450] * RD COMPARE * port=0 adr=04 act=D56B2D5CA1CEF5C5E5 exp=D56B2D5CA1CEF5C5E5 + 8448.50ns INFO [00008450] Port=0 WR @03=2266901638CD29D12C + 8448.50ns INFO [00008450] Port=0 RD @06 + 8449.50ns INFO [00008451] * RD COMPARE * port=1 adr=00 act=C3BFECD6DC8925B28D exp=C3BFECD6DC8925B28D + 8450.50ns INFO [00008452] * RD COMPARE * port=0 adr=06 act=47C1C62D27D66D8AA0 exp=47C1C62D27D66D8AA0 + 8450.50ns INFO [00008452] Port=0 RD @02 + 8450.50ns INFO [00008452] Port=1 RD @03 + 8451.50ns INFO [00008453] Port=1 RD @03 + 8452.50ns INFO [00008454] * RD COMPARE * port=0 adr=02 act=87323A9A43FD37341A exp=87323A9A43FD37341A + 8452.50ns INFO [00008454] * RD COMPARE * port=1 adr=03 act=2266901638CD29D12C exp=2266901638CD29D12C + 8452.50ns INFO [00008454] Port=0 WR @04=ED81C92CC61322830D + 8452.50ns INFO [00008454] Port=0 RD @06 + 8453.50ns INFO [00008455] * RD COMPARE * port=1 adr=03 act=2266901638CD29D12C exp=2266901638CD29D12C + 8453.50ns INFO [00008455] Port=0 WR @02=2B05D486314D56EB60 + 8453.50ns INFO [00008455] Port=0 RD @03 + 8454.50ns INFO [00008456] * RD COMPARE * port=0 adr=06 act=47C1C62D27D66D8AA0 exp=47C1C62D27D66D8AA0 + 8455.50ns INFO [00008457] * RD COMPARE * port=0 adr=03 act=2266901638CD29D12C exp=2266901638CD29D12C + 8455.50ns INFO [00008457] Port=1 RD @05 + 8456.50ns INFO [00008458] Port=0 RD @05 + 8457.50ns INFO [00008459] * RD COMPARE * port=1 adr=05 act=574C67510CDC38E986 exp=574C67510CDC38E986 + 8457.50ns INFO [00008459] Port=1 RD @05 + 8458.50ns INFO [00008460] * RD COMPARE * port=0 adr=05 act=574C67510CDC38E986 exp=574C67510CDC38E986 + 8459.50ns INFO [00008461] * RD COMPARE * port=1 adr=05 act=574C67510CDC38E986 exp=574C67510CDC38E986 + 8459.50ns INFO [00008461] Port=0 WR @01=68ED10D5B01817D1CF + 8460.50ns INFO [00008462] Port=0 WR @00=B80DCF26BA486D68B7 + 8461.50ns INFO [00008463] Port=0 WR @03=10AF5C0393566CAAEA + 8461.50ns INFO [00008463] Port=1 RD @04 + 8462.50ns INFO [00008464] Port=0 WR @03=55F2CC0EAEA5127875 + 8462.50ns INFO [00008464] Port=0 RD @00 + 8463.50ns INFO [00008465] * RD COMPARE * port=1 adr=04 act=ED81C92CC61322830D exp=ED81C92CC61322830D + 8463.50ns INFO [00008465] Port=1 RD @06 + 8464.50ns INFO [00008466] * RD COMPARE * port=0 adr=00 act=B80DCF26BA486D68B7 exp=B80DCF26BA486D68B7 + 8464.50ns INFO [00008466] Port=0 WR @04=93FAC1B45D013DD397 + 8465.50ns INFO [00008467] * RD COMPARE * port=1 adr=06 act=47C1C62D27D66D8AA0 exp=47C1C62D27D66D8AA0 + 8465.50ns INFO [00008467] Port=0 RD @00 + 8465.50ns INFO [00008467] Port=1 RD @00 + 8466.50ns INFO [00008468] Port=0 WR @00=737683C2A096E769CD + 8467.50ns INFO [00008469] * RD COMPARE * port=0 adr=00 act=B80DCF26BA486D68B7 exp=B80DCF26BA486D68B7 + 8467.50ns INFO [00008469] * RD COMPARE * port=1 adr=00 act=B80DCF26BA486D68B7 exp=B80DCF26BA486D68B7 + 8467.50ns INFO [00008469] Port=0 WR @06=92D2BE58908448CC33 + 8467.50ns INFO [00008469] Port=1 RD @02 + 8468.50ns INFO [00008470] Port=0 RD @00 + 8469.50ns INFO [00008471] * RD COMPARE * port=1 adr=02 act=2B05D486314D56EB60 exp=2B05D486314D56EB60 + 8469.50ns INFO [00008471] Port=0 WR @02=DA9422D7F8D3803D81 + 8470.50ns INFO [00008472] * RD COMPARE * port=0 adr=00 act=737683C2A096E769CD exp=737683C2A096E769CD + 8470.50ns INFO [00008472] Port=1 RD @00 + 8472.50ns INFO [00008474] * RD COMPARE * port=1 adr=00 act=737683C2A096E769CD exp=737683C2A096E769CD + 8472.50ns INFO [00008474] Port=0 WR @01=47833014D9D96F3232 + 8473.50ns INFO [00008475] Port=0 WR @01=B91B5472953EDA1CE3 + 8473.50ns INFO [00008475] Port=0 RD @02 + 8473.50ns INFO [00008475] Port=1 RD @06 + 8474.50ns INFO [00008476] Port=0 WR @07=636E99406666F0905C + 8474.50ns INFO [00008476] Port=0 RD @00 + 8475.50ns INFO [00008477] * RD COMPARE * port=0 adr=02 act=DA9422D7F8D3803D81 exp=DA9422D7F8D3803D81 + 8475.50ns INFO [00008477] * RD COMPARE * port=1 adr=06 act=92D2BE58908448CC33 exp=92D2BE58908448CC33 + 8475.50ns INFO [00008477] Port=0 RD @01 + 8476.50ns INFO [00008478] * RD COMPARE * port=0 adr=00 act=737683C2A096E769CD exp=737683C2A096E769CD + 8476.50ns INFO [00008478] Port=0 WR @04=5EB4C6D32F210CD60F + 8476.50ns INFO [00008478] Port=0 RD @06 + 8476.50ns INFO [00008478] Port=1 RD @00 + 8477.50ns INFO [00008479] * RD COMPARE * port=0 adr=01 act=B91B5472953EDA1CE3 exp=B91B5472953EDA1CE3 + 8477.50ns INFO [00008479] Port=0 WR @00=68B7468A93C9CC7B61 + 8477.50ns INFO [00008479] Port=1 RD @03 + 8478.50ns INFO [00008480] * RD COMPARE * port=0 adr=06 act=92D2BE58908448CC33 exp=92D2BE58908448CC33 + 8478.50ns INFO [00008480] * RD COMPARE * port=1 adr=00 act=737683C2A096E769CD exp=737683C2A096E769CD + 8478.50ns INFO [00008480] Port=1 RD @00 + 8479.50ns INFO [00008481] * RD COMPARE * port=1 adr=03 act=55F2CC0EAEA5127875 exp=55F2CC0EAEA5127875 + 8479.50ns INFO [00008481] Port=0 RD @06 + 8480.50ns INFO [00008482] * RD COMPARE * port=1 adr=00 act=68B7468A93C9CC7B61 exp=68B7468A93C9CC7B61 + 8481.50ns INFO [00008483] * RD COMPARE * port=0 adr=06 act=92D2BE58908448CC33 exp=92D2BE58908448CC33 + 8481.50ns INFO [00008483] Port=0 WR @05=C69BBFCF9577453BF0 + 8482.50ns INFO [00008484] Port=0 RD @04 + 8482.50ns INFO [00008484] Port=1 RD @07 + 8483.50ns INFO [00008485] Port=0 WR @01=A2B98730268778A6D1 + 8483.50ns INFO [00008485] Port=0 RD @03 + 8483.50ns INFO [00008485] Port=1 RD @02 + 8484.50ns INFO [00008486] * RD COMPARE * port=0 adr=04 act=5EB4C6D32F210CD60F exp=5EB4C6D32F210CD60F + 8484.50ns INFO [00008486] * RD COMPARE * port=1 adr=07 act=636E99406666F0905C exp=636E99406666F0905C + 8485.50ns INFO [00008487] * RD COMPARE * port=0 adr=03 act=55F2CC0EAEA5127875 exp=55F2CC0EAEA5127875 + 8485.50ns INFO [00008487] * RD COMPARE * port=1 adr=02 act=DA9422D7F8D3803D81 exp=DA9422D7F8D3803D81 + 8486.50ns INFO [00008488] Port=0 WR @07=826EABFB44043D2E58 + 8486.50ns INFO [00008488] Port=1 RD @05 + 8488.50ns INFO [00008490] * RD COMPARE * port=1 adr=05 act=C69BBFCF9577453BF0 exp=C69BBFCF9577453BF0 + 8488.50ns INFO [00008490] Port=0 RD @00 + 8488.50ns INFO [00008490] Port=1 RD @07 + 8489.50ns INFO [00008491] Port=0 RD @07 + 8490.50ns INFO [00008492] * RD COMPARE * port=0 adr=00 act=68B7468A93C9CC7B61 exp=68B7468A93C9CC7B61 + 8490.50ns INFO [00008492] * RD COMPARE * port=1 adr=07 act=826EABFB44043D2E58 exp=826EABFB44043D2E58 + 8490.50ns INFO [00008492] Port=0 WR @03=3307552043ADE7F81F + 8491.50ns INFO [00008493] * RD COMPARE * port=0 adr=07 act=826EABFB44043D2E58 exp=826EABFB44043D2E58 + 8492.50ns INFO [00008494] Port=0 WR @04=93A32CD7A3992328CB + 8492.50ns INFO [00008494] Port=0 RD @06 + 8492.50ns INFO [00008494] Port=1 RD @02 + 8494.50ns INFO [00008496] * RD COMPARE * port=0 adr=06 act=92D2BE58908448CC33 exp=92D2BE58908448CC33 + 8494.50ns INFO [00008496] * RD COMPARE * port=1 adr=02 act=DA9422D7F8D3803D81 exp=DA9422D7F8D3803D81 + 8494.50ns INFO [00008496] Port=0 WR @04=6F78428847C3DF5B4E + 8494.50ns INFO [00008496] Port=0 RD @03 + 8495.50ns INFO [00008497] Port=0 WR @00=74AC80B20D5F1C6008 + 8495.50ns INFO [00008497] Port=0 RD @04 + 8496.50ns INFO [00008498] * RD COMPARE * port=0 adr=03 act=3307552043ADE7F81F exp=3307552043ADE7F81F + 8496.50ns INFO [00008498] Port=0 RD @03 + 8496.50ns INFO [00008498] Port=1 RD @03 + 8497.50ns INFO [00008499] * RD COMPARE * port=0 adr=04 act=6F78428847C3DF5B4E exp=6F78428847C3DF5B4E + 8497.50ns INFO [00008499] Port=0 WR @02=01F500A199F4CBB751 + 8498.00ns INFO [00008500] [00008500] ...tick... + 8498.50ns INFO [00008500] * RD COMPARE * port=0 adr=03 act=3307552043ADE7F81F exp=3307552043ADE7F81F + 8498.50ns INFO [00008500] * RD COMPARE * port=1 adr=03 act=3307552043ADE7F81F exp=3307552043ADE7F81F + 8499.50ns INFO [00008501] Port=0 WR @06=17E6F61EE9FE9A2166 + 8500.50ns INFO [00008502] Port=1 RD @07 + 8502.50ns INFO [00008504] * RD COMPARE * port=1 adr=07 act=826EABFB44043D2E58 exp=826EABFB44043D2E58 + 8503.50ns INFO [00008505] Port=1 RD @07 + 8504.50ns INFO [00008506] Port=0 RD @06 + 8505.50ns INFO [00008507] * RD COMPARE * port=1 adr=07 act=826EABFB44043D2E58 exp=826EABFB44043D2E58 + 8505.50ns INFO [00008507] Port=0 WR @07=317E2B8091C3A71978 + 8506.50ns INFO [00008508] * RD COMPARE * port=0 adr=06 act=17E6F61EE9FE9A2166 exp=17E6F61EE9FE9A2166 + 8506.50ns INFO [00008508] Port=0 RD @01 + 8506.50ns INFO [00008508] Port=1 RD @07 + 8507.50ns INFO [00008509] Port=0 WR @03=3D14D25F3260CBE8F7 + 8507.50ns INFO [00008509] Port=0 RD @01 + 8508.50ns INFO [00008510] * RD COMPARE * port=0 adr=01 act=A2B98730268778A6D1 exp=A2B98730268778A6D1 + 8508.50ns INFO [00008510] * RD COMPARE * port=1 adr=07 act=317E2B8091C3A71978 exp=317E2B8091C3A71978 + 8508.50ns INFO [00008510] Port=0 RD @04 + 8509.50ns INFO [00008511] * RD COMPARE * port=0 adr=01 act=A2B98730268778A6D1 exp=A2B98730268778A6D1 + 8510.50ns INFO [00008512] * RD COMPARE * port=0 adr=04 act=6F78428847C3DF5B4E exp=6F78428847C3DF5B4E + 8510.50ns INFO [00008512] Port=1 RD @00 + 8511.50ns INFO [00008513] Port=1 RD @07 + 8512.50ns INFO [00008514] * RD COMPARE * port=1 adr=00 act=74AC80B20D5F1C6008 exp=74AC80B20D5F1C6008 + 8512.50ns INFO [00008514] Port=0 RD @03 + 8513.50ns INFO [00008515] * RD COMPARE * port=1 adr=07 act=317E2B8091C3A71978 exp=317E2B8091C3A71978 + 8513.50ns INFO [00008515] Port=0 RD @00 + 8513.50ns INFO [00008515] Port=1 RD @06 + 8514.50ns INFO [00008516] * RD COMPARE * port=0 adr=03 act=3D14D25F3260CBE8F7 exp=3D14D25F3260CBE8F7 + 8514.50ns INFO [00008516] Port=0 WR @02=3BAA0B9E1ED907D72A + 8514.50ns INFO [00008516] Port=0 RD @04 + 8515.50ns INFO [00008517] * RD COMPARE * port=0 adr=00 act=74AC80B20D5F1C6008 exp=74AC80B20D5F1C6008 + 8515.50ns INFO [00008517] * RD COMPARE * port=1 adr=06 act=17E6F61EE9FE9A2166 exp=17E6F61EE9FE9A2166 + 8515.50ns INFO [00008517] Port=0 WR @06=B27C6C4D8A5943C426 + 8515.50ns INFO [00008517] Port=1 RD @01 + 8516.50ns INFO [00008518] * RD COMPARE * port=0 adr=04 act=6F78428847C3DF5B4E exp=6F78428847C3DF5B4E + 8516.50ns INFO [00008518] Port=0 WR @07=DC02CD1D50CB305269 + 8516.50ns INFO [00008518] Port=1 RD @05 + 8517.50ns INFO [00008519] * RD COMPARE * port=1 adr=01 act=A2B98730268778A6D1 exp=A2B98730268778A6D1 + 8517.50ns INFO [00008519] Port=0 WR @00=D40101AAE0ACE89C4D + 8517.50ns INFO [00008519] Port=0 RD @02 + 8518.50ns INFO [00008520] * RD COMPARE * port=1 adr=05 act=C69BBFCF9577453BF0 exp=C69BBFCF9577453BF0 + 8518.50ns INFO [00008520] Port=0 WR @04=AF321858D783B78E6E + 8519.50ns INFO [00008521] * RD COMPARE * port=0 adr=02 act=3BAA0B9E1ED907D72A exp=3BAA0B9E1ED907D72A + 8519.50ns INFO [00008521] Port=0 RD @00 + 8520.50ns INFO [00008522] Port=0 RD @01 + 8521.50ns INFO [00008523] * RD COMPARE * port=0 adr=00 act=D40101AAE0ACE89C4D exp=D40101AAE0ACE89C4D + 8521.50ns INFO [00008523] Port=0 RD @03 + 8522.50ns INFO [00008524] * RD COMPARE * port=0 adr=01 act=A2B98730268778A6D1 exp=A2B98730268778A6D1 + 8523.50ns INFO [00008525] * RD COMPARE * port=0 adr=03 act=3D14D25F3260CBE8F7 exp=3D14D25F3260CBE8F7 + 8523.50ns INFO [00008525] Port=0 WR @00=927BC20BF913C563A7 + 8523.50ns INFO [00008525] Port=1 RD @06 + 8524.50ns INFO [00008526] Port=0 WR @03=2DDE2307BA26C97546 + 8524.50ns INFO [00008526] Port=0 RD @07 + 8525.50ns INFO [00008527] * RD COMPARE * port=1 adr=06 act=B27C6C4D8A5943C426 exp=B27C6C4D8A5943C426 + 8525.50ns INFO [00008527] Port=0 WR @01=51515057E9A2DE80FE + 8526.50ns INFO [00008528] * RD COMPARE * port=0 adr=07 act=DC02CD1D50CB305269 exp=DC02CD1D50CB305269 + 8526.50ns INFO [00008528] Port=0 RD @04 + 8526.50ns INFO [00008528] Port=1 RD @01 + 8527.50ns INFO [00008529] Port=0 RD @00 + 8528.50ns INFO [00008530] * RD COMPARE * port=0 adr=04 act=AF321858D783B78E6E exp=AF321858D783B78E6E + 8528.50ns INFO [00008530] * RD COMPARE * port=1 adr=01 act=51515057E9A2DE80FE exp=51515057E9A2DE80FE + 8528.50ns INFO [00008530] Port=0 RD @03 + 8528.50ns INFO [00008530] Port=1 RD @02 + 8529.50ns INFO [00008531] * RD COMPARE * port=0 adr=00 act=927BC20BF913C563A7 exp=927BC20BF913C563A7 + 8529.50ns INFO [00008531] Port=1 RD @02 + 8530.50ns INFO [00008532] * RD COMPARE * port=0 adr=03 act=2DDE2307BA26C97546 exp=2DDE2307BA26C97546 + 8530.50ns INFO [00008532] * RD COMPARE * port=1 adr=02 act=3BAA0B9E1ED907D72A exp=3BAA0B9E1ED907D72A + 8530.50ns INFO [00008532] Port=0 WR @03=467186C3D9C2F15F07 + 8531.50ns INFO [00008533] * RD COMPARE * port=1 adr=02 act=3BAA0B9E1ED907D72A exp=3BAA0B9E1ED907D72A + 8531.50ns INFO [00008533] Port=0 WR @00=BE5A989E0AFEA8577F + 8531.50ns INFO [00008533] Port=0 RD @05 + 8533.50ns INFO [00008535] * RD COMPARE * port=0 adr=05 act=C69BBFCF9577453BF0 exp=C69BBFCF9577453BF0 + 8533.50ns INFO [00008535] Port=0 WR @07=BA63CD07BFB6351AEA + 8533.50ns INFO [00008535] Port=0 RD @02 + 8533.50ns INFO [00008535] Port=1 RD @03 + 8534.50ns INFO [00008536] Port=0 WR @05=7D58F1A0DC2BC3FA07 + 8535.50ns INFO [00008537] * RD COMPARE * port=0 adr=02 act=3BAA0B9E1ED907D72A exp=3BAA0B9E1ED907D72A + 8535.50ns INFO [00008537] * RD COMPARE * port=1 adr=03 act=467186C3D9C2F15F07 exp=467186C3D9C2F15F07 + 8535.50ns INFO [00008537] Port=0 WR @05=D9B635307251869BEF + 8537.50ns INFO [00008539] Port=0 WR @04=96181CDE87AB28AABB + 8538.50ns INFO [00008540] Port=0 WR @06=B240B313BBB9763795 + 8538.50ns INFO [00008540] Port=0 RD @05 + 8538.50ns INFO [00008540] Port=1 RD @03 + 8539.50ns INFO [00008541] Port=1 RD @07 + 8540.50ns INFO [00008542] * RD COMPARE * port=0 adr=05 act=D9B635307251869BEF exp=D9B635307251869BEF + 8540.50ns INFO [00008542] * RD COMPARE * port=1 adr=03 act=467186C3D9C2F15F07 exp=467186C3D9C2F15F07 + 8541.50ns INFO [00008543] * RD COMPARE * port=1 adr=07 act=BA63CD07BFB6351AEA exp=BA63CD07BFB6351AEA + 8541.50ns INFO [00008543] Port=0 RD @01 + 8541.50ns INFO [00008543] Port=1 RD @03 + 8542.50ns INFO [00008544] Port=1 RD @06 + 8543.50ns INFO [00008545] * RD COMPARE * port=0 adr=01 act=51515057E9A2DE80FE exp=51515057E9A2DE80FE + 8543.50ns INFO [00008545] * RD COMPARE * port=1 adr=03 act=467186C3D9C2F15F07 exp=467186C3D9C2F15F07 + 8543.50ns INFO [00008545] Port=0 WR @00=E6210E76A5932DB763 + 8543.50ns INFO [00008545] Port=0 RD @01 + 8543.50ns INFO [00008545] Port=1 RD @02 + 8544.50ns INFO [00008546] * RD COMPARE * port=1 adr=06 act=B240B313BBB9763795 exp=B240B313BBB9763795 + 8544.50ns INFO [00008546] Port=0 WR @01=3B25FAEBDBDA38C0E4 + 8545.50ns INFO [00008547] * RD COMPARE * port=0 adr=01 act=51515057E9A2DE80FE exp=51515057E9A2DE80FE + 8545.50ns INFO [00008547] * RD COMPARE * port=1 adr=02 act=3BAA0B9E1ED907D72A exp=3BAA0B9E1ED907D72A + 8545.50ns INFO [00008547] Port=0 RD @07 + 8546.50ns INFO [00008548] Port=0 RD @01 + 8546.50ns INFO [00008548] Port=1 RD @05 + 8547.50ns INFO [00008549] * RD COMPARE * port=0 adr=07 act=BA63CD07BFB6351AEA exp=BA63CD07BFB6351AEA + 8548.50ns INFO [00008550] * RD COMPARE * port=0 adr=01 act=3B25FAEBDBDA38C0E4 exp=3B25FAEBDBDA38C0E4 + 8548.50ns INFO [00008550] * RD COMPARE * port=1 adr=05 act=D9B635307251869BEF exp=D9B635307251869BEF + 8548.50ns INFO [00008550] Port=0 WR @07=8AA7C59D19456A01C4 + 8548.50ns INFO [00008550] Port=1 RD @05 + 8549.50ns INFO [00008551] Port=0 RD @06 + 8549.50ns INFO [00008551] Port=1 RD @05 + 8550.50ns INFO [00008552] * RD COMPARE * port=1 adr=05 act=D9B635307251869BEF exp=D9B635307251869BEF + 8551.50ns INFO [00008553] * RD COMPARE * port=0 adr=06 act=B240B313BBB9763795 exp=B240B313BBB9763795 + 8551.50ns INFO [00008553] * RD COMPARE * port=1 adr=05 act=D9B635307251869BEF exp=D9B635307251869BEF + 8551.50ns INFO [00008553] Port=1 RD @03 + 8552.50ns INFO [00008554] Port=1 RD @05 + 8553.50ns INFO [00008555] * RD COMPARE * port=1 adr=03 act=467186C3D9C2F15F07 exp=467186C3D9C2F15F07 + 8553.50ns INFO [00008555] Port=0 RD @06 + 8554.50ns INFO [00008556] * RD COMPARE * port=1 adr=05 act=D9B635307251869BEF exp=D9B635307251869BEF + 8554.50ns INFO [00008556] Port=0 RD @06 + 8555.50ns INFO [00008557] * RD COMPARE * port=0 adr=06 act=B240B313BBB9763795 exp=B240B313BBB9763795 + 8555.50ns INFO [00008557] Port=1 RD @07 + 8556.50ns INFO [00008558] * RD COMPARE * port=0 adr=06 act=B240B313BBB9763795 exp=B240B313BBB9763795 + 8556.50ns INFO [00008558] Port=0 WR @06=4C18DAAD92B9FF5473 + 8556.50ns INFO [00008558] Port=0 RD @00 + 8557.50ns INFO [00008559] * RD COMPARE * port=1 adr=07 act=8AA7C59D19456A01C4 exp=8AA7C59D19456A01C4 + 8557.50ns INFO [00008559] Port=0 RD @05 + 8557.50ns INFO [00008559] Port=1 RD @00 + 8558.50ns INFO [00008560] * RD COMPARE * port=0 adr=00 act=E6210E76A5932DB763 exp=E6210E76A5932DB763 + 8558.50ns INFO [00008560] Port=0 WR @05=ED2EC9E5858EFA50BB + 8559.50ns INFO [00008561] * RD COMPARE * port=0 adr=05 act=D9B635307251869BEF exp=D9B635307251869BEF + 8559.50ns INFO [00008561] * RD COMPARE * port=1 adr=00 act=E6210E76A5932DB763 exp=E6210E76A5932DB763 + 8559.50ns INFO [00008561] Port=0 WR @04=2DB1D6234FBD7B3F0F + 8559.50ns INFO [00008561] Port=1 RD @07 + 8560.50ns INFO [00008562] Port=0 WR @01=A8C777B7BCDF26B6ED + 8560.50ns INFO [00008562] Port=0 RD @06 + 8560.50ns INFO [00008562] Port=1 RD @06 + 8561.50ns INFO [00008563] * RD COMPARE * port=1 adr=07 act=8AA7C59D19456A01C4 exp=8AA7C59D19456A01C4 + 8561.50ns INFO [00008563] Port=0 WR @05=A7A50A5F3B704213B8 + 8562.50ns INFO [00008564] * RD COMPARE * port=0 adr=06 act=4C18DAAD92B9FF5473 exp=4C18DAAD92B9FF5473 + 8562.50ns INFO [00008564] * RD COMPARE * port=1 adr=06 act=4C18DAAD92B9FF5473 exp=4C18DAAD92B9FF5473 + 8562.50ns INFO [00008564] Port=0 WR @02=DEB07B760F923E5DCA + 8562.50ns INFO [00008564] Port=0 RD @01 + 8562.50ns INFO [00008564] Port=1 RD @01 + 8563.50ns INFO [00008565] Port=0 WR @01=BBAE4F38F9F94341FE + 8563.50ns INFO [00008565] Port=1 RD @07 + 8564.50ns INFO [00008566] * RD COMPARE * port=0 adr=01 act=A8C777B7BCDF26B6ED exp=A8C777B7BCDF26B6ED + 8564.50ns INFO [00008566] * RD COMPARE * port=1 adr=01 act=A8C777B7BCDF26B6ED exp=A8C777B7BCDF26B6ED + 8564.50ns INFO [00008566] Port=0 WR @07=8E782C0BCBD2B6FAC2 + 8564.50ns INFO [00008566] Port=0 RD @01 + 8565.50ns INFO [00008567] * RD COMPARE * port=1 adr=07 act=8AA7C59D19456A01C4 exp=8AA7C59D19456A01C4 + 8565.50ns INFO [00008567] Port=0 RD @02 + 8565.50ns INFO [00008567] Port=1 RD @00 + 8566.50ns INFO [00008568] * RD COMPARE * port=0 adr=01 act=BBAE4F38F9F94341FE exp=BBAE4F38F9F94341FE + 8567.50ns INFO [00008569] * RD COMPARE * port=0 adr=02 act=DEB07B760F923E5DCA exp=DEB07B760F923E5DCA + 8567.50ns INFO [00008569] * RD COMPARE * port=1 adr=00 act=E6210E76A5932DB763 exp=E6210E76A5932DB763 + 8568.50ns INFO [00008570] Port=0 WR @04=CF7B62D973FC800A73 + 8569.50ns INFO [00008571] Port=0 WR @00=D315744E6D81EA2A10 + 8569.50ns INFO [00008571] Port=0 RD @06 + 8570.50ns INFO [00008572] Port=1 RD @03 + 8571.50ns INFO [00008573] * RD COMPARE * port=0 adr=06 act=4C18DAAD92B9FF5473 exp=4C18DAAD92B9FF5473 + 8572.50ns INFO [00008574] * RD COMPARE * port=1 adr=03 act=467186C3D9C2F15F07 exp=467186C3D9C2F15F07 + 8572.50ns INFO [00008574] Port=0 WR @06=83BD3328648E4D84FA + 8572.50ns INFO [00008574] Port=1 RD @01 + 8573.50ns INFO [00008575] Port=0 RD @05 + 8574.50ns INFO [00008576] * RD COMPARE * port=1 adr=01 act=BBAE4F38F9F94341FE exp=BBAE4F38F9F94341FE + 8575.50ns INFO [00008577] * RD COMPARE * port=0 adr=05 act=A7A50A5F3B704213B8 exp=A7A50A5F3B704213B8 + 8575.50ns INFO [00008577] Port=1 RD @06 + 8576.50ns INFO [00008578] Port=0 WR @06=CAD0CCB827D3276AF4 + 8577.50ns INFO [00008579] * RD COMPARE * port=1 adr=06 act=83BD3328648E4D84FA exp=83BD3328648E4D84FA + 8578.50ns INFO [00008580] Port=0 WR @01=210180DEBFC0E3CD20 + 8578.50ns INFO [00008580] Port=0 RD @04 + 8579.50ns INFO [00008581] Port=0 WR @00=DA2557B2627A8B5010 + 8579.50ns INFO [00008581] Port=1 RD @02 + 8580.50ns INFO [00008582] * RD COMPARE * port=0 adr=04 act=CF7B62D973FC800A73 exp=CF7B62D973FC800A73 + 8580.50ns INFO [00008582] Port=0 RD @06 + 8580.50ns INFO [00008582] Port=1 RD @00 + 8581.50ns INFO [00008583] * RD COMPARE * port=1 adr=02 act=DEB07B760F923E5DCA exp=DEB07B760F923E5DCA + 8582.50ns INFO [00008584] * RD COMPARE * port=0 adr=06 act=CAD0CCB827D3276AF4 exp=CAD0CCB827D3276AF4 + 8582.50ns INFO [00008584] * RD COMPARE * port=1 adr=00 act=DA2557B2627A8B5010 exp=DA2557B2627A8B5010 + 8584.50ns INFO [00008586] Port=0 WR @05=1547B1E68D2070975F + 8585.50ns INFO [00008587] Port=0 WR @03=7C8EB0750AF63D96B5 + 8586.50ns INFO [00008588] Port=1 RD @05 + 8587.50ns INFO [00008589] Port=0 WR @03=F6988469488E6AFDC9 + 8588.50ns INFO [00008590] * RD COMPARE * port=1 adr=05 act=1547B1E68D2070975F exp=1547B1E68D2070975F + 8588.50ns INFO [00008590] Port=0 RD @07 + 8589.50ns INFO [00008591] Port=0 RD @04 + 8590.50ns INFO [00008592] * RD COMPARE * port=0 adr=07 act=8E782C0BCBD2B6FAC2 exp=8E782C0BCBD2B6FAC2 + 8591.50ns INFO [00008593] * RD COMPARE * port=0 adr=04 act=CF7B62D973FC800A73 exp=CF7B62D973FC800A73 + 8591.50ns INFO [00008593] Port=0 WR @07=205F9CC244FB793DC7 + 8591.50ns INFO [00008593] Port=0 RD @03 + 8591.50ns INFO [00008593] Port=1 RD @00 + 8592.50ns INFO [00008594] Port=1 RD @06 + 8593.50ns INFO [00008595] * RD COMPARE * port=0 adr=03 act=F6988469488E6AFDC9 exp=F6988469488E6AFDC9 + 8593.50ns INFO [00008595] * RD COMPARE * port=1 adr=00 act=DA2557B2627A8B5010 exp=DA2557B2627A8B5010 + 8594.50ns INFO [00008596] * RD COMPARE * port=1 adr=06 act=CAD0CCB827D3276AF4 exp=CAD0CCB827D3276AF4 + 8594.50ns INFO [00008596] Port=0 WR @01=15868278EF6961448B + 8594.50ns INFO [00008596] Port=1 RD @02 + 8595.50ns INFO [00008597] Port=0 WR @00=DB04957CE87E8F2F92 + 8595.50ns INFO [00008597] Port=1 RD @01 + 8596.50ns INFO [00008598] * RD COMPARE * port=1 adr=02 act=DEB07B760F923E5DCA exp=DEB07B760F923E5DCA + 8596.50ns INFO [00008598] Port=0 WR @00=F4053A6461FBE958F6 + 8596.50ns INFO [00008598] Port=0 RD @06 + 8597.50ns INFO [00008599] * RD COMPARE * port=1 adr=01 act=15868278EF6961448B exp=15868278EF6961448B + 8597.50ns INFO [00008599] Port=0 RD @06 + 8598.00ns INFO [00008600] [00008600] ...tick... + 8598.50ns INFO [00008600] * RD COMPARE * port=0 adr=06 act=CAD0CCB827D3276AF4 exp=CAD0CCB827D3276AF4 + 8599.50ns INFO [00008601] * RD COMPARE * port=0 adr=06 act=CAD0CCB827D3276AF4 exp=CAD0CCB827D3276AF4 + 8599.50ns INFO [00008601] Port=0 WR @02=0F5C39FFD87FA8120C + 8600.50ns INFO [00008602] Port=0 WR @00=2C5B310B6CC62B4AB1 + 8602.50ns INFO [00008604] Port=0 WR @05=20E429FE2A4171A659 + 8602.50ns INFO [00008604] Port=0 RD @01 + 8604.50ns INFO [00008606] * RD COMPARE * port=0 adr=01 act=15868278EF6961448B exp=15868278EF6961448B + 8604.50ns INFO [00008606] Port=0 WR @02=640712C0D6BA2A0C22 + 8604.50ns INFO [00008606] Port=1 RD @00 + 8605.50ns INFO [00008607] Port=0 RD @02 + 8606.50ns INFO [00008608] * RD COMPARE * port=1 adr=00 act=2C5B310B6CC62B4AB1 exp=2C5B310B6CC62B4AB1 + 8606.50ns INFO [00008608] Port=1 RD @04 + 8607.50ns INFO [00008609] * RD COMPARE * port=0 adr=02 act=640712C0D6BA2A0C22 exp=640712C0D6BA2A0C22 + 8607.50ns INFO [00008609] Port=0 WR @06=E7E4D1316980B32802 + 8607.50ns INFO [00008609] Port=0 RD @01 + 8607.50ns INFO [00008609] Port=1 RD @02 + 8608.50ns INFO [00008610] * RD COMPARE * port=1 adr=04 act=CF7B62D973FC800A73 exp=CF7B62D973FC800A73 + 8609.50ns INFO [00008611] * RD COMPARE * port=0 adr=01 act=15868278EF6961448B exp=15868278EF6961448B + 8609.50ns INFO [00008611] * RD COMPARE * port=1 adr=02 act=640712C0D6BA2A0C22 exp=640712C0D6BA2A0C22 + 8609.50ns INFO [00008611] Port=0 WR @07=A827C76F3900940047 + 8609.50ns INFO [00008611] Port=1 RD @02 + 8610.50ns INFO [00008612] Port=0 RD @07 + 8611.50ns INFO [00008613] * RD COMPARE * port=1 adr=02 act=640712C0D6BA2A0C22 exp=640712C0D6BA2A0C22 + 8611.50ns INFO [00008613] Port=0 WR @06=0BDEA1E68EF39AF980 + 8611.50ns INFO [00008613] Port=1 RD @02 + 8612.50ns INFO [00008614] * RD COMPARE * port=0 adr=07 act=A827C76F3900940047 exp=A827C76F3900940047 + 8613.50ns INFO [00008615] * RD COMPARE * port=1 adr=02 act=640712C0D6BA2A0C22 exp=640712C0D6BA2A0C22 + 8613.50ns INFO [00008615] Port=0 WR @04=C50E0BCB2344607E3F + 8614.50ns INFO [00008616] Port=1 RD @04 + 8615.50ns INFO [00008617] Port=0 WR @04=B5B1FAD43F7A51BFD4 + 8615.50ns INFO [00008617] Port=1 RD @01 + 8616.50ns INFO [00008618] * RD COMPARE * port=1 adr=04 act=C50E0BCB2344607E3F exp=C50E0BCB2344607E3F + 8616.50ns INFO [00008618] Port=1 RD @06 + 8617.50ns INFO [00008619] * RD COMPARE * port=1 adr=01 act=15868278EF6961448B exp=15868278EF6961448B + 8617.50ns INFO [00008619] Port=0 RD @00 + 8617.50ns INFO [00008619] Port=1 RD @06 + 8618.50ns INFO [00008620] * RD COMPARE * port=1 adr=06 act=0BDEA1E68EF39AF980 exp=0BDEA1E68EF39AF980 + 8619.50ns INFO [00008621] * RD COMPARE * port=0 adr=00 act=2C5B310B6CC62B4AB1 exp=2C5B310B6CC62B4AB1 + 8619.50ns INFO [00008621] * RD COMPARE * port=1 adr=06 act=0BDEA1E68EF39AF980 exp=0BDEA1E68EF39AF980 + 8619.50ns INFO [00008621] Port=0 WR @01=FE0BC04D5D1A895E7A + 8619.50ns INFO [00008621] Port=0 RD @04 + 8620.50ns INFO [00008622] Port=1 RD @01 + 8621.50ns INFO [00008623] * RD COMPARE * port=0 adr=04 act=B5B1FAD43F7A51BFD4 exp=B5B1FAD43F7A51BFD4 + 8621.50ns INFO [00008623] Port=0 WR @07=E993F0BF533ECAA9C9 + 8621.50ns INFO [00008623] Port=0 RD @04 + 8621.50ns INFO [00008623] Port=1 RD @06 + 8622.50ns INFO [00008624] * RD COMPARE * port=1 adr=01 act=FE0BC04D5D1A895E7A exp=FE0BC04D5D1A895E7A + 8622.50ns INFO [00008624] Port=0 WR @00=C496152D0520E2D2FC + 8623.50ns INFO [00008625] * RD COMPARE * port=0 adr=04 act=B5B1FAD43F7A51BFD4 exp=B5B1FAD43F7A51BFD4 + 8623.50ns INFO [00008625] * RD COMPARE * port=1 adr=06 act=0BDEA1E68EF39AF980 exp=0BDEA1E68EF39AF980 + 8623.50ns INFO [00008625] Port=0 RD @05 + 8624.50ns INFO [00008626] Port=0 WR @07=DD7893423DCCA9BA18 + 8625.50ns INFO [00008627] * RD COMPARE * port=0 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8625.50ns INFO [00008627] Port=0 RD @07 + 8626.50ns INFO [00008628] Port=0 RD @07 + 8627.50ns INFO [00008629] * RD COMPARE * port=0 adr=07 act=DD7893423DCCA9BA18 exp=DD7893423DCCA9BA18 + 8627.50ns INFO [00008629] Port=0 WR @00=80E8BB5A21E0DB6270 + 8628.50ns INFO [00008630] * RD COMPARE * port=0 adr=07 act=DD7893423DCCA9BA18 exp=DD7893423DCCA9BA18 + 8628.50ns INFO [00008630] Port=0 WR @01=12A8C25E2FBA76868F + 8628.50ns INFO [00008630] Port=0 RD @05 + 8630.50ns INFO [00008632] * RD COMPARE * port=0 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8631.50ns INFO [00008633] Port=0 WR @07=F73431151E4D05C748 + 8632.50ns INFO [00008634] Port=0 WR @07=F3B37CB75D93959CB1 + 8633.50ns INFO [00008635] Port=0 RD @00 + 8634.50ns INFO [00008636] Port=0 WR @07=832055377D7A598019 + 8634.50ns INFO [00008636] Port=0 RD @05 + 8634.50ns INFO [00008636] Port=1 RD @04 + 8635.50ns INFO [00008637] * RD COMPARE * port=0 adr=00 act=80E8BB5A21E0DB6270 exp=80E8BB5A21E0DB6270 + 8635.50ns INFO [00008637] Port=0 RD @05 + 8635.50ns INFO [00008637] Port=1 RD @02 + 8636.50ns INFO [00008638] * RD COMPARE * port=0 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8636.50ns INFO [00008638] * RD COMPARE * port=1 adr=04 act=B5B1FAD43F7A51BFD4 exp=B5B1FAD43F7A51BFD4 + 8636.50ns INFO [00008638] Port=0 WR @02=FD39CC5827C52E623E + 8636.50ns INFO [00008638] Port=1 RD @04 + 8637.50ns INFO [00008639] * RD COMPARE * port=0 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8637.50ns INFO [00008639] * RD COMPARE * port=1 adr=02 act=640712C0D6BA2A0C22 exp=640712C0D6BA2A0C22 + 8637.50ns INFO [00008639] Port=1 RD @03 + 8638.50ns INFO [00008640] * RD COMPARE * port=1 adr=04 act=B5B1FAD43F7A51BFD4 exp=B5B1FAD43F7A51BFD4 + 8639.50ns INFO [00008641] * RD COMPARE * port=1 adr=03 act=F6988469488E6AFDC9 exp=F6988469488E6AFDC9 + 8639.50ns INFO [00008641] Port=0 WR @07=58E45D19A994038B45 + 8640.50ns INFO [00008642] Port=0 RD @05 + 8642.50ns INFO [00008644] * RD COMPARE * port=0 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8642.50ns INFO [00008644] Port=1 RD @05 + 8643.50ns INFO [00008645] Port=0 WR @00=AA89DEFCE16E9E1BA9 + 8643.50ns INFO [00008645] Port=0 RD @05 + 8643.50ns INFO [00008645] Port=1 RD @03 + 8644.50ns INFO [00008646] * RD COMPARE * port=1 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8644.50ns INFO [00008646] Port=0 WR @00=07F7D2372EE7C26035 + 8644.50ns INFO [00008646] Port=0 RD @01 + 8645.50ns INFO [00008647] * RD COMPARE * port=0 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8645.50ns INFO [00008647] * RD COMPARE * port=1 adr=03 act=F6988469488E6AFDC9 exp=F6988469488E6AFDC9 + 8646.50ns INFO [00008648] * RD COMPARE * port=0 adr=01 act=12A8C25E2FBA76868F exp=12A8C25E2FBA76868F + 8646.50ns INFO [00008648] Port=0 RD @01 + 8647.50ns INFO [00008649] Port=0 WR @04=778E56F3C9C03FE186 + 8648.50ns INFO [00008650] * RD COMPARE * port=0 adr=01 act=12A8C25E2FBA76868F exp=12A8C25E2FBA76868F + 8648.50ns INFO [00008650] Port=1 RD @02 + 8649.50ns INFO [00008651] Port=0 WR @06=E6F04D19650C7E9CF2 + 8649.50ns INFO [00008651] Port=0 RD @03 + 8650.50ns INFO [00008652] * RD COMPARE * port=1 adr=02 act=FD39CC5827C52E623E exp=FD39CC5827C52E623E + 8650.50ns INFO [00008652] Port=0 WR @06=FDDB4F54CE6C9B4A99 + 8650.50ns INFO [00008652] Port=1 RD @01 + 8651.50ns INFO [00008653] * RD COMPARE * port=0 adr=03 act=F6988469488E6AFDC9 exp=F6988469488E6AFDC9 + 8651.50ns INFO [00008653] Port=0 RD @02 + 8652.50ns INFO [00008654] * RD COMPARE * port=1 adr=01 act=12A8C25E2FBA76868F exp=12A8C25E2FBA76868F + 8652.50ns INFO [00008654] Port=0 RD @06 + 8653.50ns INFO [00008655] * RD COMPARE * port=0 adr=02 act=FD39CC5827C52E623E exp=FD39CC5827C52E623E + 8654.50ns INFO [00008656] * RD COMPARE * port=0 adr=06 act=FDDB4F54CE6C9B4A99 exp=FDDB4F54CE6C9B4A99 + 8654.50ns INFO [00008656] Port=0 WR @06=445B8C82234E265B06 + 8654.50ns INFO [00008656] Port=0 RD @04 + 8654.50ns INFO [00008656] Port=1 RD @00 + 8655.50ns INFO [00008657] Port=0 RD @01 + 8656.50ns INFO [00008658] * RD COMPARE * port=0 adr=04 act=778E56F3C9C03FE186 exp=778E56F3C9C03FE186 + 8656.50ns INFO [00008658] * RD COMPARE * port=1 adr=00 act=07F7D2372EE7C26035 exp=07F7D2372EE7C26035 + 8656.50ns INFO [00008658] Port=0 WR @06=95BEE7F7692006B0DF + 8656.50ns INFO [00008658] Port=0 RD @07 + 8657.50ns INFO [00008659] * RD COMPARE * port=0 adr=01 act=12A8C25E2FBA76868F exp=12A8C25E2FBA76868F + 8658.50ns INFO [00008660] * RD COMPARE * port=0 adr=07 act=58E45D19A994038B45 exp=58E45D19A994038B45 + 8658.50ns INFO [00008660] Port=0 RD @02 + 8659.50ns INFO [00008661] Port=0 WR @02=10F3F8EE3FAC346F13 + 8660.50ns INFO [00008662] * RD COMPARE * port=0 adr=02 act=FD39CC5827C52E623E exp=FD39CC5827C52E623E + 8660.50ns INFO [00008662] Port=1 RD @04 + 8661.50ns INFO [00008663] Port=0 WR @06=14FF368231FAE04B80 + 8661.50ns INFO [00008663] Port=1 RD @02 + 8662.50ns INFO [00008664] * RD COMPARE * port=1 adr=04 act=778E56F3C9C03FE186 exp=778E56F3C9C03FE186 + 8662.50ns INFO [00008664] Port=0 WR @03=BADC8AA27A1A6DFDFF + 8662.50ns INFO [00008664] Port=0 RD @04 + 8662.50ns INFO [00008664] Port=1 RD @04 + 8663.50ns INFO [00008665] * RD COMPARE * port=1 adr=02 act=10F3F8EE3FAC346F13 exp=10F3F8EE3FAC346F13 + 8663.50ns INFO [00008665] Port=0 WR @02=ED31E5F1A5B4720404 + 8663.50ns INFO [00008665] Port=0 RD @03 + 8663.50ns INFO [00008665] Port=1 RD @03 + 8664.50ns INFO [00008666] * RD COMPARE * port=0 adr=04 act=778E56F3C9C03FE186 exp=778E56F3C9C03FE186 + 8664.50ns INFO [00008666] * RD COMPARE * port=1 adr=04 act=778E56F3C9C03FE186 exp=778E56F3C9C03FE186 + 8664.50ns INFO [00008666] Port=0 WR @02=CD8B3611E68A8A4CDD + 8665.50ns INFO [00008667] * RD COMPARE * port=0 adr=03 act=BADC8AA27A1A6DFDFF exp=BADC8AA27A1A6DFDFF + 8665.50ns INFO [00008667] * RD COMPARE * port=1 adr=03 act=BADC8AA27A1A6DFDFF exp=BADC8AA27A1A6DFDFF + 8665.50ns INFO [00008667] Port=0 WR @02=34340F36EDB6DB8E80 + 8666.50ns INFO [00008668] Port=1 RD @01 + 8668.50ns INFO [00008670] * RD COMPARE * port=1 adr=01 act=12A8C25E2FBA76868F exp=12A8C25E2FBA76868F + 8668.50ns INFO [00008670] Port=1 RD @05 + 8669.50ns INFO [00008671] Port=0 WR @03=777542AD7207626C7F + 8670.50ns INFO [00008672] * RD COMPARE * port=1 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8670.50ns INFO [00008672] Port=0 WR @04=4E9436ECAEEFEAD86C + 8671.50ns INFO [00008673] Port=0 WR @04=3E725FCCB775242AFF + 8671.50ns INFO [00008673] Port=0 RD @05 + 8672.50ns INFO [00008674] Port=0 WR @03=7E416A90FD05A49F27 + 8672.50ns INFO [00008674] Port=1 RD @06 + 8673.50ns INFO [00008675] * RD COMPARE * port=0 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8673.50ns INFO [00008675] Port=0 RD @05 + 8673.50ns INFO [00008675] Port=1 RD @03 + 8674.50ns INFO [00008676] * RD COMPARE * port=1 adr=06 act=14FF368231FAE04B80 exp=14FF368231FAE04B80 + 8674.50ns INFO [00008676] Port=0 WR @00=C7D1A36AEAAE063913 + 8674.50ns INFO [00008676] Port=0 RD @07 + 8675.50ns INFO [00008677] * RD COMPARE * port=0 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8675.50ns INFO [00008677] * RD COMPARE * port=1 adr=03 act=7E416A90FD05A49F27 exp=7E416A90FD05A49F27 + 8675.50ns INFO [00008677] Port=1 RD @00 + 8676.50ns INFO [00008678] * RD COMPARE * port=0 adr=07 act=58E45D19A994038B45 exp=58E45D19A994038B45 + 8676.50ns INFO [00008678] Port=0 WR @04=79B399901A95BF1231 + 8676.50ns INFO [00008678] Port=1 RD @01 + 8677.50ns INFO [00008679] * RD COMPARE * port=1 adr=00 act=C7D1A36AEAAE063913 exp=C7D1A36AEAAE063913 + 8677.50ns INFO [00008679] Port=1 RD @02 + 8678.50ns INFO [00008680] * RD COMPARE * port=1 adr=01 act=12A8C25E2FBA76868F exp=12A8C25E2FBA76868F + 8678.50ns INFO [00008680] Port=0 WR @06=E0E0FC2359C157E41D + 8678.50ns INFO [00008680] Port=1 RD @02 + 8679.50ns INFO [00008681] * RD COMPARE * port=1 adr=02 act=34340F36EDB6DB8E80 exp=34340F36EDB6DB8E80 + 8680.50ns INFO [00008682] * RD COMPARE * port=1 adr=02 act=34340F36EDB6DB8E80 exp=34340F36EDB6DB8E80 + 8680.50ns INFO [00008682] Port=0 WR @06=070A864368A2566B9A + 8680.50ns INFO [00008682] Port=1 RD @02 + 8682.50ns INFO [00008684] * RD COMPARE * port=1 adr=02 act=34340F36EDB6DB8E80 exp=34340F36EDB6DB8E80 + 8683.50ns INFO [00008685] Port=0 WR @07=924A5FDC933DCAC93D + 8683.50ns INFO [00008685] Port=1 RD @05 + 8684.50ns INFO [00008686] Port=0 WR @00=5C41C4656BEE847D5E + 8684.50ns INFO [00008686] Port=1 RD @01 + 8685.50ns INFO [00008687] * RD COMPARE * port=1 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8686.50ns INFO [00008688] * RD COMPARE * port=1 adr=01 act=12A8C25E2FBA76868F exp=12A8C25E2FBA76868F + 8687.50ns INFO [00008689] Port=0 WR @02=C98374F08E91A3166B + 8687.50ns INFO [00008689] Port=0 RD @00 + 8688.50ns INFO [00008690] Port=0 RD @00 + 8688.50ns INFO [00008690] Port=1 RD @01 + 8689.50ns INFO [00008691] * RD COMPARE * port=0 adr=00 act=5C41C4656BEE847D5E exp=5C41C4656BEE847D5E + 8689.50ns INFO [00008691] Port=0 RD @05 + 8689.50ns INFO [00008691] Port=1 RD @01 + 8690.50ns INFO [00008692] * RD COMPARE * port=0 adr=00 act=5C41C4656BEE847D5E exp=5C41C4656BEE847D5E + 8690.50ns INFO [00008692] * RD COMPARE * port=1 adr=01 act=12A8C25E2FBA76868F exp=12A8C25E2FBA76868F + 8690.50ns INFO [00008692] Port=0 WR @04=9770F247DE11C89AD5 + 8691.50ns INFO [00008693] * RD COMPARE * port=0 adr=05 act=20E429FE2A4171A659 exp=20E429FE2A4171A659 + 8691.50ns INFO [00008693] * RD COMPARE * port=1 adr=01 act=12A8C25E2FBA76868F exp=12A8C25E2FBA76868F + 8693.50ns INFO [00008695] Port=0 RD @02 + 8694.50ns INFO [00008696] Port=0 WR @07=BA97325308E8113244 + 8695.50ns INFO [00008697] * RD COMPARE * port=0 adr=02 act=C98374F08E91A3166B exp=C98374F08E91A3166B + 8696.50ns INFO [00008698] Port=1 RD @00 + 8697.50ns INFO [00008699] Port=0 WR @04=F76D94C43DE5BFEA3E + 8698.00ns INFO [00008700] [00008700] ...tick... + 8698.50ns INFO [00008700] * RD COMPARE * port=1 adr=00 act=5C41C4656BEE847D5E exp=5C41C4656BEE847D5E + 8698.50ns INFO [00008700] Port=1 RD @02 + 8700.50ns INFO [00008702] * RD COMPARE * port=1 adr=02 act=C98374F08E91A3166B exp=C98374F08E91A3166B + 8701.50ns INFO [00008703] Port=1 RD @06 + 8703.50ns INFO [00008705] * RD COMPARE * port=1 adr=06 act=070A864368A2566B9A exp=070A864368A2566B9A + 8703.50ns INFO [00008705] Port=0 RD @00 + 8704.50ns INFO [00008706] Port=0 WR @05=2E5D15C592C81AC3E1 + 8704.50ns INFO [00008706] Port=0 RD @07 + 8705.50ns INFO [00008707] * RD COMPARE * port=0 adr=00 act=5C41C4656BEE847D5E exp=5C41C4656BEE847D5E + 8706.50ns INFO [00008708] * RD COMPARE * port=0 adr=07 act=BA97325308E8113244 exp=BA97325308E8113244 + 8706.50ns INFO [00008708] Port=0 WR @07=823C5CBEC671866CFB + 8706.50ns INFO [00008708] Port=0 RD @03 + 8708.50ns INFO [00008710] * RD COMPARE * port=0 adr=03 act=7E416A90FD05A49F27 exp=7E416A90FD05A49F27 + 8708.50ns INFO [00008710] Port=1 RD @07 + 8709.50ns INFO [00008711] Port=0 WR @03=8C0663EE4EC69E15BA + 8709.50ns INFO [00008711] Port=0 RD @02 + 8709.50ns INFO [00008711] Port=1 RD @01 + 8710.50ns INFO [00008712] * RD COMPARE * port=1 adr=07 act=823C5CBEC671866CFB exp=823C5CBEC671866CFB + 8710.50ns INFO [00008712] Port=0 WR @02=96C1B4F71B4943E3D6 + 8710.50ns INFO [00008712] Port=0 RD @05 + 8711.50ns INFO [00008713] * RD COMPARE * port=0 adr=02 act=C98374F08E91A3166B exp=C98374F08E91A3166B + 8711.50ns INFO [00008713] * RD COMPARE * port=1 adr=01 act=12A8C25E2FBA76868F exp=12A8C25E2FBA76868F + 8711.50ns INFO [00008713] Port=1 RD @06 + 8712.50ns INFO [00008714] * RD COMPARE * port=0 adr=05 act=2E5D15C592C81AC3E1 exp=2E5D15C592C81AC3E1 + 8712.50ns INFO [00008714] Port=0 WR @03=BA2776DE7E69F5C224 + 8712.50ns INFO [00008714] Port=0 RD @06 + 8713.50ns INFO [00008715] * RD COMPARE * port=1 adr=06 act=070A864368A2566B9A exp=070A864368A2566B9A + 8713.50ns INFO [00008715] Port=0 WR @06=F715691E96DF52CBF5 + 8714.50ns INFO [00008716] * RD COMPARE * port=0 adr=06 act=070A864368A2566B9A exp=070A864368A2566B9A + 8714.50ns INFO [00008716] Port=0 WR @01=E5E9D882538F105873 + 8714.50ns INFO [00008716] Port=0 RD @03 + 8714.50ns INFO [00008716] Port=1 RD @06 + 8716.50ns INFO [00008718] * RD COMPARE * port=0 adr=03 act=BA2776DE7E69F5C224 exp=BA2776DE7E69F5C224 + 8716.50ns INFO [00008718] * RD COMPARE * port=1 adr=06 act=F715691E96DF52CBF5 exp=F715691E96DF52CBF5 + 8716.50ns INFO [00008718] Port=0 RD @00 + 8718.50ns INFO [00008720] * RD COMPARE * port=0 adr=00 act=5C41C4656BEE847D5E exp=5C41C4656BEE847D5E + 8718.50ns INFO [00008720] Port=0 WR @07=3C8A70A5FDA76E0ECF + 8720.50ns INFO [00008722] Port=0 WR @00=939ED3CC6D54AF2012 + 8724.50ns INFO [00008726] Port=1 RD @05 + 8725.50ns INFO [00008727] Port=1 RD @04 + 8726.50ns INFO [00008728] * RD COMPARE * port=1 adr=05 act=2E5D15C592C81AC3E1 exp=2E5D15C592C81AC3E1 + 8727.50ns INFO [00008729] * RD COMPARE * port=1 adr=04 act=F76D94C43DE5BFEA3E exp=F76D94C43DE5BFEA3E + 8728.50ns INFO [00008730] Port=1 RD @00 + 8730.50ns INFO [00008732] * RD COMPARE * port=1 adr=00 act=939ED3CC6D54AF2012 exp=939ED3CC6D54AF2012 + 8730.50ns INFO [00008732] Port=1 RD @02 + 8731.50ns INFO [00008733] Port=0 WR @01=EA719C58C38319D5AD + 8731.50ns INFO [00008733] Port=1 RD @04 + 8732.50ns INFO [00008734] * RD COMPARE * port=1 adr=02 act=96C1B4F71B4943E3D6 exp=96C1B4F71B4943E3D6 + 8732.50ns INFO [00008734] Port=0 WR @01=6C3492E3091769BEFB + 8732.50ns INFO [00008734] Port=0 RD @02 + 8733.50ns INFO [00008735] * RD COMPARE * port=1 adr=04 act=F76D94C43DE5BFEA3E exp=F76D94C43DE5BFEA3E + 8733.50ns INFO [00008735] Port=0 WR @07=BE7D4B533F8AEC0643 + 8733.50ns INFO [00008735] Port=0 RD @01 + 8734.50ns INFO [00008736] * RD COMPARE * port=0 adr=02 act=96C1B4F71B4943E3D6 exp=96C1B4F71B4943E3D6 + 8734.50ns INFO [00008736] Port=0 WR @04=FA623035B2D284713F + 8734.50ns INFO [00008736] Port=1 RD @01 + 8735.50ns INFO [00008737] * RD COMPARE * port=0 adr=01 act=6C3492E3091769BEFB exp=6C3492E3091769BEFB + 8735.50ns INFO [00008737] Port=1 RD @03 + 8736.50ns INFO [00008738] * RD COMPARE * port=1 adr=01 act=6C3492E3091769BEFB exp=6C3492E3091769BEFB + 8736.50ns INFO [00008738] Port=0 WR @07=50E70483742AF5D64E + 8737.50ns INFO [00008739] * RD COMPARE * port=1 adr=03 act=BA2776DE7E69F5C224 exp=BA2776DE7E69F5C224 + 8737.50ns INFO [00008739] Port=0 WR @00=27762ED69A3AA45806 + 8737.50ns INFO [00008739] Port=0 RD @03 + 8738.50ns INFO [00008740] Port=0 RD @04 + 8739.50ns INFO [00008741] * RD COMPARE * port=0 adr=03 act=BA2776DE7E69F5C224 exp=BA2776DE7E69F5C224 + 8739.50ns INFO [00008741] Port=0 WR @01=344B8475A287771B6C + 8739.50ns INFO [00008741] Port=0 RD @02 + 8740.50ns INFO [00008742] * RD COMPARE * port=0 adr=04 act=FA623035B2D284713F exp=FA623035B2D284713F + 8740.50ns INFO [00008742] Port=0 RD @04 + 8740.50ns INFO [00008742] Port=1 RD @07 + 8741.50ns INFO [00008743] * RD COMPARE * port=0 adr=02 act=96C1B4F71B4943E3D6 exp=96C1B4F71B4943E3D6 + 8741.50ns INFO [00008743] Port=0 WR @00=3406FEFCB2AE390945 + 8741.50ns INFO [00008743] Port=0 RD @07 + 8741.50ns INFO [00008743] Port=1 RD @05 + 8742.50ns INFO [00008744] * RD COMPARE * port=0 adr=04 act=FA623035B2D284713F exp=FA623035B2D284713F + 8742.50ns INFO [00008744] * RD COMPARE * port=1 adr=07 act=50E70483742AF5D64E exp=50E70483742AF5D64E + 8742.50ns INFO [00008744] Port=1 RD @05 + 8743.50ns INFO [00008745] * RD COMPARE * port=0 adr=07 act=50E70483742AF5D64E exp=50E70483742AF5D64E + 8743.50ns INFO [00008745] * RD COMPARE * port=1 adr=05 act=2E5D15C592C81AC3E1 exp=2E5D15C592C81AC3E1 + 8743.50ns INFO [00008745] Port=0 WR @00=8799A711D3F5DBA68A + 8743.50ns INFO [00008745] Port=0 RD @04 + 8744.50ns INFO [00008746] * RD COMPARE * port=1 adr=05 act=2E5D15C592C81AC3E1 exp=2E5D15C592C81AC3E1 + 8744.50ns INFO [00008746] Port=0 RD @06 + 8745.50ns INFO [00008747] * RD COMPARE * port=0 adr=04 act=FA623035B2D284713F exp=FA623035B2D284713F + 8746.50ns INFO [00008748] * RD COMPARE * port=0 adr=06 act=F715691E96DF52CBF5 exp=F715691E96DF52CBF5 + 8746.50ns INFO [00008748] Port=1 RD @05 + 8747.50ns INFO [00008749] Port=1 RD @01 + 8748.50ns INFO [00008750] * RD COMPARE * port=1 adr=05 act=2E5D15C592C81AC3E1 exp=2E5D15C592C81AC3E1 + 8748.50ns INFO [00008750] Port=1 RD @07 + 8749.50ns INFO [00008751] * RD COMPARE * port=1 adr=01 act=344B8475A287771B6C exp=344B8475A287771B6C + 8749.50ns INFO [00008751] Port=0 RD @01 + 8750.50ns INFO [00008752] * RD COMPARE * port=1 adr=07 act=50E70483742AF5D64E exp=50E70483742AF5D64E + 8751.50ns INFO [00008753] * RD COMPARE * port=0 adr=01 act=344B8475A287771B6C exp=344B8475A287771B6C + 8751.50ns INFO [00008753] Port=0 WR @07=491D34A3AC74357D4C + 8751.50ns INFO [00008753] Port=1 RD @05 + 8752.50ns INFO [00008754] Port=1 RD @04 + 8753.50ns INFO [00008755] * RD COMPARE * port=1 adr=05 act=2E5D15C592C81AC3E1 exp=2E5D15C592C81AC3E1 + 8753.50ns INFO [00008755] Port=0 WR @02=6095BEE414548A35C0 + 8753.50ns INFO [00008755] Port=0 RD @01 + 8753.50ns INFO [00008755] Port=1 RD @07 + 8754.50ns INFO [00008756] * RD COMPARE * port=1 adr=04 act=FA623035B2D284713F exp=FA623035B2D284713F + 8754.50ns INFO [00008756] Port=0 WR @01=2CEE47B7E92C222A7C + 8754.50ns INFO [00008756] Port=0 RD @07 + 8754.50ns INFO [00008756] Port=1 RD @05 + 8755.50ns INFO [00008757] * RD COMPARE * port=0 adr=01 act=344B8475A287771B6C exp=344B8475A287771B6C + 8755.50ns INFO [00008757] * RD COMPARE * port=1 adr=07 act=491D34A3AC74357D4C exp=491D34A3AC74357D4C + 8755.50ns INFO [00008757] Port=0 RD @02 + 8756.50ns INFO [00008758] * RD COMPARE * port=0 adr=07 act=491D34A3AC74357D4C exp=491D34A3AC74357D4C + 8756.50ns INFO [00008758] * RD COMPARE * port=1 adr=05 act=2E5D15C592C81AC3E1 exp=2E5D15C592C81AC3E1 + 8756.50ns INFO [00008758] Port=0 RD @06 + 8757.50ns INFO [00008759] * RD COMPARE * port=0 adr=02 act=6095BEE414548A35C0 exp=6095BEE414548A35C0 + 8757.50ns INFO [00008759] Port=0 RD @03 + 8757.50ns INFO [00008759] Port=1 RD @03 + 8758.50ns INFO [00008760] * RD COMPARE * port=0 adr=06 act=F715691E96DF52CBF5 exp=F715691E96DF52CBF5 + 8759.50ns INFO [00008761] * RD COMPARE * port=0 adr=03 act=BA2776DE7E69F5C224 exp=BA2776DE7E69F5C224 + 8759.50ns INFO [00008761] * RD COMPARE * port=1 adr=03 act=BA2776DE7E69F5C224 exp=BA2776DE7E69F5C224 + 8759.50ns INFO [00008761] Port=0 RD @04 + 8759.50ns INFO [00008761] Port=1 RD @05 + 8760.50ns INFO [00008762] Port=0 RD @03 + 8760.50ns INFO [00008762] Port=1 RD @06 + 8761.50ns INFO [00008763] * RD COMPARE * port=0 adr=04 act=FA623035B2D284713F exp=FA623035B2D284713F + 8761.50ns INFO [00008763] * RD COMPARE * port=1 adr=05 act=2E5D15C592C81AC3E1 exp=2E5D15C592C81AC3E1 + 8762.50ns INFO [00008764] * RD COMPARE * port=0 adr=03 act=BA2776DE7E69F5C224 exp=BA2776DE7E69F5C224 + 8762.50ns INFO [00008764] * RD COMPARE * port=1 adr=06 act=F715691E96DF52CBF5 exp=F715691E96DF52CBF5 + 8762.50ns INFO [00008764] Port=0 WR @05=180FCB5F5F77EE4A46 + 8762.50ns INFO [00008764] Port=1 RD @03 + 8763.50ns INFO [00008765] Port=0 RD @04 + 8763.50ns INFO [00008765] Port=1 RD @07 + 8764.50ns INFO [00008766] * RD COMPARE * port=1 adr=03 act=BA2776DE7E69F5C224 exp=BA2776DE7E69F5C224 + 8764.50ns INFO [00008766] Port=0 WR @00=B50C46A613F0FC7614 + 8764.50ns INFO [00008766] Port=0 RD @07 + 8764.50ns INFO [00008766] Port=1 RD @03 + 8765.50ns INFO [00008767] * RD COMPARE * port=0 adr=04 act=FA623035B2D284713F exp=FA623035B2D284713F + 8765.50ns INFO [00008767] * RD COMPARE * port=1 adr=07 act=491D34A3AC74357D4C exp=491D34A3AC74357D4C + 8766.50ns INFO [00008768] * RD COMPARE * port=0 adr=07 act=491D34A3AC74357D4C exp=491D34A3AC74357D4C + 8766.50ns INFO [00008768] * RD COMPARE * port=1 adr=03 act=BA2776DE7E69F5C224 exp=BA2776DE7E69F5C224 + 8766.50ns INFO [00008768] Port=0 WR @01=E5E3F9E2FA638448FC + 8767.50ns INFO [00008769] Port=0 WR @07=7F3C1BFE317D157DAF + 8767.50ns INFO [00008769] Port=0 RD @00 + 8767.50ns INFO [00008769] Port=1 RD @02 + 8768.50ns INFO [00008770] Port=0 WR @06=BF7208B25B06C955C0 + 8768.50ns INFO [00008770] Port=1 RD @05 + 8769.50ns INFO [00008771] * RD COMPARE * port=0 adr=00 act=B50C46A613F0FC7614 exp=B50C46A613F0FC7614 + 8769.50ns INFO [00008771] * RD COMPARE * port=1 adr=02 act=6095BEE414548A35C0 exp=6095BEE414548A35C0 + 8770.50ns INFO [00008772] * RD COMPARE * port=1 adr=05 act=180FCB5F5F77EE4A46 exp=180FCB5F5F77EE4A46 + 8770.50ns INFO [00008772] Port=1 RD @07 + 8771.50ns INFO [00008773] Port=0 RD @05 + 8771.50ns INFO [00008773] Port=1 RD @02 + 8772.50ns INFO [00008774] * RD COMPARE * port=1 adr=07 act=7F3C1BFE317D157DAF exp=7F3C1BFE317D157DAF + 8773.50ns INFO [00008775] * RD COMPARE * port=0 adr=05 act=180FCB5F5F77EE4A46 exp=180FCB5F5F77EE4A46 + 8773.50ns INFO [00008775] * RD COMPARE * port=1 adr=02 act=6095BEE414548A35C0 exp=6095BEE414548A35C0 + 8773.50ns INFO [00008775] Port=0 WR @07=C3F199D6FC0EE45CB3 + 8773.50ns INFO [00008775] Port=1 RD @06 + 8774.50ns INFO [00008776] Port=0 WR @02=3F9A2A80C9B88C781E + 8774.50ns INFO [00008776] Port=0 RD @06 + 8774.50ns INFO [00008776] Port=1 RD @01 + 8775.50ns INFO [00008777] * RD COMPARE * port=1 adr=06 act=BF7208B25B06C955C0 exp=BF7208B25B06C955C0 + 8775.50ns INFO [00008777] Port=0 WR @02=1ED75E94427191A2AD + 8776.50ns INFO [00008778] * RD COMPARE * port=0 adr=06 act=BF7208B25B06C955C0 exp=BF7208B25B06C955C0 + 8776.50ns INFO [00008778] * RD COMPARE * port=1 adr=01 act=E5E3F9E2FA638448FC exp=E5E3F9E2FA638448FC + 8777.50ns INFO [00008779] Port=0 RD @01 + 8778.50ns INFO [00008780] Port=0 RD @05 + 8779.50ns INFO [00008781] * RD COMPARE * port=0 adr=01 act=E5E3F9E2FA638448FC exp=E5E3F9E2FA638448FC + 8780.50ns INFO [00008782] * RD COMPARE * port=0 adr=05 act=180FCB5F5F77EE4A46 exp=180FCB5F5F77EE4A46 + 8780.50ns INFO [00008782] Port=0 RD @01 + 8782.50ns INFO [00008784] * RD COMPARE * port=0 adr=01 act=E5E3F9E2FA638448FC exp=E5E3F9E2FA638448FC + 8782.50ns INFO [00008784] Port=0 WR @01=FE9AACDD061ED8ABAC + 8783.50ns INFO [00008785] Port=0 WR @07=F1ECC82EE0239F01F5 + 8783.50ns INFO [00008785] Port=1 RD @02 + 8784.50ns INFO [00008786] Port=0 WR @07=85DE743F948587BCE0 + 8784.50ns INFO [00008786] Port=1 RD @04 + 8785.50ns INFO [00008787] * RD COMPARE * port=1 adr=02 act=1ED75E94427191A2AD exp=1ED75E94427191A2AD + 8785.50ns INFO [00008787] Port=0 RD @05 + 8786.50ns INFO [00008788] * RD COMPARE * port=1 adr=04 act=FA623035B2D284713F exp=FA623035B2D284713F + 8786.50ns INFO [00008788] Port=0 RD @04 + 8787.50ns INFO [00008789] * RD COMPARE * port=0 adr=05 act=180FCB5F5F77EE4A46 exp=180FCB5F5F77EE4A46 + 8787.50ns INFO [00008789] Port=1 RD @07 + 8788.50ns INFO [00008790] * RD COMPARE * port=0 adr=04 act=FA623035B2D284713F exp=FA623035B2D284713F + 8789.50ns INFO [00008791] * RD COMPARE * port=1 adr=07 act=85DE743F948587BCE0 exp=85DE743F948587BCE0 + 8789.50ns INFO [00008791] Port=0 WR @02=777AAACCA967D53386 + 8789.50ns INFO [00008791] Port=0 RD @07 + 8789.50ns INFO [00008791] Port=1 RD @00 + 8790.50ns INFO [00008792] Port=0 WR @04=076492E3EFBCD21F46 + 8790.50ns INFO [00008792] Port=1 RD @05 + 8791.50ns INFO [00008793] * RD COMPARE * port=0 adr=07 act=85DE743F948587BCE0 exp=85DE743F948587BCE0 + 8791.50ns INFO [00008793] * RD COMPARE * port=1 adr=00 act=B50C46A613F0FC7614 exp=B50C46A613F0FC7614 + 8791.50ns INFO [00008793] Port=0 WR @02=CD4778C242BD063CCA + 8791.50ns INFO [00008793] Port=1 RD @00 + 8792.50ns INFO [00008794] * RD COMPARE * port=1 adr=05 act=180FCB5F5F77EE4A46 exp=180FCB5F5F77EE4A46 + 8792.50ns INFO [00008794] Port=0 WR @06=4BD6B9864CD846AD18 + 8792.50ns INFO [00008794] Port=0 RD @01 + 8793.50ns INFO [00008795] * RD COMPARE * port=1 adr=00 act=B50C46A613F0FC7614 exp=B50C46A613F0FC7614 + 8793.50ns INFO [00008795] Port=0 WR @01=4D849E52306211F846 + 8794.50ns INFO [00008796] * RD COMPARE * port=0 adr=01 act=FE9AACDD061ED8ABAC exp=FE9AACDD061ED8ABAC + 8795.50ns INFO [00008797] Port=0 WR @07=AE2B35B298B203E77A + 8795.50ns INFO [00008797] Port=0 RD @06 + 8796.50ns INFO [00008798] Port=0 WR @00=32A67ADE05C5D86A8C + 8796.50ns INFO [00008798] Port=1 RD @06 + 8797.50ns INFO [00008799] * RD COMPARE * port=0 adr=06 act=4BD6B9864CD846AD18 exp=4BD6B9864CD846AD18 + 8797.50ns INFO [00008799] Port=0 WR @06=DB61EEB3CC72DBF438 + 8797.50ns INFO [00008799] Port=0 RD @02 + 8797.50ns INFO [00008799] Port=1 RD @07 + 8798.00ns INFO [00008800] [00008800] ...tick... + 8798.50ns INFO [00008800] * RD COMPARE * port=1 adr=06 act=4BD6B9864CD846AD18 exp=4BD6B9864CD846AD18 + 8798.50ns INFO [00008800] Port=1 RD @06 + 8799.50ns INFO [00008801] * RD COMPARE * port=0 adr=02 act=CD4778C242BD063CCA exp=CD4778C242BD063CCA + 8799.50ns INFO [00008801] * RD COMPARE * port=1 adr=07 act=AE2B35B298B203E77A exp=AE2B35B298B203E77A + 8800.50ns INFO [00008802] * RD COMPARE * port=1 adr=06 act=DB61EEB3CC72DBF438 exp=DB61EEB3CC72DBF438 + 8800.50ns INFO [00008802] Port=1 RD @02 + 8801.50ns INFO [00008803] Port=0 WR @05=90BAA899E0611A212C + 8801.50ns INFO [00008803] Port=0 RD @02 + 8802.50ns INFO [00008804] * RD COMPARE * port=1 adr=02 act=CD4778C242BD063CCA exp=CD4778C242BD063CCA + 8802.50ns INFO [00008804] Port=0 WR @03=01C158921EEE1F0310 + 8802.50ns INFO [00008804] Port=1 RD @06 + 8803.50ns INFO [00008805] * RD COMPARE * port=0 adr=02 act=CD4778C242BD063CCA exp=CD4778C242BD063CCA + 8803.50ns INFO [00008805] Port=0 WR @07=60119F9D2E7F0E7159 + 8803.50ns INFO [00008805] Port=0 RD @04 + 8803.50ns INFO [00008805] Port=1 RD @03 + 8804.50ns INFO [00008806] * RD COMPARE * port=1 adr=06 act=DB61EEB3CC72DBF438 exp=DB61EEB3CC72DBF438 + 8804.50ns INFO [00008806] Port=0 RD @00 + 8804.50ns INFO [00008806] Port=1 RD @04 + 8805.50ns INFO [00008807] * RD COMPARE * port=0 adr=04 act=076492E3EFBCD21F46 exp=076492E3EFBCD21F46 + 8805.50ns INFO [00008807] * RD COMPARE * port=1 adr=03 act=01C158921EEE1F0310 exp=01C158921EEE1F0310 + 8805.50ns INFO [00008807] Port=0 RD @07 + 8806.50ns INFO [00008808] * RD COMPARE * port=0 adr=00 act=32A67ADE05C5D86A8C exp=32A67ADE05C5D86A8C + 8806.50ns INFO [00008808] * RD COMPARE * port=1 adr=04 act=076492E3EFBCD21F46 exp=076492E3EFBCD21F46 + 8807.50ns INFO [00008809] * RD COMPARE * port=0 adr=07 act=60119F9D2E7F0E7159 exp=60119F9D2E7F0E7159 + 8807.50ns INFO [00008809] Port=1 RD @05 + 8809.50ns INFO [00008811] * RD COMPARE * port=1 adr=05 act=90BAA899E0611A212C exp=90BAA899E0611A212C + 8809.50ns INFO [00008811] Port=0 WR @04=136D7207DD1A2484A9 + 8810.50ns INFO [00008812] Port=0 RD @00 + 8810.50ns INFO [00008812] Port=1 RD @03 + 8811.50ns INFO [00008813] Port=0 WR @07=F59EE1AC91C7968A88 + 8812.50ns INFO [00008814] * RD COMPARE * port=0 adr=00 act=32A67ADE05C5D86A8C exp=32A67ADE05C5D86A8C + 8812.50ns INFO [00008814] * RD COMPARE * port=1 adr=03 act=01C158921EEE1F0310 exp=01C158921EEE1F0310 + 8813.50ns INFO [00008815] Port=0 WR @07=6AAC7A7E00B8C9BFC1 + 8814.50ns INFO [00008816] Port=0 RD @02 + 8814.50ns INFO [00008816] Port=1 RD @03 + 8816.50ns INFO [00008818] * RD COMPARE * port=0 adr=02 act=CD4778C242BD063CCA exp=CD4778C242BD063CCA + 8816.50ns INFO [00008818] * RD COMPARE * port=1 adr=03 act=01C158921EEE1F0310 exp=01C158921EEE1F0310 + 8816.50ns INFO [00008818] Port=0 WR @01=CEBA2D55642E51268E + 8816.50ns INFO [00008818] Port=0 RD @07 + 8816.50ns INFO [00008818] Port=1 RD @02 + 8817.50ns INFO [00008819] Port=0 WR @03=20791B2DDF98B8144A + 8817.50ns INFO [00008819] Port=1 RD @06 + 8818.50ns INFO [00008820] * RD COMPARE * port=0 adr=07 act=6AAC7A7E00B8C9BFC1 exp=6AAC7A7E00B8C9BFC1 + 8818.50ns INFO [00008820] * RD COMPARE * port=1 adr=02 act=CD4778C242BD063CCA exp=CD4778C242BD063CCA + 8819.50ns INFO [00008821] * RD COMPARE * port=1 adr=06 act=DB61EEB3CC72DBF438 exp=DB61EEB3CC72DBF438 + 8819.50ns INFO [00008821] Port=0 RD @01 + 8820.50ns INFO [00008822] Port=0 RD @04 + 8821.50ns INFO [00008823] * RD COMPARE * port=0 adr=01 act=CEBA2D55642E51268E exp=CEBA2D55642E51268E + 8821.50ns INFO [00008823] Port=0 RD @06 + 8822.50ns INFO [00008824] * RD COMPARE * port=0 adr=04 act=136D7207DD1A2484A9 exp=136D7207DD1A2484A9 + 8822.50ns INFO [00008824] Port=0 WR @02=F2DFCE760A43B747CB + 8822.50ns INFO [00008824] Port=1 RD @01 + 8823.50ns INFO [00008825] * RD COMPARE * port=0 adr=06 act=DB61EEB3CC72DBF438 exp=DB61EEB3CC72DBF438 + 8823.50ns INFO [00008825] Port=0 WR @05=33078C194184ACE3F3 + 8823.50ns INFO [00008825] Port=0 RD @04 + 8823.50ns INFO [00008825] Port=1 RD @06 + 8824.50ns INFO [00008826] * RD COMPARE * port=1 adr=01 act=CEBA2D55642E51268E exp=CEBA2D55642E51268E + 8824.50ns INFO [00008826] Port=0 RD @06 + 8824.50ns INFO [00008826] Port=1 RD @01 + 8825.50ns INFO [00008827] * RD COMPARE * port=0 adr=04 act=136D7207DD1A2484A9 exp=136D7207DD1A2484A9 + 8825.50ns INFO [00008827] * RD COMPARE * port=1 adr=06 act=DB61EEB3CC72DBF438 exp=DB61EEB3CC72DBF438 + 8825.50ns INFO [00008827] Port=0 WR @00=75057CA78F48385C97 + 8826.50ns INFO [00008828] * RD COMPARE * port=0 adr=06 act=DB61EEB3CC72DBF438 exp=DB61EEB3CC72DBF438 + 8826.50ns INFO [00008828] * RD COMPARE * port=1 adr=01 act=CEBA2D55642E51268E exp=CEBA2D55642E51268E + 8826.50ns INFO [00008828] Port=1 RD @04 + 8827.50ns INFO [00008829] Port=0 WR @02=5CA2769C188522EC75 + 8828.50ns INFO [00008830] * RD COMPARE * port=1 adr=04 act=136D7207DD1A2484A9 exp=136D7207DD1A2484A9 + 8828.50ns INFO [00008830] Port=0 WR @01=BAF5D604E7F28E7C59 + 8828.50ns INFO [00008830] Port=0 RD @06 + 8828.50ns INFO [00008830] Port=1 RD @03 + 8829.50ns INFO [00008831] Port=0 RD @02 + 8830.50ns INFO [00008832] * RD COMPARE * port=0 adr=06 act=DB61EEB3CC72DBF438 exp=DB61EEB3CC72DBF438 + 8830.50ns INFO [00008832] * RD COMPARE * port=1 adr=03 act=20791B2DDF98B8144A exp=20791B2DDF98B8144A + 8830.50ns INFO [00008832] Port=1 RD @04 + 8831.50ns INFO [00008833] * RD COMPARE * port=0 adr=02 act=5CA2769C188522EC75 exp=5CA2769C188522EC75 + 8832.50ns INFO [00008834] * RD COMPARE * port=1 adr=04 act=136D7207DD1A2484A9 exp=136D7207DD1A2484A9 + 8832.50ns INFO [00008834] Port=0 RD @03 + 8832.50ns INFO [00008834] Port=1 RD @04 + 8833.50ns INFO [00008835] Port=0 RD @07 + 8833.50ns INFO [00008835] Port=1 RD @05 + 8834.50ns INFO [00008836] * RD COMPARE * port=0 adr=03 act=20791B2DDF98B8144A exp=20791B2DDF98B8144A + 8834.50ns INFO [00008836] * RD COMPARE * port=1 adr=04 act=136D7207DD1A2484A9 exp=136D7207DD1A2484A9 + 8835.50ns INFO [00008837] * RD COMPARE * port=0 adr=07 act=6AAC7A7E00B8C9BFC1 exp=6AAC7A7E00B8C9BFC1 + 8835.50ns INFO [00008837] * RD COMPARE * port=1 adr=05 act=33078C194184ACE3F3 exp=33078C194184ACE3F3 + 8836.50ns INFO [00008838] Port=0 WR @01=65ECA9AA25303105CA + 8836.50ns INFO [00008838] Port=0 RD @00 + 8837.50ns INFO [00008839] Port=0 WR @01=2B281930A017498680 + 8837.50ns INFO [00008839] Port=0 RD @04 + 8838.50ns INFO [00008840] * RD COMPARE * port=0 adr=00 act=75057CA78F48385C97 exp=75057CA78F48385C97 + 8838.50ns INFO [00008840] Port=0 WR @07=6C2453F69D700D090F + 8838.50ns INFO [00008840] Port=1 RD @03 + 8839.50ns INFO [00008841] * RD COMPARE * port=0 adr=04 act=136D7207DD1A2484A9 exp=136D7207DD1A2484A9 + 8839.50ns INFO [00008841] Port=0 WR @02=628EEF23208077D6F2 + 8840.50ns INFO [00008842] * RD COMPARE * port=1 adr=03 act=20791B2DDF98B8144A exp=20791B2DDF98B8144A + 8841.50ns INFO [00008843] Port=0 RD @02 + 8841.50ns INFO [00008843] Port=1 RD @02 + 8842.50ns INFO [00008844] Port=0 WR @07=EECB31E06866B7C9FA + 8843.50ns INFO [00008845] * RD COMPARE * port=0 adr=02 act=628EEF23208077D6F2 exp=628EEF23208077D6F2 + 8843.50ns INFO [00008845] * RD COMPARE * port=1 adr=02 act=628EEF23208077D6F2 exp=628EEF23208077D6F2 + 8844.50ns INFO [00008846] Port=0 RD @00 + 8845.50ns INFO [00008847] Port=0 WR @06=A09296396B1780C289 + 8845.50ns INFO [00008847] Port=1 RD @00 + 8846.50ns INFO [00008848] * RD COMPARE * port=0 adr=00 act=75057CA78F48385C97 exp=75057CA78F48385C97 + 8846.50ns INFO [00008848] Port=1 RD @00 + 8847.50ns INFO [00008849] * RD COMPARE * port=1 adr=00 act=75057CA78F48385C97 exp=75057CA78F48385C97 + 8848.50ns INFO [00008850] * RD COMPARE * port=1 adr=00 act=75057CA78F48385C97 exp=75057CA78F48385C97 + 8848.50ns INFO [00008850] Port=0 RD @05 + 8849.50ns INFO [00008851] Port=0 RD @02 + 8850.50ns INFO [00008852] * RD COMPARE * port=0 adr=05 act=33078C194184ACE3F3 exp=33078C194184ACE3F3 + 8851.50ns INFO [00008853] * RD COMPARE * port=0 adr=02 act=628EEF23208077D6F2 exp=628EEF23208077D6F2 + 8851.50ns INFO [00008853] Port=0 RD @00 + 8852.50ns INFO [00008854] Port=0 RD @07 + 8852.50ns INFO [00008854] Port=1 RD @01 + 8853.50ns INFO [00008855] * RD COMPARE * port=0 adr=00 act=75057CA78F48385C97 exp=75057CA78F48385C97 + 8853.50ns INFO [00008855] Port=0 RD @07 + 8853.50ns INFO [00008855] Port=1 RD @03 + 8854.50ns INFO [00008856] * RD COMPARE * port=0 adr=07 act=EECB31E06866B7C9FA exp=EECB31E06866B7C9FA + 8854.50ns INFO [00008856] * RD COMPARE * port=1 adr=01 act=2B281930A017498680 exp=2B281930A017498680 + 8855.50ns INFO [00008857] * RD COMPARE * port=0 adr=07 act=EECB31E06866B7C9FA exp=EECB31E06866B7C9FA + 8855.50ns INFO [00008857] * RD COMPARE * port=1 adr=03 act=20791B2DDF98B8144A exp=20791B2DDF98B8144A + 8856.50ns INFO [00008858] Port=0 RD @00 + 8856.50ns INFO [00008858] Port=1 RD @07 + 8857.50ns INFO [00008859] Port=1 RD @02 + 8858.50ns INFO [00008860] * RD COMPARE * port=0 adr=00 act=75057CA78F48385C97 exp=75057CA78F48385C97 + 8858.50ns INFO [00008860] * RD COMPARE * port=1 adr=07 act=EECB31E06866B7C9FA exp=EECB31E06866B7C9FA + 8859.50ns INFO [00008861] * RD COMPARE * port=1 adr=02 act=628EEF23208077D6F2 exp=628EEF23208077D6F2 + 8859.50ns INFO [00008861] Port=0 WR @00=AE2AD7BA719D0200B1 + 8860.50ns INFO [00008862] Port=0 RD @03 + 8861.50ns INFO [00008863] Port=0 WR @05=04E1B6EC3B52969100 + 8861.50ns INFO [00008863] Port=0 RD @02 + 8861.50ns INFO [00008863] Port=1 RD @02 + 8862.50ns INFO [00008864] * RD COMPARE * port=0 adr=03 act=20791B2DDF98B8144A exp=20791B2DDF98B8144A + 8862.50ns INFO [00008864] Port=1 RD @03 + 8863.50ns INFO [00008865] * RD COMPARE * port=0 adr=02 act=628EEF23208077D6F2 exp=628EEF23208077D6F2 + 8863.50ns INFO [00008865] * RD COMPARE * port=1 adr=02 act=628EEF23208077D6F2 exp=628EEF23208077D6F2 + 8863.50ns INFO [00008865] Port=0 WR @06=F33F03A18F8BBE4DF1 + 8863.50ns INFO [00008865] Port=1 RD @04 + 8864.50ns INFO [00008866] * RD COMPARE * port=1 adr=03 act=20791B2DDF98B8144A exp=20791B2DDF98B8144A + 8864.50ns INFO [00008866] Port=0 WR @07=DFDF09550D5B771692 + 8864.50ns INFO [00008866] Port=0 RD @04 + 8864.50ns INFO [00008866] Port=1 RD @05 + 8865.50ns INFO [00008867] * RD COMPARE * port=1 adr=04 act=136D7207DD1A2484A9 exp=136D7207DD1A2484A9 + 8865.50ns INFO [00008867] Port=0 WR @06=1AC08F1D2DB6B50B2C + 8866.50ns INFO [00008868] * RD COMPARE * port=0 adr=04 act=136D7207DD1A2484A9 exp=136D7207DD1A2484A9 + 8866.50ns INFO [00008868] * RD COMPARE * port=1 adr=05 act=04E1B6EC3B52969100 exp=04E1B6EC3B52969100 + 8867.50ns INFO [00008869] Port=0 RD @03 + 8868.50ns INFO [00008870] Port=0 WR @02=44B83AF144380FA777 + 8868.50ns INFO [00008870] Port=0 RD @04 + 8868.50ns INFO [00008870] Port=1 RD @06 + 8869.50ns INFO [00008871] * RD COMPARE * port=0 adr=03 act=20791B2DDF98B8144A exp=20791B2DDF98B8144A + 8869.50ns INFO [00008871] Port=0 RD @07 + 8869.50ns INFO [00008871] Port=1 RD @00 + 8870.50ns INFO [00008872] * RD COMPARE * port=0 adr=04 act=136D7207DD1A2484A9 exp=136D7207DD1A2484A9 + 8870.50ns INFO [00008872] * RD COMPARE * port=1 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8870.50ns INFO [00008872] Port=0 WR @00=C7C0A9563FA7B863CD + 8870.50ns INFO [00008872] Port=0 RD @06 + 8871.50ns INFO [00008873] * RD COMPARE * port=0 adr=07 act=DFDF09550D5B771692 exp=DFDF09550D5B771692 + 8871.50ns INFO [00008873] * RD COMPARE * port=1 adr=00 act=AE2AD7BA719D0200B1 exp=AE2AD7BA719D0200B1 + 8871.50ns INFO [00008873] Port=0 RD @07 + 8872.50ns INFO [00008874] * RD COMPARE * port=0 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8872.50ns INFO [00008874] Port=0 WR @03=D570D6CACDC9BB1061 + 8873.50ns INFO [00008875] * RD COMPARE * port=0 adr=07 act=DFDF09550D5B771692 exp=DFDF09550D5B771692 + 8874.50ns INFO [00008876] Port=1 RD @05 + 8875.50ns INFO [00008877] Port=0 WR @00=3A82326A2A2F972113 + 8875.50ns INFO [00008877] Port=1 RD @07 + 8876.50ns INFO [00008878] * RD COMPARE * port=1 adr=05 act=04E1B6EC3B52969100 exp=04E1B6EC3B52969100 + 8876.50ns INFO [00008878] Port=0 WR @03=50D0F97D94E333CEC6 + 8876.50ns INFO [00008878] Port=0 RD @00 + 8877.50ns INFO [00008879] * RD COMPARE * port=1 adr=07 act=DFDF09550D5B771692 exp=DFDF09550D5B771692 + 8877.50ns INFO [00008879] Port=1 RD @05 + 8878.50ns INFO [00008880] * RD COMPARE * port=0 adr=00 act=3A82326A2A2F972113 exp=3A82326A2A2F972113 + 8878.50ns INFO [00008880] Port=0 WR @04=52D077F521140ABEC7 + 8878.50ns INFO [00008880] Port=1 RD @06 + 8879.50ns INFO [00008881] * RD COMPARE * port=1 adr=05 act=04E1B6EC3B52969100 exp=04E1B6EC3B52969100 + 8879.50ns INFO [00008881] Port=0 RD @05 + 8880.50ns INFO [00008882] * RD COMPARE * port=1 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8880.50ns INFO [00008882] Port=0 RD @05 + 8881.50ns INFO [00008883] * RD COMPARE * port=0 adr=05 act=04E1B6EC3B52969100 exp=04E1B6EC3B52969100 + 8881.50ns INFO [00008883] Port=0 RD @06 + 8881.50ns INFO [00008883] Port=1 RD @01 + 8882.50ns INFO [00008884] * RD COMPARE * port=0 adr=05 act=04E1B6EC3B52969100 exp=04E1B6EC3B52969100 + 8882.50ns INFO [00008884] Port=0 WR @02=1600B84869748EA773 + 8883.50ns INFO [00008885] * RD COMPARE * port=0 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8883.50ns INFO [00008885] * RD COMPARE * port=1 adr=01 act=2B281930A017498680 exp=2B281930A017498680 + 8884.50ns INFO [00008886] Port=0 WR @07=09762B11524737E501 + 8885.50ns INFO [00008887] Port=0 RD @03 + 8886.50ns INFO [00008888] Port=1 RD @02 + 8887.50ns INFO [00008889] * RD COMPARE * port=0 adr=03 act=50D0F97D94E333CEC6 exp=50D0F97D94E333CEC6 + 8888.50ns INFO [00008890] * RD COMPARE * port=1 adr=02 act=1600B84869748EA773 exp=1600B84869748EA773 + 8888.50ns INFO [00008890] Port=1 RD @00 + 8889.50ns INFO [00008891] Port=0 RD @07 + 8890.50ns INFO [00008892] * RD COMPARE * port=1 adr=00 act=3A82326A2A2F972113 exp=3A82326A2A2F972113 + 8890.50ns INFO [00008892] Port=1 RD @00 + 8891.50ns INFO [00008893] * RD COMPARE * port=0 adr=07 act=09762B11524737E501 exp=09762B11524737E501 + 8892.50ns INFO [00008894] * RD COMPARE * port=1 adr=00 act=3A82326A2A2F972113 exp=3A82326A2A2F972113 + 8892.50ns INFO [00008894] Port=1 RD @06 + 8893.50ns INFO [00008895] Port=0 RD @01 + 8893.50ns INFO [00008895] Port=1 RD @04 + 8894.50ns INFO [00008896] * RD COMPARE * port=1 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8894.50ns INFO [00008896] Port=1 RD @04 + 8895.50ns INFO [00008897] * RD COMPARE * port=0 adr=01 act=2B281930A017498680 exp=2B281930A017498680 + 8895.50ns INFO [00008897] * RD COMPARE * port=1 adr=04 act=52D077F521140ABEC7 exp=52D077F521140ABEC7 + 8895.50ns INFO [00008897] Port=0 WR @02=83A6373E572D3EE2C3 + 8895.50ns INFO [00008897] Port=0 RD @05 + 8896.50ns INFO [00008898] * RD COMPARE * port=1 adr=04 act=52D077F521140ABEC7 exp=52D077F521140ABEC7 + 8897.50ns INFO [00008899] * RD COMPARE * port=0 adr=05 act=04E1B6EC3B52969100 exp=04E1B6EC3B52969100 + 8897.50ns INFO [00008899] Port=0 RD @01 + 8897.50ns INFO [00008899] Port=1 RD @00 + 8898.00ns INFO [00008900] [00008900] ...tick... + 8898.50ns INFO [00008900] Port=0 WR @05=6269057914CFCBC5FB + 8898.50ns INFO [00008900] Port=1 RD @00 + 8899.50ns INFO [00008901] * RD COMPARE * port=0 adr=01 act=2B281930A017498680 exp=2B281930A017498680 + 8899.50ns INFO [00008901] * RD COMPARE * port=1 adr=00 act=3A82326A2A2F972113 exp=3A82326A2A2F972113 + 8900.50ns INFO [00008902] * RD COMPARE * port=1 adr=00 act=3A82326A2A2F972113 exp=3A82326A2A2F972113 + 8900.50ns INFO [00008902] Port=0 RD @01 + 8900.50ns INFO [00008902] Port=1 RD @07 + 8901.50ns INFO [00008903] Port=0 RD @06 + 8902.50ns INFO [00008904] * RD COMPARE * port=0 adr=01 act=2B281930A017498680 exp=2B281930A017498680 + 8902.50ns INFO [00008904] * RD COMPARE * port=1 adr=07 act=09762B11524737E501 exp=09762B11524737E501 + 8902.50ns INFO [00008904] Port=0 WR @02=554CD46D96CC81DE7C + 8902.50ns INFO [00008904] Port=1 RD @06 + 8903.50ns INFO [00008905] * RD COMPARE * port=0 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8904.50ns INFO [00008906] * RD COMPARE * port=1 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8904.50ns INFO [00008906] Port=0 WR @02=D2E2F298B9567CC89D + 8904.50ns INFO [00008906] Port=1 RD @07 + 8905.50ns INFO [00008907] Port=0 WR @00=1AA463DB063686A02C + 8905.50ns INFO [00008907] Port=1 RD @06 + 8906.50ns INFO [00008908] * RD COMPARE * port=1 adr=07 act=09762B11524737E501 exp=09762B11524737E501 + 8906.50ns INFO [00008908] Port=0 RD @06 + 8906.50ns INFO [00008908] Port=1 RD @03 + 8907.50ns INFO [00008909] * RD COMPARE * port=1 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8907.50ns INFO [00008909] Port=0 RD @01 + 8907.50ns INFO [00008909] Port=1 RD @02 + 8908.50ns INFO [00008910] * RD COMPARE * port=0 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8908.50ns INFO [00008910] * RD COMPARE * port=1 adr=03 act=50D0F97D94E333CEC6 exp=50D0F97D94E333CEC6 + 8909.50ns INFO [00008911] * RD COMPARE * port=0 adr=01 act=2B281930A017498680 exp=2B281930A017498680 + 8909.50ns INFO [00008911] * RD COMPARE * port=1 adr=02 act=D2E2F298B9567CC89D exp=D2E2F298B9567CC89D + 8910.50ns INFO [00008912] Port=0 WR @00=39CF2BF46B1D4B43A5 + 8910.50ns INFO [00008912] Port=1 RD @01 + 8912.50ns INFO [00008914] * RD COMPARE * port=1 adr=01 act=2B281930A017498680 exp=2B281930A017498680 + 8912.50ns INFO [00008914] Port=0 RD @03 + 8912.50ns INFO [00008914] Port=1 RD @02 + 8913.50ns INFO [00008915] Port=0 RD @06 + 8913.50ns INFO [00008915] Port=1 RD @01 + 8914.50ns INFO [00008916] * RD COMPARE * port=0 adr=03 act=50D0F97D94E333CEC6 exp=50D0F97D94E333CEC6 + 8914.50ns INFO [00008916] * RD COMPARE * port=1 adr=02 act=D2E2F298B9567CC89D exp=D2E2F298B9567CC89D + 8914.50ns INFO [00008916] Port=0 RD @06 + 8914.50ns INFO [00008916] Port=1 RD @06 + 8915.50ns INFO [00008917] * RD COMPARE * port=0 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8915.50ns INFO [00008917] * RD COMPARE * port=1 adr=01 act=2B281930A017498680 exp=2B281930A017498680 + 8915.50ns INFO [00008917] Port=0 RD @05 + 8915.50ns INFO [00008917] Port=1 RD @04 + 8916.50ns INFO [00008918] * RD COMPARE * port=0 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8916.50ns INFO [00008918] * RD COMPARE * port=1 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8916.50ns INFO [00008918] Port=0 WR @00=E4CCE13146857E5AD7 + 8916.50ns INFO [00008918] Port=1 RD @02 + 8917.50ns INFO [00008919] * RD COMPARE * port=0 adr=05 act=6269057914CFCBC5FB exp=6269057914CFCBC5FB + 8917.50ns INFO [00008919] * RD COMPARE * port=1 adr=04 act=52D077F521140ABEC7 exp=52D077F521140ABEC7 + 8917.50ns INFO [00008919] Port=0 WR @00=74A2A46EFC5597493B + 8917.50ns INFO [00008919] Port=0 RD @02 + 8918.50ns INFO [00008920] * RD COMPARE * port=1 adr=02 act=D2E2F298B9567CC89D exp=D2E2F298B9567CC89D + 8918.50ns INFO [00008920] Port=0 WR @01=D63B14957795C8C572 + 8918.50ns INFO [00008920] Port=0 RD @04 + 8918.50ns INFO [00008920] Port=1 RD @07 + 8919.50ns INFO [00008921] * RD COMPARE * port=0 adr=02 act=D2E2F298B9567CC89D exp=D2E2F298B9567CC89D + 8919.50ns INFO [00008921] Port=0 RD @04 + 8920.50ns INFO [00008922] * RD COMPARE * port=0 adr=04 act=52D077F521140ABEC7 exp=52D077F521140ABEC7 + 8920.50ns INFO [00008922] * RD COMPARE * port=1 adr=07 act=09762B11524737E501 exp=09762B11524737E501 + 8921.50ns INFO [00008923] * RD COMPARE * port=0 adr=04 act=52D077F521140ABEC7 exp=52D077F521140ABEC7 + 8921.50ns INFO [00008923] Port=0 RD @02 + 8922.50ns INFO [00008924] Port=0 RD @06 + 8923.50ns INFO [00008925] * RD COMPARE * port=0 adr=02 act=D2E2F298B9567CC89D exp=D2E2F298B9567CC89D + 8923.50ns INFO [00008925] Port=0 RD @04 + 8924.50ns INFO [00008926] * RD COMPARE * port=0 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8924.50ns INFO [00008926] Port=0 RD @01 + 8925.50ns INFO [00008927] * RD COMPARE * port=0 adr=04 act=52D077F521140ABEC7 exp=52D077F521140ABEC7 + 8925.50ns INFO [00008927] Port=1 RD @06 + 8926.50ns INFO [00008928] * RD COMPARE * port=0 adr=01 act=D63B14957795C8C572 exp=D63B14957795C8C572 + 8926.50ns INFO [00008928] Port=0 WR @00=8A4C25DE5633F3DE89 + 8927.50ns INFO [00008929] * RD COMPARE * port=1 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8927.50ns INFO [00008929] Port=0 RD @05 + 8928.50ns INFO [00008930] Port=1 RD @06 + 8929.50ns INFO [00008931] * RD COMPARE * port=0 adr=05 act=6269057914CFCBC5FB exp=6269057914CFCBC5FB + 8929.50ns INFO [00008931] Port=1 RD @00 + 8930.50ns INFO [00008932] * RD COMPARE * port=1 adr=06 act=1AC08F1D2DB6B50B2C exp=1AC08F1D2DB6B50B2C + 8930.50ns INFO [00008932] Port=0 WR @06=9446F2C2809472EA19 + 8930.50ns INFO [00008932] Port=0 RD @05 + 8931.50ns INFO [00008933] * RD COMPARE * port=1 adr=00 act=8A4C25DE5633F3DE89 exp=8A4C25DE5633F3DE89 + 8931.50ns INFO [00008933] Port=1 RD @06 + 8932.50ns INFO [00008934] * RD COMPARE * port=0 adr=05 act=6269057914CFCBC5FB exp=6269057914CFCBC5FB + 8932.50ns INFO [00008934] Port=0 WR @04=36B9A62AA0951E564C + 8932.50ns INFO [00008934] Port=1 RD @00 + 8933.50ns INFO [00008935] * RD COMPARE * port=1 adr=06 act=9446F2C2809472EA19 exp=9446F2C2809472EA19 + 8933.50ns INFO [00008935] Port=0 WR @04=3E5DD013672E8CBE48 + 8933.50ns INFO [00008935] Port=0 RD @03 + 8934.50ns INFO [00008936] * RD COMPARE * port=1 adr=00 act=8A4C25DE5633F3DE89 exp=8A4C25DE5633F3DE89 + 8935.50ns INFO [00008937] * RD COMPARE * port=0 adr=03 act=50D0F97D94E333CEC6 exp=50D0F97D94E333CEC6 + 8935.50ns INFO [00008937] Port=0 WR @06=225C6D1BBB13176B3D + 8936.50ns INFO [00008938] Port=0 WR @05=A2D66106AD5192EB55 + 8936.50ns INFO [00008938] Port=0 RD @02 + 8937.50ns INFO [00008939] Port=1 RD @01 + 8938.50ns INFO [00008940] * RD COMPARE * port=0 adr=02 act=D2E2F298B9567CC89D exp=D2E2F298B9567CC89D + 8938.50ns INFO [00008940] Port=0 RD @04 + 8939.50ns INFO [00008941] * RD COMPARE * port=1 adr=01 act=D63B14957795C8C572 exp=D63B14957795C8C572 + 8939.50ns INFO [00008941] Port=0 WR @06=F201E363179883295D + 8940.50ns INFO [00008942] * RD COMPARE * port=0 adr=04 act=3E5DD013672E8CBE48 exp=3E5DD013672E8CBE48 + 8940.50ns INFO [00008942] Port=0 WR @04=71F8E7E9E46BD64921 + 8940.50ns INFO [00008942] Port=0 RD @02 + 8940.50ns INFO [00008942] Port=1 RD @05 + 8941.50ns INFO [00008943] Port=0 WR @05=C650A31AB995516BCE + 8941.50ns INFO [00008943] Port=1 RD @04 + 8942.50ns INFO [00008944] * RD COMPARE * port=0 adr=02 act=D2E2F298B9567CC89D exp=D2E2F298B9567CC89D + 8942.50ns INFO [00008944] * RD COMPARE * port=1 adr=05 act=A2D66106AD5192EB55 exp=A2D66106AD5192EB55 + 8942.50ns INFO [00008944] Port=1 RD @04 + 8943.50ns INFO [00008945] * RD COMPARE * port=1 adr=04 act=71F8E7E9E46BD64921 exp=71F8E7E9E46BD64921 + 8943.50ns INFO [00008945] Port=0 WR @07=786187D7BB3AD76986 + 8943.50ns INFO [00008945] Port=0 RD @01 + 8943.50ns INFO [00008945] Port=1 RD @06 + 8944.50ns INFO [00008946] * RD COMPARE * port=1 adr=04 act=71F8E7E9E46BD64921 exp=71F8E7E9E46BD64921 + 8944.50ns INFO [00008946] Port=0 WR @02=51DFEEEF3F11EC04A0 + 8945.50ns INFO [00008947] * RD COMPARE * port=0 adr=01 act=D63B14957795C8C572 exp=D63B14957795C8C572 + 8945.50ns INFO [00008947] * RD COMPARE * port=1 adr=06 act=F201E363179883295D exp=F201E363179883295D + 8945.50ns INFO [00008947] Port=0 WR @07=470647D96EB3E8F5AF + 8945.50ns INFO [00008947] Port=0 RD @05 + 8945.50ns INFO [00008947] Port=1 RD @04 + 8946.50ns INFO [00008948] Port=0 RD @06 + 8947.50ns INFO [00008949] * RD COMPARE * port=0 adr=05 act=C650A31AB995516BCE exp=C650A31AB995516BCE + 8947.50ns INFO [00008949] * RD COMPARE * port=1 adr=04 act=71F8E7E9E46BD64921 exp=71F8E7E9E46BD64921 + 8948.50ns INFO [00008950] * RD COMPARE * port=0 adr=06 act=F201E363179883295D exp=F201E363179883295D + 8948.50ns INFO [00008950] Port=1 RD @05 + 8949.50ns INFO [00008951] Port=0 RD @03 + 8950.50ns INFO [00008952] * RD COMPARE * port=1 adr=05 act=C650A31AB995516BCE exp=C650A31AB995516BCE + 8950.50ns INFO [00008952] Port=0 WR @00=AE6ACEC2E3A4D11AAF + 8950.50ns INFO [00008952] Port=1 RD @01 + 8951.50ns INFO [00008953] * RD COMPARE * port=0 adr=03 act=50D0F97D94E333CEC6 exp=50D0F97D94E333CEC6 + 8951.50ns INFO [00008953] Port=0 RD @03 + 8952.50ns INFO [00008954] * RD COMPARE * port=1 adr=01 act=D63B14957795C8C572 exp=D63B14957795C8C572 + 8952.50ns INFO [00008954] Port=1 RD @02 + 8953.50ns INFO [00008955] * RD COMPARE * port=0 adr=03 act=50D0F97D94E333CEC6 exp=50D0F97D94E333CEC6 + 8953.50ns INFO [00008955] Port=0 WR @03=4D61606FFDD2FF23A5 + 8954.50ns INFO [00008956] * RD COMPARE * port=1 adr=02 act=51DFEEEF3F11EC04A0 exp=51DFEEEF3F11EC04A0 + 8954.50ns INFO [00008956] Port=0 RD @01 + 8955.50ns INFO [00008957] Port=0 WR @05=A1270BB019AA28B272 + 8956.50ns INFO [00008958] * RD COMPARE * port=0 adr=01 act=D63B14957795C8C572 exp=D63B14957795C8C572 + 8956.50ns INFO [00008958] Port=0 WR @05=B46DAEB420568C7742 + 8957.50ns INFO [00008959] Port=0 RD @04 + 8958.50ns INFO [00008960] Port=1 RD @00 + 8959.50ns INFO [00008961] * RD COMPARE * port=0 adr=04 act=71F8E7E9E46BD64921 exp=71F8E7E9E46BD64921 + 8959.50ns INFO [00008961] Port=0 WR @04=A5BE3D842B43AB6119 + 8959.50ns INFO [00008961] Port=0 RD @05 + 8960.50ns INFO [00008962] * RD COMPARE * port=1 adr=00 act=AE6ACEC2E3A4D11AAF exp=AE6ACEC2E3A4D11AAF + 8960.50ns INFO [00008962] Port=0 WR @07=7A88BCDE5FF9660673 + 8960.50ns INFO [00008962] Port=1 RD @06 + 8961.50ns INFO [00008963] * RD COMPARE * port=0 adr=05 act=B46DAEB420568C7742 exp=B46DAEB420568C7742 + 8961.50ns INFO [00008963] Port=1 RD @06 + 8962.50ns INFO [00008964] * RD COMPARE * port=1 adr=06 act=F201E363179883295D exp=F201E363179883295D + 8962.50ns INFO [00008964] Port=0 WR @00=51AED98E19C6EFB481 + 8963.50ns INFO [00008965] * RD COMPARE * port=1 adr=06 act=F201E363179883295D exp=F201E363179883295D + 8963.50ns INFO [00008965] Port=0 WR @02=2D7A20BB423580D962 + 8963.50ns INFO [00008965] Port=0 RD @00 + 8964.50ns INFO [00008966] Port=0 WR @04=6DB44F53E7AF2E7713 + 8965.50ns INFO [00008967] * RD COMPARE * port=0 adr=00 act=51AED98E19C6EFB481 exp=51AED98E19C6EFB481 + 8965.50ns INFO [00008967] Port=1 RD @02 + 8966.50ns INFO [00008968] Port=0 RD @07 + 8967.50ns INFO [00008969] * RD COMPARE * port=1 adr=02 act=2D7A20BB423580D962 exp=2D7A20BB423580D962 + 8967.50ns INFO [00008969] Port=0 WR @07=18EB580347DA14E84D + 8967.50ns INFO [00008969] Port=0 RD @00 + 8967.50ns INFO [00008969] Port=1 RD @06 + 8968.50ns INFO [00008970] * RD COMPARE * port=0 adr=07 act=7A88BCDE5FF9660673 exp=7A88BCDE5FF9660673 + 8968.50ns INFO [00008970] Port=0 WR @05=1B0BE89AE54206BEC2 + 8968.50ns INFO [00008970] Port=1 RD @00 + 8969.50ns INFO [00008971] * RD COMPARE * port=0 adr=00 act=51AED98E19C6EFB481 exp=51AED98E19C6EFB481 + 8969.50ns INFO [00008971] * RD COMPARE * port=1 adr=06 act=F201E363179883295D exp=F201E363179883295D + 8970.50ns INFO [00008972] * RD COMPARE * port=1 adr=00 act=51AED98E19C6EFB481 exp=51AED98E19C6EFB481 + 8971.50ns INFO [00008973] Port=0 WR @05=0488B0B9C6A74959DD + 8971.50ns INFO [00008973] Port=1 RD @01 + 8972.50ns INFO [00008974] Port=0 RD @04 + 8973.50ns INFO [00008975] * RD COMPARE * port=1 adr=01 act=D63B14957795C8C572 exp=D63B14957795C8C572 + 8973.50ns INFO [00008975] Port=0 WR @02=E86179F8C0BE45DF36 + 8973.50ns INFO [00008975] Port=0 RD @07 + 8974.50ns INFO [00008976] * RD COMPARE * port=0 adr=04 act=6DB44F53E7AF2E7713 exp=6DB44F53E7AF2E7713 + 8974.50ns INFO [00008976] Port=0 RD @02 + 8975.50ns INFO [00008977] * RD COMPARE * port=0 adr=07 act=18EB580347DA14E84D exp=18EB580347DA14E84D + 8975.50ns INFO [00008977] Port=0 WR @04=935F31FD4DE8849BE0 + 8976.50ns INFO [00008978] * RD COMPARE * port=0 adr=02 act=E86179F8C0BE45DF36 exp=E86179F8C0BE45DF36 + 8977.50ns INFO [00008979] Port=0 WR @02=678C916E3F1D098ACB + 8977.50ns INFO [00008979] Port=0 RD @01 + 8977.50ns INFO [00008979] Port=1 RD @01 + 8978.50ns INFO [00008980] Port=1 RD @05 + 8979.50ns INFO [00008981] * RD COMPARE * port=0 adr=01 act=D63B14957795C8C572 exp=D63B14957795C8C572 + 8979.50ns INFO [00008981] * RD COMPARE * port=1 adr=01 act=D63B14957795C8C572 exp=D63B14957795C8C572 + 8979.50ns INFO [00008981] Port=0 WR @00=44E5577290E241906C + 8979.50ns INFO [00008981] Port=0 RD @06 + 8979.50ns INFO [00008981] Port=1 RD @01 + 8980.50ns INFO [00008982] * RD COMPARE * port=1 adr=05 act=0488B0B9C6A74959DD exp=0488B0B9C6A74959DD + 8980.50ns INFO [00008982] Port=0 RD @06 + 8981.50ns INFO [00008983] * RD COMPARE * port=0 adr=06 act=F201E363179883295D exp=F201E363179883295D + 8981.50ns INFO [00008983] * RD COMPARE * port=1 adr=01 act=D63B14957795C8C572 exp=D63B14957795C8C572 + 8981.50ns INFO [00008983] Port=0 WR @01=3F2858A0AEA74BC245 + 8981.50ns INFO [00008983] Port=1 RD @03 + 8982.50ns INFO [00008984] * RD COMPARE * port=0 adr=06 act=F201E363179883295D exp=F201E363179883295D + 8982.50ns INFO [00008984] Port=0 WR @04=3575103B869FF710AE + 8982.50ns INFO [00008984] Port=0 RD @03 + 8983.50ns INFO [00008985] * RD COMPARE * port=1 adr=03 act=4D61606FFDD2FF23A5 exp=4D61606FFDD2FF23A5 + 8984.50ns INFO [00008986] * RD COMPARE * port=0 adr=03 act=4D61606FFDD2FF23A5 exp=4D61606FFDD2FF23A5 + 8984.50ns INFO [00008986] Port=0 WR @07=EC6AC7DE0C94CA1E8B + 8984.50ns INFO [00008986] Port=0 RD @02 + 8985.50ns INFO [00008987] Port=0 WR @00=1F66B7D8008887FE04 + 8985.50ns INFO [00008987] Port=0 RD @07 + 8986.50ns INFO [00008988] * RD COMPARE * port=0 adr=02 act=678C916E3F1D098ACB exp=678C916E3F1D098ACB + 8986.50ns INFO [00008988] Port=0 WR @00=F18BBB036C211DEA09 + 8986.50ns INFO [00008988] Port=1 RD @04 + 8987.50ns INFO [00008989] * RD COMPARE * port=0 adr=07 act=EC6AC7DE0C94CA1E8B exp=EC6AC7DE0C94CA1E8B + 8987.50ns INFO [00008989] Port=0 WR @06=23963354D7E086235D + 8987.50ns INFO [00008989] Port=0 RD @04 + 8988.50ns INFO [00008990] * RD COMPARE * port=1 adr=04 act=3575103B869FF710AE exp=3575103B869FF710AE + 8988.50ns INFO [00008990] Port=0 RD @01 + 8989.50ns INFO [00008991] * RD COMPARE * port=0 adr=04 act=3575103B869FF710AE exp=3575103B869FF710AE + 8989.50ns INFO [00008991] Port=0 WR @00=4B1AE965B179AF5FF2 + 8989.50ns INFO [00008991] Port=0 RD @07 + 8990.50ns INFO [00008992] * RD COMPARE * port=0 adr=01 act=3F2858A0AEA74BC245 exp=3F2858A0AEA74BC245 + 8990.50ns INFO [00008992] Port=1 RD @07 + 8991.50ns INFO [00008993] * RD COMPARE * port=0 adr=07 act=EC6AC7DE0C94CA1E8B exp=EC6AC7DE0C94CA1E8B + 8991.50ns INFO [00008993] Port=0 RD @01 + 8992.50ns INFO [00008994] * RD COMPARE * port=1 adr=07 act=EC6AC7DE0C94CA1E8B exp=EC6AC7DE0C94CA1E8B + 8992.50ns INFO [00008994] Port=0 RD @00 + 8992.50ns INFO [00008994] Port=1 RD @03 + 8993.50ns INFO [00008995] * RD COMPARE * port=0 adr=01 act=3F2858A0AEA74BC245 exp=3F2858A0AEA74BC245 + 8993.50ns INFO [00008995] Port=0 RD @01 + 8994.50ns INFO [00008996] * RD COMPARE * port=0 adr=00 act=4B1AE965B179AF5FF2 exp=4B1AE965B179AF5FF2 + 8994.50ns INFO [00008996] * RD COMPARE * port=1 adr=03 act=4D61606FFDD2FF23A5 exp=4D61606FFDD2FF23A5 + 8994.50ns INFO [00008996] Port=0 WR @00=AAD081D72EE2A2F842 + 8995.50ns INFO [00008997] * RD COMPARE * port=0 adr=01 act=3F2858A0AEA74BC245 exp=3F2858A0AEA74BC245 + 8995.50ns INFO [00008997] Port=0 WR @04=158360CB8C661462C1 + 8995.50ns INFO [00008997] Port=1 RD @03 + 8996.50ns INFO [00008998] Port=0 WR @05=3088E3505D680FDC05 + 8996.50ns INFO [00008998] Port=0 RD @06 + 8997.50ns INFO [00008999] * RD COMPARE * port=1 adr=03 act=4D61606FFDD2FF23A5 exp=4D61606FFDD2FF23A5 + 8997.50ns INFO [00008999] Port=0 RD @00 + 8997.50ns INFO [00008999] Port=1 RD @01 + 8998.00ns INFO [00009000] [00009000] ...tick... + 8998.50ns INFO [00009000] * RD COMPARE * port=0 adr=06 act=23963354D7E086235D exp=23963354D7E086235D + 8998.50ns INFO [00009000] Port=1 RD @04 + 8999.50ns INFO [00009001] * RD COMPARE * port=0 adr=00 act=AAD081D72EE2A2F842 exp=AAD081D72EE2A2F842 + 8999.50ns INFO [00009001] * RD COMPARE * port=1 adr=01 act=3F2858A0AEA74BC245 exp=3F2858A0AEA74BC245 + 8999.50ns INFO [00009001] Port=0 RD @04 + 9000.50ns INFO [00009002] * RD COMPARE * port=1 adr=04 act=158360CB8C661462C1 exp=158360CB8C661462C1 + 9000.50ns INFO [00009002] Port=1 RD @03 + 9001.50ns INFO [00009003] * RD COMPARE * port=0 adr=04 act=158360CB8C661462C1 exp=158360CB8C661462C1 + 9001.50ns INFO [00009003] Port=1 RD @03 + 9002.50ns INFO [00009004] * RD COMPARE * port=1 adr=03 act=4D61606FFDD2FF23A5 exp=4D61606FFDD2FF23A5 + 9002.50ns INFO [00009004] Port=0 RD @04 + 9003.50ns INFO [00009005] * RD COMPARE * port=1 adr=03 act=4D61606FFDD2FF23A5 exp=4D61606FFDD2FF23A5 + 9004.50ns INFO [00009006] * RD COMPARE * port=0 adr=04 act=158360CB8C661462C1 exp=158360CB8C661462C1 + 9005.50ns INFO [00009007] Port=0 WR @05=C16FC45548C4F28EA2 + 9006.50ns INFO [00009008] Port=0 WR @02=46BE2C478EDA5D97E5 + 9006.50ns INFO [00009008] Port=1 RD @07 + 9007.50ns INFO [00009009] Port=0 RD @07 + 9008.50ns INFO [00009010] * RD COMPARE * port=1 adr=07 act=EC6AC7DE0C94CA1E8B exp=EC6AC7DE0C94CA1E8B + 9008.50ns INFO [00009010] Port=0 WR @00=C5D5A5DB053A451E8A + 9008.50ns INFO [00009010] Port=0 RD @06 + 9008.50ns INFO [00009010] Port=1 RD @01 + 9009.50ns INFO [00009011] * RD COMPARE * port=0 adr=07 act=EC6AC7DE0C94CA1E8B exp=EC6AC7DE0C94CA1E8B + 9009.50ns INFO [00009011] Port=0 WR @07=E640629328FDFC53A8 + 9009.50ns INFO [00009011] Port=0 RD @02 + 9010.50ns INFO [00009012] * RD COMPARE * port=0 adr=06 act=23963354D7E086235D exp=23963354D7E086235D + 9010.50ns INFO [00009012] * RD COMPARE * port=1 adr=01 act=3F2858A0AEA74BC245 exp=3F2858A0AEA74BC245 + 9010.50ns INFO [00009012] Port=0 WR @06=723D2AE3FDF49F092A + 9011.50ns INFO [00009013] * RD COMPARE * port=0 adr=02 act=46BE2C478EDA5D97E5 exp=46BE2C478EDA5D97E5 + 9011.50ns INFO [00009013] Port=0 WR @00=C779A817A641B221C3 + 9011.50ns INFO [00009013] Port=0 RD @01 + 9013.50ns INFO [00009015] * RD COMPARE * port=0 adr=01 act=3F2858A0AEA74BC245 exp=3F2858A0AEA74BC245 + 9013.50ns INFO [00009015] Port=0 WR @01=00ACEE6F8AF4CFA5BC + 9013.50ns INFO [00009015] Port=1 RD @04 + 9014.50ns INFO [00009016] Port=0 WR @06=3F6067385BD778EF04 + 9014.50ns INFO [00009016] Port=0 RD @04 + 9015.50ns INFO [00009017] * RD COMPARE * port=1 adr=04 act=158360CB8C661462C1 exp=158360CB8C661462C1 + 9015.50ns INFO [00009017] Port=0 WR @07=704F862970027C67C0 + 9015.50ns INFO [00009017] Port=0 RD @01 + 9016.50ns INFO [00009018] * RD COMPARE * port=0 adr=04 act=158360CB8C661462C1 exp=158360CB8C661462C1 + 9016.50ns INFO [00009018] Port=0 WR @02=3836B2264801AE9F1D + 9016.50ns INFO [00009018] Port=1 RD @07 + 9017.50ns INFO [00009019] * RD COMPARE * port=0 adr=01 act=00ACEE6F8AF4CFA5BC exp=00ACEE6F8AF4CFA5BC + 9018.50ns INFO [00009020] * RD COMPARE * port=1 adr=07 act=704F862970027C67C0 exp=704F862970027C67C0 + 9018.50ns INFO [00009020] Port=0 RD @05 + 9019.50ns INFO [00009021] Port=1 RD @03 + 9020.50ns INFO [00009022] * RD COMPARE * port=0 adr=05 act=C16FC45548C4F28EA2 exp=C16FC45548C4F28EA2 + 9020.50ns INFO [00009022] Port=0 RD @06 + 9020.50ns INFO [00009022] Port=1 RD @03 + 9021.50ns INFO [00009023] * RD COMPARE * port=1 adr=03 act=4D61606FFDD2FF23A5 exp=4D61606FFDD2FF23A5 + 9021.50ns INFO [00009023] Port=0 WR @03=7C13D2B392970584D6 + 9021.50ns INFO [00009023] Port=0 RD @00 + 9021.50ns INFO [00009023] Port=1 RD @01 + 9022.50ns INFO [00009024] * RD COMPARE * port=0 adr=06 act=3F6067385BD778EF04 exp=3F6067385BD778EF04 + 9022.50ns INFO [00009024] * RD COMPARE * port=1 adr=03 act=4D61606FFDD2FF23A5 exp=4D61606FFDD2FF23A5 + 9022.50ns INFO [00009024] Port=0 WR @03=F4040F6E627D3DE964 + 9022.50ns INFO [00009024] Port=0 RD @05 + 9023.50ns INFO [00009025] * RD COMPARE * port=0 adr=00 act=C779A817A641B221C3 exp=C779A817A641B221C3 + 9023.50ns INFO [00009025] * RD COMPARE * port=1 adr=01 act=00ACEE6F8AF4CFA5BC exp=00ACEE6F8AF4CFA5BC + 9023.50ns INFO [00009025] Port=0 RD @05 + 9023.50ns INFO [00009025] Port=1 RD @05 + 9024.50ns INFO [00009026] * RD COMPARE * port=0 adr=05 act=C16FC45548C4F28EA2 exp=C16FC45548C4F28EA2 + 9025.50ns INFO [00009027] * RD COMPARE * port=0 adr=05 act=C16FC45548C4F28EA2 exp=C16FC45548C4F28EA2 + 9025.50ns INFO [00009027] * RD COMPARE * port=1 adr=05 act=C16FC45548C4F28EA2 exp=C16FC45548C4F28EA2 + 9025.50ns INFO [00009027] Port=0 WR @00=2EF2FEBDAEE42CD708 + 9025.50ns INFO [00009027] Port=1 RD @05 + 9026.50ns INFO [00009028] Port=0 WR @07=3C83559865193046F0 + 9027.50ns INFO [00009029] * RD COMPARE * port=1 adr=05 act=C16FC45548C4F28EA2 exp=C16FC45548C4F28EA2 + 9027.50ns INFO [00009029] Port=0 WR @05=9DD70CBD06CFA36B68 + 9028.50ns INFO [00009030] Port=0 RD @03 + 9029.50ns INFO [00009031] Port=0 RD @07 + 9030.50ns INFO [00009032] * RD COMPARE * port=0 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9030.50ns INFO [00009032] Port=1 RD @02 + 9031.50ns INFO [00009033] * RD COMPARE * port=0 adr=07 act=3C83559865193046F0 exp=3C83559865193046F0 + 9031.50ns INFO [00009033] Port=0 WR @07=03E8B4F86A39FFB7E2 + 9031.50ns INFO [00009033] Port=1 RD @01 + 9032.50ns INFO [00009034] * RD COMPARE * port=1 adr=02 act=3836B2264801AE9F1D exp=3836B2264801AE9F1D + 9032.50ns INFO [00009034] Port=0 RD @06 + 9033.50ns INFO [00009035] * RD COMPARE * port=1 adr=01 act=00ACEE6F8AF4CFA5BC exp=00ACEE6F8AF4CFA5BC + 9033.50ns INFO [00009035] Port=0 WR @07=B6FD26685DAB0CA042 + 9033.50ns INFO [00009035] Port=0 RD @04 + 9033.50ns INFO [00009035] Port=1 RD @02 + 9034.50ns INFO [00009036] * RD COMPARE * port=0 adr=06 act=3F6067385BD778EF04 exp=3F6067385BD778EF04 + 9034.50ns INFO [00009036] Port=0 RD @05 + 9035.50ns INFO [00009037] * RD COMPARE * port=0 adr=04 act=158360CB8C661462C1 exp=158360CB8C661462C1 + 9035.50ns INFO [00009037] * RD COMPARE * port=1 adr=02 act=3836B2264801AE9F1D exp=3836B2264801AE9F1D + 9035.50ns INFO [00009037] Port=0 WR @00=5962AEE9DED55A241B + 9036.50ns INFO [00009038] * RD COMPARE * port=0 adr=05 act=9DD70CBD06CFA36B68 exp=9DD70CBD06CFA36B68 + 9037.50ns INFO [00009039] Port=0 RD @02 + 9039.50ns INFO [00009041] * RD COMPARE * port=0 adr=02 act=3836B2264801AE9F1D exp=3836B2264801AE9F1D + 9040.50ns INFO [00009042] Port=0 RD @05 + 9041.50ns INFO [00009043] Port=1 RD @05 + 9042.50ns INFO [00009044] * RD COMPARE * port=0 adr=05 act=9DD70CBD06CFA36B68 exp=9DD70CBD06CFA36B68 + 9042.50ns INFO [00009044] Port=1 RD @04 + 9043.50ns INFO [00009045] * RD COMPARE * port=1 adr=05 act=9DD70CBD06CFA36B68 exp=9DD70CBD06CFA36B68 + 9043.50ns INFO [00009045] Port=0 RD @07 + 9044.50ns INFO [00009046] * RD COMPARE * port=1 adr=04 act=158360CB8C661462C1 exp=158360CB8C661462C1 + 9044.50ns INFO [00009046] Port=1 RD @07 + 9045.50ns INFO [00009047] * RD COMPARE * port=0 adr=07 act=B6FD26685DAB0CA042 exp=B6FD26685DAB0CA042 + 9045.50ns INFO [00009047] Port=0 WR @04=A2A2161E1EFFDBF877 + 9045.50ns INFO [00009047] Port=0 RD @00 + 9046.50ns INFO [00009048] * RD COMPARE * port=1 adr=07 act=B6FD26685DAB0CA042 exp=B6FD26685DAB0CA042 + 9046.50ns INFO [00009048] Port=0 RD @04 + 9047.50ns INFO [00009049] * RD COMPARE * port=0 adr=00 act=5962AEE9DED55A241B exp=5962AEE9DED55A241B + 9047.50ns INFO [00009049] Port=0 RD @01 + 9048.50ns INFO [00009050] * RD COMPARE * port=0 adr=04 act=A2A2161E1EFFDBF877 exp=A2A2161E1EFFDBF877 + 9048.50ns INFO [00009050] Port=0 RD @06 + 9048.50ns INFO [00009050] Port=1 RD @02 + 9049.50ns INFO [00009051] * RD COMPARE * port=0 adr=01 act=00ACEE6F8AF4CFA5BC exp=00ACEE6F8AF4CFA5BC + 9049.50ns INFO [00009051] Port=1 RD @06 + 9050.50ns INFO [00009052] * RD COMPARE * port=0 adr=06 act=3F6067385BD778EF04 exp=3F6067385BD778EF04 + 9050.50ns INFO [00009052] * RD COMPARE * port=1 adr=02 act=3836B2264801AE9F1D exp=3836B2264801AE9F1D + 9050.50ns INFO [00009052] Port=1 RD @03 + 9051.50ns INFO [00009053] * RD COMPARE * port=1 adr=06 act=3F6067385BD778EF04 exp=3F6067385BD778EF04 + 9052.50ns INFO [00009054] * RD COMPARE * port=1 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9052.50ns INFO [00009054] Port=1 RD @04 + 9053.50ns INFO [00009055] Port=0 RD @07 + 9054.50ns INFO [00009056] * RD COMPARE * port=1 adr=04 act=A2A2161E1EFFDBF877 exp=A2A2161E1EFFDBF877 + 9054.50ns INFO [00009056] Port=0 RD @07 + 9054.50ns INFO [00009056] Port=1 RD @03 + 9055.50ns INFO [00009057] * RD COMPARE * port=0 adr=07 act=B6FD26685DAB0CA042 exp=B6FD26685DAB0CA042 + 9056.50ns INFO [00009058] * RD COMPARE * port=0 adr=07 act=B6FD26685DAB0CA042 exp=B6FD26685DAB0CA042 + 9056.50ns INFO [00009058] * RD COMPARE * port=1 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9056.50ns INFO [00009058] Port=0 WR @00=7D1546A6C5CC9BE8B1 + 9056.50ns INFO [00009058] Port=1 RD @05 + 9058.50ns INFO [00009060] * RD COMPARE * port=1 adr=05 act=9DD70CBD06CFA36B68 exp=9DD70CBD06CFA36B68 + 9059.50ns INFO [00009061] Port=0 RD @02 + 9060.50ns INFO [00009062] Port=0 WR @00=AA417DF1A339B72149 + 9060.50ns INFO [00009062] Port=0 RD @06 + 9060.50ns INFO [00009062] Port=1 RD @07 + 9061.50ns INFO [00009063] * RD COMPARE * port=0 adr=02 act=3836B2264801AE9F1D exp=3836B2264801AE9F1D + 9061.50ns INFO [00009063] Port=0 RD @04 + 9061.50ns INFO [00009063] Port=1 RD @05 + 9062.50ns INFO [00009064] * RD COMPARE * port=0 adr=06 act=3F6067385BD778EF04 exp=3F6067385BD778EF04 + 9062.50ns INFO [00009064] * RD COMPARE * port=1 adr=07 act=B6FD26685DAB0CA042 exp=B6FD26685DAB0CA042 + 9062.50ns INFO [00009064] Port=1 RD @06 + 9063.50ns INFO [00009065] * RD COMPARE * port=0 adr=04 act=A2A2161E1EFFDBF877 exp=A2A2161E1EFFDBF877 + 9063.50ns INFO [00009065] * RD COMPARE * port=1 adr=05 act=9DD70CBD06CFA36B68 exp=9DD70CBD06CFA36B68 + 9063.50ns INFO [00009065] Port=0 WR @06=86858EB74E50A5F0D5 + 9063.50ns INFO [00009065] Port=1 RD @05 + 9064.50ns INFO [00009066] * RD COMPARE * port=1 adr=06 act=3F6067385BD778EF04 exp=3F6067385BD778EF04 + 9064.50ns INFO [00009066] Port=0 RD @04 + 9065.50ns INFO [00009067] * RD COMPARE * port=1 adr=05 act=9DD70CBD06CFA36B68 exp=9DD70CBD06CFA36B68 + 9065.50ns INFO [00009067] Port=0 RD @04 + 9066.50ns INFO [00009068] * RD COMPARE * port=0 adr=04 act=A2A2161E1EFFDBF877 exp=A2A2161E1EFFDBF877 + 9066.50ns INFO [00009068] Port=0 WR @07=B9DC17B66A03A70B61 + 9066.50ns INFO [00009068] Port=0 RD @03 + 9067.50ns INFO [00009069] * RD COMPARE * port=0 adr=04 act=A2A2161E1EFFDBF877 exp=A2A2161E1EFFDBF877 + 9067.50ns INFO [00009069] Port=0 RD @01 + 9068.50ns INFO [00009070] * RD COMPARE * port=0 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9068.50ns INFO [00009070] Port=1 RD @05 + 9069.50ns INFO [00009071] * RD COMPARE * port=0 adr=01 act=00ACEE6F8AF4CFA5BC exp=00ACEE6F8AF4CFA5BC + 9069.50ns INFO [00009071] Port=0 RD @07 + 9070.50ns INFO [00009072] * RD COMPARE * port=1 adr=05 act=9DD70CBD06CFA36B68 exp=9DD70CBD06CFA36B68 + 9070.50ns INFO [00009072] Port=0 RD @05 + 9071.50ns INFO [00009073] * RD COMPARE * port=0 adr=07 act=B9DC17B66A03A70B61 exp=B9DC17B66A03A70B61 + 9071.50ns INFO [00009073] Port=1 RD @03 + 9072.50ns INFO [00009074] * RD COMPARE * port=0 adr=05 act=9DD70CBD06CFA36B68 exp=9DD70CBD06CFA36B68 + 9072.50ns INFO [00009074] Port=0 WR @02=A6F14B7B9508F01D3C + 9073.50ns INFO [00009075] * RD COMPARE * port=1 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9073.50ns INFO [00009075] Port=1 RD @01 + 9074.50ns INFO [00009076] Port=0 RD @00 + 9075.50ns INFO [00009077] * RD COMPARE * port=1 adr=01 act=00ACEE6F8AF4CFA5BC exp=00ACEE6F8AF4CFA5BC + 9075.50ns INFO [00009077] Port=0 RD @03 + 9076.50ns INFO [00009078] * RD COMPARE * port=0 adr=00 act=AA417DF1A339B72149 exp=AA417DF1A339B72149 + 9076.50ns INFO [00009078] Port=0 RD @03 + 9077.50ns INFO [00009079] * RD COMPARE * port=0 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9078.50ns INFO [00009080] * RD COMPARE * port=0 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9078.50ns INFO [00009080] Port=1 RD @07 + 9079.50ns INFO [00009081] Port=1 RD @05 + 9080.50ns INFO [00009082] * RD COMPARE * port=1 adr=07 act=B9DC17B66A03A70B61 exp=B9DC17B66A03A70B61 + 9080.50ns INFO [00009082] Port=0 WR @06=CE6FD758833AD9C7FC + 9080.50ns INFO [00009082] Port=0 RD @00 + 9081.50ns INFO [00009083] * RD COMPARE * port=1 adr=05 act=9DD70CBD06CFA36B68 exp=9DD70CBD06CFA36B68 + 9082.50ns INFO [00009084] * RD COMPARE * port=0 adr=00 act=AA417DF1A339B72149 exp=AA417DF1A339B72149 + 9083.50ns INFO [00009085] Port=0 WR @05=33DE6E8EDC4B14A5CC + 9083.50ns INFO [00009085] Port=1 RD @00 + 9085.50ns INFO [00009087] * RD COMPARE * port=1 adr=00 act=AA417DF1A339B72149 exp=AA417DF1A339B72149 + 9088.50ns INFO [00009090] Port=0 RD @00 + 9089.50ns INFO [00009091] Port=0 WR @00=409B2EA3202825B408 + 9089.50ns INFO [00009091] Port=1 RD @03 + 9090.50ns INFO [00009092] * RD COMPARE * port=0 adr=00 act=AA417DF1A339B72149 exp=AA417DF1A339B72149 + 9090.50ns INFO [00009092] Port=0 RD @00 + 9091.50ns INFO [00009093] * RD COMPARE * port=1 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9091.50ns INFO [00009093] Port=0 WR @00=01B2BDC6D55A4C8AA5 + 9091.50ns INFO [00009093] Port=0 RD @06 + 9091.50ns INFO [00009093] Port=1 RD @07 + 9092.50ns INFO [00009094] * RD COMPARE * port=0 adr=00 act=409B2EA3202825B408 exp=409B2EA3202825B408 + 9093.50ns INFO [00009095] * RD COMPARE * port=0 adr=06 act=CE6FD758833AD9C7FC exp=CE6FD758833AD9C7FC + 9093.50ns INFO [00009095] * RD COMPARE * port=1 adr=07 act=B9DC17B66A03A70B61 exp=B9DC17B66A03A70B61 + 9094.50ns INFO [00009096] Port=0 WR @07=7B2A9A920F80A25414 + 9094.50ns INFO [00009096] Port=0 RD @05 + 9094.50ns INFO [00009096] Port=1 RD @04 + 9095.50ns INFO [00009097] Port=0 RD @03 + 9096.50ns INFO [00009098] * RD COMPARE * port=0 adr=05 act=33DE6E8EDC4B14A5CC exp=33DE6E8EDC4B14A5CC + 9096.50ns INFO [00009098] * RD COMPARE * port=1 adr=04 act=A2A2161E1EFFDBF877 exp=A2A2161E1EFFDBF877 + 9096.50ns INFO [00009098] Port=0 WR @06=0E5CFD4481E971B68E + 9096.50ns INFO [00009098] Port=1 RD @03 + 9097.50ns INFO [00009099] * RD COMPARE * port=0 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9097.50ns INFO [00009099] Port=0 WR @04=7B11C1BB4C1BD02C4C + 9097.50ns INFO [00009099] Port=0 RD @01 + 9098.00ns INFO [00009100] [00009100] ...tick... + 9098.50ns INFO [00009100] * RD COMPARE * port=1 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9098.50ns INFO [00009100] Port=0 RD @06 + 9099.50ns INFO [00009101] * RD COMPARE * port=0 adr=01 act=00ACEE6F8AF4CFA5BC exp=00ACEE6F8AF4CFA5BC + 9099.50ns INFO [00009101] Port=0 RD @03 + 9100.50ns INFO [00009102] * RD COMPARE * port=0 adr=06 act=0E5CFD4481E971B68E exp=0E5CFD4481E971B68E + 9100.50ns INFO [00009102] Port=0 WR @00=557AC7053B80F3CC45 + 9101.50ns INFO [00009103] * RD COMPARE * port=0 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9101.50ns INFO [00009103] Port=0 WR @01=6357C210F46B2043EF + 9104.50ns INFO [00009106] Port=0 RD @06 + 9104.50ns INFO [00009106] Port=1 RD @01 + 9105.50ns INFO [00009107] Port=0 WR @01=7D909A60C313133876 + 9105.50ns INFO [00009107] Port=0 RD @06 + 9106.50ns INFO [00009108] * RD COMPARE * port=0 adr=06 act=0E5CFD4481E971B68E exp=0E5CFD4481E971B68E + 9106.50ns INFO [00009108] * RD COMPARE * port=1 adr=01 act=6357C210F46B2043EF exp=6357C210F46B2043EF + 9107.50ns INFO [00009109] * RD COMPARE * port=0 adr=06 act=0E5CFD4481E971B68E exp=0E5CFD4481E971B68E + 9107.50ns INFO [00009109] Port=0 WR @07=A1BF5589887D8226A5 + 9107.50ns INFO [00009109] Port=1 RD @02 + 9108.50ns INFO [00009110] Port=0 WR @01=68CD5F974C23876EF4 + 9109.50ns INFO [00009111] * RD COMPARE * port=1 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9110.50ns INFO [00009112] Port=1 RD @01 + 9111.50ns INFO [00009113] Port=0 WR @06=D411E6C18564BFBE84 + 9111.50ns INFO [00009113] Port=1 RD @04 + 9112.50ns INFO [00009114] * RD COMPARE * port=1 adr=01 act=68CD5F974C23876EF4 exp=68CD5F974C23876EF4 + 9112.50ns INFO [00009114] Port=0 WR @05=AADD8C567D3B3DC295 + 9112.50ns INFO [00009114] Port=1 RD @07 + 9113.50ns INFO [00009115] * RD COMPARE * port=1 adr=04 act=7B11C1BB4C1BD02C4C exp=7B11C1BB4C1BD02C4C + 9113.50ns INFO [00009115] Port=0 RD @05 + 9113.50ns INFO [00009115] Port=1 RD @05 + 9114.50ns INFO [00009116] * RD COMPARE * port=1 adr=07 act=A1BF5589887D8226A5 exp=A1BF5589887D8226A5 + 9115.50ns INFO [00009117] * RD COMPARE * port=0 adr=05 act=AADD8C567D3B3DC295 exp=AADD8C567D3B3DC295 + 9115.50ns INFO [00009117] * RD COMPARE * port=1 adr=05 act=AADD8C567D3B3DC295 exp=AADD8C567D3B3DC295 + 9115.50ns INFO [00009117] Port=0 WR @06=AD79D0C635987C2A4E + 9116.50ns INFO [00009118] Port=0 RD @00 + 9116.50ns INFO [00009118] Port=1 RD @05 + 9117.50ns INFO [00009119] Port=0 WR @04=3AC84D0601F22DF024 + 9118.50ns INFO [00009120] * RD COMPARE * port=0 adr=00 act=557AC7053B80F3CC45 exp=557AC7053B80F3CC45 + 9118.50ns INFO [00009120] * RD COMPARE * port=1 adr=05 act=AADD8C567D3B3DC295 exp=AADD8C567D3B3DC295 + 9119.50ns INFO [00009121] Port=0 WR @04=BB841A33DE96A3835E + 9119.50ns INFO [00009121] Port=0 RD @05 + 9120.50ns INFO [00009122] Port=1 RD @00 + 9121.50ns INFO [00009123] * RD COMPARE * port=0 adr=05 act=AADD8C567D3B3DC295 exp=AADD8C567D3B3DC295 + 9121.50ns INFO [00009123] Port=1 RD @06 + 9122.50ns INFO [00009124] * RD COMPARE * port=1 adr=00 act=557AC7053B80F3CC45 exp=557AC7053B80F3CC45 + 9122.50ns INFO [00009124] Port=0 RD @03 + 9122.50ns INFO [00009124] Port=1 RD @05 + 9123.50ns INFO [00009125] * RD COMPARE * port=1 adr=06 act=AD79D0C635987C2A4E exp=AD79D0C635987C2A4E + 9123.50ns INFO [00009125] Port=0 WR @01=B53D771BE2037B9074 + 9123.50ns INFO [00009125] Port=1 RD @06 + 9124.50ns INFO [00009126] * RD COMPARE * port=0 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9124.50ns INFO [00009126] * RD COMPARE * port=1 adr=05 act=AADD8C567D3B3DC295 exp=AADD8C567D3B3DC295 + 9125.50ns INFO [00009127] * RD COMPARE * port=1 adr=06 act=AD79D0C635987C2A4E exp=AD79D0C635987C2A4E + 9126.50ns INFO [00009128] Port=0 WR @05=CD760E2C57D42246D2 + 9126.50ns INFO [00009128] Port=0 RD @07 + 9126.50ns INFO [00009128] Port=1 RD @06 + 9127.50ns INFO [00009129] Port=0 RD @01 + 9128.50ns INFO [00009130] * RD COMPARE * port=0 adr=07 act=A1BF5589887D8226A5 exp=A1BF5589887D8226A5 + 9128.50ns INFO [00009130] * RD COMPARE * port=1 adr=06 act=AD79D0C635987C2A4E exp=AD79D0C635987C2A4E + 9129.50ns INFO [00009131] * RD COMPARE * port=0 adr=01 act=B53D771BE2037B9074 exp=B53D771BE2037B9074 + 9130.50ns INFO [00009132] Port=1 RD @00 + 9131.50ns INFO [00009133] Port=0 RD @03 + 9132.50ns INFO [00009134] * RD COMPARE * port=1 adr=00 act=557AC7053B80F3CC45 exp=557AC7053B80F3CC45 + 9132.50ns INFO [00009134] Port=1 RD @01 + 9133.50ns INFO [00009135] * RD COMPARE * port=0 adr=03 act=F4040F6E627D3DE964 exp=F4040F6E627D3DE964 + 9133.50ns INFO [00009135] Port=0 RD @05 + 9133.50ns INFO [00009135] Port=1 RD @00 + 9134.50ns INFO [00009136] * RD COMPARE * port=1 adr=01 act=B53D771BE2037B9074 exp=B53D771BE2037B9074 + 9134.50ns INFO [00009136] Port=0 WR @03=5E455F52E5A7B673AD + 9134.50ns INFO [00009136] Port=1 RD @04 + 9135.50ns INFO [00009137] * RD COMPARE * port=0 adr=05 act=CD760E2C57D42246D2 exp=CD760E2C57D42246D2 + 9135.50ns INFO [00009137] * RD COMPARE * port=1 adr=00 act=557AC7053B80F3CC45 exp=557AC7053B80F3CC45 + 9135.50ns INFO [00009137] Port=0 WR @04=593917D39CF24B3D76 + 9135.50ns INFO [00009137] Port=1 RD @02 + 9136.50ns INFO [00009138] * RD COMPARE * port=1 adr=04 act=BB841A33DE96A3835E exp=BB841A33DE96A3835E + 9136.50ns INFO [00009138] Port=1 RD @02 + 9137.50ns INFO [00009139] * RD COMPARE * port=1 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9137.50ns INFO [00009139] Port=1 RD @01 + 9138.50ns INFO [00009140] * RD COMPARE * port=1 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9139.50ns INFO [00009141] * RD COMPARE * port=1 adr=01 act=B53D771BE2037B9074 exp=B53D771BE2037B9074 + 9140.50ns INFO [00009142] Port=0 RD @03 + 9140.50ns INFO [00009142] Port=1 RD @03 + 9141.50ns INFO [00009143] Port=1 RD @06 + 9142.50ns INFO [00009144] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9142.50ns INFO [00009144] * RD COMPARE * port=1 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9142.50ns INFO [00009144] Port=0 WR @01=329B080B0C96A351F8 + 9142.50ns INFO [00009144] Port=0 RD @03 + 9143.50ns INFO [00009145] * RD COMPARE * port=1 adr=06 act=AD79D0C635987C2A4E exp=AD79D0C635987C2A4E + 9143.50ns INFO [00009145] Port=1 RD @05 + 9144.50ns INFO [00009146] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9145.50ns INFO [00009147] * RD COMPARE * port=1 adr=05 act=CD760E2C57D42246D2 exp=CD760E2C57D42246D2 + 9145.50ns INFO [00009147] Port=1 RD @02 + 9146.50ns INFO [00009148] Port=0 WR @01=2B5269714555F4766D + 9146.50ns INFO [00009148] Port=0 RD @04 + 9147.50ns INFO [00009149] * RD COMPARE * port=1 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9147.50ns INFO [00009149] Port=0 WR @06=A3A109EF876D029956 + 9147.50ns INFO [00009149] Port=0 RD @00 + 9148.50ns INFO [00009150] * RD COMPARE * port=0 adr=04 act=593917D39CF24B3D76 exp=593917D39CF24B3D76 + 9148.50ns INFO [00009150] Port=0 WR @06=3FF44C6320DBFFB453 + 9148.50ns INFO [00009150] Port=1 RD @07 + 9149.50ns INFO [00009151] * RD COMPARE * port=0 adr=00 act=557AC7053B80F3CC45 exp=557AC7053B80F3CC45 + 9149.50ns INFO [00009151] Port=0 RD @03 + 9150.50ns INFO [00009152] * RD COMPARE * port=1 adr=07 act=A1BF5589887D8226A5 exp=A1BF5589887D8226A5 + 9151.50ns INFO [00009153] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9151.50ns INFO [00009153] Port=0 RD @00 + 9152.50ns INFO [00009154] Port=1 RD @03 + 9153.50ns INFO [00009155] * RD COMPARE * port=0 adr=00 act=557AC7053B80F3CC45 exp=557AC7053B80F3CC45 + 9153.50ns INFO [00009155] Port=0 RD @02 + 9154.50ns INFO [00009156] * RD COMPARE * port=1 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9155.50ns INFO [00009157] * RD COMPARE * port=0 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9155.50ns INFO [00009157] Port=0 RD @04 + 9156.50ns INFO [00009158] Port=1 RD @00 + 9157.50ns INFO [00009159] * RD COMPARE * port=0 adr=04 act=593917D39CF24B3D76 exp=593917D39CF24B3D76 + 9158.50ns INFO [00009160] * RD COMPARE * port=1 adr=00 act=557AC7053B80F3CC45 exp=557AC7053B80F3CC45 + 9158.50ns INFO [00009160] Port=0 WR @05=2C2EA689F2FAC31113 + 9159.50ns INFO [00009161] Port=0 WR @01=57B27E696EF56AA510 + 9160.50ns INFO [00009162] Port=1 RD @02 + 9161.50ns INFO [00009163] Port=1 RD @02 + 9162.50ns INFO [00009164] * RD COMPARE * port=1 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9162.50ns INFO [00009164] Port=0 WR @05=421523B18C91E0179B + 9162.50ns INFO [00009164] Port=1 RD @01 + 9163.50ns INFO [00009165] * RD COMPARE * port=1 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9163.50ns INFO [00009165] Port=0 WR @00=ED8147797747E4247A + 9163.50ns INFO [00009165] Port=0 RD @02 + 9164.50ns INFO [00009166] * RD COMPARE * port=1 adr=01 act=57B27E696EF56AA510 exp=57B27E696EF56AA510 + 9165.50ns INFO [00009167] * RD COMPARE * port=0 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9166.50ns INFO [00009168] Port=0 RD @07 + 9167.50ns INFO [00009169] Port=0 RD @00 + 9167.50ns INFO [00009169] Port=1 RD @01 + 9168.50ns INFO [00009170] * RD COMPARE * port=0 adr=07 act=A1BF5589887D8226A5 exp=A1BF5589887D8226A5 + 9168.50ns INFO [00009170] Port=0 RD @06 + 9168.50ns INFO [00009170] Port=1 RD @01 + 9169.50ns INFO [00009171] * RD COMPARE * port=0 adr=00 act=ED8147797747E4247A exp=ED8147797747E4247A + 9169.50ns INFO [00009171] * RD COMPARE * port=1 adr=01 act=57B27E696EF56AA510 exp=57B27E696EF56AA510 + 9169.50ns INFO [00009171] Port=0 RD @00 + 9169.50ns INFO [00009171] Port=1 RD @06 + 9170.50ns INFO [00009172] * RD COMPARE * port=0 adr=06 act=3FF44C6320DBFFB453 exp=3FF44C6320DBFFB453 + 9170.50ns INFO [00009172] * RD COMPARE * port=1 adr=01 act=57B27E696EF56AA510 exp=57B27E696EF56AA510 + 9170.50ns INFO [00009172] Port=0 RD @07 + 9171.50ns INFO [00009173] * RD COMPARE * port=0 adr=00 act=ED8147797747E4247A exp=ED8147797747E4247A + 9171.50ns INFO [00009173] * RD COMPARE * port=1 adr=06 act=3FF44C6320DBFFB453 exp=3FF44C6320DBFFB453 + 9171.50ns INFO [00009173] Port=0 RD @01 + 9172.50ns INFO [00009174] * RD COMPARE * port=0 adr=07 act=A1BF5589887D8226A5 exp=A1BF5589887D8226A5 + 9173.50ns INFO [00009175] * RD COMPARE * port=0 adr=01 act=57B27E696EF56AA510 exp=57B27E696EF56AA510 + 9173.50ns INFO [00009175] Port=1 RD @06 + 9174.50ns INFO [00009176] Port=0 RD @00 + 9174.50ns INFO [00009176] Port=1 RD @06 + 9175.50ns INFO [00009177] * RD COMPARE * port=1 adr=06 act=3FF44C6320DBFFB453 exp=3FF44C6320DBFFB453 + 9176.50ns INFO [00009178] * RD COMPARE * port=0 adr=00 act=ED8147797747E4247A exp=ED8147797747E4247A + 9176.50ns INFO [00009178] * RD COMPARE * port=1 adr=06 act=3FF44C6320DBFFB453 exp=3FF44C6320DBFFB453 + 9176.50ns INFO [00009178] Port=0 WR @01=F0636A7BE91DA3CC35 + 9179.50ns INFO [00009181] Port=0 RD @00 + 9179.50ns INFO [00009181] Port=1 RD @02 + 9180.50ns INFO [00009182] Port=0 WR @01=CCC2300CF8BF659405 + 9180.50ns INFO [00009182] Port=1 RD @06 + 9181.50ns INFO [00009183] * RD COMPARE * port=0 adr=00 act=ED8147797747E4247A exp=ED8147797747E4247A + 9181.50ns INFO [00009183] * RD COMPARE * port=1 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9182.50ns INFO [00009184] * RD COMPARE * port=1 adr=06 act=3FF44C6320DBFFB453 exp=3FF44C6320DBFFB453 + 9182.50ns INFO [00009184] Port=0 WR @06=637CA94384C10B33CE + 9182.50ns INFO [00009184] Port=0 RD @00 + 9184.50ns INFO [00009186] * RD COMPARE * port=0 adr=00 act=ED8147797747E4247A exp=ED8147797747E4247A + 9184.50ns INFO [00009186] Port=0 WR @06=CDBA74D383EE1D8AA9 + 9184.50ns INFO [00009186] Port=0 RD @02 + 9184.50ns INFO [00009186] Port=1 RD @02 + 9185.50ns INFO [00009187] Port=0 WR @01=96C3223FB290FCBBF7 + 9185.50ns INFO [00009187] Port=0 RD @07 + 9186.50ns INFO [00009188] * RD COMPARE * port=0 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9186.50ns INFO [00009188] * RD COMPARE * port=1 adr=02 act=A6F14B7B9508F01D3C exp=A6F14B7B9508F01D3C + 9187.50ns INFO [00009189] * RD COMPARE * port=0 adr=07 act=A1BF5589887D8226A5 exp=A1BF5589887D8226A5 + 9187.50ns INFO [00009189] Port=0 RD @03 + 9188.50ns INFO [00009190] Port=0 RD @00 + 9189.50ns INFO [00009191] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9189.50ns INFO [00009191] Port=0 WR @04=E18F6254918FADAE79 + 9190.50ns INFO [00009192] * RD COMPARE * port=0 adr=00 act=ED8147797747E4247A exp=ED8147797747E4247A + 9190.50ns INFO [00009192] Port=0 WR @07=860BFE667672ADFC8A + 9191.50ns INFO [00009193] Port=0 WR @00=88787615A89C672C01 + 9192.50ns INFO [00009194] Port=0 WR @01=639F1BF24E1C2ACCF2 + 9192.50ns INFO [00009194] Port=0 RD @00 + 9192.50ns INFO [00009194] Port=1 RD @03 + 9193.50ns INFO [00009195] Port=0 WR @02=0255F88B3C5C77A36E + 9193.50ns INFO [00009195] Port=1 RD @00 + 9194.50ns INFO [00009196] * RD COMPARE * port=0 adr=00 act=88787615A89C672C01 exp=88787615A89C672C01 + 9194.50ns INFO [00009196] * RD COMPARE * port=1 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9194.50ns INFO [00009196] Port=0 WR @02=E8D73977B05A24905D + 9195.50ns INFO [00009197] * RD COMPARE * port=1 adr=00 act=88787615A89C672C01 exp=88787615A89C672C01 + 9196.50ns INFO [00009198] Port=0 RD @06 + 9197.50ns INFO [00009199] Port=1 RD @05 + 9198.00ns INFO [00009200] [00009200] ...tick... + 9198.50ns INFO [00009200] * RD COMPARE * port=0 adr=06 act=CDBA74D383EE1D8AA9 exp=CDBA74D383EE1D8AA9 + 9198.50ns INFO [00009200] Port=0 WR @05=9D38BA5DF912D1A7A9 + 9198.50ns INFO [00009200] Port=1 RD @07 + 9199.50ns INFO [00009201] * RD COMPARE * port=1 adr=05 act=421523B18C91E0179B exp=421523B18C91E0179B + 9200.50ns INFO [00009202] * RD COMPARE * port=1 adr=07 act=860BFE667672ADFC8A exp=860BFE667672ADFC8A + 9202.50ns INFO [00009204] Port=0 WR @01=13AEAB9824F4AAEEB7 + 9202.50ns INFO [00009204] Port=1 RD @04 + 9203.50ns INFO [00009205] Port=0 RD @02 + 9204.50ns INFO [00009206] * RD COMPARE * port=1 adr=04 act=E18F6254918FADAE79 exp=E18F6254918FADAE79 + 9204.50ns INFO [00009206] Port=0 WR @06=719A1CE0D799D4EB75 + 9204.50ns INFO [00009206] Port=1 RD @03 + 9205.50ns INFO [00009207] * RD COMPARE * port=0 adr=02 act=E8D73977B05A24905D exp=E8D73977B05A24905D + 9205.50ns INFO [00009207] Port=0 WR @05=64C7FABD591157F504 + 9205.50ns INFO [00009207] Port=0 RD @00 + 9205.50ns INFO [00009207] Port=1 RD @00 + 9206.50ns INFO [00009208] * RD COMPARE * port=1 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9206.50ns INFO [00009208] Port=0 RD @06 + 9207.50ns INFO [00009209] * RD COMPARE * port=0 adr=00 act=88787615A89C672C01 exp=88787615A89C672C01 + 9207.50ns INFO [00009209] * RD COMPARE * port=1 adr=00 act=88787615A89C672C01 exp=88787615A89C672C01 + 9207.50ns INFO [00009209] Port=0 RD @01 + 9207.50ns INFO [00009209] Port=1 RD @07 + 9208.50ns INFO [00009210] * RD COMPARE * port=0 adr=06 act=719A1CE0D799D4EB75 exp=719A1CE0D799D4EB75 + 9209.50ns INFO [00009211] * RD COMPARE * port=0 adr=01 act=13AEAB9824F4AAEEB7 exp=13AEAB9824F4AAEEB7 + 9209.50ns INFO [00009211] * RD COMPARE * port=1 adr=07 act=860BFE667672ADFC8A exp=860BFE667672ADFC8A + 9209.50ns INFO [00009211] Port=0 WR @05=D87873DBE07D771FE6 + 9210.50ns INFO [00009212] Port=0 WR @07=29C06E8CF059D9940F + 9210.50ns INFO [00009212] Port=0 RD @00 + 9211.50ns INFO [00009213] Port=0 RD @07 + 9211.50ns INFO [00009213] Port=1 RD @04 + 9212.50ns INFO [00009214] * RD COMPARE * port=0 adr=00 act=88787615A89C672C01 exp=88787615A89C672C01 + 9212.50ns INFO [00009214] Port=0 RD @04 + 9212.50ns INFO [00009214] Port=1 RD @07 + 9213.50ns INFO [00009215] * RD COMPARE * port=0 adr=07 act=29C06E8CF059D9940F exp=29C06E8CF059D9940F + 9213.50ns INFO [00009215] * RD COMPARE * port=1 adr=04 act=E18F6254918FADAE79 exp=E18F6254918FADAE79 + 9213.50ns INFO [00009215] Port=0 RD @00 + 9214.50ns INFO [00009216] * RD COMPARE * port=0 adr=04 act=E18F6254918FADAE79 exp=E18F6254918FADAE79 + 9214.50ns INFO [00009216] * RD COMPARE * port=1 adr=07 act=29C06E8CF059D9940F exp=29C06E8CF059D9940F + 9214.50ns INFO [00009216] Port=0 WR @06=4BA982E5F796688ACB + 9214.50ns INFO [00009216] Port=0 RD @05 + 9215.50ns INFO [00009217] * RD COMPARE * port=0 adr=00 act=88787615A89C672C01 exp=88787615A89C672C01 + 9215.50ns INFO [00009217] Port=0 RD @05 + 9215.50ns INFO [00009217] Port=1 RD @02 + 9216.50ns INFO [00009218] * RD COMPARE * port=0 adr=05 act=D87873DBE07D771FE6 exp=D87873DBE07D771FE6 + 9217.50ns INFO [00009219] * RD COMPARE * port=0 adr=05 act=D87873DBE07D771FE6 exp=D87873DBE07D771FE6 + 9217.50ns INFO [00009219] * RD COMPARE * port=1 adr=02 act=E8D73977B05A24905D exp=E8D73977B05A24905D + 9217.50ns INFO [00009219] Port=0 WR @04=77198E559BFE4C3D9C + 9217.50ns INFO [00009219] Port=1 RD @05 + 9218.50ns INFO [00009220] Port=0 WR @04=32EEDABA0DA7698AAE + 9219.50ns INFO [00009221] * RD COMPARE * port=1 adr=05 act=D87873DBE07D771FE6 exp=D87873DBE07D771FE6 + 9220.50ns INFO [00009222] Port=0 WR @04=ED99CB000FFAA67F7B + 9220.50ns INFO [00009222] Port=0 RD @00 + 9221.50ns INFO [00009223] Port=0 RD @02 + 9222.50ns INFO [00009224] * RD COMPARE * port=0 adr=00 act=88787615A89C672C01 exp=88787615A89C672C01 + 9222.50ns INFO [00009224] Port=1 RD @06 + 9223.50ns INFO [00009225] * RD COMPARE * port=0 adr=02 act=E8D73977B05A24905D exp=E8D73977B05A24905D + 9223.50ns INFO [00009225] Port=0 WR @00=D271D2FCECDAC73001 + 9223.50ns INFO [00009225] Port=0 RD @03 + 9224.50ns INFO [00009226] * RD COMPARE * port=1 adr=06 act=4BA982E5F796688ACB exp=4BA982E5F796688ACB + 9224.50ns INFO [00009226] Port=1 RD @05 + 9225.50ns INFO [00009227] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9225.50ns INFO [00009227] Port=1 RD @06 + 9226.50ns INFO [00009228] * RD COMPARE * port=1 adr=05 act=D87873DBE07D771FE6 exp=D87873DBE07D771FE6 + 9227.50ns INFO [00009229] * RD COMPARE * port=1 adr=06 act=4BA982E5F796688ACB exp=4BA982E5F796688ACB + 9227.50ns INFO [00009229] Port=0 RD @06 + 9228.50ns INFO [00009230] Port=1 RD @06 + 9229.50ns INFO [00009231] * RD COMPARE * port=0 adr=06 act=4BA982E5F796688ACB exp=4BA982E5F796688ACB + 9229.50ns INFO [00009231] Port=0 RD @02 + 9230.50ns INFO [00009232] * RD COMPARE * port=1 adr=06 act=4BA982E5F796688ACB exp=4BA982E5F796688ACB + 9231.50ns INFO [00009233] * RD COMPARE * port=0 adr=02 act=E8D73977B05A24905D exp=E8D73977B05A24905D + 9233.50ns INFO [00009235] Port=0 WR @02=EF00123B9F33F216FB + 9234.50ns INFO [00009236] Port=0 RD @05 + 9236.50ns INFO [00009238] * RD COMPARE * port=0 adr=05 act=D87873DBE07D771FE6 exp=D87873DBE07D771FE6 + 9236.50ns INFO [00009238] Port=0 WR @06=758836263EC1D32DFC + 9237.50ns INFO [00009239] Port=0 RD @01 + 9238.50ns INFO [00009240] Port=0 WR @07=9DBB44F544931976EA + 9238.50ns INFO [00009240] Port=0 RD @06 + 9238.50ns INFO [00009240] Port=1 RD @06 + 9239.50ns INFO [00009241] * RD COMPARE * port=0 adr=01 act=13AEAB9824F4AAEEB7 exp=13AEAB9824F4AAEEB7 + 9239.50ns INFO [00009241] Port=1 RD @00 + 9240.50ns INFO [00009242] * RD COMPARE * port=0 adr=06 act=758836263EC1D32DFC exp=758836263EC1D32DFC + 9240.50ns INFO [00009242] * RD COMPARE * port=1 adr=06 act=758836263EC1D32DFC exp=758836263EC1D32DFC + 9241.50ns INFO [00009243] * RD COMPARE * port=1 adr=00 act=D271D2FCECDAC73001 exp=D271D2FCECDAC73001 + 9243.50ns INFO [00009245] Port=0 RD @02 + 9243.50ns INFO [00009245] Port=1 RD @06 + 9244.50ns INFO [00009246] Port=0 WR @07=7C6991F76C61BC49EB + 9245.50ns INFO [00009247] * RD COMPARE * port=0 adr=02 act=EF00123B9F33F216FB exp=EF00123B9F33F216FB + 9245.50ns INFO [00009247] * RD COMPARE * port=1 adr=06 act=758836263EC1D32DFC exp=758836263EC1D32DFC + 9245.50ns INFO [00009247] Port=0 WR @04=7B57F1F8FBBD7C02F6 + 9245.50ns INFO [00009247] Port=0 RD @03 + 9246.50ns INFO [00009248] Port=0 RD @04 + 9246.50ns INFO [00009248] Port=1 RD @06 + 9247.50ns INFO [00009249] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9247.50ns INFO [00009249] Port=0 RD @06 + 9247.50ns INFO [00009249] Port=1 RD @01 + 9248.50ns INFO [00009250] * RD COMPARE * port=0 adr=04 act=7B57F1F8FBBD7C02F6 exp=7B57F1F8FBBD7C02F6 + 9248.50ns INFO [00009250] * RD COMPARE * port=1 adr=06 act=758836263EC1D32DFC exp=758836263EC1D32DFC + 9248.50ns INFO [00009250] Port=0 RD @04 + 9249.50ns INFO [00009251] * RD COMPARE * port=0 adr=06 act=758836263EC1D32DFC exp=758836263EC1D32DFC + 9249.50ns INFO [00009251] * RD COMPARE * port=1 adr=01 act=13AEAB9824F4AAEEB7 exp=13AEAB9824F4AAEEB7 + 9250.50ns INFO [00009252] * RD COMPARE * port=0 adr=04 act=7B57F1F8FBBD7C02F6 exp=7B57F1F8FBBD7C02F6 + 9250.50ns INFO [00009252] Port=1 RD @07 + 9252.50ns INFO [00009254] * RD COMPARE * port=1 adr=07 act=7C6991F76C61BC49EB exp=7C6991F76C61BC49EB + 9252.50ns INFO [00009254] Port=0 WR @07=E8FCDC074A8A6662A6 + 9252.50ns INFO [00009254] Port=0 RD @05 + 9252.50ns INFO [00009254] Port=1 RD @01 + 9254.50ns INFO [00009256] * RD COMPARE * port=0 adr=05 act=D87873DBE07D771FE6 exp=D87873DBE07D771FE6 + 9254.50ns INFO [00009256] * RD COMPARE * port=1 adr=01 act=13AEAB9824F4AAEEB7 exp=13AEAB9824F4AAEEB7 + 9254.50ns INFO [00009256] Port=1 RD @03 + 9255.50ns INFO [00009257] Port=0 WR @01=AA8FFFF13F3D29EE4E + 9256.50ns INFO [00009258] * RD COMPARE * port=1 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9256.50ns INFO [00009258] Port=1 RD @04 + 9257.50ns INFO [00009259] Port=0 WR @01=B4D5D8BE7DB66745DA + 9257.50ns INFO [00009259] Port=0 RD @03 + 9258.50ns INFO [00009260] * RD COMPARE * port=1 adr=04 act=7B57F1F8FBBD7C02F6 exp=7B57F1F8FBBD7C02F6 + 9258.50ns INFO [00009260] Port=0 WR @02=FE1618EAE48BCF1529 + 9258.50ns INFO [00009260] Port=0 RD @03 + 9258.50ns INFO [00009260] Port=1 RD @05 + 9259.50ns INFO [00009261] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9260.50ns INFO [00009262] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9260.50ns INFO [00009262] * RD COMPARE * port=1 adr=05 act=D87873DBE07D771FE6 exp=D87873DBE07D771FE6 + 9260.50ns INFO [00009262] Port=0 WR @05=F2DCF5393FB9D5EB32 + 9261.50ns INFO [00009263] Port=0 WR @06=8C2ABB8D5428E7180C + 9261.50ns INFO [00009263] Port=0 RD @02 + 9261.50ns INFO [00009263] Port=1 RD @05 + 9262.50ns INFO [00009264] Port=0 RD @05 + 9263.50ns INFO [00009265] * RD COMPARE * port=0 adr=02 act=FE1618EAE48BCF1529 exp=FE1618EAE48BCF1529 + 9263.50ns INFO [00009265] * RD COMPARE * port=1 adr=05 act=F2DCF5393FB9D5EB32 exp=F2DCF5393FB9D5EB32 + 9263.50ns INFO [00009265] Port=1 RD @01 + 9264.50ns INFO [00009266] * RD COMPARE * port=0 adr=05 act=F2DCF5393FB9D5EB32 exp=F2DCF5393FB9D5EB32 + 9264.50ns INFO [00009266] Port=0 RD @03 + 9264.50ns INFO [00009266] Port=1 RD @04 + 9265.50ns INFO [00009267] * RD COMPARE * port=1 adr=01 act=B4D5D8BE7DB66745DA exp=B4D5D8BE7DB66745DA + 9265.50ns INFO [00009267] Port=0 WR @02=16B9956D56CD5280B1 + 9265.50ns INFO [00009267] Port=1 RD @00 + 9266.50ns INFO [00009268] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9266.50ns INFO [00009268] * RD COMPARE * port=1 adr=04 act=7B57F1F8FBBD7C02F6 exp=7B57F1F8FBBD7C02F6 + 9267.50ns INFO [00009269] * RD COMPARE * port=1 adr=00 act=D271D2FCECDAC73001 exp=D271D2FCECDAC73001 + 9267.50ns INFO [00009269] Port=0 WR @02=93CC96BEAF78B5C3D3 + 9267.50ns INFO [00009269] Port=1 RD @06 + 9268.50ns INFO [00009270] Port=0 RD @05 + 9269.50ns INFO [00009271] * RD COMPARE * port=1 adr=06 act=8C2ABB8D5428E7180C exp=8C2ABB8D5428E7180C + 9269.50ns INFO [00009271] Port=0 RD @04 + 9270.50ns INFO [00009272] * RD COMPARE * port=0 adr=05 act=F2DCF5393FB9D5EB32 exp=F2DCF5393FB9D5EB32 + 9271.50ns INFO [00009273] * RD COMPARE * port=0 adr=04 act=7B57F1F8FBBD7C02F6 exp=7B57F1F8FBBD7C02F6 + 9271.50ns INFO [00009273] Port=0 RD @01 + 9272.50ns INFO [00009274] Port=0 RD @01 + 9273.50ns INFO [00009275] * RD COMPARE * port=0 adr=01 act=B4D5D8BE7DB66745DA exp=B4D5D8BE7DB66745DA + 9273.50ns INFO [00009275] Port=1 RD @00 + 9274.50ns INFO [00009276] * RD COMPARE * port=0 adr=01 act=B4D5D8BE7DB66745DA exp=B4D5D8BE7DB66745DA + 9275.50ns INFO [00009277] * RD COMPARE * port=1 adr=00 act=D271D2FCECDAC73001 exp=D271D2FCECDAC73001 + 9275.50ns INFO [00009277] Port=1 RD @06 + 9276.50ns INFO [00009278] Port=0 RD @04 + 9277.50ns INFO [00009279] * RD COMPARE * port=1 adr=06 act=8C2ABB8D5428E7180C exp=8C2ABB8D5428E7180C + 9277.50ns INFO [00009279] Port=0 WR @04=86DEDDD3661129F47C + 9277.50ns INFO [00009279] Port=0 RD @02 + 9278.50ns INFO [00009280] * RD COMPARE * port=0 adr=04 act=7B57F1F8FBBD7C02F6 exp=7B57F1F8FBBD7C02F6 + 9278.50ns INFO [00009280] Port=1 RD @06 + 9279.50ns INFO [00009281] * RD COMPARE * port=0 adr=02 act=93CC96BEAF78B5C3D3 exp=93CC96BEAF78B5C3D3 + 9279.50ns INFO [00009281] Port=0 WR @06=24959CAAEDC6ADAF97 + 9279.50ns INFO [00009281] Port=0 RD @04 + 9279.50ns INFO [00009281] Port=1 RD @05 + 9280.50ns INFO [00009282] * RD COMPARE * port=1 adr=06 act=8C2ABB8D5428E7180C exp=8C2ABB8D5428E7180C + 9281.50ns INFO [00009283] * RD COMPARE * port=0 adr=04 act=86DEDDD3661129F47C exp=86DEDDD3661129F47C + 9281.50ns INFO [00009283] * RD COMPARE * port=1 adr=05 act=F2DCF5393FB9D5EB32 exp=F2DCF5393FB9D5EB32 + 9281.50ns INFO [00009283] Port=0 WR @01=A327DE745746BB50DF + 9281.50ns INFO [00009283] Port=0 RD @05 + 9283.50ns INFO [00009285] * RD COMPARE * port=0 adr=05 act=F2DCF5393FB9D5EB32 exp=F2DCF5393FB9D5EB32 + 9284.50ns INFO [00009286] Port=1 RD @00 + 9285.50ns INFO [00009287] Port=0 WR @05=1F15314BF65F7EC1E2 + 9285.50ns INFO [00009287] Port=0 RD @06 + 9286.50ns INFO [00009288] * RD COMPARE * port=1 adr=00 act=D271D2FCECDAC73001 exp=D271D2FCECDAC73001 + 9286.50ns INFO [00009288] Port=0 RD @05 + 9286.50ns INFO [00009288] Port=1 RD @05 + 9287.50ns INFO [00009289] * RD COMPARE * port=0 adr=06 act=24959CAAEDC6ADAF97 exp=24959CAAEDC6ADAF97 + 9287.50ns INFO [00009289] Port=0 WR @00=03634BECBB201021CA + 9287.50ns INFO [00009289] Port=0 RD @03 + 9287.50ns INFO [00009289] Port=1 RD @07 + 9288.50ns INFO [00009290] * RD COMPARE * port=0 adr=05 act=1F15314BF65F7EC1E2 exp=1F15314BF65F7EC1E2 + 9288.50ns INFO [00009290] * RD COMPARE * port=1 adr=05 act=1F15314BF65F7EC1E2 exp=1F15314BF65F7EC1E2 + 9289.50ns INFO [00009291] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9289.50ns INFO [00009291] * RD COMPARE * port=1 adr=07 act=E8FCDC074A8A6662A6 exp=E8FCDC074A8A6662A6 + 9289.50ns INFO [00009291] Port=0 WR @04=421A8BED843EAE36A9 + 9289.50ns INFO [00009291] Port=0 RD @03 + 9290.50ns INFO [00009292] Port=0 RD @00 + 9290.50ns INFO [00009292] Port=1 RD @00 + 9291.50ns INFO [00009293] * RD COMPARE * port=0 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9291.50ns INFO [00009293] Port=0 WR @01=F9D329EE0B3C668166 + 9291.50ns INFO [00009293] Port=0 RD @04 + 9291.50ns INFO [00009293] Port=1 RD @03 + 9292.50ns INFO [00009294] * RD COMPARE * port=0 adr=00 act=03634BECBB201021CA exp=03634BECBB201021CA + 9292.50ns INFO [00009294] * RD COMPARE * port=1 adr=00 act=03634BECBB201021CA exp=03634BECBB201021CA + 9292.50ns INFO [00009294] Port=0 RD @05 + 9293.50ns INFO [00009295] * RD COMPARE * port=0 adr=04 act=421A8BED843EAE36A9 exp=421A8BED843EAE36A9 + 9293.50ns INFO [00009295] * RD COMPARE * port=1 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9293.50ns INFO [00009295] Port=0 WR @02=F609A3AE7504870077 + 9293.50ns INFO [00009295] Port=0 RD @00 + 9293.50ns INFO [00009295] Port=1 RD @06 + 9294.50ns INFO [00009296] * RD COMPARE * port=0 adr=05 act=1F15314BF65F7EC1E2 exp=1F15314BF65F7EC1E2 + 9294.50ns INFO [00009296] Port=0 RD @04 + 9294.50ns INFO [00009296] Port=1 RD @00 + 9295.50ns INFO [00009297] * RD COMPARE * port=0 adr=00 act=03634BECBB201021CA exp=03634BECBB201021CA + 9295.50ns INFO [00009297] * RD COMPARE * port=1 adr=06 act=24959CAAEDC6ADAF97 exp=24959CAAEDC6ADAF97 + 9295.50ns INFO [00009297] Port=1 RD @02 + 9296.50ns INFO [00009298] * RD COMPARE * port=0 adr=04 act=421A8BED843EAE36A9 exp=421A8BED843EAE36A9 + 9296.50ns INFO [00009298] * RD COMPARE * port=1 adr=00 act=03634BECBB201021CA exp=03634BECBB201021CA + 9296.50ns INFO [00009298] Port=0 WR @05=9040DB086331FD6FB9 + 9297.50ns INFO [00009299] * RD COMPARE * port=1 adr=02 act=F609A3AE7504870077 exp=F609A3AE7504870077 + 9298.00ns INFO [00009300] [00009300] ...tick... + 9298.50ns INFO [00009300] Port=0 RD @02 + 9298.50ns INFO [00009300] Port=1 RD @07 + 9299.50ns INFO [00009301] Port=0 RD @00 + 9300.50ns INFO [00009302] * RD COMPARE * port=0 adr=02 act=F609A3AE7504870077 exp=F609A3AE7504870077 + 9300.50ns INFO [00009302] * RD COMPARE * port=1 adr=07 act=E8FCDC074A8A6662A6 exp=E8FCDC074A8A6662A6 + 9300.50ns INFO [00009302] Port=0 WR @02=A80DCA18ACB506CE58 + 9301.50ns INFO [00009303] * RD COMPARE * port=0 adr=00 act=03634BECBB201021CA exp=03634BECBB201021CA + 9301.50ns INFO [00009303] Port=0 WR @07=E20945D83D97A4DEF7 + 9302.50ns INFO [00009304] Port=0 RD @02 + 9303.50ns INFO [00009305] Port=1 RD @04 + 9304.50ns INFO [00009306] * RD COMPARE * port=0 adr=02 act=A80DCA18ACB506CE58 exp=A80DCA18ACB506CE58 + 9304.50ns INFO [00009306] Port=0 WR @05=7F5F9E9EF769C98FDD + 9304.50ns INFO [00009306] Port=0 RD @06 + 9304.50ns INFO [00009306] Port=1 RD @06 + 9305.50ns INFO [00009307] * RD COMPARE * port=1 adr=04 act=421A8BED843EAE36A9 exp=421A8BED843EAE36A9 + 9305.50ns INFO [00009307] Port=0 WR @00=30FD1823E2A39C7010 + 9306.50ns INFO [00009308] * RD COMPARE * port=0 adr=06 act=24959CAAEDC6ADAF97 exp=24959CAAEDC6ADAF97 + 9306.50ns INFO [00009308] * RD COMPARE * port=1 adr=06 act=24959CAAEDC6ADAF97 exp=24959CAAEDC6ADAF97 + 9306.50ns INFO [00009308] Port=1 RD @03 + 9307.50ns INFO [00009309] Port=0 WR @03=AE4E099F359F5AA715 + 9307.50ns INFO [00009309] Port=0 RD @05 + 9307.50ns INFO [00009309] Port=1 RD @05 + 9308.50ns INFO [00009310] * RD COMPARE * port=1 adr=03 act=5E455F52E5A7B673AD exp=5E455F52E5A7B673AD + 9308.50ns INFO [00009310] Port=0 WR @06=5DF284FA7BF437B000 + 9308.50ns INFO [00009310] Port=1 RD @01 + 9309.50ns INFO [00009311] * RD COMPARE * port=0 adr=05 act=7F5F9E9EF769C98FDD exp=7F5F9E9EF769C98FDD + 9309.50ns INFO [00009311] * RD COMPARE * port=1 adr=05 act=7F5F9E9EF769C98FDD exp=7F5F9E9EF769C98FDD + 9309.50ns INFO [00009311] Port=1 RD @06 + 9310.50ns INFO [00009312] * RD COMPARE * port=1 adr=01 act=F9D329EE0B3C668166 exp=F9D329EE0B3C668166 + 9310.50ns INFO [00009312] Port=0 WR @01=10804AEA9ED01AD0E3 + 9310.50ns INFO [00009312] Port=0 RD @06 + 9311.50ns INFO [00009313] * RD COMPARE * port=1 adr=06 act=5DF284FA7BF437B000 exp=5DF284FA7BF437B000 + 9311.50ns INFO [00009313] Port=0 RD @07 + 9312.50ns INFO [00009314] * RD COMPARE * port=0 adr=06 act=5DF284FA7BF437B000 exp=5DF284FA7BF437B000 + 9312.50ns INFO [00009314] Port=0 RD @07 + 9313.50ns INFO [00009315] * RD COMPARE * port=0 adr=07 act=E20945D83D97A4DEF7 exp=E20945D83D97A4DEF7 + 9313.50ns INFO [00009315] Port=0 WR @05=5F9EC90D844DD02A6C + 9313.50ns INFO [00009315] Port=0 RD @01 + 9313.50ns INFO [00009315] Port=1 RD @01 + 9314.50ns INFO [00009316] * RD COMPARE * port=0 adr=07 act=E20945D83D97A4DEF7 exp=E20945D83D97A4DEF7 + 9314.50ns INFO [00009316] Port=1 RD @03 + 9315.50ns INFO [00009317] * RD COMPARE * port=0 adr=01 act=10804AEA9ED01AD0E3 exp=10804AEA9ED01AD0E3 + 9315.50ns INFO [00009317] * RD COMPARE * port=1 adr=01 act=10804AEA9ED01AD0E3 exp=10804AEA9ED01AD0E3 + 9316.50ns INFO [00009318] * RD COMPARE * port=1 adr=03 act=AE4E099F359F5AA715 exp=AE4E099F359F5AA715 + 9316.50ns INFO [00009318] Port=0 WR @00=72DBDD6AA8992B7619 + 9316.50ns INFO [00009318] Port=0 RD @03 + 9316.50ns INFO [00009318] Port=1 RD @05 + 9318.50ns INFO [00009320] * RD COMPARE * port=0 adr=03 act=AE4E099F359F5AA715 exp=AE4E099F359F5AA715 + 9318.50ns INFO [00009320] * RD COMPARE * port=1 adr=05 act=5F9EC90D844DD02A6C exp=5F9EC90D844DD02A6C + 9318.50ns INFO [00009320] Port=1 RD @05 + 9319.50ns INFO [00009321] Port=0 WR @00=F76E49DE61D8ACBEC9 + 9319.50ns INFO [00009321] Port=1 RD @06 + 9320.50ns INFO [00009322] * RD COMPARE * port=1 adr=05 act=5F9EC90D844DD02A6C exp=5F9EC90D844DD02A6C + 9320.50ns INFO [00009322] Port=0 WR @03=3B846A699C4ADFC807 + 9320.50ns INFO [00009322] Port=0 RD @01 + 9321.50ns INFO [00009323] * RD COMPARE * port=1 adr=06 act=5DF284FA7BF437B000 exp=5DF284FA7BF437B000 + 9322.50ns INFO [00009324] * RD COMPARE * port=0 adr=01 act=10804AEA9ED01AD0E3 exp=10804AEA9ED01AD0E3 + 9322.50ns INFO [00009324] Port=0 RD @05 + 9322.50ns INFO [00009324] Port=1 RD @01 + 9323.50ns INFO [00009325] Port=0 WR @01=842F4A89000A4AB4E8 + 9323.50ns INFO [00009325] Port=1 RD @03 + 9324.50ns INFO [00009326] * RD COMPARE * port=0 adr=05 act=5F9EC90D844DD02A6C exp=5F9EC90D844DD02A6C + 9324.50ns INFO [00009326] * RD COMPARE * port=1 adr=01 act=10804AEA9ED01AD0E3 exp=10804AEA9ED01AD0E3 + 9324.50ns INFO [00009326] Port=0 WR @04=5450BDB382BE8FB343 + 9324.50ns INFO [00009326] Port=0 RD @00 + 9325.50ns INFO [00009327] * RD COMPARE * port=1 adr=03 act=3B846A699C4ADFC807 exp=3B846A699C4ADFC807 + 9326.50ns INFO [00009328] * RD COMPARE * port=0 adr=00 act=F76E49DE61D8ACBEC9 exp=F76E49DE61D8ACBEC9 + 9327.50ns INFO [00009329] Port=1 RD @01 + 9329.50ns INFO [00009331] * RD COMPARE * port=1 adr=01 act=842F4A89000A4AB4E8 exp=842F4A89000A4AB4E8 + 9331.50ns INFO [00009333] Port=0 RD @06 + 9331.50ns INFO [00009333] Port=1 RD @00 + 9332.50ns INFO [00009334] Port=0 RD @06 + 9333.50ns INFO [00009335] * RD COMPARE * port=0 adr=06 act=5DF284FA7BF437B000 exp=5DF284FA7BF437B000 + 9333.50ns INFO [00009335] * RD COMPARE * port=1 adr=00 act=F76E49DE61D8ACBEC9 exp=F76E49DE61D8ACBEC9 + 9334.50ns INFO [00009336] * RD COMPARE * port=0 adr=06 act=5DF284FA7BF437B000 exp=5DF284FA7BF437B000 + 9334.50ns INFO [00009336] Port=1 RD @00 + 9335.50ns INFO [00009337] Port=0 WR @00=7E4F406DDA5A38B76D + 9335.50ns INFO [00009337] Port=1 RD @06 + 9336.50ns INFO [00009338] * RD COMPARE * port=1 adr=00 act=F76E49DE61D8ACBEC9 exp=F76E49DE61D8ACBEC9 + 9337.50ns INFO [00009339] * RD COMPARE * port=1 adr=06 act=5DF284FA7BF437B000 exp=5DF284FA7BF437B000 + 9337.50ns INFO [00009339] Port=0 RD @04 + 9338.50ns INFO [00009340] Port=0 RD @04 + 9339.50ns INFO [00009341] * RD COMPARE * port=0 adr=04 act=5450BDB382BE8FB343 exp=5450BDB382BE8FB343 + 9340.50ns INFO [00009342] * RD COMPARE * port=0 adr=04 act=5450BDB382BE8FB343 exp=5450BDB382BE8FB343 + 9340.50ns INFO [00009342] Port=1 RD @07 + 9342.50ns INFO [00009344] * RD COMPARE * port=1 adr=07 act=E20945D83D97A4DEF7 exp=E20945D83D97A4DEF7 + 9342.50ns INFO [00009344] Port=1 RD @02 + 9343.50ns INFO [00009345] Port=0 RD @05 + 9344.50ns INFO [00009346] * RD COMPARE * port=1 adr=02 act=A80DCA18ACB506CE58 exp=A80DCA18ACB506CE58 + 9344.50ns INFO [00009346] Port=0 WR @00=5213F67EC6A6FE3DC7 + 9344.50ns INFO [00009346] Port=0 RD @07 + 9345.50ns INFO [00009347] * RD COMPARE * port=0 adr=05 act=5F9EC90D844DD02A6C exp=5F9EC90D844DD02A6C + 9345.50ns INFO [00009347] Port=0 WR @02=F401CEF7374C7E63AB + 9345.50ns INFO [00009347] Port=0 RD @06 + 9345.50ns INFO [00009347] Port=1 RD @07 + 9346.50ns INFO [00009348] * RD COMPARE * port=0 adr=07 act=E20945D83D97A4DEF7 exp=E20945D83D97A4DEF7 + 9346.50ns INFO [00009348] Port=1 RD @06 + 9347.50ns INFO [00009349] * RD COMPARE * port=0 adr=06 act=5DF284FA7BF437B000 exp=5DF284FA7BF437B000 + 9347.50ns INFO [00009349] * RD COMPARE * port=1 adr=07 act=E20945D83D97A4DEF7 exp=E20945D83D97A4DEF7 + 9347.50ns INFO [00009349] Port=0 WR @00=71935DC3E0AE85AC9A + 9347.50ns INFO [00009349] Port=0 RD @04 + 9348.50ns INFO [00009350] * RD COMPARE * port=1 adr=06 act=5DF284FA7BF437B000 exp=5DF284FA7BF437B000 + 9348.50ns INFO [00009350] Port=0 WR @03=752689D1790EE71D34 + 9349.50ns INFO [00009351] * RD COMPARE * port=0 adr=04 act=5450BDB382BE8FB343 exp=5450BDB382BE8FB343 + 9349.50ns INFO [00009351] Port=0 RD @02 + 9350.50ns INFO [00009352] Port=0 RD @04 + 9351.50ns INFO [00009353] * RD COMPARE * port=0 adr=02 act=F401CEF7374C7E63AB exp=F401CEF7374C7E63AB + 9352.50ns INFO [00009354] * RD COMPARE * port=0 adr=04 act=5450BDB382BE8FB343 exp=5450BDB382BE8FB343 + 9352.50ns INFO [00009354] Port=1 RD @03 + 9353.50ns INFO [00009355] Port=0 WR @05=B247BB0B236706EB62 + 9354.50ns INFO [00009356] * RD COMPARE * port=1 adr=03 act=752689D1790EE71D34 exp=752689D1790EE71D34 + 9355.50ns INFO [00009357] Port=0 WR @07=4971DCF048AF0FD12D + 9355.50ns INFO [00009357] Port=0 RD @01 + 9356.50ns INFO [00009358] Port=1 RD @01 + 9357.50ns INFO [00009359] * RD COMPARE * port=0 adr=01 act=842F4A89000A4AB4E8 exp=842F4A89000A4AB4E8 + 9357.50ns INFO [00009359] Port=0 RD @01 + 9358.50ns INFO [00009360] * RD COMPARE * port=1 adr=01 act=842F4A89000A4AB4E8 exp=842F4A89000A4AB4E8 + 9358.50ns INFO [00009360] Port=0 WR @06=BEA34ADBA422533064 + 9358.50ns INFO [00009360] Port=0 RD @02 + 9358.50ns INFO [00009360] Port=1 RD @00 + 9359.50ns INFO [00009361] * RD COMPARE * port=0 adr=01 act=842F4A89000A4AB4E8 exp=842F4A89000A4AB4E8 + 9359.50ns INFO [00009361] Port=0 RD @03 + 9359.50ns INFO [00009361] Port=1 RD @03 + 9360.50ns INFO [00009362] * RD COMPARE * port=0 adr=02 act=F401CEF7374C7E63AB exp=F401CEF7374C7E63AB + 9360.50ns INFO [00009362] * RD COMPARE * port=1 adr=00 act=71935DC3E0AE85AC9A exp=71935DC3E0AE85AC9A + 9360.50ns INFO [00009362] Port=0 WR @01=6DB0C7EF6505F9AB48 + 9360.50ns INFO [00009362] Port=1 RD @07 + 9361.50ns INFO [00009363] * RD COMPARE * port=0 adr=03 act=752689D1790EE71D34 exp=752689D1790EE71D34 + 9361.50ns INFO [00009363] * RD COMPARE * port=1 adr=03 act=752689D1790EE71D34 exp=752689D1790EE71D34 + 9361.50ns INFO [00009363] Port=0 WR @01=3384990FFDE044EC45 + 9362.50ns INFO [00009364] * RD COMPARE * port=1 adr=07 act=4971DCF048AF0FD12D exp=4971DCF048AF0FD12D + 9362.50ns INFO [00009364] Port=0 WR @02=FF689C1A79C62E52AE + 9363.50ns INFO [00009365] Port=0 WR @00=FE2CBE44E52674985A + 9363.50ns INFO [00009365] Port=0 RD @07 + 9364.50ns INFO [00009366] Port=0 RD @01 + 9365.50ns INFO [00009367] * RD COMPARE * port=0 adr=07 act=4971DCF048AF0FD12D exp=4971DCF048AF0FD12D + 9365.50ns INFO [00009367] Port=0 RD @03 + 9366.50ns INFO [00009368] * RD COMPARE * port=0 adr=01 act=3384990FFDE044EC45 exp=3384990FFDE044EC45 + 9366.50ns INFO [00009368] Port=0 WR @01=F9A911A319CAFBCA69 + 9366.50ns INFO [00009368] Port=1 RD @03 + 9367.50ns INFO [00009369] * RD COMPARE * port=0 adr=03 act=752689D1790EE71D34 exp=752689D1790EE71D34 + 9367.50ns INFO [00009369] Port=0 WR @07=791B3CA94F27A4C876 + 9368.50ns INFO [00009370] * RD COMPARE * port=1 adr=03 act=752689D1790EE71D34 exp=752689D1790EE71D34 + 9368.50ns INFO [00009370] Port=0 WR @06=834F2CE62E2C98EC10 + 9369.50ns INFO [00009371] Port=0 WR @04=2A647EB225885AF180 + 9369.50ns INFO [00009371] Port=0 RD @02 + 9371.50ns INFO [00009373] * RD COMPARE * port=0 adr=02 act=FF689C1A79C62E52AE exp=FF689C1A79C62E52AE + 9371.50ns INFO [00009373] Port=0 RD @06 + 9371.50ns INFO [00009373] Port=1 RD @05 + 9372.50ns INFO [00009374] Port=1 RD @01 + 9373.50ns INFO [00009375] * RD COMPARE * port=0 adr=06 act=834F2CE62E2C98EC10 exp=834F2CE62E2C98EC10 + 9373.50ns INFO [00009375] * RD COMPARE * port=1 adr=05 act=B247BB0B236706EB62 exp=B247BB0B236706EB62 + 9374.50ns INFO [00009376] * RD COMPARE * port=1 adr=01 act=F9A911A319CAFBCA69 exp=F9A911A319CAFBCA69 + 9376.50ns INFO [00009378] Port=1 RD @02 + 9377.50ns INFO [00009379] Port=0 WR @01=36B98788B800C65ED2 + 9377.50ns INFO [00009379] Port=1 RD @07 + 9378.50ns INFO [00009380] * RD COMPARE * port=1 adr=02 act=FF689C1A79C62E52AE exp=FF689C1A79C62E52AE + 9379.50ns INFO [00009381] * RD COMPARE * port=1 adr=07 act=791B3CA94F27A4C876 exp=791B3CA94F27A4C876 + 9380.50ns INFO [00009382] Port=0 RD @05 + 9380.50ns INFO [00009382] Port=1 RD @06 + 9381.50ns INFO [00009383] Port=0 RD @06 + 9382.50ns INFO [00009384] * RD COMPARE * port=0 adr=05 act=B247BB0B236706EB62 exp=B247BB0B236706EB62 + 9382.50ns INFO [00009384] * RD COMPARE * port=1 adr=06 act=834F2CE62E2C98EC10 exp=834F2CE62E2C98EC10 + 9382.50ns INFO [00009384] Port=0 WR @02=8625D19343B16B3CDA + 9382.50ns INFO [00009384] Port=0 RD @03 + 9382.50ns INFO [00009384] Port=1 RD @00 + 9383.50ns INFO [00009385] * RD COMPARE * port=0 adr=06 act=834F2CE62E2C98EC10 exp=834F2CE62E2C98EC10 + 9383.50ns INFO [00009385] Port=0 WR @05=6249BFCB36153E6362 + 9383.50ns INFO [00009385] Port=1 RD @03 + 9384.50ns INFO [00009386] * RD COMPARE * port=0 adr=03 act=752689D1790EE71D34 exp=752689D1790EE71D34 + 9384.50ns INFO [00009386] * RD COMPARE * port=1 adr=00 act=FE2CBE44E52674985A exp=FE2CBE44E52674985A + 9384.50ns INFO [00009386] Port=0 RD @07 + 9385.50ns INFO [00009387] * RD COMPARE * port=1 adr=03 act=752689D1790EE71D34 exp=752689D1790EE71D34 + 9385.50ns INFO [00009387] Port=0 RD @00 + 9385.50ns INFO [00009387] Port=1 RD @01 + 9386.50ns INFO [00009388] * RD COMPARE * port=0 adr=07 act=791B3CA94F27A4C876 exp=791B3CA94F27A4C876 + 9386.50ns INFO [00009388] Port=0 RD @02 + 9386.50ns INFO [00009388] Port=1 RD @05 + 9387.50ns INFO [00009389] * RD COMPARE * port=0 adr=00 act=FE2CBE44E52674985A exp=FE2CBE44E52674985A + 9387.50ns INFO [00009389] * RD COMPARE * port=1 adr=01 act=36B98788B800C65ED2 exp=36B98788B800C65ED2 + 9387.50ns INFO [00009389] Port=0 WR @04=481D6817A86BCDDBFF + 9388.50ns INFO [00009390] * RD COMPARE * port=0 adr=02 act=8625D19343B16B3CDA exp=8625D19343B16B3CDA + 9388.50ns INFO [00009390] * RD COMPARE * port=1 adr=05 act=6249BFCB36153E6362 exp=6249BFCB36153E6362 + 9388.50ns INFO [00009390] Port=0 WR @01=FD4AD92A217FC979DE + 9388.50ns INFO [00009390] Port=0 RD @00 + 9388.50ns INFO [00009390] Port=1 RD @04 + 9389.50ns INFO [00009391] Port=0 WR @06=387CBF87EA98C81D9B + 9389.50ns INFO [00009391] Port=1 RD @01 + 9390.50ns INFO [00009392] * RD COMPARE * port=0 adr=00 act=FE2CBE44E52674985A exp=FE2CBE44E52674985A + 9390.50ns INFO [00009392] * RD COMPARE * port=1 adr=04 act=481D6817A86BCDDBFF exp=481D6817A86BCDDBFF + 9390.50ns INFO [00009392] Port=0 WR @02=1C18B59968054AAD8E + 9391.50ns INFO [00009393] * RD COMPARE * port=1 adr=01 act=FD4AD92A217FC979DE exp=FD4AD92A217FC979DE + 9391.50ns INFO [00009393] Port=0 RD @03 + 9393.50ns INFO [00009395] * RD COMPARE * port=0 adr=03 act=752689D1790EE71D34 exp=752689D1790EE71D34 + 9393.50ns INFO [00009395] Port=0 WR @03=8C31DD5EAFC30E2BFA + 9394.50ns INFO [00009396] Port=1 RD @05 + 9395.50ns INFO [00009397] Port=1 RD @03 + 9396.50ns INFO [00009398] * RD COMPARE * port=1 adr=05 act=6249BFCB36153E6362 exp=6249BFCB36153E6362 + 9396.50ns INFO [00009398] Port=0 RD @01 + 9396.50ns INFO [00009398] Port=1 RD @04 + 9397.50ns INFO [00009399] * RD COMPARE * port=1 adr=03 act=8C31DD5EAFC30E2BFA exp=8C31DD5EAFC30E2BFA + 9397.50ns INFO [00009399] Port=0 WR @06=C2245BFCBF9A91B632 + 9397.50ns INFO [00009399] Port=1 RD @02 + 9398.00ns INFO [00009400] [00009400] ...tick... + 9398.50ns INFO [00009400] * RD COMPARE * port=0 adr=01 act=FD4AD92A217FC979DE exp=FD4AD92A217FC979DE + 9398.50ns INFO [00009400] * RD COMPARE * port=1 adr=04 act=481D6817A86BCDDBFF exp=481D6817A86BCDDBFF + 9398.50ns INFO [00009400] Port=0 WR @03=934112EF2D6988C9F6 + 9398.50ns INFO [00009400] Port=0 RD @05 + 9399.50ns INFO [00009401] * RD COMPARE * port=1 adr=02 act=1C18B59968054AAD8E exp=1C18B59968054AAD8E + 9400.50ns INFO [00009402] * RD COMPARE * port=0 adr=05 act=6249BFCB36153E6362 exp=6249BFCB36153E6362 + 9400.50ns INFO [00009402] Port=0 WR @04=39C3DAFB33C91624E4 + 9400.50ns INFO [00009402] Port=0 RD @07 + 9400.50ns INFO [00009402] Port=1 RD @07 + 9401.50ns INFO [00009403] Port=0 RD @05 + 9401.50ns INFO [00009403] Port=1 RD @06 + 9402.50ns INFO [00009404] * RD COMPARE * port=0 adr=07 act=791B3CA94F27A4C876 exp=791B3CA94F27A4C876 + 9402.50ns INFO [00009404] * RD COMPARE * port=1 adr=07 act=791B3CA94F27A4C876 exp=791B3CA94F27A4C876 + 9402.50ns INFO [00009404] Port=0 WR @07=C310EE89DE28FE9815 + 9402.50ns INFO [00009404] Port=1 RD @01 + 9403.50ns INFO [00009405] * RD COMPARE * port=0 adr=05 act=6249BFCB36153E6362 exp=6249BFCB36153E6362 + 9403.50ns INFO [00009405] * RD COMPARE * port=1 adr=06 act=C2245BFCBF9A91B632 exp=C2245BFCBF9A91B632 + 9404.50ns INFO [00009406] * RD COMPARE * port=1 adr=01 act=FD4AD92A217FC979DE exp=FD4AD92A217FC979DE + 9404.50ns INFO [00009406] Port=0 RD @00 + 9405.50ns INFO [00009407] Port=0 WR @05=F856EF22C62E1077A3 + 9405.50ns INFO [00009407] Port=0 RD @01 + 9406.50ns INFO [00009408] * RD COMPARE * port=0 adr=00 act=FE2CBE44E52674985A exp=FE2CBE44E52674985A + 9406.50ns INFO [00009408] Port=0 WR @07=DC3312E6F2E30F50D1 + 9407.50ns INFO [00009409] * RD COMPARE * port=0 adr=01 act=FD4AD92A217FC979DE exp=FD4AD92A217FC979DE + 9407.50ns INFO [00009409] Port=1 RD @03 + 9408.50ns INFO [00009410] Port=0 WR @04=F4F8EE6B4CCF9B163F + 9409.50ns INFO [00009411] * RD COMPARE * port=1 adr=03 act=934112EF2D6988C9F6 exp=934112EF2D6988C9F6 + 9410.50ns INFO [00009412] Port=0 WR @05=845911E29FA8F09AF0 + 9412.50ns INFO [00009414] Port=0 WR @06=467ED451E9AF645037 + 9412.50ns INFO [00009414] Port=1 RD @00 + 9413.50ns INFO [00009415] Port=0 RD @06 + 9414.50ns INFO [00009416] * RD COMPARE * port=1 adr=00 act=FE2CBE44E52674985A exp=FE2CBE44E52674985A + 9415.50ns INFO [00009417] * RD COMPARE * port=0 adr=06 act=467ED451E9AF645037 exp=467ED451E9AF645037 + 9415.50ns INFO [00009417] Port=0 RD @01 + 9415.50ns INFO [00009417] Port=1 RD @04 + 9416.50ns INFO [00009418] Port=0 RD @01 + 9416.50ns INFO [00009418] Port=1 RD @02 + 9417.50ns INFO [00009419] * RD COMPARE * port=0 adr=01 act=FD4AD92A217FC979DE exp=FD4AD92A217FC979DE + 9417.50ns INFO [00009419] * RD COMPARE * port=1 adr=04 act=F4F8EE6B4CCF9B163F exp=F4F8EE6B4CCF9B163F + 9417.50ns INFO [00009419] Port=0 WR @03=8C91ACFCE3A164EED4 + 9417.50ns INFO [00009419] Port=1 RD @02 + 9418.50ns INFO [00009420] * RD COMPARE * port=0 adr=01 act=FD4AD92A217FC979DE exp=FD4AD92A217FC979DE + 9418.50ns INFO [00009420] * RD COMPARE * port=1 adr=02 act=1C18B59968054AAD8E exp=1C18B59968054AAD8E + 9418.50ns INFO [00009420] Port=0 RD @05 + 9418.50ns INFO [00009420] Port=1 RD @02 + 9419.50ns INFO [00009421] * RD COMPARE * port=1 adr=02 act=1C18B59968054AAD8E exp=1C18B59968054AAD8E + 9420.50ns INFO [00009422] * RD COMPARE * port=0 adr=05 act=845911E29FA8F09AF0 exp=845911E29FA8F09AF0 + 9420.50ns INFO [00009422] * RD COMPARE * port=1 adr=02 act=1C18B59968054AAD8E exp=1C18B59968054AAD8E + 9420.50ns INFO [00009422] Port=0 WR @05=EC34F81907BA9E259A + 9420.50ns INFO [00009422] Port=0 RD @06 + 9422.50ns INFO [00009424] * RD COMPARE * port=0 adr=06 act=467ED451E9AF645037 exp=467ED451E9AF645037 + 9422.50ns INFO [00009424] Port=0 WR @01=D594D151498C539107 + 9422.50ns INFO [00009424] Port=1 RD @00 + 9423.50ns INFO [00009425] Port=0 WR @01=8A8A962755EFC0F4ED + 9423.50ns INFO [00009425] Port=0 RD @05 + 9423.50ns INFO [00009425] Port=1 RD @00 + 9424.50ns INFO [00009426] * RD COMPARE * port=1 adr=00 act=FE2CBE44E52674985A exp=FE2CBE44E52674985A + 9424.50ns INFO [00009426] Port=0 WR @06=471133F43A8252C089 + 9424.50ns INFO [00009426] Port=0 RD @03 + 9425.50ns INFO [00009427] * RD COMPARE * port=0 adr=05 act=EC34F81907BA9E259A exp=EC34F81907BA9E259A + 9425.50ns INFO [00009427] * RD COMPARE * port=1 adr=00 act=FE2CBE44E52674985A exp=FE2CBE44E52674985A + 9425.50ns INFO [00009427] Port=0 RD @01 + 9426.50ns INFO [00009428] * RD COMPARE * port=0 adr=03 act=8C91ACFCE3A164EED4 exp=8C91ACFCE3A164EED4 + 9426.50ns INFO [00009428] Port=1 RD @02 + 9427.50ns INFO [00009429] * RD COMPARE * port=0 adr=01 act=8A8A962755EFC0F4ED exp=8A8A962755EFC0F4ED + 9427.50ns INFO [00009429] Port=0 RD @07 + 9427.50ns INFO [00009429] Port=1 RD @01 + 9428.50ns INFO [00009430] * RD COMPARE * port=1 adr=02 act=1C18B59968054AAD8E exp=1C18B59968054AAD8E + 9428.50ns INFO [00009430] Port=0 RD @02 + 9429.50ns INFO [00009431] * RD COMPARE * port=0 adr=07 act=DC3312E6F2E30F50D1 exp=DC3312E6F2E30F50D1 + 9429.50ns INFO [00009431] * RD COMPARE * port=1 adr=01 act=8A8A962755EFC0F4ED exp=8A8A962755EFC0F4ED + 9430.50ns INFO [00009432] * RD COMPARE * port=0 adr=02 act=1C18B59968054AAD8E exp=1C18B59968054AAD8E + 9430.50ns INFO [00009432] Port=0 WR @03=D3C9E34054955BB49C + 9430.50ns INFO [00009432] Port=0 RD @06 + 9432.50ns INFO [00009434] * RD COMPARE * port=0 adr=06 act=471133F43A8252C089 exp=471133F43A8252C089 + 9432.50ns INFO [00009434] Port=1 RD @07 + 9433.50ns INFO [00009435] Port=0 RD @03 + 9434.50ns INFO [00009436] * RD COMPARE * port=1 adr=07 act=DC3312E6F2E30F50D1 exp=DC3312E6F2E30F50D1 + 9434.50ns INFO [00009436] Port=0 WR @02=BB0FEEA20EC861C057 + 9435.50ns INFO [00009437] * RD COMPARE * port=0 adr=03 act=D3C9E34054955BB49C exp=D3C9E34054955BB49C + 9435.50ns INFO [00009437] Port=0 RD @06 + 9437.50ns INFO [00009439] * RD COMPARE * port=0 adr=06 act=471133F43A8252C089 exp=471133F43A8252C089 + 9437.50ns INFO [00009439] Port=0 WR @07=872B927543810900D7 + 9438.50ns INFO [00009440] Port=0 WR @01=E046EC032C9BD69960 + 9439.50ns INFO [00009441] Port=0 WR @02=AEF54C6D70C2DB5DCE + 9439.50ns INFO [00009441] Port=0 RD @04 + 9440.50ns INFO [00009442] Port=0 WR @00=0CD5188B69AF23321E + 9440.50ns INFO [00009442] Port=1 RD @03 + 9441.50ns INFO [00009443] * RD COMPARE * port=0 adr=04 act=F4F8EE6B4CCF9B163F exp=F4F8EE6B4CCF9B163F + 9442.50ns INFO [00009444] * RD COMPARE * port=1 adr=03 act=D3C9E34054955BB49C exp=D3C9E34054955BB49C + 9442.50ns INFO [00009444] Port=0 RD @04 + 9444.50ns INFO [00009446] * RD COMPARE * port=0 adr=04 act=F4F8EE6B4CCF9B163F exp=F4F8EE6B4CCF9B163F + 9444.50ns INFO [00009446] Port=0 WR @00=F23D8DDD0D4D498BD9 + 9445.50ns INFO [00009447] Port=0 RD @05 + 9446.50ns INFO [00009448] Port=0 WR @02=23B885F652E02A99D1 + 9447.50ns INFO [00009449] * RD COMPARE * port=0 adr=05 act=EC34F81907BA9E259A exp=EC34F81907BA9E259A + 9450.50ns INFO [00009452] Port=0 WR @04=78AC3528D7B29D0802 + 9452.50ns INFO [00009454] Port=0 WR @02=6E54C26758CEA37475 + 9452.50ns INFO [00009454] Port=1 RD @07 + 9453.50ns INFO [00009455] Port=0 RD @01 + 9453.50ns INFO [00009455] Port=1 RD @00 + 9454.50ns INFO [00009456] * RD COMPARE * port=1 adr=07 act=872B927543810900D7 exp=872B927543810900D7 + 9454.50ns INFO [00009456] Port=0 WR @03=05DBFBAA407F1F2AB9 + 9454.50ns INFO [00009456] Port=1 RD @02 + 9455.50ns INFO [00009457] * RD COMPARE * port=0 adr=01 act=E046EC032C9BD69960 exp=E046EC032C9BD69960 + 9455.50ns INFO [00009457] * RD COMPARE * port=1 adr=00 act=F23D8DDD0D4D498BD9 exp=F23D8DDD0D4D498BD9 + 9455.50ns INFO [00009457] Port=0 WR @07=825C2E83D87D8082DF + 9455.50ns INFO [00009457] Port=1 RD @06 + 9456.50ns INFO [00009458] * RD COMPARE * port=1 adr=02 act=6E54C26758CEA37475 exp=6E54C26758CEA37475 + 9456.50ns INFO [00009458] Port=0 WR @05=11E1B6B1E31D23568F + 9457.50ns INFO [00009459] * RD COMPARE * port=1 adr=06 act=471133F43A8252C089 exp=471133F43A8252C089 + 9457.50ns INFO [00009459] Port=1 RD @01 + 9459.50ns INFO [00009461] * RD COMPARE * port=1 adr=01 act=E046EC032C9BD69960 exp=E046EC032C9BD69960 + 9459.50ns INFO [00009461] Port=0 RD @05 + 9459.50ns INFO [00009461] Port=1 RD @07 + 9460.50ns INFO [00009462] Port=0 RD @06 + 9460.50ns INFO [00009462] Port=1 RD @03 + 9461.50ns INFO [00009463] * RD COMPARE * port=0 adr=05 act=11E1B6B1E31D23568F exp=11E1B6B1E31D23568F + 9461.50ns INFO [00009463] * RD COMPARE * port=1 adr=07 act=825C2E83D87D8082DF exp=825C2E83D87D8082DF + 9461.50ns INFO [00009463] Port=0 WR @03=E89E6DAA586368CC5E + 9461.50ns INFO [00009463] Port=1 RD @06 + 9462.50ns INFO [00009464] * RD COMPARE * port=0 adr=06 act=471133F43A8252C089 exp=471133F43A8252C089 + 9462.50ns INFO [00009464] * RD COMPARE * port=1 adr=03 act=05DBFBAA407F1F2AB9 exp=05DBFBAA407F1F2AB9 + 9462.50ns INFO [00009464] Port=0 RD @04 + 9463.50ns INFO [00009465] * RD COMPARE * port=1 adr=06 act=471133F43A8252C089 exp=471133F43A8252C089 + 9463.50ns INFO [00009465] Port=0 WR @00=D048FA77CCD84D3A2C + 9464.50ns INFO [00009466] * RD COMPARE * port=0 adr=04 act=78AC3528D7B29D0802 exp=78AC3528D7B29D0802 + 9464.50ns INFO [00009466] Port=0 RD @03 + 9464.50ns INFO [00009466] Port=1 RD @00 + 9466.50ns INFO [00009468] * RD COMPARE * port=0 adr=03 act=E89E6DAA586368CC5E exp=E89E6DAA586368CC5E + 9466.50ns INFO [00009468] * RD COMPARE * port=1 adr=00 act=D048FA77CCD84D3A2C exp=D048FA77CCD84D3A2C + 9466.50ns INFO [00009468] Port=0 RD @00 + 9466.50ns INFO [00009468] Port=1 RD @03 + 9467.50ns INFO [00009469] Port=0 WR @06=5AB9B68DBFFC55BC66 + 9467.50ns INFO [00009469] Port=1 RD @02 + 9468.50ns INFO [00009470] * RD COMPARE * port=0 adr=00 act=D048FA77CCD84D3A2C exp=D048FA77CCD84D3A2C + 9468.50ns INFO [00009470] * RD COMPARE * port=1 adr=03 act=E89E6DAA586368CC5E exp=E89E6DAA586368CC5E + 9468.50ns INFO [00009470] Port=0 RD @06 + 9469.50ns INFO [00009471] * RD COMPARE * port=1 adr=02 act=6E54C26758CEA37475 exp=6E54C26758CEA37475 + 9469.50ns INFO [00009471] Port=0 WR @00=4DE31FDA6A00E2E337 + 9470.50ns INFO [00009472] * RD COMPARE * port=0 adr=06 act=5AB9B68DBFFC55BC66 exp=5AB9B68DBFFC55BC66 + 9470.50ns INFO [00009472] Port=0 WR @00=F47F0C7FBA7820472E + 9470.50ns INFO [00009472] Port=0 RD @06 + 9470.50ns INFO [00009472] Port=1 RD @07 + 9471.50ns INFO [00009473] Port=0 RD @07 + 9471.50ns INFO [00009473] Port=1 RD @00 + 9472.50ns INFO [00009474] * RD COMPARE * port=0 adr=06 act=5AB9B68DBFFC55BC66 exp=5AB9B68DBFFC55BC66 + 9472.50ns INFO [00009474] * RD COMPARE * port=1 adr=07 act=825C2E83D87D8082DF exp=825C2E83D87D8082DF + 9472.50ns INFO [00009474] Port=0 WR @01=AF0A06BA6ECF409CCC + 9473.50ns INFO [00009475] * RD COMPARE * port=0 adr=07 act=825C2E83D87D8082DF exp=825C2E83D87D8082DF + 9473.50ns INFO [00009475] * RD COMPARE * port=1 adr=00 act=F47F0C7FBA7820472E exp=F47F0C7FBA7820472E + 9473.50ns INFO [00009475] Port=0 RD @00 + 9474.50ns INFO [00009476] Port=0 RD @06 + 9475.50ns INFO [00009477] * RD COMPARE * port=0 adr=00 act=F47F0C7FBA7820472E exp=F47F0C7FBA7820472E + 9475.50ns INFO [00009477] Port=0 RD @07 + 9476.50ns INFO [00009478] * RD COMPARE * port=0 adr=06 act=5AB9B68DBFFC55BC66 exp=5AB9B68DBFFC55BC66 + 9476.50ns INFO [00009478] Port=0 WR @02=601CA589E30EB3E8B5 + 9476.50ns INFO [00009478] Port=0 RD @01 + 9477.50ns INFO [00009479] * RD COMPARE * port=0 adr=07 act=825C2E83D87D8082DF exp=825C2E83D87D8082DF + 9477.50ns INFO [00009479] Port=0 WR @01=41F3069DF8834413E7 + 9478.50ns INFO [00009480] * RD COMPARE * port=0 adr=01 act=AF0A06BA6ECF409CCC exp=AF0A06BA6ECF409CCC + 9478.50ns INFO [00009480] Port=0 RD @01 + 9479.50ns INFO [00009481] Port=0 WR @05=6195BA734A2982EB93 + 9480.50ns INFO [00009482] * RD COMPARE * port=0 adr=01 act=41F3069DF8834413E7 exp=41F3069DF8834413E7 + 9480.50ns INFO [00009482] Port=0 WR @06=860BD79029815E1FAD + 9481.50ns INFO [00009483] Port=0 WR @01=0462CA7FAA366592DD + 9481.50ns INFO [00009483] Port=0 RD @05 + 9482.50ns INFO [00009484] Port=0 WR @00=582941ED6B586A7ADA + 9482.50ns INFO [00009484] Port=0 RD @03 + 9482.50ns INFO [00009484] Port=1 RD @02 + 9483.50ns INFO [00009485] * RD COMPARE * port=0 adr=05 act=6195BA734A2982EB93 exp=6195BA734A2982EB93 + 9483.50ns INFO [00009485] Port=0 WR @05=B185C8F956E7C5FFCB + 9484.50ns INFO [00009486] * RD COMPARE * port=0 adr=03 act=E89E6DAA586368CC5E exp=E89E6DAA586368CC5E + 9484.50ns INFO [00009486] * RD COMPARE * port=1 adr=02 act=601CA589E30EB3E8B5 exp=601CA589E30EB3E8B5 + 9484.50ns INFO [00009486] Port=0 WR @02=624BC5BEF353C81049 + 9484.50ns INFO [00009486] Port=1 RD @05 + 9486.50ns INFO [00009488] * RD COMPARE * port=1 adr=05 act=B185C8F956E7C5FFCB exp=B185C8F956E7C5FFCB + 9486.50ns INFO [00009488] Port=0 WR @05=320D88C3462CA13D4C + 9486.50ns INFO [00009488] Port=1 RD @02 + 9487.50ns INFO [00009489] Port=0 WR @02=EC07D0A3FB287512FA + 9487.50ns INFO [00009489] Port=0 RD @00 + 9488.50ns INFO [00009490] * RD COMPARE * port=1 adr=02 act=624BC5BEF353C81049 exp=624BC5BEF353C81049 + 9489.50ns INFO [00009491] * RD COMPARE * port=0 adr=00 act=582941ED6B586A7ADA exp=582941ED6B586A7ADA + 9489.50ns INFO [00009491] Port=0 WR @05=D014A88AE41904CF9E + 9489.50ns INFO [00009491] Port=0 RD @04 + 9489.50ns INFO [00009491] Port=1 RD @03 + 9490.50ns INFO [00009492] Port=0 RD @00 + 9490.50ns INFO [00009492] Port=1 RD @05 + 9491.50ns INFO [00009493] * RD COMPARE * port=0 adr=04 act=78AC3528D7B29D0802 exp=78AC3528D7B29D0802 + 9491.50ns INFO [00009493] * RD COMPARE * port=1 adr=03 act=E89E6DAA586368CC5E exp=E89E6DAA586368CC5E + 9491.50ns INFO [00009493] Port=0 WR @02=4FA24B3E320A9ECCE2 + 9492.50ns INFO [00009494] * RD COMPARE * port=0 adr=00 act=582941ED6B586A7ADA exp=582941ED6B586A7ADA + 9492.50ns INFO [00009494] * RD COMPARE * port=1 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9492.50ns INFO [00009494] Port=0 WR @01=9EF267E3D278F3C455 + 9493.50ns INFO [00009495] Port=0 RD @00 + 9493.50ns INFO [00009495] Port=1 RD @03 + 9494.50ns INFO [00009496] Port=1 RD @00 + 9495.50ns INFO [00009497] * RD COMPARE * port=0 adr=00 act=582941ED6B586A7ADA exp=582941ED6B586A7ADA + 9495.50ns INFO [00009497] * RD COMPARE * port=1 adr=03 act=E89E6DAA586368CC5E exp=E89E6DAA586368CC5E + 9495.50ns INFO [00009497] Port=0 WR @00=BFF4CFC299A3D9CDBB + 9495.50ns INFO [00009497] Port=0 RD @07 + 9495.50ns INFO [00009497] Port=1 RD @06 + 9496.50ns INFO [00009498] * RD COMPARE * port=1 adr=00 act=582941ED6B586A7ADA exp=582941ED6B586A7ADA + 9497.50ns INFO [00009499] * RD COMPARE * port=0 adr=07 act=825C2E83D87D8082DF exp=825C2E83D87D8082DF + 9497.50ns INFO [00009499] * RD COMPARE * port=1 adr=06 act=860BD79029815E1FAD exp=860BD79029815E1FAD + 9497.50ns INFO [00009499] Port=1 RD @05 + 9498.00ns INFO [00009500] [00009500] ...tick... + 9498.50ns INFO [00009500] Port=0 RD @01 + 9499.50ns INFO [00009501] * RD COMPARE * port=1 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9499.50ns INFO [00009501] Port=0 RD @03 + 9499.50ns INFO [00009501] Port=1 RD @00 + 9500.50ns INFO [00009502] * RD COMPARE * port=0 adr=01 act=9EF267E3D278F3C455 exp=9EF267E3D278F3C455 + 9500.50ns INFO [00009502] Port=0 RD @05 + 9500.50ns INFO [00009502] Port=1 RD @06 + 9501.50ns INFO [00009503] * RD COMPARE * port=0 adr=03 act=E89E6DAA586368CC5E exp=E89E6DAA586368CC5E + 9501.50ns INFO [00009503] * RD COMPARE * port=1 adr=00 act=BFF4CFC299A3D9CDBB exp=BFF4CFC299A3D9CDBB + 9502.50ns INFO [00009504] * RD COMPARE * port=0 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9502.50ns INFO [00009504] * RD COMPARE * port=1 adr=06 act=860BD79029815E1FAD exp=860BD79029815E1FAD + 9504.50ns INFO [00009506] Port=0 RD @06 + 9504.50ns INFO [00009506] Port=1 RD @04 + 9505.50ns INFO [00009507] Port=0 RD @05 + 9506.50ns INFO [00009508] * RD COMPARE * port=0 adr=06 act=860BD79029815E1FAD exp=860BD79029815E1FAD + 9506.50ns INFO [00009508] * RD COMPARE * port=1 adr=04 act=78AC3528D7B29D0802 exp=78AC3528D7B29D0802 + 9507.50ns INFO [00009509] * RD COMPARE * port=0 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9507.50ns INFO [00009509] Port=0 WR @04=DACAEE5AF6F59E7650 + 9507.50ns INFO [00009509] Port=0 RD @03 + 9509.50ns INFO [00009511] * RD COMPARE * port=0 adr=03 act=E89E6DAA586368CC5E exp=E89E6DAA586368CC5E + 9511.50ns INFO [00009513] Port=0 WR @00=11A7931F66A07EC044 + 9512.50ns INFO [00009514] Port=0 WR @03=BA5757C20E2AC81075 + 9512.50ns INFO [00009514] Port=1 RD @07 + 9513.50ns INFO [00009515] Port=1 RD @00 + 9514.50ns INFO [00009516] * RD COMPARE * port=1 adr=07 act=825C2E83D87D8082DF exp=825C2E83D87D8082DF + 9515.50ns INFO [00009517] * RD COMPARE * port=1 adr=00 act=11A7931F66A07EC044 exp=11A7931F66A07EC044 + 9516.50ns INFO [00009518] Port=0 WR @04=6F67194F0606FD494B + 9517.50ns INFO [00009519] Port=0 WR @00=C2D66685EA555035DB + 9519.50ns INFO [00009521] Port=0 RD @05 + 9520.50ns INFO [00009522] Port=0 WR @04=E8A8CF308EB0B67442 + 9520.50ns INFO [00009522] Port=0 RD @05 + 9521.50ns INFO [00009523] * RD COMPARE * port=0 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9521.50ns INFO [00009523] Port=1 RD @01 + 9522.50ns INFO [00009524] * RD COMPARE * port=0 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9522.50ns INFO [00009524] Port=0 WR @04=BF615146A3B16D142D + 9522.50ns INFO [00009524] Port=0 RD @05 + 9523.50ns INFO [00009525] * RD COMPARE * port=1 adr=01 act=9EF267E3D278F3C455 exp=9EF267E3D278F3C455 + 9523.50ns INFO [00009525] Port=0 RD @01 + 9524.50ns INFO [00009526] * RD COMPARE * port=0 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9524.50ns INFO [00009526] Port=0 WR @01=D29125F0BDB8608045 + 9525.50ns INFO [00009527] * RD COMPARE * port=0 adr=01 act=9EF267E3D278F3C455 exp=9EF267E3D278F3C455 + 9525.50ns INFO [00009527] Port=0 WR @00=2534DF1CAAAC4D7961 + 9525.50ns INFO [00009527] Port=0 RD @01 + 9525.50ns INFO [00009527] Port=1 RD @06 + 9526.50ns INFO [00009528] Port=0 RD @01 + 9526.50ns INFO [00009528] Port=1 RD @07 + 9527.50ns INFO [00009529] * RD COMPARE * port=0 adr=01 act=D29125F0BDB8608045 exp=D29125F0BDB8608045 + 9527.50ns INFO [00009529] * RD COMPARE * port=1 adr=06 act=860BD79029815E1FAD exp=860BD79029815E1FAD + 9527.50ns INFO [00009529] Port=0 WR @04=9B1EA17B4F5261129F + 9527.50ns INFO [00009529] Port=0 RD @00 + 9528.50ns INFO [00009530] * RD COMPARE * port=0 adr=01 act=D29125F0BDB8608045 exp=D29125F0BDB8608045 + 9528.50ns INFO [00009530] * RD COMPARE * port=1 adr=07 act=825C2E83D87D8082DF exp=825C2E83D87D8082DF + 9528.50ns INFO [00009530] Port=0 WR @06=CF1321D0D6820DBEAA + 9528.50ns INFO [00009530] Port=0 RD @05 + 9529.50ns INFO [00009531] * RD COMPARE * port=0 adr=00 act=2534DF1CAAAC4D7961 exp=2534DF1CAAAC4D7961 + 9529.50ns INFO [00009531] Port=1 RD @05 + 9530.50ns INFO [00009532] * RD COMPARE * port=0 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9530.50ns INFO [00009532] Port=0 WR @01=B6444B650AF36ED7EE + 9531.50ns INFO [00009533] * RD COMPARE * port=1 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9533.50ns INFO [00009535] Port=0 RD @01 + 9533.50ns INFO [00009535] Port=1 RD @02 + 9535.50ns INFO [00009537] * RD COMPARE * port=0 adr=01 act=B6444B650AF36ED7EE exp=B6444B650AF36ED7EE + 9535.50ns INFO [00009537] * RD COMPARE * port=1 adr=02 act=4FA24B3E320A9ECCE2 exp=4FA24B3E320A9ECCE2 + 9535.50ns INFO [00009537] Port=0 WR @02=7ADA0E1122D2435654 + 9536.50ns INFO [00009538] Port=0 WR @01=E8BAD4C1A96769B8DC + 9536.50ns INFO [00009538] Port=1 RD @03 + 9537.50ns INFO [00009539] Port=0 RD @01 + 9537.50ns INFO [00009539] Port=1 RD @05 + 9538.50ns INFO [00009540] * RD COMPARE * port=1 adr=03 act=BA5757C20E2AC81075 exp=BA5757C20E2AC81075 + 9538.50ns INFO [00009540] Port=0 WR @03=974243B305942857AC + 9538.50ns INFO [00009540] Port=0 RD @05 + 9539.50ns INFO [00009541] * RD COMPARE * port=0 adr=01 act=E8BAD4C1A96769B8DC exp=E8BAD4C1A96769B8DC + 9539.50ns INFO [00009541] * RD COMPARE * port=1 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9540.50ns INFO [00009542] * RD COMPARE * port=0 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9540.50ns INFO [00009542] Port=1 RD @06 + 9541.50ns INFO [00009543] Port=1 RD @02 + 9542.50ns INFO [00009544] * RD COMPARE * port=1 adr=06 act=CF1321D0D6820DBEAA exp=CF1321D0D6820DBEAA + 9542.50ns INFO [00009544] Port=1 RD @03 + 9543.50ns INFO [00009545] * RD COMPARE * port=1 adr=02 act=7ADA0E1122D2435654 exp=7ADA0E1122D2435654 + 9543.50ns INFO [00009545] Port=0 WR @02=7630D850FD2B2C3949 + 9544.50ns INFO [00009546] * RD COMPARE * port=1 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9546.50ns INFO [00009548] Port=0 RD @02 + 9546.50ns INFO [00009548] Port=1 RD @01 + 9548.50ns INFO [00009550] * RD COMPARE * port=0 adr=02 act=7630D850FD2B2C3949 exp=7630D850FD2B2C3949 + 9548.50ns INFO [00009550] * RD COMPARE * port=1 adr=01 act=E8BAD4C1A96769B8DC exp=E8BAD4C1A96769B8DC + 9548.50ns INFO [00009550] Port=0 WR @06=9D3298B4395E4ED51A + 9549.50ns INFO [00009551] Port=0 RD @07 + 9551.50ns INFO [00009553] * RD COMPARE * port=0 adr=07 act=825C2E83D87D8082DF exp=825C2E83D87D8082DF + 9551.50ns INFO [00009553] Port=1 RD @07 + 9552.50ns INFO [00009554] Port=0 WR @04=42DDDAE99CFFD18FFE + 9553.50ns INFO [00009555] * RD COMPARE * port=1 adr=07 act=825C2E83D87D8082DF exp=825C2E83D87D8082DF + 9553.50ns INFO [00009555] Port=0 WR @07=06756E54AA53ACD49E + 9553.50ns INFO [00009555] Port=0 RD @03 + 9554.50ns INFO [00009556] Port=1 RD @03 + 9555.50ns INFO [00009557] * RD COMPARE * port=0 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9556.50ns INFO [00009558] * RD COMPARE * port=1 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9557.50ns INFO [00009559] Port=0 RD @03 + 9558.50ns INFO [00009560] Port=0 RD @02 + 9559.50ns INFO [00009561] * RD COMPARE * port=0 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9559.50ns INFO [00009561] Port=0 RD @02 + 9560.50ns INFO [00009562] * RD COMPARE * port=0 adr=02 act=7630D850FD2B2C3949 exp=7630D850FD2B2C3949 + 9560.50ns INFO [00009562] Port=0 WR @06=E5271F231E225E5A9B + 9560.50ns INFO [00009562] Port=0 RD @05 + 9561.50ns INFO [00009563] * RD COMPARE * port=0 adr=02 act=7630D850FD2B2C3949 exp=7630D850FD2B2C3949 + 9562.50ns INFO [00009564] * RD COMPARE * port=0 adr=05 act=D014A88AE41904CF9E exp=D014A88AE41904CF9E + 9562.50ns INFO [00009564] Port=0 RD @06 + 9563.50ns INFO [00009565] Port=0 WR @00=9F7868CAFCB4AB0047 + 9564.50ns INFO [00009566] * RD COMPARE * port=0 adr=06 act=E5271F231E225E5A9B exp=E5271F231E225E5A9B + 9564.50ns INFO [00009566] Port=0 WR @06=C73931E5E880DF84AC + 9565.50ns INFO [00009567] Port=0 WR @01=8E3F51384EDBBCA36F + 9565.50ns INFO [00009567] Port=0 RD @02 + 9565.50ns INFO [00009567] Port=1 RD @03 + 9566.50ns INFO [00009568] Port=0 WR @07=6F5FBF298B74125910 + 9566.50ns INFO [00009568] Port=1 RD @03 + 9567.50ns INFO [00009569] * RD COMPARE * port=0 adr=02 act=7630D850FD2B2C3949 exp=7630D850FD2B2C3949 + 9567.50ns INFO [00009569] * RD COMPARE * port=1 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9568.50ns INFO [00009570] * RD COMPARE * port=1 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9568.50ns INFO [00009570] Port=0 WR @04=A54859187DBDF84110 + 9568.50ns INFO [00009570] Port=1 RD @00 + 9569.50ns INFO [00009571] Port=0 WR @07=FD9F823CE0472CF1D3 + 9569.50ns INFO [00009571] Port=0 RD @03 + 9570.50ns INFO [00009572] * RD COMPARE * port=1 adr=00 act=9F7868CAFCB4AB0047 exp=9F7868CAFCB4AB0047 + 9570.50ns INFO [00009572] Port=1 RD @03 + 9571.50ns INFO [00009573] * RD COMPARE * port=0 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9571.50ns INFO [00009573] Port=0 WR @00=1742484C7A3EACD0F0 + 9572.50ns INFO [00009574] * RD COMPARE * port=1 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9572.50ns INFO [00009574] Port=0 WR @01=B5A75513873AA93324 + 9572.50ns INFO [00009574] Port=1 RD @04 + 9573.50ns INFO [00009575] Port=0 RD @00 + 9574.50ns INFO [00009576] * RD COMPARE * port=1 adr=04 act=A54859187DBDF84110 exp=A54859187DBDF84110 + 9574.50ns INFO [00009576] Port=0 RD @07 + 9574.50ns INFO [00009576] Port=1 RD @06 + 9575.50ns INFO [00009577] * RD COMPARE * port=0 adr=00 act=1742484C7A3EACD0F0 exp=1742484C7A3EACD0F0 + 9575.50ns INFO [00009577] Port=0 WR @05=52C25E4349B4D15FBD + 9576.50ns INFO [00009578] * RD COMPARE * port=0 adr=07 act=FD9F823CE0472CF1D3 exp=FD9F823CE0472CF1D3 + 9576.50ns INFO [00009578] * RD COMPARE * port=1 adr=06 act=C73931E5E880DF84AC exp=C73931E5E880DF84AC + 9576.50ns INFO [00009578] Port=0 WR @06=C9A67260DB45DDB73A + 9577.50ns INFO [00009579] Port=0 WR @07=DDD97AB8EE1D429102 + 9579.50ns INFO [00009581] Port=0 WR @02=2EB89F57524589FDBF + 9580.50ns INFO [00009582] Port=0 RD @04 + 9581.50ns INFO [00009583] Port=0 WR @02=540A7A319C4E7F6DFB + 9581.50ns INFO [00009583] Port=0 RD @06 + 9582.50ns INFO [00009584] * RD COMPARE * port=0 adr=04 act=A54859187DBDF84110 exp=A54859187DBDF84110 + 9582.50ns INFO [00009584] Port=0 RD @05 + 9583.50ns INFO [00009585] * RD COMPARE * port=0 adr=06 act=C9A67260DB45DDB73A exp=C9A67260DB45DDB73A + 9583.50ns INFO [00009585] Port=0 WR @04=06BB028554BDCAEAE3 + 9584.50ns INFO [00009586] * RD COMPARE * port=0 adr=05 act=52C25E4349B4D15FBD exp=52C25E4349B4D15FBD + 9584.50ns INFO [00009586] Port=0 WR @01=9BA7B5C37FFE560FAC + 9585.50ns INFO [00009587] Port=0 WR @04=C6D534997292412EE9 + 9585.50ns INFO [00009587] Port=0 RD @01 + 9585.50ns INFO [00009587] Port=1 RD @03 + 9586.50ns INFO [00009588] Port=0 RD @04 + 9586.50ns INFO [00009588] Port=1 RD @00 + 9587.50ns INFO [00009589] * RD COMPARE * port=0 adr=01 act=9BA7B5C37FFE560FAC exp=9BA7B5C37FFE560FAC + 9587.50ns INFO [00009589] * RD COMPARE * port=1 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9587.50ns INFO [00009589] Port=0 WR @05=D49F21046CB9FCA906 + 9588.50ns INFO [00009590] * RD COMPARE * port=0 adr=04 act=C6D534997292412EE9 exp=C6D534997292412EE9 + 9588.50ns INFO [00009590] * RD COMPARE * port=1 adr=00 act=1742484C7A3EACD0F0 exp=1742484C7A3EACD0F0 + 9588.50ns INFO [00009590] Port=1 RD @06 + 9589.50ns INFO [00009591] Port=1 RD @01 + 9590.50ns INFO [00009592] * RD COMPARE * port=1 adr=06 act=C9A67260DB45DDB73A exp=C9A67260DB45DDB73A + 9590.50ns INFO [00009592] Port=0 WR @07=720D5B152323EB3568 + 9591.50ns INFO [00009593] * RD COMPARE * port=1 adr=01 act=9BA7B5C37FFE560FAC exp=9BA7B5C37FFE560FAC + 9591.50ns INFO [00009593] Port=0 WR @01=14E033C0F8CA96D586 + 9591.50ns INFO [00009593] Port=0 RD @07 + 9592.50ns INFO [00009594] Port=1 RD @07 + 9593.50ns INFO [00009595] * RD COMPARE * port=0 adr=07 act=720D5B152323EB3568 exp=720D5B152323EB3568 + 9593.50ns INFO [00009595] Port=0 RD @06 + 9594.50ns INFO [00009596] * RD COMPARE * port=1 adr=07 act=720D5B152323EB3568 exp=720D5B152323EB3568 + 9594.50ns INFO [00009596] Port=0 WR @02=A921568B656EE01401 + 9594.50ns INFO [00009596] Port=1 RD @00 + 9595.50ns INFO [00009597] * RD COMPARE * port=0 adr=06 act=C9A67260DB45DDB73A exp=C9A67260DB45DDB73A + 9595.50ns INFO [00009597] Port=0 RD @04 + 9596.50ns INFO [00009598] * RD COMPARE * port=1 adr=00 act=1742484C7A3EACD0F0 exp=1742484C7A3EACD0F0 + 9597.50ns INFO [00009599] * RD COMPARE * port=0 adr=04 act=C6D534997292412EE9 exp=C6D534997292412EE9 + 9597.50ns INFO [00009599] Port=0 RD @03 + 9598.00ns INFO [00009600] [00009600] ...tick... + 9598.50ns INFO [00009600] Port=0 WR @06=249DF1EE3991465DD3 + 9598.50ns INFO [00009600] Port=1 RD @03 + 9599.50ns INFO [00009601] * RD COMPARE * port=0 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9599.50ns INFO [00009601] Port=1 RD @05 + 9600.50ns INFO [00009602] * RD COMPARE * port=1 adr=03 act=974243B305942857AC exp=974243B305942857AC + 9601.50ns INFO [00009603] * RD COMPARE * port=1 adr=05 act=D49F21046CB9FCA906 exp=D49F21046CB9FCA906 + 9602.50ns INFO [00009604] Port=0 RD @01 + 9603.50ns INFO [00009605] Port=0 WR @03=9F58E985B0B74B657A + 9603.50ns INFO [00009605] Port=1 RD @05 + 9604.50ns INFO [00009606] * RD COMPARE * port=0 adr=01 act=14E033C0F8CA96D586 exp=14E033C0F8CA96D586 + 9604.50ns INFO [00009606] Port=0 WR @04=3C4DF7A58751561B1B + 9604.50ns INFO [00009606] Port=1 RD @03 + 9605.50ns INFO [00009607] * RD COMPARE * port=1 adr=05 act=D49F21046CB9FCA906 exp=D49F21046CB9FCA906 + 9605.50ns INFO [00009607] Port=1 RD @00 + 9606.50ns INFO [00009608] * RD COMPARE * port=1 adr=03 act=9F58E985B0B74B657A exp=9F58E985B0B74B657A + 9606.50ns INFO [00009608] Port=0 WR @05=65B1C7EE49E59E4E8A + 9606.50ns INFO [00009608] Port=1 RD @02 + 9607.50ns INFO [00009609] * RD COMPARE * port=1 adr=00 act=1742484C7A3EACD0F0 exp=1742484C7A3EACD0F0 + 9608.50ns INFO [00009610] * RD COMPARE * port=1 adr=02 act=A921568B656EE01401 exp=A921568B656EE01401 + 9611.50ns INFO [00009613] Port=0 RD @03 + 9611.50ns INFO [00009613] Port=1 RD @05 + 9612.50ns INFO [00009614] Port=0 WR @04=556A6BD87985E2D36A + 9613.50ns INFO [00009615] * RD COMPARE * port=0 adr=03 act=9F58E985B0B74B657A exp=9F58E985B0B74B657A + 9613.50ns INFO [00009615] * RD COMPARE * port=1 adr=05 act=65B1C7EE49E59E4E8A exp=65B1C7EE49E59E4E8A + 9613.50ns INFO [00009615] Port=0 WR @02=9EF9D647F9415368A4 + 9613.50ns INFO [00009615] Port=0 RD @04 + 9613.50ns INFO [00009615] Port=1 RD @05 + 9614.50ns INFO [00009616] Port=0 RD @02 + 9614.50ns INFO [00009616] Port=1 RD @04 + 9615.50ns INFO [00009617] * RD COMPARE * port=0 adr=04 act=556A6BD87985E2D36A exp=556A6BD87985E2D36A + 9615.50ns INFO [00009617] * RD COMPARE * port=1 adr=05 act=65B1C7EE49E59E4E8A exp=65B1C7EE49E59E4E8A + 9615.50ns INFO [00009617] Port=0 WR @02=9BB21EFCF3C182B2D4 + 9616.50ns INFO [00009618] * RD COMPARE * port=0 adr=02 act=9EF9D647F9415368A4 exp=9EF9D647F9415368A4 + 9616.50ns INFO [00009618] * RD COMPARE * port=1 adr=04 act=556A6BD87985E2D36A exp=556A6BD87985E2D36A + 9616.50ns INFO [00009618] Port=0 WR @06=6E5B88D63FC02C27A7 + 9616.50ns INFO [00009618] Port=0 RD @04 + 9617.50ns INFO [00009619] Port=0 WR @01=D091FA9D5A3274FC89 + 9617.50ns INFO [00009619] Port=1 RD @00 + 9618.50ns INFO [00009620] * RD COMPARE * port=0 adr=04 act=556A6BD87985E2D36A exp=556A6BD87985E2D36A + 9618.50ns INFO [00009620] Port=0 RD @00 + 9619.50ns INFO [00009621] * RD COMPARE * port=1 adr=00 act=1742484C7A3EACD0F0 exp=1742484C7A3EACD0F0 + 9620.50ns INFO [00009622] * RD COMPARE * port=0 adr=00 act=1742484C7A3EACD0F0 exp=1742484C7A3EACD0F0 + 9620.50ns INFO [00009622] Port=0 WR @06=110589FA17337F46AF + 9620.50ns INFO [00009622] Port=0 RD @03 + 9620.50ns INFO [00009622] Port=1 RD @07 + 9621.50ns INFO [00009623] Port=0 RD @01 + 9622.50ns INFO [00009624] * RD COMPARE * port=0 adr=03 act=9F58E985B0B74B657A exp=9F58E985B0B74B657A + 9622.50ns INFO [00009624] * RD COMPARE * port=1 adr=07 act=720D5B152323EB3568 exp=720D5B152323EB3568 + 9622.50ns INFO [00009624] Port=0 WR @00=EEB7CACA03C53DFDD5 + 9622.50ns INFO [00009624] Port=1 RD @02 + 9623.50ns INFO [00009625] * RD COMPARE * port=0 adr=01 act=D091FA9D5A3274FC89 exp=D091FA9D5A3274FC89 + 9623.50ns INFO [00009625] Port=0 RD @07 + 9624.50ns INFO [00009626] * RD COMPARE * port=1 adr=02 act=9BB21EFCF3C182B2D4 exp=9BB21EFCF3C182B2D4 + 9624.50ns INFO [00009626] Port=1 RD @00 + 9625.50ns INFO [00009627] * RD COMPARE * port=0 adr=07 act=720D5B152323EB3568 exp=720D5B152323EB3568 + 9625.50ns INFO [00009627] Port=1 RD @04 + 9626.50ns INFO [00009628] * RD COMPARE * port=1 adr=00 act=EEB7CACA03C53DFDD5 exp=EEB7CACA03C53DFDD5 + 9626.50ns INFO [00009628] Port=0 RD @01 + 9627.50ns INFO [00009629] * RD COMPARE * port=1 adr=04 act=556A6BD87985E2D36A exp=556A6BD87985E2D36A + 9627.50ns INFO [00009629] Port=1 RD @01 + 9628.50ns INFO [00009630] * RD COMPARE * port=0 adr=01 act=D091FA9D5A3274FC89 exp=D091FA9D5A3274FC89 + 9628.50ns INFO [00009630] Port=0 WR @02=5C7BC326D3A7F7AC22 + 9628.50ns INFO [00009630] Port=0 RD @04 + 9629.50ns INFO [00009631] * RD COMPARE * port=1 adr=01 act=D091FA9D5A3274FC89 exp=D091FA9D5A3274FC89 + 9629.50ns INFO [00009631] Port=1 RD @01 + 9630.50ns INFO [00009632] * RD COMPARE * port=0 adr=04 act=556A6BD87985E2D36A exp=556A6BD87985E2D36A + 9631.50ns INFO [00009633] * RD COMPARE * port=1 adr=01 act=D091FA9D5A3274FC89 exp=D091FA9D5A3274FC89 + 9631.50ns INFO [00009633] Port=1 RD @06 + 9632.50ns INFO [00009634] Port=0 RD @07 + 9633.50ns INFO [00009635] * RD COMPARE * port=1 adr=06 act=110589FA17337F46AF exp=110589FA17337F46AF + 9633.50ns INFO [00009635] Port=1 RD @02 + 9634.50ns INFO [00009636] * RD COMPARE * port=0 adr=07 act=720D5B152323EB3568 exp=720D5B152323EB3568 + 9634.50ns INFO [00009636] Port=0 RD @01 + 9634.50ns INFO [00009636] Port=1 RD @04 + 9635.50ns INFO [00009637] * RD COMPARE * port=1 adr=02 act=5C7BC326D3A7F7AC22 exp=5C7BC326D3A7F7AC22 + 9635.50ns INFO [00009637] Port=0 WR @07=AE1BE8582926CE5BCF + 9636.50ns INFO [00009638] * RD COMPARE * port=0 adr=01 act=D091FA9D5A3274FC89 exp=D091FA9D5A3274FC89 + 9636.50ns INFO [00009638] * RD COMPARE * port=1 adr=04 act=556A6BD87985E2D36A exp=556A6BD87985E2D36A + 9637.50ns INFO [00009639] Port=0 WR @06=7C7E515EA6773229A1 + 9637.50ns INFO [00009639] Port=0 RD @07 + 9638.50ns INFO [00009640] Port=0 RD @07 + 9639.50ns INFO [00009641] * RD COMPARE * port=0 adr=07 act=AE1BE8582926CE5BCF exp=AE1BE8582926CE5BCF + 9639.50ns INFO [00009641] Port=0 RD @02 + 9640.50ns INFO [00009642] * RD COMPARE * port=0 adr=07 act=AE1BE8582926CE5BCF exp=AE1BE8582926CE5BCF + 9641.50ns INFO [00009643] * RD COMPARE * port=0 adr=02 act=5C7BC326D3A7F7AC22 exp=5C7BC326D3A7F7AC22 + 9642.50ns INFO [00009644] Port=0 WR @01=AD753DE74F852BAC3A + 9644.50ns INFO [00009646] Port=1 RD @06 + 9645.50ns INFO [00009647] Port=0 RD @06 + 9646.50ns INFO [00009648] * RD COMPARE * port=1 adr=06 act=7C7E515EA6773229A1 exp=7C7E515EA6773229A1 + 9646.50ns INFO [00009648] Port=0 RD @01 + 9646.50ns INFO [00009648] Port=1 RD @02 + 9647.50ns INFO [00009649] * RD COMPARE * port=0 adr=06 act=7C7E515EA6773229A1 exp=7C7E515EA6773229A1 + 9648.50ns INFO [00009650] * RD COMPARE * port=0 adr=01 act=AD753DE74F852BAC3A exp=AD753DE74F852BAC3A + 9648.50ns INFO [00009650] * RD COMPARE * port=1 adr=02 act=5C7BC326D3A7F7AC22 exp=5C7BC326D3A7F7AC22 + 9648.50ns INFO [00009650] Port=0 WR @06=D05C4669E9B6F73463 + 9648.50ns INFO [00009650] Port=0 RD @05 + 9650.50ns INFO [00009652] * RD COMPARE * port=0 adr=05 act=65B1C7EE49E59E4E8A exp=65B1C7EE49E59E4E8A + 9651.50ns INFO [00009653] Port=0 WR @05=FF26E8621844379648 + 9652.50ns INFO [00009654] Port=1 RD @00 + 9653.50ns INFO [00009655] Port=0 WR @01=AE2AC458683915E9AE + 9653.50ns INFO [00009655] Port=0 RD @03 + 9653.50ns INFO [00009655] Port=1 RD @03 + 9654.50ns INFO [00009656] * RD COMPARE * port=1 adr=00 act=EEB7CACA03C53DFDD5 exp=EEB7CACA03C53DFDD5 + 9654.50ns INFO [00009656] Port=0 WR @00=86D2F3B5221DDFEC8F + 9654.50ns INFO [00009656] Port=0 RD @04 + 9654.50ns INFO [00009656] Port=1 RD @04 + 9655.50ns INFO [00009657] * RD COMPARE * port=0 adr=03 act=9F58E985B0B74B657A exp=9F58E985B0B74B657A + 9655.50ns INFO [00009657] * RD COMPARE * port=1 adr=03 act=9F58E985B0B74B657A exp=9F58E985B0B74B657A + 9655.50ns INFO [00009657] Port=0 WR @04=53768CC0F8C5985D3D + 9655.50ns INFO [00009657] Port=0 RD @06 + 9656.50ns INFO [00009658] * RD COMPARE * port=0 adr=04 act=556A6BD87985E2D36A exp=556A6BD87985E2D36A + 9656.50ns INFO [00009658] * RD COMPARE * port=1 adr=04 act=556A6BD87985E2D36A exp=556A6BD87985E2D36A + 9656.50ns INFO [00009658] Port=1 RD @00 + 9657.50ns INFO [00009659] * RD COMPARE * port=0 adr=06 act=D05C4669E9B6F73463 exp=D05C4669E9B6F73463 + 9658.50ns INFO [00009660] * RD COMPARE * port=1 adr=00 act=86D2F3B5221DDFEC8F exp=86D2F3B5221DDFEC8F + 9659.50ns INFO [00009661] Port=0 WR @00=B19DC8366211F5D452 + 9659.50ns INFO [00009661] Port=0 RD @02 + 9660.50ns INFO [00009662] Port=0 WR @00=4CC83FAAEC4977203F + 9660.50ns INFO [00009662] Port=1 RD @07 + 9661.50ns INFO [00009663] * RD COMPARE * port=0 adr=02 act=5C7BC326D3A7F7AC22 exp=5C7BC326D3A7F7AC22 + 9661.50ns INFO [00009663] Port=0 RD @07 + 9662.50ns INFO [00009664] * RD COMPARE * port=1 adr=07 act=AE1BE8582926CE5BCF exp=AE1BE8582926CE5BCF + 9662.50ns INFO [00009664] Port=1 RD @06 + 9663.50ns INFO [00009665] * RD COMPARE * port=0 adr=07 act=AE1BE8582926CE5BCF exp=AE1BE8582926CE5BCF + 9663.50ns INFO [00009665] Port=0 WR @05=7370E4E81EED97D13D + 9663.50ns INFO [00009665] Port=0 RD @02 + 9664.50ns INFO [00009666] * RD COMPARE * port=1 adr=06 act=D05C4669E9B6F73463 exp=D05C4669E9B6F73463 + 9664.50ns INFO [00009666] Port=0 WR @03=F1917748B124E3DD34 + 9664.50ns INFO [00009666] Port=0 RD @02 + 9665.50ns INFO [00009667] * RD COMPARE * port=0 adr=02 act=5C7BC326D3A7F7AC22 exp=5C7BC326D3A7F7AC22 + 9665.50ns INFO [00009667] Port=0 RD @05 + 9666.50ns INFO [00009668] * RD COMPARE * port=0 adr=02 act=5C7BC326D3A7F7AC22 exp=5C7BC326D3A7F7AC22 + 9666.50ns INFO [00009668] Port=0 RD @02 + 9667.50ns INFO [00009669] * RD COMPARE * port=0 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9668.50ns INFO [00009670] * RD COMPARE * port=0 adr=02 act=5C7BC326D3A7F7AC22 exp=5C7BC326D3A7F7AC22 + 9668.50ns INFO [00009670] Port=0 RD @07 + 9669.50ns INFO [00009671] Port=1 RD @01 + 9670.50ns INFO [00009672] * RD COMPARE * port=0 adr=07 act=AE1BE8582926CE5BCF exp=AE1BE8582926CE5BCF + 9670.50ns INFO [00009672] Port=0 RD @02 + 9670.50ns INFO [00009672] Port=1 RD @00 + 9671.50ns INFO [00009673] * RD COMPARE * port=1 adr=01 act=AE2AC458683915E9AE exp=AE2AC458683915E9AE + 9671.50ns INFO [00009673] Port=1 RD @07 + 9672.50ns INFO [00009674] * RD COMPARE * port=0 adr=02 act=5C7BC326D3A7F7AC22 exp=5C7BC326D3A7F7AC22 + 9672.50ns INFO [00009674] * RD COMPARE * port=1 adr=00 act=4CC83FAAEC4977203F exp=4CC83FAAEC4977203F + 9672.50ns INFO [00009674] Port=0 RD @01 + 9673.50ns INFO [00009675] * RD COMPARE * port=1 adr=07 act=AE1BE8582926CE5BCF exp=AE1BE8582926CE5BCF + 9673.50ns INFO [00009675] Port=0 WR @00=8AEC8CFE42FA002F7C + 9674.50ns INFO [00009676] * RD COMPARE * port=0 adr=01 act=AE2AC458683915E9AE exp=AE2AC458683915E9AE + 9674.50ns INFO [00009676] Port=0 RD @07 + 9675.50ns INFO [00009677] Port=1 RD @03 + 9676.50ns INFO [00009678] * RD COMPARE * port=0 adr=07 act=AE1BE8582926CE5BCF exp=AE1BE8582926CE5BCF + 9676.50ns INFO [00009678] Port=0 WR @00=41339973D3EF43DEF6 + 9677.50ns INFO [00009679] * RD COMPARE * port=1 adr=03 act=F1917748B124E3DD34 exp=F1917748B124E3DD34 + 9678.50ns INFO [00009680] Port=0 RD @04 + 9679.50ns INFO [00009681] Port=0 WR @00=FC71D8C25C94E2DE1B + 9679.50ns INFO [00009681] Port=0 RD @06 + 9679.50ns INFO [00009681] Port=1 RD @06 + 9680.50ns INFO [00009682] * RD COMPARE * port=0 adr=04 act=53768CC0F8C5985D3D exp=53768CC0F8C5985D3D + 9681.50ns INFO [00009683] * RD COMPARE * port=0 adr=06 act=D05C4669E9B6F73463 exp=D05C4669E9B6F73463 + 9681.50ns INFO [00009683] * RD COMPARE * port=1 adr=06 act=D05C4669E9B6F73463 exp=D05C4669E9B6F73463 + 9682.50ns INFO [00009684] Port=0 RD @06 + 9683.50ns INFO [00009685] Port=0 WR @06=E8357B817A3108FCB4 + 9683.50ns INFO [00009685] Port=1 RD @02 + 9684.50ns INFO [00009686] * RD COMPARE * port=0 adr=06 act=D05C4669E9B6F73463 exp=D05C4669E9B6F73463 + 9684.50ns INFO [00009686] Port=0 WR @07=5EE40E2A00CBF9DD4F + 9684.50ns INFO [00009686] Port=0 RD @00 + 9685.50ns INFO [00009687] * RD COMPARE * port=1 adr=02 act=5C7BC326D3A7F7AC22 exp=5C7BC326D3A7F7AC22 + 9685.50ns INFO [00009687] Port=0 WR @00=259F49699AB85EFE39 + 9686.50ns INFO [00009688] * RD COMPARE * port=0 adr=00 act=FC71D8C25C94E2DE1B exp=FC71D8C25C94E2DE1B + 9686.50ns INFO [00009688] Port=1 RD @05 + 9687.50ns INFO [00009689] Port=0 WR @06=7B65907651511E6AF8 + 9688.50ns INFO [00009690] * RD COMPARE * port=1 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9688.50ns INFO [00009690] Port=0 WR @02=C119A89BF2D39C6DEB + 9688.50ns INFO [00009690] Port=1 RD @05 + 9689.50ns INFO [00009691] Port=1 RD @01 + 9690.50ns INFO [00009692] * RD COMPARE * port=1 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9690.50ns INFO [00009692] Port=0 WR @02=14AC38EBD5FBFF6004 + 9691.50ns INFO [00009693] * RD COMPARE * port=1 adr=01 act=AE2AC458683915E9AE exp=AE2AC458683915E9AE + 9692.50ns INFO [00009694] Port=0 RD @06 + 9694.50ns INFO [00009696] * RD COMPARE * port=0 adr=06 act=7B65907651511E6AF8 exp=7B65907651511E6AF8 + 9694.50ns INFO [00009696] Port=0 RD @04 + 9695.50ns INFO [00009697] Port=0 WR @02=2B6CEE460E774519E6 + 9695.50ns INFO [00009697] Port=1 RD @04 + 9696.50ns INFO [00009698] * RD COMPARE * port=0 adr=04 act=53768CC0F8C5985D3D exp=53768CC0F8C5985D3D + 9697.50ns INFO [00009699] * RD COMPARE * port=1 adr=04 act=53768CC0F8C5985D3D exp=53768CC0F8C5985D3D + 9697.50ns INFO [00009699] Port=1 RD @07 + 9698.00ns INFO [00009700] [00009700] ...tick... + 9698.50ns INFO [00009700] Port=0 WR @02=2D8E38D2318EDE68B7 + 9698.50ns INFO [00009700] Port=1 RD @06 + 9699.50ns INFO [00009701] * RD COMPARE * port=1 adr=07 act=5EE40E2A00CBF9DD4F exp=5EE40E2A00CBF9DD4F + 9700.50ns INFO [00009702] * RD COMPARE * port=1 adr=06 act=7B65907651511E6AF8 exp=7B65907651511E6AF8 + 9702.50ns INFO [00009704] Port=1 RD @01 + 9703.50ns INFO [00009705] Port=0 WR @01=BF23896DEAC9F7BFEB + 9704.50ns INFO [00009706] * RD COMPARE * port=1 adr=01 act=AE2AC458683915E9AE exp=AE2AC458683915E9AE + 9704.50ns INFO [00009706] Port=1 RD @04 + 9705.50ns INFO [00009707] Port=1 RD @03 + 9706.50ns INFO [00009708] * RD COMPARE * port=1 adr=04 act=53768CC0F8C5985D3D exp=53768CC0F8C5985D3D + 9706.50ns INFO [00009708] Port=0 WR @04=337080ACEE4E4C0D41 + 9706.50ns INFO [00009708] Port=0 RD @05 + 9706.50ns INFO [00009708] Port=1 RD @01 + 9707.50ns INFO [00009709] * RD COMPARE * port=1 adr=03 act=F1917748B124E3DD34 exp=F1917748B124E3DD34 + 9707.50ns INFO [00009709] Port=0 WR @02=CCBEF15D31FC3C6695 + 9707.50ns INFO [00009709] Port=0 RD @00 + 9708.50ns INFO [00009710] * RD COMPARE * port=0 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9708.50ns INFO [00009710] * RD COMPARE * port=1 adr=01 act=BF23896DEAC9F7BFEB exp=BF23896DEAC9F7BFEB + 9708.50ns INFO [00009710] Port=1 RD @05 + 9709.50ns INFO [00009711] * RD COMPARE * port=0 adr=00 act=259F49699AB85EFE39 exp=259F49699AB85EFE39 + 9709.50ns INFO [00009711] Port=0 WR @00=630CE6CFB92F21B7A5 + 9710.50ns INFO [00009712] * RD COMPARE * port=1 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9711.50ns INFO [00009713] Port=1 RD @06 + 9712.50ns INFO [00009714] Port=0 WR @04=ACF295BB958E86A97A + 9712.50ns INFO [00009714] Port=0 RD @01 + 9713.50ns INFO [00009715] * RD COMPARE * port=1 adr=06 act=7B65907651511E6AF8 exp=7B65907651511E6AF8 + 9713.50ns INFO [00009715] Port=0 WR @02=01B49BA7EC913BB206 + 9713.50ns INFO [00009715] Port=0 RD @07 + 9714.50ns INFO [00009716] * RD COMPARE * port=0 adr=01 act=BF23896DEAC9F7BFEB exp=BF23896DEAC9F7BFEB + 9714.50ns INFO [00009716] Port=0 WR @04=17E20132456E315317 + 9714.50ns INFO [00009716] Port=0 RD @07 + 9714.50ns INFO [00009716] Port=1 RD @00 + 9715.50ns INFO [00009717] * RD COMPARE * port=0 adr=07 act=5EE40E2A00CBF9DD4F exp=5EE40E2A00CBF9DD4F + 9716.50ns INFO [00009718] * RD COMPARE * port=0 adr=07 act=5EE40E2A00CBF9DD4F exp=5EE40E2A00CBF9DD4F + 9716.50ns INFO [00009718] * RD COMPARE * port=1 adr=00 act=630CE6CFB92F21B7A5 exp=630CE6CFB92F21B7A5 + 9716.50ns INFO [00009718] Port=0 WR @04=3759CE89C78A0CF0EA + 9716.50ns INFO [00009718] Port=1 RD @01 + 9717.50ns INFO [00009719] Port=0 RD @06 + 9717.50ns INFO [00009719] Port=1 RD @05 + 9718.50ns INFO [00009720] * RD COMPARE * port=1 adr=01 act=BF23896DEAC9F7BFEB exp=BF23896DEAC9F7BFEB + 9718.50ns INFO [00009720] Port=0 RD @05 + 9719.50ns INFO [00009721] * RD COMPARE * port=0 adr=06 act=7B65907651511E6AF8 exp=7B65907651511E6AF8 + 9719.50ns INFO [00009721] * RD COMPARE * port=1 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9719.50ns INFO [00009721] Port=0 WR @02=DBF28A5AC23CEC11D0 + 9719.50ns INFO [00009721] Port=0 RD @06 + 9720.50ns INFO [00009722] * RD COMPARE * port=0 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9720.50ns INFO [00009722] Port=0 WR @03=B61C3AF411BFB23ACE + 9720.50ns INFO [00009722] Port=0 RD @02 + 9720.50ns INFO [00009722] Port=1 RD @06 + 9721.50ns INFO [00009723] * RD COMPARE * port=0 adr=06 act=7B65907651511E6AF8 exp=7B65907651511E6AF8 + 9721.50ns INFO [00009723] Port=0 WR @02=D4DCC9C9866ACF4F81 + 9722.50ns INFO [00009724] * RD COMPARE * port=0 adr=02 act=DBF28A5AC23CEC11D0 exp=DBF28A5AC23CEC11D0 + 9722.50ns INFO [00009724] * RD COMPARE * port=1 adr=06 act=7B65907651511E6AF8 exp=7B65907651511E6AF8 + 9722.50ns INFO [00009724] Port=0 RD @05 + 9723.50ns INFO [00009725] Port=0 RD @06 + 9723.50ns INFO [00009725] Port=1 RD @05 + 9724.50ns INFO [00009726] * RD COMPARE * port=0 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9724.50ns INFO [00009726] Port=0 RD @01 + 9725.50ns INFO [00009727] * RD COMPARE * port=0 adr=06 act=7B65907651511E6AF8 exp=7B65907651511E6AF8 + 9725.50ns INFO [00009727] * RD COMPARE * port=1 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9725.50ns INFO [00009727] Port=0 WR @03=9B2545E46904982373 + 9725.50ns INFO [00009727] Port=0 RD @04 + 9726.50ns INFO [00009728] * RD COMPARE * port=0 adr=01 act=BF23896DEAC9F7BFEB exp=BF23896DEAC9F7BFEB + 9726.50ns INFO [00009728] Port=0 RD @02 + 9727.50ns INFO [00009729] * RD COMPARE * port=0 adr=04 act=3759CE89C78A0CF0EA exp=3759CE89C78A0CF0EA + 9727.50ns INFO [00009729] Port=1 RD @07 + 9728.50ns INFO [00009730] * RD COMPARE * port=0 adr=02 act=D4DCC9C9866ACF4F81 exp=D4DCC9C9866ACF4F81 + 9729.50ns INFO [00009731] * RD COMPARE * port=1 adr=07 act=5EE40E2A00CBF9DD4F exp=5EE40E2A00CBF9DD4F + 9729.50ns INFO [00009731] Port=0 RD @02 + 9731.50ns INFO [00009733] * RD COMPARE * port=0 adr=02 act=D4DCC9C9866ACF4F81 exp=D4DCC9C9866ACF4F81 + 9732.50ns INFO [00009734] Port=0 WR @02=98CE692EFBF335E999 + 9733.50ns INFO [00009735] Port=0 WR @00=D5E8F1624BC3067487 + 9733.50ns INFO [00009735] Port=0 RD @05 + 9734.50ns INFO [00009736] Port=0 RD @04 + 9735.50ns INFO [00009737] * RD COMPARE * port=0 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9735.50ns INFO [00009737] Port=0 WR @06=4716A311FC11BB2A62 + 9735.50ns INFO [00009737] Port=0 RD @07 + 9735.50ns INFO [00009737] Port=1 RD @05 + 9736.50ns INFO [00009738] * RD COMPARE * port=0 adr=04 act=3759CE89C78A0CF0EA exp=3759CE89C78A0CF0EA + 9736.50ns INFO [00009738] Port=1 RD @02 + 9737.50ns INFO [00009739] * RD COMPARE * port=0 adr=07 act=5EE40E2A00CBF9DD4F exp=5EE40E2A00CBF9DD4F + 9737.50ns INFO [00009739] * RD COMPARE * port=1 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9737.50ns INFO [00009739] Port=0 WR @02=26B6F0B709DF39296A + 9737.50ns INFO [00009739] Port=0 RD @00 + 9738.50ns INFO [00009740] * RD COMPARE * port=1 adr=02 act=98CE692EFBF335E999 exp=98CE692EFBF335E999 + 9738.50ns INFO [00009740] Port=0 RD @03 + 9738.50ns INFO [00009740] Port=1 RD @01 + 9739.50ns INFO [00009741] * RD COMPARE * port=0 adr=00 act=D5E8F1624BC3067487 exp=D5E8F1624BC3067487 + 9739.50ns INFO [00009741] Port=0 RD @01 + 9740.50ns INFO [00009742] * RD COMPARE * port=0 adr=03 act=9B2545E46904982373 exp=9B2545E46904982373 + 9740.50ns INFO [00009742] * RD COMPARE * port=1 adr=01 act=BF23896DEAC9F7BFEB exp=BF23896DEAC9F7BFEB + 9740.50ns INFO [00009742] Port=1 RD @03 + 9741.50ns INFO [00009743] * RD COMPARE * port=0 adr=01 act=BF23896DEAC9F7BFEB exp=BF23896DEAC9F7BFEB + 9741.50ns INFO [00009743] Port=1 RD @06 + 9742.50ns INFO [00009744] * RD COMPARE * port=1 adr=03 act=9B2545E46904982373 exp=9B2545E46904982373 + 9743.50ns INFO [00009745] * RD COMPARE * port=1 adr=06 act=4716A311FC11BB2A62 exp=4716A311FC11BB2A62 + 9743.50ns INFO [00009745] Port=0 WR @02=A80AF851A3E0C0AB29 + 9743.50ns INFO [00009745] Port=0 RD @00 + 9743.50ns INFO [00009745] Port=1 RD @03 + 9744.50ns INFO [00009746] Port=0 WR @01=D38DE595BE3C74F132 + 9744.50ns INFO [00009746] Port=0 RD @02 + 9744.50ns INFO [00009746] Port=1 RD @07 + 9745.50ns INFO [00009747] * RD COMPARE * port=0 adr=00 act=D5E8F1624BC3067487 exp=D5E8F1624BC3067487 + 9745.50ns INFO [00009747] * RD COMPARE * port=1 adr=03 act=9B2545E46904982373 exp=9B2545E46904982373 + 9745.50ns INFO [00009747] Port=0 RD @00 + 9746.50ns INFO [00009748] * RD COMPARE * port=0 adr=02 act=A80AF851A3E0C0AB29 exp=A80AF851A3E0C0AB29 + 9746.50ns INFO [00009748] * RD COMPARE * port=1 adr=07 act=5EE40E2A00CBF9DD4F exp=5EE40E2A00CBF9DD4F + 9746.50ns INFO [00009748] Port=0 WR @00=A4704B888C1738AD22 + 9746.50ns INFO [00009748] Port=0 RD @03 + 9747.50ns INFO [00009749] * RD COMPARE * port=0 adr=00 act=D5E8F1624BC3067487 exp=D5E8F1624BC3067487 + 9748.50ns INFO [00009750] * RD COMPARE * port=0 adr=03 act=9B2545E46904982373 exp=9B2545E46904982373 + 9749.50ns INFO [00009751] Port=0 WR @07=0120BBCC56E6735969 + 9749.50ns INFO [00009751] Port=1 RD @06 + 9751.50ns INFO [00009753] * RD COMPARE * port=1 adr=06 act=4716A311FC11BB2A62 exp=4716A311FC11BB2A62 + 9751.50ns INFO [00009753] Port=0 WR @07=DD2513AC20A81B5203 + 9752.50ns INFO [00009754] Port=0 WR @01=90446F6EB15631C29E + 9754.50ns INFO [00009756] Port=0 RD @00 + 9754.50ns INFO [00009756] Port=1 RD @04 + 9755.50ns INFO [00009757] Port=0 WR @03=2DCC492AC46370F561 + 9755.50ns INFO [00009757] Port=0 RD @04 + 9756.50ns INFO [00009758] * RD COMPARE * port=0 adr=00 act=A4704B888C1738AD22 exp=A4704B888C1738AD22 + 9756.50ns INFO [00009758] * RD COMPARE * port=1 adr=04 act=3759CE89C78A0CF0EA exp=3759CE89C78A0CF0EA + 9756.50ns INFO [00009758] Port=0 RD @03 + 9756.50ns INFO [00009758] Port=1 RD @03 + 9757.50ns INFO [00009759] * RD COMPARE * port=0 adr=04 act=3759CE89C78A0CF0EA exp=3759CE89C78A0CF0EA + 9757.50ns INFO [00009759] Port=1 RD @01 + 9758.50ns INFO [00009760] * RD COMPARE * port=0 adr=03 act=2DCC492AC46370F561 exp=2DCC492AC46370F561 + 9758.50ns INFO [00009760] * RD COMPARE * port=1 adr=03 act=2DCC492AC46370F561 exp=2DCC492AC46370F561 + 9758.50ns INFO [00009760] Port=1 RD @03 + 9759.50ns INFO [00009761] * RD COMPARE * port=1 adr=01 act=90446F6EB15631C29E exp=90446F6EB15631C29E + 9759.50ns INFO [00009761] Port=0 RD @05 + 9760.50ns INFO [00009762] * RD COMPARE * port=1 adr=03 act=2DCC492AC46370F561 exp=2DCC492AC46370F561 + 9760.50ns INFO [00009762] Port=0 RD @07 + 9760.50ns INFO [00009762] Port=1 RD @02 + 9761.50ns INFO [00009763] * RD COMPARE * port=0 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9761.50ns INFO [00009763] Port=0 WR @07=690B1328D1D72B673B + 9761.50ns INFO [00009763] Port=1 RD @00 + 9762.50ns INFO [00009764] * RD COMPARE * port=0 adr=07 act=DD2513AC20A81B5203 exp=DD2513AC20A81B5203 + 9762.50ns INFO [00009764] * RD COMPARE * port=1 adr=02 act=A80AF851A3E0C0AB29 exp=A80AF851A3E0C0AB29 + 9763.50ns INFO [00009765] * RD COMPARE * port=1 adr=00 act=A4704B888C1738AD22 exp=A4704B888C1738AD22 + 9763.50ns INFO [00009765] Port=0 RD @00 + 9764.50ns INFO [00009766] Port=1 RD @01 + 9765.50ns INFO [00009767] * RD COMPARE * port=0 adr=00 act=A4704B888C1738AD22 exp=A4704B888C1738AD22 + 9765.50ns INFO [00009767] Port=0 RD @05 + 9766.50ns INFO [00009768] * RD COMPARE * port=1 adr=01 act=90446F6EB15631C29E exp=90446F6EB15631C29E + 9766.50ns INFO [00009768] Port=0 RD @00 + 9767.50ns INFO [00009769] * RD COMPARE * port=0 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9767.50ns INFO [00009769] Port=0 WR @00=0D85F2E59B4AA71A6A + 9767.50ns INFO [00009769] Port=0 RD @05 + 9767.50ns INFO [00009769] Port=1 RD @06 + 9768.50ns INFO [00009770] * RD COMPARE * port=0 adr=00 act=A4704B888C1738AD22 exp=A4704B888C1738AD22 + 9768.50ns INFO [00009770] Port=0 WR @04=56C872A13E563AAC91 + 9768.50ns INFO [00009770] Port=0 RD @06 + 9769.50ns INFO [00009771] * RD COMPARE * port=0 adr=05 act=7370E4E81EED97D13D exp=7370E4E81EED97D13D + 9769.50ns INFO [00009771] * RD COMPARE * port=1 adr=06 act=4716A311FC11BB2A62 exp=4716A311FC11BB2A62 + 9769.50ns INFO [00009771] Port=0 WR @02=07ABB318CB102BEC0B + 9769.50ns INFO [00009771] Port=1 RD @06 + 9770.50ns INFO [00009772] * RD COMPARE * port=0 adr=06 act=4716A311FC11BB2A62 exp=4716A311FC11BB2A62 + 9770.50ns INFO [00009772] Port=0 WR @05=9B141744E747C38843 + 9770.50ns INFO [00009772] Port=1 RD @03 + 9771.50ns INFO [00009773] * RD COMPARE * port=1 adr=06 act=4716A311FC11BB2A62 exp=4716A311FC11BB2A62 + 9771.50ns INFO [00009773] Port=0 RD @00 + 9771.50ns INFO [00009773] Port=1 RD @07 + 9772.50ns INFO [00009774] * RD COMPARE * port=1 adr=03 act=2DCC492AC46370F561 exp=2DCC492AC46370F561 + 9772.50ns INFO [00009774] Port=0 WR @04=0974145701D7DCEAAC + 9773.50ns INFO [00009775] * RD COMPARE * port=0 adr=00 act=0D85F2E59B4AA71A6A exp=0D85F2E59B4AA71A6A + 9773.50ns INFO [00009775] * RD COMPARE * port=1 adr=07 act=690B1328D1D72B673B exp=690B1328D1D72B673B + 9774.50ns INFO [00009776] Port=1 RD @00 + 9775.50ns INFO [00009777] Port=1 RD @02 + 9776.50ns INFO [00009778] * RD COMPARE * port=1 adr=00 act=0D85F2E59B4AA71A6A exp=0D85F2E59B4AA71A6A + 9776.50ns INFO [00009778] Port=0 WR @00=85C3F455F41154E439 + 9776.50ns INFO [00009778] Port=0 RD @03 + 9776.50ns INFO [00009778] Port=1 RD @04 + 9777.50ns INFO [00009779] * RD COMPARE * port=1 adr=02 act=07ABB318CB102BEC0B exp=07ABB318CB102BEC0B + 9777.50ns INFO [00009779] Port=0 WR @04=2FAADA11F7CFB9A035 + 9778.50ns INFO [00009780] * RD COMPARE * port=0 adr=03 act=2DCC492AC46370F561 exp=2DCC492AC46370F561 + 9778.50ns INFO [00009780] * RD COMPARE * port=1 adr=04 act=0974145701D7DCEAAC exp=0974145701D7DCEAAC + 9778.50ns INFO [00009780] Port=0 RD @00 + 9779.50ns INFO [00009781] Port=0 WR @01=FFCA3D9911419D7753 + 9779.50ns INFO [00009781] Port=1 RD @07 + 9780.50ns INFO [00009782] * RD COMPARE * port=0 adr=00 act=85C3F455F41154E439 exp=85C3F455F41154E439 + 9780.50ns INFO [00009782] Port=0 RD @01 + 9781.50ns INFO [00009783] * RD COMPARE * port=1 adr=07 act=690B1328D1D72B673B exp=690B1328D1D72B673B + 9782.50ns INFO [00009784] * RD COMPARE * port=0 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9783.50ns INFO [00009785] Port=1 RD @01 + 9784.50ns INFO [00009786] Port=0 RD @01 + 9785.50ns INFO [00009787] * RD COMPARE * port=1 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9785.50ns INFO [00009787] Port=0 RD @07 + 9786.50ns INFO [00009788] * RD COMPARE * port=0 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9787.50ns INFO [00009789] * RD COMPARE * port=0 adr=07 act=690B1328D1D72B673B exp=690B1328D1D72B673B + 9788.50ns INFO [00009790] Port=0 RD @00 + 9789.50ns INFO [00009791] Port=0 WR @06=25B7FA1BC1AE7D4B69 + 9789.50ns INFO [00009791] Port=0 RD @05 + 9789.50ns INFO [00009791] Port=1 RD @03 + 9790.50ns INFO [00009792] * RD COMPARE * port=0 adr=00 act=85C3F455F41154E439 exp=85C3F455F41154E439 + 9791.50ns INFO [00009793] * RD COMPARE * port=0 adr=05 act=9B141744E747C38843 exp=9B141744E747C38843 + 9791.50ns INFO [00009793] * RD COMPARE * port=1 adr=03 act=2DCC492AC46370F561 exp=2DCC492AC46370F561 + 9792.50ns INFO [00009794] Port=1 RD @02 + 9793.50ns INFO [00009795] Port=1 RD @00 + 9794.50ns INFO [00009796] * RD COMPARE * port=1 adr=02 act=07ABB318CB102BEC0B exp=07ABB318CB102BEC0B + 9794.50ns INFO [00009796] Port=1 RD @00 + 9795.50ns INFO [00009797] * RD COMPARE * port=1 adr=00 act=85C3F455F41154E439 exp=85C3F455F41154E439 + 9795.50ns INFO [00009797] Port=0 WR @02=4C25C2DD7F6AD2FE8C + 9796.50ns INFO [00009798] * RD COMPARE * port=1 adr=00 act=85C3F455F41154E439 exp=85C3F455F41154E439 + 9796.50ns INFO [00009798] Port=0 WR @04=E16D96925B893542ED + 9796.50ns INFO [00009798] Port=0 RD @07 + 9798.00ns INFO [00009800] [00009800] ...tick... + 9798.50ns INFO [00009800] * RD COMPARE * port=0 adr=07 act=690B1328D1D72B673B exp=690B1328D1D72B673B + 9798.50ns INFO [00009800] Port=0 RD @01 + 9798.50ns INFO [00009800] Port=1 RD @06 + 9799.50ns INFO [00009801] Port=0 RD @05 + 9800.50ns INFO [00009802] * RD COMPARE * port=0 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9800.50ns INFO [00009802] * RD COMPARE * port=1 adr=06 act=25B7FA1BC1AE7D4B69 exp=25B7FA1BC1AE7D4B69 + 9801.50ns INFO [00009803] * RD COMPARE * port=0 adr=05 act=9B141744E747C38843 exp=9B141744E747C38843 + 9801.50ns INFO [00009803] Port=0 RD @05 + 9803.50ns INFO [00009805] * RD COMPARE * port=0 adr=05 act=9B141744E747C38843 exp=9B141744E747C38843 + 9803.50ns INFO [00009805] Port=0 WR @04=D4E795399C0B3BC5AC + 9805.50ns INFO [00009807] Port=0 WR @06=467BE48AA005BE69C3 + 9807.50ns INFO [00009809] Port=0 WR @00=0E01148A3A5643A1DF + 9807.50ns INFO [00009809] Port=0 RD @07 + 9807.50ns INFO [00009809] Port=1 RD @05 + 9808.50ns INFO [00009810] Port=0 WR @02=F017B9BC99759C539A + 9808.50ns INFO [00009810] Port=0 RD @03 + 9809.50ns INFO [00009811] * RD COMPARE * port=0 adr=07 act=690B1328D1D72B673B exp=690B1328D1D72B673B + 9809.50ns INFO [00009811] * RD COMPARE * port=1 adr=05 act=9B141744E747C38843 exp=9B141744E747C38843 + 9809.50ns INFO [00009811] Port=0 RD @04 + 9809.50ns INFO [00009811] Port=1 RD @05 + 9810.50ns INFO [00009812] * RD COMPARE * port=0 adr=03 act=2DCC492AC46370F561 exp=2DCC492AC46370F561 + 9810.50ns INFO [00009812] Port=0 WR @06=FAE5674ABE989D5C05 + 9811.50ns INFO [00009813] * RD COMPARE * port=0 adr=04 act=D4E795399C0B3BC5AC exp=D4E795399C0B3BC5AC + 9811.50ns INFO [00009813] * RD COMPARE * port=1 adr=05 act=9B141744E747C38843 exp=9B141744E747C38843 + 9811.50ns INFO [00009813] Port=0 RD @06 + 9811.50ns INFO [00009813] Port=1 RD @07 + 9813.50ns INFO [00009815] * RD COMPARE * port=0 adr=06 act=FAE5674ABE989D5C05 exp=FAE5674ABE989D5C05 + 9813.50ns INFO [00009815] * RD COMPARE * port=1 adr=07 act=690B1328D1D72B673B exp=690B1328D1D72B673B + 9813.50ns INFO [00009815] Port=0 WR @07=3A299E7012E7A49664 + 9813.50ns INFO [00009815] Port=0 RD @02 + 9813.50ns INFO [00009815] Port=1 RD @01 + 9814.50ns INFO [00009816] Port=0 WR @02=4EA3EE7815A4FC6637 + 9815.50ns INFO [00009817] * RD COMPARE * port=0 adr=02 act=F017B9BC99759C539A exp=F017B9BC99759C539A + 9815.50ns INFO [00009817] * RD COMPARE * port=1 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9815.50ns INFO [00009817] Port=0 WR @00=706A83BEB6EBE03B43 + 9816.50ns INFO [00009818] Port=0 RD @01 + 9817.50ns INFO [00009819] Port=0 RD @07 + 9817.50ns INFO [00009819] Port=1 RD @02 + 9818.50ns INFO [00009820] * RD COMPARE * port=0 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9818.50ns INFO [00009820] Port=0 WR @00=0D7E37F11A626115AE + 9818.50ns INFO [00009820] Port=1 RD @02 + 9819.50ns INFO [00009821] * RD COMPARE * port=0 adr=07 act=3A299E7012E7A49664 exp=3A299E7012E7A49664 + 9819.50ns INFO [00009821] * RD COMPARE * port=1 adr=02 act=4EA3EE7815A4FC6637 exp=4EA3EE7815A4FC6637 + 9819.50ns INFO [00009821] Port=0 WR @06=15CACE2B032EFFA3A4 + 9819.50ns INFO [00009821] Port=1 RD @05 + 9820.50ns INFO [00009822] * RD COMPARE * port=1 adr=02 act=4EA3EE7815A4FC6637 exp=4EA3EE7815A4FC6637 + 9821.50ns INFO [00009823] * RD COMPARE * port=1 adr=05 act=9B141744E747C38843 exp=9B141744E747C38843 + 9821.50ns INFO [00009823] Port=1 RD @04 + 9822.50ns INFO [00009824] Port=1 RD @07 + 9823.50ns INFO [00009825] * RD COMPARE * port=1 adr=04 act=D4E795399C0B3BC5AC exp=D4E795399C0B3BC5AC + 9823.50ns INFO [00009825] Port=0 WR @03=0ADB51167C7178AD0E + 9823.50ns INFO [00009825] Port=0 RD @01 + 9824.50ns INFO [00009826] * RD COMPARE * port=1 adr=07 act=3A299E7012E7A49664 exp=3A299E7012E7A49664 + 9824.50ns INFO [00009826] Port=0 WR @05=16837B32EC867A2FCD + 9824.50ns INFO [00009826] Port=0 RD @06 + 9825.50ns INFO [00009827] * RD COMPARE * port=0 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9825.50ns INFO [00009827] Port=0 RD @05 + 9826.50ns INFO [00009828] * RD COMPARE * port=0 adr=06 act=15CACE2B032EFFA3A4 exp=15CACE2B032EFFA3A4 + 9826.50ns INFO [00009828] Port=1 RD @07 + 9827.50ns INFO [00009829] * RD COMPARE * port=0 adr=05 act=16837B32EC867A2FCD exp=16837B32EC867A2FCD + 9827.50ns INFO [00009829] Port=0 RD @02 + 9828.50ns INFO [00009830] * RD COMPARE * port=1 adr=07 act=3A299E7012E7A49664 exp=3A299E7012E7A49664 + 9828.50ns INFO [00009830] Port=0 WR @06=200FA875C7460573D6 + 9828.50ns INFO [00009830] Port=0 RD @00 + 9829.50ns INFO [00009831] * RD COMPARE * port=0 adr=02 act=4EA3EE7815A4FC6637 exp=4EA3EE7815A4FC6637 + 9829.50ns INFO [00009831] Port=1 RD @01 + 9830.50ns INFO [00009832] * RD COMPARE * port=0 adr=00 act=0D7E37F11A626115AE exp=0D7E37F11A626115AE + 9830.50ns INFO [00009832] Port=1 RD @00 + 9831.50ns INFO [00009833] * RD COMPARE * port=1 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9831.50ns INFO [00009833] Port=0 RD @01 + 9832.50ns INFO [00009834] * RD COMPARE * port=1 adr=00 act=0D7E37F11A626115AE exp=0D7E37F11A626115AE + 9832.50ns INFO [00009834] Port=0 RD @06 + 9833.50ns INFO [00009835] * RD COMPARE * port=0 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9833.50ns INFO [00009835] Port=1 RD @07 + 9834.50ns INFO [00009836] * RD COMPARE * port=0 adr=06 act=200FA875C7460573D6 exp=200FA875C7460573D6 + 9834.50ns INFO [00009836] Port=0 WR @04=18B2A14BA7A5D64BC7 + 9835.50ns INFO [00009837] * RD COMPARE * port=1 adr=07 act=3A299E7012E7A49664 exp=3A299E7012E7A49664 + 9835.50ns INFO [00009837] Port=0 RD @05 + 9836.50ns INFO [00009838] Port=1 RD @00 + 9837.50ns INFO [00009839] * RD COMPARE * port=0 adr=05 act=16837B32EC867A2FCD exp=16837B32EC867A2FCD + 9837.50ns INFO [00009839] Port=0 WR @00=80489DEF31DEF8BC11 + 9838.50ns INFO [00009840] * RD COMPARE * port=1 adr=00 act=0D7E37F11A626115AE exp=0D7E37F11A626115AE + 9838.50ns INFO [00009840] Port=0 WR @02=8734E10426EB90BF4D + 9838.50ns INFO [00009840] Port=0 RD @04 + 9840.50ns INFO [00009842] * RD COMPARE * port=0 adr=04 act=18B2A14BA7A5D64BC7 exp=18B2A14BA7A5D64BC7 + 9840.50ns INFO [00009842] Port=1 RD @07 + 9841.50ns INFO [00009843] Port=0 WR @02=7598D423C0600716E2 + 9841.50ns INFO [00009843] Port=0 RD @07 + 9842.50ns INFO [00009844] * RD COMPARE * port=1 adr=07 act=3A299E7012E7A49664 exp=3A299E7012E7A49664 + 9843.50ns INFO [00009845] * RD COMPARE * port=0 adr=07 act=3A299E7012E7A49664 exp=3A299E7012E7A49664 + 9843.50ns INFO [00009845] Port=0 WR @04=38343510AB5ECFE8FC + 9845.50ns INFO [00009847] Port=0 RD @07 + 9845.50ns INFO [00009847] Port=1 RD @04 + 9846.50ns INFO [00009848] Port=0 WR @03=D1EB2A820B4976216F + 9846.50ns INFO [00009848] Port=0 RD @01 + 9847.50ns INFO [00009849] * RD COMPARE * port=0 adr=07 act=3A299E7012E7A49664 exp=3A299E7012E7A49664 + 9847.50ns INFO [00009849] * RD COMPARE * port=1 adr=04 act=38343510AB5ECFE8FC exp=38343510AB5ECFE8FC + 9847.50ns INFO [00009849] Port=0 RD @05 + 9848.50ns INFO [00009850] * RD COMPARE * port=0 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9848.50ns INFO [00009850] Port=0 WR @07=B52E9A7540849E430B + 9848.50ns INFO [00009850] Port=1 RD @00 + 9849.50ns INFO [00009851] * RD COMPARE * port=0 adr=05 act=16837B32EC867A2FCD exp=16837B32EC867A2FCD + 9849.50ns INFO [00009851] Port=0 WR @07=DDFDDB7697DB64A037 + 9850.50ns INFO [00009852] * RD COMPARE * port=1 adr=00 act=80489DEF31DEF8BC11 exp=80489DEF31DEF8BC11 + 9852.50ns INFO [00009854] Port=0 WR @00=4BD9154627DF300EB6 + 9853.50ns INFO [00009855] Port=0 RD @07 + 9853.50ns INFO [00009855] Port=1 RD @01 + 9854.50ns INFO [00009856] Port=0 WR @05=111A44D489763261B7 + 9854.50ns INFO [00009856] Port=1 RD @02 + 9855.50ns INFO [00009857] * RD COMPARE * port=0 adr=07 act=DDFDDB7697DB64A037 exp=DDFDDB7697DB64A037 + 9855.50ns INFO [00009857] * RD COMPARE * port=1 adr=01 act=FFCA3D9911419D7753 exp=FFCA3D9911419D7753 + 9856.50ns INFO [00009858] * RD COMPARE * port=1 adr=02 act=7598D423C0600716E2 exp=7598D423C0600716E2 + 9856.50ns INFO [00009858] Port=0 RD @00 + 9856.50ns INFO [00009858] Port=1 RD @03 + 9857.50ns INFO [00009859] Port=1 RD @00 + 9858.50ns INFO [00009860] * RD COMPARE * port=0 adr=00 act=4BD9154627DF300EB6 exp=4BD9154627DF300EB6 + 9858.50ns INFO [00009860] * RD COMPARE * port=1 adr=03 act=D1EB2A820B4976216F exp=D1EB2A820B4976216F + 9859.50ns INFO [00009861] * RD COMPARE * port=1 adr=00 act=4BD9154627DF300EB6 exp=4BD9154627DF300EB6 + 9861.50ns INFO [00009863] Port=0 WR @05=86A5C63F4A9DD7E57C + 9862.50ns INFO [00009864] Port=1 RD @00 + 9864.50ns INFO [00009866] * RD COMPARE * port=1 adr=00 act=4BD9154627DF300EB6 exp=4BD9154627DF300EB6 + 9864.50ns INFO [00009866] Port=1 RD @07 + 9866.50ns INFO [00009868] * RD COMPARE * port=1 adr=07 act=DDFDDB7697DB64A037 exp=DDFDDB7697DB64A037 + 9866.50ns INFO [00009868] Port=0 WR @00=14A8AC92135B4D1E31 + 9866.50ns INFO [00009868] Port=0 RD @05 + 9867.50ns INFO [00009869] Port=0 RD @06 + 9867.50ns INFO [00009869] Port=1 RD @07 + 9868.50ns INFO [00009870] * RD COMPARE * port=0 adr=05 act=86A5C63F4A9DD7E57C exp=86A5C63F4A9DD7E57C + 9869.50ns INFO [00009871] * RD COMPARE * port=0 adr=06 act=200FA875C7460573D6 exp=200FA875C7460573D6 + 9869.50ns INFO [00009871] * RD COMPARE * port=1 adr=07 act=DDFDDB7697DB64A037 exp=DDFDDB7697DB64A037 + 9869.50ns INFO [00009871] Port=1 RD @04 + 9870.50ns INFO [00009872] Port=1 RD @06 + 9871.50ns INFO [00009873] * RD COMPARE * port=1 adr=04 act=38343510AB5ECFE8FC exp=38343510AB5ECFE8FC + 9871.50ns INFO [00009873] Port=0 WR @01=38199A91BBB3E4FA7E + 9871.50ns INFO [00009873] Port=1 RD @04 + 9872.50ns INFO [00009874] * RD COMPARE * port=1 adr=06 act=200FA875C7460573D6 exp=200FA875C7460573D6 + 9872.50ns INFO [00009874] Port=0 WR @01=9BC0406DAD601FA4F4 + 9873.50ns INFO [00009875] * RD COMPARE * port=1 adr=04 act=38343510AB5ECFE8FC exp=38343510AB5ECFE8FC + 9873.50ns INFO [00009875] Port=0 RD @02 + 9875.50ns INFO [00009877] * RD COMPARE * port=0 adr=02 act=7598D423C0600716E2 exp=7598D423C0600716E2 + 9875.50ns INFO [00009877] Port=0 RD @05 + 9875.50ns INFO [00009877] Port=1 RD @03 + 9876.50ns INFO [00009878] Port=0 WR @04=A13C3531097941A3D8 + 9876.50ns INFO [00009878] Port=1 RD @01 + 9877.50ns INFO [00009879] * RD COMPARE * port=0 adr=05 act=86A5C63F4A9DD7E57C exp=86A5C63F4A9DD7E57C + 9877.50ns INFO [00009879] * RD COMPARE * port=1 adr=03 act=D1EB2A820B4976216F exp=D1EB2A820B4976216F + 9877.50ns INFO [00009879] Port=0 WR @04=0FBAE95911A9B13483 + 9878.50ns INFO [00009880] * RD COMPARE * port=1 adr=01 act=9BC0406DAD601FA4F4 exp=9BC0406DAD601FA4F4 + 9878.50ns INFO [00009880] Port=0 WR @00=9D349E952CD7E68AB2 + 9880.50ns INFO [00009882] Port=0 WR @03=BCDAA814E515F33C19 + 9880.50ns INFO [00009882] Port=1 RD @02 + 9881.50ns INFO [00009883] Port=0 WR @03=F856587A9653B9C501 + 9882.50ns INFO [00009884] * RD COMPARE * port=1 adr=02 act=7598D423C0600716E2 exp=7598D423C0600716E2 + 9883.50ns INFO [00009885] Port=1 RD @00 + 9884.50ns INFO [00009886] Port=0 WR @01=18F50F369F4014FB25 + 9884.50ns INFO [00009886] Port=0 RD @07 + 9884.50ns INFO [00009886] Port=1 RD @03 + 9885.50ns INFO [00009887] * RD COMPARE * port=1 adr=00 act=9D349E952CD7E68AB2 exp=9D349E952CD7E68AB2 + 9886.50ns INFO [00009888] * RD COMPARE * port=0 adr=07 act=DDFDDB7697DB64A037 exp=DDFDDB7697DB64A037 + 9886.50ns INFO [00009888] * RD COMPARE * port=1 adr=03 act=F856587A9653B9C501 exp=F856587A9653B9C501 + 9887.50ns INFO [00009889] Port=0 RD @03 + 9888.50ns INFO [00009890] Port=0 RD @05 + 9888.50ns INFO [00009890] Port=1 RD @07 + 9889.50ns INFO [00009891] * RD COMPARE * port=0 adr=03 act=F856587A9653B9C501 exp=F856587A9653B9C501 + 9889.50ns INFO [00009891] Port=0 WR @03=976336000CD40B0DFA + 9890.50ns INFO [00009892] * RD COMPARE * port=0 adr=05 act=86A5C63F4A9DD7E57C exp=86A5C63F4A9DD7E57C + 9890.50ns INFO [00009892] * RD COMPARE * port=1 adr=07 act=DDFDDB7697DB64A037 exp=DDFDDB7697DB64A037 + 9890.50ns INFO [00009892] Port=0 RD @01 + 9891.50ns INFO [00009893] Port=0 WR @04=F2BDE04851BC45C974 + 9892.50ns INFO [00009894] * RD COMPARE * port=0 adr=01 act=18F50F369F4014FB25 exp=18F50F369F4014FB25 + 9892.50ns INFO [00009894] Port=0 RD @05 + 9893.50ns INFO [00009895] Port=0 WR @03=8F1FE3FF7FB0AD354E + 9893.50ns INFO [00009895] Port=0 RD @06 + 9894.50ns INFO [00009896] * RD COMPARE * port=0 adr=05 act=86A5C63F4A9DD7E57C exp=86A5C63F4A9DD7E57C + 9894.50ns INFO [00009896] Port=1 RD @05 + 9895.50ns INFO [00009897] * RD COMPARE * port=0 adr=06 act=200FA875C7460573D6 exp=200FA875C7460573D6 + 9896.50ns INFO [00009898] * RD COMPARE * port=1 adr=05 act=86A5C63F4A9DD7E57C exp=86A5C63F4A9DD7E57C + 9896.50ns INFO [00009898] Port=1 RD @05 + 9897.50ns INFO [00009899] Port=0 WR @00=17BFB498DBB37DD93D + 9897.50ns INFO [00009899] Port=0 RD @01 + 9898.00ns INFO [00009900] [00009900] ...tick... + 9898.50ns INFO [00009900] * RD COMPARE * port=1 adr=05 act=86A5C63F4A9DD7E57C exp=86A5C63F4A9DD7E57C + 9898.50ns INFO [00009900] Port=0 RD @00 + 9898.50ns INFO [00009900] Port=1 RD @07 + 9899.50ns INFO [00009901] * RD COMPARE * port=0 adr=01 act=18F50F369F4014FB25 exp=18F50F369F4014FB25 + 9899.50ns INFO [00009901] Port=0 WR @05=57A5DAAE92F4B83523 + 9900.50ns INFO [00009902] * RD COMPARE * port=0 adr=00 act=17BFB498DBB37DD93D exp=17BFB498DBB37DD93D + 9900.50ns INFO [00009902] * RD COMPARE * port=1 adr=07 act=DDFDDB7697DB64A037 exp=DDFDDB7697DB64A037 + 9900.50ns INFO [00009902] Port=0 WR @01=680F49B85C9F09109B + 9900.50ns INFO [00009902] Port=1 RD @04 + 9901.50ns INFO [00009903] Port=0 WR @04=B913501A962B0B3B98 + 9902.50ns INFO [00009904] * RD COMPARE * port=1 adr=04 act=F2BDE04851BC45C974 exp=F2BDE04851BC45C974 + 9902.50ns INFO [00009904] Port=0 WR @00=6FDF118FAF796E74BB + 9903.50ns INFO [00009905] Port=0 WR @02=66D2B2509ED50F78B3 + 9903.50ns INFO [00009905] Port=0 RD @00 + 9903.50ns INFO [00009905] Port=1 RD @00 + 9904.50ns INFO [00009906] Port=1 RD @00 + 9905.50ns INFO [00009907] * RD COMPARE * port=0 adr=00 act=6FDF118FAF796E74BB exp=6FDF118FAF796E74BB + 9905.50ns INFO [00009907] * RD COMPARE * port=1 adr=00 act=6FDF118FAF796E74BB exp=6FDF118FAF796E74BB + 9905.50ns INFO [00009907] Port=0 WR @00=D9C4CBC46A5A77532B + 9906.50ns INFO [00009908] * RD COMPARE * port=1 adr=00 act=6FDF118FAF796E74BB exp=6FDF118FAF796E74BB + 9906.50ns INFO [00009908] Port=0 WR @02=23104B4B0E264C5D34 + 9906.50ns INFO [00009908] Port=1 RD @05 + 9907.50ns INFO [00009909] Port=0 WR @05=7D155D29CB9877E484 + 9907.50ns INFO [00009909] Port=0 RD @02 + 9907.50ns INFO [00009909] Port=1 RD @01 + 9908.50ns INFO [00009910] * RD COMPARE * port=1 adr=05 act=57A5DAAE92F4B83523 exp=57A5DAAE92F4B83523 + 9908.50ns INFO [00009910] Port=0 RD @04 + 9908.50ns INFO [00009910] Port=1 RD @00 + 9909.50ns INFO [00009911] * RD COMPARE * port=0 adr=02 act=23104B4B0E264C5D34 exp=23104B4B0E264C5D34 + 9909.50ns INFO [00009911] * RD COMPARE * port=1 adr=01 act=680F49B85C9F09109B exp=680F49B85C9F09109B + 9909.50ns INFO [00009911] Port=0 WR @07=C9E4F5522CBF8E6617 + 9910.50ns INFO [00009912] * RD COMPARE * port=0 adr=04 act=B913501A962B0B3B98 exp=B913501A962B0B3B98 + 9910.50ns INFO [00009912] * RD COMPARE * port=1 adr=00 act=D9C4CBC46A5A77532B exp=D9C4CBC46A5A77532B + 9910.50ns INFO [00009912] Port=0 WR @00=27D53D097871274D08 + 9911.50ns INFO [00009913] Port=0 WR @05=966345B3CB5596F758 + 9911.50ns INFO [00009913] Port=0 RD @03 + 9912.50ns INFO [00009914] Port=1 RD @00 + 9913.50ns INFO [00009915] * RD COMPARE * port=0 adr=03 act=8F1FE3FF7FB0AD354E exp=8F1FE3FF7FB0AD354E + 9913.50ns INFO [00009915] Port=0 WR @02=1E584D326F36B28F46 + 9913.50ns INFO [00009915] Port=1 RD @06 + 9914.50ns INFO [00009916] * RD COMPARE * port=1 adr=00 act=27D53D097871274D08 exp=27D53D097871274D08 + 9914.50ns INFO [00009916] Port=0 RD @02 + 9915.50ns INFO [00009917] * RD COMPARE * port=1 adr=06 act=200FA875C7460573D6 exp=200FA875C7460573D6 + 9915.50ns INFO [00009917] Port=0 RD @04 + 9916.50ns INFO [00009918] * RD COMPARE * port=0 adr=02 act=1E584D326F36B28F46 exp=1E584D326F36B28F46 + 9917.50ns INFO [00009919] * RD COMPARE * port=0 adr=04 act=B913501A962B0B3B98 exp=B913501A962B0B3B98 + 9918.50ns INFO [00009920] Port=0 WR @06=7D4EBBAAC372ED596E + 9919.50ns INFO [00009921] Port=0 RD @02 + 9919.50ns INFO [00009921] Port=1 RD @03 + 9921.50ns INFO [00009923] * RD COMPARE * port=0 adr=02 act=1E584D326F36B28F46 exp=1E584D326F36B28F46 + 9921.50ns INFO [00009923] * RD COMPARE * port=1 adr=03 act=8F1FE3FF7FB0AD354E exp=8F1FE3FF7FB0AD354E + 9921.50ns INFO [00009923] Port=0 WR @00=427C33E86FAED1D7EB + 9921.50ns INFO [00009923] Port=1 RD @02 + 9923.50ns INFO [00009925] * RD COMPARE * port=1 adr=02 act=1E584D326F36B28F46 exp=1E584D326F36B28F46 + 9924.50ns INFO [00009926] Port=0 RD @05 + 9924.50ns INFO [00009926] Port=1 RD @07 + 9925.50ns INFO [00009927] Port=0 RD @03 + 9925.50ns INFO [00009927] Port=1 RD @01 + 9926.50ns INFO [00009928] * RD COMPARE * port=0 adr=05 act=966345B3CB5596F758 exp=966345B3CB5596F758 + 9926.50ns INFO [00009928] * RD COMPARE * port=1 adr=07 act=C9E4F5522CBF8E6617 exp=C9E4F5522CBF8E6617 + 9926.50ns INFO [00009928] Port=0 RD @01 + 9927.50ns INFO [00009929] * RD COMPARE * port=0 adr=03 act=8F1FE3FF7FB0AD354E exp=8F1FE3FF7FB0AD354E + 9927.50ns INFO [00009929] * RD COMPARE * port=1 adr=01 act=680F49B85C9F09109B exp=680F49B85C9F09109B + 9928.50ns INFO [00009930] * RD COMPARE * port=0 adr=01 act=680F49B85C9F09109B exp=680F49B85C9F09109B + 9928.50ns INFO [00009930] Port=1 RD @04 + 9929.50ns INFO [00009931] Port=0 RD @03 + 9930.50ns INFO [00009932] * RD COMPARE * port=1 adr=04 act=B913501A962B0B3B98 exp=B913501A962B0B3B98 + 9931.50ns INFO [00009933] * RD COMPARE * port=0 adr=03 act=8F1FE3FF7FB0AD354E exp=8F1FE3FF7FB0AD354E + 9931.50ns INFO [00009933] Port=0 WR @03=E7242B689FA55B0467 + 9931.50ns INFO [00009933] Port=0 RD @06 + 9931.50ns INFO [00009933] Port=1 RD @01 + 9932.50ns INFO [00009934] Port=1 RD @05 + 9933.50ns INFO [00009935] * RD COMPARE * port=0 adr=06 act=7D4EBBAAC372ED596E exp=7D4EBBAAC372ED596E + 9933.50ns INFO [00009935] * RD COMPARE * port=1 adr=01 act=680F49B85C9F09109B exp=680F49B85C9F09109B + 9933.50ns INFO [00009935] Port=0 WR @02=A5B292686514CC0FD8 + 9934.50ns INFO [00009936] * RD COMPARE * port=1 adr=05 act=966345B3CB5596F758 exp=966345B3CB5596F758 + 9935.50ns INFO [00009937] Port=0 WR @05=8CB65EB4BDCA9C8F3C + 9935.50ns INFO [00009937] Port=1 RD @07 + 9936.50ns INFO [00009938] Port=0 WR @00=1480C5207D1C2F767B + 9937.50ns INFO [00009939] * RD COMPARE * port=1 adr=07 act=C9E4F5522CBF8E6617 exp=C9E4F5522CBF8E6617 + 9937.50ns INFO [00009939] Port=0 RD @01 + 9937.50ns INFO [00009939] Port=1 RD @05 + 9938.50ns INFO [00009940] Port=1 RD @00 + 9939.50ns INFO [00009941] * RD COMPARE * port=0 adr=01 act=680F49B85C9F09109B exp=680F49B85C9F09109B + 9939.50ns INFO [00009941] * RD COMPARE * port=1 adr=05 act=8CB65EB4BDCA9C8F3C exp=8CB65EB4BDCA9C8F3C + 9939.50ns INFO [00009941] Port=1 RD @02 + 9940.50ns INFO [00009942] * RD COMPARE * port=1 adr=00 act=1480C5207D1C2F767B exp=1480C5207D1C2F767B + 9940.50ns INFO [00009942] Port=0 WR @04=F8F7D41AF736DC4ADF + 9940.50ns INFO [00009942] Port=0 RD @00 + 9941.50ns INFO [00009943] * RD COMPARE * port=1 adr=02 act=A5B292686514CC0FD8 exp=A5B292686514CC0FD8 + 9942.50ns INFO [00009944] * RD COMPARE * port=0 adr=00 act=1480C5207D1C2F767B exp=1480C5207D1C2F767B + 9942.50ns INFO [00009944] Port=0 WR @06=BDCBA58273CC01E557 + 9943.50ns INFO [00009945] Port=0 RD @06 + 9943.50ns INFO [00009945] Port=1 RD @06 + 9944.50ns INFO [00009946] Port=1 RD @01 + 9945.50ns INFO [00009947] * RD COMPARE * port=0 adr=06 act=BDCBA58273CC01E557 exp=BDCBA58273CC01E557 + 9945.50ns INFO [00009947] * RD COMPARE * port=1 adr=06 act=BDCBA58273CC01E557 exp=BDCBA58273CC01E557 + 9945.50ns INFO [00009947] Port=0 WR @06=8FE9907CEEDE88C02F + 9946.50ns INFO [00009948] * RD COMPARE * port=1 adr=01 act=680F49B85C9F09109B exp=680F49B85C9F09109B + 9946.50ns INFO [00009948] Port=0 WR @07=81A9B6753801AE24B5 + 9946.50ns INFO [00009948] Port=0 RD @00 + 9948.50ns INFO [00009950] * RD COMPARE * port=0 adr=00 act=1480C5207D1C2F767B exp=1480C5207D1C2F767B + 9948.50ns INFO [00009950] Port=0 WR @01=390100682F825AA1B8 + 9948.50ns INFO [00009950] Port=0 RD @02 + 9949.50ns INFO [00009951] Port=1 RD @02 + 9950.50ns INFO [00009952] * RD COMPARE * port=0 adr=02 act=A5B292686514CC0FD8 exp=A5B292686514CC0FD8 + 9950.50ns INFO [00009952] Port=0 WR @06=F384DB3E40378EE9FC + 9950.50ns INFO [00009952] Port=0 RD @07 + 9950.50ns INFO [00009952] Port=1 RD @02 + 9951.50ns INFO [00009953] * RD COMPARE * port=1 adr=02 act=A5B292686514CC0FD8 exp=A5B292686514CC0FD8 + 9951.50ns INFO [00009953] Port=0 WR @07=4BC54C0E41E6FC4A95 + 9951.50ns INFO [00009953] Port=0 RD @05 + 9952.50ns INFO [00009954] * RD COMPARE * port=0 adr=07 act=81A9B6753801AE24B5 exp=81A9B6753801AE24B5 + 9952.50ns INFO [00009954] * RD COMPARE * port=1 adr=02 act=A5B292686514CC0FD8 exp=A5B292686514CC0FD8 + 9952.50ns INFO [00009954] Port=0 RD @01 + 9953.50ns INFO [00009955] * RD COMPARE * port=0 adr=05 act=8CB65EB4BDCA9C8F3C exp=8CB65EB4BDCA9C8F3C + 9953.50ns INFO [00009955] Port=0 RD @05 + 9953.50ns INFO [00009955] Port=1 RD @01 + 9954.50ns INFO [00009956] * RD COMPARE * port=0 adr=01 act=390100682F825AA1B8 exp=390100682F825AA1B8 + 9954.50ns INFO [00009956] Port=0 WR @03=F870FA0D3C13BA7169 + 9955.50ns INFO [00009957] * RD COMPARE * port=0 adr=05 act=8CB65EB4BDCA9C8F3C exp=8CB65EB4BDCA9C8F3C + 9955.50ns INFO [00009957] * RD COMPARE * port=1 adr=01 act=390100682F825AA1B8 exp=390100682F825AA1B8 + 9956.50ns INFO [00009958] Port=1 RD @01 + 9957.50ns INFO [00009959] Port=0 WR @07=ADD0DFD4DD9B213B0C + 9958.50ns INFO [00009960] * RD COMPARE * port=1 adr=01 act=390100682F825AA1B8 exp=390100682F825AA1B8 + 9958.50ns INFO [00009960] Port=0 WR @02=19875DF9EB986DF8FB + 9958.50ns INFO [00009960] Port=1 RD @03 + 9959.50ns INFO [00009961] Port=0 WR @02=0806BDD537C0AC9A88 + 9959.50ns INFO [00009961] Port=1 RD @05 + 9960.50ns INFO [00009962] * RD COMPARE * port=1 adr=03 act=F870FA0D3C13BA7169 exp=F870FA0D3C13BA7169 + 9961.50ns INFO [00009963] * RD COMPARE * port=1 adr=05 act=8CB65EB4BDCA9C8F3C exp=8CB65EB4BDCA9C8F3C + 9962.50ns INFO [00009964] Port=0 WR @04=E665B9AD36C7E7CFE6 + 9964.50ns INFO [00009966] Port=0 WR @03=6813B382560AADF2E1 + 9964.50ns INFO [00009966] Port=0 RD @01 + 9965.50ns INFO [00009967] Port=0 WR @00=3197EC8FC14ADF7FF6 + 9965.50ns INFO [00009967] Port=1 RD @07 + 9966.50ns INFO [00009968] * RD COMPARE * port=0 adr=01 act=390100682F825AA1B8 exp=390100682F825AA1B8 + 9966.50ns INFO [00009968] Port=0 WR @04=0ABE3C903B58FD39F5 + 9966.50ns INFO [00009968] Port=0 RD @07 + 9967.50ns INFO [00009969] * RD COMPARE * port=1 adr=07 act=ADD0DFD4DD9B213B0C exp=ADD0DFD4DD9B213B0C + 9968.50ns INFO [00009970] * RD COMPARE * port=0 adr=07 act=ADD0DFD4DD9B213B0C exp=ADD0DFD4DD9B213B0C + 9968.50ns INFO [00009970] Port=0 WR @01=6549BD91A0DF87D50C + 9969.50ns INFO [00009971] Port=0 WR @06=79E098F76041751488 + 9969.50ns INFO [00009971] Port=0 RD @03 + 9970.50ns INFO [00009972] Port=0 RD @07 + 9971.50ns INFO [00009973] * RD COMPARE * port=0 adr=03 act=6813B382560AADF2E1 exp=6813B382560AADF2E1 + 9971.50ns INFO [00009973] Port=0 WR @01=1E7866E4CA7387247B + 9971.50ns INFO [00009973] Port=0 RD @00 + 9972.50ns INFO [00009974] * RD COMPARE * port=0 adr=07 act=ADD0DFD4DD9B213B0C exp=ADD0DFD4DD9B213B0C + 9973.50ns INFO [00009975] * RD COMPARE * port=0 adr=00 act=3197EC8FC14ADF7FF6 exp=3197EC8FC14ADF7FF6 + 9973.50ns INFO [00009975] Port=1 RD @01 + 9974.50ns INFO [00009976] Port=1 RD @05 + 9975.50ns INFO [00009977] * RD COMPARE * port=1 adr=01 act=1E7866E4CA7387247B exp=1E7866E4CA7387247B + 9976.50ns INFO [00009978] * RD COMPARE * port=1 adr=05 act=8CB65EB4BDCA9C8F3C exp=8CB65EB4BDCA9C8F3C + 9976.50ns INFO [00009978] Port=0 RD @07 + 9977.50ns INFO [00009979] Port=0 WR @04=FDEDD8DDF660B614BF + 9977.50ns INFO [00009979] Port=1 RD @01 + 9978.50ns INFO [00009980] * RD COMPARE * port=0 adr=07 act=ADD0DFD4DD9B213B0C exp=ADD0DFD4DD9B213B0C + 9978.50ns INFO [00009980] Port=0 RD @02 + 9978.50ns INFO [00009980] Port=1 RD @06 + 9979.50ns INFO [00009981] * RD COMPARE * port=1 adr=01 act=1E7866E4CA7387247B exp=1E7866E4CA7387247B + 9979.50ns INFO [00009981] Port=0 RD @07 + 9979.50ns INFO [00009981] Port=1 RD @00 + 9980.50ns INFO [00009982] * RD COMPARE * port=0 adr=02 act=0806BDD537C0AC9A88 exp=0806BDD537C0AC9A88 + 9980.50ns INFO [00009982] * RD COMPARE * port=1 adr=06 act=79E098F76041751488 exp=79E098F76041751488 + 9980.50ns INFO [00009982] Port=0 RD @03 + 9980.50ns INFO [00009982] Port=1 RD @03 + 9981.50ns INFO [00009983] * RD COMPARE * port=0 adr=07 act=ADD0DFD4DD9B213B0C exp=ADD0DFD4DD9B213B0C + 9981.50ns INFO [00009983] * RD COMPARE * port=1 adr=00 act=3197EC8FC14ADF7FF6 exp=3197EC8FC14ADF7FF6 + 9981.50ns INFO [00009983] Port=1 RD @05 + 9982.50ns INFO [00009984] * RD COMPARE * port=0 adr=03 act=6813B382560AADF2E1 exp=6813B382560AADF2E1 + 9982.50ns INFO [00009984] * RD COMPARE * port=1 adr=03 act=6813B382560AADF2E1 exp=6813B382560AADF2E1 + 9982.50ns INFO [00009984] Port=0 WR @02=6A0D8B8DA981225BA3 + 9983.50ns INFO [00009985] * RD COMPARE * port=1 adr=05 act=8CB65EB4BDCA9C8F3C exp=8CB65EB4BDCA9C8F3C + 9983.50ns INFO [00009985] Port=0 WR @06=B3B46A364323C97FE8 + 9983.50ns INFO [00009985] Port=1 RD @02 + 9984.50ns INFO [00009986] Port=0 RD @01 + 9985.50ns INFO [00009987] * RD COMPARE * port=1 adr=02 act=6A0D8B8DA981225BA3 exp=6A0D8B8DA981225BA3 + 9985.50ns INFO [00009987] Port=0 WR @03=C68A447F1EFBF6BCF1 + 9986.50ns INFO [00009988] * RD COMPARE * port=0 adr=01 act=1E7866E4CA7387247B exp=1E7866E4CA7387247B + 9986.50ns INFO [00009988] Port=1 RD @05 + 9988.50ns INFO [00009990] * RD COMPARE * port=1 adr=05 act=8CB65EB4BDCA9C8F3C exp=8CB65EB4BDCA9C8F3C + 9988.50ns INFO [00009990] Port=1 RD @02 + 9989.50ns INFO [00009991] Port=1 RD @04 + 9990.50ns INFO [00009992] * RD COMPARE * port=1 adr=02 act=6A0D8B8DA981225BA3 exp=6A0D8B8DA981225BA3 + 9990.50ns INFO [00009992] Port=0 WR @07=2D178443AF366FF9CD + 9990.50ns INFO [00009992] Port=0 RD @02 + 9990.50ns INFO [00009992] Port=1 RD @02 + 9991.50ns INFO [00009993] * RD COMPARE * port=1 adr=04 act=FDEDD8DDF660B614BF exp=FDEDD8DDF660B614BF + 9991.50ns INFO [00009993] Port=0 RD @00 + 9991.50ns INFO [00009993] Port=1 RD @02 + 9992.50ns INFO [00009994] * RD COMPARE * port=0 adr=02 act=6A0D8B8DA981225BA3 exp=6A0D8B8DA981225BA3 + 9992.50ns INFO [00009994] * RD COMPARE * port=1 adr=02 act=6A0D8B8DA981225BA3 exp=6A0D8B8DA981225BA3 + 9992.50ns INFO [00009994] Port=0 RD @00 + 9993.50ns INFO [00009995] * RD COMPARE * port=0 adr=00 act=3197EC8FC14ADF7FF6 exp=3197EC8FC14ADF7FF6 + 9993.50ns INFO [00009995] * RD COMPARE * port=1 adr=02 act=6A0D8B8DA981225BA3 exp=6A0D8B8DA981225BA3 + 9993.50ns INFO [00009995] Port=0 RD @04 + 9993.50ns INFO [00009995] Port=1 RD @04 + 9994.50ns INFO [00009996] * RD COMPARE * port=0 adr=00 act=3197EC8FC14ADF7FF6 exp=3197EC8FC14ADF7FF6 + 9995.50ns INFO [00009997] * RD COMPARE * port=0 adr=04 act=FDEDD8DDF660B614BF exp=FDEDD8DDF660B614BF + 9995.50ns INFO [00009997] * RD COMPARE * port=1 adr=04 act=FDEDD8DDF660B614BF exp=FDEDD8DDF660B614BF + 9995.50ns INFO [00009997] Port=0 RD @02 + 9996.50ns INFO [00009998] Port=0 WR @05=B3F62197A2D3D81C86 + 9996.50ns INFO [00009998] Port=0 RD @06 + 9997.50ns INFO [00009999] * RD COMPARE * port=0 adr=02 act=6A0D8B8DA981225BA3 exp=6A0D8B8DA981225BA3 + 9997.50ns INFO [00009999] Port=0 WR @06=88E36EF700A59A9C18 + 9998.00ns INFO [00010000] [00010000] ...tick... + 9998.50ns INFO [00010000] * RD COMPARE * port=0 adr=06 act=B3B46A364323C97FE8 exp=B3B46A364323C97FE8 + 9998.50ns INFO [00010000] Port=0 RD @01 + 10000.50ns INFO [00010002] * RD COMPARE * port=0 adr=01 act=1E7866E4CA7387247B exp=1E7866E4CA7387247B + 10000.50ns INFO [00010002] Port=0 WR @00=288D1C41BBA2B13A0E + 10000.50ns INFO [00010002] Port=0 RD @05 + 10000.50ns INFO [00010002] Port=1 RD @03 + 10001.50ns INFO [00010003] Port=0 RD @04 + 10001.50ns INFO [00010003] Port=1 RD @03 + 10002.50ns INFO [00010004] * RD COMPARE * port=0 adr=05 act=B3F62197A2D3D81C86 exp=B3F62197A2D3D81C86 + 10002.50ns INFO [00010004] * RD COMPARE * port=1 adr=03 act=C68A447F1EFBF6BCF1 exp=C68A447F1EFBF6BCF1 + 10002.50ns INFO [00010004] Port=1 RD @05 + 10003.50ns INFO [00010005] * RD COMPARE * port=0 adr=04 act=FDEDD8DDF660B614BF exp=FDEDD8DDF660B614BF + 10003.50ns INFO [00010005] * RD COMPARE * port=1 adr=03 act=C68A447F1EFBF6BCF1 exp=C68A447F1EFBF6BCF1 + 10004.50ns INFO [00010006] * RD COMPARE * port=1 adr=05 act=B3F62197A2D3D81C86 exp=B3F62197A2D3D81C86 + 10004.50ns INFO [00010006] Port=0 RD @01 + 10005.50ns INFO [00010007] Port=0 RD @05 + 10006.50ns INFO [00010008] * RD COMPARE * port=0 adr=01 act=1E7866E4CA7387247B exp=1E7866E4CA7387247B + 10006.50ns INFO [00010008] Port=1 RD @05 + 10007.50ns INFO [00010009] * RD COMPARE * port=0 adr=05 act=B3F62197A2D3D81C86 exp=B3F62197A2D3D81C86 + 10007.50ns INFO [00010009] Port=1 RD @01 + 10008.50ns INFO [00010010] * RD COMPARE * port=1 adr=05 act=B3F62197A2D3D81C86 exp=B3F62197A2D3D81C86 + 10008.50ns INFO [00010010] Port=0 WR @06=191A293C4B51FEC5AF + 10008.50ns INFO [00010010] Port=1 RD @03 + 10009.50ns INFO [00010011] * RD COMPARE * port=1 adr=01 act=1E7866E4CA7387247B exp=1E7866E4CA7387247B + 10010.50ns INFO [00010012] * RD COMPARE * port=1 adr=03 act=C68A447F1EFBF6BCF1 exp=C68A447F1EFBF6BCF1 + 10010.50ns INFO [00010012] Port=0 RD @04 + 10011.50ns INFO [00010013] Port=0 RD @02 + 10012.50ns INFO [00010014] * RD COMPARE * port=0 adr=04 act=FDEDD8DDF660B614BF exp=FDEDD8DDF660B614BF + 10012.50ns INFO [00010014] Port=0 WR @07=CC95714B24717D408B + 10012.50ns INFO [00010014] Port=1 RD @06 + 10013.50ns INFO [00010015] * RD COMPARE * port=0 adr=02 act=6A0D8B8DA981225BA3 exp=6A0D8B8DA981225BA3 + 10013.50ns INFO [00010015] Port=0 RD @06 + 10014.50ns INFO [00010016] * RD COMPARE * port=1 adr=06 act=191A293C4B51FEC5AF exp=191A293C4B51FEC5AF + 10014.50ns INFO [00010016] Port=0 WR @07=C5E3C01B2ECEC213CE + 10014.50ns INFO [00010016] Port=0 RD @02 + 10015.50ns INFO [00010017] * RD COMPARE * port=0 adr=06 act=191A293C4B51FEC5AF exp=191A293C4B51FEC5AF + 10015.50ns INFO [00010017] Port=0 WR @02=06A7A711D72E9FE001 + 10016.50ns INFO [00010018] * RD COMPARE * port=0 adr=02 act=6A0D8B8DA981225BA3 exp=6A0D8B8DA981225BA3 + 10016.50ns INFO [00010018] Port=1 RD @04 + 10017.50ns INFO [00010019] Port=1 RD @02 + 10018.50ns INFO [00010020] * RD COMPARE * port=1 adr=04 act=FDEDD8DDF660B614BF exp=FDEDD8DDF660B614BF + 10018.50ns INFO [00010020] Port=0 WR @01=EDD1D34C4BDF90524E + 10018.50ns INFO [00010020] Port=0 RD @02 + 10019.50ns INFO [00010021] * RD COMPARE * port=1 adr=02 act=06A7A711D72E9FE001 exp=06A7A711D72E9FE001 + 10019.50ns INFO [00010021] Port=0 WR @05=A5514637885EED6E45 + 10019.50ns INFO [00010021] Port=1 RD @00 + 10020.50ns INFO [00010022] * RD COMPARE * port=0 adr=02 act=06A7A711D72E9FE001 exp=06A7A711D72E9FE001 + 10020.50ns INFO [00010022] Port=1 RD @05 + 10021.50ns INFO [00010023] * RD COMPARE * port=1 adr=00 act=288D1C41BBA2B13A0E exp=288D1C41BBA2B13A0E + 10021.50ns INFO [00010023] Port=0 WR @02=A4865A266C3A29743B + 10021.50ns INFO [00010023] Port=0 RD @04 + 10021.50ns INFO [00010023] Port=1 RD @06 + 10022.50ns INFO [00010024] * RD COMPARE * port=1 adr=05 act=A5514637885EED6E45 exp=A5514637885EED6E45 + 10023.50ns INFO [00010025] * RD COMPARE * port=0 adr=04 act=FDEDD8DDF660B614BF exp=FDEDD8DDF660B614BF + 10023.50ns INFO [00010025] * RD COMPARE * port=1 adr=06 act=191A293C4B51FEC5AF exp=191A293C4B51FEC5AF + 10023.50ns INFO [00010025] Port=0 WR @00=2DC0C85894DD6C77CB + 10023.50ns INFO [00010025] Port=1 RD @02 + 10024.50ns INFO [00010026] Port=0 WR @07=A0E7931C4A587B271C + 10024.50ns INFO [00010026] Port=0 RD @05 + 10024.50ns INFO [00010026] Port=1 RD @03 + 10025.50ns INFO [00010027] * RD COMPARE * port=1 adr=02 act=A4865A266C3A29743B exp=A4865A266C3A29743B + 10025.50ns INFO [00010027] Port=0 WR @00=21102EC9E654644648 + 10025.50ns INFO [00010027] Port=0 RD @04 + 10026.50ns INFO [00010028] * RD COMPARE * port=0 adr=05 act=A5514637885EED6E45 exp=A5514637885EED6E45 + 10026.50ns INFO [00010028] * RD COMPARE * port=1 adr=03 act=C68A447F1EFBF6BCF1 exp=C68A447F1EFBF6BCF1 + 10026.50ns INFO [00010028] Port=0 WR @00=61F408177393288440 + 10027.50ns INFO [00010029] * RD COMPARE * port=0 adr=04 act=FDEDD8DDF660B614BF exp=FDEDD8DDF660B614BF + 10027.50ns INFO [00010029] Port=0 WR @07=84938F848BDF5C8F75 + 10028.50ns INFO [00010030] Port=1 RD @04 + 10029.50ns INFO [00010031] Port=1 RD @07 + 10030.50ns INFO [00010032] * RD COMPARE * port=1 adr=04 act=FDEDD8DDF660B614BF exp=FDEDD8DDF660B614BF + 10030.50ns INFO [00010032] Port=0 WR @05=F21B2C4AF2DA9012C8 + 10030.50ns INFO [00010032] Port=1 RD @00 + 10031.50ns INFO [00010033] * RD COMPARE * port=1 adr=07 act=84938F848BDF5C8F75 exp=84938F848BDF5C8F75 + 10031.50ns INFO [00010033] Port=1 RD @00 + 10032.50ns INFO [00010034] * RD COMPARE * port=1 adr=00 act=61F408177393288440 exp=61F408177393288440 + 10032.50ns INFO [00010034] Port=0 WR @01=A0B394AAE9316634E9 + 10032.50ns INFO [00010034] Port=0 RD @02 + 10033.50ns INFO [00010035] * RD COMPARE * port=1 adr=00 act=61F408177393288440 exp=61F408177393288440 + 10033.50ns INFO [00010035] Port=0 WR @07=95E3E3E451E2ADD9E9 + 10034.50ns INFO [00010036] * RD COMPARE * port=0 adr=02 act=A4865A266C3A29743B exp=A4865A266C3A29743B + 10034.50ns INFO [00010036] Port=0 WR @05=A86F6D97A1C8B40BB2 + 10034.50ns INFO [00010036] Port=1 RD @00 + 10036.50ns INFO [00010038] * RD COMPARE * port=1 adr=00 act=61F408177393288440 exp=61F408177393288440 + 10037.50ns INFO [00010039] Port=0 WR @05=65B72C033DF773F2BE + 10037.50ns INFO [00010039] Port=1 RD @01 + 10038.50ns INFO [00010040] Port=1 RD @06 + 10039.50ns INFO [00010041] * RD COMPARE * port=1 adr=01 act=A0B394AAE9316634E9 exp=A0B394AAE9316634E9 + 10039.50ns INFO [00010041] Port=1 RD @02 + 10040.50ns INFO [00010042] * RD COMPARE * port=1 adr=06 act=191A293C4B51FEC5AF exp=191A293C4B51FEC5AF + 10041.50ns INFO [00010043] * RD COMPARE * port=1 adr=02 act=A4865A266C3A29743B exp=A4865A266C3A29743B + 10042.50ns INFO [00010044] Port=0 WR @00=560BFA2980636FAB55 + 10042.50ns INFO [00010044] Port=0 RD @01 + 10042.50ns INFO [00010044] Port=1 RD @06 + 10043.50ns INFO [00010045] Port=0 WR @03=4802099B6A3D0C5E5F + 10044.50ns INFO [00010046] * RD COMPARE * port=0 adr=01 act=A0B394AAE9316634E9 exp=A0B394AAE9316634E9 + 10044.50ns INFO [00010046] * RD COMPARE * port=1 adr=06 act=191A293C4B51FEC5AF exp=191A293C4B51FEC5AF + 10047.50ns INFO [00010049] Port=0 RD @00 + 10048.50ns INFO [00010050] Port=1 RD @07 + 10049.50ns INFO [00010051] * RD COMPARE * port=0 adr=00 act=560BFA2980636FAB55 exp=560BFA2980636FAB55 + 10049.50ns INFO [00010051] Port=0 WR @02=6485A9727C8CDBAD79 + 10050.50ns INFO [00010052] * RD COMPARE * port=1 adr=07 act=95E3E3E451E2ADD9E9 exp=95E3E3E451E2ADD9E9 + 10050.50ns INFO [00010052] Port=0 WR @04=F56D1D1487533799EC + 10051.50ns INFO [00010053] Port=0 RD @03 + 10052.50ns INFO [00010054] Port=0 RD @01 + 10052.50ns INFO [00010054] Port=1 RD @00 + 10053.50ns INFO [00010055] * RD COMPARE * port=0 adr=03 act=4802099B6A3D0C5E5F exp=4802099B6A3D0C5E5F + 10053.50ns INFO [00010055] Port=0 RD @05 + 10054.50ns INFO [00010056] * RD COMPARE * port=0 adr=01 act=A0B394AAE9316634E9 exp=A0B394AAE9316634E9 + 10054.50ns INFO [00010056] * RD COMPARE * port=1 adr=00 act=560BFA2980636FAB55 exp=560BFA2980636FAB55 + 10054.50ns INFO [00010056] Port=1 RD @07 + 10055.50ns INFO [00010057] * RD COMPARE * port=0 adr=05 act=65B72C033DF773F2BE exp=65B72C033DF773F2BE + 10055.50ns INFO [00010057] Port=0 RD @02 + 10056.50ns INFO [00010058] * RD COMPARE * port=1 adr=07 act=95E3E3E451E2ADD9E9 exp=95E3E3E451E2ADD9E9 + 10056.50ns INFO [00010058] Port=0 WR @05=5B258F868829DFF3D9 + 10057.50ns INFO [00010059] * RD COMPARE * port=0 adr=02 act=6485A9727C8CDBAD79 exp=6485A9727C8CDBAD79 + 10057.50ns INFO [00010059] Port=0 WR @05=37179754E6DBE7E948 + 10057.50ns INFO [00010059] Port=0 RD @00 + 10058.50ns INFO [00010060] Port=0 RD @00 + 10059.50ns INFO [00010061] * RD COMPARE * port=0 adr=00 act=560BFA2980636FAB55 exp=560BFA2980636FAB55 + 10059.50ns INFO [00010061] Port=0 WR @07=1AE97E9BEA070BB2F1 + 10059.50ns INFO [00010061] Port=0 RD @05 + 10060.50ns INFO [00010062] * RD COMPARE * port=0 adr=00 act=560BFA2980636FAB55 exp=560BFA2980636FAB55 + 10060.50ns INFO [00010062] Port=1 RD @07 + 10061.50ns INFO [00010063] * RD COMPARE * port=0 adr=05 act=37179754E6DBE7E948 exp=37179754E6DBE7E948 + 10061.50ns INFO [00010063] Port=0 RD @02 + 10061.50ns INFO [00010063] Port=1 RD @07 + 10062.50ns INFO [00010064] * RD COMPARE * port=1 adr=07 act=1AE97E9BEA070BB2F1 exp=1AE97E9BEA070BB2F1 + 10063.50ns INFO [00010065] * RD COMPARE * port=0 adr=02 act=6485A9727C8CDBAD79 exp=6485A9727C8CDBAD79 + 10063.50ns INFO [00010065] * RD COMPARE * port=1 adr=07 act=1AE97E9BEA070BB2F1 exp=1AE97E9BEA070BB2F1 + 10063.50ns INFO [00010065] Port=0 WR @03=680B8E8DDC8CAA5767 + 10064.50ns INFO [00010066] Port=0 WR @03=C8DF224F7A0A1D887B + 10064.50ns INFO [00010066] Port=1 RD @02 + 10065.50ns INFO [00010067] Port=1 RD @04 + 10066.50ns INFO [00010068] * RD COMPARE * port=1 adr=02 act=6485A9727C8CDBAD79 exp=6485A9727C8CDBAD79 + 10066.50ns INFO [00010068] Port=0 WR @02=7A6D3DDAF1FD81B69B + 10066.50ns INFO [00010068] Port=1 RD @01 + 10067.50ns INFO [00010069] * RD COMPARE * port=1 adr=04 act=F56D1D1487533799EC exp=F56D1D1487533799EC + 10067.50ns INFO [00010069] Port=0 WR @01=BAF8BCCBD15F732C41 + 10067.50ns INFO [00010069] Port=0 RD @02 + 10068.50ns INFO [00010070] * RD COMPARE * port=1 adr=01 act=A0B394AAE9316634E9 exp=A0B394AAE9316634E9 + 10069.50ns INFO [00010071] * RD COMPARE * port=0 adr=02 act=7A6D3DDAF1FD81B69B exp=7A6D3DDAF1FD81B69B + 10070.50ns INFO [00010072] Port=0 RD @04 + 10071.50ns INFO [00010073] Port=0 WR @07=6DDC2764A45395D9A8 + 10072.50ns INFO [00010074] * RD COMPARE * port=0 adr=04 act=F56D1D1487533799EC exp=F56D1D1487533799EC + 10072.50ns INFO [00010074] Port=0 RD @01 + 10073.50ns INFO [00010075] Port=0 WR @01=E18CD56883F23C17B5 + 10074.50ns INFO [00010076] * RD COMPARE * port=0 adr=01 act=BAF8BCCBD15F732C41 exp=BAF8BCCBD15F732C41 + 10074.50ns INFO [00010076] Port=1 RD @01 + 10075.50ns INFO [00010077] Port=0 WR @00=A4B73BFA4F340F7A1D + 10076.50ns INFO [00010078] * RD COMPARE * port=1 adr=01 act=E18CD56883F23C17B5 exp=E18CD56883F23C17B5 + 10077.50ns INFO [00010079] Port=0 RD @05 + 10077.50ns INFO [00010079] Port=1 RD @00 + 10078.50ns INFO [00010080] Port=1 RD @03 + 10079.50ns INFO [00010081] * RD COMPARE * port=0 adr=05 act=37179754E6DBE7E948 exp=37179754E6DBE7E948 + 10079.50ns INFO [00010081] * RD COMPARE * port=1 adr=00 act=A4B73BFA4F340F7A1D exp=A4B73BFA4F340F7A1D + 10079.50ns INFO [00010081] Port=0 WR @04=96A92ACF0C17BCCBF0 + 10079.50ns INFO [00010081] Port=1 RD @02 + 10080.50ns INFO [00010082] * RD COMPARE * port=1 adr=03 act=C8DF224F7A0A1D887B exp=C8DF224F7A0A1D887B + 10080.50ns INFO [00010082] Port=0 RD @03 + 10081.50ns INFO [00010083] * RD COMPARE * port=1 adr=02 act=7A6D3DDAF1FD81B69B exp=7A6D3DDAF1FD81B69B + 10081.50ns INFO [00010083] Port=0 RD @03 + 10081.50ns INFO [00010083] Port=1 RD @04 + 10082.50ns INFO [00010084] * RD COMPARE * port=0 adr=03 act=C8DF224F7A0A1D887B exp=C8DF224F7A0A1D887B + 10083.50ns INFO [00010085] * RD COMPARE * port=0 adr=03 act=C8DF224F7A0A1D887B exp=C8DF224F7A0A1D887B + 10083.50ns INFO [00010085] * RD COMPARE * port=1 adr=04 act=96A92ACF0C17BCCBF0 exp=96A92ACF0C17BCCBF0 + 10083.50ns INFO [00010085] Port=0 WR @06=B7DB830D58794A6CC2 + 10083.50ns INFO [00010085] Port=1 RD @03 + 10084.50ns INFO [00010086] Port=0 WR @07=10FB17E54FD0BC1AF9 + 10084.50ns INFO [00010086] Port=1 RD @00 + 10085.50ns INFO [00010087] * RD COMPARE * port=1 adr=03 act=C8DF224F7A0A1D887B exp=C8DF224F7A0A1D887B + 10085.50ns INFO [00010087] Port=1 RD @00 + 10086.50ns INFO [00010088] * RD COMPARE * port=1 adr=00 act=A4B73BFA4F340F7A1D exp=A4B73BFA4F340F7A1D + 10086.50ns INFO [00010088] Port=1 RD @04 + 10087.50ns INFO [00010089] * RD COMPARE * port=1 adr=00 act=A4B73BFA4F340F7A1D exp=A4B73BFA4F340F7A1D + 10087.50ns INFO [00010089] Port=0 WR @07=191157C3B75D97CBCF + 10088.50ns INFO [00010090] * RD COMPARE * port=1 adr=04 act=96A92ACF0C17BCCBF0 exp=96A92ACF0C17BCCBF0 + 10089.50ns INFO [00010091] Port=0 WR @06=1BE8D77EA7C17CDE63 + 10090.50ns INFO [00010092] Port=1 RD @06 + 10091.50ns INFO [00010093] Port=0 WR @00=DD020D5FB1622427B3 + 10091.50ns INFO [00010093] Port=0 RD @04 + 10092.50ns INFO [00010094] * RD COMPARE * port=1 adr=06 act=1BE8D77EA7C17CDE63 exp=1BE8D77EA7C17CDE63 + 10092.50ns INFO [00010094] Port=0 RD @02 + 10093.50ns INFO [00010095] * RD COMPARE * port=0 adr=04 act=96A92ACF0C17BCCBF0 exp=96A92ACF0C17BCCBF0 + 10093.50ns INFO [00010095] Port=0 RD @07 + 10094.50ns INFO [00010096] * RD COMPARE * port=0 adr=02 act=7A6D3DDAF1FD81B69B exp=7A6D3DDAF1FD81B69B + 10094.50ns INFO [00010096] Port=1 RD @01 + 10095.50ns INFO [00010097] * RD COMPARE * port=0 adr=07 act=191157C3B75D97CBCF exp=191157C3B75D97CBCF + 10096.50ns INFO [00010098] * RD COMPARE * port=1 adr=01 act=E18CD56883F23C17B5 exp=E18CD56883F23C17B5 + 10096.50ns INFO [00010098] Port=0 WR @00=45DF3ED2E9B540203D + 10096.50ns INFO [00010098] Port=0 RD @04 + 10097.50ns INFO [00010099] Port=0 WR @03=2FEF01D1FB6908291B + 10097.50ns INFO [00010099] Port=0 RD @01 + 10098.00ns INFO [00010100] [00010100] ...tick... + 10098.50ns INFO [00010100] * RD COMPARE * port=0 adr=04 act=96A92ACF0C17BCCBF0 exp=96A92ACF0C17BCCBF0 + 10099.50ns INFO [00010101] * RD COMPARE * port=0 adr=01 act=E18CD56883F23C17B5 exp=E18CD56883F23C17B5 + 10099.50ns INFO [00010101] Port=1 RD @01 + 10101.50ns INFO [00010103] * RD COMPARE * port=1 adr=01 act=E18CD56883F23C17B5 exp=E18CD56883F23C17B5 + 10101.50ns INFO [00010103] Port=0 WR @01=067825AE2E0627E818 + 10102.50ns INFO [00010104] Port=0 WR @00=C13A347F7A03FFCEDD + 10102.50ns INFO [00010104] Port=0 RD @06 + 10103.50ns INFO [00010105] Port=0 WR @02=0EF032FAE3F937884E + 10103.50ns INFO [00010105] Port=0 RD @00 + 10104.50ns INFO [00010106] * RD COMPARE * port=0 adr=06 act=1BE8D77EA7C17CDE63 exp=1BE8D77EA7C17CDE63 + 10104.50ns INFO [00010106] Port=0 WR @00=523145889D22C523C5 + 10105.50ns INFO [00010107] * RD COMPARE * port=0 adr=00 act=C13A347F7A03FFCEDD exp=C13A347F7A03FFCEDD + 10105.50ns INFO [00010107] Port=0 WR @07=1ADA9E5B8E45211559 + 10106.50ns INFO [00010108] Port=0 RD @03 + 10106.50ns INFO [00010108] Port=1 RD @02 + 10107.50ns INFO [00010109] Port=0 WR @03=CB376F3EF70E556EE6 + 10108.50ns INFO [00010110] * RD COMPARE * port=0 adr=03 act=2FEF01D1FB6908291B exp=2FEF01D1FB6908291B + 10108.50ns INFO [00010110] * RD COMPARE * port=1 adr=02 act=0EF032FAE3F937884E exp=0EF032FAE3F937884E + 10108.50ns INFO [00010110] Port=0 WR @02=D676DEA0358EDE7A11 + 10109.50ns INFO [00010111] Port=0 WR @02=5ADD406B3CA4C8E9D9 + 10109.50ns INFO [00010111] Port=0 RD @01 + 10109.50ns INFO [00010111] Port=1 RD @01 + 10110.50ns INFO [00010112] Port=0 WR @03=D829CCDE0B4EC7F58E + 10111.50ns INFO [00010113] * RD COMPARE * port=0 adr=01 act=067825AE2E0627E818 exp=067825AE2E0627E818 + 10111.50ns INFO [00010113] * RD COMPARE * port=1 adr=01 act=067825AE2E0627E818 exp=067825AE2E0627E818 + 10111.50ns INFO [00010113] Port=0 WR @00=074461351BF04ECB77 + 10111.50ns INFO [00010113] Port=1 RD @02 + 10112.50ns INFO [00010114] Port=0 WR @04=1A416B7AAE6691879F + 10112.50ns INFO [00010114] Port=0 RD @07 + 10113.50ns INFO [00010115] * RD COMPARE * port=1 adr=02 act=5ADD406B3CA4C8E9D9 exp=5ADD406B3CA4C8E9D9 + 10113.50ns INFO [00010115] Port=0 WR @04=57F795EEB1722EBDB2 + 10113.50ns INFO [00010115] Port=1 RD @00 + 10114.50ns INFO [00010116] * RD COMPARE * port=0 adr=07 act=1ADA9E5B8E45211559 exp=1ADA9E5B8E45211559 + 10114.50ns INFO [00010116] Port=0 WR @05=5A6E2212AAF2CC6386 + 10114.50ns INFO [00010116] Port=0 RD @04 + 10114.50ns INFO [00010116] Port=1 RD @04 + 10115.50ns INFO [00010117] * RD COMPARE * port=1 adr=00 act=074461351BF04ECB77 exp=074461351BF04ECB77 + 10116.50ns INFO [00010118] * RD COMPARE * port=0 adr=04 act=57F795EEB1722EBDB2 exp=57F795EEB1722EBDB2 + 10116.50ns INFO [00010118] * RD COMPARE * port=1 adr=04 act=57F795EEB1722EBDB2 exp=57F795EEB1722EBDB2 + 10118.50ns INFO [00010120] Port=0 RD @05 + 10118.50ns INFO [00010120] Port=1 RD @06 + 10119.50ns INFO [00010121] Port=0 WR @00=DD7EB2206E2541D1D9 + 10120.50ns INFO [00010122] * RD COMPARE * port=0 adr=05 act=5A6E2212AAF2CC6386 exp=5A6E2212AAF2CC6386 + 10120.50ns INFO [00010122] * RD COMPARE * port=1 adr=06 act=1BE8D77EA7C17CDE63 exp=1BE8D77EA7C17CDE63 + 10122.50ns INFO [00010124] Port=0 WR @07=1E5863EF06AD40F876 + 10123.50ns INFO [00010125] Port=0 RD @05 + 10123.50ns INFO [00010125] Port=1 RD @05 + 10125.50ns INFO [00010127] * RD COMPARE * port=0 adr=05 act=5A6E2212AAF2CC6386 exp=5A6E2212AAF2CC6386 + 10125.50ns INFO [00010127] * RD COMPARE * port=1 adr=05 act=5A6E2212AAF2CC6386 exp=5A6E2212AAF2CC6386 + 10125.50ns INFO [00010127] Port=1 RD @04 + 10126.50ns INFO [00010128] Port=0 WR @07=767DA72348BF3BD956 + 10127.50ns INFO [00010129] * RD COMPARE * port=1 adr=04 act=57F795EEB1722EBDB2 exp=57F795EEB1722EBDB2 + 10128.50ns INFO [00010130] Port=0 WR @04=F9F265BB414AA3D25C + 10128.50ns INFO [00010130] Port=0 RD @06 + 10128.50ns INFO [00010130] Port=1 RD @01 + 10129.50ns INFO [00010131] Port=0 WR @07=FB623763039D036131 + 10130.50ns INFO [00010132] * RD COMPARE * port=0 adr=06 act=1BE8D77EA7C17CDE63 exp=1BE8D77EA7C17CDE63 + 10130.50ns INFO [00010132] * RD COMPARE * port=1 adr=01 act=067825AE2E0627E818 exp=067825AE2E0627E818 + 10131.50ns INFO [00010133] Port=0 RD @01 + 10131.50ns INFO [00010133] Port=1 RD @01 + 10133.50ns INFO [00010135] * RD COMPARE * port=0 adr=01 act=067825AE2E0627E818 exp=067825AE2E0627E818 + 10133.50ns INFO [00010135] * RD COMPARE * port=1 adr=01 act=067825AE2E0627E818 exp=067825AE2E0627E818 + 10133.50ns INFO [00010135] Port=1 RD @05 + 10135.50ns INFO [00010137] * RD COMPARE * port=1 adr=05 act=5A6E2212AAF2CC6386 exp=5A6E2212AAF2CC6386 + 10138.50ns INFO [00010140] Port=0 RD @02 + 10139.50ns INFO [00010141] Port=0 WR @04=575B0D14B21B07C060 + 10139.50ns INFO [00010141] Port=0 RD @05 + 10140.50ns INFO [00010142] * RD COMPARE * port=0 adr=02 act=5ADD406B3CA4C8E9D9 exp=5ADD406B3CA4C8E9D9 + 10141.50ns INFO [00010143] * RD COMPARE * port=0 adr=05 act=5A6E2212AAF2CC6386 exp=5A6E2212AAF2CC6386 + 10141.50ns INFO [00010143] Port=0 RD @06 + 10141.50ns INFO [00010143] Port=1 RD @00 + 10142.50ns INFO [00010144] Port=0 WR @04=D5C8B2E68EED16D2B8 + 10142.50ns INFO [00010144] Port=0 RD @07 + 10142.50ns INFO [00010144] Port=1 RD @02 + 10143.50ns INFO [00010145] * RD COMPARE * port=0 adr=06 act=1BE8D77EA7C17CDE63 exp=1BE8D77EA7C17CDE63 + 10143.50ns INFO [00010145] * RD COMPARE * port=1 adr=00 act=DD7EB2206E2541D1D9 exp=DD7EB2206E2541D1D9 + 10143.50ns INFO [00010145] Port=0 WR @07=2A971F00277D932A51 + 10143.50ns INFO [00010145] Port=0 RD @01 + 10144.50ns INFO [00010146] * RD COMPARE * port=0 adr=07 act=FB623763039D036131 exp=FB623763039D036131 + 10144.50ns INFO [00010146] * RD COMPARE * port=1 adr=02 act=5ADD406B3CA4C8E9D9 exp=5ADD406B3CA4C8E9D9 + 10144.50ns INFO [00010146] Port=0 RD @07 + 10145.50ns INFO [00010147] * RD COMPARE * port=0 adr=01 act=067825AE2E0627E818 exp=067825AE2E0627E818 + 10145.50ns INFO [00010147] Port=0 WR @04=8773C24E07A79C8375 + 10145.50ns INFO [00010147] Port=0 RD @03 + 10146.50ns INFO [00010148] * RD COMPARE * port=0 adr=07 act=2A971F00277D932A51 exp=2A971F00277D932A51 + 10146.50ns INFO [00010148] Port=0 WR @07=83B12BB1A218869AE4 + 10146.50ns INFO [00010148] Port=0 RD @06 + 10146.50ns INFO [00010148] Port=1 RD @03 + 10147.50ns INFO [00010149] * RD COMPARE * port=0 adr=03 act=D829CCDE0B4EC7F58E exp=D829CCDE0B4EC7F58E + 10147.50ns INFO [00010149] Port=1 RD @02 + 10148.50ns INFO [00010150] * RD COMPARE * port=0 adr=06 act=1BE8D77EA7C17CDE63 exp=1BE8D77EA7C17CDE63 + 10148.50ns INFO [00010150] * RD COMPARE * port=1 adr=03 act=D829CCDE0B4EC7F58E exp=D829CCDE0B4EC7F58E + 10148.50ns INFO [00010150] Port=0 WR @04=1C55926FB0E609495C + 10149.50ns INFO [00010151] * RD COMPARE * port=1 adr=02 act=5ADD406B3CA4C8E9D9 exp=5ADD406B3CA4C8E9D9 + 10149.50ns INFO [00010151] Port=1 RD @00 + 10150.50ns INFO [00010152] Port=0 WR @07=009938067FF325DBD7 + 10150.50ns INFO [00010152] Port=1 RD @05 + 10151.50ns INFO [00010153] * RD COMPARE * port=1 adr=00 act=DD7EB2206E2541D1D9 exp=DD7EB2206E2541D1D9 + 10151.50ns INFO [00010153] Port=0 WR @03=1D15F98EDE93D8FBAF + 10152.50ns INFO [00010154] * RD COMPARE * port=1 adr=05 act=5A6E2212AAF2CC6386 exp=5A6E2212AAF2CC6386 + 10152.50ns INFO [00010154] Port=1 RD @00 + 10153.50ns INFO [00010155] Port=1 RD @00 + 10154.50ns INFO [00010156] * RD COMPARE * port=1 adr=00 act=DD7EB2206E2541D1D9 exp=DD7EB2206E2541D1D9 + 10155.50ns INFO [00010157] * RD COMPARE * port=1 adr=00 act=DD7EB2206E2541D1D9 exp=DD7EB2206E2541D1D9 + 10155.50ns INFO [00010157] Port=0 WR @06=3B2068DE564E2E10F0 + 10157.50ns INFO [00010159] Port=0 WR @03=73E6D2C1FAE0AD7770 + 10159.50ns INFO [00010161] Port=0 WR @03=B476CBDD160540C5E1 + 10159.50ns INFO [00010161] Port=0 RD @01 + 10160.50ns INFO [00010162] Port=1 RD @04 + 10161.50ns INFO [00010163] * RD COMPARE * port=0 adr=01 act=067825AE2E0627E818 exp=067825AE2E0627E818 + 10162.50ns INFO [00010164] * RD COMPARE * port=1 adr=04 act=1C55926FB0E609495C exp=1C55926FB0E609495C + 10162.50ns INFO [00010164] Port=0 RD @00 + 10163.50ns INFO [00010165] Port=1 RD @05 + 10164.50ns INFO [00010166] * RD COMPARE * port=0 adr=00 act=DD7EB2206E2541D1D9 exp=DD7EB2206E2541D1D9 + 10164.50ns INFO [00010166] Port=0 WR @04=9AA120E394FF86B677 + 10164.50ns INFO [00010166] Port=0 RD @01 + 10165.50ns INFO [00010167] * RD COMPARE * port=1 adr=05 act=5A6E2212AAF2CC6386 exp=5A6E2212AAF2CC6386 + 10165.50ns INFO [00010167] Port=0 RD @02 + 10166.50ns INFO [00010168] * RD COMPARE * port=0 adr=01 act=067825AE2E0627E818 exp=067825AE2E0627E818 + 10166.50ns INFO [00010168] Port=1 RD @00 + 10167.50ns INFO [00010169] * RD COMPARE * port=0 adr=02 act=5ADD406B3CA4C8E9D9 exp=5ADD406B3CA4C8E9D9 + 10167.50ns INFO [00010169] Port=0 RD @07 + 10167.50ns INFO [00010169] Port=1 RD @04 + 10168.50ns INFO [00010170] * RD COMPARE * port=1 adr=00 act=DD7EB2206E2541D1D9 exp=DD7EB2206E2541D1D9 + 10168.50ns INFO [00010170] Port=0 RD @00 + 10168.50ns INFO [00010170] Port=1 RD @06 + 10169.50ns INFO [00010171] * RD COMPARE * port=0 adr=07 act=009938067FF325DBD7 exp=009938067FF325DBD7 + 10169.50ns INFO [00010171] * RD COMPARE * port=1 adr=04 act=9AA120E394FF86B677 exp=9AA120E394FF86B677 + 10170.50ns INFO [00010172] * RD COMPARE * port=0 adr=00 act=DD7EB2206E2541D1D9 exp=DD7EB2206E2541D1D9 + 10170.50ns INFO [00010172] * RD COMPARE * port=1 adr=06 act=3B2068DE564E2E10F0 exp=3B2068DE564E2E10F0 + 10170.50ns INFO [00010172] Port=0 RD @07 + 10171.50ns INFO [00010173] Port=0 RD @06 + 10171.50ns INFO [00010173] Port=1 RD @04 + 10172.50ns INFO [00010174] * RD COMPARE * port=0 adr=07 act=009938067FF325DBD7 exp=009938067FF325DBD7 + 10172.50ns INFO [00010174] Port=0 WR @07=A5D96BB931B1A78DD3 + 10172.50ns INFO [00010174] Port=1 RD @06 + 10173.50ns INFO [00010175] * RD COMPARE * port=0 adr=06 act=3B2068DE564E2E10F0 exp=3B2068DE564E2E10F0 + 10173.50ns INFO [00010175] * RD COMPARE * port=1 adr=04 act=9AA120E394FF86B677 exp=9AA120E394FF86B677 + 10174.50ns INFO [00010176] * RD COMPARE * port=1 adr=06 act=3B2068DE564E2E10F0 exp=3B2068DE564E2E10F0 + 10174.50ns INFO [00010176] Port=0 WR @04=54F3CC460EBC988318 + 10175.50ns INFO [00010177] Port=0 WR @00=BBB70D0A67563C64E6 + 10175.50ns INFO [00010177] Port=0 RD @04 + 10175.50ns INFO [00010177] Port=1 RD @02 + 10176.50ns INFO [00010178] Port=0 RD @01 + 10177.50ns INFO [00010179] * RD COMPARE * port=0 adr=04 act=54F3CC460EBC988318 exp=54F3CC460EBC988318 + 10177.50ns INFO [00010179] * RD COMPARE * port=1 adr=02 act=5ADD406B3CA4C8E9D9 exp=5ADD406B3CA4C8E9D9 + 10177.50ns INFO [00010179] Port=0 RD @00 + 10178.50ns INFO [00010180] * RD COMPARE * port=0 adr=01 act=067825AE2E0627E818 exp=067825AE2E0627E818 + 10179.50ns INFO [00010181] * RD COMPARE * port=0 adr=00 act=BBB70D0A67563C64E6 exp=BBB70D0A67563C64E6 + 10179.50ns INFO [00010181] Port=0 WR @02=31CF65DC9705C1235D + 10179.50ns INFO [00010181] Port=0 RD @00 + 10179.50ns INFO [00010181] Port=1 RD @05 + 10180.50ns INFO [00010182] Port=0 WR @07=A5023CFD07976074F1 + 10180.50ns INFO [00010182] Port=0 RD @04 + 10180.50ns INFO [00010182] Port=1 RD @05 + 10181.50ns INFO [00010183] * RD COMPARE * port=0 adr=00 act=BBB70D0A67563C64E6 exp=BBB70D0A67563C64E6 + 10181.50ns INFO [00010183] * RD COMPARE * port=1 adr=05 act=5A6E2212AAF2CC6386 exp=5A6E2212AAF2CC6386 + 10181.50ns INFO [00010183] Port=0 RD @06 + 10181.50ns INFO [00010183] Port=1 RD @06 + 10182.50ns INFO [00010184] * RD COMPARE * port=0 adr=04 act=54F3CC460EBC988318 exp=54F3CC460EBC988318 + 10182.50ns INFO [00010184] * RD COMPARE * port=1 adr=05 act=5A6E2212AAF2CC6386 exp=5A6E2212AAF2CC6386 + 10182.50ns INFO [00010184] Port=0 WR @07=B407FF97C76BA220F1 + 10183.50ns INFO [00010185] * RD COMPARE * port=0 adr=06 act=3B2068DE564E2E10F0 exp=3B2068DE564E2E10F0 + 10183.50ns INFO [00010185] * RD COMPARE * port=1 adr=06 act=3B2068DE564E2E10F0 exp=3B2068DE564E2E10F0 + 10184.50ns INFO [00010186] Port=0 RD @04 + 10184.50ns INFO [00010186] Port=1 RD @03 + 10185.50ns INFO [00010187] Port=0 WR @01=D9AAA269E99A032CF4 + 10185.50ns INFO [00010187] Port=0 RD @07 + 10186.50ns INFO [00010188] * RD COMPARE * port=0 adr=04 act=54F3CC460EBC988318 exp=54F3CC460EBC988318 + 10186.50ns INFO [00010188] * RD COMPARE * port=1 adr=03 act=B476CBDD160540C5E1 exp=B476CBDD160540C5E1 + 10186.50ns INFO [00010188] Port=0 WR @05=81082A52337A380DCB + 10186.50ns INFO [00010188] Port=0 RD @04 + 10187.50ns INFO [00010189] * RD COMPARE * port=0 adr=07 act=B407FF97C76BA220F1 exp=B407FF97C76BA220F1 + 10188.50ns INFO [00010190] * RD COMPARE * port=0 adr=04 act=54F3CC460EBC988318 exp=54F3CC460EBC988318 + 10188.50ns INFO [00010190] Port=0 WR @00=731A98743AA5F64F97 + 10189.50ns INFO [00010191] Port=0 WR @07=4E51BED0CC2A64F575 + 10189.50ns INFO [00010191] Port=1 RD @04 + 10190.50ns INFO [00010192] Port=0 RD @06 + 10191.50ns INFO [00010193] * RD COMPARE * port=1 adr=04 act=54F3CC460EBC988318 exp=54F3CC460EBC988318 + 10191.50ns INFO [00010193] Port=0 WR @00=9E9628047B238AD303 + 10192.50ns INFO [00010194] * RD COMPARE * port=0 adr=06 act=3B2068DE564E2E10F0 exp=3B2068DE564E2E10F0 + 10192.50ns INFO [00010194] Port=0 WR @04=D5490057E2687AAFFF + 10192.50ns INFO [00010194] Port=0 RD @06 + 10192.50ns INFO [00010194] Port=1 RD @06 + 10193.50ns INFO [00010195] Port=1 RD @00 + 10194.50ns INFO [00010196] * RD COMPARE * port=0 adr=06 act=3B2068DE564E2E10F0 exp=3B2068DE564E2E10F0 + 10194.50ns INFO [00010196] * RD COMPARE * port=1 adr=06 act=3B2068DE564E2E10F0 exp=3B2068DE564E2E10F0 + 10195.50ns INFO [00010197] * RD COMPARE * port=1 adr=00 act=9E9628047B238AD303 exp=9E9628047B238AD303 + 10195.50ns INFO [00010197] Port=0 RD @00 + 10196.50ns INFO [00010198] Port=0 WR @06=6183BBAE37D43DD1C4 + 10197.50ns INFO [00010199] * RD COMPARE * port=0 adr=00 act=9E9628047B238AD303 exp=9E9628047B238AD303 + 10197.50ns INFO [00010199] Port=0 WR @04=AA88DBA368EBB05B4B + 10197.50ns INFO [00010199] Port=0 RD @02 + 10198.00ns INFO [00010200] [00010200] ...tick... + 10198.50ns INFO [00010200] Port=0 RD @06 + 10198.50ns INFO [00010200] Port=1 RD @04 + 10199.50ns INFO [00010201] * RD COMPARE * port=0 adr=02 act=31CF65DC9705C1235D exp=31CF65DC9705C1235D + 10199.50ns INFO [00010201] Port=1 RD @01 + 10200.50ns INFO [00010202] * RD COMPARE * port=0 adr=06 act=6183BBAE37D43DD1C4 exp=6183BBAE37D43DD1C4 + 10200.50ns INFO [00010202] * RD COMPARE * port=1 adr=04 act=AA88DBA368EBB05B4B exp=AA88DBA368EBB05B4B + 10200.50ns INFO [00010202] Port=0 RD @06 + 10201.50ns INFO [00010203] * RD COMPARE * port=1 adr=01 act=D9AAA269E99A032CF4 exp=D9AAA269E99A032CF4 + 10201.50ns INFO [00010203] Port=0 WR @03=33533AD9521EBDB1F6 + 10201.50ns INFO [00010203] Port=0 RD @01 + 10202.50ns INFO [00010204] * RD COMPARE * port=0 adr=06 act=6183BBAE37D43DD1C4 exp=6183BBAE37D43DD1C4 + 10202.50ns INFO [00010204] Port=0 RD @06 + 10203.50ns INFO [00010205] * RD COMPARE * port=0 adr=01 act=D9AAA269E99A032CF4 exp=D9AAA269E99A032CF4 + 10204.50ns INFO [00010206] * RD COMPARE * port=0 adr=06 act=6183BBAE37D43DD1C4 exp=6183BBAE37D43DD1C4 + 10204.50ns INFO [00010206] Port=0 WR @07=92041186AE566319EF + 10204.50ns INFO [00010206] Port=0 RD @05 + 10205.50ns INFO [00010207] Port=1 RD @07 + 10206.50ns INFO [00010208] * RD COMPARE * port=0 adr=05 act=81082A52337A380DCB exp=81082A52337A380DCB + 10206.50ns INFO [00010208] Port=1 RD @06 + 10207.50ns INFO [00010209] * RD COMPARE * port=1 adr=07 act=92041186AE566319EF exp=92041186AE566319EF + 10207.50ns INFO [00010209] Port=0 RD @05 + 10207.50ns INFO [00010209] Port=1 RD @00 + 10208.50ns INFO [00010210] * RD COMPARE * port=1 adr=06 act=6183BBAE37D43DD1C4 exp=6183BBAE37D43DD1C4 + 10208.50ns INFO [00010210] Port=1 RD @07 + 10209.50ns INFO [00010211] * RD COMPARE * port=0 adr=05 act=81082A52337A380DCB exp=81082A52337A380DCB + 10209.50ns INFO [00010211] * RD COMPARE * port=1 adr=00 act=9E9628047B238AD303 exp=9E9628047B238AD303 + 10209.50ns INFO [00010211] Port=0 WR @06=213F011CE7CF3073FB + 10209.50ns INFO [00010211] Port=1 RD @02 + 10210.50ns INFO [00010212] * RD COMPARE * port=1 adr=07 act=92041186AE566319EF exp=92041186AE566319EF + 10210.50ns INFO [00010212] Port=0 WR @05=5158DCAB5F4E0DEF38 + 10210.50ns INFO [00010212] Port=0 RD @04 + 10211.50ns INFO [00010213] * RD COMPARE * port=1 adr=02 act=31CF65DC9705C1235D exp=31CF65DC9705C1235D + 10211.50ns INFO [00010213] Port=1 RD @06 + 10212.50ns INFO [00010214] * RD COMPARE * port=0 adr=04 act=AA88DBA368EBB05B4B exp=AA88DBA368EBB05B4B + 10212.50ns INFO [00010214] Port=0 WR @00=183093282EC09E816F + 10213.50ns INFO [00010215] * RD COMPARE * port=1 adr=06 act=213F011CE7CF3073FB exp=213F011CE7CF3073FB + 10213.50ns INFO [00010215] Port=0 RD @05 + 10214.50ns INFO [00010216] Port=0 WR @06=B93E9171143A3D89CE + 10215.50ns INFO [00010217] * RD COMPARE * port=0 adr=05 act=5158DCAB5F4E0DEF38 exp=5158DCAB5F4E0DEF38 + 10215.50ns INFO [00010217] Port=0 WR @04=07FA6DE2A1B8EF73C0 + 10215.50ns INFO [00010217] Port=1 RD @02 + 10216.50ns INFO [00010218] Port=0 RD @05 + 10216.50ns INFO [00010218] Port=1 RD @05 + 10217.50ns INFO [00010219] * RD COMPARE * port=1 adr=02 act=31CF65DC9705C1235D exp=31CF65DC9705C1235D + 10217.50ns INFO [00010219] Port=0 WR @00=A55AFE9E889D941A84 + 10218.50ns INFO [00010220] * RD COMPARE * port=0 adr=05 act=5158DCAB5F4E0DEF38 exp=5158DCAB5F4E0DEF38 + 10218.50ns INFO [00010220] * RD COMPARE * port=1 adr=05 act=5158DCAB5F4E0DEF38 exp=5158DCAB5F4E0DEF38 + 10218.50ns INFO [00010220] Port=0 RD @01 + 10218.50ns INFO [00010220] Port=1 RD @02 + 10219.50ns INFO [00010221] Port=0 WR @06=27D7D3023F42F639C2 + 10219.50ns INFO [00010221] Port=0 RD @01 + 10219.50ns INFO [00010221] Port=1 RD @00 + 10220.50ns INFO [00010222] * RD COMPARE * port=0 adr=01 act=D9AAA269E99A032CF4 exp=D9AAA269E99A032CF4 + 10220.50ns INFO [00010222] * RD COMPARE * port=1 adr=02 act=31CF65DC9705C1235D exp=31CF65DC9705C1235D + 10220.50ns INFO [00010222] Port=0 WR @04=ED1470C5D6C82F34E6 + 10221.50ns INFO [00010223] * RD COMPARE * port=0 adr=01 act=D9AAA269E99A032CF4 exp=D9AAA269E99A032CF4 + 10221.50ns INFO [00010223] * RD COMPARE * port=1 adr=00 act=A55AFE9E889D941A84 exp=A55AFE9E889D941A84 + 10221.50ns INFO [00010223] Port=1 RD @06 + 10222.50ns INFO [00010224] Port=0 WR @04=71D94C502383FA5C38 + 10222.50ns INFO [00010224] Port=0 RD @03 + 10222.50ns INFO [00010224] Port=1 RD @01 + 10223.50ns INFO [00010225] * RD COMPARE * port=1 adr=06 act=27D7D3023F42F639C2 exp=27D7D3023F42F639C2 + 10224.50ns INFO [00010226] * RD COMPARE * port=0 adr=03 act=33533AD9521EBDB1F6 exp=33533AD9521EBDB1F6 + 10224.50ns INFO [00010226] * RD COMPARE * port=1 adr=01 act=D9AAA269E99A032CF4 exp=D9AAA269E99A032CF4 + 10224.50ns INFO [00010226] Port=0 RD @02 + 10225.50ns INFO [00010227] Port=1 RD @03 + 10226.50ns INFO [00010228] * RD COMPARE * port=0 adr=02 act=31CF65DC9705C1235D exp=31CF65DC9705C1235D + 10226.50ns INFO [00010228] Port=0 WR @01=E216FFCD0EC0FC9DE0 + 10227.50ns INFO [00010229] * RD COMPARE * port=1 adr=03 act=33533AD9521EBDB1F6 exp=33533AD9521EBDB1F6 + 10227.50ns INFO [00010229] Port=0 RD @05 + 10228.50ns INFO [00010230] Port=0 WR @02=990E475777045BF91F + 10228.50ns INFO [00010230] Port=0 RD @06 + 10228.50ns INFO [00010230] Port=1 RD @05 + 10229.50ns INFO [00010231] * RD COMPARE * port=0 adr=05 act=5158DCAB5F4E0DEF38 exp=5158DCAB5F4E0DEF38 + 10230.50ns INFO [00010232] * RD COMPARE * port=0 adr=06 act=27D7D3023F42F639C2 exp=27D7D3023F42F639C2 + 10230.50ns INFO [00010232] * RD COMPARE * port=1 adr=05 act=5158DCAB5F4E0DEF38 exp=5158DCAB5F4E0DEF38 + 10231.50ns INFO [00010233] Port=0 WR @06=7FBD8F8303828A8F85 + 10231.50ns INFO [00010233] Port=0 RD @00 + 10231.50ns INFO [00010233] Port=1 RD @00 + 10232.50ns INFO [00010234] Port=0 RD @01 + 10233.50ns INFO [00010235] * RD COMPARE * port=0 adr=00 act=A55AFE9E889D941A84 exp=A55AFE9E889D941A84 + 10233.50ns INFO [00010235] * RD COMPARE * port=1 adr=00 act=A55AFE9E889D941A84 exp=A55AFE9E889D941A84 + 10234.50ns INFO [00010236] * RD COMPARE * port=0 adr=01 act=E216FFCD0EC0FC9DE0 exp=E216FFCD0EC0FC9DE0 + 10235.50ns INFO [00010237] Port=0 RD @03 + 10235.50ns INFO [00010237] Port=1 RD @00 + 10237.50ns INFO [00010239] * RD COMPARE * port=0 adr=03 act=33533AD9521EBDB1F6 exp=33533AD9521EBDB1F6 + 10237.50ns INFO [00010239] * RD COMPARE * port=1 adr=00 act=A55AFE9E889D941A84 exp=A55AFE9E889D941A84 + 10237.50ns INFO [00010239] Port=0 WR @03=FF0CC1D59ADD09B94F + 10237.50ns INFO [00010239] Port=0 RD @00 + 10237.50ns INFO [00010239] Port=1 RD @05 + 10238.50ns INFO [00010240] Port=1 RD @02 + 10239.50ns INFO [00010241] * RD COMPARE * port=0 adr=00 act=A55AFE9E889D941A84 exp=A55AFE9E889D941A84 + 10239.50ns INFO [00010241] * RD COMPARE * port=1 adr=05 act=5158DCAB5F4E0DEF38 exp=5158DCAB5F4E0DEF38 + 10240.50ns INFO [00010242] * RD COMPARE * port=1 adr=02 act=990E475777045BF91F exp=990E475777045BF91F + 10240.50ns INFO [00010242] Port=0 WR @06=DA701D87CD118B5A43 + 10240.50ns INFO [00010242] Port=0 RD @05 + 10241.50ns INFO [00010243] Port=0 WR @03=9C98FEAD7A5B39B15F + 10241.50ns INFO [00010243] Port=1 RD @02 + 10242.50ns INFO [00010244] * RD COMPARE * port=0 adr=05 act=5158DCAB5F4E0DEF38 exp=5158DCAB5F4E0DEF38 + 10243.50ns INFO [00010245] * RD COMPARE * port=1 adr=02 act=990E475777045BF91F exp=990E475777045BF91F + 10243.50ns INFO [00010245] Port=1 RD @02 + 10245.50ns INFO [00010247] * RD COMPARE * port=1 adr=02 act=990E475777045BF91F exp=990E475777045BF91F + 10245.50ns INFO [00010247] Port=0 WR @02=89F0423AF1828BAF0A + 10245.50ns INFO [00010247] Port=0 RD @00 + 10245.50ns INFO [00010247] Port=1 RD @07 + 10246.50ns INFO [00010248] Port=0 WR @00=085DC004B9C37E18DB + 10247.50ns INFO [00010249] * RD COMPARE * port=0 adr=00 act=A55AFE9E889D941A84 exp=A55AFE9E889D941A84 + 10247.50ns INFO [00010249] * RD COMPARE * port=1 adr=07 act=92041186AE566319EF exp=92041186AE566319EF + 10247.50ns INFO [00010249] Port=0 WR @07=97E0BD45932C443FF8 + 10247.50ns INFO [00010249] Port=1 RD @05 + 10248.50ns INFO [00010250] Port=1 RD @00 + 10249.50ns INFO [00010251] * RD COMPARE * port=1 adr=05 act=5158DCAB5F4E0DEF38 exp=5158DCAB5F4E0DEF38 + 10249.50ns INFO [00010251] Port=0 WR @00=46C76051DFA8A1BCA3 + 10249.50ns INFO [00010251] Port=0 RD @05 + 10250.50ns INFO [00010252] * RD COMPARE * port=1 adr=00 act=085DC004B9C37E18DB exp=085DC004B9C37E18DB + 10251.50ns INFO [00010253] * RD COMPARE * port=0 adr=05 act=5158DCAB5F4E0DEF38 exp=5158DCAB5F4E0DEF38 + 10251.50ns INFO [00010253] Port=0 RD @03 + 10251.50ns INFO [00010253] Port=1 RD @04 + 10252.50ns INFO [00010254] Port=0 WR @05=54EAA156474D49F7BE + 10253.50ns INFO [00010255] * RD COMPARE * port=0 adr=03 act=9C98FEAD7A5B39B15F exp=9C98FEAD7A5B39B15F + 10253.50ns INFO [00010255] * RD COMPARE * port=1 adr=04 act=71D94C502383FA5C38 exp=71D94C502383FA5C38 + 10253.50ns INFO [00010255] Port=1 RD @04 + 10254.50ns INFO [00010256] Port=0 RD @05 + 10255.50ns INFO [00010257] * RD COMPARE * port=1 adr=04 act=71D94C502383FA5C38 exp=71D94C502383FA5C38 + 10255.50ns INFO [00010257] Port=1 RD @03 + 10256.50ns INFO [00010258] * RD COMPARE * port=0 adr=05 act=54EAA156474D49F7BE exp=54EAA156474D49F7BE + 10256.50ns INFO [00010258] Port=0 WR @04=3810F902A740A08CFB + 10256.50ns INFO [00010258] Port=1 RD @02 + 10257.50ns INFO [00010259] * RD COMPARE * port=1 adr=03 act=9C98FEAD7A5B39B15F exp=9C98FEAD7A5B39B15F + 10257.50ns INFO [00010259] Port=0 WR @07=ADAD21B7253BFDC943 + 10257.50ns INFO [00010259] Port=0 RD @04 + 10258.50ns INFO [00010260] * RD COMPARE * port=1 adr=02 act=89F0423AF1828BAF0A exp=89F0423AF1828BAF0A + 10258.50ns INFO [00010260] Port=0 RD @00 + 10259.50ns INFO [00010261] * RD COMPARE * port=0 adr=04 act=3810F902A740A08CFB exp=3810F902A740A08CFB + 10259.50ns INFO [00010261] Port=0 WR @01=FABE914C36CC5D5E32 + 10260.50ns INFO [00010262] * RD COMPARE * port=0 adr=00 act=46C76051DFA8A1BCA3 exp=46C76051DFA8A1BCA3 + 10261.50ns INFO [00010263] Port=0 WR @00=7093702235CF07AA0F + 10262.50ns INFO [00010264] Port=0 RD @02 + 10263.50ns INFO [00010265] Port=0 RD @03 + 10264.50ns INFO [00010266] * RD COMPARE * port=0 adr=02 act=89F0423AF1828BAF0A exp=89F0423AF1828BAF0A + 10264.50ns INFO [00010266] Port=0 WR @02=757539E9B77ED1A207 + 10264.50ns INFO [00010266] Port=0 RD @06 + 10265.50ns INFO [00010267] * RD COMPARE * port=0 adr=03 act=9C98FEAD7A5B39B15F exp=9C98FEAD7A5B39B15F + 10265.50ns INFO [00010267] Port=0 WR @07=60D9A18D00F0B05D96 + 10265.50ns INFO [00010267] Port=0 RD @06 + 10266.50ns INFO [00010268] * RD COMPARE * port=0 adr=06 act=DA701D87CD118B5A43 exp=DA701D87CD118B5A43 + 10266.50ns INFO [00010268] Port=0 RD @04 + 10267.50ns INFO [00010269] * RD COMPARE * port=0 adr=06 act=DA701D87CD118B5A43 exp=DA701D87CD118B5A43 + 10268.50ns INFO [00010270] * RD COMPARE * port=0 adr=04 act=3810F902A740A08CFB exp=3810F902A740A08CFB + 10269.50ns INFO [00010271] Port=0 WR @07=AC90351A5CE34670A5 + 10271.50ns INFO [00010273] Port=0 RD @06 + 10271.50ns INFO [00010273] Port=1 RD @07 + 10273.50ns INFO [00010275] * RD COMPARE * port=0 adr=06 act=DA701D87CD118B5A43 exp=DA701D87CD118B5A43 + 10273.50ns INFO [00010275] * RD COMPARE * port=1 adr=07 act=AC90351A5CE34670A5 exp=AC90351A5CE34670A5 + 10274.50ns INFO [00010276] Port=0 RD @03 + 10275.50ns INFO [00010277] Port=1 RD @01 + 10276.50ns INFO [00010278] * RD COMPARE * port=0 adr=03 act=9C98FEAD7A5B39B15F exp=9C98FEAD7A5B39B15F + 10276.50ns INFO [00010278] Port=0 WR @05=165814D14834173421 + 10277.50ns INFO [00010279] * RD COMPARE * port=1 adr=01 act=FABE914C36CC5D5E32 exp=FABE914C36CC5D5E32 + 10277.50ns INFO [00010279] Port=0 RD @07 + 10277.50ns INFO [00010279] Port=1 RD @06 + 10278.50ns INFO [00010280] Port=0 RD @06 + 10279.50ns INFO [00010281] * RD COMPARE * port=0 adr=07 act=AC90351A5CE34670A5 exp=AC90351A5CE34670A5 + 10279.50ns INFO [00010281] * RD COMPARE * port=1 adr=06 act=DA701D87CD118B5A43 exp=DA701D87CD118B5A43 + 10280.50ns INFO [00010282] * RD COMPARE * port=0 adr=06 act=DA701D87CD118B5A43 exp=DA701D87CD118B5A43 + 10280.50ns INFO [00010282] Port=0 RD @00 + 10280.50ns INFO [00010282] Port=1 RD @05 + 10281.50ns INFO [00010283] Port=0 WR @00=DD69249C48C0181333 + 10281.50ns INFO [00010283] Port=0 RD @01 + 10282.50ns INFO [00010284] * RD COMPARE * port=0 adr=00 act=7093702235CF07AA0F exp=7093702235CF07AA0F + 10282.50ns INFO [00010284] * RD COMPARE * port=1 adr=05 act=165814D14834173421 exp=165814D14834173421 + 10282.50ns INFO [00010284] Port=1 RD @05 + 10283.50ns INFO [00010285] * RD COMPARE * port=0 adr=01 act=FABE914C36CC5D5E32 exp=FABE914C36CC5D5E32 + 10283.50ns INFO [00010285] Port=0 RD @01 + 10283.50ns INFO [00010285] Port=1 RD @00 + 10284.50ns INFO [00010286] * RD COMPARE * port=1 adr=05 act=165814D14834173421 exp=165814D14834173421 + 10284.50ns INFO [00010286] Port=1 RD @07 + 10285.50ns INFO [00010287] * RD COMPARE * port=0 adr=01 act=FABE914C36CC5D5E32 exp=FABE914C36CC5D5E32 + 10285.50ns INFO [00010287] * RD COMPARE * port=1 adr=00 act=DD69249C48C0181333 exp=DD69249C48C0181333 + 10285.50ns INFO [00010287] Port=1 RD @00 + 10286.50ns INFO [00010288] * RD COMPARE * port=1 adr=07 act=AC90351A5CE34670A5 exp=AC90351A5CE34670A5 + 10287.50ns INFO [00010289] * RD COMPARE * port=1 adr=00 act=DD69249C48C0181333 exp=DD69249C48C0181333 + 10287.50ns INFO [00010289] Port=0 WR @03=C4061E1CB229DA2F76 + 10287.50ns INFO [00010289] Port=0 RD @01 + 10288.50ns INFO [00010290] Port=0 RD @00 + 10289.50ns INFO [00010291] * RD COMPARE * port=0 adr=01 act=FABE914C36CC5D5E32 exp=FABE914C36CC5D5E32 + 10290.50ns INFO [00010292] * RD COMPARE * port=0 adr=00 act=DD69249C48C0181333 exp=DD69249C48C0181333 + 10291.50ns INFO [00010293] Port=0 WR @03=3FE18A632ADC418ED6 + 10292.50ns INFO [00010294] Port=0 RD @06 + 10292.50ns INFO [00010294] Port=1 RD @02 + 10293.50ns INFO [00010295] Port=0 WR @02=76D62FBA302E3C9BCF + 10293.50ns INFO [00010295] Port=1 RD @06 + 10294.50ns INFO [00010296] * RD COMPARE * port=0 adr=06 act=DA701D87CD118B5A43 exp=DA701D87CD118B5A43 + 10294.50ns INFO [00010296] * RD COMPARE * port=1 adr=02 act=757539E9B77ED1A207 exp=757539E9B77ED1A207 + 10295.50ns INFO [00010297] * RD COMPARE * port=1 adr=06 act=DA701D87CD118B5A43 exp=DA701D87CD118B5A43 + 10295.50ns INFO [00010297] Port=0 WR @05=416155C869BB5A57AC + 10295.50ns INFO [00010297] Port=0 RD @01 + 10295.50ns INFO [00010297] Port=1 RD @03 + 10296.50ns INFO [00010298] Port=0 RD @03 + 10297.50ns INFO [00010299] * RD COMPARE * port=0 adr=01 act=FABE914C36CC5D5E32 exp=FABE914C36CC5D5E32 + 10297.50ns INFO [00010299] * RD COMPARE * port=1 adr=03 act=3FE18A632ADC418ED6 exp=3FE18A632ADC418ED6 + 10297.50ns INFO [00010299] Port=0 WR @03=B1F4A1D2C5C528048C + 10297.50ns INFO [00010299] Port=1 RD @02 + 10298.00ns INFO [00010300] [00010300] ...tick... + 10298.50ns INFO [00010300] * RD COMPARE * port=0 adr=03 act=3FE18A632ADC418ED6 exp=3FE18A632ADC418ED6 + 10298.50ns INFO [00010300] Port=0 RD @00 + 10298.50ns INFO [00010300] Port=1 RD @04 + 10299.50ns INFO [00010301] * RD COMPARE * port=1 adr=02 act=76D62FBA302E3C9BCF exp=76D62FBA302E3C9BCF + 10299.50ns INFO [00010301] Port=0 RD @02 + 10300.50ns INFO [00010302] * RD COMPARE * port=0 adr=00 act=DD69249C48C0181333 exp=DD69249C48C0181333 + 10300.50ns INFO [00010302] * RD COMPARE * port=1 adr=04 act=3810F902A740A08CFB exp=3810F902A740A08CFB + 10300.50ns INFO [00010302] Port=0 WR @01=CF0C7FECA082A0C2F2 + 10301.50ns INFO [00010303] * RD COMPARE * port=0 adr=02 act=76D62FBA302E3C9BCF exp=76D62FBA302E3C9BCF + 10301.50ns INFO [00010303] Port=0 WR @03=5D47605D50DD4691BC + 10301.50ns INFO [00010303] Port=1 RD @02 + 10302.50ns INFO [00010304] Port=0 WR @03=38C1C0E2191941CCDA + 10303.50ns INFO [00010305] * RD COMPARE * port=1 adr=02 act=76D62FBA302E3C9BCF exp=76D62FBA302E3C9BCF + 10305.50ns INFO [00010307] Port=1 RD @06 + 10306.50ns INFO [00010308] Port=0 RD @00 + 10307.50ns INFO [00010309] * RD COMPARE * port=1 adr=06 act=DA701D87CD118B5A43 exp=DA701D87CD118B5A43 + 10307.50ns INFO [00010309] Port=0 WR @02=678ADBF20D9946A8D0 + 10308.50ns INFO [00010310] * RD COMPARE * port=0 adr=00 act=DD69249C48C0181333 exp=DD69249C48C0181333 + 10308.50ns INFO [00010310] Port=0 RD @06 + 10309.50ns INFO [00010311] Port=1 RD @07 + 10310.50ns INFO [00010312] * RD COMPARE * port=0 adr=06 act=DA701D87CD118B5A43 exp=DA701D87CD118B5A43 + 10310.50ns INFO [00010312] Port=0 WR @00=D37BA4259229B6AE86 + 10310.50ns INFO [00010312] Port=0 RD @05 + 10311.50ns INFO [00010313] * RD COMPARE * port=1 adr=07 act=AC90351A5CE34670A5 exp=AC90351A5CE34670A5 + 10311.50ns INFO [00010313] Port=0 WR @07=121A50FC33D41C1027 + 10312.50ns INFO [00010314] * RD COMPARE * port=0 adr=05 act=416155C869BB5A57AC exp=416155C869BB5A57AC + 10312.50ns INFO [00010314] Port=0 WR @07=125F696249FBAAE950 + 10313.50ns INFO [00010315] Port=0 WR @02=358A120D9018584F7A + 10313.50ns INFO [00010315] Port=1 RD @05 + 10315.50ns INFO [00010317] * RD COMPARE * port=1 adr=05 act=416155C869BB5A57AC exp=416155C869BB5A57AC + 10315.50ns INFO [00010317] Port=0 WR @03=C733FC3AD99BCD56C5 + 10315.50ns INFO [00010317] Port=0 RD @04 + 10317.50ns INFO [00010319] * RD COMPARE * port=0 adr=04 act=3810F902A740A08CFB exp=3810F902A740A08CFB + 10317.50ns INFO [00010319] Port=0 WR @05=621A8E7BC6828B4A8E + 10317.50ns INFO [00010319] Port=0 RD @07 + 10319.50ns INFO [00010321] * RD COMPARE * port=0 adr=07 act=125F696249FBAAE950 exp=125F696249FBAAE950 + 10319.50ns INFO [00010321] Port=0 WR @05=EB3169FA906046747B + 10319.50ns INFO [00010321] Port=0 RD @03 + 10320.50ns INFO [00010322] Port=0 WR @06=E37FC919126C6DDF2D + 10320.50ns INFO [00010322] Port=0 RD @04 + 10321.50ns INFO [00010323] * RD COMPARE * port=0 adr=03 act=C733FC3AD99BCD56C5 exp=C733FC3AD99BCD56C5 + 10321.50ns INFO [00010323] Port=0 RD @00 + 10322.50ns INFO [00010324] * RD COMPARE * port=0 adr=04 act=3810F902A740A08CFB exp=3810F902A740A08CFB + 10322.50ns INFO [00010324] Port=0 WR @01=E130553F13B2A2D392 + 10323.50ns INFO [00010325] * RD COMPARE * port=0 adr=00 act=D37BA4259229B6AE86 exp=D37BA4259229B6AE86 + 10323.50ns INFO [00010325] Port=0 WR @00=D99169018239721113 + 10324.50ns INFO [00010326] Port=0 RD @04 + 10325.50ns INFO [00010327] Port=0 RD @05 + 10326.50ns INFO [00010328] * RD COMPARE * port=0 adr=04 act=3810F902A740A08CFB exp=3810F902A740A08CFB + 10326.50ns INFO [00010328] Port=1 RD @01 + 10327.50ns INFO [00010329] * RD COMPARE * port=0 adr=05 act=EB3169FA906046747B exp=EB3169FA906046747B + 10327.50ns INFO [00010329] Port=0 RD @03 + 10327.50ns INFO [00010329] Port=1 RD @05 + 10328.50ns INFO [00010330] * RD COMPARE * port=1 adr=01 act=E130553F13B2A2D392 exp=E130553F13B2A2D392 + 10328.50ns INFO [00010330] Port=0 WR @01=90E745EFECA9D1EE40 + 10328.50ns INFO [00010330] Port=0 RD @06 + 10328.50ns INFO [00010330] Port=1 RD @06 + 10329.50ns INFO [00010331] * RD COMPARE * port=0 adr=03 act=C733FC3AD99BCD56C5 exp=C733FC3AD99BCD56C5 + 10329.50ns INFO [00010331] * RD COMPARE * port=1 adr=05 act=EB3169FA906046747B exp=EB3169FA906046747B + 10330.50ns INFO [00010332] * RD COMPARE * port=0 adr=06 act=E37FC919126C6DDF2D exp=E37FC919126C6DDF2D + 10330.50ns INFO [00010332] * RD COMPARE * port=1 adr=06 act=E37FC919126C6DDF2D exp=E37FC919126C6DDF2D + 10330.50ns INFO [00010332] Port=0 WR @00=903E7A7D7256AA378A + 10331.50ns INFO [00010333] Port=0 WR @06=20704144D4675A6C1D + 10331.50ns INFO [00010333] Port=1 RD @04 + 10333.50ns INFO [00010335] * RD COMPARE * port=1 adr=04 act=3810F902A740A08CFB exp=3810F902A740A08CFB + 10334.50ns INFO [00010336] Port=0 WR @06=21F1C237659A99D18D + 10334.50ns INFO [00010336] Port=1 RD @00 + 10336.50ns INFO [00010338] * RD COMPARE * port=1 adr=00 act=903E7A7D7256AA378A exp=903E7A7D7256AA378A + 10337.50ns INFO [00010339] Port=0 WR @04=0D2C2DD77EC7F46402 + 10337.50ns INFO [00010339] Port=0 RD @05 + 10339.50ns INFO [00010341] * RD COMPARE * port=0 adr=05 act=EB3169FA906046747B exp=EB3169FA906046747B + 10340.50ns INFO [00010342] Port=0 RD @04 + 10340.50ns INFO [00010342] Port=1 RD @01 + 10341.50ns INFO [00010343] Port=0 WR @04=E82ED30915283731E9 + 10342.50ns INFO [00010344] * RD COMPARE * port=0 adr=04 act=0D2C2DD77EC7F46402 exp=0D2C2DD77EC7F46402 + 10342.50ns INFO [00010344] * RD COMPARE * port=1 adr=01 act=90E745EFECA9D1EE40 exp=90E745EFECA9D1EE40 + 10342.50ns INFO [00010344] Port=1 RD @06 + 10343.50ns INFO [00010345] Port=0 WR @07=F969A87F72C19956BD + 10343.50ns INFO [00010345] Port=0 RD @01 + 10344.50ns INFO [00010346] * RD COMPARE * port=1 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10345.50ns INFO [00010347] * RD COMPARE * port=0 adr=01 act=90E745EFECA9D1EE40 exp=90E745EFECA9D1EE40 + 10345.50ns INFO [00010347] Port=0 WR @05=635F7A06B11D015FEE + 10345.50ns INFO [00010347] Port=1 RD @00 + 10347.50ns INFO [00010349] * RD COMPARE * port=1 adr=00 act=903E7A7D7256AA378A exp=903E7A7D7256AA378A + 10348.50ns INFO [00010350] Port=0 WR @00=CF35DA81CABE4E3F82 + 10348.50ns INFO [00010350] Port=1 RD @02 + 10350.50ns INFO [00010352] * RD COMPARE * port=1 adr=02 act=358A120D9018584F7A exp=358A120D9018584F7A + 10350.50ns INFO [00010352] Port=1 RD @07 + 10352.50ns INFO [00010354] * RD COMPARE * port=1 adr=07 act=F969A87F72C19956BD exp=F969A87F72C19956BD + 10352.50ns INFO [00010354] Port=0 WR @07=54EB534B16BD40FA72 + 10352.50ns INFO [00010354] Port=1 RD @05 + 10353.50ns INFO [00010355] Port=0 WR @05=6244E026CBEEAA246F + 10354.50ns INFO [00010356] * RD COMPARE * port=1 adr=05 act=635F7A06B11D015FEE exp=635F7A06B11D015FEE + 10354.50ns INFO [00010356] Port=1 RD @07 + 10355.50ns INFO [00010357] Port=0 WR @07=253C01D3992FEA28CD + 10355.50ns INFO [00010357] Port=1 RD @04 + 10356.50ns INFO [00010358] * RD COMPARE * port=1 adr=07 act=54EB534B16BD40FA72 exp=54EB534B16BD40FA72 + 10356.50ns INFO [00010358] Port=0 WR @05=D0C7679C7E90B1E6E9 + 10357.50ns INFO [00010359] * RD COMPARE * port=1 adr=04 act=E82ED30915283731E9 exp=E82ED30915283731E9 + 10357.50ns INFO [00010359] Port=0 WR @01=F95E7FF3552A74641A + 10358.50ns INFO [00010360] Port=0 RD @06 + 10358.50ns INFO [00010360] Port=1 RD @05 + 10359.50ns INFO [00010361] Port=0 WR @01=2B7511E3DCA64515C2 + 10359.50ns INFO [00010361] Port=1 RD @05 + 10360.50ns INFO [00010362] * RD COMPARE * port=0 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10360.50ns INFO [00010362] * RD COMPARE * port=1 adr=05 act=D0C7679C7E90B1E6E9 exp=D0C7679C7E90B1E6E9 + 10360.50ns INFO [00010362] Port=0 WR @04=47C7C8D58CEA468535 + 10360.50ns INFO [00010362] Port=0 RD @06 + 10360.50ns INFO [00010362] Port=1 RD @03 + 10361.50ns INFO [00010363] * RD COMPARE * port=1 adr=05 act=D0C7679C7E90B1E6E9 exp=D0C7679C7E90B1E6E9 + 10361.50ns INFO [00010363] Port=1 RD @00 + 10362.50ns INFO [00010364] * RD COMPARE * port=0 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10362.50ns INFO [00010364] * RD COMPARE * port=1 adr=03 act=C733FC3AD99BCD56C5 exp=C733FC3AD99BCD56C5 + 10362.50ns INFO [00010364] Port=0 RD @05 + 10362.50ns INFO [00010364] Port=1 RD @01 + 10363.50ns INFO [00010365] * RD COMPARE * port=1 adr=00 act=CF35DA81CABE4E3F82 exp=CF35DA81CABE4E3F82 + 10363.50ns INFO [00010365] Port=0 RD @01 + 10363.50ns INFO [00010365] Port=1 RD @04 + 10364.50ns INFO [00010366] * RD COMPARE * port=0 adr=05 act=D0C7679C7E90B1E6E9 exp=D0C7679C7E90B1E6E9 + 10364.50ns INFO [00010366] * RD COMPARE * port=1 adr=01 act=2B7511E3DCA64515C2 exp=2B7511E3DCA64515C2 + 10365.50ns INFO [00010367] * RD COMPARE * port=0 adr=01 act=2B7511E3DCA64515C2 exp=2B7511E3DCA64515C2 + 10365.50ns INFO [00010367] * RD COMPARE * port=1 adr=04 act=47C7C8D58CEA468535 exp=47C7C8D58CEA468535 + 10365.50ns INFO [00010367] Port=1 RD @07 + 10367.50ns INFO [00010369] * RD COMPARE * port=1 adr=07 act=253C01D3992FEA28CD exp=253C01D3992FEA28CD + 10367.50ns INFO [00010369] Port=0 WR @03=99B1A2EEDF56442216 + 10367.50ns INFO [00010369] Port=0 RD @00 + 10367.50ns INFO [00010369] Port=1 RD @00 + 10368.50ns INFO [00010370] Port=0 WR @01=D6EB335A8457C846B1 + 10369.50ns INFO [00010371] * RD COMPARE * port=0 adr=00 act=CF35DA81CABE4E3F82 exp=CF35DA81CABE4E3F82 + 10369.50ns INFO [00010371] * RD COMPARE * port=1 adr=00 act=CF35DA81CABE4E3F82 exp=CF35DA81CABE4E3F82 + 10370.50ns INFO [00010372] Port=1 RD @04 + 10371.50ns INFO [00010373] Port=0 WR @07=4BFC385F172F4AA330 + 10371.50ns INFO [00010373] Port=0 RD @05 + 10371.50ns INFO [00010373] Port=1 RD @02 + 10372.50ns INFO [00010374] * RD COMPARE * port=1 adr=04 act=47C7C8D58CEA468535 exp=47C7C8D58CEA468535 + 10372.50ns INFO [00010374] Port=0 RD @00 + 10373.50ns INFO [00010375] * RD COMPARE * port=0 adr=05 act=D0C7679C7E90B1E6E9 exp=D0C7679C7E90B1E6E9 + 10373.50ns INFO [00010375] * RD COMPARE * port=1 adr=02 act=358A120D9018584F7A exp=358A120D9018584F7A + 10373.50ns INFO [00010375] Port=1 RD @07 + 10374.50ns INFO [00010376] * RD COMPARE * port=0 adr=00 act=CF35DA81CABE4E3F82 exp=CF35DA81CABE4E3F82 + 10374.50ns INFO [00010376] Port=0 WR @03=E85E3180CC3A694A80 + 10374.50ns INFO [00010376] Port=0 RD @02 + 10374.50ns INFO [00010376] Port=1 RD @05 + 10375.50ns INFO [00010377] * RD COMPARE * port=1 adr=07 act=4BFC385F172F4AA330 exp=4BFC385F172F4AA330 + 10375.50ns INFO [00010377] Port=0 RD @01 + 10376.50ns INFO [00010378] * RD COMPARE * port=0 adr=02 act=358A120D9018584F7A exp=358A120D9018584F7A + 10376.50ns INFO [00010378] * RD COMPARE * port=1 adr=05 act=D0C7679C7E90B1E6E9 exp=D0C7679C7E90B1E6E9 + 10376.50ns INFO [00010378] Port=1 RD @01 + 10377.50ns INFO [00010379] * RD COMPARE * port=0 adr=01 act=D6EB335A8457C846B1 exp=D6EB335A8457C846B1 + 10377.50ns INFO [00010379] Port=0 RD @07 + 10378.50ns INFO [00010380] * RD COMPARE * port=1 adr=01 act=D6EB335A8457C846B1 exp=D6EB335A8457C846B1 + 10379.50ns INFO [00010381] * RD COMPARE * port=0 adr=07 act=4BFC385F172F4AA330 exp=4BFC385F172F4AA330 + 10379.50ns INFO [00010381] Port=0 WR @01=11ABA85B2D70D0B300 + 10380.50ns INFO [00010382] Port=0 RD @02 + 10381.50ns INFO [00010383] Port=0 WR @02=518352A5A7465F5C27 + 10381.50ns INFO [00010383] Port=1 RD @06 + 10382.50ns INFO [00010384] * RD COMPARE * port=0 adr=02 act=358A120D9018584F7A exp=358A120D9018584F7A + 10383.50ns INFO [00010385] * RD COMPARE * port=1 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10383.50ns INFO [00010385] Port=0 WR @07=03C1509173076895FC + 10384.50ns INFO [00010386] Port=0 WR @04=9AE975540DA529F759 + 10384.50ns INFO [00010386] Port=0 RD @07 + 10384.50ns INFO [00010386] Port=1 RD @07 + 10385.50ns INFO [00010387] Port=0 WR @05=01958C2B89AE9257B3 + 10386.50ns INFO [00010388] * RD COMPARE * port=0 adr=07 act=03C1509173076895FC exp=03C1509173076895FC + 10386.50ns INFO [00010388] * RD COMPARE * port=1 adr=07 act=03C1509173076895FC exp=03C1509173076895FC + 10386.50ns INFO [00010388] Port=0 WR @04=75463BAB5983B0E256 + 10386.50ns INFO [00010388] Port=0 RD @05 + 10387.50ns INFO [00010389] Port=0 WR @02=6A94001C55008A9228 + 10387.50ns INFO [00010389] Port=0 RD @06 + 10387.50ns INFO [00010389] Port=1 RD @07 + 10388.50ns INFO [00010390] * RD COMPARE * port=0 adr=05 act=01958C2B89AE9257B3 exp=01958C2B89AE9257B3 + 10388.50ns INFO [00010390] Port=0 RD @03 + 10388.50ns INFO [00010390] Port=1 RD @06 + 10389.50ns INFO [00010391] * RD COMPARE * port=0 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10389.50ns INFO [00010391] * RD COMPARE * port=1 adr=07 act=03C1509173076895FC exp=03C1509173076895FC + 10389.50ns INFO [00010391] Port=0 RD @02 + 10390.50ns INFO [00010392] * RD COMPARE * port=0 adr=03 act=E85E3180CC3A694A80 exp=E85E3180CC3A694A80 + 10390.50ns INFO [00010392] * RD COMPARE * port=1 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10390.50ns INFO [00010392] Port=0 RD @07 + 10390.50ns INFO [00010392] Port=1 RD @06 + 10391.50ns INFO [00010393] * RD COMPARE * port=0 adr=02 act=6A94001C55008A9228 exp=6A94001C55008A9228 + 10391.50ns INFO [00010393] Port=0 WR @05=3DAB44F8FE362EE2FA + 10391.50ns INFO [00010393] Port=0 RD @07 + 10391.50ns INFO [00010393] Port=1 RD @01 + 10392.50ns INFO [00010394] * RD COMPARE * port=0 adr=07 act=03C1509173076895FC exp=03C1509173076895FC + 10392.50ns INFO [00010394] * RD COMPARE * port=1 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10392.50ns INFO [00010394] Port=0 RD @01 + 10392.50ns INFO [00010394] Port=1 RD @06 + 10393.50ns INFO [00010395] * RD COMPARE * port=0 adr=07 act=03C1509173076895FC exp=03C1509173076895FC + 10393.50ns INFO [00010395] * RD COMPARE * port=1 adr=01 act=11ABA85B2D70D0B300 exp=11ABA85B2D70D0B300 + 10393.50ns INFO [00010395] Port=0 WR @05=81151F8AC8A43D3890 + 10394.50ns INFO [00010396] * RD COMPARE * port=0 adr=01 act=11ABA85B2D70D0B300 exp=11ABA85B2D70D0B300 + 10394.50ns INFO [00010396] * RD COMPARE * port=1 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10395.50ns INFO [00010397] Port=0 RD @07 + 10395.50ns INFO [00010397] Port=1 RD @04 + 10397.50ns INFO [00010399] * RD COMPARE * port=0 adr=07 act=03C1509173076895FC exp=03C1509173076895FC + 10397.50ns INFO [00010399] * RD COMPARE * port=1 adr=04 act=75463BAB5983B0E256 exp=75463BAB5983B0E256 + 10397.50ns INFO [00010399] Port=0 WR @07=BE93A9E841E621CD86 + 10397.50ns INFO [00010399] Port=0 RD @06 + 10398.00ns INFO [00010400] [00010400] ...tick... + 10398.50ns INFO [00010400] Port=0 WR @05=BE2E573AAAEE068FF1 + 10398.50ns INFO [00010400] Port=1 RD @01 + 10399.50ns INFO [00010401] * RD COMPARE * port=0 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10399.50ns INFO [00010401] Port=0 RD @01 + 10399.50ns INFO [00010401] Port=1 RD @00 + 10400.50ns INFO [00010402] * RD COMPARE * port=1 adr=01 act=11ABA85B2D70D0B300 exp=11ABA85B2D70D0B300 + 10401.50ns INFO [00010403] * RD COMPARE * port=0 adr=01 act=11ABA85B2D70D0B300 exp=11ABA85B2D70D0B300 + 10401.50ns INFO [00010403] * RD COMPARE * port=1 adr=00 act=CF35DA81CABE4E3F82 exp=CF35DA81CABE4E3F82 + 10401.50ns INFO [00010403] Port=0 WR @02=BD1AE5EC7A98E29515 + 10401.50ns INFO [00010403] Port=0 RD @06 + 10402.50ns INFO [00010404] Port=0 RD @06 + 10402.50ns INFO [00010404] Port=1 RD @02 + 10403.50ns INFO [00010405] * RD COMPARE * port=0 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10403.50ns INFO [00010405] Port=1 RD @04 + 10404.50ns INFO [00010406] * RD COMPARE * port=0 adr=06 act=21F1C237659A99D18D exp=21F1C237659A99D18D + 10404.50ns INFO [00010406] * RD COMPARE * port=1 adr=02 act=BD1AE5EC7A98E29515 exp=BD1AE5EC7A98E29515 + 10404.50ns INFO [00010406] Port=0 RD @02 + 10404.50ns INFO [00010406] Port=1 RD @07 + 10405.50ns INFO [00010407] * RD COMPARE * port=1 adr=04 act=75463BAB5983B0E256 exp=75463BAB5983B0E256 + 10405.50ns INFO [00010407] Port=0 WR @03=2481207385C499A0FC + 10406.50ns INFO [00010408] * RD COMPARE * port=0 adr=02 act=BD1AE5EC7A98E29515 exp=BD1AE5EC7A98E29515 + 10406.50ns INFO [00010408] * RD COMPARE * port=1 adr=07 act=BE93A9E841E621CD86 exp=BE93A9E841E621CD86 + 10406.50ns INFO [00010408] Port=0 WR @06=2111FC98A80F2DED4C + 10407.50ns INFO [00010409] Port=0 WR @01=EF59C8ECB139B89A52 + 10407.50ns INFO [00010409] Port=0 RD @00 + 10407.50ns INFO [00010409] Port=1 RD @04 + 10408.50ns INFO [00010410] Port=0 RD @01 + 10408.50ns INFO [00010410] Port=1 RD @02 + 10409.50ns INFO [00010411] * RD COMPARE * port=0 adr=00 act=CF35DA81CABE4E3F82 exp=CF35DA81CABE4E3F82 + 10409.50ns INFO [00010411] * RD COMPARE * port=1 adr=04 act=75463BAB5983B0E256 exp=75463BAB5983B0E256 + 10409.50ns INFO [00010411] Port=0 RD @07 + 10409.50ns INFO [00010411] Port=1 RD @02 + 10410.50ns INFO [00010412] * RD COMPARE * port=0 adr=01 act=EF59C8ECB139B89A52 exp=EF59C8ECB139B89A52 + 10410.50ns INFO [00010412] * RD COMPARE * port=1 adr=02 act=BD1AE5EC7A98E29515 exp=BD1AE5EC7A98E29515 + 10410.50ns INFO [00010412] Port=0 RD @06 + 10411.50ns INFO [00010413] * RD COMPARE * port=0 adr=07 act=BE93A9E841E621CD86 exp=BE93A9E841E621CD86 + 10411.50ns INFO [00010413] * RD COMPARE * port=1 adr=02 act=BD1AE5EC7A98E29515 exp=BD1AE5EC7A98E29515 + 10411.50ns INFO [00010413] Port=1 RD @02 + 10412.50ns INFO [00010414] * RD COMPARE * port=0 adr=06 act=2111FC98A80F2DED4C exp=2111FC98A80F2DED4C + 10412.50ns INFO [00010414] Port=0 WR @06=23D87BA666B3C32217 + 10413.50ns INFO [00010415] * RD COMPARE * port=1 adr=02 act=BD1AE5EC7A98E29515 exp=BD1AE5EC7A98E29515 + 10413.50ns INFO [00010415] Port=1 RD @02 + 10415.50ns INFO [00010417] * RD COMPARE * port=1 adr=02 act=BD1AE5EC7A98E29515 exp=BD1AE5EC7A98E29515 + 10418.50ns INFO [00010420] Port=0 RD @00 + 10419.50ns INFO [00010421] Port=1 RD @05 + 10420.50ns INFO [00010422] * RD COMPARE * port=0 adr=00 act=CF35DA81CABE4E3F82 exp=CF35DA81CABE4E3F82 + 10420.50ns INFO [00010422] Port=0 WR @04=DB5AF1E4C65869017D + 10421.50ns INFO [00010423] * RD COMPARE * port=1 adr=05 act=BE2E573AAAEE068FF1 exp=BE2E573AAAEE068FF1 + 10421.50ns INFO [00010423] Port=0 WR @04=A12745F7ECD1F8B816 + 10422.50ns INFO [00010424] Port=0 WR @05=CCC5A536933FA0ABDD + 10422.50ns INFO [00010424] Port=1 RD @03 + 10423.50ns INFO [00010425] Port=0 WR @00=CFB8CD6BC8B720DE26 + 10423.50ns INFO [00010425] Port=0 RD @02 + 10424.50ns INFO [00010426] * RD COMPARE * port=1 adr=03 act=2481207385C499A0FC exp=2481207385C499A0FC + 10425.50ns INFO [00010427] * RD COMPARE * port=0 adr=02 act=BD1AE5EC7A98E29515 exp=BD1AE5EC7A98E29515 + 10426.50ns INFO [00010428] Port=0 WR @07=A249CDCB2D073D1FC3 + 10426.50ns INFO [00010428] Port=0 RD @05 + 10426.50ns INFO [00010428] Port=1 RD @00 + 10427.50ns INFO [00010429] Port=1 RD @02 + 10428.50ns INFO [00010430] * RD COMPARE * port=0 adr=05 act=CCC5A536933FA0ABDD exp=CCC5A536933FA0ABDD + 10428.50ns INFO [00010430] * RD COMPARE * port=1 adr=00 act=CFB8CD6BC8B720DE26 exp=CFB8CD6BC8B720DE26 + 10429.50ns INFO [00010431] * RD COMPARE * port=1 adr=02 act=BD1AE5EC7A98E29515 exp=BD1AE5EC7A98E29515 + 10429.50ns INFO [00010431] Port=0 WR @01=3AD6A483AC54BCA364 + 10430.50ns INFO [00010432] Port=0 WR @00=E6122068CB6A8BCAB7 + 10430.50ns INFO [00010432] Port=0 RD @05 + 10431.50ns INFO [00010433] Port=0 RD @02 + 10432.50ns INFO [00010434] * RD COMPARE * port=0 adr=05 act=CCC5A536933FA0ABDD exp=CCC5A536933FA0ABDD + 10432.50ns INFO [00010434] Port=0 WR @04=10B78D2990A4E4E676 + 10432.50ns INFO [00010434] Port=1 RD @03 + 10433.50ns INFO [00010435] * RD COMPARE * port=0 adr=02 act=BD1AE5EC7A98E29515 exp=BD1AE5EC7A98E29515 + 10433.50ns INFO [00010435] Port=1 RD @07 + 10434.50ns INFO [00010436] * RD COMPARE * port=1 adr=03 act=2481207385C499A0FC exp=2481207385C499A0FC + 10434.50ns INFO [00010436] Port=0 RD @00 + 10434.50ns INFO [00010436] Port=1 RD @02 + 10435.50ns INFO [00010437] * RD COMPARE * port=1 adr=07 act=A249CDCB2D073D1FC3 exp=A249CDCB2D073D1FC3 + 10435.50ns INFO [00010437] Port=0 WR @00=B055D013919DA31A51 + 10436.50ns INFO [00010438] * RD COMPARE * port=0 adr=00 act=E6122068CB6A8BCAB7 exp=E6122068CB6A8BCAB7 + 10436.50ns INFO [00010438] * RD COMPARE * port=1 adr=02 act=BD1AE5EC7A98E29515 exp=BD1AE5EC7A98E29515 + 10436.50ns INFO [00010438] Port=0 RD @06 + 10437.50ns INFO [00010439] Port=0 WR @07=A3E801D6741DC96D94 + 10437.50ns INFO [00010439] Port=0 RD @03 + 10437.50ns INFO [00010439] Port=1 RD @04 + 10438.50ns INFO [00010440] * RD COMPARE * port=0 adr=06 act=23D87BA666B3C32217 exp=23D87BA666B3C32217 + 10439.50ns INFO [00010441] * RD COMPARE * port=0 adr=03 act=2481207385C499A0FC exp=2481207385C499A0FC + 10439.50ns INFO [00010441] * RD COMPARE * port=1 adr=04 act=10B78D2990A4E4E676 exp=10B78D2990A4E4E676 + 10439.50ns INFO [00010441] Port=1 RD @00 + 10440.50ns INFO [00010442] Port=0 RD @01 + 10441.50ns INFO [00010443] * RD COMPARE * port=1 adr=00 act=B055D013919DA31A51 exp=B055D013919DA31A51 + 10442.50ns INFO [00010444] * RD COMPARE * port=0 adr=01 act=3AD6A483AC54BCA364 exp=3AD6A483AC54BCA364 + 10442.50ns INFO [00010444] Port=0 WR @01=D6E93E73674E777F38 + 10443.50ns INFO [00010445] Port=0 WR @02=B444638AF65CCB1190 + 10443.50ns INFO [00010445] Port=0 RD @01 + 10444.50ns INFO [00010446] Port=0 WR @00=863593C2506E13B86B + 10444.50ns INFO [00010446] Port=1 RD @07 + 10445.50ns INFO [00010447] * RD COMPARE * port=0 adr=01 act=D6E93E73674E777F38 exp=D6E93E73674E777F38 + 10446.50ns INFO [00010448] * RD COMPARE * port=1 adr=07 act=A3E801D6741DC96D94 exp=A3E801D6741DC96D94 + 10446.50ns INFO [00010448] Port=0 WR @03=3F5C11D60BAA3C9095 + 10446.50ns INFO [00010448] Port=0 RD @01 + 10447.50ns INFO [00010449] Port=0 RD @07 + 10447.50ns INFO [00010449] Port=1 RD @05 + 10448.50ns INFO [00010450] * RD COMPARE * port=0 adr=01 act=D6E93E73674E777F38 exp=D6E93E73674E777F38 + 10448.50ns INFO [00010450] Port=0 WR @03=BF0C21860EFC741B4D + 10448.50ns INFO [00010450] Port=0 RD @00 + 10449.50ns INFO [00010451] * RD COMPARE * port=0 adr=07 act=A3E801D6741DC96D94 exp=A3E801D6741DC96D94 + 10449.50ns INFO [00010451] * RD COMPARE * port=1 adr=05 act=CCC5A536933FA0ABDD exp=CCC5A536933FA0ABDD + 10449.50ns INFO [00010451] Port=0 RD @03 + 10450.50ns INFO [00010452] * RD COMPARE * port=0 adr=00 act=863593C2506E13B86B exp=863593C2506E13B86B + 10450.50ns INFO [00010452] Port=0 RD @02 + 10450.50ns INFO [00010452] Port=1 RD @06 + 10451.50ns INFO [00010453] * RD COMPARE * port=0 adr=03 act=BF0C21860EFC741B4D exp=BF0C21860EFC741B4D + 10452.50ns INFO [00010454] * RD COMPARE * port=0 adr=02 act=B444638AF65CCB1190 exp=B444638AF65CCB1190 + 10452.50ns INFO [00010454] * RD COMPARE * port=1 adr=06 act=23D87BA666B3C32217 exp=23D87BA666B3C32217 + 10452.50ns INFO [00010454] Port=0 WR @06=A5724DDF8CD27FB70A + 10453.50ns INFO [00010455] Port=0 WR @07=666FC231F0D113450C + 10453.50ns INFO [00010455] Port=1 RD @03 + 10454.50ns INFO [00010456] Port=1 RD @04 + 10455.50ns INFO [00010457] * RD COMPARE * port=1 adr=03 act=BF0C21860EFC741B4D exp=BF0C21860EFC741B4D + 10455.50ns INFO [00010457] Port=0 WR @07=F7CC32A27417567819 + 10456.50ns INFO [00010458] * RD COMPARE * port=1 adr=04 act=10B78D2990A4E4E676 exp=10B78D2990A4E4E676 + 10456.50ns INFO [00010458] Port=0 WR @04=87DDDF5F12096F33B2 + 10457.50ns INFO [00010459] Port=0 WR @07=824D3EF35B67858DFA + 10457.50ns INFO [00010459] Port=0 RD @00 + 10458.50ns INFO [00010460] Port=0 WR @07=065A1B04D95DF9837F + 10458.50ns INFO [00010460] Port=0 RD @03 + 10458.50ns INFO [00010460] Port=1 RD @05 + 10459.50ns INFO [00010461] * RD COMPARE * port=0 adr=00 act=863593C2506E13B86B exp=863593C2506E13B86B + 10460.50ns INFO [00010462] * RD COMPARE * port=0 adr=03 act=BF0C21860EFC741B4D exp=BF0C21860EFC741B4D + 10460.50ns INFO [00010462] * RD COMPARE * port=1 adr=05 act=CCC5A536933FA0ABDD exp=CCC5A536933FA0ABDD + 10460.50ns INFO [00010462] Port=0 RD @05 + 10461.50ns INFO [00010463] Port=0 WR @02=F6448863AEFB7F0CD7 + 10461.50ns INFO [00010463] Port=0 RD @04 + 10462.50ns INFO [00010464] * RD COMPARE * port=0 adr=05 act=CCC5A536933FA0ABDD exp=CCC5A536933FA0ABDD + 10462.50ns INFO [00010464] Port=0 WR @01=D0EBF82DF393D41C44 + 10462.50ns INFO [00010464] Port=0 RD @05 + 10463.50ns INFO [00010465] * RD COMPARE * port=0 adr=04 act=87DDDF5F12096F33B2 exp=87DDDF5F12096F33B2 + 10464.50ns INFO [00010466] * RD COMPARE * port=0 adr=05 act=CCC5A536933FA0ABDD exp=CCC5A536933FA0ABDD + 10464.50ns INFO [00010466] Port=1 RD @05 + 10466.50ns INFO [00010468] * RD COMPARE * port=1 adr=05 act=CCC5A536933FA0ABDD exp=CCC5A536933FA0ABDD + 10466.50ns INFO [00010468] Port=0 WR @00=CDA446D057C5964CC1 + 10466.50ns INFO [00010468] Port=0 RD @07 + 10466.50ns INFO [00010468] Port=1 RD @05 + 10467.50ns INFO [00010469] Port=0 RD @03 + 10467.50ns INFO [00010469] Port=1 RD @01 + 10468.50ns INFO [00010470] * RD COMPARE * port=0 adr=07 act=065A1B04D95DF9837F exp=065A1B04D95DF9837F + 10468.50ns INFO [00010470] * RD COMPARE * port=1 adr=05 act=CCC5A536933FA0ABDD exp=CCC5A536933FA0ABDD + 10469.50ns INFO [00010471] * RD COMPARE * port=0 adr=03 act=BF0C21860EFC741B4D exp=BF0C21860EFC741B4D + 10469.50ns INFO [00010471] * RD COMPARE * port=1 adr=01 act=D0EBF82DF393D41C44 exp=D0EBF82DF393D41C44 + 10469.50ns INFO [00010471] Port=0 RD @07 + 10469.50ns INFO [00010471] Port=1 RD @03 + 10470.50ns INFO [00010472] Port=0 RD @03 + 10471.50ns INFO [00010473] * RD COMPARE * port=0 adr=07 act=065A1B04D95DF9837F exp=065A1B04D95DF9837F + 10471.50ns INFO [00010473] * RD COMPARE * port=1 adr=03 act=BF0C21860EFC741B4D exp=BF0C21860EFC741B4D + 10471.50ns INFO [00010473] Port=0 WR @05=58F54FFAF1A50AC976 + 10471.50ns INFO [00010473] Port=1 RD @06 + 10472.50ns INFO [00010474] * RD COMPARE * port=0 adr=03 act=BF0C21860EFC741B4D exp=BF0C21860EFC741B4D + 10473.50ns INFO [00010475] * RD COMPARE * port=1 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10473.50ns INFO [00010475] Port=1 RD @04 + 10474.50ns INFO [00010476] Port=0 WR @07=A36628B16ABB53E80F + 10474.50ns INFO [00010476] Port=0 RD @03 + 10475.50ns INFO [00010477] * RD COMPARE * port=1 adr=04 act=87DDDF5F12096F33B2 exp=87DDDF5F12096F33B2 + 10475.50ns INFO [00010477] Port=0 WR @01=F186B8B82F471D4019 + 10475.50ns INFO [00010477] Port=0 RD @05 + 10476.50ns INFO [00010478] * RD COMPARE * port=0 adr=03 act=BF0C21860EFC741B4D exp=BF0C21860EFC741B4D + 10476.50ns INFO [00010478] Port=0 WR @05=651AA69968254CF21D + 10477.50ns INFO [00010479] * RD COMPARE * port=0 adr=05 act=58F54FFAF1A50AC976 exp=58F54FFAF1A50AC976 + 10477.50ns INFO [00010479] Port=0 RD @07 + 10477.50ns INFO [00010479] Port=1 RD @00 + 10479.50ns INFO [00010481] * RD COMPARE * port=0 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10479.50ns INFO [00010481] * RD COMPARE * port=1 adr=00 act=CDA446D057C5964CC1 exp=CDA446D057C5964CC1 + 10479.50ns INFO [00010481] Port=0 RD @06 + 10480.50ns INFO [00010482] Port=0 RD @04 + 10481.50ns INFO [00010483] * RD COMPARE * port=0 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10481.50ns INFO [00010483] Port=1 RD @03 + 10482.50ns INFO [00010484] * RD COMPARE * port=0 adr=04 act=87DDDF5F12096F33B2 exp=87DDDF5F12096F33B2 + 10482.50ns INFO [00010484] Port=0 WR @03=D2D61F8BC737F26067 + 10482.50ns INFO [00010484] Port=0 RD @06 + 10482.50ns INFO [00010484] Port=1 RD @07 + 10483.50ns INFO [00010485] * RD COMPARE * port=1 adr=03 act=BF0C21860EFC741B4D exp=BF0C21860EFC741B4D + 10483.50ns INFO [00010485] Port=0 WR @05=00FB5D35C3069FCAE0 + 10483.50ns INFO [00010485] Port=1 RD @00 + 10484.50ns INFO [00010486] * RD COMPARE * port=0 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10484.50ns INFO [00010486] * RD COMPARE * port=1 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10485.50ns INFO [00010487] * RD COMPARE * port=1 adr=00 act=CDA446D057C5964CC1 exp=CDA446D057C5964CC1 + 10485.50ns INFO [00010487] Port=1 RD @00 + 10487.50ns INFO [00010489] * RD COMPARE * port=1 adr=00 act=CDA446D057C5964CC1 exp=CDA446D057C5964CC1 + 10487.50ns INFO [00010489] Port=0 WR @00=DBCACD830ADBDC1ADE + 10487.50ns INFO [00010489] Port=1 RD @07 + 10489.50ns INFO [00010491] * RD COMPARE * port=1 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10490.50ns INFO [00010492] Port=0 WR @02=82F4396F1A21CFAF6B + 10490.50ns INFO [00010492] Port=0 RD @05 + 10490.50ns INFO [00010492] Port=1 RD @05 + 10492.50ns INFO [00010494] * RD COMPARE * port=0 adr=05 act=00FB5D35C3069FCAE0 exp=00FB5D35C3069FCAE0 + 10492.50ns INFO [00010494] * RD COMPARE * port=1 adr=05 act=00FB5D35C3069FCAE0 exp=00FB5D35C3069FCAE0 + 10492.50ns INFO [00010494] Port=0 WR @03=29270AB2058E8237FD + 10492.50ns INFO [00010494] Port=0 RD @00 + 10493.50ns INFO [00010495] Port=0 WR @02=68E74A5FBE0FFF144A + 10493.50ns INFO [00010495] Port=1 RD @03 + 10494.50ns INFO [00010496] * RD COMPARE * port=0 adr=00 act=DBCACD830ADBDC1ADE exp=DBCACD830ADBDC1ADE + 10494.50ns INFO [00010496] Port=0 RD @03 + 10495.50ns INFO [00010497] * RD COMPARE * port=1 adr=03 act=29270AB2058E8237FD exp=29270AB2058E8237FD + 10496.50ns INFO [00010498] * RD COMPARE * port=0 adr=03 act=29270AB2058E8237FD exp=29270AB2058E8237FD + 10496.50ns INFO [00010498] Port=0 RD @07 + 10496.50ns INFO [00010498] Port=1 RD @00 + 10497.50ns INFO [00010499] Port=0 WR @04=46B6F236FDB361759B + 10498.00ns INFO [00010500] [00010500] ...tick... + 10498.50ns INFO [00010500] * RD COMPARE * port=0 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10498.50ns INFO [00010500] * RD COMPARE * port=1 adr=00 act=DBCACD830ADBDC1ADE exp=DBCACD830ADBDC1ADE + 10500.50ns INFO [00010502] Port=0 RD @03 + 10500.50ns INFO [00010502] Port=1 RD @00 + 10501.50ns INFO [00010503] Port=0 RD @05 + 10501.50ns INFO [00010503] Port=1 RD @06 + 10502.50ns INFO [00010504] * RD COMPARE * port=0 adr=03 act=29270AB2058E8237FD exp=29270AB2058E8237FD + 10502.50ns INFO [00010504] * RD COMPARE * port=1 adr=00 act=DBCACD830ADBDC1ADE exp=DBCACD830ADBDC1ADE + 10502.50ns INFO [00010504] Port=0 WR @02=B88C08ECD2B8B0E961 + 10502.50ns INFO [00010504] Port=1 RD @05 + 10503.50ns INFO [00010505] * RD COMPARE * port=0 adr=05 act=00FB5D35C3069FCAE0 exp=00FB5D35C3069FCAE0 + 10503.50ns INFO [00010505] * RD COMPARE * port=1 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10503.50ns INFO [00010505] Port=0 RD @00 + 10503.50ns INFO [00010505] Port=1 RD @02 + 10504.50ns INFO [00010506] * RD COMPARE * port=1 adr=05 act=00FB5D35C3069FCAE0 exp=00FB5D35C3069FCAE0 + 10505.50ns INFO [00010507] * RD COMPARE * port=0 adr=00 act=DBCACD830ADBDC1ADE exp=DBCACD830ADBDC1ADE + 10505.50ns INFO [00010507] * RD COMPARE * port=1 adr=02 act=B88C08ECD2B8B0E961 exp=B88C08ECD2B8B0E961 + 10506.50ns INFO [00010508] Port=1 RD @07 + 10507.50ns INFO [00010509] Port=0 RD @07 + 10508.50ns INFO [00010510] * RD COMPARE * port=1 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10508.50ns INFO [00010510] Port=0 WR @05=7417DEF96C5AB15E8E + 10508.50ns INFO [00010510] Port=0 RD @02 + 10508.50ns INFO [00010510] Port=1 RD @01 + 10509.50ns INFO [00010511] * RD COMPARE * port=0 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10509.50ns INFO [00010511] Port=0 RD @07 + 10510.50ns INFO [00010512] * RD COMPARE * port=0 adr=02 act=B88C08ECD2B8B0E961 exp=B88C08ECD2B8B0E961 + 10510.50ns INFO [00010512] * RD COMPARE * port=1 adr=01 act=F186B8B82F471D4019 exp=F186B8B82F471D4019 + 10510.50ns INFO [00010512] Port=0 RD @01 + 10510.50ns INFO [00010512] Port=1 RD @03 + 10511.50ns INFO [00010513] * RD COMPARE * port=0 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10511.50ns INFO [00010513] Port=1 RD @05 + 10512.50ns INFO [00010514] * RD COMPARE * port=0 adr=01 act=F186B8B82F471D4019 exp=F186B8B82F471D4019 + 10512.50ns INFO [00010514] * RD COMPARE * port=1 adr=03 act=29270AB2058E8237FD exp=29270AB2058E8237FD + 10512.50ns INFO [00010514] Port=0 WR @05=52859346A1E180C121 + 10513.50ns INFO [00010515] * RD COMPARE * port=1 adr=05 act=7417DEF96C5AB15E8E exp=7417DEF96C5AB15E8E + 10513.50ns INFO [00010515] Port=1 RD @01 + 10514.50ns INFO [00010516] Port=0 WR @05=345FE7949E3FE46D03 + 10514.50ns INFO [00010516] Port=1 RD @01 + 10515.50ns INFO [00010517] * RD COMPARE * port=1 adr=01 act=F186B8B82F471D4019 exp=F186B8B82F471D4019 + 10516.50ns INFO [00010518] * RD COMPARE * port=1 adr=01 act=F186B8B82F471D4019 exp=F186B8B82F471D4019 + 10518.50ns INFO [00010520] Port=0 WR @03=ACCDC75931070916E3 + 10518.50ns INFO [00010520] Port=1 RD @01 + 10519.50ns INFO [00010521] Port=0 WR @01=EA3450B95CAE84AFC2 + 10519.50ns INFO [00010521] Port=0 RD @07 + 10519.50ns INFO [00010521] Port=1 RD @06 + 10520.50ns INFO [00010522] * RD COMPARE * port=1 adr=01 act=F186B8B82F471D4019 exp=F186B8B82F471D4019 + 10520.50ns INFO [00010522] Port=0 RD @02 + 10521.50ns INFO [00010523] * RD COMPARE * port=0 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10521.50ns INFO [00010523] * RD COMPARE * port=1 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10521.50ns INFO [00010523] Port=0 WR @01=E9BEA939B5C9A2F527 + 10521.50ns INFO [00010523] Port=1 RD @02 + 10522.50ns INFO [00010524] * RD COMPARE * port=0 adr=02 act=B88C08ECD2B8B0E961 exp=B88C08ECD2B8B0E961 + 10522.50ns INFO [00010524] Port=0 RD @06 + 10522.50ns INFO [00010524] Port=1 RD @02 + 10523.50ns INFO [00010525] * RD COMPARE * port=1 adr=02 act=B88C08ECD2B8B0E961 exp=B88C08ECD2B8B0E961 + 10523.50ns INFO [00010525] Port=0 WR @04=971DA3E501DB2D9158 + 10523.50ns INFO [00010525] Port=0 RD @05 + 10524.50ns INFO [00010526] * RD COMPARE * port=0 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10524.50ns INFO [00010526] * RD COMPARE * port=1 adr=02 act=B88C08ECD2B8B0E961 exp=B88C08ECD2B8B0E961 + 10525.50ns INFO [00010527] * RD COMPARE * port=0 adr=05 act=345FE7949E3FE46D03 exp=345FE7949E3FE46D03 + 10525.50ns INFO [00010527] Port=0 WR @05=26A27343CF9764C2AD + 10526.50ns INFO [00010528] Port=0 WR @00=F12F68E49EB9C719AC + 10526.50ns INFO [00010528] Port=1 RD @06 + 10527.50ns INFO [00010529] Port=0 WR @02=72A854627C4037BF61 + 10527.50ns INFO [00010529] Port=0 RD @06 + 10527.50ns INFO [00010529] Port=1 RD @06 + 10528.50ns INFO [00010530] * RD COMPARE * port=1 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10528.50ns INFO [00010530] Port=0 RD @03 + 10529.50ns INFO [00010531] * RD COMPARE * port=0 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10529.50ns INFO [00010531] * RD COMPARE * port=1 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10529.50ns INFO [00010531] Port=0 WR @05=A83C917B5187A0DA09 + 10530.50ns INFO [00010532] * RD COMPARE * port=0 adr=03 act=ACCDC75931070916E3 exp=ACCDC75931070916E3 + 10530.50ns INFO [00010532] Port=0 WR @05=3E99062D1179F780AA + 10530.50ns INFO [00010532] Port=0 RD @07 + 10530.50ns INFO [00010532] Port=1 RD @02 + 10532.50ns INFO [00010534] * RD COMPARE * port=0 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10532.50ns INFO [00010534] * RD COMPARE * port=1 adr=02 act=72A854627C4037BF61 exp=72A854627C4037BF61 + 10533.50ns INFO [00010535] Port=0 RD @04 + 10535.50ns INFO [00010537] * RD COMPARE * port=0 adr=04 act=971DA3E501DB2D9158 exp=971DA3E501DB2D9158 + 10535.50ns INFO [00010537] Port=0 WR @05=FEF5AB9948F908CEEB + 10536.50ns INFO [00010538] Port=0 WR @02=11F932B10E0B99AA7D + 10538.50ns INFO [00010540] Port=0 RD @03 + 10538.50ns INFO [00010540] Port=1 RD @05 + 10539.50ns INFO [00010541] Port=0 RD @05 + 10540.50ns INFO [00010542] * RD COMPARE * port=0 adr=03 act=ACCDC75931070916E3 exp=ACCDC75931070916E3 + 10540.50ns INFO [00010542] * RD COMPARE * port=1 adr=05 act=FEF5AB9948F908CEEB exp=FEF5AB9948F908CEEB + 10540.50ns INFO [00010542] Port=0 RD @07 + 10540.50ns INFO [00010542] Port=1 RD @03 + 10541.50ns INFO [00010543] * RD COMPARE * port=0 adr=05 act=FEF5AB9948F908CEEB exp=FEF5AB9948F908CEEB + 10541.50ns INFO [00010543] Port=0 RD @03 + 10542.50ns INFO [00010544] * RD COMPARE * port=0 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10542.50ns INFO [00010544] * RD COMPARE * port=1 adr=03 act=ACCDC75931070916E3 exp=ACCDC75931070916E3 + 10542.50ns INFO [00010544] Port=0 RD @02 + 10542.50ns INFO [00010544] Port=1 RD @06 + 10543.50ns INFO [00010545] * RD COMPARE * port=0 adr=03 act=ACCDC75931070916E3 exp=ACCDC75931070916E3 + 10543.50ns INFO [00010545] Port=0 WR @01=E0204F38B61790AE5D + 10543.50ns INFO [00010545] Port=0 RD @02 + 10543.50ns INFO [00010545] Port=1 RD @02 + 10544.50ns INFO [00010546] * RD COMPARE * port=0 adr=02 act=11F932B10E0B99AA7D exp=11F932B10E0B99AA7D + 10544.50ns INFO [00010546] * RD COMPARE * port=1 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10544.50ns INFO [00010546] Port=0 RD @06 + 10544.50ns INFO [00010546] Port=1 RD @06 + 10545.50ns INFO [00010547] * RD COMPARE * port=0 adr=02 act=11F932B10E0B99AA7D exp=11F932B10E0B99AA7D + 10545.50ns INFO [00010547] * RD COMPARE * port=1 adr=02 act=11F932B10E0B99AA7D exp=11F932B10E0B99AA7D + 10545.50ns INFO [00010547] Port=0 WR @04=40866044D23675007B + 10545.50ns INFO [00010547] Port=1 RD @01 + 10546.50ns INFO [00010548] * RD COMPARE * port=0 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10546.50ns INFO [00010548] * RD COMPARE * port=1 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10547.50ns INFO [00010549] * RD COMPARE * port=1 adr=01 act=E0204F38B61790AE5D exp=E0204F38B61790AE5D + 10549.50ns INFO [00010551] Port=0 WR @02=0A0B7C676BEDCB846C + 10551.50ns INFO [00010553] Port=0 WR @02=5F6853A861D1C62943 + 10551.50ns INFO [00010553] Port=0 RD @05 + 10552.50ns INFO [00010554] Port=0 RD @01 + 10553.50ns INFO [00010555] * RD COMPARE * port=0 adr=05 act=FEF5AB9948F908CEEB exp=FEF5AB9948F908CEEB + 10553.50ns INFO [00010555] Port=0 RD @02 + 10553.50ns INFO [00010555] Port=1 RD @01 + 10554.50ns INFO [00010556] * RD COMPARE * port=0 adr=01 act=E0204F38B61790AE5D exp=E0204F38B61790AE5D + 10554.50ns INFO [00010556] Port=1 RD @04 + 10555.50ns INFO [00010557] * RD COMPARE * port=0 adr=02 act=5F6853A861D1C62943 exp=5F6853A861D1C62943 + 10555.50ns INFO [00010557] * RD COMPARE * port=1 adr=01 act=E0204F38B61790AE5D exp=E0204F38B61790AE5D + 10555.50ns INFO [00010557] Port=0 WR @05=CB3DC2963824BAF34F + 10555.50ns INFO [00010557] Port=0 RD @00 + 10556.50ns INFO [00010558] * RD COMPARE * port=1 adr=04 act=40866044D23675007B exp=40866044D23675007B + 10556.50ns INFO [00010558] Port=0 RD @07 + 10556.50ns INFO [00010558] Port=1 RD @05 + 10557.50ns INFO [00010559] * RD COMPARE * port=0 adr=00 act=F12F68E49EB9C719AC exp=F12F68E49EB9C719AC + 10558.50ns INFO [00010560] * RD COMPARE * port=0 adr=07 act=A36628B16ABB53E80F exp=A36628B16ABB53E80F + 10558.50ns INFO [00010560] * RD COMPARE * port=1 adr=05 act=CB3DC2963824BAF34F exp=CB3DC2963824BAF34F + 10558.50ns INFO [00010560] Port=0 WR @07=9967A96D94574277E2 + 10558.50ns INFO [00010560] Port=1 RD @00 + 10559.50ns INFO [00010561] Port=0 WR @03=C2EBE1A2CE9515A646 + 10559.50ns INFO [00010561] Port=1 RD @07 + 10560.50ns INFO [00010562] * RD COMPARE * port=1 adr=00 act=F12F68E49EB9C719AC exp=F12F68E49EB9C719AC + 10561.50ns INFO [00010563] * RD COMPARE * port=1 adr=07 act=9967A96D94574277E2 exp=9967A96D94574277E2 + 10561.50ns INFO [00010563] Port=0 WR @07=61EB45275E09303D02 + 10561.50ns INFO [00010563] Port=1 RD @02 + 10562.50ns INFO [00010564] Port=0 WR @01=35AFB4713A65A99C07 + 10562.50ns INFO [00010564] Port=1 RD @05 + 10563.50ns INFO [00010565] * RD COMPARE * port=1 adr=02 act=5F6853A861D1C62943 exp=5F6853A861D1C62943 + 10563.50ns INFO [00010565] Port=1 RD @01 + 10564.50ns INFO [00010566] * RD COMPARE * port=1 adr=05 act=CB3DC2963824BAF34F exp=CB3DC2963824BAF34F + 10564.50ns INFO [00010566] Port=1 RD @03 + 10565.50ns INFO [00010567] * RD COMPARE * port=1 adr=01 act=35AFB4713A65A99C07 exp=35AFB4713A65A99C07 + 10565.50ns INFO [00010567] Port=1 RD @01 + 10566.50ns INFO [00010568] * RD COMPARE * port=1 adr=03 act=C2EBE1A2CE9515A646 exp=C2EBE1A2CE9515A646 + 10566.50ns INFO [00010568] Port=0 RD @00 + 10567.50ns INFO [00010569] * RD COMPARE * port=1 adr=01 act=35AFB4713A65A99C07 exp=35AFB4713A65A99C07 + 10567.50ns INFO [00010569] Port=0 RD @04 + 10568.50ns INFO [00010570] * RD COMPARE * port=0 adr=00 act=F12F68E49EB9C719AC exp=F12F68E49EB9C719AC + 10569.50ns INFO [00010571] * RD COMPARE * port=0 adr=04 act=40866044D23675007B exp=40866044D23675007B + 10569.50ns INFO [00010571] Port=0 WR @07=74C685311D781F7462 + 10570.50ns INFO [00010572] Port=0 WR @04=4496FC26FDB21AE730 + 10570.50ns INFO [00010572] Port=0 RD @06 + 10570.50ns INFO [00010572] Port=1 RD @06 + 10571.50ns INFO [00010573] Port=0 WR @05=401A9109B5AE4268F9 + 10571.50ns INFO [00010573] Port=0 RD @02 + 10572.50ns INFO [00010574] * RD COMPARE * port=0 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10572.50ns INFO [00010574] * RD COMPARE * port=1 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10572.50ns INFO [00010574] Port=1 RD @00 + 10573.50ns INFO [00010575] * RD COMPARE * port=0 adr=02 act=5F6853A861D1C62943 exp=5F6853A861D1C62943 + 10573.50ns INFO [00010575] Port=1 RD @04 + 10574.50ns INFO [00010576] * RD COMPARE * port=1 adr=00 act=F12F68E49EB9C719AC exp=F12F68E49EB9C719AC + 10574.50ns INFO [00010576] Port=0 WR @02=C04B77AEC1F335EC44 + 10574.50ns INFO [00010576] Port=0 RD @06 + 10574.50ns INFO [00010576] Port=1 RD @03 + 10575.50ns INFO [00010577] * RD COMPARE * port=1 adr=04 act=4496FC26FDB21AE730 exp=4496FC26FDB21AE730 + 10575.50ns INFO [00010577] Port=0 RD @00 + 10576.50ns INFO [00010578] * RD COMPARE * port=0 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10576.50ns INFO [00010578] * RD COMPARE * port=1 adr=03 act=C2EBE1A2CE9515A646 exp=C2EBE1A2CE9515A646 + 10576.50ns INFO [00010578] Port=0 RD @02 + 10577.50ns INFO [00010579] * RD COMPARE * port=0 adr=00 act=F12F68E49EB9C719AC exp=F12F68E49EB9C719AC + 10577.50ns INFO [00010579] Port=0 WR @04=BC6D0C3D80F3BF3892 + 10578.50ns INFO [00010580] * RD COMPARE * port=0 adr=02 act=C04B77AEC1F335EC44 exp=C04B77AEC1F335EC44 + 10578.50ns INFO [00010580] Port=0 RD @04 + 10580.50ns INFO [00010582] * RD COMPARE * port=0 adr=04 act=BC6D0C3D80F3BF3892 exp=BC6D0C3D80F3BF3892 + 10580.50ns INFO [00010582] Port=0 WR @07=6BDB2B2B5843C51731 + 10580.50ns INFO [00010582] Port=0 RD @01 + 10581.50ns INFO [00010583] Port=0 RD @06 + 10581.50ns INFO [00010583] Port=1 RD @06 + 10582.50ns INFO [00010584] * RD COMPARE * port=0 adr=01 act=35AFB4713A65A99C07 exp=35AFB4713A65A99C07 + 10582.50ns INFO [00010584] Port=0 RD @05 + 10583.50ns INFO [00010585] * RD COMPARE * port=0 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10583.50ns INFO [00010585] * RD COMPARE * port=1 adr=06 act=A5724DDF8CD27FB70A exp=A5724DDF8CD27FB70A + 10584.50ns INFO [00010586] * RD COMPARE * port=0 adr=05 act=401A9109B5AE4268F9 exp=401A9109B5AE4268F9 + 10585.50ns INFO [00010587] Port=0 WR @03=491575A53A1AAE2278 + 10585.50ns INFO [00010587] Port=1 RD @04 + 10586.50ns INFO [00010588] Port=1 RD @02 + 10587.50ns INFO [00010589] * RD COMPARE * port=1 adr=04 act=BC6D0C3D80F3BF3892 exp=BC6D0C3D80F3BF3892 + 10587.50ns INFO [00010589] Port=0 WR @05=9BDC64F9590723F511 + 10588.50ns INFO [00010590] * RD COMPARE * port=1 adr=02 act=C04B77AEC1F335EC44 exp=C04B77AEC1F335EC44 + 10588.50ns INFO [00010590] Port=0 WR @02=3EBD6BAC7CBCF915AF + 10589.50ns INFO [00010591] Port=0 RD @03 + 10590.50ns INFO [00010592] Port=0 WR @06=5F34481884F505DA02 + 10590.50ns INFO [00010592] Port=0 RD @03 + 10591.50ns INFO [00010593] * RD COMPARE * port=0 adr=03 act=491575A53A1AAE2278 exp=491575A53A1AAE2278 + 10591.50ns INFO [00010593] Port=1 RD @03 + 10592.50ns INFO [00010594] * RD COMPARE * port=0 adr=03 act=491575A53A1AAE2278 exp=491575A53A1AAE2278 + 10592.50ns INFO [00010594] Port=0 WR @03=8D9A24FCCC4FA2C452 + 10593.50ns INFO [00010595] * RD COMPARE * port=1 adr=03 act=491575A53A1AAE2278 exp=491575A53A1AAE2278 + 10595.50ns INFO [00010597] Port=0 RD @03 + 10597.50ns INFO [00010599] * RD COMPARE * port=0 adr=03 act=8D9A24FCCC4FA2C452 exp=8D9A24FCCC4FA2C452 + 10597.50ns INFO [00010599] Port=0 RD @05 + 10597.50ns INFO [00010599] Port=1 RD @02 + 10598.00ns INFO [00010600] [00010600] ...tick... + 10598.50ns INFO [00010600] Port=0 WR @01=F20D6EB393D62FC707 + 10598.50ns INFO [00010600] Port=1 RD @03 + 10599.50ns INFO [00010601] * RD COMPARE * port=0 adr=05 act=9BDC64F9590723F511 exp=9BDC64F9590723F511 + 10599.50ns INFO [00010601] * RD COMPARE * port=1 adr=02 act=3EBD6BAC7CBCF915AF exp=3EBD6BAC7CBCF915AF + 10599.50ns INFO [00010601] Port=0 WR @02=F035A10E466349E372 + 10599.50ns INFO [00010601] Port=1 RD @07 + 10600.50ns INFO [00010602] * RD COMPARE * port=1 adr=03 act=8D9A24FCCC4FA2C452 exp=8D9A24FCCC4FA2C452 + 10600.50ns INFO [00010602] Port=0 WR @04=20EF961E3F7F45DACE + 10600.50ns INFO [00010602] Port=1 RD @06 + 10601.50ns INFO [00010603] * RD COMPARE * port=1 adr=07 act=6BDB2B2B5843C51731 exp=6BDB2B2B5843C51731 + 10601.50ns INFO [00010603] Port=0 RD @05 + 10602.50ns INFO [00010604] * RD COMPARE * port=1 adr=06 act=5F34481884F505DA02 exp=5F34481884F505DA02 + 10602.50ns INFO [00010604] Port=0 WR @04=2EDE04ED1C27BBC4E7 + 10603.50ns INFO [00010605] * RD COMPARE * port=0 adr=05 act=9BDC64F9590723F511 exp=9BDC64F9590723F511 + 10604.50ns INFO [00010606] Port=0 WR @07=EE42C67B0C3731E564 + 10604.50ns INFO [00010606] Port=0 RD @00 + 10606.50ns INFO [00010608] * RD COMPARE * port=0 adr=00 act=F12F68E49EB9C719AC exp=F12F68E49EB9C719AC + 10606.50ns INFO [00010608] Port=0 WR @00=73AD45E8C1443A0A15 + 10606.50ns INFO [00010608] Port=0 RD @06 + 10606.50ns INFO [00010608] Port=1 RD @01 + 10607.50ns INFO [00010609] Port=0 WR @07=36C6E39900CD190CCA + 10608.50ns INFO [00010610] * RD COMPARE * port=0 adr=06 act=5F34481884F505DA02 exp=5F34481884F505DA02 + 10608.50ns INFO [00010610] * RD COMPARE * port=1 adr=01 act=F20D6EB393D62FC707 exp=F20D6EB393D62FC707 + 10608.50ns INFO [00010610] Port=0 WR @06=83709EE10E4221235A + 10609.50ns INFO [00010611] Port=0 WR @07=A69AC090F322C8D336 + 10609.50ns INFO [00010611] Port=1 RD @05 + 10611.50ns INFO [00010613] * RD COMPARE * port=1 adr=05 act=9BDC64F9590723F511 exp=9BDC64F9590723F511 + 10613.50ns INFO [00010615] Port=1 RD @03 + 10614.50ns INFO [00010616] Port=0 RD @05 + 10614.50ns INFO [00010616] Port=1 RD @05 + 10615.50ns INFO [00010617] * RD COMPARE * port=1 adr=03 act=8D9A24FCCC4FA2C452 exp=8D9A24FCCC4FA2C452 + 10615.50ns INFO [00010617] Port=1 RD @00 + 10616.50ns INFO [00010618] * RD COMPARE * port=0 adr=05 act=9BDC64F9590723F511 exp=9BDC64F9590723F511 + 10616.50ns INFO [00010618] * RD COMPARE * port=1 adr=05 act=9BDC64F9590723F511 exp=9BDC64F9590723F511 + 10616.50ns INFO [00010618] Port=0 WR @00=93FEB0D7D47DB55BE5 + 10617.50ns INFO [00010619] * RD COMPARE * port=1 adr=00 act=73AD45E8C1443A0A15 exp=73AD45E8C1443A0A15 + 10618.50ns INFO [00010620] Port=0 RD @06 + 10618.50ns INFO [00010620] Port=1 RD @01 + 10619.50ns INFO [00010621] Port=0 WR @00=E865EE090766C072B1 + 10620.50ns INFO [00010622] * RD COMPARE * port=0 adr=06 act=83709EE10E4221235A exp=83709EE10E4221235A + 10620.50ns INFO [00010622] * RD COMPARE * port=1 adr=01 act=F20D6EB393D62FC707 exp=F20D6EB393D62FC707 + 10620.50ns INFO [00010622] Port=0 WR @05=7D8351E7A25788D87E + 10621.50ns INFO [00010623] Port=1 RD @07 + 10622.50ns INFO [00010624] Port=0 RD @04 + 10623.50ns INFO [00010625] * RD COMPARE * port=1 adr=07 act=A69AC090F322C8D336 exp=A69AC090F322C8D336 + 10623.50ns INFO [00010625] Port=1 RD @07 + 10624.50ns INFO [00010626] * RD COMPARE * port=0 adr=04 act=2EDE04ED1C27BBC4E7 exp=2EDE04ED1C27BBC4E7 + 10624.50ns INFO [00010626] Port=0 WR @04=9523640B155C2FFB30 + 10625.50ns INFO [00010627] * RD COMPARE * port=1 adr=07 act=A69AC090F322C8D336 exp=A69AC090F322C8D336 + 10626.50ns INFO [00010628] Port=0 RD @02 + 10626.50ns INFO [00010628] Port=1 RD @03 + 10628.50ns INFO [00010630] * RD COMPARE * port=0 adr=02 act=F035A10E466349E372 exp=F035A10E466349E372 + 10628.50ns INFO [00010630] * RD COMPARE * port=1 adr=03 act=8D9A24FCCC4FA2C452 exp=8D9A24FCCC4FA2C452 + 10628.50ns INFO [00010630] Port=0 RD @02 + 10628.50ns INFO [00010630] Port=1 RD @05 + 10629.50ns INFO [00010631] Port=0 RD @04 + 10630.50ns INFO [00010632] * RD COMPARE * port=0 adr=02 act=F035A10E466349E372 exp=F035A10E466349E372 + 10630.50ns INFO [00010632] * RD COMPARE * port=1 adr=05 act=7D8351E7A25788D87E exp=7D8351E7A25788D87E + 10630.50ns INFO [00010632] Port=0 RD @01 + 10631.50ns INFO [00010633] * RD COMPARE * port=0 adr=04 act=9523640B155C2FFB30 exp=9523640B155C2FFB30 + 10631.50ns INFO [00010633] Port=0 WR @05=A522DB21EEE06DFCB5 + 10631.50ns INFO [00010633] Port=1 RD @00 + 10632.50ns INFO [00010634] * RD COMPARE * port=0 adr=01 act=F20D6EB393D62FC707 exp=F20D6EB393D62FC707 + 10633.50ns INFO [00010635] * RD COMPARE * port=1 adr=00 act=E865EE090766C072B1 exp=E865EE090766C072B1 + 10633.50ns INFO [00010635] Port=0 RD @07 + 10635.50ns INFO [00010637] * RD COMPARE * port=0 adr=07 act=A69AC090F322C8D336 exp=A69AC090F322C8D336 + 10635.50ns INFO [00010637] Port=0 WR @02=0CF9596363D41B9155 + 10636.50ns INFO [00010638] Port=0 RD @05 + 10638.50ns INFO [00010640] * RD COMPARE * port=0 adr=05 act=A522DB21EEE06DFCB5 exp=A522DB21EEE06DFCB5 + 10638.50ns INFO [00010640] Port=0 WR @07=E251938CC6DDC26A3B + 10638.50ns INFO [00010640] Port=0 RD @04 + 10638.50ns INFO [00010640] Port=1 RD @05 + 10639.50ns INFO [00010641] Port=0 WR @03=1C829EBC3F88AB875B + 10640.50ns INFO [00010642] * RD COMPARE * port=0 adr=04 act=9523640B155C2FFB30 exp=9523640B155C2FFB30 + 10640.50ns INFO [00010642] * RD COMPARE * port=1 adr=05 act=A522DB21EEE06DFCB5 exp=A522DB21EEE06DFCB5 + 10640.50ns INFO [00010642] Port=0 RD @07 + 10641.50ns INFO [00010643] Port=0 WR @01=FDD537D6301B0C6738 + 10641.50ns INFO [00010643] Port=0 RD @07 + 10642.50ns INFO [00010644] * RD COMPARE * port=0 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10642.50ns INFO [00010644] Port=0 WR @06=BCC6F088B89D832B99 + 10642.50ns INFO [00010644] Port=1 RD @05 + 10643.50ns INFO [00010645] * RD COMPARE * port=0 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10643.50ns INFO [00010645] Port=0 RD @04 + 10644.50ns INFO [00010646] * RD COMPARE * port=1 adr=05 act=A522DB21EEE06DFCB5 exp=A522DB21EEE06DFCB5 + 10644.50ns INFO [00010646] Port=1 RD @01 + 10645.50ns INFO [00010647] * RD COMPARE * port=0 adr=04 act=9523640B155C2FFB30 exp=9523640B155C2FFB30 + 10645.50ns INFO [00010647] Port=0 WR @00=A866C7DF3DA9C0C2F8 + 10645.50ns INFO [00010647] Port=0 RD @01 + 10645.50ns INFO [00010647] Port=1 RD @04 + 10646.50ns INFO [00010648] * RD COMPARE * port=1 adr=01 act=FDD537D6301B0C6738 exp=FDD537D6301B0C6738 + 10646.50ns INFO [00010648] Port=0 WR @04=C858B9719FA223DF51 + 10646.50ns INFO [00010648] Port=1 RD @07 + 10647.50ns INFO [00010649] * RD COMPARE * port=0 adr=01 act=FDD537D6301B0C6738 exp=FDD537D6301B0C6738 + 10647.50ns INFO [00010649] * RD COMPARE * port=1 adr=04 act=9523640B155C2FFB30 exp=9523640B155C2FFB30 + 10648.50ns INFO [00010650] * RD COMPARE * port=1 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10648.50ns INFO [00010650] Port=0 WR @01=CF5A9F30C620694D64 + 10648.50ns INFO [00010650] Port=1 RD @07 + 10650.50ns INFO [00010652] * RD COMPARE * port=1 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10650.50ns INFO [00010652] Port=0 WR @04=B93853AADE64CAFE49 + 10650.50ns INFO [00010652] Port=0 RD @01 + 10650.50ns INFO [00010652] Port=1 RD @00 + 10652.50ns INFO [00010654] * RD COMPARE * port=0 adr=01 act=CF5A9F30C620694D64 exp=CF5A9F30C620694D64 + 10652.50ns INFO [00010654] * RD COMPARE * port=1 adr=00 act=A866C7DF3DA9C0C2F8 exp=A866C7DF3DA9C0C2F8 + 10652.50ns INFO [00010654] Port=0 WR @02=454828D72B4E7E0D3D + 10652.50ns INFO [00010654] Port=0 RD @06 + 10654.50ns INFO [00010656] * RD COMPARE * port=0 adr=06 act=BCC6F088B89D832B99 exp=BCC6F088B89D832B99 + 10654.50ns INFO [00010656] Port=0 RD @06 + 10654.50ns INFO [00010656] Port=1 RD @03 + 10655.50ns INFO [00010657] Port=0 WR @06=E0BA68AF3BF98800EE + 10656.50ns INFO [00010658] * RD COMPARE * port=0 adr=06 act=BCC6F088B89D832B99 exp=BCC6F088B89D832B99 + 10656.50ns INFO [00010658] * RD COMPARE * port=1 adr=03 act=1C829EBC3F88AB875B exp=1C829EBC3F88AB875B + 10656.50ns INFO [00010658] Port=0 WR @06=1909AED4AA261F21A5 + 10657.50ns INFO [00010659] Port=1 RD @04 + 10658.50ns INFO [00010660] Port=0 RD @00 + 10658.50ns INFO [00010660] Port=1 RD @02 + 10659.50ns INFO [00010661] * RD COMPARE * port=1 adr=04 act=B93853AADE64CAFE49 exp=B93853AADE64CAFE49 + 10659.50ns INFO [00010661] Port=0 WR @04=B320A1548A8EDDDA44 + 10659.50ns INFO [00010661] Port=1 RD @00 + 10660.50ns INFO [00010662] * RD COMPARE * port=0 adr=00 act=A866C7DF3DA9C0C2F8 exp=A866C7DF3DA9C0C2F8 + 10660.50ns INFO [00010662] * RD COMPARE * port=1 adr=02 act=454828D72B4E7E0D3D exp=454828D72B4E7E0D3D + 10660.50ns INFO [00010662] Port=0 WR @04=6DE29ED0C6677F3114 + 10660.50ns INFO [00010662] Port=0 RD @07 + 10660.50ns INFO [00010662] Port=1 RD @03 + 10661.50ns INFO [00010663] * RD COMPARE * port=1 adr=00 act=A866C7DF3DA9C0C2F8 exp=A866C7DF3DA9C0C2F8 + 10661.50ns INFO [00010663] Port=0 WR @01=70392CB3CF1E83EDC9 + 10661.50ns INFO [00010663] Port=0 RD @06 + 10662.50ns INFO [00010664] * RD COMPARE * port=0 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10662.50ns INFO [00010664] * RD COMPARE * port=1 adr=03 act=1C829EBC3F88AB875B exp=1C829EBC3F88AB875B + 10662.50ns INFO [00010664] Port=0 WR @04=26E348709E08ACA61C + 10662.50ns INFO [00010664] Port=0 RD @07 + 10662.50ns INFO [00010664] Port=1 RD @02 + 10663.50ns INFO [00010665] * RD COMPARE * port=0 adr=06 act=1909AED4AA261F21A5 exp=1909AED4AA261F21A5 + 10663.50ns INFO [00010665] Port=0 WR @06=A916D9F9EFD19A4979 + 10664.50ns INFO [00010666] * RD COMPARE * port=0 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10664.50ns INFO [00010666] * RD COMPARE * port=1 adr=02 act=454828D72B4E7E0D3D exp=454828D72B4E7E0D3D + 10664.50ns INFO [00010666] Port=0 WR @02=F486CA44A7F6ABFFC2 + 10664.50ns INFO [00010666] Port=1 RD @01 + 10665.50ns INFO [00010667] Port=0 WR @03=622BBF371D08401A4A + 10666.50ns INFO [00010668] * RD COMPARE * port=1 adr=01 act=70392CB3CF1E83EDC9 exp=70392CB3CF1E83EDC9 + 10667.50ns INFO [00010669] Port=0 RD @05 + 10667.50ns INFO [00010669] Port=1 RD @00 + 10669.50ns INFO [00010671] * RD COMPARE * port=0 adr=05 act=A522DB21EEE06DFCB5 exp=A522DB21EEE06DFCB5 + 10669.50ns INFO [00010671] * RD COMPARE * port=1 adr=00 act=A866C7DF3DA9C0C2F8 exp=A866C7DF3DA9C0C2F8 + 10669.50ns INFO [00010671] Port=1 RD @05 + 10671.50ns INFO [00010673] * RD COMPARE * port=1 adr=05 act=A522DB21EEE06DFCB5 exp=A522DB21EEE06DFCB5 + 10672.50ns INFO [00010674] Port=0 RD @05 + 10673.50ns INFO [00010675] Port=0 WR @04=66D90ED843D575F882 + 10674.50ns INFO [00010676] * RD COMPARE * port=0 adr=05 act=A522DB21EEE06DFCB5 exp=A522DB21EEE06DFCB5 + 10674.50ns INFO [00010676] Port=0 WR @04=631A640C6EEB382368 + 10674.50ns INFO [00010676] Port=0 RD @03 + 10675.50ns INFO [00010677] Port=1 RD @02 + 10676.50ns INFO [00010678] * RD COMPARE * port=0 adr=03 act=622BBF371D08401A4A exp=622BBF371D08401A4A + 10676.50ns INFO [00010678] Port=0 RD @03 + 10677.50ns INFO [00010679] * RD COMPARE * port=1 adr=02 act=F486CA44A7F6ABFFC2 exp=F486CA44A7F6ABFFC2 + 10678.50ns INFO [00010680] * RD COMPARE * port=0 adr=03 act=622BBF371D08401A4A exp=622BBF371D08401A4A + 10678.50ns INFO [00010680] Port=0 RD @06 + 10679.50ns INFO [00010681] Port=0 WR @02=ADB282140BE860D63E + 10679.50ns INFO [00010681] Port=0 RD @05 + 10680.50ns INFO [00010682] * RD COMPARE * port=0 adr=06 act=A916D9F9EFD19A4979 exp=A916D9F9EFD19A4979 + 10680.50ns INFO [00010682] Port=0 WR @03=917F74CEE6914BC018 + 10680.50ns INFO [00010682] Port=0 RD @07 + 10681.50ns INFO [00010683] * RD COMPARE * port=0 adr=05 act=A522DB21EEE06DFCB5 exp=A522DB21EEE06DFCB5 + 10681.50ns INFO [00010683] Port=0 RD @01 + 10681.50ns INFO [00010683] Port=1 RD @07 + 10682.50ns INFO [00010684] * RD COMPARE * port=0 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10682.50ns INFO [00010684] Port=0 WR @05=5F21659789D20130B6 + 10683.50ns INFO [00010685] * RD COMPARE * port=0 adr=01 act=70392CB3CF1E83EDC9 exp=70392CB3CF1E83EDC9 + 10683.50ns INFO [00010685] * RD COMPARE * port=1 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10683.50ns INFO [00010685] Port=0 WR @04=3C9474BB5CE181AD9F + 10683.50ns INFO [00010685] Port=1 RD @01 + 10684.50ns INFO [00010686] Port=1 RD @04 + 10685.50ns INFO [00010687] * RD COMPARE * port=1 adr=01 act=70392CB3CF1E83EDC9 exp=70392CB3CF1E83EDC9 + 10685.50ns INFO [00010687] Port=0 RD @03 + 10685.50ns INFO [00010687] Port=1 RD @05 + 10686.50ns INFO [00010688] * RD COMPARE * port=1 adr=04 act=3C9474BB5CE181AD9F exp=3C9474BB5CE181AD9F + 10686.50ns INFO [00010688] Port=0 RD @07 + 10687.50ns INFO [00010689] * RD COMPARE * port=0 adr=03 act=917F74CEE6914BC018 exp=917F74CEE6914BC018 + 10687.50ns INFO [00010689] * RD COMPARE * port=1 adr=05 act=5F21659789D20130B6 exp=5F21659789D20130B6 + 10687.50ns INFO [00010689] Port=0 RD @00 + 10688.50ns INFO [00010690] * RD COMPARE * port=0 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10688.50ns INFO [00010690] Port=0 WR @00=7864900170739DD56D + 10688.50ns INFO [00010690] Port=0 RD @05 + 10689.50ns INFO [00010691] * RD COMPARE * port=0 adr=00 act=A866C7DF3DA9C0C2F8 exp=A866C7DF3DA9C0C2F8 + 10689.50ns INFO [00010691] Port=0 WR @04=40818ADAAD97C9C000 + 10689.50ns INFO [00010691] Port=1 RD @07 + 10690.50ns INFO [00010692] * RD COMPARE * port=0 adr=05 act=5F21659789D20130B6 exp=5F21659789D20130B6 + 10691.50ns INFO [00010693] * RD COMPARE * port=1 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10692.50ns INFO [00010694] Port=1 RD @07 + 10693.50ns INFO [00010695] Port=0 WR @06=19AAD9A870A7BA8BCE + 10694.50ns INFO [00010696] * RD COMPARE * port=1 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10694.50ns INFO [00010696] Port=1 RD @01 + 10695.50ns INFO [00010697] Port=0 WR @06=C7BA1BA67B6C8563DB + 10695.50ns INFO [00010697] Port=0 RD @00 + 10696.50ns INFO [00010698] * RD COMPARE * port=1 adr=01 act=70392CB3CF1E83EDC9 exp=70392CB3CF1E83EDC9 + 10696.50ns INFO [00010698] Port=0 WR @02=9FE6C1E381746AC40A + 10696.50ns INFO [00010698] Port=0 RD @01 + 10697.50ns INFO [00010699] * RD COMPARE * port=0 adr=00 act=7864900170739DD56D exp=7864900170739DD56D + 10697.50ns INFO [00010699] Port=1 RD @02 + 10698.00ns INFO [00010700] [00010700] ...tick... + 10698.50ns INFO [00010700] * RD COMPARE * port=0 adr=01 act=70392CB3CF1E83EDC9 exp=70392CB3CF1E83EDC9 + 10698.50ns INFO [00010700] Port=0 RD @01 + 10699.50ns INFO [00010701] * RD COMPARE * port=1 adr=02 act=9FE6C1E381746AC40A exp=9FE6C1E381746AC40A + 10699.50ns INFO [00010701] Port=0 WR @04=7FF831598E419CE167 + 10700.50ns INFO [00010702] * RD COMPARE * port=0 adr=01 act=70392CB3CF1E83EDC9 exp=70392CB3CF1E83EDC9 + 10700.50ns INFO [00010702] Port=0 WR @04=DB32C7BFA3544B6676 + 10700.50ns INFO [00010702] Port=0 RD @05 + 10700.50ns INFO [00010702] Port=1 RD @07 + 10702.50ns INFO [00010704] * RD COMPARE * port=0 adr=05 act=5F21659789D20130B6 exp=5F21659789D20130B6 + 10702.50ns INFO [00010704] * RD COMPARE * port=1 adr=07 act=E251938CC6DDC26A3B exp=E251938CC6DDC26A3B + 10702.50ns INFO [00010704] Port=0 WR @07=582D79ECD55F24DDFB + 10702.50ns INFO [00010704] Port=1 RD @00 + 10703.50ns INFO [00010705] Port=0 WR @05=77CA5E90C5D3DBF58E + 10703.50ns INFO [00010705] Port=0 RD @07 + 10704.50ns INFO [00010706] * RD COMPARE * port=1 adr=00 act=7864900170739DD56D exp=7864900170739DD56D + 10704.50ns INFO [00010706] Port=0 RD @07 + 10705.50ns INFO [00010707] * RD COMPARE * port=0 adr=07 act=582D79ECD55F24DDFB exp=582D79ECD55F24DDFB + 10706.50ns INFO [00010708] * RD COMPARE * port=0 adr=07 act=582D79ECD55F24DDFB exp=582D79ECD55F24DDFB + 10706.50ns INFO [00010708] Port=0 RD @03 + 10708.50ns INFO [00010710] * RD COMPARE * port=0 adr=03 act=917F74CEE6914BC018 exp=917F74CEE6914BC018 + 10708.50ns INFO [00010710] Port=0 WR @05=7B2CF59A8AF13FFEFC + 10711.50ns INFO [00010713] Port=0 RD @02 + 10712.50ns INFO [00010714] Port=0 RD @06 + 10712.50ns INFO [00010714] Port=1 RD @04 + 10713.50ns INFO [00010715] * RD COMPARE * port=0 adr=02 act=9FE6C1E381746AC40A exp=9FE6C1E381746AC40A + 10714.50ns INFO [00010716] * RD COMPARE * port=0 adr=06 act=C7BA1BA67B6C8563DB exp=C7BA1BA67B6C8563DB + 10714.50ns INFO [00010716] * RD COMPARE * port=1 adr=04 act=DB32C7BFA3544B6676 exp=DB32C7BFA3544B6676 + 10714.50ns INFO [00010716] Port=0 WR @04=F650B5EE8B8D4D1534 + 10715.50ns INFO [00010717] Port=0 RD @07 + 10716.50ns INFO [00010718] Port=0 WR @00=E8D8FA2D482D7D5D72 + 10717.50ns INFO [00010719] * RD COMPARE * port=0 adr=07 act=582D79ECD55F24DDFB exp=582D79ECD55F24DDFB + 10717.50ns INFO [00010719] Port=0 RD @02 + 10718.50ns INFO [00010720] Port=1 RD @02 + 10719.50ns INFO [00010721] * RD COMPARE * port=0 adr=02 act=9FE6C1E381746AC40A exp=9FE6C1E381746AC40A + 10719.50ns INFO [00010721] Port=0 WR @05=49C2027E55EBFE8507 + 10719.50ns INFO [00010721] Port=0 RD @04 + 10720.50ns INFO [00010722] * RD COMPARE * port=1 adr=02 act=9FE6C1E381746AC40A exp=9FE6C1E381746AC40A + 10721.50ns INFO [00010723] * RD COMPARE * port=0 adr=04 act=F650B5EE8B8D4D1534 exp=F650B5EE8B8D4D1534 + 10721.50ns INFO [00010723] Port=1 RD @04 + 10723.50ns INFO [00010725] * RD COMPARE * port=1 adr=04 act=F650B5EE8B8D4D1534 exp=F650B5EE8B8D4D1534 + 10723.50ns INFO [00010725] Port=0 RD @01 + 10724.50ns INFO [00010726] Port=0 RD @03 + 10725.50ns INFO [00010727] * RD COMPARE * port=0 adr=01 act=70392CB3CF1E83EDC9 exp=70392CB3CF1E83EDC9 + 10725.50ns INFO [00010727] Port=1 RD @03 + 10726.50ns INFO [00010728] * RD COMPARE * port=0 adr=03 act=917F74CEE6914BC018 exp=917F74CEE6914BC018 + 10726.50ns INFO [00010728] Port=1 RD @03 + 10727.50ns INFO [00010729] * RD COMPARE * port=1 adr=03 act=917F74CEE6914BC018 exp=917F74CEE6914BC018 + 10728.50ns INFO [00010730] * RD COMPARE * port=1 adr=03 act=917F74CEE6914BC018 exp=917F74CEE6914BC018 + 10728.50ns INFO [00010730] Port=0 RD @06 + 10730.50ns INFO [00010732] * RD COMPARE * port=0 adr=06 act=C7BA1BA67B6C8563DB exp=C7BA1BA67B6C8563DB + 10730.50ns INFO [00010732] Port=0 RD @00 + 10732.50ns INFO [00010734] * RD COMPARE * port=0 adr=00 act=E8D8FA2D482D7D5D72 exp=E8D8FA2D482D7D5D72 + 10732.50ns INFO [00010734] Port=0 WR @01=BA10FF644B4ED0B8D2 + 10732.50ns INFO [00010734] Port=1 RD @02 + 10734.50ns INFO [00010736] * RD COMPARE * port=1 adr=02 act=9FE6C1E381746AC40A exp=9FE6C1E381746AC40A + 10734.50ns INFO [00010736] Port=0 RD @03 + 10735.50ns INFO [00010737] Port=1 RD @03 + 10736.50ns INFO [00010738] * RD COMPARE * port=0 adr=03 act=917F74CEE6914BC018 exp=917F74CEE6914BC018 + 10736.50ns INFO [00010738] Port=0 WR @00=3D89DD98509A416122 + 10736.50ns INFO [00010738] Port=1 RD @04 + 10737.50ns INFO [00010739] * RD COMPARE * port=1 adr=03 act=917F74CEE6914BC018 exp=917F74CEE6914BC018 + 10737.50ns INFO [00010739] Port=0 RD @03 + 10738.50ns INFO [00010740] * RD COMPARE * port=1 adr=04 act=F650B5EE8B8D4D1534 exp=F650B5EE8B8D4D1534 + 10738.50ns INFO [00010740] Port=0 RD @07 + 10739.50ns INFO [00010741] * RD COMPARE * port=0 adr=03 act=917F74CEE6914BC018 exp=917F74CEE6914BC018 + 10739.50ns INFO [00010741] Port=0 WR @07=11E535ABEC0AE29FFC + 10739.50ns INFO [00010741] Port=0 RD @06 + 10739.50ns INFO [00010741] Port=1 RD @03 + 10740.50ns INFO [00010742] * RD COMPARE * port=0 adr=07 act=582D79ECD55F24DDFB exp=582D79ECD55F24DDFB + 10741.50ns INFO [00010743] * RD COMPARE * port=0 adr=06 act=C7BA1BA67B6C8563DB exp=C7BA1BA67B6C8563DB + 10741.50ns INFO [00010743] * RD COMPARE * port=1 adr=03 act=917F74CEE6914BC018 exp=917F74CEE6914BC018 + 10741.50ns INFO [00010743] Port=0 RD @07 + 10741.50ns INFO [00010743] Port=1 RD @04 + 10743.50ns INFO [00010745] * RD COMPARE * port=0 adr=07 act=11E535ABEC0AE29FFC exp=11E535ABEC0AE29FFC + 10743.50ns INFO [00010745] * RD COMPARE * port=1 adr=04 act=F650B5EE8B8D4D1534 exp=F650B5EE8B8D4D1534 + 10743.50ns INFO [00010745] Port=0 WR @06=E31D57FFC35C200F6A + 10743.50ns INFO [00010745] Port=1 RD @07 + 10744.50ns INFO [00010746] Port=0 WR @05=F6D9AA00AAE64A0FA3 + 10744.50ns INFO [00010746] Port=0 RD @07 + 10745.50ns INFO [00010747] * RD COMPARE * port=1 adr=07 act=11E535ABEC0AE29FFC exp=11E535ABEC0AE29FFC + 10745.50ns INFO [00010747] Port=1 RD @00 + 10746.50ns INFO [00010748] * RD COMPARE * port=0 adr=07 act=11E535ABEC0AE29FFC exp=11E535ABEC0AE29FFC + 10746.50ns INFO [00010748] Port=0 WR @06=F1B682708F3CD64DF2 + 10747.50ns INFO [00010749] * RD COMPARE * port=1 adr=00 act=3D89DD98509A416122 exp=3D89DD98509A416122 + 10749.50ns INFO [00010751] Port=1 RD @03 + 10750.50ns INFO [00010752] Port=0 WR @03=AA16EAF6A95983A42C + 10750.50ns INFO [00010752] Port=0 RD @00 + 10751.50ns INFO [00010753] * RD COMPARE * port=1 adr=03 act=917F74CEE6914BC018 exp=917F74CEE6914BC018 + 10751.50ns INFO [00010753] Port=0 RD @05 + 10752.50ns INFO [00010754] * RD COMPARE * port=0 adr=00 act=3D89DD98509A416122 exp=3D89DD98509A416122 + 10752.50ns INFO [00010754] Port=0 RD @06 + 10752.50ns INFO [00010754] Port=1 RD @00 + 10753.50ns INFO [00010755] * RD COMPARE * port=0 adr=05 act=F6D9AA00AAE64A0FA3 exp=F6D9AA00AAE64A0FA3 + 10753.50ns INFO [00010755] Port=0 WR @04=FA8854E18C1C476461 + 10754.50ns INFO [00010756] * RD COMPARE * port=0 adr=06 act=F1B682708F3CD64DF2 exp=F1B682708F3CD64DF2 + 10754.50ns INFO [00010756] * RD COMPARE * port=1 adr=00 act=3D89DD98509A416122 exp=3D89DD98509A416122 + 10754.50ns INFO [00010756] Port=0 WR @07=7D53F68C3AAC3B041E + 10755.50ns INFO [00010757] Port=0 RD @04 + 10755.50ns INFO [00010757] Port=1 RD @03 + 10756.50ns INFO [00010758] Port=1 RD @00 + 10757.50ns INFO [00010759] * RD COMPARE * port=0 adr=04 act=FA8854E18C1C476461 exp=FA8854E18C1C476461 + 10757.50ns INFO [00010759] * RD COMPARE * port=1 adr=03 act=AA16EAF6A95983A42C exp=AA16EAF6A95983A42C + 10757.50ns INFO [00010759] Port=1 RD @03 + 10758.50ns INFO [00010760] * RD COMPARE * port=1 adr=00 act=3D89DD98509A416122 exp=3D89DD98509A416122 + 10758.50ns INFO [00010760] Port=1 RD @04 + 10759.50ns INFO [00010761] * RD COMPARE * port=1 adr=03 act=AA16EAF6A95983A42C exp=AA16EAF6A95983A42C + 10759.50ns INFO [00010761] Port=0 RD @04 + 10759.50ns INFO [00010761] Port=1 RD @04 + 10760.50ns INFO [00010762] * RD COMPARE * port=1 adr=04 act=FA8854E18C1C476461 exp=FA8854E18C1C476461 + 10761.50ns INFO [00010763] * RD COMPARE * port=0 adr=04 act=FA8854E18C1C476461 exp=FA8854E18C1C476461 + 10761.50ns INFO [00010763] * RD COMPARE * port=1 adr=04 act=FA8854E18C1C476461 exp=FA8854E18C1C476461 + 10761.50ns INFO [00010763] Port=0 RD @01 + 10761.50ns INFO [00010763] Port=1 RD @06 + 10762.50ns INFO [00010764] Port=0 WR @03=204A6377A70F17594A + 10763.50ns INFO [00010765] * RD COMPARE * port=0 adr=01 act=BA10FF644B4ED0B8D2 exp=BA10FF644B4ED0B8D2 + 10763.50ns INFO [00010765] * RD COMPARE * port=1 adr=06 act=F1B682708F3CD64DF2 exp=F1B682708F3CD64DF2 + 10763.50ns INFO [00010765] Port=0 WR @01=E1B879A5B81026EF24 + 10764.50ns INFO [00010766] Port=0 RD @03 + 10765.50ns INFO [00010767] Port=0 RD @07 + 10765.50ns INFO [00010767] Port=1 RD @07 + 10766.50ns INFO [00010768] * RD COMPARE * port=0 adr=03 act=204A6377A70F17594A exp=204A6377A70F17594A + 10766.50ns INFO [00010768] Port=0 RD @02 + 10767.50ns INFO [00010769] * RD COMPARE * port=0 adr=07 act=7D53F68C3AAC3B041E exp=7D53F68C3AAC3B041E + 10767.50ns INFO [00010769] * RD COMPARE * port=1 adr=07 act=7D53F68C3AAC3B041E exp=7D53F68C3AAC3B041E + 10767.50ns INFO [00010769] Port=1 RD @05 + 10768.50ns INFO [00010770] * RD COMPARE * port=0 adr=02 act=9FE6C1E381746AC40A exp=9FE6C1E381746AC40A + 10768.50ns INFO [00010770] Port=0 WR @02=7D1A08EA5F0DF97B82 + 10768.50ns INFO [00010770] Port=1 RD @04 + 10769.50ns INFO [00010771] * RD COMPARE * port=1 adr=05 act=F6D9AA00AAE64A0FA3 exp=F6D9AA00AAE64A0FA3 + 10769.50ns INFO [00010771] Port=1 RD @01 + 10770.50ns INFO [00010772] * RD COMPARE * port=1 adr=04 act=FA8854E18C1C476461 exp=FA8854E18C1C476461 + 10771.50ns INFO [00010773] * RD COMPARE * port=1 adr=01 act=E1B879A5B81026EF24 exp=E1B879A5B81026EF24 + 10771.50ns INFO [00010773] Port=0 WR @03=41E20C5A4A70CBC92C + 10771.50ns INFO [00010773] Port=0 RD @01 + 10771.50ns INFO [00010773] Port=1 RD @02 + 10773.50ns INFO [00010775] * RD COMPARE * port=0 adr=01 act=E1B879A5B81026EF24 exp=E1B879A5B81026EF24 + 10773.50ns INFO [00010775] * RD COMPARE * port=1 adr=02 act=7D1A08EA5F0DF97B82 exp=7D1A08EA5F0DF97B82 + 10773.50ns INFO [00010775] Port=1 RD @02 + 10774.50ns INFO [00010776] Port=0 RD @03 + 10774.50ns INFO [00010776] Port=1 RD @04 + 10775.50ns INFO [00010777] * RD COMPARE * port=1 adr=02 act=7D1A08EA5F0DF97B82 exp=7D1A08EA5F0DF97B82 + 10775.50ns INFO [00010777] Port=0 WR @04=879B08C41585376286 + 10776.50ns INFO [00010778] * RD COMPARE * port=0 adr=03 act=41E20C5A4A70CBC92C exp=41E20C5A4A70CBC92C + 10776.50ns INFO [00010778] * RD COMPARE * port=1 adr=04 act=FA8854E18C1C476461 exp=FA8854E18C1C476461 + 10776.50ns INFO [00010778] Port=0 RD @02 + 10776.50ns INFO [00010778] Port=1 RD @04 + 10777.50ns INFO [00010779] Port=0 WR @01=0413CC1B386F717379 + 10778.50ns INFO [00010780] * RD COMPARE * port=0 adr=02 act=7D1A08EA5F0DF97B82 exp=7D1A08EA5F0DF97B82 + 10778.50ns INFO [00010780] * RD COMPARE * port=1 adr=04 act=879B08C41585376286 exp=879B08C41585376286 + 10778.50ns INFO [00010780] Port=0 WR @00=54107A3C450F68F5C3 + 10778.50ns INFO [00010780] Port=0 RD @06 + 10779.50ns INFO [00010781] Port=0 RD @02 + 10780.50ns INFO [00010782] * RD COMPARE * port=0 adr=06 act=F1B682708F3CD64DF2 exp=F1B682708F3CD64DF2 + 10781.50ns INFO [00010783] * RD COMPARE * port=0 adr=02 act=7D1A08EA5F0DF97B82 exp=7D1A08EA5F0DF97B82 + 10782.50ns INFO [00010784] Port=0 WR @07=6C472CBD788F61A0F6 + 10782.50ns INFO [00010784] Port=0 RD @06 + 10783.50ns INFO [00010785] Port=0 RD @02 + 10784.50ns INFO [00010786] * RD COMPARE * port=0 adr=06 act=F1B682708F3CD64DF2 exp=F1B682708F3CD64DF2 + 10784.50ns INFO [00010786] Port=0 WR @05=26196EEDA7258CB5E5 + 10785.50ns INFO [00010787] * RD COMPARE * port=0 adr=02 act=7D1A08EA5F0DF97B82 exp=7D1A08EA5F0DF97B82 + 10785.50ns INFO [00010787] Port=0 WR @01=AC937FC96F4EDBBBFB + 10786.50ns INFO [00010788] Port=0 WR @07=4E8D0A9DC74D9F9B0C + 10786.50ns INFO [00010788] Port=0 RD @00 + 10786.50ns INFO [00010788] Port=1 RD @00 + 10787.50ns INFO [00010789] Port=0 RD @03 + 10788.50ns INFO [00010790] * RD COMPARE * port=0 adr=00 act=54107A3C450F68F5C3 exp=54107A3C450F68F5C3 + 10788.50ns INFO [00010790] * RD COMPARE * port=1 adr=00 act=54107A3C450F68F5C3 exp=54107A3C450F68F5C3 + 10788.50ns INFO [00010790] Port=0 RD @04 + 10788.50ns INFO [00010790] Port=1 RD @03 + 10789.50ns INFO [00010791] * RD COMPARE * port=0 adr=03 act=41E20C5A4A70CBC92C exp=41E20C5A4A70CBC92C + 10789.50ns INFO [00010791] Port=1 RD @07 + 10790.50ns INFO [00010792] * RD COMPARE * port=0 adr=04 act=879B08C41585376286 exp=879B08C41585376286 + 10790.50ns INFO [00010792] * RD COMPARE * port=1 adr=03 act=41E20C5A4A70CBC92C exp=41E20C5A4A70CBC92C + 10790.50ns INFO [00010792] Port=0 WR @06=2F61EF968D4C6FCD84 + 10790.50ns INFO [00010792] Port=0 RD @02 + 10791.50ns INFO [00010793] * RD COMPARE * port=1 adr=07 act=4E8D0A9DC74D9F9B0C exp=4E8D0A9DC74D9F9B0C + 10791.50ns INFO [00010793] Port=0 RD @03 + 10791.50ns INFO [00010793] Port=1 RD @01 + 10792.50ns INFO [00010794] * RD COMPARE * port=0 adr=02 act=7D1A08EA5F0DF97B82 exp=7D1A08EA5F0DF97B82 + 10792.50ns INFO [00010794] Port=0 RD @04 + 10793.50ns INFO [00010795] * RD COMPARE * port=0 adr=03 act=41E20C5A4A70CBC92C exp=41E20C5A4A70CBC92C + 10793.50ns INFO [00010795] * RD COMPARE * port=1 adr=01 act=AC937FC96F4EDBBBFB exp=AC937FC96F4EDBBBFB + 10793.50ns INFO [00010795] Port=1 RD @04 + 10794.50ns INFO [00010796] * RD COMPARE * port=0 adr=04 act=879B08C41585376286 exp=879B08C41585376286 + 10794.50ns INFO [00010796] Port=0 WR @00=CE57A8E550E8BF2AB1 + 10794.50ns INFO [00010796] Port=0 RD @04 + 10795.50ns INFO [00010797] * RD COMPARE * port=1 adr=04 act=879B08C41585376286 exp=879B08C41585376286 + 10796.50ns INFO [00010798] * RD COMPARE * port=0 adr=04 act=879B08C41585376286 exp=879B08C41585376286 + 10797.50ns INFO [00010799] Port=0 WR @05=E9D1AB67C5FB606A71 + 10798.00ns INFO [00010800] [00010800] ...tick... + 10800.50ns INFO [00010802] Port=1 RD @05 + 10802.50ns INFO [00010804] * RD COMPARE * port=1 adr=05 act=E9D1AB67C5FB606A71 exp=E9D1AB67C5FB606A71 + 10803.50ns INFO [00010805] Port=0 RD @01 + 10803.50ns INFO [00010805] Port=1 RD @05 + 10805.50ns INFO [00010807] * RD COMPARE * port=0 adr=01 act=AC937FC96F4EDBBBFB exp=AC937FC96F4EDBBBFB + 10805.50ns INFO [00010807] * RD COMPARE * port=1 adr=05 act=E9D1AB67C5FB606A71 exp=E9D1AB67C5FB606A71 + 10805.50ns INFO [00010807] Port=0 RD @04 + 10806.50ns INFO [00010808] Port=0 WR @07=BD9AF089593599A518 + 10806.50ns INFO [00010808] Port=0 RD @01 + 10806.50ns INFO [00010808] Port=1 RD @03 + 10807.50ns INFO [00010809] * RD COMPARE * port=0 adr=04 act=879B08C41585376286 exp=879B08C41585376286 + 10807.50ns INFO [00010809] Port=0 RD @05 + 10807.50ns INFO [00010809] Port=1 RD @03 + 10808.50ns INFO [00010810] * RD COMPARE * port=0 adr=01 act=AC937FC96F4EDBBBFB exp=AC937FC96F4EDBBBFB + 10808.50ns INFO [00010810] * RD COMPARE * port=1 adr=03 act=41E20C5A4A70CBC92C exp=41E20C5A4A70CBC92C + 10808.50ns INFO [00010810] Port=0 RD @07 + 10808.50ns INFO [00010810] Port=1 RD @06 + 10809.50ns INFO [00010811] * RD COMPARE * port=0 adr=05 act=E9D1AB67C5FB606A71 exp=E9D1AB67C5FB606A71 + 10809.50ns INFO [00010811] * RD COMPARE * port=1 adr=03 act=41E20C5A4A70CBC92C exp=41E20C5A4A70CBC92C + 10809.50ns INFO [00010811] Port=0 WR @02=FC3E8C61AAF10F396B + 10810.50ns INFO [00010812] * RD COMPARE * port=0 adr=07 act=BD9AF089593599A518 exp=BD9AF089593599A518 + 10810.50ns INFO [00010812] * RD COMPARE * port=1 adr=06 act=2F61EF968D4C6FCD84 exp=2F61EF968D4C6FCD84 + 10810.50ns INFO [00010812] Port=0 WR @03=1A2B176AA96C85CB09 + 10810.50ns INFO [00010812] Port=1 RD @07 + 10812.50ns INFO [00010814] * RD COMPARE * port=1 adr=07 act=BD9AF089593599A518 exp=BD9AF089593599A518 + 10812.50ns INFO [00010814] Port=0 WR @06=E93E7E9C1AD9F847A8 + 10813.50ns INFO [00010815] Port=0 WR @02=FC9EFECF5E4349BBF3 + 10813.50ns INFO [00010815] Port=0 RD @06 + 10814.50ns INFO [00010816] Port=0 WR @07=086894A9B3EE7F3EE2 + 10814.50ns INFO [00010816] Port=1 RD @04 + 10815.50ns INFO [00010817] * RD COMPARE * port=0 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10815.50ns INFO [00010817] Port=0 WR @04=8E2F082C36B4B484AD + 10815.50ns INFO [00010817] Port=0 RD @06 + 10815.50ns INFO [00010817] Port=1 RD @02 + 10816.50ns INFO [00010818] * RD COMPARE * port=1 adr=04 act=879B08C41585376286 exp=879B08C41585376286 + 10817.50ns INFO [00010819] * RD COMPARE * port=0 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10817.50ns INFO [00010819] * RD COMPARE * port=1 adr=02 act=FC9EFECF5E4349BBF3 exp=FC9EFECF5E4349BBF3 + 10818.50ns INFO [00010820] Port=0 WR @03=5200344644598ADC39 + 10820.50ns INFO [00010822] Port=0 WR @03=A4F95DBE258A9F5B60 + 10821.50ns INFO [00010823] Port=0 WR @03=90DFCAE1F27F21B7C6 + 10822.50ns INFO [00010824] Port=0 RD @01 + 10823.50ns INFO [00010825] Port=0 WR @01=FDEACB0D2CFD068731 + 10823.50ns INFO [00010825] Port=0 RD @00 + 10824.50ns INFO [00010826] * RD COMPARE * port=0 adr=01 act=AC937FC96F4EDBBBFB exp=AC937FC96F4EDBBBFB + 10824.50ns INFO [00010826] Port=0 WR @00=EF8F77EC1588FE7261 + 10825.50ns INFO [00010827] * RD COMPARE * port=0 adr=00 act=CE57A8E550E8BF2AB1 exp=CE57A8E550E8BF2AB1 + 10825.50ns INFO [00010827] Port=1 RD @06 + 10827.50ns INFO [00010829] * RD COMPARE * port=1 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10827.50ns INFO [00010829] Port=1 RD @00 + 10828.50ns INFO [00010830] Port=0 WR @01=B8C0A618B8CCB748EA + 10829.50ns INFO [00010831] * RD COMPARE * port=1 adr=00 act=EF8F77EC1588FE7261 exp=EF8F77EC1588FE7261 + 10831.50ns INFO [00010833] Port=0 WR @07=C9169F448E47C2B9DE + 10831.50ns INFO [00010833] Port=0 RD @04 + 10832.50ns INFO [00010834] Port=1 RD @03 + 10833.50ns INFO [00010835] * RD COMPARE * port=0 adr=04 act=8E2F082C36B4B484AD exp=8E2F082C36B4B484AD + 10833.50ns INFO [00010835] Port=0 RD @02 + 10834.50ns INFO [00010836] * RD COMPARE * port=1 adr=03 act=90DFCAE1F27F21B7C6 exp=90DFCAE1F27F21B7C6 + 10834.50ns INFO [00010836] Port=0 WR @04=C0711EE3EED99DB22F + 10834.50ns INFO [00010836] Port=0 RD @05 + 10834.50ns INFO [00010836] Port=1 RD @03 + 10835.50ns INFO [00010837] * RD COMPARE * port=0 adr=02 act=FC9EFECF5E4349BBF3 exp=FC9EFECF5E4349BBF3 + 10835.50ns INFO [00010837] Port=0 WR @01=7E8405896D1BA702DA + 10835.50ns INFO [00010837] Port=1 RD @05 + 10836.50ns INFO [00010838] * RD COMPARE * port=0 adr=05 act=E9D1AB67C5FB606A71 exp=E9D1AB67C5FB606A71 + 10836.50ns INFO [00010838] * RD COMPARE * port=1 adr=03 act=90DFCAE1F27F21B7C6 exp=90DFCAE1F27F21B7C6 + 10836.50ns INFO [00010838] Port=0 RD @03 + 10837.50ns INFO [00010839] * RD COMPARE * port=1 adr=05 act=E9D1AB67C5FB606A71 exp=E9D1AB67C5FB606A71 + 10837.50ns INFO [00010839] Port=0 WR @04=F887BDA05C3C5CDCC0 + 10837.50ns INFO [00010839] Port=0 RD @03 + 10837.50ns INFO [00010839] Port=1 RD @05 + 10838.50ns INFO [00010840] * RD COMPARE * port=0 adr=03 act=90DFCAE1F27F21B7C6 exp=90DFCAE1F27F21B7C6 + 10838.50ns INFO [00010840] Port=0 WR @07=2B83D9B19087DF2692 + 10838.50ns INFO [00010840] Port=1 RD @05 + 10839.50ns INFO [00010841] * RD COMPARE * port=0 adr=03 act=90DFCAE1F27F21B7C6 exp=90DFCAE1F27F21B7C6 + 10839.50ns INFO [00010841] * RD COMPARE * port=1 adr=05 act=E9D1AB67C5FB606A71 exp=E9D1AB67C5FB606A71 + 10839.50ns INFO [00010841] Port=0 WR @07=42454363019315ACAE + 10839.50ns INFO [00010841] Port=1 RD @00 + 10840.50ns INFO [00010842] * RD COMPARE * port=1 adr=05 act=E9D1AB67C5FB606A71 exp=E9D1AB67C5FB606A71 + 10840.50ns INFO [00010842] Port=0 RD @05 + 10840.50ns INFO [00010842] Port=1 RD @01 + 10841.50ns INFO [00010843] * RD COMPARE * port=1 adr=00 act=EF8F77EC1588FE7261 exp=EF8F77EC1588FE7261 + 10841.50ns INFO [00010843] Port=1 RD @01 + 10842.50ns INFO [00010844] * RD COMPARE * port=0 adr=05 act=E9D1AB67C5FB606A71 exp=E9D1AB67C5FB606A71 + 10842.50ns INFO [00010844] * RD COMPARE * port=1 adr=01 act=7E8405896D1BA702DA exp=7E8405896D1BA702DA + 10842.50ns INFO [00010844] Port=0 WR @01=B462F995F9BF98523C + 10843.50ns INFO [00010845] * RD COMPARE * port=1 adr=01 act=7E8405896D1BA702DA exp=7E8405896D1BA702DA + 10843.50ns INFO [00010845] Port=0 RD @03 + 10843.50ns INFO [00010845] Port=1 RD @07 + 10844.50ns INFO [00010846] Port=0 WR @07=04AF14C3CCE388272A + 10844.50ns INFO [00010846] Port=1 RD @03 + 10845.50ns INFO [00010847] * RD COMPARE * port=0 adr=03 act=90DFCAE1F27F21B7C6 exp=90DFCAE1F27F21B7C6 + 10845.50ns INFO [00010847] * RD COMPARE * port=1 adr=07 act=42454363019315ACAE exp=42454363019315ACAE + 10845.50ns INFO [00010847] Port=1 RD @06 + 10846.50ns INFO [00010848] * RD COMPARE * port=1 adr=03 act=90DFCAE1F27F21B7C6 exp=90DFCAE1F27F21B7C6 + 10846.50ns INFO [00010848] Port=1 RD @06 + 10847.50ns INFO [00010849] * RD COMPARE * port=1 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10847.50ns INFO [00010849] Port=0 WR @05=6AFB71B256A57EC10B + 10847.50ns INFO [00010849] Port=0 RD @07 + 10847.50ns INFO [00010849] Port=1 RD @02 + 10848.50ns INFO [00010850] * RD COMPARE * port=1 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10848.50ns INFO [00010850] Port=0 WR @03=4E45C923CD14890FD0 + 10848.50ns INFO [00010850] Port=0 RD @07 + 10849.50ns INFO [00010851] * RD COMPARE * port=0 adr=07 act=04AF14C3CCE388272A exp=04AF14C3CCE388272A + 10849.50ns INFO [00010851] * RD COMPARE * port=1 adr=02 act=FC9EFECF5E4349BBF3 exp=FC9EFECF5E4349BBF3 + 10849.50ns INFO [00010851] Port=0 WR @07=81BCED3ABCF9A9AC45 + 10849.50ns INFO [00010851] Port=0 RD @05 + 10850.50ns INFO [00010852] * RD COMPARE * port=0 adr=07 act=04AF14C3CCE388272A exp=04AF14C3CCE388272A + 10850.50ns INFO [00010852] Port=0 WR @05=FEEFEEC23E93176AEE + 10851.50ns INFO [00010853] * RD COMPARE * port=0 adr=05 act=6AFB71B256A57EC10B exp=6AFB71B256A57EC10B + 10851.50ns INFO [00010853] Port=0 WR @04=435EE9A1D7A5D54B47 + 10851.50ns INFO [00010853] Port=1 RD @01 + 10852.50ns INFO [00010854] Port=1 RD @03 + 10853.50ns INFO [00010855] * RD COMPARE * port=1 adr=01 act=B462F995F9BF98523C exp=B462F995F9BF98523C + 10853.50ns INFO [00010855] Port=0 RD @03 + 10853.50ns INFO [00010855] Port=1 RD @03 + 10854.50ns INFO [00010856] * RD COMPARE * port=1 adr=03 act=4E45C923CD14890FD0 exp=4E45C923CD14890FD0 + 10855.50ns INFO [00010857] * RD COMPARE * port=0 adr=03 act=4E45C923CD14890FD0 exp=4E45C923CD14890FD0 + 10855.50ns INFO [00010857] * RD COMPARE * port=1 adr=03 act=4E45C923CD14890FD0 exp=4E45C923CD14890FD0 + 10856.50ns INFO [00010858] Port=0 RD @00 + 10856.50ns INFO [00010858] Port=1 RD @01 + 10857.50ns INFO [00010859] Port=0 RD @01 + 10857.50ns INFO [00010859] Port=1 RD @06 + 10858.50ns INFO [00010860] * RD COMPARE * port=0 adr=00 act=EF8F77EC1588FE7261 exp=EF8F77EC1588FE7261 + 10858.50ns INFO [00010860] * RD COMPARE * port=1 adr=01 act=B462F995F9BF98523C exp=B462F995F9BF98523C + 10859.50ns INFO [00010861] * RD COMPARE * port=0 adr=01 act=B462F995F9BF98523C exp=B462F995F9BF98523C + 10859.50ns INFO [00010861] * RD COMPARE * port=1 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10859.50ns INFO [00010861] Port=0 RD @03 + 10859.50ns INFO [00010861] Port=1 RD @05 + 10860.50ns INFO [00010862] Port=0 WR @04=601980A897A9A568CB + 10861.50ns INFO [00010863] * RD COMPARE * port=0 adr=03 act=4E45C923CD14890FD0 exp=4E45C923CD14890FD0 + 10861.50ns INFO [00010863] * RD COMPARE * port=1 adr=05 act=FEEFEEC23E93176AEE exp=FEEFEEC23E93176AEE + 10861.50ns INFO [00010863] Port=0 WR @01=37979025695CAA6A9E + 10861.50ns INFO [00010863] Port=0 RD @03 + 10862.50ns INFO [00010864] Port=0 RD @07 + 10862.50ns INFO [00010864] Port=1 RD @02 + 10863.50ns INFO [00010865] * RD COMPARE * port=0 adr=03 act=4E45C923CD14890FD0 exp=4E45C923CD14890FD0 + 10863.50ns INFO [00010865] Port=0 RD @04 + 10864.50ns INFO [00010866] * RD COMPARE * port=0 adr=07 act=81BCED3ABCF9A9AC45 exp=81BCED3ABCF9A9AC45 + 10864.50ns INFO [00010866] * RD COMPARE * port=1 adr=02 act=FC9EFECF5E4349BBF3 exp=FC9EFECF5E4349BBF3 + 10864.50ns INFO [00010866] Port=0 WR @00=94E5DCCE9E514A226A + 10864.50ns INFO [00010866] Port=0 RD @05 + 10864.50ns INFO [00010866] Port=1 RD @05 + 10865.50ns INFO [00010867] * RD COMPARE * port=0 adr=04 act=601980A897A9A568CB exp=601980A897A9A568CB + 10865.50ns INFO [00010867] Port=0 RD @03 + 10865.50ns INFO [00010867] Port=1 RD @05 + 10866.50ns INFO [00010868] * RD COMPARE * port=0 adr=05 act=FEEFEEC23E93176AEE exp=FEEFEEC23E93176AEE + 10866.50ns INFO [00010868] * RD COMPARE * port=1 adr=05 act=FEEFEEC23E93176AEE exp=FEEFEEC23E93176AEE + 10867.50ns INFO [00010869] * RD COMPARE * port=0 adr=03 act=4E45C923CD14890FD0 exp=4E45C923CD14890FD0 + 10867.50ns INFO [00010869] * RD COMPARE * port=1 adr=05 act=FEEFEEC23E93176AEE exp=FEEFEEC23E93176AEE + 10868.50ns INFO [00010870] Port=0 RD @06 + 10868.50ns INFO [00010870] Port=1 RD @01 + 10870.50ns INFO [00010872] * RD COMPARE * port=0 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10870.50ns INFO [00010872] * RD COMPARE * port=1 adr=01 act=37979025695CAA6A9E exp=37979025695CAA6A9E + 10871.50ns INFO [00010873] Port=0 WR @00=70E6BFD22B2995E948 + 10872.50ns INFO [00010874] Port=1 RD @01 + 10874.50ns INFO [00010876] * RD COMPARE * port=1 adr=01 act=37979025695CAA6A9E exp=37979025695CAA6A9E + 10876.50ns INFO [00010878] Port=0 WR @01=DD8FE03DB03F885963 + 10876.50ns INFO [00010878] Port=1 RD @04 + 10877.50ns INFO [00010879] Port=0 WR @03=7D1738F1C7893A8281 + 10878.50ns INFO [00010880] * RD COMPARE * port=1 adr=04 act=601980A897A9A568CB exp=601980A897A9A568CB + 10878.50ns INFO [00010880] Port=0 RD @04 + 10878.50ns INFO [00010880] Port=1 RD @05 + 10879.50ns INFO [00010881] Port=0 RD @03 + 10880.50ns INFO [00010882] * RD COMPARE * port=0 adr=04 act=601980A897A9A568CB exp=601980A897A9A568CB + 10880.50ns INFO [00010882] * RD COMPARE * port=1 adr=05 act=FEEFEEC23E93176AEE exp=FEEFEEC23E93176AEE + 10880.50ns INFO [00010882] Port=0 WR @05=21BAAFE62405EB1A5C + 10880.50ns INFO [00010882] Port=0 RD @07 + 10881.50ns INFO [00010883] * RD COMPARE * port=0 adr=03 act=7D1738F1C7893A8281 exp=7D1738F1C7893A8281 + 10881.50ns INFO [00010883] Port=0 WR @00=CA56AF4EAF2D04703E + 10882.50ns INFO [00010884] * RD COMPARE * port=0 adr=07 act=81BCED3ABCF9A9AC45 exp=81BCED3ABCF9A9AC45 + 10882.50ns INFO [00010884] Port=0 RD @01 + 10882.50ns INFO [00010884] Port=1 RD @01 + 10883.50ns INFO [00010885] Port=0 WR @05=904E240B1A862EBC25 + 10884.50ns INFO [00010886] * RD COMPARE * port=0 adr=01 act=DD8FE03DB03F885963 exp=DD8FE03DB03F885963 + 10884.50ns INFO [00010886] * RD COMPARE * port=1 adr=01 act=DD8FE03DB03F885963 exp=DD8FE03DB03F885963 + 10885.50ns INFO [00010887] Port=0 WR @01=1FF4E7E5E959D76584 + 10885.50ns INFO [00010887] Port=0 RD @06 + 10885.50ns INFO [00010887] Port=1 RD @03 + 10886.50ns INFO [00010888] Port=0 WR @03=75590AFED841CB0CF7 + 10886.50ns INFO [00010888] Port=0 RD @07 + 10886.50ns INFO [00010888] Port=1 RD @05 + 10887.50ns INFO [00010889] * RD COMPARE * port=0 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10887.50ns INFO [00010889] * RD COMPARE * port=1 adr=03 act=7D1738F1C7893A8281 exp=7D1738F1C7893A8281 + 10887.50ns INFO [00010889] Port=0 RD @04 + 10888.50ns INFO [00010890] * RD COMPARE * port=0 adr=07 act=81BCED3ABCF9A9AC45 exp=81BCED3ABCF9A9AC45 + 10888.50ns INFO [00010890] * RD COMPARE * port=1 adr=05 act=904E240B1A862EBC25 exp=904E240B1A862EBC25 + 10888.50ns INFO [00010890] Port=0 WR @04=6B91D998FBE6ED743F + 10888.50ns INFO [00010890] Port=0 RD @06 + 10888.50ns INFO [00010890] Port=1 RD @06 + 10889.50ns INFO [00010891] * RD COMPARE * port=0 adr=04 act=601980A897A9A568CB exp=601980A897A9A568CB + 10889.50ns INFO [00010891] Port=1 RD @06 + 10890.50ns INFO [00010892] * RD COMPARE * port=0 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10890.50ns INFO [00010892] * RD COMPARE * port=1 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10890.50ns INFO [00010892] Port=0 RD @07 + 10891.50ns INFO [00010893] * RD COMPARE * port=1 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10891.50ns INFO [00010893] Port=0 WR @07=DDA40BE239F8750584 + 10891.50ns INFO [00010893] Port=1 RD @01 + 10892.50ns INFO [00010894] * RD COMPARE * port=0 adr=07 act=81BCED3ABCF9A9AC45 exp=81BCED3ABCF9A9AC45 + 10892.50ns INFO [00010894] Port=0 RD @04 + 10892.50ns INFO [00010894] Port=1 RD @01 + 10893.50ns INFO [00010895] * RD COMPARE * port=1 adr=01 act=1FF4E7E5E959D76584 exp=1FF4E7E5E959D76584 + 10893.50ns INFO [00010895] Port=0 RD @01 + 10894.50ns INFO [00010896] * RD COMPARE * port=0 adr=04 act=6B91D998FBE6ED743F exp=6B91D998FBE6ED743F + 10894.50ns INFO [00010896] * RD COMPARE * port=1 adr=01 act=1FF4E7E5E959D76584 exp=1FF4E7E5E959D76584 + 10894.50ns INFO [00010896] Port=0 WR @00=8ECA03EEEF16D1696F + 10894.50ns INFO [00010896] Port=0 RD @01 + 10894.50ns INFO [00010896] Port=1 RD @07 + 10895.50ns INFO [00010897] * RD COMPARE * port=0 adr=01 act=1FF4E7E5E959D76584 exp=1FF4E7E5E959D76584 + 10895.50ns INFO [00010897] Port=0 WR @04=D3B76D302C5EA436F9 + 10896.50ns INFO [00010898] * RD COMPARE * port=0 adr=01 act=1FF4E7E5E959D76584 exp=1FF4E7E5E959D76584 + 10896.50ns INFO [00010898] * RD COMPARE * port=1 adr=07 act=DDA40BE239F8750584 exp=DDA40BE239F8750584 + 10898.00ns INFO [00010900] [00010900] ...tick... + 10899.50ns INFO [00010901] Port=0 WR @02=CA045039A160A308BB + 10899.50ns INFO [00010901] Port=1 RD @03 + 10900.50ns INFO [00010902] Port=0 WR @07=9B836AB31410502963 + 10900.50ns INFO [00010902] Port=0 RD @03 + 10900.50ns INFO [00010902] Port=1 RD @06 + 10901.50ns INFO [00010903] * RD COMPARE * port=1 adr=03 act=75590AFED841CB0CF7 exp=75590AFED841CB0CF7 + 10901.50ns INFO [00010903] Port=1 RD @04 + 10902.50ns INFO [00010904] * RD COMPARE * port=0 adr=03 act=75590AFED841CB0CF7 exp=75590AFED841CB0CF7 + 10902.50ns INFO [00010904] * RD COMPARE * port=1 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10902.50ns INFO [00010904] Port=0 WR @05=2379F6643D44C192CE + 10903.50ns INFO [00010905] * RD COMPARE * port=1 adr=04 act=D3B76D302C5EA436F9 exp=D3B76D302C5EA436F9 + 10903.50ns INFO [00010905] Port=0 RD @03 + 10904.50ns INFO [00010906] Port=0 RD @06 + 10904.50ns INFO [00010906] Port=1 RD @06 + 10905.50ns INFO [00010907] * RD COMPARE * port=0 adr=03 act=75590AFED841CB0CF7 exp=75590AFED841CB0CF7 + 10906.50ns INFO [00010908] * RD COMPARE * port=0 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10906.50ns INFO [00010908] * RD COMPARE * port=1 adr=06 act=E93E7E9C1AD9F847A8 exp=E93E7E9C1AD9F847A8 + 10907.50ns INFO [00010909] Port=0 WR @04=E4953F2AC9542D4401 + 10907.50ns INFO [00010909] Port=0 RD @02 + 10907.50ns INFO [00010909] Port=1 RD @07 + 10908.50ns INFO [00010910] Port=0 WR @07=405DF338619C6D8CBE + 10909.50ns INFO [00010911] * RD COMPARE * port=0 adr=02 act=CA045039A160A308BB exp=CA045039A160A308BB + 10909.50ns INFO [00010911] * RD COMPARE * port=1 adr=07 act=9B836AB31410502963 exp=9B836AB31410502963 + 10909.50ns INFO [00010911] Port=0 RD @05 + 10909.50ns INFO [00010911] Port=1 RD @02 + 10910.50ns INFO [00010912] Port=0 RD @03 + 10910.50ns INFO [00010912] Port=1 RD @02 + 10911.50ns INFO [00010913] * RD COMPARE * port=0 adr=05 act=2379F6643D44C192CE exp=2379F6643D44C192CE + 10911.50ns INFO [00010913] * RD COMPARE * port=1 adr=02 act=CA045039A160A308BB exp=CA045039A160A308BB + 10911.50ns INFO [00010913] Port=0 WR @02=34A240CE24B0ADD4E1 + 10912.50ns INFO [00010914] * RD COMPARE * port=0 adr=03 act=75590AFED841CB0CF7 exp=75590AFED841CB0CF7 + 10912.50ns INFO [00010914] * RD COMPARE * port=1 adr=02 act=CA045039A160A308BB exp=CA045039A160A308BB + 10912.50ns INFO [00010914] Port=0 WR @05=DC49558E5F586A2FB0 + 10913.50ns INFO [00010915] Port=0 WR @00=CF7981EFE06C274987 + 10913.50ns INFO [00010915] Port=0 RD @02 + 10914.50ns INFO [00010916] Port=1 RD @01 + 10915.50ns INFO [00010917] * RD COMPARE * port=0 adr=02 act=34A240CE24B0ADD4E1 exp=34A240CE24B0ADD4E1 + 10915.50ns INFO [00010917] Port=0 RD @03 + 10915.50ns INFO [00010917] Port=1 RD @00 + 10916.50ns INFO [00010918] * RD COMPARE * port=1 adr=01 act=1FF4E7E5E959D76584 exp=1FF4E7E5E959D76584 + 10916.50ns INFO [00010918] Port=1 RD @05 + 10917.50ns INFO [00010919] * RD COMPARE * port=0 adr=03 act=75590AFED841CB0CF7 exp=75590AFED841CB0CF7 + 10917.50ns INFO [00010919] * RD COMPARE * port=1 adr=00 act=CF7981EFE06C274987 exp=CF7981EFE06C274987 + 10917.50ns INFO [00010919] Port=0 WR @03=513D2A82ACD5EBD6FB + 10917.50ns INFO [00010919] Port=0 RD @00 + 10918.50ns INFO [00010920] * RD COMPARE * port=1 adr=05 act=DC49558E5F586A2FB0 exp=DC49558E5F586A2FB0 + 10918.50ns INFO [00010920] Port=0 WR @07=18A566482A3CB56C1A + 10919.50ns INFO [00010921] * RD COMPARE * port=0 adr=00 act=CF7981EFE06C274987 exp=CF7981EFE06C274987 + 10919.50ns INFO [00010921] Port=0 WR @03=78D2DAEC4A639C464E + 10919.50ns INFO [00010921] Port=0 RD @05 + 10920.50ns INFO [00010922] Port=0 WR @05=75E66C59C4E4A16FED + 10920.50ns INFO [00010922] Port=0 RD @01 + 10921.50ns INFO [00010923] * RD COMPARE * port=0 adr=05 act=DC49558E5F586A2FB0 exp=DC49558E5F586A2FB0 + 10922.50ns INFO [00010924] * RD COMPARE * port=0 adr=01 act=1FF4E7E5E959D76584 exp=1FF4E7E5E959D76584 + 10922.50ns INFO [00010924] Port=0 WR @01=BD98BAF8597FAF75C6 + 10923.50ns INFO [00010925] Port=1 RD @04 + 10924.50ns INFO [00010926] Port=0 WR @06=2D38E5C0F31FF92460 + 10924.50ns INFO [00010926] Port=0 RD @02 + 10925.50ns INFO [00010927] * RD COMPARE * port=1 adr=04 act=E4953F2AC9542D4401 exp=E4953F2AC9542D4401 + 10925.50ns INFO [00010927] Port=0 RD @01 + 10926.50ns INFO [00010928] * RD COMPARE * port=0 adr=02 act=34A240CE24B0ADD4E1 exp=34A240CE24B0ADD4E1 + 10926.50ns INFO [00010928] Port=0 WR @01=4E070B86F27B00A4B1 + 10926.50ns INFO [00010928] Port=0 RD @03 + 10927.50ns INFO [00010929] * RD COMPARE * port=0 adr=01 act=BD98BAF8597FAF75C6 exp=BD98BAF8597FAF75C6 + 10927.50ns INFO [00010929] Port=0 WR @01=0ECE6192344B39FB89 + 10927.50ns INFO [00010929] Port=1 RD @03 + 10928.50ns INFO [00010930] * RD COMPARE * port=0 adr=03 act=78D2DAEC4A639C464E exp=78D2DAEC4A639C464E + 10928.50ns INFO [00010930] Port=0 WR @02=39C884EDE4EED1D92D + 10928.50ns INFO [00010930] Port=0 RD @07 + 10929.50ns INFO [00010931] * RD COMPARE * port=1 adr=03 act=78D2DAEC4A639C464E exp=78D2DAEC4A639C464E + 10929.50ns INFO [00010931] Port=0 WR @06=D327B6E7490E1AEBA5 + 10929.50ns INFO [00010931] Port=0 RD @00 + 10929.50ns INFO [00010931] Port=1 RD @05 + 10930.50ns INFO [00010932] * RD COMPARE * port=0 adr=07 act=18A566482A3CB56C1A exp=18A566482A3CB56C1A + 10930.50ns INFO [00010932] Port=1 RD @03 + 10931.50ns INFO [00010933] * RD COMPARE * port=0 adr=00 act=CF7981EFE06C274987 exp=CF7981EFE06C274987 + 10931.50ns INFO [00010933] * RD COMPARE * port=1 adr=05 act=75E66C59C4E4A16FED exp=75E66C59C4E4A16FED + 10931.50ns INFO [00010933] Port=0 WR @05=9D81D5A9625B40BA5A + 10931.50ns INFO [00010933] Port=0 RD @01 + 10931.50ns INFO [00010933] Port=1 RD @04 + 10932.50ns INFO [00010934] * RD COMPARE * port=1 adr=03 act=78D2DAEC4A639C464E exp=78D2DAEC4A639C464E + 10933.50ns INFO [00010935] * RD COMPARE * port=0 adr=01 act=0ECE6192344B39FB89 exp=0ECE6192344B39FB89 + 10933.50ns INFO [00010935] * RD COMPARE * port=1 adr=04 act=E4953F2AC9542D4401 exp=E4953F2AC9542D4401 + 10933.50ns INFO [00010935] Port=0 WR @04=04D12039645ABFD628 + 10933.50ns INFO [00010935] Port=1 RD @05 + 10935.50ns INFO [00010937] * RD COMPARE * port=1 adr=05 act=9D81D5A9625B40BA5A exp=9D81D5A9625B40BA5A + 10937.50ns INFO [00010939] Port=0 WR @00=E35F80C528A5099A85 + 10937.50ns INFO [00010939] Port=0 RD @03 + 10938.50ns INFO [00010940] Port=0 RD @05 + 10939.50ns INFO [00010941] * RD COMPARE * port=0 adr=03 act=78D2DAEC4A639C464E exp=78D2DAEC4A639C464E + 10940.50ns INFO [00010942] * RD COMPARE * port=0 adr=05 act=9D81D5A9625B40BA5A exp=9D81D5A9625B40BA5A + 10940.50ns INFO [00010942] Port=1 RD @03 + 10941.50ns INFO [00010943] Port=0 RD @02 + 10942.50ns INFO [00010944] * RD COMPARE * port=1 adr=03 act=78D2DAEC4A639C464E exp=78D2DAEC4A639C464E + 10943.50ns INFO [00010945] * RD COMPARE * port=0 adr=02 act=39C884EDE4EED1D92D exp=39C884EDE4EED1D92D + 10943.50ns INFO [00010945] Port=0 WR @07=F31541ABD400785AD3 + 10943.50ns INFO [00010945] Port=1 RD @04 + 10945.50ns INFO [00010947] * RD COMPARE * port=1 adr=04 act=04D12039645ABFD628 exp=04D12039645ABFD628 + 10946.50ns INFO [00010948] Port=0 WR @05=37A676034984BCD2F3 + 10946.50ns INFO [00010948] Port=1 RD @04 + 10947.50ns INFO [00010949] Port=1 RD @04 + 10948.50ns INFO [00010950] * RD COMPARE * port=1 adr=04 act=04D12039645ABFD628 exp=04D12039645ABFD628 + 10949.50ns INFO [00010951] * RD COMPARE * port=1 adr=04 act=04D12039645ABFD628 exp=04D12039645ABFD628 + 10949.50ns INFO [00010951] Port=0 RD @07 + 10950.50ns INFO [00010952] Port=0 RD @07 + 10950.50ns INFO [00010952] Port=1 RD @06 + 10951.50ns INFO [00010953] * RD COMPARE * port=0 adr=07 act=F31541ABD400785AD3 exp=F31541ABD400785AD3 + 10952.50ns INFO [00010954] * RD COMPARE * port=0 adr=07 act=F31541ABD400785AD3 exp=F31541ABD400785AD3 + 10952.50ns INFO [00010954] * RD COMPARE * port=1 adr=06 act=D327B6E7490E1AEBA5 exp=D327B6E7490E1AEBA5 + 10952.50ns INFO [00010954] Port=0 WR @02=556D4A9729CA61F0D4 + 10952.50ns INFO [00010954] Port=0 RD @07 + 10953.50ns INFO [00010955] Port=0 RD @01 + 10954.50ns INFO [00010956] * RD COMPARE * port=0 adr=07 act=F31541ABD400785AD3 exp=F31541ABD400785AD3 + 10954.50ns INFO [00010956] Port=0 WR @06=7A8FDDBAECDE6E0183 + 10955.50ns INFO [00010957] * RD COMPARE * port=0 adr=01 act=0ECE6192344B39FB89 exp=0ECE6192344B39FB89 + 10955.50ns INFO [00010957] Port=0 WR @00=76C5C6C1C3C311BCDD + 10957.50ns INFO [00010959] Port=0 RD @04 + 10958.50ns INFO [00010960] Port=1 RD @06 + 10959.50ns INFO [00010961] * RD COMPARE * port=0 adr=04 act=04D12039645ABFD628 exp=04D12039645ABFD628 + 10959.50ns INFO [00010961] Port=0 WR @03=741C5A782FFF18AA24 + 10959.50ns INFO [00010961] Port=1 RD @01 + 10960.50ns INFO [00010962] * RD COMPARE * port=1 adr=06 act=7A8FDDBAECDE6E0183 exp=7A8FDDBAECDE6E0183 + 10961.50ns INFO [00010963] * RD COMPARE * port=1 adr=01 act=0ECE6192344B39FB89 exp=0ECE6192344B39FB89 + 10961.50ns INFO [00010963] Port=0 RD @00 + 10963.50ns INFO [00010965] * RD COMPARE * port=0 adr=00 act=76C5C6C1C3C311BCDD exp=76C5C6C1C3C311BCDD + 10963.50ns INFO [00010965] Port=0 WR @06=4E336C5076846FF8C3 + 10963.50ns INFO [00010965] Port=0 RD @05 + 10964.50ns INFO [00010966] Port=0 WR @03=E6BCE9BD4FF2C58469 + 10964.50ns INFO [00010966] Port=0 RD @06 + 10964.50ns INFO [00010966] Port=1 RD @01 + 10965.50ns INFO [00010967] * RD COMPARE * port=0 adr=05 act=37A676034984BCD2F3 exp=37A676034984BCD2F3 + 10965.50ns INFO [00010967] Port=0 RD @05 + 10966.50ns INFO [00010968] * RD COMPARE * port=0 adr=06 act=4E336C5076846FF8C3 exp=4E336C5076846FF8C3 + 10966.50ns INFO [00010968] * RD COMPARE * port=1 adr=01 act=0ECE6192344B39FB89 exp=0ECE6192344B39FB89 + 10967.50ns INFO [00010969] * RD COMPARE * port=0 adr=05 act=37A676034984BCD2F3 exp=37A676034984BCD2F3 + 10967.50ns INFO [00010969] Port=0 RD @03 + 10968.50ns INFO [00010970] Port=0 RD @06 + 10968.50ns INFO [00010970] Port=1 RD @01 + 10969.50ns INFO [00010971] * RD COMPARE * port=0 adr=03 act=E6BCE9BD4FF2C58469 exp=E6BCE9BD4FF2C58469 + 10969.50ns INFO [00010971] Port=0 WR @06=B4B9055486D2539B6C + 10970.50ns INFO [00010972] * RD COMPARE * port=0 adr=06 act=4E336C5076846FF8C3 exp=4E336C5076846FF8C3 + 10970.50ns INFO [00010972] * RD COMPARE * port=1 adr=01 act=0ECE6192344B39FB89 exp=0ECE6192344B39FB89 + 10970.50ns INFO [00010972] Port=0 RD @03 + 10971.50ns INFO [00010973] Port=0 WR @06=A127CDB81257AEEF66 + 10972.50ns INFO [00010974] * RD COMPARE * port=0 adr=03 act=E6BCE9BD4FF2C58469 exp=E6BCE9BD4FF2C58469 + 10972.50ns INFO [00010974] Port=0 WR @01=A9939397F331AC6AD4 + 10973.50ns INFO [00010975] Port=0 WR @04=0E60219B3410787652 + 10974.50ns INFO [00010976] Port=0 WR @05=C8EEB14C854F8C91D2 + 10974.50ns INFO [00010976] Port=1 RD @07 + 10975.50ns INFO [00010977] Port=0 WR @01=D21C4BA646511DFA1F + 10975.50ns INFO [00010977] Port=0 RD @05 + 10976.50ns INFO [00010978] * RD COMPARE * port=1 adr=07 act=F31541ABD400785AD3 exp=F31541ABD400785AD3 + 10977.50ns INFO [00010979] * RD COMPARE * port=0 adr=05 act=C8EEB14C854F8C91D2 exp=C8EEB14C854F8C91D2 + 10977.50ns INFO [00010979] Port=0 RD @06 + 10978.50ns INFO [00010980] Port=0 WR @07=A30E97CC9EF5FADE42 + 10978.50ns INFO [00010980] Port=0 RD @01 + 10979.50ns INFO [00010981] * RD COMPARE * port=0 adr=06 act=A127CDB81257AEEF66 exp=A127CDB81257AEEF66 + 10979.50ns INFO [00010981] Port=0 WR @05=2018DDCBB1BB92B3F6 + 10979.50ns INFO [00010981] Port=0 RD @00 + 10980.50ns INFO [00010982] * RD COMPARE * port=0 adr=01 act=D21C4BA646511DFA1F exp=D21C4BA646511DFA1F + 10980.50ns INFO [00010982] Port=0 RD @01 + 10981.50ns INFO [00010983] * RD COMPARE * port=0 adr=00 act=76C5C6C1C3C311BCDD exp=76C5C6C1C3C311BCDD + 10981.50ns INFO [00010983] Port=1 RD @05 + 10982.50ns INFO [00010984] * RD COMPARE * port=0 adr=01 act=D21C4BA646511DFA1F exp=D21C4BA646511DFA1F + 10982.50ns INFO [00010984] Port=0 RD @00 + 10983.50ns INFO [00010985] * RD COMPARE * port=1 adr=05 act=2018DDCBB1BB92B3F6 exp=2018DDCBB1BB92B3F6 + 10983.50ns INFO [00010985] Port=0 RD @02 + 10984.50ns INFO [00010986] * RD COMPARE * port=0 adr=00 act=76C5C6C1C3C311BCDD exp=76C5C6C1C3C311BCDD + 10984.50ns INFO [00010986] Port=0 WR @07=97033759A922A7B38C + 10984.50ns INFO [00010986] Port=0 RD @00 + 10984.50ns INFO [00010986] Port=1 RD @01 + 10985.50ns INFO [00010987] * RD COMPARE * port=0 adr=02 act=556D4A9729CA61F0D4 exp=556D4A9729CA61F0D4 + 10985.50ns INFO [00010987] Port=0 WR @07=2B5D6579190369C7CF + 10986.50ns INFO [00010988] * RD COMPARE * port=0 adr=00 act=76C5C6C1C3C311BCDD exp=76C5C6C1C3C311BCDD + 10986.50ns INFO [00010988] * RD COMPARE * port=1 adr=01 act=D21C4BA646511DFA1F exp=D21C4BA646511DFA1F + 10986.50ns INFO [00010988] Port=1 RD @05 + 10987.50ns INFO [00010989] Port=0 WR @04=E70412FD31F6778B30 + 10988.50ns INFO [00010990] * RD COMPARE * port=1 adr=05 act=2018DDCBB1BB92B3F6 exp=2018DDCBB1BB92B3F6 + 10988.50ns INFO [00010990] Port=1 RD @02 + 10989.50ns INFO [00010991] Port=0 RD @07 + 10989.50ns INFO [00010991] Port=1 RD @00 + 10990.50ns INFO [00010992] * RD COMPARE * port=1 adr=02 act=556D4A9729CA61F0D4 exp=556D4A9729CA61F0D4 + 10990.50ns INFO [00010992] Port=0 RD @07 + 10991.50ns INFO [00010993] * RD COMPARE * port=0 adr=07 act=2B5D6579190369C7CF exp=2B5D6579190369C7CF + 10991.50ns INFO [00010993] * RD COMPARE * port=1 adr=00 act=76C5C6C1C3C311BCDD exp=76C5C6C1C3C311BCDD + 10991.50ns INFO [00010993] Port=0 WR @07=DF9D4C98E898ECBCC3 + 10991.50ns INFO [00010993] Port=0 RD @01 + 10992.50ns INFO [00010994] * RD COMPARE * port=0 adr=07 act=2B5D6579190369C7CF exp=2B5D6579190369C7CF + 10993.50ns INFO [00010995] * RD COMPARE * port=0 adr=01 act=D21C4BA646511DFA1F exp=D21C4BA646511DFA1F + 10993.50ns INFO [00010995] Port=0 WR @04=065E2D2029D12A81AF + 10993.50ns INFO [00010995] Port=1 RD @07 + 10994.50ns INFO [00010996] Port=0 RD @04 + 10995.50ns INFO [00010997] * RD COMPARE * port=1 adr=07 act=DF9D4C98E898ECBCC3 exp=DF9D4C98E898ECBCC3 + 10995.50ns INFO [00010997] Port=0 RD @01 + 10996.50ns INFO [00010998] * RD COMPARE * port=0 adr=04 act=065E2D2029D12A81AF exp=065E2D2029D12A81AF + 10996.50ns INFO [00010998] Port=1 RD @01 + 10997.50ns INFO [00010999] * RD COMPARE * port=0 adr=01 act=D21C4BA646511DFA1F exp=D21C4BA646511DFA1F + 10997.50ns INFO [00010999] Port=1 RD @04 + 10998.00ns INFO [00011000] [00011000] ...tick... + 10998.50ns INFO [00011000] * RD COMPARE * port=1 adr=01 act=D21C4BA646511DFA1F exp=D21C4BA646511DFA1F + 10998.50ns INFO [00011000] Port=0 RD @04 + 10998.50ns INFO [00011000] Port=1 RD @03 + 10999.50ns INFO [00011001] * RD COMPARE * port=1 adr=04 act=065E2D2029D12A81AF exp=065E2D2029D12A81AF + 10999.50ns INFO [00011001] Port=0 WR @07=1AB4ED0B0359AA312B + 11000.50ns INFO [00011002] * RD COMPARE * port=0 adr=04 act=065E2D2029D12A81AF exp=065E2D2029D12A81AF + 11000.50ns INFO [00011002] * RD COMPARE * port=1 adr=03 act=E6BCE9BD4FF2C58469 exp=E6BCE9BD4FF2C58469 + 11000.50ns INFO [00011002] Port=0 WR @05=6F825CFA4AD02B2E22 + 11000.50ns INFO [00011002] Port=1 RD @03 + 11002.50ns INFO [00011004] * RD COMPARE * port=1 adr=03 act=E6BCE9BD4FF2C58469 exp=E6BCE9BD4FF2C58469 + 11003.50ns INFO [00011005] Port=0 WR @01=799D25B1CD910F6717 + 11005.50ns INFO [00011007] Port=0 RD @06 + 11005.50ns INFO [00011007] Port=1 RD @05 + 11006.50ns INFO [00011008] Port=0 RD @00 + 11006.50ns INFO [00011008] Port=1 RD @07 + 11007.50ns INFO [00011009] * RD COMPARE * port=0 adr=06 act=A127CDB81257AEEF66 exp=A127CDB81257AEEF66 + 11007.50ns INFO [00011009] * RD COMPARE * port=1 adr=05 act=6F825CFA4AD02B2E22 exp=6F825CFA4AD02B2E22 + 11007.50ns INFO [00011009] Port=0 RD @01 + 11007.50ns INFO [00011009] Port=1 RD @07 + 11008.50ns INFO [00011010] * RD COMPARE * port=0 adr=00 act=76C5C6C1C3C311BCDD exp=76C5C6C1C3C311BCDD + 11008.50ns INFO [00011010] * RD COMPARE * port=1 adr=07 act=1AB4ED0B0359AA312B exp=1AB4ED0B0359AA312B + 11008.50ns INFO [00011010] Port=1 RD @07 + 11009.50ns INFO [00011011] * RD COMPARE * port=0 adr=01 act=799D25B1CD910F6717 exp=799D25B1CD910F6717 + 11009.50ns INFO [00011011] * RD COMPARE * port=1 adr=07 act=1AB4ED0B0359AA312B exp=1AB4ED0B0359AA312B + 11009.50ns INFO [00011011] Port=0 RD @04 + 11010.50ns INFO [00011012] * RD COMPARE * port=1 adr=07 act=1AB4ED0B0359AA312B exp=1AB4ED0B0359AA312B + 11011.50ns INFO [00011013] * RD COMPARE * port=0 adr=04 act=065E2D2029D12A81AF exp=065E2D2029D12A81AF + 11011.50ns INFO [00011013] Port=0 RD @03 + 11012.50ns INFO [00011014] Port=0 WR @00=0800B3BCE26594744F + 11013.50ns INFO [00011015] * RD COMPARE * port=0 adr=03 act=E6BCE9BD4FF2C58469 exp=E6BCE9BD4FF2C58469 + 11013.50ns INFO [00011015] Port=0 RD @05 + 11014.50ns INFO [00011016] Port=1 RD @04 + 11015.50ns INFO [00011017] * RD COMPARE * port=0 adr=05 act=6F825CFA4AD02B2E22 exp=6F825CFA4AD02B2E22 + 11015.50ns INFO [00011017] Port=0 WR @03=6767DBE33D950FB2D7 + 11015.50ns INFO [00011017] Port=0 RD @02 + 11016.50ns INFO [00011018] * RD COMPARE * port=1 adr=04 act=065E2D2029D12A81AF exp=065E2D2029D12A81AF + 11016.50ns INFO [00011018] Port=1 RD @06 + 11017.50ns INFO [00011019] * RD COMPARE * port=0 adr=02 act=556D4A9729CA61F0D4 exp=556D4A9729CA61F0D4 + 11017.50ns INFO [00011019] Port=0 WR @07=E73196BEEB69EB89DC + 11017.50ns INFO [00011019] Port=0 RD @05 + 11018.50ns INFO [00011020] * RD COMPARE * port=1 adr=06 act=A127CDB81257AEEF66 exp=A127CDB81257AEEF66 + 11018.50ns INFO [00011020] Port=0 RD @04 + 11018.50ns INFO [00011020] Port=1 RD @02 + 11019.50ns INFO [00011021] * RD COMPARE * port=0 adr=05 act=6F825CFA4AD02B2E22 exp=6F825CFA4AD02B2E22 + 11019.50ns INFO [00011021] Port=0 RD @07 + 11020.50ns INFO [00011022] * RD COMPARE * port=0 adr=04 act=065E2D2029D12A81AF exp=065E2D2029D12A81AF + 11020.50ns INFO [00011022] * RD COMPARE * port=1 adr=02 act=556D4A9729CA61F0D4 exp=556D4A9729CA61F0D4 + 11020.50ns INFO [00011022] Port=0 RD @07 + 11021.50ns INFO [00011023] * RD COMPARE * port=0 adr=07 act=E73196BEEB69EB89DC exp=E73196BEEB69EB89DC + 11021.50ns INFO [00011023] Port=0 WR @04=C1CB3CC949EDB89C87 + 11021.50ns INFO [00011023] Port=0 RD @01 + 11021.50ns INFO [00011023] Port=1 RD @03 + 11022.50ns INFO [00011024] * RD COMPARE * port=0 adr=07 act=E73196BEEB69EB89DC exp=E73196BEEB69EB89DC + 11023.50ns INFO [00011025] * RD COMPARE * port=0 adr=01 act=799D25B1CD910F6717 exp=799D25B1CD910F6717 + 11023.50ns INFO [00011025] * RD COMPARE * port=1 adr=03 act=6767DBE33D950FB2D7 exp=6767DBE33D950FB2D7 + 11024.50ns INFO [00011026] Port=0 RD @03 + 11025.50ns INFO [00011027] Port=1 RD @04 + 11026.50ns INFO [00011028] * RD COMPARE * port=0 adr=03 act=6767DBE33D950FB2D7 exp=6767DBE33D950FB2D7 + 11026.50ns INFO [00011028] Port=0 RD @04 + 11026.50ns INFO [00011028] Port=1 RD @01 + 11027.50ns INFO [00011029] * RD COMPARE * port=1 adr=04 act=C1CB3CC949EDB89C87 exp=C1CB3CC949EDB89C87 + 11027.50ns INFO [00011029] Port=0 WR @04=B59223525EBB7C291A + 11028.50ns INFO [00011030] * RD COMPARE * port=0 adr=04 act=C1CB3CC949EDB89C87 exp=C1CB3CC949EDB89C87 + 11028.50ns INFO [00011030] * RD COMPARE * port=1 adr=01 act=799D25B1CD910F6717 exp=799D25B1CD910F6717 + 11028.50ns INFO [00011030] Port=1 RD @07 + 11029.50ns INFO [00011031] Port=0 WR @05=374762C438A870FBCE + 11029.50ns INFO [00011031] Port=0 RD @04 + 11030.50ns INFO [00011032] * RD COMPARE * port=1 adr=07 act=E73196BEEB69EB89DC exp=E73196BEEB69EB89DC + 11030.50ns INFO [00011032] Port=0 RD @00 + 11031.50ns INFO [00011033] * RD COMPARE * port=0 adr=04 act=B59223525EBB7C291A exp=B59223525EBB7C291A + 11032.50ns INFO [00011034] * RD COMPARE * port=0 adr=00 act=0800B3BCE26594744F exp=0800B3BCE26594744F + 11032.50ns INFO [00011034] Port=0 WR @00=9CD7CEA2782120A109 + 11032.50ns INFO [00011034] Port=0 RD @02 + 11032.50ns INFO [00011034] Port=1 RD @03 + 11033.50ns INFO [00011035] Port=0 WR @07=0B8C02DD177D1DB1DA + 11034.50ns INFO [00011036] * RD COMPARE * port=0 adr=02 act=556D4A9729CA61F0D4 exp=556D4A9729CA61F0D4 + 11034.50ns INFO [00011036] * RD COMPARE * port=1 adr=03 act=6767DBE33D950FB2D7 exp=6767DBE33D950FB2D7 + 11034.50ns INFO [00011036] Port=0 RD @05 + 11035.50ns INFO [00011037] Port=0 WR @07=66E85EB52BA74E9452 + 11035.50ns INFO [00011037] Port=1 RD @03 + 11036.50ns INFO [00011038] * RD COMPARE * port=0 adr=05 act=374762C438A870FBCE exp=374762C438A870FBCE + 11036.50ns INFO [00011038] Port=0 WR @07=6B99BE99F40AA6B698 + 11037.50ns INFO [00011039] * RD COMPARE * port=1 adr=03 act=6767DBE33D950FB2D7 exp=6767DBE33D950FB2D7 + 11037.50ns INFO [00011039] Port=0 RD @00 + 11038.50ns INFO [00011040] Port=0 RD @06 + 11038.50ns INFO [00011040] Port=1 RD @03 + 11039.50ns INFO [00011041] * RD COMPARE * port=0 adr=00 act=9CD7CEA2782120A109 exp=9CD7CEA2782120A109 + 11039.50ns INFO [00011041] Port=0 RD @00 + 11039.50ns INFO [00011041] Port=1 RD @06 + 11040.50ns INFO [00011042] * RD COMPARE * port=0 adr=06 act=A127CDB81257AEEF66 exp=A127CDB81257AEEF66 + 11040.50ns INFO [00011042] * RD COMPARE * port=1 adr=03 act=6767DBE33D950FB2D7 exp=6767DBE33D950FB2D7 + 11040.50ns INFO [00011042] Port=0 WR @01=4404136916BAA18BFA + 11040.50ns INFO [00011042] Port=0 RD @06 + 11041.50ns INFO [00011043] * RD COMPARE * port=0 adr=00 act=9CD7CEA2782120A109 exp=9CD7CEA2782120A109 + 11041.50ns INFO [00011043] * RD COMPARE * port=1 adr=06 act=A127CDB81257AEEF66 exp=A127CDB81257AEEF66 + 11041.50ns INFO [00011043] Port=0 RD @00 + 11042.50ns INFO [00011044] * RD COMPARE * port=0 adr=06 act=A127CDB81257AEEF66 exp=A127CDB81257AEEF66 + 11042.50ns INFO [00011044] Port=0 RD @00 + 11043.50ns INFO [00011045] * RD COMPARE * port=0 adr=00 act=9CD7CEA2782120A109 exp=9CD7CEA2782120A109 + 11043.50ns INFO [00011045] Port=0 WR @03=2271661AD731BAF31F + 11044.50ns INFO [00011046] * RD COMPARE * port=0 adr=00 act=9CD7CEA2782120A109 exp=9CD7CEA2782120A109 + 11044.50ns INFO [00011046] Port=0 RD @03 + 11044.50ns INFO [00011046] Port=1 RD @00 + 11045.50ns INFO [00011047] Port=1 RD @01 + 11046.50ns INFO [00011048] * RD COMPARE * port=0 adr=03 act=2271661AD731BAF31F exp=2271661AD731BAF31F + 11046.50ns INFO [00011048] * RD COMPARE * port=1 adr=00 act=9CD7CEA2782120A109 exp=9CD7CEA2782120A109 + 11047.50ns INFO [00011049] * RD COMPARE * port=1 adr=01 act=4404136916BAA18BFA exp=4404136916BAA18BFA + 11048.50ns INFO [00011050] Port=0 RD @02 + 11049.50ns INFO [00011051] Port=0 RD @00 + 11049.50ns INFO [00011051] Port=1 RD @04 + 11050.50ns INFO [00011052] * RD COMPARE * port=0 adr=02 act=556D4A9729CA61F0D4 exp=556D4A9729CA61F0D4 + 11051.50ns INFO [00011053] * RD COMPARE * port=0 adr=00 act=9CD7CEA2782120A109 exp=9CD7CEA2782120A109 + 11051.50ns INFO [00011053] * RD COMPARE * port=1 adr=04 act=B59223525EBB7C291A exp=B59223525EBB7C291A + 11051.50ns INFO [00011053] Port=1 RD @02 + 11053.50ns INFO [00011055] * RD COMPARE * port=1 adr=02 act=556D4A9729CA61F0D4 exp=556D4A9729CA61F0D4 + 11054.50ns INFO [00011056] Port=0 WR @07=032C7AF66E7A4243D6 + 11055.50ns INFO [00011057] Port=0 WR @07=DCB67E333361F10737 + 11055.50ns INFO [00011057] Port=0 RD @03 + 11056.50ns INFO [00011058] Port=0 WR @06=E3F158625B44202ED0 + 11056.50ns INFO [00011058] Port=0 RD @00 + 11056.50ns INFO [00011058] Port=1 RD @01 + 11057.50ns INFO [00011059] * RD COMPARE * port=0 adr=03 act=2271661AD731BAF31F exp=2271661AD731BAF31F + 11057.50ns INFO [00011059] Port=0 WR @05=00ED1BBC176B66FF25 + 11058.50ns INFO [00011060] * RD COMPARE * port=0 adr=00 act=9CD7CEA2782120A109 exp=9CD7CEA2782120A109 + 11058.50ns INFO [00011060] * RD COMPARE * port=1 adr=01 act=4404136916BAA18BFA exp=4404136916BAA18BFA + 11059.50ns INFO [00011061] Port=0 WR @01=6FC041FE2A662F87EB + 11059.50ns INFO [00011061] Port=0 RD @06 + 11060.50ns INFO [00011062] Port=0 WR @07=B4BDD54F62971CD3E3 + 11061.50ns INFO [00011063] * RD COMPARE * port=0 adr=06 act=E3F158625B44202ED0 exp=E3F158625B44202ED0 + 11061.50ns INFO [00011063] Port=0 RD @05 + 11061.50ns INFO [00011063] Port=1 RD @05 + 11063.50ns INFO [00011065] * RD COMPARE * port=0 adr=05 act=00ED1BBC176B66FF25 exp=00ED1BBC176B66FF25 + 11063.50ns INFO [00011065] * RD COMPARE * port=1 adr=05 act=00ED1BBC176B66FF25 exp=00ED1BBC176B66FF25 + 11063.50ns INFO [00011065] Port=0 WR @00=90CA299D14067EBA12 + 11063.50ns INFO [00011065] Port=0 RD @06 + 11064.50ns INFO [00011066] Port=0 RD @07 + 11065.50ns INFO [00011067] * RD COMPARE * port=0 adr=06 act=E3F158625B44202ED0 exp=E3F158625B44202ED0 + 11065.50ns INFO [00011067] Port=0 WR @00=EBF736BDC719328676 + 11065.50ns INFO [00011067] Port=0 RD @05 + 11066.50ns INFO [00011068] * RD COMPARE * port=0 adr=07 act=B4BDD54F62971CD3E3 exp=B4BDD54F62971CD3E3 + 11066.50ns INFO [00011068] Port=0 RD @02 + 11067.50ns INFO [00011069] * RD COMPARE * port=0 adr=05 act=00ED1BBC176B66FF25 exp=00ED1BBC176B66FF25 + 11068.50ns INFO [00011070] * RD COMPARE * port=0 adr=02 act=556D4A9729CA61F0D4 exp=556D4A9729CA61F0D4 + 11070.50ns INFO [00011072] Port=0 WR @01=F8F7A3B2B49A3F9FD4 + 11071.50ns INFO [00011073] Port=0 WR @03=182A2ACAD99E95B99C + 11071.50ns INFO [00011073] Port=0 RD @00 + 11071.50ns INFO [00011073] Port=1 RD @04 + 11073.50ns INFO [00011075] * RD COMPARE * port=0 adr=00 act=EBF736BDC719328676 exp=EBF736BDC719328676 + 11073.50ns INFO [00011075] * RD COMPARE * port=1 adr=04 act=B59223525EBB7C291A exp=B59223525EBB7C291A + 11073.50ns INFO [00011075] Port=0 WR @05=07C50834E0C09123E4 + 11074.50ns INFO [00011076] Port=1 RD @00 + 11075.50ns INFO [00011077] Port=0 RD @04 + 11075.50ns INFO [00011077] Port=1 RD @05 + 11076.50ns INFO [00011078] * RD COMPARE * port=1 adr=00 act=EBF736BDC719328676 exp=EBF736BDC719328676 + 11076.50ns INFO [00011078] Port=0 WR @00=88F73B68F761EC99B0 + 11076.50ns INFO [00011078] Port=1 RD @03 + 11077.50ns INFO [00011079] * RD COMPARE * port=0 adr=04 act=B59223525EBB7C291A exp=B59223525EBB7C291A + 11077.50ns INFO [00011079] * RD COMPARE * port=1 adr=05 act=07C50834E0C09123E4 exp=07C50834E0C09123E4 + 11077.50ns INFO [00011079] Port=0 RD @03 + 11077.50ns INFO [00011079] Port=1 RD @06 + 11078.50ns INFO [00011080] * RD COMPARE * port=1 adr=03 act=182A2ACAD99E95B99C exp=182A2ACAD99E95B99C + 11078.50ns INFO [00011080] Port=0 WR @02=8ED8F2F2AE0AF5CB6D + 11078.50ns INFO [00011080] Port=0 RD @04 + 11079.50ns INFO [00011081] * RD COMPARE * port=0 adr=03 act=182A2ACAD99E95B99C exp=182A2ACAD99E95B99C + 11079.50ns INFO [00011081] * RD COMPARE * port=1 adr=06 act=E3F158625B44202ED0 exp=E3F158625B44202ED0 + 11079.50ns INFO [00011081] Port=1 RD @06 + 11080.50ns INFO [00011082] * RD COMPARE * port=0 adr=04 act=B59223525EBB7C291A exp=B59223525EBB7C291A + 11080.50ns INFO [00011082] Port=0 WR @06=8E41F1F98FE2B8C1E2 + 11081.50ns INFO [00011083] * RD COMPARE * port=1 adr=06 act=E3F158625B44202ED0 exp=E3F158625B44202ED0 + 11081.50ns INFO [00011083] Port=0 RD @03 + 11082.50ns INFO [00011084] Port=1 RD @05 + 11083.50ns INFO [00011085] * RD COMPARE * port=0 adr=03 act=182A2ACAD99E95B99C exp=182A2ACAD99E95B99C + 11083.50ns INFO [00011085] Port=1 RD @05 + 11084.50ns INFO [00011086] * RD COMPARE * port=1 adr=05 act=07C50834E0C09123E4 exp=07C50834E0C09123E4 + 11085.50ns INFO [00011087] * RD COMPARE * port=1 adr=05 act=07C50834E0C09123E4 exp=07C50834E0C09123E4 + 11085.50ns INFO [00011087] Port=0 RD @07 + 11086.50ns INFO [00011088] Port=0 WR @07=013857DA26A89FD511 + 11086.50ns INFO [00011088] Port=0 RD @00 + 11087.50ns INFO [00011089] * RD COMPARE * port=0 adr=07 act=B4BDD54F62971CD3E3 exp=B4BDD54F62971CD3E3 + 11087.50ns INFO [00011089] Port=0 WR @02=0358170275F068B86B + 11087.50ns INFO [00011089] Port=0 RD @05 + 11088.50ns INFO [00011090] * RD COMPARE * port=0 adr=00 act=88F73B68F761EC99B0 exp=88F73B68F761EC99B0 + 11088.50ns INFO [00011090] Port=1 RD @05 + 11089.50ns INFO [00011091] * RD COMPARE * port=0 adr=05 act=07C50834E0C09123E4 exp=07C50834E0C09123E4 + 11089.50ns INFO [00011091] Port=1 RD @06 + 11090.50ns INFO [00011092] * RD COMPARE * port=1 adr=05 act=07C50834E0C09123E4 exp=07C50834E0C09123E4 + 11090.50ns INFO [00011092] Port=0 WR @05=8E6D2A2C3AFA573F9F + 11090.50ns INFO [00011092] Port=0 RD @02 + 11091.50ns INFO [00011093] * RD COMPARE * port=1 adr=06 act=8E41F1F98FE2B8C1E2 exp=8E41F1F98FE2B8C1E2 + 11091.50ns INFO [00011093] Port=0 WR @06=30B0208697067EC720 + 11092.50ns INFO [00011094] * RD COMPARE * port=0 adr=02 act=0358170275F068B86B exp=0358170275F068B86B + 11092.50ns INFO [00011094] Port=0 RD @05 + 11093.50ns INFO [00011095] Port=0 RD @07 + 11093.50ns INFO [00011095] Port=1 RD @05 + 11094.50ns INFO [00011096] * RD COMPARE * port=0 adr=05 act=8E6D2A2C3AFA573F9F exp=8E6D2A2C3AFA573F9F + 11095.50ns INFO [00011097] * RD COMPARE * port=0 adr=07 act=013857DA26A89FD511 exp=013857DA26A89FD511 + 11095.50ns INFO [00011097] * RD COMPARE * port=1 adr=05 act=8E6D2A2C3AFA573F9F exp=8E6D2A2C3AFA573F9F + 11095.50ns INFO [00011097] Port=1 RD @01 + 11096.50ns INFO [00011098] Port=0 WR @03=31F0F87819B4F6762B + 11096.50ns INFO [00011098] Port=1 RD @01 + 11097.50ns INFO [00011099] * RD COMPARE * port=1 adr=01 act=F8F7A3B2B49A3F9FD4 exp=F8F7A3B2B49A3F9FD4 + 11097.50ns INFO [00011099] Port=0 RD @02 + 11097.50ns INFO [00011099] Port=1 RD @04 + 11098.00ns INFO [00011100] [00011100] ...tick... + 11098.50ns INFO [00011100] * RD COMPARE * port=1 adr=01 act=F8F7A3B2B49A3F9FD4 exp=F8F7A3B2B49A3F9FD4 + 11099.50ns INFO [00011101] * RD COMPARE * port=0 adr=02 act=0358170275F068B86B exp=0358170275F068B86B + 11099.50ns INFO [00011101] * RD COMPARE * port=1 adr=04 act=B59223525EBB7C291A exp=B59223525EBB7C291A + 11099.50ns INFO [00011101] Port=0 RD @02 + 11101.50ns INFO [00011103] * RD COMPARE * port=0 adr=02 act=0358170275F068B86B exp=0358170275F068B86B + 11101.50ns INFO [00011103] Port=0 WR @06=A1CE8B16364BD9FCBB + 11102.50ns INFO [00011104] Port=0 WR @01=8F3D5BECE791FC8C07 + 11103.50ns INFO [00011105] Port=0 WR @04=389C6B391417932CDB + 11103.50ns INFO [00011105] Port=0 RD @02 + 11103.50ns INFO [00011105] Port=1 RD @01 + 11104.50ns INFO [00011106] Port=0 WR @05=363D43F18DC631FFAB + 11105.50ns INFO [00011107] * RD COMPARE * port=0 adr=02 act=0358170275F068B86B exp=0358170275F068B86B + 11105.50ns INFO [00011107] * RD COMPARE * port=1 adr=01 act=8F3D5BECE791FC8C07 exp=8F3D5BECE791FC8C07 + 11105.50ns INFO [00011107] Port=0 WR @07=677ECB9CA359242591 + 11107.50ns INFO [00011109] Port=0 RD @07 + 11108.50ns INFO [00011110] Port=0 RD @02 + 11109.50ns INFO [00011111] * RD COMPARE * port=0 adr=07 act=677ECB9CA359242591 exp=677ECB9CA359242591 + 11109.50ns INFO [00011111] Port=0 WR @03=B882DA67D0BE5CF0B4 + 11110.50ns INFO [00011112] * RD COMPARE * port=0 adr=02 act=0358170275F068B86B exp=0358170275F068B86B + 11111.50ns INFO [00011113] Port=0 RD @04 + 11111.50ns INFO [00011113] Port=1 RD @02 + 11112.50ns INFO [00011114] Port=0 WR @07=9129F743D636630C32 + 11112.50ns INFO [00011114] Port=0 RD @00 + 11113.50ns INFO [00011115] * RD COMPARE * port=0 adr=04 act=389C6B391417932CDB exp=389C6B391417932CDB + 11113.50ns INFO [00011115] * RD COMPARE * port=1 adr=02 act=0358170275F068B86B exp=0358170275F068B86B + 11113.50ns INFO [00011115] Port=0 WR @01=C8A3338D5AB165D4B9 + 11113.50ns INFO [00011115] Port=0 RD @05 + 11114.50ns INFO [00011116] * RD COMPARE * port=0 adr=00 act=88F73B68F761EC99B0 exp=88F73B68F761EC99B0 + 11115.50ns INFO [00011117] * RD COMPARE * port=0 adr=05 act=363D43F18DC631FFAB exp=363D43F18DC631FFAB + 11115.50ns INFO [00011117] Port=1 RD @06 + 11117.50ns INFO [00011119] * RD COMPARE * port=1 adr=06 act=A1CE8B16364BD9FCBB exp=A1CE8B16364BD9FCBB + 11117.50ns INFO [00011119] Port=1 RD @02 + 11119.50ns INFO [00011121] * RD COMPARE * port=1 adr=02 act=0358170275F068B86B exp=0358170275F068B86B + 11119.50ns INFO [00011121] Port=0 WR @03=E1C9BBCA20F9188547 + 11119.50ns INFO [00011121] Port=0 RD @01 + 11120.50ns INFO [00011122] Port=1 RD @02 + 11121.50ns INFO [00011123] * RD COMPARE * port=0 adr=01 act=C8A3338D5AB165D4B9 exp=C8A3338D5AB165D4B9 + 11121.50ns INFO [00011123] Port=0 RD @04 + 11122.50ns INFO [00011124] * RD COMPARE * port=1 adr=02 act=0358170275F068B86B exp=0358170275F068B86B + 11122.50ns INFO [00011124] Port=0 RD @05 + 11123.50ns INFO [00011125] * RD COMPARE * port=0 adr=04 act=389C6B391417932CDB exp=389C6B391417932CDB + 11123.50ns INFO [00011125] Port=1 RD @05 + 11124.50ns INFO [00011126] * RD COMPARE * port=0 adr=05 act=363D43F18DC631FFAB exp=363D43F18DC631FFAB + 11124.50ns INFO [00011126] Port=1 RD @02 + 11125.50ns INFO [00011127] * RD COMPARE * port=1 adr=05 act=363D43F18DC631FFAB exp=363D43F18DC631FFAB + 11125.50ns INFO [00011127] Port=0 RD @06 + 11126.50ns INFO [00011128] * RD COMPARE * port=1 adr=02 act=0358170275F068B86B exp=0358170275F068B86B + 11126.50ns INFO [00011128] Port=0 WR @06=E733E36A8423077D4A + 11127.50ns INFO [00011129] * RD COMPARE * port=0 adr=06 act=A1CE8B16364BD9FCBB exp=A1CE8B16364BD9FCBB + 11127.50ns INFO [00011129] Port=0 WR @01=ECF6DC8B46A9319F3F + 11127.50ns INFO [00011129] Port=1 RD @06 + 11128.50ns INFO [00011130] Port=0 RD @05 + 11128.50ns INFO [00011130] Port=1 RD @03 + 11129.50ns INFO [00011131] * RD COMPARE * port=1 adr=06 act=E733E36A8423077D4A exp=E733E36A8423077D4A + 11129.50ns INFO [00011131] Port=0 WR @02=A77E93AAD00868319B + 11129.50ns INFO [00011131] Port=1 RD @01 + 11130.50ns INFO [00011132] * RD COMPARE * port=0 adr=05 act=363D43F18DC631FFAB exp=363D43F18DC631FFAB + 11130.50ns INFO [00011132] * RD COMPARE * port=1 adr=03 act=E1C9BBCA20F9188547 exp=E1C9BBCA20F9188547 + 11130.50ns INFO [00011132] Port=0 WR @05=00BF7D89693B3CAC33 + 11131.50ns INFO [00011133] * RD COMPARE * port=1 adr=01 act=ECF6DC8B46A9319F3F exp=ECF6DC8B46A9319F3F + 11131.50ns INFO [00011133] Port=0 WR @01=E9316C58ECAAD6AA08 + 11132.50ns INFO [00011134] Port=1 RD @05 + 11133.50ns INFO [00011135] Port=0 WR @07=36547F42767B8F7A3D + 11133.50ns INFO [00011135] Port=0 RD @05 + 11134.50ns INFO [00011136] * RD COMPARE * port=1 adr=05 act=00BF7D89693B3CAC33 exp=00BF7D89693B3CAC33 + 11135.50ns INFO [00011137] * RD COMPARE * port=0 adr=05 act=00BF7D89693B3CAC33 exp=00BF7D89693B3CAC33 + 11135.50ns INFO [00011137] Port=0 RD @02 + 11135.50ns INFO [00011137] Port=1 RD @07 + 11136.50ns INFO [00011138] Port=0 WR @05=19E491FEFE5DBFE27B + 11136.50ns INFO [00011138] Port=0 RD @07 + 11137.50ns INFO [00011139] * RD COMPARE * port=0 adr=02 act=A77E93AAD00868319B exp=A77E93AAD00868319B + 11137.50ns INFO [00011139] * RD COMPARE * port=1 adr=07 act=36547F42767B8F7A3D exp=36547F42767B8F7A3D + 11137.50ns INFO [00011139] Port=0 WR @00=E1EF2D14C9D273BA09 + 11138.50ns INFO [00011140] * RD COMPARE * port=0 adr=07 act=36547F42767B8F7A3D exp=36547F42767B8F7A3D + 11138.50ns INFO [00011140] Port=0 WR @07=A4946AEEDC6CE7D452 + 11138.50ns INFO [00011140] Port=1 RD @06 + 11139.50ns INFO [00011141] Port=0 WR @00=737ABCF60B9EEEE199 + 11140.50ns INFO [00011142] * RD COMPARE * port=1 adr=06 act=E733E36A8423077D4A exp=E733E36A8423077D4A + 11142.50ns INFO [00011144] Port=0 RD @01 + 11142.50ns INFO [00011144] Port=1 RD @06 + 11143.50ns INFO [00011145] Port=0 RD @03 + 11144.50ns INFO [00011146] * RD COMPARE * port=0 adr=01 act=E9316C58ECAAD6AA08 exp=E9316C58ECAAD6AA08 + 11144.50ns INFO [00011146] * RD COMPARE * port=1 adr=06 act=E733E36A8423077D4A exp=E733E36A8423077D4A + 11144.50ns INFO [00011146] Port=0 WR @06=6D398AFBCE9B1D0404 + 11145.50ns INFO [00011147] * RD COMPARE * port=0 adr=03 act=E1C9BBCA20F9188547 exp=E1C9BBCA20F9188547 + 11145.50ns INFO [00011147] Port=1 RD @04 + 11146.50ns INFO [00011148] Port=1 RD @00 + 11147.50ns INFO [00011149] * RD COMPARE * port=1 adr=04 act=389C6B391417932CDB exp=389C6B391417932CDB + 11147.50ns INFO [00011149] Port=0 RD @04 + 11148.50ns INFO [00011150] * RD COMPARE * port=1 adr=00 act=737ABCF60B9EEEE199 exp=737ABCF60B9EEEE199 + 11148.50ns INFO [00011150] Port=0 RD @04 + 11149.50ns INFO [00011151] * RD COMPARE * port=0 adr=04 act=389C6B391417932CDB exp=389C6B391417932CDB + 11149.50ns INFO [00011151] Port=0 WR @02=D98FDACDF4FC41FC8D + 11150.50ns INFO [00011152] * RD COMPARE * port=0 adr=04 act=389C6B391417932CDB exp=389C6B391417932CDB + 11150.50ns INFO [00011152] Port=0 WR @05=C02BA861861362425C + 11150.50ns INFO [00011152] Port=0 RD @07 + 11151.50ns INFO [00011153] Port=0 RD @06 + 11152.50ns INFO [00011154] * RD COMPARE * port=0 adr=07 act=A4946AEEDC6CE7D452 exp=A4946AEEDC6CE7D452 + 11152.50ns INFO [00011154] Port=0 RD @04 + 11152.50ns INFO [00011154] Port=1 RD @01 + 11153.50ns INFO [00011155] * RD COMPARE * port=0 adr=06 act=6D398AFBCE9B1D0404 exp=6D398AFBCE9B1D0404 + 11153.50ns INFO [00011155] Port=0 WR @00=B8C611FA612FFFBDF5 + 11153.50ns INFO [00011155] Port=0 RD @07 + 11154.50ns INFO [00011156] * RD COMPARE * port=0 adr=04 act=389C6B391417932CDB exp=389C6B391417932CDB + 11154.50ns INFO [00011156] * RD COMPARE * port=1 adr=01 act=E9316C58ECAAD6AA08 exp=E9316C58ECAAD6AA08 + 11154.50ns INFO [00011156] Port=0 WR @00=F0A2C727569310BD7B + 11155.50ns INFO [00011157] * RD COMPARE * port=0 adr=07 act=A4946AEEDC6CE7D452 exp=A4946AEEDC6CE7D452 + 11155.50ns INFO [00011157] Port=0 RD @01 + 11156.50ns INFO [00011158] Port=0 WR @06=FA386F6DB5E4FF699B + 11156.50ns INFO [00011158] Port=0 RD @01 + 11156.50ns INFO [00011158] Port=1 RD @03 + 11157.50ns INFO [00011159] * RD COMPARE * port=0 adr=01 act=E9316C58ECAAD6AA08 exp=E9316C58ECAAD6AA08 + 11157.50ns INFO [00011159] Port=0 RD @03 + 11158.50ns INFO [00011160] * RD COMPARE * port=0 adr=01 act=E9316C58ECAAD6AA08 exp=E9316C58ECAAD6AA08 + 11158.50ns INFO [00011160] * RD COMPARE * port=1 adr=03 act=E1C9BBCA20F9188547 exp=E1C9BBCA20F9188547 + 11159.50ns INFO [00011161] * RD COMPARE * port=0 adr=03 act=E1C9BBCA20F9188547 exp=E1C9BBCA20F9188547 + 11159.50ns INFO [00011161] Port=0 RD @05 + 11159.50ns INFO [00011161] Port=1 RD @03 + 11161.50ns INFO [00011163] * RD COMPARE * port=0 adr=05 act=C02BA861861362425C exp=C02BA861861362425C + 11161.50ns INFO [00011163] * RD COMPARE * port=1 adr=03 act=E1C9BBCA20F9188547 exp=E1C9BBCA20F9188547 + 11161.50ns INFO [00011163] Port=1 RD @03 + 11162.50ns INFO [00011164] Port=1 RD @00 + 11163.50ns INFO [00011165] * RD COMPARE * port=1 adr=03 act=E1C9BBCA20F9188547 exp=E1C9BBCA20F9188547 + 11163.50ns INFO [00011165] Port=0 WR @07=95155286944C994B21 + 11163.50ns INFO [00011165] Port=0 RD @02 + 11164.50ns INFO [00011166] * RD COMPARE * port=1 adr=00 act=F0A2C727569310BD7B exp=F0A2C727569310BD7B + 11164.50ns INFO [00011166] Port=0 RD @03 + 11165.50ns INFO [00011167] * RD COMPARE * port=0 adr=02 act=D98FDACDF4FC41FC8D exp=D98FDACDF4FC41FC8D + 11165.50ns INFO [00011167] Port=0 WR @02=81F55BD8477E92A359 + 11165.50ns INFO [00011167] Port=0 RD @01 + 11165.50ns INFO [00011167] Port=1 RD @06 + 11166.50ns INFO [00011168] * RD COMPARE * port=0 adr=03 act=E1C9BBCA20F9188547 exp=E1C9BBCA20F9188547 + 11166.50ns INFO [00011168] Port=0 WR @05=55035E307EA70E31C4 + 11166.50ns INFO [00011168] Port=0 RD @03 + 11166.50ns INFO [00011168] Port=1 RD @01 + 11167.50ns INFO [00011169] * RD COMPARE * port=0 adr=01 act=E9316C58ECAAD6AA08 exp=E9316C58ECAAD6AA08 + 11167.50ns INFO [00011169] * RD COMPARE * port=1 adr=06 act=FA386F6DB5E4FF699B exp=FA386F6DB5E4FF699B + 11167.50ns INFO [00011169] Port=1 RD @02 + 11168.50ns INFO [00011170] * RD COMPARE * port=0 adr=03 act=E1C9BBCA20F9188547 exp=E1C9BBCA20F9188547 + 11168.50ns INFO [00011170] * RD COMPARE * port=1 adr=01 act=E9316C58ECAAD6AA08 exp=E9316C58ECAAD6AA08 + 11168.50ns INFO [00011170] Port=0 RD @01 + 11168.50ns INFO [00011170] Port=1 RD @03 + 11169.50ns INFO [00011171] * RD COMPARE * port=1 adr=02 act=81F55BD8477E92A359 exp=81F55BD8477E92A359 + 11170.50ns INFO [00011172] * RD COMPARE * port=0 adr=01 act=E9316C58ECAAD6AA08 exp=E9316C58ECAAD6AA08 + 11170.50ns INFO [00011172] * RD COMPARE * port=1 adr=03 act=E1C9BBCA20F9188547 exp=E1C9BBCA20F9188547 + 11171.50ns INFO [00011173] Port=0 WR @05=E7F97A5D90835AD77C + 11171.50ns INFO [00011173] Port=0 RD @04 + 11172.50ns INFO [00011174] Port=0 WR @00=72106A492A2A6FCEC2 + 11173.50ns INFO [00011175] * RD COMPARE * port=0 adr=04 act=389C6B391417932CDB exp=389C6B391417932CDB + 11173.50ns INFO [00011175] Port=0 WR @07=619B8E1374C1AF5C02 + 11175.50ns INFO [00011177] Port=0 RD @02 + 11175.50ns INFO [00011177] Port=1 RD @04 + 11176.50ns INFO [00011178] Port=1 RD @01 + 11177.50ns INFO [00011179] * RD COMPARE * port=0 adr=02 act=81F55BD8477E92A359 exp=81F55BD8477E92A359 + 11177.50ns INFO [00011179] * RD COMPARE * port=1 adr=04 act=389C6B391417932CDB exp=389C6B391417932CDB + 11177.50ns INFO [00011179] Port=0 WR @06=EA224C751D9A82E690 + 11177.50ns INFO [00011179] Port=0 RD @01 + 11177.50ns INFO [00011179] Port=1 RD @05 + 11178.50ns INFO [00011180] * RD COMPARE * port=1 adr=01 act=E9316C58ECAAD6AA08 exp=E9316C58ECAAD6AA08 + 11179.50ns INFO [00011181] * RD COMPARE * port=0 adr=01 act=E9316C58ECAAD6AA08 exp=E9316C58ECAAD6AA08 + 11179.50ns INFO [00011181] * RD COMPARE * port=1 adr=05 act=E7F97A5D90835AD77C exp=E7F97A5D90835AD77C + 11180.50ns INFO [00011182] Port=1 RD @01 + 11181.50ns INFO [00011183] Port=0 WR @07=0FCBBBDDCB607304F0 + 11182.50ns INFO [00011184] * RD COMPARE * port=1 adr=01 act=E9316C58ECAAD6AA08 exp=E9316C58ECAAD6AA08 + 11183.50ns INFO [00011185] Port=0 WR @02=7024FDCD32B6D10340 + 11183.50ns INFO [00011185] Port=1 RD @03 + 11184.50ns INFO [00011186] Port=0 WR @04=E7A9A2AF7E9230CABE + 11184.50ns INFO [00011186] Port=0 RD @00 + 11185.50ns INFO [00011187] * RD COMPARE * port=1 adr=03 act=E1C9BBCA20F9188547 exp=E1C9BBCA20F9188547 + 11185.50ns INFO [00011187] Port=1 RD @06 + 11186.50ns INFO [00011188] * RD COMPARE * port=0 adr=00 act=72106A492A2A6FCEC2 exp=72106A492A2A6FCEC2 + 11186.50ns INFO [00011188] Port=0 RD @02 + 11186.50ns INFO [00011188] Port=1 RD @07 + 11187.50ns INFO [00011189] * RD COMPARE * port=1 adr=06 act=EA224C751D9A82E690 exp=EA224C751D9A82E690 + 11187.50ns INFO [00011189] Port=0 WR @03=6A12715D8D9F9D0FDE + 11188.50ns INFO [00011190] * RD COMPARE * port=0 adr=02 act=7024FDCD32B6D10340 exp=7024FDCD32B6D10340 + 11188.50ns INFO [00011190] * RD COMPARE * port=1 adr=07 act=0FCBBBDDCB607304F0 exp=0FCBBBDDCB607304F0 + 11188.50ns INFO [00011190] Port=0 WR @01=826E19BF4215E31346 + 11189.50ns INFO [00011191] Port=0 RD @02 + 11190.50ns INFO [00011192] Port=0 WR @00=9F94E8DA246C70B1DD + 11190.50ns INFO [00011192] Port=1 RD @04 + 11191.50ns INFO [00011193] * RD COMPARE * port=0 adr=02 act=7024FDCD32B6D10340 exp=7024FDCD32B6D10340 + 11191.50ns INFO [00011193] Port=0 RD @02 + 11192.50ns INFO [00011194] * RD COMPARE * port=1 adr=04 act=E7A9A2AF7E9230CABE exp=E7A9A2AF7E9230CABE + 11192.50ns INFO [00011194] Port=0 WR @00=EA9E11C777FFEF989F + 11192.50ns INFO [00011194] Port=0 RD @05 + 11193.50ns INFO [00011195] * RD COMPARE * port=0 adr=02 act=7024FDCD32B6D10340 exp=7024FDCD32B6D10340 + 11193.50ns INFO [00011195] Port=0 WR @00=97E18E8CCA3958BA7B + 11194.50ns INFO [00011196] * RD COMPARE * port=0 adr=05 act=E7F97A5D90835AD77C exp=E7F97A5D90835AD77C + 11194.50ns INFO [00011196] Port=1 RD @02 + 11195.50ns INFO [00011197] Port=0 RD @00 + 11196.50ns INFO [00011198] * RD COMPARE * port=1 adr=02 act=7024FDCD32B6D10340 exp=7024FDCD32B6D10340 + 11196.50ns INFO [00011198] Port=0 WR @01=EDA9EA4215CD37A02A + 11197.50ns INFO [00011199] * RD COMPARE * port=0 adr=00 act=97E18E8CCA3958BA7B exp=97E18E8CCA3958BA7B + 11197.50ns INFO [00011199] Port=0 WR @00=83B71D76AB76EDBB61 + 11198.00ns INFO [00011200] [00011200] ...tick... + 11198.50ns INFO [00011200] Port=0 WR @00=DE9C446EA619F66959 + 11199.50ns INFO [00011201] Port=0 WR @07=4A9FC55BF0D7B1AB90 + 11199.50ns INFO [00011201] Port=1 RD @00 + 11200.50ns INFO [00011202] Port=0 RD @06 + 11200.50ns INFO [00011202] Port=1 RD @02 + 11201.50ns INFO [00011203] * RD COMPARE * port=1 adr=00 act=DE9C446EA619F66959 exp=DE9C446EA619F66959 + 11201.50ns INFO [00011203] Port=0 WR @02=49406F67C53C274D6E + 11201.50ns INFO [00011203] Port=0 RD @05 + 11202.50ns INFO [00011204] * RD COMPARE * port=0 adr=06 act=EA224C751D9A82E690 exp=EA224C751D9A82E690 + 11202.50ns INFO [00011204] * RD COMPARE * port=1 adr=02 act=7024FDCD32B6D10340 exp=7024FDCD32B6D10340 + 11202.50ns INFO [00011204] Port=0 WR @07=9149A5E330AF8444A5 + 11202.50ns INFO [00011204] Port=0 RD @03 + 11203.50ns INFO [00011205] * RD COMPARE * port=0 adr=05 act=E7F97A5D90835AD77C exp=E7F97A5D90835AD77C + 11203.50ns INFO [00011205] Port=0 RD @02 + 11204.50ns INFO [00011206] * RD COMPARE * port=0 adr=03 act=6A12715D8D9F9D0FDE exp=6A12715D8D9F9D0FDE + 11204.50ns INFO [00011206] Port=0 WR @07=CD19FA70E7806E399C + 11204.50ns INFO [00011206] Port=0 RD @06 + 11204.50ns INFO [00011206] Port=1 RD @06 + 11205.50ns INFO [00011207] * RD COMPARE * port=0 adr=02 act=49406F67C53C274D6E exp=49406F67C53C274D6E + 11205.50ns INFO [00011207] Port=0 WR @03=52BEA99196299A891E + 11205.50ns INFO [00011207] Port=0 RD @02 + 11206.50ns INFO [00011208] * RD COMPARE * port=0 adr=06 act=EA224C751D9A82E690 exp=EA224C751D9A82E690 + 11206.50ns INFO [00011208] * RD COMPARE * port=1 adr=06 act=EA224C751D9A82E690 exp=EA224C751D9A82E690 + 11206.50ns INFO [00011208] Port=0 RD @07 + 11206.50ns INFO [00011208] Port=1 RD @02 + 11207.50ns INFO [00011209] * RD COMPARE * port=0 adr=02 act=49406F67C53C274D6E exp=49406F67C53C274D6E + 11208.50ns INFO [00011210] * RD COMPARE * port=0 adr=07 act=CD19FA70E7806E399C exp=CD19FA70E7806E399C + 11208.50ns INFO [00011210] * RD COMPARE * port=1 adr=02 act=49406F67C53C274D6E exp=49406F67C53C274D6E + 11208.50ns INFO [00011210] Port=0 RD @05 + 11208.50ns INFO [00011210] Port=1 RD @07 + 11209.50ns INFO [00011211] Port=0 WR @07=9389CAA215BB30686B + 11210.50ns INFO [00011212] * RD COMPARE * port=0 adr=05 act=E7F97A5D90835AD77C exp=E7F97A5D90835AD77C + 11210.50ns INFO [00011212] * RD COMPARE * port=1 adr=07 act=CD19FA70E7806E399C exp=CD19FA70E7806E399C + 11210.50ns INFO [00011212] Port=0 WR @07=B66291D9DB3FEF9223 + 11210.50ns INFO [00011212] Port=0 RD @03 + 11212.50ns INFO [00011214] * RD COMPARE * port=0 adr=03 act=52BEA99196299A891E exp=52BEA99196299A891E + 11212.50ns INFO [00011214] Port=0 RD @00 + 11212.50ns INFO [00011214] Port=1 RD @05 + 11213.50ns INFO [00011215] Port=0 WR @02=C0E2422445DB480C94 + 11214.50ns INFO [00011216] * RD COMPARE * port=0 adr=00 act=DE9C446EA619F66959 exp=DE9C446EA619F66959 + 11214.50ns INFO [00011216] * RD COMPARE * port=1 adr=05 act=E7F97A5D90835AD77C exp=E7F97A5D90835AD77C + 11214.50ns INFO [00011216] Port=1 RD @03 + 11216.50ns INFO [00011218] * RD COMPARE * port=1 adr=03 act=52BEA99196299A891E exp=52BEA99196299A891E + 11216.50ns INFO [00011218] Port=1 RD @06 + 11217.50ns INFO [00011219] Port=0 RD @00 + 11217.50ns INFO [00011219] Port=1 RD @04 + 11218.50ns INFO [00011220] * RD COMPARE * port=1 adr=06 act=EA224C751D9A82E690 exp=EA224C751D9A82E690 + 11219.50ns INFO [00011221] * RD COMPARE * port=0 adr=00 act=DE9C446EA619F66959 exp=DE9C446EA619F66959 + 11219.50ns INFO [00011221] * RD COMPARE * port=1 adr=04 act=E7A9A2AF7E9230CABE exp=E7A9A2AF7E9230CABE + 11221.50ns INFO [00011223] Port=1 RD @06 + 11222.50ns INFO [00011224] Port=0 WR @06=E6AABB366171FF2FEA + 11222.50ns INFO [00011224] Port=0 RD @04 + 11222.50ns INFO [00011224] Port=1 RD @03 + 11223.50ns INFO [00011225] * RD COMPARE * port=1 adr=06 act=EA224C751D9A82E690 exp=EA224C751D9A82E690 + 11223.50ns INFO [00011225] Port=0 WR @05=7E38026F43A1C46BD4 + 11223.50ns INFO [00011225] Port=0 RD @07 + 11223.50ns INFO [00011225] Port=1 RD @07 + 11224.50ns INFO [00011226] * RD COMPARE * port=0 adr=04 act=E7A9A2AF7E9230CABE exp=E7A9A2AF7E9230CABE + 11224.50ns INFO [00011226] * RD COMPARE * port=1 adr=03 act=52BEA99196299A891E exp=52BEA99196299A891E + 11225.50ns INFO [00011227] * RD COMPARE * port=0 adr=07 act=B66291D9DB3FEF9223 exp=B66291D9DB3FEF9223 + 11225.50ns INFO [00011227] * RD COMPARE * port=1 adr=07 act=B66291D9DB3FEF9223 exp=B66291D9DB3FEF9223 + 11226.50ns INFO [00011228] Port=0 WR @01=C62D739C98780FE926 + 11226.50ns INFO [00011228] Port=0 RD @05 + 11227.50ns INFO [00011229] Port=1 RD @02 + 11228.50ns INFO [00011230] * RD COMPARE * port=0 adr=05 act=7E38026F43A1C46BD4 exp=7E38026F43A1C46BD4 + 11229.50ns INFO [00011231] * RD COMPARE * port=1 adr=02 act=C0E2422445DB480C94 exp=C0E2422445DB480C94 + 11229.50ns INFO [00011231] Port=0 WR @01=F22348A44178DDE105 + 11229.50ns INFO [00011231] Port=1 RD @05 + 11230.50ns INFO [00011232] Port=0 WR @02=11E9AE808502EC1EDC + 11230.50ns INFO [00011232] Port=0 RD @06 + 11231.50ns INFO [00011233] * RD COMPARE * port=1 adr=05 act=7E38026F43A1C46BD4 exp=7E38026F43A1C46BD4 + 11231.50ns INFO [00011233] Port=0 RD @01 + 11232.50ns INFO [00011234] * RD COMPARE * port=0 adr=06 act=E6AABB366171FF2FEA exp=E6AABB366171FF2FEA + 11232.50ns INFO [00011234] Port=0 WR @05=CA2A1DB5E8AD4A941E + 11232.50ns INFO [00011234] Port=1 RD @04 + 11233.50ns INFO [00011235] * RD COMPARE * port=0 adr=01 act=F22348A44178DDE105 exp=F22348A44178DDE105 + 11233.50ns INFO [00011235] Port=0 WR @06=FF909D98D31BFD42B6 + 11233.50ns INFO [00011235] Port=1 RD @00 + 11234.50ns INFO [00011236] * RD COMPARE * port=1 adr=04 act=E7A9A2AF7E9230CABE exp=E7A9A2AF7E9230CABE + 11234.50ns INFO [00011236] Port=0 WR @04=4A9D98A8AA5DBEA190 + 11235.50ns INFO [00011237] * RD COMPARE * port=1 adr=00 act=DE9C446EA619F66959 exp=DE9C446EA619F66959 + 11235.50ns INFO [00011237] Port=0 WR @00=694A014C5B14BED737 + 11236.50ns INFO [00011238] Port=0 WR @02=465AE0797960F200EC + 11236.50ns INFO [00011238] Port=0 RD @01 + 11237.50ns INFO [00011239] Port=1 RD @07 + 11238.50ns INFO [00011240] * RD COMPARE * port=0 adr=01 act=F22348A44178DDE105 exp=F22348A44178DDE105 + 11238.50ns INFO [00011240] Port=0 WR @02=6F31BEDCA1D698C26C + 11238.50ns INFO [00011240] Port=0 RD @05 + 11239.50ns INFO [00011241] * RD COMPARE * port=1 adr=07 act=B66291D9DB3FEF9223 exp=B66291D9DB3FEF9223 + 11239.50ns INFO [00011241] Port=1 RD @02 + 11240.50ns INFO [00011242] * RD COMPARE * port=0 adr=05 act=CA2A1DB5E8AD4A941E exp=CA2A1DB5E8AD4A941E + 11240.50ns INFO [00011242] Port=0 WR @04=4F255697B28A6331A2 + 11241.50ns INFO [00011243] * RD COMPARE * port=1 adr=02 act=6F31BEDCA1D698C26C exp=6F31BEDCA1D698C26C + 11241.50ns INFO [00011243] Port=0 WR @02=27A1AF8154F2CA4093 + 11241.50ns INFO [00011243] Port=0 RD @06 + 11242.50ns INFO [00011244] Port=0 WR @06=C7819B93E691299FAD + 11242.50ns INFO [00011244] Port=0 RD @05 + 11242.50ns INFO [00011244] Port=1 RD @00 + 11243.50ns INFO [00011245] * RD COMPARE * port=0 adr=06 act=FF909D98D31BFD42B6 exp=FF909D98D31BFD42B6 + 11244.50ns INFO [00011246] * RD COMPARE * port=0 adr=05 act=CA2A1DB5E8AD4A941E exp=CA2A1DB5E8AD4A941E + 11244.50ns INFO [00011246] * RD COMPARE * port=1 adr=00 act=694A014C5B14BED737 exp=694A014C5B14BED737 + 11244.50ns INFO [00011246] Port=0 RD @04 + 11245.50ns INFO [00011247] Port=0 WR @01=E3A89A4298878CF8B8 + 11246.50ns INFO [00011248] * RD COMPARE * port=0 adr=04 act=4F255697B28A6331A2 exp=4F255697B28A6331A2 + 11246.50ns INFO [00011248] Port=0 WR @05=CFB8601A1835C58C37 + 11246.50ns INFO [00011248] Port=0 RD @07 + 11246.50ns INFO [00011248] Port=1 RD @02 + 11247.50ns INFO [00011249] Port=0 RD @00 + 11247.50ns INFO [00011249] Port=1 RD @01 + 11248.50ns INFO [00011250] * RD COMPARE * port=0 adr=07 act=B66291D9DB3FEF9223 exp=B66291D9DB3FEF9223 + 11248.50ns INFO [00011250] * RD COMPARE * port=1 adr=02 act=27A1AF8154F2CA4093 exp=27A1AF8154F2CA4093 + 11248.50ns INFO [00011250] Port=1 RD @00 + 11249.50ns INFO [00011251] * RD COMPARE * port=0 adr=00 act=694A014C5B14BED737 exp=694A014C5B14BED737 + 11249.50ns INFO [00011251] * RD COMPARE * port=1 adr=01 act=E3A89A4298878CF8B8 exp=E3A89A4298878CF8B8 + 11249.50ns INFO [00011251] Port=0 RD @01 + 11250.50ns INFO [00011252] * RD COMPARE * port=1 adr=00 act=694A014C5B14BED737 exp=694A014C5B14BED737 + 11250.50ns INFO [00011252] Port=0 RD @01 + 11250.50ns INFO [00011252] Port=1 RD @03 + 11251.50ns INFO [00011253] * RD COMPARE * port=0 adr=01 act=E3A89A4298878CF8B8 exp=E3A89A4298878CF8B8 + 11251.50ns INFO [00011253] Port=0 RD @02 + 11251.50ns INFO [00011253] Port=1 RD @01 + 11252.50ns INFO [00011254] * RD COMPARE * port=0 adr=01 act=E3A89A4298878CF8B8 exp=E3A89A4298878CF8B8 + 11252.50ns INFO [00011254] * RD COMPARE * port=1 adr=03 act=52BEA99196299A891E exp=52BEA99196299A891E + 11252.50ns INFO [00011254] Port=0 RD @07 + 11253.50ns INFO [00011255] * RD COMPARE * port=0 adr=02 act=27A1AF8154F2CA4093 exp=27A1AF8154F2CA4093 + 11253.50ns INFO [00011255] * RD COMPARE * port=1 adr=01 act=E3A89A4298878CF8B8 exp=E3A89A4298878CF8B8 + 11253.50ns INFO [00011255] Port=0 WR @07=3186E55B9B357E4E78 + 11253.50ns INFO [00011255] Port=1 RD @05 + 11254.50ns INFO [00011256] * RD COMPARE * port=0 adr=07 act=B66291D9DB3FEF9223 exp=B66291D9DB3FEF9223 + 11254.50ns INFO [00011256] Port=0 WR @07=673E5059B3564A055D + 11255.50ns INFO [00011257] * RD COMPARE * port=1 adr=05 act=CFB8601A1835C58C37 exp=CFB8601A1835C58C37 + 11256.50ns INFO [00011258] Port=0 WR @06=5DAC4C04DB3380B683 + 11257.50ns INFO [00011259] Port=0 WR @02=5EA1ED132057BAFA4C + 11257.50ns INFO [00011259] Port=0 RD @04 + 11258.50ns INFO [00011260] Port=0 RD @03 + 11258.50ns INFO [00011260] Port=1 RD @06 + 11259.50ns INFO [00011261] * RD COMPARE * port=0 adr=04 act=4F255697B28A6331A2 exp=4F255697B28A6331A2 + 11259.50ns INFO [00011261] Port=1 RD @01 + 11260.50ns INFO [00011262] * RD COMPARE * port=0 adr=03 act=52BEA99196299A891E exp=52BEA99196299A891E + 11260.50ns INFO [00011262] * RD COMPARE * port=1 adr=06 act=5DAC4C04DB3380B683 exp=5DAC4C04DB3380B683 + 11260.50ns INFO [00011262] Port=0 WR @06=6EE69EFB9352197208 + 11261.50ns INFO [00011263] * RD COMPARE * port=1 adr=01 act=E3A89A4298878CF8B8 exp=E3A89A4298878CF8B8 + 11261.50ns INFO [00011263] Port=0 WR @02=6A82189FE8BE5081A9 + 11263.50ns INFO [00011265] Port=0 RD @02 + 11264.50ns INFO [00011266] Port=0 RD @00 + 11265.50ns INFO [00011267] * RD COMPARE * port=0 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11265.50ns INFO [00011267] Port=0 WR @06=1816157C1B3F9FA777 + 11265.50ns INFO [00011267] Port=0 RD @07 + 11266.50ns INFO [00011268] * RD COMPARE * port=0 adr=00 act=694A014C5B14BED737 exp=694A014C5B14BED737 + 11266.50ns INFO [00011268] Port=0 WR @06=6F3386D47824B7D9E0 + 11266.50ns INFO [00011268] Port=1 RD @01 + 11267.50ns INFO [00011269] * RD COMPARE * port=0 adr=07 act=673E5059B3564A055D exp=673E5059B3564A055D + 11267.50ns INFO [00011269] Port=0 WR @03=606B6611FD65C9BC6B + 11268.50ns INFO [00011270] * RD COMPARE * port=1 adr=01 act=E3A89A4298878CF8B8 exp=E3A89A4298878CF8B8 + 11268.50ns INFO [00011270] Port=0 WR @04=3D665D375EB7F78A5F + 11268.50ns INFO [00011270] Port=1 RD @00 + 11269.50ns INFO [00011271] Port=1 RD @02 + 11270.50ns INFO [00011272] * RD COMPARE * port=1 adr=00 act=694A014C5B14BED737 exp=694A014C5B14BED737 + 11271.50ns INFO [00011273] * RD COMPARE * port=1 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11272.50ns INFO [00011274] Port=0 WR @01=F661DA632F42326869 + 11272.50ns INFO [00011274] Port=0 RD @05 + 11274.50ns INFO [00011276] * RD COMPARE * port=0 adr=05 act=CFB8601A1835C58C37 exp=CFB8601A1835C58C37 + 11274.50ns INFO [00011276] Port=0 WR @07=8F9AD2443AE7D844DB + 11274.50ns INFO [00011276] Port=0 RD @04 + 11275.50ns INFO [00011277] Port=0 WR @03=4ABA1AAAD29AFF86A0 + 11276.50ns INFO [00011278] * RD COMPARE * port=0 adr=04 act=3D665D375EB7F78A5F exp=3D665D375EB7F78A5F + 11277.50ns INFO [00011279] Port=0 RD @04 + 11278.50ns INFO [00011280] Port=0 RD @00 + 11279.50ns INFO [00011281] * RD COMPARE * port=0 adr=04 act=3D665D375EB7F78A5F exp=3D665D375EB7F78A5F + 11279.50ns INFO [00011281] Port=0 RD @04 + 11280.50ns INFO [00011282] * RD COMPARE * port=0 adr=00 act=694A014C5B14BED737 exp=694A014C5B14BED737 + 11281.50ns INFO [00011283] * RD COMPARE * port=0 adr=04 act=3D665D375EB7F78A5F exp=3D665D375EB7F78A5F + 11284.50ns INFO [00011286] Port=0 WR @00=EC5E1DBD8857925089 + 11284.50ns INFO [00011286] Port=1 RD @07 + 11285.50ns INFO [00011287] Port=0 WR @04=F797E0672CF71A6328 + 11285.50ns INFO [00011287] Port=0 RD @05 + 11286.50ns INFO [00011288] * RD COMPARE * port=1 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11286.50ns INFO [00011288] Port=1 RD @03 + 11287.50ns INFO [00011289] * RD COMPARE * port=0 adr=05 act=CFB8601A1835C58C37 exp=CFB8601A1835C58C37 + 11288.50ns INFO [00011290] * RD COMPARE * port=1 adr=03 act=4ABA1AAAD29AFF86A0 exp=4ABA1AAAD29AFF86A0 + 11288.50ns INFO [00011290] Port=0 RD @07 + 11288.50ns INFO [00011290] Port=1 RD @00 + 11289.50ns INFO [00011291] Port=0 WR @04=89EDBEFFA94244B318 + 11290.50ns INFO [00011292] * RD COMPARE * port=0 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11290.50ns INFO [00011292] * RD COMPARE * port=1 adr=00 act=EC5E1DBD8857925089 exp=EC5E1DBD8857925089 + 11291.50ns INFO [00011293] Port=0 RD @07 + 11292.50ns INFO [00011294] Port=1 RD @02 + 11293.50ns INFO [00011295] * RD COMPARE * port=0 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11293.50ns INFO [00011295] Port=0 RD @03 + 11293.50ns INFO [00011295] Port=1 RD @03 + 11294.50ns INFO [00011296] * RD COMPARE * port=1 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11294.50ns INFO [00011296] Port=1 RD @01 + 11295.50ns INFO [00011297] * RD COMPARE * port=0 adr=03 act=4ABA1AAAD29AFF86A0 exp=4ABA1AAAD29AFF86A0 + 11295.50ns INFO [00011297] * RD COMPARE * port=1 adr=03 act=4ABA1AAAD29AFF86A0 exp=4ABA1AAAD29AFF86A0 + 11295.50ns INFO [00011297] Port=1 RD @05 + 11296.50ns INFO [00011298] * RD COMPARE * port=1 adr=01 act=F661DA632F42326869 exp=F661DA632F42326869 + 11297.50ns INFO [00011299] * RD COMPARE * port=1 adr=05 act=CFB8601A1835C58C37 exp=CFB8601A1835C58C37 + 11298.00ns INFO [00011300] [00011300] ...tick... + 11298.50ns INFO [00011300] Port=1 RD @07 + 11300.50ns INFO [00011302] * RD COMPARE * port=1 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11301.50ns INFO [00011303] Port=0 RD @00 + 11302.50ns INFO [00011304] Port=0 WR @01=1A31E2743D6C0102F2 + 11302.50ns INFO [00011304] Port=0 RD @04 + 11302.50ns INFO [00011304] Port=1 RD @02 + 11303.50ns INFO [00011305] * RD COMPARE * port=0 adr=00 act=EC5E1DBD8857925089 exp=EC5E1DBD8857925089 + 11304.50ns INFO [00011306] * RD COMPARE * port=0 adr=04 act=89EDBEFFA94244B318 exp=89EDBEFFA94244B318 + 11304.50ns INFO [00011306] * RD COMPARE * port=1 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11304.50ns INFO [00011306] Port=0 RD @02 + 11305.50ns INFO [00011307] Port=1 RD @05 + 11306.50ns INFO [00011308] * RD COMPARE * port=0 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11307.50ns INFO [00011309] * RD COMPARE * port=1 adr=05 act=CFB8601A1835C58C37 exp=CFB8601A1835C58C37 + 11307.50ns INFO [00011309] Port=0 RD @06 + 11309.50ns INFO [00011311] * RD COMPARE * port=0 adr=06 act=6F3386D47824B7D9E0 exp=6F3386D47824B7D9E0 + 11310.50ns INFO [00011312] Port=0 RD @05 + 11312.50ns INFO [00011314] * RD COMPARE * port=0 adr=05 act=CFB8601A1835C58C37 exp=CFB8601A1835C58C37 + 11312.50ns INFO [00011314] Port=0 RD @06 + 11313.50ns INFO [00011315] Port=0 WR @04=33A91432D955F0F9BE + 11313.50ns INFO [00011315] Port=0 RD @03 + 11314.50ns INFO [00011316] * RD COMPARE * port=0 adr=06 act=6F3386D47824B7D9E0 exp=6F3386D47824B7D9E0 + 11314.50ns INFO [00011316] Port=0 WR @00=FC0BD8203B4B5E4F67 + 11315.50ns INFO [00011317] * RD COMPARE * port=0 adr=03 act=4ABA1AAAD29AFF86A0 exp=4ABA1AAAD29AFF86A0 + 11317.50ns INFO [00011319] Port=0 WR @03=66A4589BEC48F1921E + 11318.50ns INFO [00011320] Port=0 WR @04=DF778BA82F7A2F081C + 11318.50ns INFO [00011320] Port=0 RD @06 + 11319.50ns INFO [00011321] Port=0 WR @04=3A325B43C0E8F12B93 + 11319.50ns INFO [00011321] Port=0 RD @07 + 11320.50ns INFO [00011322] * RD COMPARE * port=0 adr=06 act=6F3386D47824B7D9E0 exp=6F3386D47824B7D9E0 + 11320.50ns INFO [00011322] Port=0 WR @01=25D0A9DD58782D59F1 + 11320.50ns INFO [00011322] Port=0 RD @05 + 11320.50ns INFO [00011322] Port=1 RD @06 + 11321.50ns INFO [00011323] * RD COMPARE * port=0 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11322.50ns INFO [00011324] * RD COMPARE * port=0 adr=05 act=CFB8601A1835C58C37 exp=CFB8601A1835C58C37 + 11322.50ns INFO [00011324] * RD COMPARE * port=1 adr=06 act=6F3386D47824B7D9E0 exp=6F3386D47824B7D9E0 + 11322.50ns INFO [00011324] Port=0 RD @07 + 11322.50ns INFO [00011324] Port=1 RD @01 + 11323.50ns INFO [00011325] Port=0 WR @01=3589ABEAD15F6EE043 + 11324.50ns INFO [00011326] * RD COMPARE * port=0 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11324.50ns INFO [00011326] * RD COMPARE * port=1 adr=01 act=25D0A9DD58782D59F1 exp=25D0A9DD58782D59F1 + 11324.50ns INFO [00011326] Port=1 RD @07 + 11326.50ns INFO [00011328] * RD COMPARE * port=1 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11326.50ns INFO [00011328] Port=1 RD @07 + 11327.50ns INFO [00011329] Port=0 RD @00 + 11327.50ns INFO [00011329] Port=1 RD @06 + 11328.50ns INFO [00011330] * RD COMPARE * port=1 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11329.50ns INFO [00011331] * RD COMPARE * port=0 adr=00 act=FC0BD8203B4B5E4F67 exp=FC0BD8203B4B5E4F67 + 11329.50ns INFO [00011331] * RD COMPARE * port=1 adr=06 act=6F3386D47824B7D9E0 exp=6F3386D47824B7D9E0 + 11329.50ns INFO [00011331] Port=0 WR @01=141F79276FB3760BFE + 11329.50ns INFO [00011331] Port=0 RD @07 + 11330.50ns INFO [00011332] Port=1 RD @04 + 11331.50ns INFO [00011333] * RD COMPARE * port=0 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11331.50ns INFO [00011333] Port=0 WR @01=567594614CBFEA2B09 + 11332.50ns INFO [00011334] * RD COMPARE * port=1 adr=04 act=3A325B43C0E8F12B93 exp=3A325B43C0E8F12B93 + 11332.50ns INFO [00011334] Port=1 RD @03 + 11334.50ns INFO [00011336] * RD COMPARE * port=1 adr=03 act=66A4589BEC48F1921E exp=66A4589BEC48F1921E + 11334.50ns INFO [00011336] Port=0 WR @06=C7D9B884512548CE4D + 11336.50ns INFO [00011338] Port=1 RD @07 + 11337.50ns INFO [00011339] Port=1 RD @02 + 11338.50ns INFO [00011340] * RD COMPARE * port=1 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11338.50ns INFO [00011340] Port=1 RD @02 + 11339.50ns INFO [00011341] * RD COMPARE * port=1 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11339.50ns INFO [00011341] Port=0 RD @05 + 11340.50ns INFO [00011342] * RD COMPARE * port=1 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11341.50ns INFO [00011343] * RD COMPARE * port=0 adr=05 act=CFB8601A1835C58C37 exp=CFB8601A1835C58C37 + 11342.50ns INFO [00011344] Port=0 RD @06 + 11343.50ns INFO [00011345] Port=0 WR @05=DC1630D888B9EFB572 + 11344.50ns INFO [00011346] * RD COMPARE * port=0 adr=06 act=C7D9B884512548CE4D exp=C7D9B884512548CE4D + 11344.50ns INFO [00011346] Port=0 WR @01=FD7D6454BF4DABB714 + 11344.50ns INFO [00011346] Port=1 RD @04 + 11345.50ns INFO [00011347] Port=0 RD @02 + 11346.50ns INFO [00011348] * RD COMPARE * port=1 adr=04 act=3A325B43C0E8F12B93 exp=3A325B43C0E8F12B93 + 11346.50ns INFO [00011348] Port=0 RD @02 + 11347.50ns INFO [00011349] * RD COMPARE * port=0 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11347.50ns INFO [00011349] Port=0 WR @04=97E971912BDECC4123 + 11348.50ns INFO [00011350] * RD COMPARE * port=0 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11348.50ns INFO [00011350] Port=0 WR @05=D17ED911662CB0CB45 + 11349.50ns INFO [00011351] Port=0 RD @04 + 11349.50ns INFO [00011351] Port=1 RD @01 + 11351.50ns INFO [00011353] * RD COMPARE * port=0 adr=04 act=97E971912BDECC4123 exp=97E971912BDECC4123 + 11351.50ns INFO [00011353] * RD COMPARE * port=1 adr=01 act=FD7D6454BF4DABB714 exp=FD7D6454BF4DABB714 + 11351.50ns INFO [00011353] Port=0 RD @02 + 11352.50ns INFO [00011354] Port=0 RD @03 + 11352.50ns INFO [00011354] Port=1 RD @02 + 11353.50ns INFO [00011355] * RD COMPARE * port=0 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11353.50ns INFO [00011355] Port=0 RD @02 + 11354.50ns INFO [00011356] * RD COMPARE * port=0 adr=03 act=66A4589BEC48F1921E exp=66A4589BEC48F1921E + 11354.50ns INFO [00011356] * RD COMPARE * port=1 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11354.50ns INFO [00011356] Port=0 WR @06=391C3ED95FC95DD2B4 + 11355.50ns INFO [00011357] * RD COMPARE * port=0 adr=02 act=6A82189FE8BE5081A9 exp=6A82189FE8BE5081A9 + 11355.50ns INFO [00011357] Port=0 RD @07 + 11355.50ns INFO [00011357] Port=1 RD @06 + 11356.50ns INFO [00011358] Port=1 RD @07 + 11357.50ns INFO [00011359] * RD COMPARE * port=0 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11357.50ns INFO [00011359] * RD COMPARE * port=1 adr=06 act=391C3ED95FC95DD2B4 exp=391C3ED95FC95DD2B4 + 11357.50ns INFO [00011359] Port=1 RD @04 + 11358.50ns INFO [00011360] * RD COMPARE * port=1 adr=07 act=8F9AD2443AE7D844DB exp=8F9AD2443AE7D844DB + 11358.50ns INFO [00011360] Port=0 WR @04=3B726C38B67921557B + 11359.50ns INFO [00011361] * RD COMPARE * port=1 adr=04 act=97E971912BDECC4123 exp=97E971912BDECC4123 + 11359.50ns INFO [00011361] Port=0 WR @04=911B11D465585A2AC3 + 11359.50ns INFO [00011361] Port=0 RD @06 + 11359.50ns INFO [00011361] Port=1 RD @05 + 11360.50ns INFO [00011362] Port=0 WR @01=B3A1E92A25DACBB55D + 11360.50ns INFO [00011362] Port=1 RD @03 + 11361.50ns INFO [00011363] * RD COMPARE * port=0 adr=06 act=391C3ED95FC95DD2B4 exp=391C3ED95FC95DD2B4 + 11361.50ns INFO [00011363] * RD COMPARE * port=1 adr=05 act=D17ED911662CB0CB45 exp=D17ED911662CB0CB45 + 11361.50ns INFO [00011363] Port=0 RD @04 + 11362.50ns INFO [00011364] * RD COMPARE * port=1 adr=03 act=66A4589BEC48F1921E exp=66A4589BEC48F1921E + 11362.50ns INFO [00011364] Port=0 WR @02=6EDA86D1E271C6AE4C + 11362.50ns INFO [00011364] Port=0 RD @05 + 11363.50ns INFO [00011365] * RD COMPARE * port=0 adr=04 act=911B11D465585A2AC3 exp=911B11D465585A2AC3 + 11363.50ns INFO [00011365] Port=0 WR @06=AA7E5BE8A144303CB0 + 11363.50ns INFO [00011365] Port=0 RD @00 + 11363.50ns INFO [00011365] Port=1 RD @05 + 11364.50ns INFO [00011366] * RD COMPARE * port=0 adr=05 act=D17ED911662CB0CB45 exp=D17ED911662CB0CB45 + 11364.50ns INFO [00011366] Port=0 WR @06=50F9279BB4FB274530 + 11364.50ns INFO [00011366] Port=0 RD @05 + 11365.50ns INFO [00011367] * RD COMPARE * port=0 adr=00 act=FC0BD8203B4B5E4F67 exp=FC0BD8203B4B5E4F67 + 11365.50ns INFO [00011367] * RD COMPARE * port=1 adr=05 act=D17ED911662CB0CB45 exp=D17ED911662CB0CB45 + 11365.50ns INFO [00011367] Port=0 WR @06=EE2E6FB6DCE4C48C6D + 11366.50ns INFO [00011368] * RD COMPARE * port=0 adr=05 act=D17ED911662CB0CB45 exp=D17ED911662CB0CB45 + 11366.50ns INFO [00011368] Port=0 WR @05=64CBDF42BF979078B7 + 11366.50ns INFO [00011368] Port=0 RD @06 + 11368.50ns INFO [00011370] * RD COMPARE * port=0 adr=06 act=EE2E6FB6DCE4C48C6D exp=EE2E6FB6DCE4C48C6D + 11368.50ns INFO [00011370] Port=0 WR @03=A0DC3236DE65E970B7 + 11368.50ns INFO [00011370] Port=0 RD @06 + 11368.50ns INFO [00011370] Port=1 RD @00 + 11370.50ns INFO [00011372] * RD COMPARE * port=0 adr=06 act=EE2E6FB6DCE4C48C6D exp=EE2E6FB6DCE4C48C6D + 11370.50ns INFO [00011372] * RD COMPARE * port=1 adr=00 act=FC0BD8203B4B5E4F67 exp=FC0BD8203B4B5E4F67 + 11370.50ns INFO [00011372] Port=0 WR @07=E2208E6A45B0B71465 + 11370.50ns INFO [00011372] Port=0 RD @00 + 11370.50ns INFO [00011372] Port=1 RD @05 + 11371.50ns INFO [00011373] Port=0 WR @05=CA53D4C26DF9271842 + 11371.50ns INFO [00011373] Port=0 RD @00 + 11371.50ns INFO [00011373] Port=1 RD @06 + 11372.50ns INFO [00011374] * RD COMPARE * port=0 adr=00 act=FC0BD8203B4B5E4F67 exp=FC0BD8203B4B5E4F67 + 11372.50ns INFO [00011374] * RD COMPARE * port=1 adr=05 act=64CBDF42BF979078B7 exp=64CBDF42BF979078B7 + 11372.50ns INFO [00011374] Port=0 RD @02 + 11372.50ns INFO [00011374] Port=1 RD @01 + 11373.50ns INFO [00011375] * RD COMPARE * port=0 adr=00 act=FC0BD8203B4B5E4F67 exp=FC0BD8203B4B5E4F67 + 11373.50ns INFO [00011375] * RD COMPARE * port=1 adr=06 act=EE2E6FB6DCE4C48C6D exp=EE2E6FB6DCE4C48C6D + 11373.50ns INFO [00011375] Port=1 RD @06 + 11374.50ns INFO [00011376] * RD COMPARE * port=0 adr=02 act=6EDA86D1E271C6AE4C exp=6EDA86D1E271C6AE4C + 11374.50ns INFO [00011376] * RD COMPARE * port=1 adr=01 act=B3A1E92A25DACBB55D exp=B3A1E92A25DACBB55D + 11374.50ns INFO [00011376] Port=0 WR @07=E26FFE97D532380E8D + 11375.50ns INFO [00011377] * RD COMPARE * port=1 adr=06 act=EE2E6FB6DCE4C48C6D exp=EE2E6FB6DCE4C48C6D + 11375.50ns INFO [00011377] Port=0 RD @05 + 11377.50ns INFO [00011379] * RD COMPARE * port=0 adr=05 act=CA53D4C26DF9271842 exp=CA53D4C26DF9271842 + 11377.50ns INFO [00011379] Port=0 WR @00=8BCAC22857BE16C18F + 11377.50ns INFO [00011379] Port=1 RD @03 + 11378.50ns INFO [00011380] Port=0 RD @00 + 11379.50ns INFO [00011381] * RD COMPARE * port=1 adr=03 act=A0DC3236DE65E970B7 exp=A0DC3236DE65E970B7 + 11379.50ns INFO [00011381] Port=0 WR @07=9A475B9CA4F7C45210 + 11379.50ns INFO [00011381] Port=0 RD @05 + 11379.50ns INFO [00011381] Port=1 RD @04 + 11380.50ns INFO [00011382] * RD COMPARE * port=0 adr=00 act=8BCAC22857BE16C18F exp=8BCAC22857BE16C18F + 11380.50ns INFO [00011382] Port=0 WR @00=A2C9F75E4BDE00293D + 11380.50ns INFO [00011382] Port=1 RD @05 + 11381.50ns INFO [00011383] * RD COMPARE * port=0 adr=05 act=CA53D4C26DF9271842 exp=CA53D4C26DF9271842 + 11381.50ns INFO [00011383] * RD COMPARE * port=1 adr=04 act=911B11D465585A2AC3 exp=911B11D465585A2AC3 + 11381.50ns INFO [00011383] Port=0 WR @03=134458052EB57CEE8B + 11381.50ns INFO [00011383] Port=1 RD @01 + 11382.50ns INFO [00011384] * RD COMPARE * port=1 adr=05 act=CA53D4C26DF9271842 exp=CA53D4C26DF9271842 + 11382.50ns INFO [00011384] Port=0 WR @06=6461BF135EB14D17CF + 11382.50ns INFO [00011384] Port=1 RD @05 + 11383.50ns INFO [00011385] * RD COMPARE * port=1 adr=01 act=B3A1E92A25DACBB55D exp=B3A1E92A25DACBB55D + 11383.50ns INFO [00011385] Port=0 WR @01=2B47ACD079799D6CB4 + 11383.50ns INFO [00011385] Port=0 RD @03 + 11384.50ns INFO [00011386] * RD COMPARE * port=1 adr=05 act=CA53D4C26DF9271842 exp=CA53D4C26DF9271842 + 11384.50ns INFO [00011386] Port=0 WR @00=C05D60ABA4F25B890E + 11384.50ns INFO [00011386] Port=0 RD @07 + 11384.50ns INFO [00011386] Port=1 RD @07 + 11385.50ns INFO [00011387] * RD COMPARE * port=0 adr=03 act=134458052EB57CEE8B exp=134458052EB57CEE8B + 11385.50ns INFO [00011387] Port=1 RD @04 + 11386.50ns INFO [00011388] * RD COMPARE * port=0 adr=07 act=9A475B9CA4F7C45210 exp=9A475B9CA4F7C45210 + 11386.50ns INFO [00011388] * RD COMPARE * port=1 adr=07 act=9A475B9CA4F7C45210 exp=9A475B9CA4F7C45210 + 11386.50ns INFO [00011388] Port=0 WR @02=BDD2C333D4D281FCB8 + 11386.50ns INFO [00011388] Port=0 RD @00 + 11386.50ns INFO [00011388] Port=1 RD @04 + 11387.50ns INFO [00011389] * RD COMPARE * port=1 adr=04 act=911B11D465585A2AC3 exp=911B11D465585A2AC3 + 11387.50ns INFO [00011389] Port=0 RD @03 + 11388.50ns INFO [00011390] * RD COMPARE * port=0 adr=00 act=C05D60ABA4F25B890E exp=C05D60ABA4F25B890E + 11388.50ns INFO [00011390] * RD COMPARE * port=1 adr=04 act=911B11D465585A2AC3 exp=911B11D465585A2AC3 + 11388.50ns INFO [00011390] Port=0 WR @06=62196425695B151ED1 + 11388.50ns INFO [00011390] Port=1 RD @01 + 11389.50ns INFO [00011391] * RD COMPARE * port=0 adr=03 act=134458052EB57CEE8B exp=134458052EB57CEE8B + 11390.50ns INFO [00011392] * RD COMPARE * port=1 adr=01 act=2B47ACD079799D6CB4 exp=2B47ACD079799D6CB4 + 11391.50ns INFO [00011393] Port=0 WR @03=1C01E8DDC1B7A4A474 + 11391.50ns INFO [00011393] Port=0 RD @00 + 11391.50ns INFO [00011393] Port=1 RD @05 + 11392.50ns INFO [00011394] Port=0 WR @02=D10D0DB30E98D8FF39 + 11393.50ns INFO [00011395] * RD COMPARE * port=0 adr=00 act=C05D60ABA4F25B890E exp=C05D60ABA4F25B890E + 11393.50ns INFO [00011395] * RD COMPARE * port=1 adr=05 act=CA53D4C26DF9271842 exp=CA53D4C26DF9271842 + 11393.50ns INFO [00011395] Port=1 RD @04 + 11394.50ns INFO [00011396] Port=0 WR @01=A89C549682256C16BA + 11394.50ns INFO [00011396] Port=1 RD @03 + 11395.50ns INFO [00011397] * RD COMPARE * port=1 adr=04 act=911B11D465585A2AC3 exp=911B11D465585A2AC3 + 11395.50ns INFO [00011397] Port=0 RD @03 + 11396.50ns INFO [00011398] * RD COMPARE * port=1 adr=03 act=1C01E8DDC1B7A4A474 exp=1C01E8DDC1B7A4A474 + 11396.50ns INFO [00011398] Port=0 RD @00 + 11397.50ns INFO [00011399] * RD COMPARE * port=0 adr=03 act=1C01E8DDC1B7A4A474 exp=1C01E8DDC1B7A4A474 + 11397.50ns INFO [00011399] Port=0 WR @01=691EB356F73E4F4D5F + 11397.50ns INFO [00011399] Port=1 RD @03 + 11398.00ns INFO [00011400] [00011400] ...tick... + 11398.50ns INFO [00011400] * RD COMPARE * port=0 adr=00 act=C05D60ABA4F25B890E exp=C05D60ABA4F25B890E + 11399.50ns INFO [00011401] * RD COMPARE * port=1 adr=03 act=1C01E8DDC1B7A4A474 exp=1C01E8DDC1B7A4A474 + 11399.50ns INFO [00011401] Port=0 WR @07=FC4C77407E8BCF10FB + 11399.50ns INFO [00011401] Port=0 RD @06 + 11400.50ns INFO [00011402] Port=0 WR @00=1F69186CA05472961D + 11401.50ns INFO [00011403] * RD COMPARE * port=0 adr=06 act=62196425695B151ED1 exp=62196425695B151ED1 + 11402.50ns INFO [00011404] Port=0 WR @04=8B4A4186D974E4F3A3 + 11402.50ns INFO [00011404] Port=0 RD @07 + 11403.50ns INFO [00011405] Port=0 WR @01=495160C7831AF98233 + 11404.50ns INFO [00011406] * RD COMPARE * port=0 adr=07 act=FC4C77407E8BCF10FB exp=FC4C77407E8BCF10FB + 11404.50ns INFO [00011406] Port=0 WR @03=BE116ED75CCC916112 + 11404.50ns INFO [00011406] Port=0 RD @01 + 11405.50ns INFO [00011407] Port=1 RD @07 + 11406.50ns INFO [00011408] * RD COMPARE * port=0 adr=01 act=495160C7831AF98233 exp=495160C7831AF98233 + 11406.50ns INFO [00011408] Port=1 RD @06 + 11407.50ns INFO [00011409] * RD COMPARE * port=1 adr=07 act=FC4C77407E8BCF10FB exp=FC4C77407E8BCF10FB + 11407.50ns INFO [00011409] Port=0 WR @07=FEABDBB8D98C9188A8 + 11407.50ns INFO [00011409] Port=0 RD @04 + 11407.50ns INFO [00011409] Port=1 RD @05 + 11408.50ns INFO [00011410] * RD COMPARE * port=1 adr=06 act=62196425695B151ED1 exp=62196425695B151ED1 + 11408.50ns INFO [00011410] Port=0 WR @02=E6EBB502002C470FE8 + 11408.50ns INFO [00011410] Port=0 RD @06 + 11409.50ns INFO [00011411] * RD COMPARE * port=0 adr=04 act=8B4A4186D974E4F3A3 exp=8B4A4186D974E4F3A3 + 11409.50ns INFO [00011411] * RD COMPARE * port=1 adr=05 act=CA53D4C26DF9271842 exp=CA53D4C26DF9271842 + 11409.50ns INFO [00011411] Port=0 RD @02 + 11409.50ns INFO [00011411] Port=1 RD @05 + 11410.50ns INFO [00011412] * RD COMPARE * port=0 adr=06 act=62196425695B151ED1 exp=62196425695B151ED1 + 11410.50ns INFO [00011412] Port=0 WR @04=7CF86C7508697635F1 + 11411.50ns INFO [00011413] * RD COMPARE * port=0 adr=02 act=E6EBB502002C470FE8 exp=E6EBB502002C470FE8 + 11411.50ns INFO [00011413] * RD COMPARE * port=1 adr=05 act=CA53D4C26DF9271842 exp=CA53D4C26DF9271842 + 11411.50ns INFO [00011413] Port=0 WR @05=D1948A6DDEBAD03FDC + 11415.50ns INFO [00011417] Port=0 WR @00=4EBCCCF4C5887E3BA7 + 11416.50ns INFO [00011418] Port=0 WR @02=8EE0EA60ED9FB279A5 + 11416.50ns INFO [00011418] Port=0 RD @04 + 11417.50ns INFO [00011419] Port=1 RD @05 + 11418.50ns INFO [00011420] * RD COMPARE * port=0 adr=04 act=7CF86C7508697635F1 exp=7CF86C7508697635F1 + 11419.50ns INFO [00011421] * RD COMPARE * port=1 adr=05 act=D1948A6DDEBAD03FDC exp=D1948A6DDEBAD03FDC + 11419.50ns INFO [00011421] Port=1 RD @06 + 11421.50ns INFO [00011423] * RD COMPARE * port=1 adr=06 act=62196425695B151ED1 exp=62196425695B151ED1 + 11421.50ns INFO [00011423] Port=1 RD @04 + 11422.50ns INFO [00011424] Port=0 WR @06=41672D01EEC7B9C40D + 11423.50ns INFO [00011425] * RD COMPARE * port=1 adr=04 act=7CF86C7508697635F1 exp=7CF86C7508697635F1 + 11423.50ns INFO [00011425] Port=0 RD @00 + 11424.50ns INFO [00011426] Port=0 WR @03=5D15CF292DFCE78023 + 11425.50ns INFO [00011427] * RD COMPARE * port=0 adr=00 act=4EBCCCF4C5887E3BA7 exp=4EBCCCF4C5887E3BA7 + 11425.50ns INFO [00011427] Port=0 WR @07=47768B5831C55610C7 + 11425.50ns INFO [00011427] Port=1 RD @00 + 11427.50ns INFO [00011429] * RD COMPARE * port=1 adr=00 act=4EBCCCF4C5887E3BA7 exp=4EBCCCF4C5887E3BA7 + 11427.50ns INFO [00011429] Port=0 WR @00=072F0CD47F24054575 + 11429.50ns INFO [00011431] Port=0 WR @07=3653A5B33CC95B91F6 + 11430.50ns INFO [00011432] Port=0 RD @02 + 11432.50ns INFO [00011434] * RD COMPARE * port=0 adr=02 act=8EE0EA60ED9FB279A5 exp=8EE0EA60ED9FB279A5 + 11432.50ns INFO [00011434] Port=0 WR @04=8DBC670B3B18C19ED1 + 11434.50ns INFO [00011436] Port=0 WR @01=EF884F4BEDD1432924 + 11434.50ns INFO [00011436] Port=0 RD @03 + 11434.50ns INFO [00011436] Port=1 RD @02 + 11435.50ns INFO [00011437] Port=1 RD @04 + 11436.50ns INFO [00011438] * RD COMPARE * port=0 adr=03 act=5D15CF292DFCE78023 exp=5D15CF292DFCE78023 + 11436.50ns INFO [00011438] * RD COMPARE * port=1 adr=02 act=8EE0EA60ED9FB279A5 exp=8EE0EA60ED9FB279A5 + 11436.50ns INFO [00011438] Port=0 WR @01=92E1E973ACE146E104 + 11436.50ns INFO [00011438] Port=0 RD @04 + 11437.50ns INFO [00011439] * RD COMPARE * port=1 adr=04 act=8DBC670B3B18C19ED1 exp=8DBC670B3B18C19ED1 + 11437.50ns INFO [00011439] Port=0 RD @06 + 11438.50ns INFO [00011440] * RD COMPARE * port=0 adr=04 act=8DBC670B3B18C19ED1 exp=8DBC670B3B18C19ED1 + 11438.50ns INFO [00011440] Port=0 WR @04=54F14F5318EBB99061 + 11439.50ns INFO [00011441] * RD COMPARE * port=0 adr=06 act=41672D01EEC7B9C40D exp=41672D01EEC7B9C40D + 11440.50ns INFO [00011442] Port=0 RD @02 + 11442.50ns INFO [00011444] * RD COMPARE * port=0 adr=02 act=8EE0EA60ED9FB279A5 exp=8EE0EA60ED9FB279A5 + 11442.50ns INFO [00011444] Port=0 WR @02=B89D0C30D8556E2D9E + 11443.50ns INFO [00011445] Port=0 RD @04 + 11444.50ns INFO [00011446] Port=1 RD @02 + 11445.50ns INFO [00011447] * RD COMPARE * port=0 adr=04 act=54F14F5318EBB99061 exp=54F14F5318EBB99061 + 11445.50ns INFO [00011447] Port=0 WR @03=F3E95A372700651159 + 11446.50ns INFO [00011448] * RD COMPARE * port=1 adr=02 act=B89D0C30D8556E2D9E exp=B89D0C30D8556E2D9E + 11447.50ns INFO [00011449] Port=0 WR @04=8A1C88BC1EA61473CC + 11449.50ns INFO [00011451] Port=0 RD @02 + 11449.50ns INFO [00011451] Port=1 RD @07 + 11450.50ns INFO [00011452] Port=0 RD @00 + 11450.50ns INFO [00011452] Port=1 RD @07 + 11451.50ns INFO [00011453] * RD COMPARE * port=0 adr=02 act=B89D0C30D8556E2D9E exp=B89D0C30D8556E2D9E + 11451.50ns INFO [00011453] * RD COMPARE * port=1 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11451.50ns INFO [00011453] Port=0 RD @00 + 11451.50ns INFO [00011453] Port=1 RD @07 + 11452.50ns INFO [00011454] * RD COMPARE * port=0 adr=00 act=072F0CD47F24054575 exp=072F0CD47F24054575 + 11452.50ns INFO [00011454] * RD COMPARE * port=1 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11452.50ns INFO [00011454] Port=0 WR @06=4DE0254A32AD491F94 + 11452.50ns INFO [00011454] Port=0 RD @04 + 11453.50ns INFO [00011455] * RD COMPARE * port=0 adr=00 act=072F0CD47F24054575 exp=072F0CD47F24054575 + 11453.50ns INFO [00011455] * RD COMPARE * port=1 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11453.50ns INFO [00011455] Port=0 RD @03 + 11454.50ns INFO [00011456] * RD COMPARE * port=0 adr=04 act=8A1C88BC1EA61473CC exp=8A1C88BC1EA61473CC + 11454.50ns INFO [00011456] Port=0 WR @00=87FFB5DB9C4AC182E5 + 11454.50ns INFO [00011456] Port=0 RD @04 + 11455.50ns INFO [00011457] * RD COMPARE * port=0 adr=03 act=F3E95A372700651159 exp=F3E95A372700651159 + 11455.50ns INFO [00011457] Port=0 RD @07 + 11456.50ns INFO [00011458] * RD COMPARE * port=0 adr=04 act=8A1C88BC1EA61473CC exp=8A1C88BC1EA61473CC + 11456.50ns INFO [00011458] Port=0 RD @02 + 11457.50ns INFO [00011459] * RD COMPARE * port=0 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11457.50ns INFO [00011459] Port=0 RD @03 + 11457.50ns INFO [00011459] Port=1 RD @00 + 11458.50ns INFO [00011460] * RD COMPARE * port=0 adr=02 act=B89D0C30D8556E2D9E exp=B89D0C30D8556E2D9E + 11458.50ns INFO [00011460] Port=0 WR @05=2316F6F3F4D6AC8075 + 11459.50ns INFO [00011461] * RD COMPARE * port=0 adr=03 act=F3E95A372700651159 exp=F3E95A372700651159 + 11459.50ns INFO [00011461] * RD COMPARE * port=1 adr=00 act=87FFB5DB9C4AC182E5 exp=87FFB5DB9C4AC182E5 + 11460.50ns INFO [00011462] Port=1 RD @02 + 11461.50ns INFO [00011463] Port=0 WR @00=4CFE017167983AA57E + 11462.50ns INFO [00011464] * RD COMPARE * port=1 adr=02 act=B89D0C30D8556E2D9E exp=B89D0C30D8556E2D9E + 11462.50ns INFO [00011464] Port=1 RD @03 + 11463.50ns INFO [00011465] Port=0 WR @04=E373A8FC01E7588E69 + 11464.50ns INFO [00011466] * RD COMPARE * port=1 adr=03 act=F3E95A372700651159 exp=F3E95A372700651159 + 11464.50ns INFO [00011466] Port=0 WR @04=F2A9C6F61F2C52D823 + 11466.50ns INFO [00011468] Port=0 RD @02 + 11467.50ns INFO [00011469] Port=1 RD @03 + 11468.50ns INFO [00011470] * RD COMPARE * port=0 adr=02 act=B89D0C30D8556E2D9E exp=B89D0C30D8556E2D9E + 11468.50ns INFO [00011470] Port=0 WR @04=99A8D200A97F9CE04D + 11468.50ns INFO [00011470] Port=0 RD @07 + 11469.50ns INFO [00011471] * RD COMPARE * port=1 adr=03 act=F3E95A372700651159 exp=F3E95A372700651159 + 11469.50ns INFO [00011471] Port=0 RD @03 + 11470.50ns INFO [00011472] * RD COMPARE * port=0 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11470.50ns INFO [00011472] Port=0 RD @00 + 11470.50ns INFO [00011472] Port=1 RD @07 + 11471.50ns INFO [00011473] * RD COMPARE * port=0 adr=03 act=F3E95A372700651159 exp=F3E95A372700651159 + 11471.50ns INFO [00011473] Port=0 RD @01 + 11472.50ns INFO [00011474] * RD COMPARE * port=0 adr=00 act=4CFE017167983AA57E exp=4CFE017167983AA57E + 11472.50ns INFO [00011474] * RD COMPARE * port=1 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11472.50ns INFO [00011474] Port=0 RD @05 + 11473.50ns INFO [00011475] * RD COMPARE * port=0 adr=01 act=92E1E973ACE146E104 exp=92E1E973ACE146E104 + 11473.50ns INFO [00011475] Port=0 WR @06=D549E9EAA92884191D + 11474.50ns INFO [00011476] * RD COMPARE * port=0 adr=05 act=2316F6F3F4D6AC8075 exp=2316F6F3F4D6AC8075 + 11475.50ns INFO [00011477] Port=0 RD @04 + 11477.50ns INFO [00011479] * RD COMPARE * port=0 adr=04 act=99A8D200A97F9CE04D exp=99A8D200A97F9CE04D + 11477.50ns INFO [00011479] Port=0 WR @01=C9FB216919EFA8A890 + 11478.50ns INFO [00011480] Port=0 WR @05=DF447B46FB0755C9EC + 11479.50ns INFO [00011481] Port=0 RD @00 + 11481.50ns INFO [00011483] * RD COMPARE * port=0 adr=00 act=4CFE017167983AA57E exp=4CFE017167983AA57E + 11481.50ns INFO [00011483] Port=0 RD @04 + 11481.50ns INFO [00011483] Port=1 RD @04 + 11482.50ns INFO [00011484] Port=0 RD @07 + 11482.50ns INFO [00011484] Port=1 RD @00 + 11483.50ns INFO [00011485] * RD COMPARE * port=0 adr=04 act=99A8D200A97F9CE04D exp=99A8D200A97F9CE04D + 11483.50ns INFO [00011485] * RD COMPARE * port=1 adr=04 act=99A8D200A97F9CE04D exp=99A8D200A97F9CE04D + 11483.50ns INFO [00011485] Port=1 RD @01 + 11484.50ns INFO [00011486] * RD COMPARE * port=0 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11484.50ns INFO [00011486] * RD COMPARE * port=1 adr=00 act=4CFE017167983AA57E exp=4CFE017167983AA57E + 11484.50ns INFO [00011486] Port=0 RD @02 + 11485.50ns INFO [00011487] * RD COMPARE * port=1 adr=01 act=C9FB216919EFA8A890 exp=C9FB216919EFA8A890 + 11485.50ns INFO [00011487] Port=0 WR @04=3C1E917E1430C989CB + 11485.50ns INFO [00011487] Port=1 RD @00 + 11486.50ns INFO [00011488] * RD COMPARE * port=0 adr=02 act=B89D0C30D8556E2D9E exp=B89D0C30D8556E2D9E + 11486.50ns INFO [00011488] Port=0 WR @02=B43B24B70028953CCE + 11487.50ns INFO [00011489] * RD COMPARE * port=1 adr=00 act=4CFE017167983AA57E exp=4CFE017167983AA57E + 11487.50ns INFO [00011489] Port=0 RD @05 + 11487.50ns INFO [00011489] Port=1 RD @06 + 11488.50ns INFO [00011490] Port=0 WR @03=47254C51C27170EF99 + 11488.50ns INFO [00011490] Port=0 RD @00 + 11489.50ns INFO [00011491] * RD COMPARE * port=0 adr=05 act=DF447B46FB0755C9EC exp=DF447B46FB0755C9EC + 11489.50ns INFO [00011491] * RD COMPARE * port=1 adr=06 act=D549E9EAA92884191D exp=D549E9EAA92884191D + 11490.50ns INFO [00011492] * RD COMPARE * port=0 adr=00 act=4CFE017167983AA57E exp=4CFE017167983AA57E + 11490.50ns INFO [00011492] Port=0 WR @02=F5D59991E1655BFD5F + 11491.50ns INFO [00011493] Port=0 WR @05=D9AA71D50163EDCCAA + 11492.50ns INFO [00011494] Port=0 WR @01=523C97B4E00EFB3BC3 + 11492.50ns INFO [00011494] Port=0 RD @07 + 11492.50ns INFO [00011494] Port=1 RD @07 + 11493.50ns INFO [00011495] Port=0 WR @04=75BA441E45D110C49F + 11494.50ns INFO [00011496] * RD COMPARE * port=0 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11494.50ns INFO [00011496] * RD COMPARE * port=1 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11494.50ns INFO [00011496] Port=0 WR @02=9FC113A7DA1D7C309A + 11494.50ns INFO [00011496] Port=1 RD @07 + 11496.50ns INFO [00011498] * RD COMPARE * port=1 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11496.50ns INFO [00011498] Port=0 WR @00=E761511317A991E354 + 11496.50ns INFO [00011498] Port=1 RD @04 + 11498.00ns INFO [00011500] [00011500] ...tick... + 11498.50ns INFO [00011500] * RD COMPARE * port=1 adr=04 act=75BA441E45D110C49F exp=75BA441E45D110C49F + 11499.50ns INFO [00011501] Port=0 RD @05 + 11500.50ns INFO [00011502] Port=0 RD @05 + 11501.50ns INFO [00011503] * RD COMPARE * port=0 adr=05 act=D9AA71D50163EDCCAA exp=D9AA71D50163EDCCAA + 11501.50ns INFO [00011503] Port=0 WR @04=8390EBBA3ADA99286B + 11501.50ns INFO [00011503] Port=0 RD @07 + 11501.50ns INFO [00011503] Port=1 RD @00 + 11502.50ns INFO [00011504] * RD COMPARE * port=0 adr=05 act=D9AA71D50163EDCCAA exp=D9AA71D50163EDCCAA + 11502.50ns INFO [00011504] Port=0 WR @07=66E8C61B7C75A8C3A7 + 11503.50ns INFO [00011505] * RD COMPARE * port=0 adr=07 act=3653A5B33CC95B91F6 exp=3653A5B33CC95B91F6 + 11503.50ns INFO [00011505] * RD COMPARE * port=1 adr=00 act=E761511317A991E354 exp=E761511317A991E354 + 11504.50ns INFO [00011506] Port=0 WR @07=08713E9FF67A782BEB + 11505.50ns INFO [00011507] Port=0 RD @07 + 11507.50ns INFO [00011509] * RD COMPARE * port=0 adr=07 act=08713E9FF67A782BEB exp=08713E9FF67A782BEB + 11507.50ns INFO [00011509] Port=0 WR @02=1426F1369F056B2033 + 11507.50ns INFO [00011509] Port=1 RD @00 + 11508.50ns INFO [00011510] Port=0 WR @04=6165BC999BE1CF8619 + 11509.50ns INFO [00011511] * RD COMPARE * port=1 adr=00 act=E761511317A991E354 exp=E761511317A991E354 + 11510.50ns INFO [00011512] Port=0 RD @00 + 11511.50ns INFO [00011513] Port=1 RD @01 + 11512.50ns INFO [00011514] * RD COMPARE * port=0 adr=00 act=E761511317A991E354 exp=E761511317A991E354 + 11513.50ns INFO [00011515] * RD COMPARE * port=1 adr=01 act=523C97B4E00EFB3BC3 exp=523C97B4E00EFB3BC3 + 11513.50ns INFO [00011515] Port=1 RD @05 + 11514.50ns INFO [00011516] Port=0 RD @04 + 11514.50ns INFO [00011516] Port=1 RD @04 + 11515.50ns INFO [00011517] * RD COMPARE * port=1 adr=05 act=D9AA71D50163EDCCAA exp=D9AA71D50163EDCCAA + 11515.50ns INFO [00011517] Port=0 WR @00=D0FDC4F79B0A210FC7 + 11515.50ns INFO [00011517] Port=1 RD @03 + 11516.50ns INFO [00011518] * RD COMPARE * port=0 adr=04 act=6165BC999BE1CF8619 exp=6165BC999BE1CF8619 + 11516.50ns INFO [00011518] * RD COMPARE * port=1 adr=04 act=6165BC999BE1CF8619 exp=6165BC999BE1CF8619 + 11516.50ns INFO [00011518] Port=0 WR @04=73CC4B4235095B312A + 11517.50ns INFO [00011519] * RD COMPARE * port=1 adr=03 act=47254C51C27170EF99 exp=47254C51C27170EF99 + 11517.50ns INFO [00011519] Port=0 RD @06 + 11518.50ns INFO [00011520] Port=0 RD @03 + 11518.50ns INFO [00011520] Port=1 RD @04 + 11519.50ns INFO [00011521] * RD COMPARE * port=0 adr=06 act=D549E9EAA92884191D exp=D549E9EAA92884191D + 11520.50ns INFO [00011522] * RD COMPARE * port=0 adr=03 act=47254C51C27170EF99 exp=47254C51C27170EF99 + 11520.50ns INFO [00011522] * RD COMPARE * port=1 adr=04 act=73CC4B4235095B312A exp=73CC4B4235095B312A + 11520.50ns INFO [00011522] Port=0 RD @00 + 11522.50ns INFO [00011524] * RD COMPARE * port=0 adr=00 act=D0FDC4F79B0A210FC7 exp=D0FDC4F79B0A210FC7 + 11523.50ns INFO [00011525] Port=0 WR @05=D65066D4DEC28B1490 + 11526.50ns INFO [00011528] Port=0 WR @04=D181FA58053041E226 + 11526.50ns INFO [00011528] Port=1 RD @05 + 11527.50ns INFO [00011529] Port=0 WR @01=8D356B5C777EE500C7 + 11527.50ns INFO [00011529] Port=0 RD @04 + 11527.50ns INFO [00011529] Port=1 RD @04 + 11528.50ns INFO [00011530] * RD COMPARE * port=1 adr=05 act=D65066D4DEC28B1490 exp=D65066D4DEC28B1490 + 11528.50ns INFO [00011530] Port=0 RD @01 + 11529.50ns INFO [00011531] * RD COMPARE * port=0 adr=04 act=D181FA58053041E226 exp=D181FA58053041E226 + 11529.50ns INFO [00011531] * RD COMPARE * port=1 adr=04 act=D181FA58053041E226 exp=D181FA58053041E226 + 11530.50ns INFO [00011532] * RD COMPARE * port=0 adr=01 act=8D356B5C777EE500C7 exp=8D356B5C777EE500C7 + 11530.50ns INFO [00011532] Port=0 WR @01=9A6728525CFB7B5A8E + 11530.50ns INFO [00011532] Port=1 RD @00 + 11531.50ns INFO [00011533] Port=0 RD @04 + 11532.50ns INFO [00011534] * RD COMPARE * port=1 adr=00 act=D0FDC4F79B0A210FC7 exp=D0FDC4F79B0A210FC7 + 11532.50ns INFO [00011534] Port=0 WR @05=F166DA982B29100938 + 11533.50ns INFO [00011535] * RD COMPARE * port=0 adr=04 act=D181FA58053041E226 exp=D181FA58053041E226 + 11534.50ns INFO [00011536] Port=0 RD @00 + 11534.50ns INFO [00011536] Port=1 RD @04 + 11535.50ns INFO [00011537] Port=0 RD @07 + 11535.50ns INFO [00011537] Port=1 RD @03 + 11536.50ns INFO [00011538] * RD COMPARE * port=0 adr=00 act=D0FDC4F79B0A210FC7 exp=D0FDC4F79B0A210FC7 + 11536.50ns INFO [00011538] * RD COMPARE * port=1 adr=04 act=D181FA58053041E226 exp=D181FA58053041E226 + 11537.50ns INFO [00011539] * RD COMPARE * port=0 adr=07 act=08713E9FF67A782BEB exp=08713E9FF67A782BEB + 11537.50ns INFO [00011539] * RD COMPARE * port=1 adr=03 act=47254C51C27170EF99 exp=47254C51C27170EF99 + 11537.50ns INFO [00011539] Port=0 WR @05=1E4847E05D1E18C656 + 11538.50ns INFO [00011540] Port=0 WR @02=DEDAD29D6B3C216024 + 11538.50ns INFO [00011540] Port=1 RD @05 + 11539.50ns INFO [00011541] Port=0 WR @02=915B6F6D64D5249190 + 11539.50ns INFO [00011541] Port=0 RD @07 + 11540.50ns INFO [00011542] * RD COMPARE * port=1 adr=05 act=1E4847E05D1E18C656 exp=1E4847E05D1E18C656 + 11540.50ns INFO [00011542] Port=0 RD @04 + 11541.50ns INFO [00011543] * RD COMPARE * port=0 adr=07 act=08713E9FF67A782BEB exp=08713E9FF67A782BEB + 11541.50ns INFO [00011543] Port=0 WR @02=63B1F8DB2F0594688C + 11541.50ns INFO [00011543] Port=0 RD @06 + 11541.50ns INFO [00011543] Port=1 RD @05 + 11542.50ns INFO [00011544] * RD COMPARE * port=0 adr=04 act=D181FA58053041E226 exp=D181FA58053041E226 + 11543.50ns INFO [00011545] * RD COMPARE * port=0 adr=06 act=D549E9EAA92884191D exp=D549E9EAA92884191D + 11543.50ns INFO [00011545] * RD COMPARE * port=1 adr=05 act=1E4847E05D1E18C656 exp=1E4847E05D1E18C656 + 11543.50ns INFO [00011545] Port=0 WR @01=0CF80F6B4A4CD25746 + 11544.50ns INFO [00011546] Port=0 RD @02 + 11545.50ns INFO [00011547] Port=0 RD @03 + 11546.50ns INFO [00011548] * RD COMPARE * port=0 adr=02 act=63B1F8DB2F0594688C exp=63B1F8DB2F0594688C + 11546.50ns INFO [00011548] Port=0 RD @01 + 11547.50ns INFO [00011549] * RD COMPARE * port=0 adr=03 act=47254C51C27170EF99 exp=47254C51C27170EF99 + 11547.50ns INFO [00011549] Port=0 RD @03 + 11547.50ns INFO [00011549] Port=1 RD @01 + 11548.50ns INFO [00011550] * RD COMPARE * port=0 adr=01 act=0CF80F6B4A4CD25746 exp=0CF80F6B4A4CD25746 + 11548.50ns INFO [00011550] Port=0 WR @00=5A6BBC161E3B0F6810 + 11548.50ns INFO [00011550] Port=1 RD @01 + 11549.50ns INFO [00011551] * RD COMPARE * port=0 adr=03 act=47254C51C27170EF99 exp=47254C51C27170EF99 + 11549.50ns INFO [00011551] * RD COMPARE * port=1 adr=01 act=0CF80F6B4A4CD25746 exp=0CF80F6B4A4CD25746 + 11549.50ns INFO [00011551] Port=0 WR @04=27E507F4433A6DEBC0 + 11550.50ns INFO [00011552] * RD COMPARE * port=1 adr=01 act=0CF80F6B4A4CD25746 exp=0CF80F6B4A4CD25746 + 11551.50ns INFO [00011553] Port=1 RD @01 + 11553.50ns INFO [00011555] * RD COMPARE * port=1 adr=01 act=0CF80F6B4A4CD25746 exp=0CF80F6B4A4CD25746 + 11553.50ns INFO [00011555] Port=0 RD @02 + 11554.50ns INFO [00011556] Port=0 RD @03 + 11555.50ns INFO [00011557] * RD COMPARE * port=0 adr=02 act=63B1F8DB2F0594688C exp=63B1F8DB2F0594688C + 11555.50ns INFO [00011557] Port=1 RD @03 + 11556.50ns INFO [00011558] * RD COMPARE * port=0 adr=03 act=47254C51C27170EF99 exp=47254C51C27170EF99 + 11557.50ns INFO [00011559] * RD COMPARE * port=1 adr=03 act=47254C51C27170EF99 exp=47254C51C27170EF99 + 11557.50ns INFO [00011559] Port=0 WR @05=5FAB83B3523A102ACF + 11557.50ns INFO [00011559] Port=1 RD @07 + 11558.50ns INFO [00011560] Port=0 WR @04=2D55C0C088322F9F0B + 11558.50ns INFO [00011560] Port=0 RD @05 + 11559.50ns INFO [00011561] * RD COMPARE * port=1 adr=07 act=08713E9FF67A782BEB exp=08713E9FF67A782BEB + 11559.50ns INFO [00011561] Port=0 RD @05 + 11560.50ns INFO [00011562] * RD COMPARE * port=0 adr=05 act=5FAB83B3523A102ACF exp=5FAB83B3523A102ACF + 11560.50ns INFO [00011562] Port=1 RD @04 + 11561.50ns INFO [00011563] * RD COMPARE * port=0 adr=05 act=5FAB83B3523A102ACF exp=5FAB83B3523A102ACF + 11561.50ns INFO [00011563] Port=0 WR @06=586148DECD05430213 + 11562.50ns INFO [00011564] * RD COMPARE * port=1 adr=04 act=2D55C0C088322F9F0B exp=2D55C0C088322F9F0B + 11562.50ns INFO [00011564] Port=0 WR @00=BD3E4157AA1F73A717 + 11562.50ns INFO [00011564] Port=0 RD @07 + 11564.50ns INFO [00011566] * RD COMPARE * port=0 adr=07 act=08713E9FF67A782BEB exp=08713E9FF67A782BEB + 11564.50ns INFO [00011566] Port=0 RD @01 + 11564.50ns INFO [00011566] Port=1 RD @00 + 11566.50ns INFO [00011568] * RD COMPARE * port=0 adr=01 act=0CF80F6B4A4CD25746 exp=0CF80F6B4A4CD25746 + 11566.50ns INFO [00011568] * RD COMPARE * port=1 adr=00 act=BD3E4157AA1F73A717 exp=BD3E4157AA1F73A717 + 11566.50ns INFO [00011568] Port=0 WR @00=7071725BC3D8332AF8 + 11567.50ns INFO [00011569] Port=0 WR @07=32868F7DA753C81947 + 11568.50ns INFO [00011570] Port=0 RD @01 + 11570.50ns INFO [00011572] * RD COMPARE * port=0 adr=01 act=0CF80F6B4A4CD25746 exp=0CF80F6B4A4CD25746 + 11570.50ns INFO [00011572] Port=0 WR @05=FD1BC9BCE4A439B688 + 11570.50ns INFO [00011572] Port=1 RD @01 + 11571.50ns INFO [00011573] Port=0 WR @01=260411F1F2197B297D + 11571.50ns INFO [00011573] Port=1 RD @03 + 11572.50ns INFO [00011574] * RD COMPARE * port=1 adr=01 act=0CF80F6B4A4CD25746 exp=0CF80F6B4A4CD25746 + 11572.50ns INFO [00011574] Port=1 RD @01 + 11573.50ns INFO [00011575] * RD COMPARE * port=1 adr=03 act=47254C51C27170EF99 exp=47254C51C27170EF99 + 11573.50ns INFO [00011575] Port=0 RD @06 + 11574.50ns INFO [00011576] * RD COMPARE * port=1 adr=01 act=260411F1F2197B297D exp=260411F1F2197B297D + 11574.50ns INFO [00011576] Port=0 WR @00=30A1956E82B120F395 + 11575.50ns INFO [00011577] * RD COMPARE * port=0 adr=06 act=586148DECD05430213 exp=586148DECD05430213 + 11576.50ns INFO [00011578] Port=0 RD @07 + 11576.50ns INFO [00011578] Port=1 RD @07 + 11577.50ns INFO [00011579] Port=0 WR @05=3CD982E3CEEECC3EF9 + 11577.50ns INFO [00011579] Port=0 RD @04 + 11577.50ns INFO [00011579] Port=1 RD @04 + 11578.50ns INFO [00011580] * RD COMPARE * port=0 adr=07 act=32868F7DA753C81947 exp=32868F7DA753C81947 + 11578.50ns INFO [00011580] * RD COMPARE * port=1 adr=07 act=32868F7DA753C81947 exp=32868F7DA753C81947 + 11578.50ns INFO [00011580] Port=0 WR @06=7689F733A2B72427DD + 11579.50ns INFO [00011581] * RD COMPARE * port=0 adr=04 act=2D55C0C088322F9F0B exp=2D55C0C088322F9F0B + 11579.50ns INFO [00011581] * RD COMPARE * port=1 adr=04 act=2D55C0C088322F9F0B exp=2D55C0C088322F9F0B + 11579.50ns INFO [00011581] Port=0 WR @01=273744D6985CB22F56 + 11583.50ns INFO [00011585] Port=0 WR @06=F29D3D99235DB352D7 + 11583.50ns INFO [00011585] Port=0 RD @04 + 11583.50ns INFO [00011585] Port=1 RD @07 + 11584.50ns INFO [00011586] Port=0 WR @03=25291A1CC6BF9161F8 + 11584.50ns INFO [00011586] Port=1 RD @07 + 11585.50ns INFO [00011587] * RD COMPARE * port=0 adr=04 act=2D55C0C088322F9F0B exp=2D55C0C088322F9F0B + 11585.50ns INFO [00011587] * RD COMPARE * port=1 adr=07 act=32868F7DA753C81947 exp=32868F7DA753C81947 + 11586.50ns INFO [00011588] * RD COMPARE * port=1 adr=07 act=32868F7DA753C81947 exp=32868F7DA753C81947 + 11586.50ns INFO [00011588] Port=0 WR @06=4AED8A7054C56A5EBF + 11586.50ns INFO [00011588] Port=0 RD @05 + 11588.50ns INFO [00011590] * RD COMPARE * port=0 adr=05 act=3CD982E3CEEECC3EF9 exp=3CD982E3CEEECC3EF9 + 11588.50ns INFO [00011590] Port=0 RD @00 + 11588.50ns INFO [00011590] Port=1 RD @07 + 11589.50ns INFO [00011591] Port=0 WR @04=21BB7E46F3AD4BD778 + 11590.50ns INFO [00011592] * RD COMPARE * port=0 adr=00 act=30A1956E82B120F395 exp=30A1956E82B120F395 + 11590.50ns INFO [00011592] * RD COMPARE * port=1 adr=07 act=32868F7DA753C81947 exp=32868F7DA753C81947 + 11590.50ns INFO [00011592] Port=1 RD @00 + 11591.50ns INFO [00011593] Port=0 WR @04=2D104AE10DA60C1939 + 11591.50ns INFO [00011593] Port=1 RD @07 + 11592.50ns INFO [00011594] * RD COMPARE * port=1 adr=00 act=30A1956E82B120F395 exp=30A1956E82B120F395 + 11592.50ns INFO [00011594] Port=0 WR @03=734B5FAD489FC69912 + 11592.50ns INFO [00011594] Port=0 RD @06 + 11592.50ns INFO [00011594] Port=1 RD @02 + 11593.50ns INFO [00011595] * RD COMPARE * port=1 adr=07 act=32868F7DA753C81947 exp=32868F7DA753C81947 + 11593.50ns INFO [00011595] Port=0 RD @06 + 11594.50ns INFO [00011596] * RD COMPARE * port=0 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11594.50ns INFO [00011596] * RD COMPARE * port=1 adr=02 act=63B1F8DB2F0594688C exp=63B1F8DB2F0594688C + 11594.50ns INFO [00011596] Port=0 RD @00 + 11595.50ns INFO [00011597] * RD COMPARE * port=0 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11595.50ns INFO [00011597] Port=0 WR @07=A10E0D01BF29444011 + 11596.50ns INFO [00011598] * RD COMPARE * port=0 adr=00 act=30A1956E82B120F395 exp=30A1956E82B120F395 + 11596.50ns INFO [00011598] Port=0 WR @02=A270F39BDE04DF11C2 + 11596.50ns INFO [00011598] Port=0 RD @07 + 11597.50ns INFO [00011599] Port=0 WR @01=29253EC6709EF4BFCF + 11597.50ns INFO [00011599] Port=0 RD @06 + 11598.00ns INFO [00011600] [00011600] ...tick... + 11598.50ns INFO [00011600] * RD COMPARE * port=0 adr=07 act=A10E0D01BF29444011 exp=A10E0D01BF29444011 + 11598.50ns INFO [00011600] Port=0 WR @02=589F1ED4E2B3F24D85 + 11598.50ns INFO [00011600] Port=0 RD @01 + 11599.50ns INFO [00011601] * RD COMPARE * port=0 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11599.50ns INFO [00011601] Port=0 RD @06 + 11600.50ns INFO [00011602] * RD COMPARE * port=0 adr=01 act=29253EC6709EF4BFCF exp=29253EC6709EF4BFCF + 11601.50ns INFO [00011603] * RD COMPARE * port=0 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11602.50ns INFO [00011604] Port=0 RD @03 + 11603.50ns INFO [00011605] Port=0 RD @00 + 11603.50ns INFO [00011605] Port=1 RD @06 + 11604.50ns INFO [00011606] * RD COMPARE * port=0 adr=03 act=734B5FAD489FC69912 exp=734B5FAD489FC69912 + 11605.50ns INFO [00011607] * RD COMPARE * port=0 adr=00 act=30A1956E82B120F395 exp=30A1956E82B120F395 + 11605.50ns INFO [00011607] * RD COMPARE * port=1 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11605.50ns INFO [00011607] Port=0 WR @04=5D352AD6EB444A23D3 + 11605.50ns INFO [00011607] Port=0 RD @00 + 11606.50ns INFO [00011608] Port=0 RD @01 + 11607.50ns INFO [00011609] * RD COMPARE * port=0 adr=00 act=30A1956E82B120F395 exp=30A1956E82B120F395 + 11607.50ns INFO [00011609] Port=0 RD @05 + 11607.50ns INFO [00011609] Port=1 RD @02 + 11608.50ns INFO [00011610] * RD COMPARE * port=0 adr=01 act=29253EC6709EF4BFCF exp=29253EC6709EF4BFCF + 11608.50ns INFO [00011610] Port=0 WR @04=CEB3D55714B3E3E6B8 + 11608.50ns INFO [00011610] Port=1 RD @00 + 11609.50ns INFO [00011611] * RD COMPARE * port=0 adr=05 act=3CD982E3CEEECC3EF9 exp=3CD982E3CEEECC3EF9 + 11609.50ns INFO [00011611] * RD COMPARE * port=1 adr=02 act=589F1ED4E2B3F24D85 exp=589F1ED4E2B3F24D85 + 11610.50ns INFO [00011612] * RD COMPARE * port=1 adr=00 act=30A1956E82B120F395 exp=30A1956E82B120F395 + 11610.50ns INFO [00011612] Port=0 WR @00=B3054DE231A5C2C9AF + 11612.50ns INFO [00011614] Port=1 RD @07 + 11613.50ns INFO [00011615] Port=0 RD @00 + 11613.50ns INFO [00011615] Port=1 RD @01 + 11614.50ns INFO [00011616] * RD COMPARE * port=1 adr=07 act=A10E0D01BF29444011 exp=A10E0D01BF29444011 + 11615.50ns INFO [00011617] * RD COMPARE * port=0 adr=00 act=B3054DE231A5C2C9AF exp=B3054DE231A5C2C9AF + 11615.50ns INFO [00011617] * RD COMPARE * port=1 adr=01 act=29253EC6709EF4BFCF exp=29253EC6709EF4BFCF + 11615.50ns INFO [00011617] Port=1 RD @06 + 11617.50ns INFO [00011619] * RD COMPARE * port=1 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11617.50ns INFO [00011619] Port=0 WR @00=9A5083FFFEC3C9C6C2 + 11617.50ns INFO [00011619] Port=0 RD @03 + 11617.50ns INFO [00011619] Port=1 RD @03 + 11618.50ns INFO [00011620] Port=0 RD @02 + 11619.50ns INFO [00011621] * RD COMPARE * port=0 adr=03 act=734B5FAD489FC69912 exp=734B5FAD489FC69912 + 11619.50ns INFO [00011621] * RD COMPARE * port=1 adr=03 act=734B5FAD489FC69912 exp=734B5FAD489FC69912 + 11619.50ns INFO [00011621] Port=0 WR @03=31251C8F918C574CA8 + 11620.50ns INFO [00011622] * RD COMPARE * port=0 adr=02 act=589F1ED4E2B3F24D85 exp=589F1ED4E2B3F24D85 + 11620.50ns INFO [00011622] Port=0 RD @05 + 11622.50ns INFO [00011624] * RD COMPARE * port=0 adr=05 act=3CD982E3CEEECC3EF9 exp=3CD982E3CEEECC3EF9 + 11622.50ns INFO [00011624] Port=1 RD @06 + 11623.50ns INFO [00011625] Port=0 RD @04 + 11623.50ns INFO [00011625] Port=1 RD @05 + 11624.50ns INFO [00011626] * RD COMPARE * port=1 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11624.50ns INFO [00011626] Port=1 RD @00 + 11625.50ns INFO [00011627] * RD COMPARE * port=0 adr=04 act=CEB3D55714B3E3E6B8 exp=CEB3D55714B3E3E6B8 + 11625.50ns INFO [00011627] * RD COMPARE * port=1 adr=05 act=3CD982E3CEEECC3EF9 exp=3CD982E3CEEECC3EF9 + 11625.50ns INFO [00011627] Port=0 RD @04 + 11625.50ns INFO [00011627] Port=1 RD @01 + 11626.50ns INFO [00011628] * RD COMPARE * port=1 adr=00 act=9A5083FFFEC3C9C6C2 exp=9A5083FFFEC3C9C6C2 + 11626.50ns INFO [00011628] Port=1 RD @06 + 11627.50ns INFO [00011629] * RD COMPARE * port=0 adr=04 act=CEB3D55714B3E3E6B8 exp=CEB3D55714B3E3E6B8 + 11627.50ns INFO [00011629] * RD COMPARE * port=1 adr=01 act=29253EC6709EF4BFCF exp=29253EC6709EF4BFCF + 11627.50ns INFO [00011629] Port=1 RD @02 + 11628.50ns INFO [00011630] * RD COMPARE * port=1 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11629.50ns INFO [00011631] * RD COMPARE * port=1 adr=02 act=589F1ED4E2B3F24D85 exp=589F1ED4E2B3F24D85 + 11629.50ns INFO [00011631] Port=0 RD @02 + 11629.50ns INFO [00011631] Port=1 RD @02 + 11630.50ns INFO [00011632] Port=0 WR @02=12B6344D0DE6C7A729 + 11630.50ns INFO [00011632] Port=1 RD @03 + 11631.50ns INFO [00011633] * RD COMPARE * port=0 adr=02 act=589F1ED4E2B3F24D85 exp=589F1ED4E2B3F24D85 + 11631.50ns INFO [00011633] * RD COMPARE * port=1 adr=02 act=589F1ED4E2B3F24D85 exp=589F1ED4E2B3F24D85 + 11631.50ns INFO [00011633] Port=0 RD @01 + 11631.50ns INFO [00011633] Port=1 RD @02 + 11632.50ns INFO [00011634] * RD COMPARE * port=1 adr=03 act=31251C8F918C574CA8 exp=31251C8F918C574CA8 + 11632.50ns INFO [00011634] Port=0 WR @00=C06B1BFB6807510CA2 + 11632.50ns INFO [00011634] Port=0 RD @05 + 11632.50ns INFO [00011634] Port=1 RD @06 + 11633.50ns INFO [00011635] * RD COMPARE * port=0 adr=01 act=29253EC6709EF4BFCF exp=29253EC6709EF4BFCF + 11633.50ns INFO [00011635] * RD COMPARE * port=1 adr=02 act=12B6344D0DE6C7A729 exp=12B6344D0DE6C7A729 + 11633.50ns INFO [00011635] Port=0 WR @00=2136016D10CD757D30 + 11633.50ns INFO [00011635] Port=1 RD @05 + 11634.50ns INFO [00011636] * RD COMPARE * port=0 adr=05 act=3CD982E3CEEECC3EF9 exp=3CD982E3CEEECC3EF9 + 11634.50ns INFO [00011636] * RD COMPARE * port=1 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11634.50ns INFO [00011636] Port=0 RD @02 + 11635.50ns INFO [00011637] * RD COMPARE * port=1 adr=05 act=3CD982E3CEEECC3EF9 exp=3CD982E3CEEECC3EF9 + 11635.50ns INFO [00011637] Port=0 WR @01=ECCA668F2FBDC6DEC9 + 11635.50ns INFO [00011637] Port=1 RD @06 + 11636.50ns INFO [00011638] * RD COMPARE * port=0 adr=02 act=12B6344D0DE6C7A729 exp=12B6344D0DE6C7A729 + 11636.50ns INFO [00011638] Port=0 RD @02 + 11637.50ns INFO [00011639] * RD COMPARE * port=1 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11637.50ns INFO [00011639] Port=0 WR @07=6EC2C6F01985F655E1 + 11637.50ns INFO [00011639] Port=1 RD @00 + 11638.50ns INFO [00011640] * RD COMPARE * port=0 adr=02 act=12B6344D0DE6C7A729 exp=12B6344D0DE6C7A729 + 11638.50ns INFO [00011640] Port=0 WR @07=F1F32229FFFC553FC3 + 11638.50ns INFO [00011640] Port=1 RD @05 + 11639.50ns INFO [00011641] * RD COMPARE * port=1 adr=00 act=2136016D10CD757D30 exp=2136016D10CD757D30 + 11639.50ns INFO [00011641] Port=0 WR @07=4D6F098194736B639A + 11640.50ns INFO [00011642] * RD COMPARE * port=1 adr=05 act=3CD982E3CEEECC3EF9 exp=3CD982E3CEEECC3EF9 + 11640.50ns INFO [00011642] Port=0 WR @01=4C081122990D23563B + 11640.50ns INFO [00011642] Port=1 RD @02 + 11641.50ns INFO [00011643] Port=0 WR @03=FBAC476CCC467B4D94 + 11642.50ns INFO [00011644] * RD COMPARE * port=1 adr=02 act=12B6344D0DE6C7A729 exp=12B6344D0DE6C7A729 + 11642.50ns INFO [00011644] Port=0 WR @07=B06CBB7685C8FF979A + 11642.50ns INFO [00011644] Port=1 RD @06 + 11643.50ns INFO [00011645] Port=0 WR @03=AF2D16EAEDCEA4BDD5 + 11643.50ns INFO [00011645] Port=1 RD @06 + 11644.50ns INFO [00011646] * RD COMPARE * port=1 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11644.50ns INFO [00011646] Port=0 WR @01=F8E7EBCD1D64242D78 + 11644.50ns INFO [00011646] Port=0 RD @04 + 11645.50ns INFO [00011647] * RD COMPARE * port=1 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11645.50ns INFO [00011647] Port=0 WR @02=37762086B92ACE7CEA + 11645.50ns INFO [00011647] Port=1 RD @01 + 11646.50ns INFO [00011648] * RD COMPARE * port=0 adr=04 act=CEB3D55714B3E3E6B8 exp=CEB3D55714B3E3E6B8 + 11647.50ns INFO [00011649] * RD COMPARE * port=1 adr=01 act=F8E7EBCD1D64242D78 exp=F8E7EBCD1D64242D78 + 11648.50ns INFO [00011650] Port=0 RD @05 + 11648.50ns INFO [00011650] Port=1 RD @07 + 11649.50ns INFO [00011651] Port=0 RD @00 + 11649.50ns INFO [00011651] Port=1 RD @06 + 11650.50ns INFO [00011652] * RD COMPARE * port=0 adr=05 act=3CD982E3CEEECC3EF9 exp=3CD982E3CEEECC3EF9 + 11650.50ns INFO [00011652] * RD COMPARE * port=1 adr=07 act=B06CBB7685C8FF979A exp=B06CBB7685C8FF979A + 11650.50ns INFO [00011652] Port=0 WR @02=CB920EF4019F4DA8CD + 11651.50ns INFO [00011653] * RD COMPARE * port=0 adr=00 act=2136016D10CD757D30 exp=2136016D10CD757D30 + 11651.50ns INFO [00011653] * RD COMPARE * port=1 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11651.50ns INFO [00011653] Port=0 WR @07=06B86DE54B566BB3CA + 11652.50ns INFO [00011654] Port=0 WR @04=89C45817BD112BB0D2 + 11652.50ns INFO [00011654] Port=0 RD @02 + 11653.50ns INFO [00011655] Port=0 WR @05=2CFB9C190BCDE6EB72 + 11654.50ns INFO [00011656] * RD COMPARE * port=0 adr=02 act=CB920EF4019F4DA8CD exp=CB920EF4019F4DA8CD + 11654.50ns INFO [00011656] Port=0 WR @02=71C23CA15AE5BEC840 + 11654.50ns INFO [00011656] Port=0 RD @00 + 11654.50ns INFO [00011656] Port=1 RD @04 + 11655.50ns INFO [00011657] Port=1 RD @01 + 11656.50ns INFO [00011658] * RD COMPARE * port=0 adr=00 act=2136016D10CD757D30 exp=2136016D10CD757D30 + 11656.50ns INFO [00011658] * RD COMPARE * port=1 adr=04 act=89C45817BD112BB0D2 exp=89C45817BD112BB0D2 + 11656.50ns INFO [00011658] Port=0 RD @04 + 11657.50ns INFO [00011659] * RD COMPARE * port=1 adr=01 act=F8E7EBCD1D64242D78 exp=F8E7EBCD1D64242D78 + 11657.50ns INFO [00011659] Port=1 RD @05 + 11658.50ns INFO [00011660] * RD COMPARE * port=0 adr=04 act=89C45817BD112BB0D2 exp=89C45817BD112BB0D2 + 11658.50ns INFO [00011660] Port=1 RD @06 + 11659.50ns INFO [00011661] * RD COMPARE * port=1 adr=05 act=2CFB9C190BCDE6EB72 exp=2CFB9C190BCDE6EB72 + 11660.50ns INFO [00011662] * RD COMPARE * port=1 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11661.50ns INFO [00011663] Port=0 RD @03 + 11661.50ns INFO [00011663] Port=1 RD @01 + 11662.50ns INFO [00011664] Port=0 WR @02=65812EA0D4F68B29E6 + 11662.50ns INFO [00011664] Port=0 RD @03 + 11663.50ns INFO [00011665] * RD COMPARE * port=0 adr=03 act=AF2D16EAEDCEA4BDD5 exp=AF2D16EAEDCEA4BDD5 + 11663.50ns INFO [00011665] * RD COMPARE * port=1 adr=01 act=F8E7EBCD1D64242D78 exp=F8E7EBCD1D64242D78 + 11663.50ns INFO [00011665] Port=0 RD @00 + 11663.50ns INFO [00011665] Port=1 RD @00 + 11664.50ns INFO [00011666] * RD COMPARE * port=0 adr=03 act=AF2D16EAEDCEA4BDD5 exp=AF2D16EAEDCEA4BDD5 + 11664.50ns INFO [00011666] Port=0 RD @02 + 11664.50ns INFO [00011666] Port=1 RD @01 + 11665.50ns INFO [00011667] * RD COMPARE * port=0 adr=00 act=2136016D10CD757D30 exp=2136016D10CD757D30 + 11665.50ns INFO [00011667] * RD COMPARE * port=1 adr=00 act=2136016D10CD757D30 exp=2136016D10CD757D30 + 11665.50ns INFO [00011667] Port=0 RD @02 + 11666.50ns INFO [00011668] * RD COMPARE * port=0 adr=02 act=65812EA0D4F68B29E6 exp=65812EA0D4F68B29E6 + 11666.50ns INFO [00011668] * RD COMPARE * port=1 adr=01 act=F8E7EBCD1D64242D78 exp=F8E7EBCD1D64242D78 + 11667.50ns INFO [00011669] * RD COMPARE * port=0 adr=02 act=65812EA0D4F68B29E6 exp=65812EA0D4F68B29E6 + 11667.50ns INFO [00011669] Port=0 RD @05 + 11667.50ns INFO [00011669] Port=1 RD @00 + 11668.50ns INFO [00011670] Port=0 WR @03=51FE4D001C3CCD8B1C + 11669.50ns INFO [00011671] * RD COMPARE * port=0 adr=05 act=2CFB9C190BCDE6EB72 exp=2CFB9C190BCDE6EB72 + 11669.50ns INFO [00011671] * RD COMPARE * port=1 adr=00 act=2136016D10CD757D30 exp=2136016D10CD757D30 + 11669.50ns INFO [00011671] Port=0 WR @00=A44FFCC40EFE59224F + 11669.50ns INFO [00011671] Port=1 RD @03 + 11670.50ns INFO [00011672] Port=0 RD @07 + 11671.50ns INFO [00011673] * RD COMPARE * port=1 adr=03 act=51FE4D001C3CCD8B1C exp=51FE4D001C3CCD8B1C + 11671.50ns INFO [00011673] Port=1 RD @05 + 11672.50ns INFO [00011674] * RD COMPARE * port=0 adr=07 act=06B86DE54B566BB3CA exp=06B86DE54B566BB3CA + 11672.50ns INFO [00011674] Port=0 WR @02=92E32924ACF22527B3 + 11673.50ns INFO [00011675] * RD COMPARE * port=1 adr=05 act=2CFB9C190BCDE6EB72 exp=2CFB9C190BCDE6EB72 + 11673.50ns INFO [00011675] Port=0 RD @04 + 11674.50ns INFO [00011676] Port=0 WR @05=FE4EDE4767A4042937 + 11674.50ns INFO [00011676] Port=0 RD @06 + 11674.50ns INFO [00011676] Port=1 RD @01 + 11675.50ns INFO [00011677] * RD COMPARE * port=0 adr=04 act=89C45817BD112BB0D2 exp=89C45817BD112BB0D2 + 11676.50ns INFO [00011678] * RD COMPARE * port=0 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11676.50ns INFO [00011678] * RD COMPARE * port=1 adr=01 act=F8E7EBCD1D64242D78 exp=F8E7EBCD1D64242D78 + 11676.50ns INFO [00011678] Port=0 WR @00=BB7FD64A103C73DA92 + 11676.50ns INFO [00011678] Port=0 RD @05 + 11677.50ns INFO [00011679] Port=0 WR @03=42E7F9904C92558CEB + 11677.50ns INFO [00011679] Port=0 RD @05 + 11678.50ns INFO [00011680] * RD COMPARE * port=0 adr=05 act=FE4EDE4767A4042937 exp=FE4EDE4767A4042937 + 11678.50ns INFO [00011680] Port=0 RD @07 + 11678.50ns INFO [00011680] Port=1 RD @04 + 11679.50ns INFO [00011681] * RD COMPARE * port=0 adr=05 act=FE4EDE4767A4042937 exp=FE4EDE4767A4042937 + 11679.50ns INFO [00011681] Port=0 WR @03=561E482AE32C677D9B + 11679.50ns INFO [00011681] Port=0 RD @06 + 11680.50ns INFO [00011682] * RD COMPARE * port=0 adr=07 act=06B86DE54B566BB3CA exp=06B86DE54B566BB3CA + 11680.50ns INFO [00011682] * RD COMPARE * port=1 adr=04 act=89C45817BD112BB0D2 exp=89C45817BD112BB0D2 + 11680.50ns INFO [00011682] Port=0 WR @04=B42B193C763E7EE557 + 11680.50ns INFO [00011682] Port=1 RD @00 + 11681.50ns INFO [00011683] * RD COMPARE * port=0 adr=06 act=4AED8A7054C56A5EBF exp=4AED8A7054C56A5EBF + 11681.50ns INFO [00011683] Port=1 RD @04 + 11682.50ns INFO [00011684] * RD COMPARE * port=1 adr=00 act=BB7FD64A103C73DA92 exp=BB7FD64A103C73DA92 + 11682.50ns INFO [00011684] Port=0 RD @05 + 11683.50ns INFO [00011685] * RD COMPARE * port=1 adr=04 act=B42B193C763E7EE557 exp=B42B193C763E7EE557 + 11683.50ns INFO [00011685] Port=0 WR @06=9C783A8777B2B47B27 + 11683.50ns INFO [00011685] Port=1 RD @04 + 11684.50ns INFO [00011686] * RD COMPARE * port=0 adr=05 act=FE4EDE4767A4042937 exp=FE4EDE4767A4042937 + 11684.50ns INFO [00011686] Port=0 WR @05=C3831829B662F654C1 + 11684.50ns INFO [00011686] Port=0 RD @02 + 11684.50ns INFO [00011686] Port=1 RD @03 + 11685.50ns INFO [00011687] * RD COMPARE * port=1 adr=04 act=B42B193C763E7EE557 exp=B42B193C763E7EE557 + 11685.50ns INFO [00011687] Port=1 RD @07 + 11686.50ns INFO [00011688] * RD COMPARE * port=0 adr=02 act=92E32924ACF22527B3 exp=92E32924ACF22527B3 + 11686.50ns INFO [00011688] * RD COMPARE * port=1 adr=03 act=561E482AE32C677D9B exp=561E482AE32C677D9B + 11687.50ns INFO [00011689] * RD COMPARE * port=1 adr=07 act=06B86DE54B566BB3CA exp=06B86DE54B566BB3CA + 11687.50ns INFO [00011689] Port=0 WR @03=F5A7FB55AF8B64F93D + 11688.50ns INFO [00011690] Port=0 RD @00 + 11689.50ns INFO [00011691] Port=0 WR @06=23DB8E50E489E4FC9F + 11689.50ns INFO [00011691] Port=1 RD @04 + 11690.50ns INFO [00011692] * RD COMPARE * port=0 adr=00 act=BB7FD64A103C73DA92 exp=BB7FD64A103C73DA92 + 11690.50ns INFO [00011692] Port=0 WR @04=79AF640C852F220B93 + 11691.50ns INFO [00011693] * RD COMPARE * port=1 adr=04 act=B42B193C763E7EE557 exp=B42B193C763E7EE557 + 11693.50ns INFO [00011695] Port=0 WR @03=E8DE4D68CC24B9DE3E + 11693.50ns INFO [00011695] Port=0 RD @07 + 11694.50ns INFO [00011696] Port=0 WR @07=4900CC30C44BDB189A + 11694.50ns INFO [00011696] Port=0 RD @05 + 11695.50ns INFO [00011697] * RD COMPARE * port=0 adr=07 act=06B86DE54B566BB3CA exp=06B86DE54B566BB3CA + 11695.50ns INFO [00011697] Port=0 RD @01 + 11696.50ns INFO [00011698] * RD COMPARE * port=0 adr=05 act=C3831829B662F654C1 exp=C3831829B662F654C1 + 11696.50ns INFO [00011698] Port=1 RD @03 + 11697.50ns INFO [00011699] * RD COMPARE * port=0 adr=01 act=F8E7EBCD1D64242D78 exp=F8E7EBCD1D64242D78 + 11697.50ns INFO [00011699] Port=0 WR @00=1AA8773BB56D98D647 + 11697.50ns INFO [00011699] Port=0 RD @02 + 11698.00ns INFO [00011700] [00011700] ...tick... + 11698.50ns INFO [00011700] * RD COMPARE * port=1 adr=03 act=E8DE4D68CC24B9DE3E exp=E8DE4D68CC24B9DE3E + 11698.50ns INFO [00011700] Port=0 RD @07 + 11699.50ns INFO [00011701] * RD COMPARE * port=0 adr=02 act=92E32924ACF22527B3 exp=92E32924ACF22527B3 + 11700.50ns INFO [00011702] * RD COMPARE * port=0 adr=07 act=4900CC30C44BDB189A exp=4900CC30C44BDB189A + 11700.50ns INFO [00011702] Port=0 RD @04 + 11701.50ns INFO [00011703] Port=1 RD @02 + 11702.50ns INFO [00011704] * RD COMPARE * port=0 adr=04 act=79AF640C852F220B93 exp=79AF640C852F220B93 + 11703.50ns INFO [00011705] * RD COMPARE * port=1 adr=02 act=92E32924ACF22527B3 exp=92E32924ACF22527B3 + 11703.50ns INFO [00011705] Port=0 RD @04 + 11703.50ns INFO [00011705] Port=1 RD @02 + 11704.50ns INFO [00011706] Port=0 RD @02 + 11705.50ns INFO [00011707] * RD COMPARE * port=0 adr=04 act=79AF640C852F220B93 exp=79AF640C852F220B93 + 11705.50ns INFO [00011707] * RD COMPARE * port=1 adr=02 act=92E32924ACF22527B3 exp=92E32924ACF22527B3 + 11705.50ns INFO [00011707] Port=0 WR @01=43EBA447F16301CEF0 + 11705.50ns INFO [00011707] Port=0 RD @00 + 11706.50ns INFO [00011708] * RD COMPARE * port=0 adr=02 act=92E32924ACF22527B3 exp=92E32924ACF22527B3 + 11706.50ns INFO [00011708] Port=0 WR @05=E6C3C200823B47BAAA + 11707.50ns INFO [00011709] * RD COMPARE * port=0 adr=00 act=1AA8773BB56D98D647 exp=1AA8773BB56D98D647 + 11707.50ns INFO [00011709] Port=0 WR @07=068FE5CDB28871399B + 11707.50ns INFO [00011709] Port=0 RD @06 + 11707.50ns INFO [00011709] Port=1 RD @02 + 11708.50ns INFO [00011710] Port=0 RD @06 + 11708.50ns INFO [00011710] Port=1 RD @07 + 11709.50ns INFO [00011711] * RD COMPARE * port=0 adr=06 act=23DB8E50E489E4FC9F exp=23DB8E50E489E4FC9F + 11709.50ns INFO [00011711] * RD COMPARE * port=1 adr=02 act=92E32924ACF22527B3 exp=92E32924ACF22527B3 + 11709.50ns INFO [00011711] Port=0 WR @03=504AA2B9382D34712F + 11709.50ns INFO [00011711] Port=0 RD @00 + 11709.50ns INFO [00011711] Port=1 RD @00 + 11710.50ns INFO [00011712] * RD COMPARE * port=0 adr=06 act=23DB8E50E489E4FC9F exp=23DB8E50E489E4FC9F + 11710.50ns INFO [00011712] * RD COMPARE * port=1 adr=07 act=068FE5CDB28871399B exp=068FE5CDB28871399B + 11711.50ns INFO [00011713] * RD COMPARE * port=0 adr=00 act=1AA8773BB56D98D647 exp=1AA8773BB56D98D647 + 11711.50ns INFO [00011713] * RD COMPARE * port=1 adr=00 act=1AA8773BB56D98D647 exp=1AA8773BB56D98D647 + 11711.50ns INFO [00011713] Port=0 WR @00=F49361FFD5283A2870 + 11712.50ns INFO [00011714] Port=0 WR @07=CD81E48943BC05090B + 11714.50ns INFO [00011716] Port=1 RD @00 + 11715.50ns INFO [00011717] Port=1 RD @07 + 11716.50ns INFO [00011718] * RD COMPARE * port=1 adr=00 act=F49361FFD5283A2870 exp=F49361FFD5283A2870 + 11716.50ns INFO [00011718] Port=0 WR @05=ACECE1F5362DBEC6A9 + 11716.50ns INFO [00011718] Port=1 RD @03 + 11717.50ns INFO [00011719] * RD COMPARE * port=1 adr=07 act=CD81E48943BC05090B exp=CD81E48943BC05090B + 11718.50ns INFO [00011720] * RD COMPARE * port=1 adr=03 act=504AA2B9382D34712F exp=504AA2B9382D34712F + 11718.50ns INFO [00011720] Port=1 RD @00 + 11719.50ns INFO [00011721] Port=0 WR @05=3BC75D133A5EAEA282 + 11719.50ns INFO [00011721] Port=1 RD @04 + 11720.50ns INFO [00011722] * RD COMPARE * port=1 adr=00 act=F49361FFD5283A2870 exp=F49361FFD5283A2870 + 11720.50ns INFO [00011722] Port=0 RD @06 + 11721.50ns INFO [00011723] * RD COMPARE * port=1 adr=04 act=79AF640C852F220B93 exp=79AF640C852F220B93 + 11721.50ns INFO [00011723] Port=0 WR @00=863BF25C0ABF0BFE9B + 11721.50ns INFO [00011723] Port=1 RD @06 + 11722.50ns INFO [00011724] * RD COMPARE * port=0 adr=06 act=23DB8E50E489E4FC9F exp=23DB8E50E489E4FC9F + 11722.50ns INFO [00011724] Port=1 RD @02 + 11723.50ns INFO [00011725] * RD COMPARE * port=1 adr=06 act=23DB8E50E489E4FC9F exp=23DB8E50E489E4FC9F + 11723.50ns INFO [00011725] Port=1 RD @07 + 11724.50ns INFO [00011726] * RD COMPARE * port=1 adr=02 act=92E32924ACF22527B3 exp=92E32924ACF22527B3 + 11724.50ns INFO [00011726] Port=0 RD @02 + 11724.50ns INFO [00011726] Port=1 RD @05 + 11725.50ns INFO [00011727] * RD COMPARE * port=1 adr=07 act=CD81E48943BC05090B exp=CD81E48943BC05090B + 11725.50ns INFO [00011727] Port=1 RD @04 + 11726.50ns INFO [00011728] * RD COMPARE * port=0 adr=02 act=92E32924ACF22527B3 exp=92E32924ACF22527B3 + 11726.50ns INFO [00011728] * RD COMPARE * port=1 adr=05 act=3BC75D133A5EAEA282 exp=3BC75D133A5EAEA282 + 11727.50ns INFO [00011729] * RD COMPARE * port=1 adr=04 act=79AF640C852F220B93 exp=79AF640C852F220B93 + 11728.50ns INFO [00011730] Port=0 WR @05=7E5C38E6BB2D7F9735 + 11730.50ns INFO [00011732] Port=0 WR @03=E51B09144B5430D612 + 11730.50ns INFO [00011732] Port=1 RD @07 + 11732.50ns INFO [00011734] * RD COMPARE * port=1 adr=07 act=CD81E48943BC05090B exp=CD81E48943BC05090B + 11732.50ns INFO [00011734] Port=0 RD @06 + 11733.50ns INFO [00011735] Port=1 RD @06 + 11734.50ns INFO [00011736] * RD COMPARE * port=0 adr=06 act=23DB8E50E489E4FC9F exp=23DB8E50E489E4FC9F + 11734.50ns INFO [00011736] Port=0 WR @06=7CE12BFD2844FCC11B + 11735.50ns INFO [00011737] * RD COMPARE * port=1 adr=06 act=23DB8E50E489E4FC9F exp=23DB8E50E489E4FC9F + 11735.50ns INFO [00011737] Port=0 WR @02=5DE5059FD488FECEE5 + 11735.50ns INFO [00011737] Port=0 RD @04 + 11735.50ns INFO [00011737] Port=1 RD @06 + 11737.50ns INFO [00011739] * RD COMPARE * port=0 adr=04 act=79AF640C852F220B93 exp=79AF640C852F220B93 + 11737.50ns INFO [00011739] * RD COMPARE * port=1 adr=06 act=7CE12BFD2844FCC11B exp=7CE12BFD2844FCC11B + 11737.50ns INFO [00011739] Port=1 RD @00 + 11738.50ns INFO [00011740] Port=1 RD @00 + 11739.50ns INFO [00011741] * RD COMPARE * port=1 adr=00 act=863BF25C0ABF0BFE9B exp=863BF25C0ABF0BFE9B + 11740.50ns INFO [00011742] * RD COMPARE * port=1 adr=00 act=863BF25C0ABF0BFE9B exp=863BF25C0ABF0BFE9B + 11740.50ns INFO [00011742] Port=0 WR @00=057D1440BF941E35B3 + 11740.50ns INFO [00011742] Port=1 RD @02 + 11742.50ns INFO [00011744] * RD COMPARE * port=1 adr=02 act=5DE5059FD488FECEE5 exp=5DE5059FD488FECEE5 + 11743.50ns INFO [00011745] Port=0 RD @02 + 11743.50ns INFO [00011745] Port=1 RD @01 + 11744.50ns INFO [00011746] Port=0 RD @03 + 11744.50ns INFO [00011746] Port=1 RD @04 + 11745.50ns INFO [00011747] * RD COMPARE * port=0 adr=02 act=5DE5059FD488FECEE5 exp=5DE5059FD488FECEE5 + 11745.50ns INFO [00011747] * RD COMPARE * port=1 adr=01 act=43EBA447F16301CEF0 exp=43EBA447F16301CEF0 + 11746.50ns INFO [00011748] * RD COMPARE * port=0 adr=03 act=E51B09144B5430D612 exp=E51B09144B5430D612 + 11746.50ns INFO [00011748] * RD COMPARE * port=1 adr=04 act=79AF640C852F220B93 exp=79AF640C852F220B93 + 11746.50ns INFO [00011748] Port=0 WR @04=5D334B7665DD2EB911 + 11746.50ns INFO [00011748] Port=0 RD @02 + 11747.50ns INFO [00011749] Port=0 RD @07 + 11747.50ns INFO [00011749] Port=1 RD @02 + 11748.50ns INFO [00011750] * RD COMPARE * port=0 adr=02 act=5DE5059FD488FECEE5 exp=5DE5059FD488FECEE5 + 11748.50ns INFO [00011750] Port=0 WR @00=CC2EC6089F159AEE08 + 11748.50ns INFO [00011750] Port=1 RD @05 + 11749.50ns INFO [00011751] * RD COMPARE * port=0 adr=07 act=CD81E48943BC05090B exp=CD81E48943BC05090B + 11749.50ns INFO [00011751] * RD COMPARE * port=1 adr=02 act=5DE5059FD488FECEE5 exp=5DE5059FD488FECEE5 + 11749.50ns INFO [00011751] Port=0 WR @03=494734C84FF95C361D + 11750.50ns INFO [00011752] * RD COMPARE * port=1 adr=05 act=7E5C38E6BB2D7F9735 exp=7E5C38E6BB2D7F9735 + 11750.50ns INFO [00011752] Port=0 WR @07=6C045B1ED1434EEC15 + 11750.50ns INFO [00011752] Port=0 RD @05 + 11751.50ns INFO [00011753] Port=0 RD @05 + 11751.50ns INFO [00011753] Port=1 RD @06 + 11752.50ns INFO [00011754] * RD COMPARE * port=0 adr=05 act=7E5C38E6BB2D7F9735 exp=7E5C38E6BB2D7F9735 + 11752.50ns INFO [00011754] Port=1 RD @01 + 11753.50ns INFO [00011755] * RD COMPARE * port=0 adr=05 act=7E5C38E6BB2D7F9735 exp=7E5C38E6BB2D7F9735 + 11753.50ns INFO [00011755] * RD COMPARE * port=1 adr=06 act=7CE12BFD2844FCC11B exp=7CE12BFD2844FCC11B + 11753.50ns INFO [00011755] Port=0 WR @01=126D4F5E8C6CABB5F4 + 11754.50ns INFO [00011756] * RD COMPARE * port=1 adr=01 act=43EBA447F16301CEF0 exp=43EBA447F16301CEF0 + 11754.50ns INFO [00011756] Port=0 WR @04=7C076ACCDB95D8C05E + 11755.50ns INFO [00011757] Port=0 WR @05=D42214162FF51F348C + 11756.50ns INFO [00011758] Port=0 RD @01 + 11757.50ns INFO [00011759] Port=0 WR @06=A58CF6D5D5EF6D2D50 + 11757.50ns INFO [00011759] Port=0 RD @05 + 11758.50ns INFO [00011760] * RD COMPARE * port=0 adr=01 act=126D4F5E8C6CABB5F4 exp=126D4F5E8C6CABB5F4 + 11758.50ns INFO [00011760] Port=1 RD @00 + 11759.50ns INFO [00011761] * RD COMPARE * port=0 adr=05 act=D42214162FF51F348C exp=D42214162FF51F348C + 11759.50ns INFO [00011761] Port=0 WR @03=A1638F61DE7B6DAE40 + 11760.50ns INFO [00011762] * RD COMPARE * port=1 adr=00 act=CC2EC6089F159AEE08 exp=CC2EC6089F159AEE08 + 11760.50ns INFO [00011762] Port=0 WR @04=31D3FB73EF67E80EE4 + 11761.50ns INFO [00011763] Port=0 WR @04=FD46476A55D05CE113 + 11761.50ns INFO [00011763] Port=1 RD @06 + 11763.50ns INFO [00011765] * RD COMPARE * port=1 adr=06 act=A58CF6D5D5EF6D2D50 exp=A58CF6D5D5EF6D2D50 + 11763.50ns INFO [00011765] Port=0 RD @02 + 11764.50ns INFO [00011766] Port=0 WR @05=BD92A1E5BF9AD30B9A + 11765.50ns INFO [00011767] * RD COMPARE * port=0 adr=02 act=5DE5059FD488FECEE5 exp=5DE5059FD488FECEE5 + 11765.50ns INFO [00011767] Port=0 WR @07=2AB34ED332A1CB1A87 + 11765.50ns INFO [00011767] Port=0 RD @03 + 11766.50ns INFO [00011768] Port=0 WR @03=EE9A457CB1B118529E + 11766.50ns INFO [00011768] Port=0 RD @05 + 11766.50ns INFO [00011768] Port=1 RD @05 + 11767.50ns INFO [00011769] * RD COMPARE * port=0 adr=03 act=A1638F61DE7B6DAE40 exp=A1638F61DE7B6DAE40 + 11767.50ns INFO [00011769] Port=0 WR @06=E9FF26F1F55E91BC38 + 11767.50ns INFO [00011769] Port=0 RD @05 + 11768.50ns INFO [00011770] * RD COMPARE * port=0 adr=05 act=BD92A1E5BF9AD30B9A exp=BD92A1E5BF9AD30B9A + 11768.50ns INFO [00011770] * RD COMPARE * port=1 adr=05 act=BD92A1E5BF9AD30B9A exp=BD92A1E5BF9AD30B9A + 11768.50ns INFO [00011770] Port=0 WR @02=FBA39E5720406B979D + 11768.50ns INFO [00011770] Port=0 RD @03 + 11768.50ns INFO [00011770] Port=1 RD @07 + 11769.50ns INFO [00011771] * RD COMPARE * port=0 adr=05 act=BD92A1E5BF9AD30B9A exp=BD92A1E5BF9AD30B9A + 11769.50ns INFO [00011771] Port=0 RD @02 + 11769.50ns INFO [00011771] Port=1 RD @04 + 11770.50ns INFO [00011772] * RD COMPARE * port=0 adr=03 act=EE9A457CB1B118529E exp=EE9A457CB1B118529E + 11770.50ns INFO [00011772] * RD COMPARE * port=1 adr=07 act=2AB34ED332A1CB1A87 exp=2AB34ED332A1CB1A87 + 11770.50ns INFO [00011772] Port=0 RD @00 + 11771.50ns INFO [00011773] * RD COMPARE * port=0 adr=02 act=FBA39E5720406B979D exp=FBA39E5720406B979D + 11771.50ns INFO [00011773] * RD COMPARE * port=1 adr=04 act=FD46476A55D05CE113 exp=FD46476A55D05CE113 + 11771.50ns INFO [00011773] Port=0 WR @01=8115B8A33438C560CF + 11771.50ns INFO [00011773] Port=0 RD @02 + 11771.50ns INFO [00011773] Port=1 RD @03 + 11772.50ns INFO [00011774] * RD COMPARE * port=0 adr=00 act=CC2EC6089F159AEE08 exp=CC2EC6089F159AEE08 + 11772.50ns INFO [00011774] Port=0 RD @04 + 11772.50ns INFO [00011774] Port=1 RD @06 + 11773.50ns INFO [00011775] * RD COMPARE * port=0 adr=02 act=FBA39E5720406B979D exp=FBA39E5720406B979D + 11773.50ns INFO [00011775] * RD COMPARE * port=1 adr=03 act=EE9A457CB1B118529E exp=EE9A457CB1B118529E + 11774.50ns INFO [00011776] * RD COMPARE * port=0 adr=04 act=FD46476A55D05CE113 exp=FD46476A55D05CE113 + 11774.50ns INFO [00011776] * RD COMPARE * port=1 adr=06 act=E9FF26F1F55E91BC38 exp=E9FF26F1F55E91BC38 + 11774.50ns INFO [00011776] Port=0 WR @00=433F3E44A50583F8FF + 11775.50ns INFO [00011777] Port=0 WR @00=88A0B6BBC4D514BF1D + 11776.50ns INFO [00011778] Port=0 RD @05 + 11777.50ns INFO [00011779] Port=0 RD @06 + 11777.50ns INFO [00011779] Port=1 RD @06 + 11778.50ns INFO [00011780] * RD COMPARE * port=0 adr=05 act=BD92A1E5BF9AD30B9A exp=BD92A1E5BF9AD30B9A + 11778.50ns INFO [00011780] Port=1 RD @05 + 11779.50ns INFO [00011781] * RD COMPARE * port=0 adr=06 act=E9FF26F1F55E91BC38 exp=E9FF26F1F55E91BC38 + 11779.50ns INFO [00011781] * RD COMPARE * port=1 adr=06 act=E9FF26F1F55E91BC38 exp=E9FF26F1F55E91BC38 + 11779.50ns INFO [00011781] Port=0 WR @01=643E9428A0C08FA1DC + 11779.50ns INFO [00011781] Port=0 RD @00 + 11779.50ns INFO [00011781] Port=1 RD @04 + 11780.50ns INFO [00011782] * RD COMPARE * port=1 adr=05 act=BD92A1E5BF9AD30B9A exp=BD92A1E5BF9AD30B9A + 11780.50ns INFO [00011782] Port=1 RD @03 + 11781.50ns INFO [00011783] * RD COMPARE * port=0 adr=00 act=88A0B6BBC4D514BF1D exp=88A0B6BBC4D514BF1D + 11781.50ns INFO [00011783] * RD COMPARE * port=1 adr=04 act=FD46476A55D05CE113 exp=FD46476A55D05CE113 + 11781.50ns INFO [00011783] Port=0 WR @07=5DBD71B2F018952E3F + 11781.50ns INFO [00011783] Port=0 RD @03 + 11782.50ns INFO [00011784] * RD COMPARE * port=1 adr=03 act=EE9A457CB1B118529E exp=EE9A457CB1B118529E + 11783.50ns INFO [00011785] * RD COMPARE * port=0 adr=03 act=EE9A457CB1B118529E exp=EE9A457CB1B118529E + 11783.50ns INFO [00011785] Port=0 RD @01 + 11783.50ns INFO [00011785] Port=1 RD @04 + 11784.50ns INFO [00011786] Port=0 WR @02=EC89BF7E4684359350 + 11785.50ns INFO [00011787] * RD COMPARE * port=0 adr=01 act=643E9428A0C08FA1DC exp=643E9428A0C08FA1DC + 11785.50ns INFO [00011787] * RD COMPARE * port=1 adr=04 act=FD46476A55D05CE113 exp=FD46476A55D05CE113 + 11785.50ns INFO [00011787] Port=0 WR @05=FCE1F919A4950109AF + 11785.50ns INFO [00011787] Port=0 RD @03 + 11786.50ns INFO [00011788] Port=0 RD @06 + 11786.50ns INFO [00011788] Port=1 RD @04 + 11787.50ns INFO [00011789] * RD COMPARE * port=0 adr=03 act=EE9A457CB1B118529E exp=EE9A457CB1B118529E + 11787.50ns INFO [00011789] Port=0 RD @05 + 11788.50ns INFO [00011790] * RD COMPARE * port=0 adr=06 act=E9FF26F1F55E91BC38 exp=E9FF26F1F55E91BC38 + 11788.50ns INFO [00011790] * RD COMPARE * port=1 adr=04 act=FD46476A55D05CE113 exp=FD46476A55D05CE113 + 11788.50ns INFO [00011790] Port=0 RD @00 + 11789.50ns INFO [00011791] * RD COMPARE * port=0 adr=05 act=FCE1F919A4950109AF exp=FCE1F919A4950109AF + 11789.50ns INFO [00011791] Port=0 WR @03=06DC4B2410D88DA1A6 + 11789.50ns INFO [00011791] Port=1 RD @00 + 11790.50ns INFO [00011792] * RD COMPARE * port=0 adr=00 act=88A0B6BBC4D514BF1D exp=88A0B6BBC4D514BF1D + 11791.50ns INFO [00011793] * RD COMPARE * port=1 adr=00 act=88A0B6BBC4D514BF1D exp=88A0B6BBC4D514BF1D + 11791.50ns INFO [00011793] Port=0 WR @02=3025EB097442116A72 + 11791.50ns INFO [00011793] Port=0 RD @07 + 11791.50ns INFO [00011793] Port=1 RD @07 + 11792.50ns INFO [00011794] Port=0 WR @01=C4141F7113278E949F + 11793.50ns INFO [00011795] * RD COMPARE * port=0 adr=07 act=5DBD71B2F018952E3F exp=5DBD71B2F018952E3F + 11793.50ns INFO [00011795] * RD COMPARE * port=1 adr=07 act=5DBD71B2F018952E3F exp=5DBD71B2F018952E3F + 11793.50ns INFO [00011795] Port=1 RD @07 + 11795.50ns INFO [00011797] * RD COMPARE * port=1 adr=07 act=5DBD71B2F018952E3F exp=5DBD71B2F018952E3F + 11795.50ns INFO [00011797] Port=0 RD @07 + 11796.50ns INFO [00011798] Port=0 WR @01=ACA7B94DCBEEE2D5D7 + 11797.50ns INFO [00011799] * RD COMPARE * port=0 adr=07 act=5DBD71B2F018952E3F exp=5DBD71B2F018952E3F + 11797.50ns INFO [00011799] Port=0 WR @04=79454A0E424688E82F + 11797.50ns INFO [00011799] Port=0 RD @03 + 11797.50ns INFO [00011799] Port=1 RD @05 + 11798.00ns INFO [00011800] [00011800] ...tick... + 11798.50ns INFO [00011800] Port=0 WR @06=409EC5FA9BC2E82A6F + 11799.50ns INFO [00011801] * RD COMPARE * port=0 adr=03 act=06DC4B2410D88DA1A6 exp=06DC4B2410D88DA1A6 + 11799.50ns INFO [00011801] * RD COMPARE * port=1 adr=05 act=FCE1F919A4950109AF exp=FCE1F919A4950109AF + 11799.50ns INFO [00011801] Port=1 RD @07 + 11800.50ns INFO [00011802] Port=0 WR @01=48CAAD5D9EDDF41A22 + 11800.50ns INFO [00011802] Port=0 RD @05 + 11800.50ns INFO [00011802] Port=1 RD @00 + 11801.50ns INFO [00011803] * RD COMPARE * port=1 adr=07 act=5DBD71B2F018952E3F exp=5DBD71B2F018952E3F + 11801.50ns INFO [00011803] Port=0 WR @00=0D092D157FEEF6FA90 + 11801.50ns INFO [00011803] Port=1 RD @03 + 11802.50ns INFO [00011804] * RD COMPARE * port=0 adr=05 act=FCE1F919A4950109AF exp=FCE1F919A4950109AF + 11802.50ns INFO [00011804] * RD COMPARE * port=1 adr=00 act=88A0B6BBC4D514BF1D exp=88A0B6BBC4D514BF1D + 11803.50ns INFO [00011805] * RD COMPARE * port=1 adr=03 act=06DC4B2410D88DA1A6 exp=06DC4B2410D88DA1A6 + 11803.50ns INFO [00011805] Port=1 RD @06 + 11804.50ns INFO [00011806] Port=0 WR @05=2BFB98979458F142A0 + 11804.50ns INFO [00011806] Port=0 RD @00 + 11804.50ns INFO [00011806] Port=1 RD @00 + 11805.50ns INFO [00011807] * RD COMPARE * port=1 adr=06 act=409EC5FA9BC2E82A6F exp=409EC5FA9BC2E82A6F + 11805.50ns INFO [00011807] Port=1 RD @03 + 11806.50ns INFO [00011808] * RD COMPARE * port=0 adr=00 act=0D092D157FEEF6FA90 exp=0D092D157FEEF6FA90 + 11806.50ns INFO [00011808] * RD COMPARE * port=1 adr=00 act=0D092D157FEEF6FA90 exp=0D092D157FEEF6FA90 + 11807.50ns INFO [00011809] * RD COMPARE * port=1 adr=03 act=06DC4B2410D88DA1A6 exp=06DC4B2410D88DA1A6 + 11807.50ns INFO [00011809] Port=0 WR @00=96BCAAF975E8BD7E2F + 11808.50ns INFO [00011810] Port=0 RD @04 + 11809.50ns INFO [00011811] Port=0 RD @06 + 11810.50ns INFO [00011812] * RD COMPARE * port=0 adr=04 act=79454A0E424688E82F exp=79454A0E424688E82F + 11810.50ns INFO [00011812] Port=1 RD @01 + 11811.50ns INFO [00011813] * RD COMPARE * port=0 adr=06 act=409EC5FA9BC2E82A6F exp=409EC5FA9BC2E82A6F + 11811.50ns INFO [00011813] Port=0 WR @02=5F7F6E369B3B358460 + 11812.50ns INFO [00011814] * RD COMPARE * port=1 adr=01 act=48CAAD5D9EDDF41A22 exp=48CAAD5D9EDDF41A22 + 11812.50ns INFO [00011814] Port=0 WR @00=B34689CC4741A8C0BA + 11813.50ns INFO [00011815] Port=0 WR @01=3C62C8A7D24A452D03 + 11813.50ns INFO [00011815] Port=1 RD @05 + 11814.50ns INFO [00011816] Port=0 WR @02=5E867EE6B09896C713 + 11815.50ns INFO [00011817] * RD COMPARE * port=1 adr=05 act=2BFB98979458F142A0 exp=2BFB98979458F142A0 + 11815.50ns INFO [00011817] Port=1 RD @07 + 11817.50ns INFO [00011819] * RD COMPARE * port=1 adr=07 act=5DBD71B2F018952E3F exp=5DBD71B2F018952E3F + 11817.50ns INFO [00011819] Port=0 WR @03=33FDA65ABF7D758666 + 11817.50ns INFO [00011819] Port=1 RD @05 + 11818.50ns INFO [00011820] Port=0 RD @01 + 11818.50ns INFO [00011820] Port=1 RD @02 + 11819.50ns INFO [00011821] * RD COMPARE * port=1 adr=05 act=2BFB98979458F142A0 exp=2BFB98979458F142A0 + 11820.50ns INFO [00011822] * RD COMPARE * port=0 adr=01 act=3C62C8A7D24A452D03 exp=3C62C8A7D24A452D03 + 11820.50ns INFO [00011822] * RD COMPARE * port=1 adr=02 act=5E867EE6B09896C713 exp=5E867EE6B09896C713 + 11820.50ns INFO [00011822] Port=0 WR @02=DE7E85665E5F7D677F + 11820.50ns INFO [00011822] Port=1 RD @07 + 11821.50ns INFO [00011823] Port=0 WR @06=0CA696727046320896 + 11821.50ns INFO [00011823] Port=0 RD @05 + 11822.50ns INFO [00011824] * RD COMPARE * port=1 adr=07 act=5DBD71B2F018952E3F exp=5DBD71B2F018952E3F + 11822.50ns INFO [00011824] Port=0 RD @06 + 11823.50ns INFO [00011825] * RD COMPARE * port=0 adr=05 act=2BFB98979458F142A0 exp=2BFB98979458F142A0 + 11823.50ns INFO [00011825] Port=0 WR @02=E3BF45A89A4013B008 + 11823.50ns INFO [00011825] Port=0 RD @05 + 11823.50ns INFO [00011825] Port=1 RD @03 + 11824.50ns INFO [00011826] * RD COMPARE * port=0 adr=06 act=0CA696727046320896 exp=0CA696727046320896 + 11824.50ns INFO [00011826] Port=0 WR @02=55F72024AEB7B2833B + 11824.50ns INFO [00011826] Port=0 RD @01 + 11824.50ns INFO [00011826] Port=1 RD @04 + 11825.50ns INFO [00011827] * RD COMPARE * port=0 adr=05 act=2BFB98979458F142A0 exp=2BFB98979458F142A0 + 11825.50ns INFO [00011827] * RD COMPARE * port=1 adr=03 act=33FDA65ABF7D758666 exp=33FDA65ABF7D758666 + 11825.50ns INFO [00011827] Port=0 WR @04=6CBFE885DC59F7A60D + 11825.50ns INFO [00011827] Port=1 RD @05 + 11826.50ns INFO [00011828] * RD COMPARE * port=0 adr=01 act=3C62C8A7D24A452D03 exp=3C62C8A7D24A452D03 + 11826.50ns INFO [00011828] * RD COMPARE * port=1 adr=04 act=79454A0E424688E82F exp=79454A0E424688E82F + 11826.50ns INFO [00011828] Port=0 WR @00=D2C9C67C91061B6CC3 + 11826.50ns INFO [00011828] Port=0 RD @07 + 11826.50ns INFO [00011828] Port=1 RD @02 + 11827.50ns INFO [00011829] * RD COMPARE * port=1 adr=05 act=2BFB98979458F142A0 exp=2BFB98979458F142A0 + 11827.50ns INFO [00011829] Port=0 RD @00 + 11827.50ns INFO [00011829] Port=1 RD @02 + 11828.50ns INFO [00011830] * RD COMPARE * port=0 adr=07 act=5DBD71B2F018952E3F exp=5DBD71B2F018952E3F + 11828.50ns INFO [00011830] * RD COMPARE * port=1 adr=02 act=55F72024AEB7B2833B exp=55F72024AEB7B2833B + 11829.50ns INFO [00011831] * RD COMPARE * port=0 adr=00 act=D2C9C67C91061B6CC3 exp=D2C9C67C91061B6CC3 + 11829.50ns INFO [00011831] * RD COMPARE * port=1 adr=02 act=55F72024AEB7B2833B exp=55F72024AEB7B2833B + 11829.50ns INFO [00011831] Port=0 RD @01 + 11829.50ns INFO [00011831] Port=1 RD @02 + 11830.50ns INFO [00011832] Port=0 WR @04=2A5F7582FBBCFAD858 + 11831.50ns INFO [00011833] * RD COMPARE * port=0 adr=01 act=3C62C8A7D24A452D03 exp=3C62C8A7D24A452D03 + 11831.50ns INFO [00011833] * RD COMPARE * port=1 adr=02 act=55F72024AEB7B2833B exp=55F72024AEB7B2833B + 11831.50ns INFO [00011833] Port=0 RD @05 + 11832.50ns INFO [00011834] Port=1 RD @00 + 11833.50ns INFO [00011835] * RD COMPARE * port=0 adr=05 act=2BFB98979458F142A0 exp=2BFB98979458F142A0 + 11833.50ns INFO [00011835] Port=0 RD @02 + 11834.50ns INFO [00011836] * RD COMPARE * port=1 adr=00 act=D2C9C67C91061B6CC3 exp=D2C9C67C91061B6CC3 + 11834.50ns INFO [00011836] Port=0 WR @05=275CBB4DA6568EC0F3 + 11834.50ns INFO [00011836] Port=1 RD @02 + 11835.50ns INFO [00011837] * RD COMPARE * port=0 adr=02 act=55F72024AEB7B2833B exp=55F72024AEB7B2833B + 11835.50ns INFO [00011837] Port=0 RD @03 + 11835.50ns INFO [00011837] Port=1 RD @05 + 11836.50ns INFO [00011838] * RD COMPARE * port=1 adr=02 act=55F72024AEB7B2833B exp=55F72024AEB7B2833B + 11837.50ns INFO [00011839] * RD COMPARE * port=0 adr=03 act=33FDA65ABF7D758666 exp=33FDA65ABF7D758666 + 11837.50ns INFO [00011839] * RD COMPARE * port=1 adr=05 act=275CBB4DA6568EC0F3 exp=275CBB4DA6568EC0F3 + 11837.50ns INFO [00011839] Port=0 RD @00 + 11837.50ns INFO [00011839] Port=1 RD @04 + 11838.50ns INFO [00011840] Port=0 WR @01=77D9A82EEA69B33241 + 11839.50ns INFO [00011841] * RD COMPARE * port=0 adr=00 act=D2C9C67C91061B6CC3 exp=D2C9C67C91061B6CC3 + 11839.50ns INFO [00011841] * RD COMPARE * port=1 adr=04 act=2A5F7582FBBCFAD858 exp=2A5F7582FBBCFAD858 + 11839.50ns INFO [00011841] Port=0 WR @05=9F2560A20CF67B3DA9 + 11839.50ns INFO [00011841] Port=1 RD @00 + 11840.50ns INFO [00011842] Port=0 WR @04=447A021F5DBD1E6AA6 + 11840.50ns INFO [00011842] Port=0 RD @02 + 11841.50ns INFO [00011843] * RD COMPARE * port=1 adr=00 act=D2C9C67C91061B6CC3 exp=D2C9C67C91061B6CC3 + 11841.50ns INFO [00011843] Port=0 WR @05=5B662F5F40184C986D + 11842.50ns INFO [00011844] * RD COMPARE * port=0 adr=02 act=55F72024AEB7B2833B exp=55F72024AEB7B2833B + 11842.50ns INFO [00011844] Port=0 WR @00=4F33ABAC135582AB51 + 11842.50ns INFO [00011844] Port=0 RD @06 + 11842.50ns INFO [00011844] Port=1 RD @01 + 11843.50ns INFO [00011845] Port=0 RD @06 + 11844.50ns INFO [00011846] * RD COMPARE * port=0 adr=06 act=0CA696727046320896 exp=0CA696727046320896 + 11844.50ns INFO [00011846] * RD COMPARE * port=1 adr=01 act=77D9A82EEA69B33241 exp=77D9A82EEA69B33241 + 11845.50ns INFO [00011847] * RD COMPARE * port=0 adr=06 act=0CA696727046320896 exp=0CA696727046320896 + 11845.50ns INFO [00011847] Port=1 RD @05 + 11846.50ns INFO [00011848] Port=0 WR @07=35778916B8B145C550 + 11846.50ns INFO [00011848] Port=0 RD @02 + 11846.50ns INFO [00011848] Port=1 RD @05 + 11847.50ns INFO [00011849] * RD COMPARE * port=1 adr=05 act=5B662F5F40184C986D exp=5B662F5F40184C986D + 11847.50ns INFO [00011849] Port=0 WR @05=86D1EDFC921E784E58 + 11847.50ns INFO [00011849] Port=1 RD @03 + 11848.50ns INFO [00011850] * RD COMPARE * port=0 adr=02 act=55F72024AEB7B2833B exp=55F72024AEB7B2833B + 11848.50ns INFO [00011850] * RD COMPARE * port=1 adr=05 act=5B662F5F40184C986D exp=5B662F5F40184C986D + 11848.50ns INFO [00011850] Port=1 RD @00 + 11849.50ns INFO [00011851] * RD COMPARE * port=1 adr=03 act=33FDA65ABF7D758666 exp=33FDA65ABF7D758666 + 11849.50ns INFO [00011851] Port=1 RD @01 + 11850.50ns INFO [00011852] * RD COMPARE * port=1 adr=00 act=4F33ABAC135582AB51 exp=4F33ABAC135582AB51 + 11850.50ns INFO [00011852] Port=0 WR @07=BF27A03DB8E1518EB2 + 11851.50ns INFO [00011853] * RD COMPARE * port=1 adr=01 act=77D9A82EEA69B33241 exp=77D9A82EEA69B33241 + 11852.50ns INFO [00011854] Port=1 RD @07 + 11854.50ns INFO [00011856] * RD COMPARE * port=1 adr=07 act=BF27A03DB8E1518EB2 exp=BF27A03DB8E1518EB2 + 11855.50ns INFO [00011857] Port=1 RD @05 + 11856.50ns INFO [00011858] Port=1 RD @05 + 11857.50ns INFO [00011859] * RD COMPARE * port=1 adr=05 act=86D1EDFC921E784E58 exp=86D1EDFC921E784E58 + 11857.50ns INFO [00011859] Port=1 RD @03 + 11858.50ns INFO [00011860] * RD COMPARE * port=1 adr=05 act=86D1EDFC921E784E58 exp=86D1EDFC921E784E58 + 11858.50ns INFO [00011860] Port=1 RD @00 + 11859.50ns INFO [00011861] * RD COMPARE * port=1 adr=03 act=33FDA65ABF7D758666 exp=33FDA65ABF7D758666 + 11859.50ns INFO [00011861] Port=0 WR @00=83BC935D24FD208AF1 + 11859.50ns INFO [00011861] Port=0 RD @07 + 11860.50ns INFO [00011862] * RD COMPARE * port=1 adr=00 act=4F33ABAC135582AB51 exp=4F33ABAC135582AB51 + 11860.50ns INFO [00011862] Port=0 WR @05=39EF5FFC8AF4020A41 + 11861.50ns INFO [00011863] * RD COMPARE * port=0 adr=07 act=BF27A03DB8E1518EB2 exp=BF27A03DB8E1518EB2 + 11861.50ns INFO [00011863] Port=0 WR @07=293A99F2C22340AE11 + 11862.50ns INFO [00011864] Port=0 WR @05=78AD05C653653377B6 + 11862.50ns INFO [00011864] Port=0 RD @03 + 11862.50ns INFO [00011864] Port=1 RD @01 + 11863.50ns INFO [00011865] Port=0 WR @03=63EE70B4E604CE455C + 11864.50ns INFO [00011866] * RD COMPARE * port=0 adr=03 act=33FDA65ABF7D758666 exp=33FDA65ABF7D758666 + 11864.50ns INFO [00011866] * RD COMPARE * port=1 adr=01 act=77D9A82EEA69B33241 exp=77D9A82EEA69B33241 + 11864.50ns INFO [00011866] Port=0 RD @05 + 11865.50ns INFO [00011867] Port=0 WR @03=2AA5CD134A4367CFB7 + 11866.50ns INFO [00011868] * RD COMPARE * port=0 adr=05 act=78AD05C653653377B6 exp=78AD05C653653377B6 + 11866.50ns INFO [00011868] Port=0 WR @02=051C91900129196322 + 11867.50ns INFO [00011869] Port=0 WR @00=56B3578ECCBCC891E1 + 11868.50ns INFO [00011870] Port=0 WR @03=FB1997711B5CAC078E + 11868.50ns INFO [00011870] Port=1 RD @00 + 11869.50ns INFO [00011871] Port=0 WR @04=06295C20F184BB9C7E + 11870.50ns INFO [00011872] * RD COMPARE * port=1 adr=00 act=56B3578ECCBCC891E1 exp=56B3578ECCBCC891E1 + 11870.50ns INFO [00011872] Port=1 RD @07 + 11871.50ns INFO [00011873] Port=0 WR @03=4353F8F3DFB2CCC3FE + 11872.50ns INFO [00011874] * RD COMPARE * port=1 adr=07 act=293A99F2C22340AE11 exp=293A99F2C22340AE11 + 11872.50ns INFO [00011874] Port=0 WR @02=A017D5B8BFFB2ABB3B + 11873.50ns INFO [00011875] Port=0 RD @00 + 11874.50ns INFO [00011876] Port=1 RD @07 + 11875.50ns INFO [00011877] * RD COMPARE * port=0 adr=00 act=56B3578ECCBCC891E1 exp=56B3578ECCBCC891E1 + 11876.50ns INFO [00011878] * RD COMPARE * port=1 adr=07 act=293A99F2C22340AE11 exp=293A99F2C22340AE11 + 11876.50ns INFO [00011878] Port=0 RD @02 + 11877.50ns INFO [00011879] Port=0 WR @06=B544A555A4082D1E84 + 11877.50ns INFO [00011879] Port=0 RD @02 + 11878.50ns INFO [00011880] * RD COMPARE * port=0 adr=02 act=A017D5B8BFFB2ABB3B exp=A017D5B8BFFB2ABB3B + 11878.50ns INFO [00011880] Port=0 RD @01 + 11879.50ns INFO [00011881] * RD COMPARE * port=0 adr=02 act=A017D5B8BFFB2ABB3B exp=A017D5B8BFFB2ABB3B + 11879.50ns INFO [00011881] Port=0 WR @05=FA2BDB9E15D2A79351 + 11879.50ns INFO [00011881] Port=0 RD @03 + 11880.50ns INFO [00011882] * RD COMPARE * port=0 adr=01 act=77D9A82EEA69B33241 exp=77D9A82EEA69B33241 + 11880.50ns INFO [00011882] Port=0 WR @02=65FB745959C503A7E8 + 11880.50ns INFO [00011882] Port=0 RD @05 + 11880.50ns INFO [00011882] Port=1 RD @00 + 11881.50ns INFO [00011883] * RD COMPARE * port=0 adr=03 act=4353F8F3DFB2CCC3FE exp=4353F8F3DFB2CCC3FE + 11882.50ns INFO [00011884] * RD COMPARE * port=0 adr=05 act=FA2BDB9E15D2A79351 exp=FA2BDB9E15D2A79351 + 11882.50ns INFO [00011884] * RD COMPARE * port=1 adr=00 act=56B3578ECCBCC891E1 exp=56B3578ECCBCC891E1 + 11882.50ns INFO [00011884] Port=0 WR @07=0281171A999E094C32 + 11882.50ns INFO [00011884] Port=0 RD @01 + 11882.50ns INFO [00011884] Port=1 RD @04 + 11883.50ns INFO [00011885] Port=0 WR @01=37ED96AD49610354D3 + 11883.50ns INFO [00011885] Port=1 RD @07 + 11884.50ns INFO [00011886] * RD COMPARE * port=0 adr=01 act=77D9A82EEA69B33241 exp=77D9A82EEA69B33241 + 11884.50ns INFO [00011886] * RD COMPARE * port=1 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11884.50ns INFO [00011886] Port=0 RD @05 + 11885.50ns INFO [00011887] * RD COMPARE * port=1 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11886.50ns INFO [00011888] * RD COMPARE * port=0 adr=05 act=FA2BDB9E15D2A79351 exp=FA2BDB9E15D2A79351 + 11887.50ns INFO [00011889] Port=0 WR @00=8398849C874525C924 + 11888.50ns INFO [00011890] Port=0 RD @03 + 11888.50ns INFO [00011890] Port=1 RD @03 + 11889.50ns INFO [00011891] Port=0 RD @06 + 11890.50ns INFO [00011892] * RD COMPARE * port=0 adr=03 act=4353F8F3DFB2CCC3FE exp=4353F8F3DFB2CCC3FE + 11890.50ns INFO [00011892] * RD COMPARE * port=1 adr=03 act=4353F8F3DFB2CCC3FE exp=4353F8F3DFB2CCC3FE + 11890.50ns INFO [00011892] Port=0 WR @03=0399E9240B12B8B561 + 11891.50ns INFO [00011893] * RD COMPARE * port=0 adr=06 act=B544A555A4082D1E84 exp=B544A555A4082D1E84 + 11892.50ns INFO [00011894] Port=0 RD @07 + 11892.50ns INFO [00011894] Port=1 RD @01 + 11893.50ns INFO [00011895] Port=1 RD @06 + 11894.50ns INFO [00011896] * RD COMPARE * port=0 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11894.50ns INFO [00011896] * RD COMPARE * port=1 adr=01 act=37ED96AD49610354D3 exp=37ED96AD49610354D3 + 11894.50ns INFO [00011896] Port=1 RD @06 + 11895.50ns INFO [00011897] * RD COMPARE * port=1 adr=06 act=B544A555A4082D1E84 exp=B544A555A4082D1E84 + 11895.50ns INFO [00011897] Port=0 RD @01 + 11896.50ns INFO [00011898] * RD COMPARE * port=1 adr=06 act=B544A555A4082D1E84 exp=B544A555A4082D1E84 + 11896.50ns INFO [00011898] Port=0 WR @05=1FDCD7C6020FC99398 + 11896.50ns INFO [00011898] Port=0 RD @07 + 11897.50ns INFO [00011899] * RD COMPARE * port=0 adr=01 act=37ED96AD49610354D3 exp=37ED96AD49610354D3 + 11897.50ns INFO [00011899] Port=0 RD @03 + 11897.50ns INFO [00011899] Port=1 RD @06 + 11898.00ns INFO [00011900] [00011900] ...tick... + 11898.50ns INFO [00011900] * RD COMPARE * port=0 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11898.50ns INFO [00011900] Port=0 RD @04 + 11899.50ns INFO [00011901] * RD COMPARE * port=0 adr=03 act=0399E9240B12B8B561 exp=0399E9240B12B8B561 + 11899.50ns INFO [00011901] * RD COMPARE * port=1 adr=06 act=B544A555A4082D1E84 exp=B544A555A4082D1E84 + 11899.50ns INFO [00011901] Port=0 WR @01=81A5444D4033F8817A + 11899.50ns INFO [00011901] Port=1 RD @00 + 11900.50ns INFO [00011902] * RD COMPARE * port=0 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11901.50ns INFO [00011903] * RD COMPARE * port=1 adr=00 act=8398849C874525C924 exp=8398849C874525C924 + 11902.50ns INFO [00011904] Port=0 WR @01=A6FB7DF6070CDE7A92 + 11902.50ns INFO [00011904] Port=1 RD @00 + 11904.50ns INFO [00011906] * RD COMPARE * port=1 adr=00 act=8398849C874525C924 exp=8398849C874525C924 + 11904.50ns INFO [00011906] Port=1 RD @04 + 11905.50ns INFO [00011907] Port=0 RD @01 + 11906.50ns INFO [00011908] * RD COMPARE * port=1 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11906.50ns INFO [00011908] Port=1 RD @04 + 11907.50ns INFO [00011909] * RD COMPARE * port=0 adr=01 act=A6FB7DF6070CDE7A92 exp=A6FB7DF6070CDE7A92 + 11907.50ns INFO [00011909] Port=0 RD @01 + 11907.50ns INFO [00011909] Port=1 RD @02 + 11908.50ns INFO [00011910] * RD COMPARE * port=1 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11908.50ns INFO [00011910] Port=1 RD @01 + 11909.50ns INFO [00011911] * RD COMPARE * port=0 adr=01 act=A6FB7DF6070CDE7A92 exp=A6FB7DF6070CDE7A92 + 11909.50ns INFO [00011911] * RD COMPARE * port=1 adr=02 act=65FB745959C503A7E8 exp=65FB745959C503A7E8 + 11909.50ns INFO [00011911] Port=1 RD @05 + 11910.50ns INFO [00011912] * RD COMPARE * port=1 adr=01 act=A6FB7DF6070CDE7A92 exp=A6FB7DF6070CDE7A92 + 11910.50ns INFO [00011912] Port=0 RD @04 + 11910.50ns INFO [00011912] Port=1 RD @04 + 11911.50ns INFO [00011913] * RD COMPARE * port=1 adr=05 act=1FDCD7C6020FC99398 exp=1FDCD7C6020FC99398 + 11912.50ns INFO [00011914] * RD COMPARE * port=0 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11912.50ns INFO [00011914] * RD COMPARE * port=1 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11912.50ns INFO [00011914] Port=0 WR @00=7DDE655E214551DE00 + 11912.50ns INFO [00011914] Port=1 RD @07 + 11913.50ns INFO [00011915] Port=0 WR @01=C080965CB8D8F24038 + 11913.50ns INFO [00011915] Port=0 RD @06 + 11913.50ns INFO [00011915] Port=1 RD @05 + 11914.50ns INFO [00011916] * RD COMPARE * port=1 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11914.50ns INFO [00011916] Port=0 RD @04 + 11914.50ns INFO [00011916] Port=1 RD @01 + 11915.50ns INFO [00011917] * RD COMPARE * port=0 adr=06 act=B544A555A4082D1E84 exp=B544A555A4082D1E84 + 11915.50ns INFO [00011917] * RD COMPARE * port=1 adr=05 act=1FDCD7C6020FC99398 exp=1FDCD7C6020FC99398 + 11915.50ns INFO [00011917] Port=0 WR @01=F77073B47F253BD091 + 11915.50ns INFO [00011917] Port=0 RD @04 + 11916.50ns INFO [00011918] * RD COMPARE * port=0 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11916.50ns INFO [00011918] * RD COMPARE * port=1 adr=01 act=C080965CB8D8F24038 exp=C080965CB8D8F24038 + 11916.50ns INFO [00011918] Port=0 RD @02 + 11917.50ns INFO [00011919] * RD COMPARE * port=0 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11917.50ns INFO [00011919] Port=1 RD @05 + 11918.50ns INFO [00011920] * RD COMPARE * port=0 adr=02 act=65FB745959C503A7E8 exp=65FB745959C503A7E8 + 11918.50ns INFO [00011920] Port=0 WR @00=A7DBA99CEE1ED6E3E2 + 11918.50ns INFO [00011920] Port=0 RD @06 + 11919.50ns INFO [00011921] * RD COMPARE * port=1 adr=05 act=1FDCD7C6020FC99398 exp=1FDCD7C6020FC99398 + 11919.50ns INFO [00011921] Port=0 RD @07 + 11920.50ns INFO [00011922] * RD COMPARE * port=0 adr=06 act=B544A555A4082D1E84 exp=B544A555A4082D1E84 + 11920.50ns INFO [00011922] Port=0 WR @00=112BF829976C5599D5 + 11920.50ns INFO [00011922] Port=1 RD @03 + 11921.50ns INFO [00011923] * RD COMPARE * port=0 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11922.50ns INFO [00011924] * RD COMPARE * port=1 adr=03 act=0399E9240B12B8B561 exp=0399E9240B12B8B561 + 11922.50ns INFO [00011924] Port=0 RD @00 + 11923.50ns INFO [00011925] Port=1 RD @07 + 11924.50ns INFO [00011926] * RD COMPARE * port=0 adr=00 act=112BF829976C5599D5 exp=112BF829976C5599D5 + 11924.50ns INFO [00011926] Port=0 RD @02 + 11925.50ns INFO [00011927] * RD COMPARE * port=1 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11925.50ns INFO [00011927] Port=1 RD @05 + 11926.50ns INFO [00011928] * RD COMPARE * port=0 adr=02 act=65FB745959C503A7E8 exp=65FB745959C503A7E8 + 11926.50ns INFO [00011928] Port=1 RD @04 + 11927.50ns INFO [00011929] * RD COMPARE * port=1 adr=05 act=1FDCD7C6020FC99398 exp=1FDCD7C6020FC99398 + 11927.50ns INFO [00011929] Port=0 RD @06 + 11928.50ns INFO [00011930] * RD COMPARE * port=1 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11929.50ns INFO [00011931] * RD COMPARE * port=0 adr=06 act=B544A555A4082D1E84 exp=B544A555A4082D1E84 + 11929.50ns INFO [00011931] Port=0 WR @05=895E05120F3C0ADDF3 + 11930.50ns INFO [00011932] Port=0 RD @01 + 11930.50ns INFO [00011932] Port=1 RD @07 + 11931.50ns INFO [00011933] Port=1 RD @00 + 11932.50ns INFO [00011934] * RD COMPARE * port=0 adr=01 act=F77073B47F253BD091 exp=F77073B47F253BD091 + 11932.50ns INFO [00011934] * RD COMPARE * port=1 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11932.50ns INFO [00011934] Port=0 RD @05 + 11933.50ns INFO [00011935] * RD COMPARE * port=1 adr=00 act=112BF829976C5599D5 exp=112BF829976C5599D5 + 11933.50ns INFO [00011935] Port=0 WR @01=E8E9BC9A22A735EC5B + 11933.50ns INFO [00011935] Port=0 RD @06 + 11933.50ns INFO [00011935] Port=1 RD @04 + 11934.50ns INFO [00011936] * RD COMPARE * port=0 adr=05 act=895E05120F3C0ADDF3 exp=895E05120F3C0ADDF3 + 11934.50ns INFO [00011936] Port=0 WR @03=53ACBCD8F2CA509CAB + 11935.50ns INFO [00011937] * RD COMPARE * port=0 adr=06 act=B544A555A4082D1E84 exp=B544A555A4082D1E84 + 11935.50ns INFO [00011937] * RD COMPARE * port=1 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11935.50ns INFO [00011937] Port=0 RD @00 + 11936.50ns INFO [00011938] Port=0 RD @06 + 11936.50ns INFO [00011938] Port=1 RD @04 + 11937.50ns INFO [00011939] * RD COMPARE * port=0 adr=00 act=112BF829976C5599D5 exp=112BF829976C5599D5 + 11937.50ns INFO [00011939] Port=0 RD @00 + 11938.50ns INFO [00011940] * RD COMPARE * port=0 adr=06 act=B544A555A4082D1E84 exp=B544A555A4082D1E84 + 11938.50ns INFO [00011940] * RD COMPARE * port=1 adr=04 act=06295C20F184BB9C7E exp=06295C20F184BB9C7E + 11938.50ns INFO [00011940] Port=0 WR @04=3F13F9B44E2569F1FF + 11938.50ns INFO [00011940] Port=0 RD @05 + 11939.50ns INFO [00011941] * RD COMPARE * port=0 adr=00 act=112BF829976C5599D5 exp=112BF829976C5599D5 + 11940.50ns INFO [00011942] * RD COMPARE * port=0 adr=05 act=895E05120F3C0ADDF3 exp=895E05120F3C0ADDF3 + 11940.50ns INFO [00011942] Port=0 RD @05 + 11940.50ns INFO [00011942] Port=1 RD @04 + 11942.50ns INFO [00011944] * RD COMPARE * port=0 adr=05 act=895E05120F3C0ADDF3 exp=895E05120F3C0ADDF3 + 11942.50ns INFO [00011944] * RD COMPARE * port=1 adr=04 act=3F13F9B44E2569F1FF exp=3F13F9B44E2569F1FF + 11942.50ns INFO [00011944] Port=0 RD @05 + 11943.50ns INFO [00011945] Port=1 RD @06 + 11944.50ns INFO [00011946] * RD COMPARE * port=0 adr=05 act=895E05120F3C0ADDF3 exp=895E05120F3C0ADDF3 + 11944.50ns INFO [00011946] Port=0 RD @04 + 11945.50ns INFO [00011947] * RD COMPARE * port=1 adr=06 act=B544A555A4082D1E84 exp=B544A555A4082D1E84 + 11945.50ns INFO [00011947] Port=0 RD @07 + 11946.50ns INFO [00011948] * RD COMPARE * port=0 adr=04 act=3F13F9B44E2569F1FF exp=3F13F9B44E2569F1FF + 11946.50ns INFO [00011948] Port=0 RD @02 + 11947.50ns INFO [00011949] * RD COMPARE * port=0 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11947.50ns INFO [00011949] Port=1 RD @07 + 11948.50ns INFO [00011950] * RD COMPARE * port=0 adr=02 act=65FB745959C503A7E8 exp=65FB745959C503A7E8 + 11948.50ns INFO [00011950] Port=0 RD @03 + 11949.50ns INFO [00011951] * RD COMPARE * port=1 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11949.50ns INFO [00011951] Port=0 WR @06=C1C145ABAEAF3E731F + 11949.50ns INFO [00011951] Port=0 RD @00 + 11950.50ns INFO [00011952] * RD COMPARE * port=0 adr=03 act=53ACBCD8F2CA509CAB exp=53ACBCD8F2CA509CAB + 11950.50ns INFO [00011952] Port=0 WR @02=3FF134F3A465D20400 + 11950.50ns INFO [00011952] Port=1 RD @00 + 11951.50ns INFO [00011953] * RD COMPARE * port=0 adr=00 act=112BF829976C5599D5 exp=112BF829976C5599D5 + 11951.50ns INFO [00011953] Port=1 RD @06 + 11952.50ns INFO [00011954] * RD COMPARE * port=1 adr=00 act=112BF829976C5599D5 exp=112BF829976C5599D5 + 11952.50ns INFO [00011954] Port=0 WR @00=DD7B756337A7216CE8 + 11952.50ns INFO [00011954] Port=0 RD @05 + 11953.50ns INFO [00011955] * RD COMPARE * port=1 adr=06 act=C1C145ABAEAF3E731F exp=C1C145ABAEAF3E731F + 11954.50ns INFO [00011956] * RD COMPARE * port=0 adr=05 act=895E05120F3C0ADDF3 exp=895E05120F3C0ADDF3 + 11954.50ns INFO [00011956] Port=0 WR @05=0402CDD750E7CC05C1 + 11955.50ns INFO [00011957] Port=0 WR @03=623539E296FA57FC54 + 11957.50ns INFO [00011959] Port=0 RD @03 + 11958.50ns INFO [00011960] Port=0 WR @00=8B4F7A80D33C281DEE + 11959.50ns INFO [00011961] * RD COMPARE * port=0 adr=03 act=623539E296FA57FC54 exp=623539E296FA57FC54 + 11959.50ns INFO [00011961] Port=0 RD @07 + 11959.50ns INFO [00011961] Port=1 RD @02 + 11960.50ns INFO [00011962] Port=0 RD @04 + 11961.50ns INFO [00011963] * RD COMPARE * port=0 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11961.50ns INFO [00011963] * RD COMPARE * port=1 adr=02 act=3FF134F3A465D20400 exp=3FF134F3A465D20400 + 11962.50ns INFO [00011964] * RD COMPARE * port=0 adr=04 act=3F13F9B44E2569F1FF exp=3F13F9B44E2569F1FF + 11962.50ns INFO [00011964] Port=0 WR @01=0649E95886364518A9 + 11962.50ns INFO [00011964] Port=0 RD @07 + 11962.50ns INFO [00011964] Port=1 RD @05 + 11963.50ns INFO [00011965] Port=1 RD @03 + 11964.50ns INFO [00011966] * RD COMPARE * port=0 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11964.50ns INFO [00011966] * RD COMPARE * port=1 adr=05 act=0402CDD750E7CC05C1 exp=0402CDD750E7CC05C1 + 11964.50ns INFO [00011966] Port=0 RD @00 + 11964.50ns INFO [00011966] Port=1 RD @02 + 11965.50ns INFO [00011967] * RD COMPARE * port=1 adr=03 act=623539E296FA57FC54 exp=623539E296FA57FC54 + 11965.50ns INFO [00011967] Port=0 RD @05 + 11966.50ns INFO [00011968] * RD COMPARE * port=0 adr=00 act=8B4F7A80D33C281DEE exp=8B4F7A80D33C281DEE + 11966.50ns INFO [00011968] * RD COMPARE * port=1 adr=02 act=3FF134F3A465D20400 exp=3FF134F3A465D20400 + 11966.50ns INFO [00011968] Port=0 WR @04=3D8B191F4EFD387596 + 11966.50ns INFO [00011968] Port=1 RD @01 + 11967.50ns INFO [00011969] * RD COMPARE * port=0 adr=05 act=0402CDD750E7CC05C1 exp=0402CDD750E7CC05C1 + 11967.50ns INFO [00011969] Port=0 WR @02=02E13233ED4F95FB29 + 11967.50ns INFO [00011969] Port=0 RD @04 + 11968.50ns INFO [00011970] * RD COMPARE * port=1 adr=01 act=0649E95886364518A9 exp=0649E95886364518A9 + 11969.50ns INFO [00011971] * RD COMPARE * port=0 adr=04 act=3D8B191F4EFD387596 exp=3D8B191F4EFD387596 + 11969.50ns INFO [00011971] Port=1 RD @02 + 11970.50ns INFO [00011972] Port=1 RD @07 + 11971.50ns INFO [00011973] * RD COMPARE * port=1 adr=02 act=02E13233ED4F95FB29 exp=02E13233ED4F95FB29 + 11971.50ns INFO [00011973] Port=0 RD @03 + 11972.50ns INFO [00011974] * RD COMPARE * port=1 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11973.50ns INFO [00011975] * RD COMPARE * port=0 adr=03 act=623539E296FA57FC54 exp=623539E296FA57FC54 + 11973.50ns INFO [00011975] Port=0 RD @07 + 11975.50ns INFO [00011977] * RD COMPARE * port=0 adr=07 act=0281171A999E094C32 exp=0281171A999E094C32 + 11977.50ns INFO [00011979] Port=0 WR @01=CE3D9BB3C04EF07B8B + 11978.50ns INFO [00011980] Port=0 WR @04=1D865DF8934D4897B3 + 11978.50ns INFO [00011980] Port=1 RD @03 + 11979.50ns INFO [00011981] Port=0 WR @03=8EF80F6290A77CEE69 + 11979.50ns INFO [00011981] Port=0 RD @04 + 11980.50ns INFO [00011982] * RD COMPARE * port=1 adr=03 act=623539E296FA57FC54 exp=623539E296FA57FC54 + 11980.50ns INFO [00011982] Port=0 WR @01=47D0BED71748C9B356 + 11981.50ns INFO [00011983] * RD COMPARE * port=0 adr=04 act=1D865DF8934D4897B3 exp=1D865DF8934D4897B3 + 11982.50ns INFO [00011984] Port=0 WR @03=BD9985791ECEA51BE8 + 11983.50ns INFO [00011985] Port=0 WR @07=DC672E92ADD6C51D82 + 11983.50ns INFO [00011985] Port=0 RD @06 + 11983.50ns INFO [00011985] Port=1 RD @05 + 11984.50ns INFO [00011986] Port=0 WR @02=C1B914E25CCAF1EABF + 11984.50ns INFO [00011986] Port=1 RD @03 + 11985.50ns INFO [00011987] * RD COMPARE * port=0 adr=06 act=C1C145ABAEAF3E731F exp=C1C145ABAEAF3E731F + 11985.50ns INFO [00011987] * RD COMPARE * port=1 adr=05 act=0402CDD750E7CC05C1 exp=0402CDD750E7CC05C1 + 11985.50ns INFO [00011987] Port=0 WR @07=B0607220BC88653712 + 11986.50ns INFO [00011988] * RD COMPARE * port=1 adr=03 act=BD9985791ECEA51BE8 exp=BD9985791ECEA51BE8 + 11987.50ns INFO [00011989] Port=0 RD @07 + 11987.50ns INFO [00011989] Port=1 RD @01 + 11988.50ns INFO [00011990] Port=0 RD @04 + 11989.50ns INFO [00011991] * RD COMPARE * port=0 adr=07 act=B0607220BC88653712 exp=B0607220BC88653712 + 11989.50ns INFO [00011991] * RD COMPARE * port=1 adr=01 act=47D0BED71748C9B356 exp=47D0BED71748C9B356 + 11989.50ns INFO [00011991] Port=0 WR @02=ED5D610DA08D0E97FA + 11990.50ns INFO [00011992] * RD COMPARE * port=0 adr=04 act=1D865DF8934D4897B3 exp=1D865DF8934D4897B3 + 11991.50ns INFO [00011993] Port=0 RD @07 + 11992.50ns INFO [00011994] Port=1 RD @03 + 11993.50ns INFO [00011995] * RD COMPARE * port=0 adr=07 act=B0607220BC88653712 exp=B0607220BC88653712 + 11993.50ns INFO [00011995] Port=0 WR @07=E925ECE0A987E808E4 + 11993.50ns INFO [00011995] Port=1 RD @05 + 11994.50ns INFO [00011996] * RD COMPARE * port=1 adr=03 act=BD9985791ECEA51BE8 exp=BD9985791ECEA51BE8 + 11995.50ns INFO [00011997] * RD COMPARE * port=1 adr=05 act=0402CDD750E7CC05C1 exp=0402CDD750E7CC05C1 + 11995.50ns INFO [00011997] Port=0 WR @00=5EEE0ABECA35347F0D + 11996.50ns INFO [00011998] Port=0 RD @06 + 11998.00ns INFO [00012000] [00012000] ...tick... + 11998.50ns INFO [00012000] * RD COMPARE * port=0 adr=06 act=C1C145ABAEAF3E731F exp=C1C145ABAEAF3E731F + 11998.50ns INFO [00012000] Port=1 RD @05 + 11999.50ns INFO [00012001] Port=0 WR @06=BE1BB23213B8DE1733 + 11999.50ns INFO [00012001] Port=0 RD @01 + 11999.50ns INFO [00012001] Port=1 RD @07 + 12000.50ns INFO [00012002] * RD COMPARE * port=1 adr=05 act=0402CDD750E7CC05C1 exp=0402CDD750E7CC05C1 + 12000.50ns INFO [00012002] Port=1 RD @06 + 12001.50ns INFO [00012003] * RD COMPARE * port=0 adr=01 act=47D0BED71748C9B356 exp=47D0BED71748C9B356 + 12001.50ns INFO [00012003] * RD COMPARE * port=1 adr=07 act=E925ECE0A987E808E4 exp=E925ECE0A987E808E4 + 12001.50ns INFO [00012003] Port=0 RD @01 + 12002.50ns INFO [00012004] * RD COMPARE * port=1 adr=06 act=BE1BB23213B8DE1733 exp=BE1BB23213B8DE1733 + 12002.50ns INFO [00012004] Port=0 WR @05=79EA26FC330F90F514 + 12003.50ns INFO [00012005] * RD COMPARE * port=0 adr=01 act=47D0BED71748C9B356 exp=47D0BED71748C9B356 + 12003.50ns INFO [00012005] Port=0 WR @02=9E5CD2828AB3426E3F + 12004.50ns INFO [00012006] Port=0 RD @03 + 12004.50ns INFO [00012006] Port=1 RD @02 + 12005.50ns INFO [00012007] Port=0 WR @01=16EEAED3CC47D69549 + 12005.50ns INFO [00012007] Port=0 RD @03 + 12005.50ns INFO [00012007] Port=1 RD @03 + 12006.50ns INFO [00012008] * RD COMPARE * port=0 adr=03 act=BD9985791ECEA51BE8 exp=BD9985791ECEA51BE8 + 12006.50ns INFO [00012008] * RD COMPARE * port=1 adr=02 act=9E5CD2828AB3426E3F exp=9E5CD2828AB3426E3F + 12006.50ns INFO [00012008] Port=0 WR @05=C99B88ACE6BD119432 + 12006.50ns INFO [00012008] Port=1 RD @00 + 12007.50ns INFO [00012009] * RD COMPARE * port=0 adr=03 act=BD9985791ECEA51BE8 exp=BD9985791ECEA51BE8 + 12007.50ns INFO [00012009] * RD COMPARE * port=1 adr=03 act=BD9985791ECEA51BE8 exp=BD9985791ECEA51BE8 + 12007.50ns INFO [00012009] Port=0 RD @03 + 12008.50ns INFO [00012010] * RD COMPARE * port=1 adr=00 act=5EEE0ABECA35347F0D exp=5EEE0ABECA35347F0D + 12008.50ns INFO [00012010] Port=0 WR @01=464F90162817321745 + 12008.50ns INFO [00012010] Port=0 RD @04 + 12009.50ns INFO [00012011] * RD COMPARE * port=0 adr=03 act=BD9985791ECEA51BE8 exp=BD9985791ECEA51BE8 + 12010.50ns INFO [00012012] * RD COMPARE * port=0 adr=04 act=1D865DF8934D4897B3 exp=1D865DF8934D4897B3 + 12010.50ns INFO [00012012] Port=0 RD @03 + 12011.50ns INFO [00012013] Port=1 RD @04 + 12012.50ns INFO [00012014] * RD COMPARE * port=0 adr=03 act=BD9985791ECEA51BE8 exp=BD9985791ECEA51BE8 + 12012.50ns INFO [00012014] Port=0 WR @06=4B4CB67CBE20EAE65C + 12012.50ns INFO [00012014] Port=0 RD @01 + 12012.50ns INFO [00012014] Port=1 RD @00 + 12013.50ns INFO [00012015] * RD COMPARE * port=1 adr=04 act=1D865DF8934D4897B3 exp=1D865DF8934D4897B3 + 12013.50ns INFO [00012015] Port=0 WR @02=12932351A56E8114D9 + 12013.50ns INFO [00012015] Port=0 RD @01 + 12013.50ns INFO [00012015] Port=1 RD @03 + 12014.50ns INFO [00012016] * RD COMPARE * port=0 adr=01 act=464F90162817321745 exp=464F90162817321745 + 12014.50ns INFO [00012016] * RD COMPARE * port=1 adr=00 act=5EEE0ABECA35347F0D exp=5EEE0ABECA35347F0D + 12014.50ns INFO [00012016] Port=0 WR @06=819C1946E0AECCFBF3 + 12015.50ns INFO [00012017] * RD COMPARE * port=0 adr=01 act=464F90162817321745 exp=464F90162817321745 + 12015.50ns INFO [00012017] * RD COMPARE * port=1 adr=03 act=BD9985791ECEA51BE8 exp=BD9985791ECEA51BE8 + 12016.50ns INFO [00012018] Port=0 RD @04 + 12017.50ns INFO [00012019] Port=1 RD @06 + 12018.50ns INFO [00012020] * RD COMPARE * port=0 adr=04 act=1D865DF8934D4897B3 exp=1D865DF8934D4897B3 + 12018.50ns INFO [00012020] Port=0 WR @07=9B2C4AC57ECF21D3EF + 12018.50ns INFO [00012020] Port=0 RD @05 + 12019.50ns INFO [00012021] * RD COMPARE * port=1 adr=06 act=819C1946E0AECCFBF3 exp=819C1946E0AECCFBF3 + 12020.50ns INFO [00012022] * RD COMPARE * port=0 adr=05 act=C99B88ACE6BD119432 exp=C99B88ACE6BD119432 + 12021.50ns INFO [00012023] Port=0 WR @05=BF58F8A6FFDD90C811 + 12021.50ns INFO [00012023] Port=0 RD @02 + 12021.50ns INFO [00012023] Port=1 RD @06 + 12023.50ns INFO [00012025] * RD COMPARE * port=0 adr=02 act=12932351A56E8114D9 exp=12932351A56E8114D9 + 12023.50ns INFO [00012025] * RD COMPARE * port=1 adr=06 act=819C1946E0AECCFBF3 exp=819C1946E0AECCFBF3 + 12023.50ns INFO [00012025] Port=0 WR @03=1C046AC52BDA5A9B21 + 12025.50ns INFO [00012027] Port=0 WR @04=B7D3C5556ED8BB29E5 + 12025.50ns INFO [00012027] Port=0 RD @07 + 12026.50ns INFO [00012028] Port=0 WR @00=13EFD4A42BB1DAEDE0 + 12026.50ns INFO [00012028] Port=0 RD @04 + 12027.50ns INFO [00012029] * RD COMPARE * port=0 adr=07 act=9B2C4AC57ECF21D3EF exp=9B2C4AC57ECF21D3EF + 12027.50ns INFO [00012029] Port=0 RD @06 + 12028.50ns INFO [00012030] * RD COMPARE * port=0 adr=04 act=B7D3C5556ED8BB29E5 exp=B7D3C5556ED8BB29E5 + 12028.50ns INFO [00012030] Port=0 RD @00 + 12029.50ns INFO [00012031] * RD COMPARE * port=0 adr=06 act=819C1946E0AECCFBF3 exp=819C1946E0AECCFBF3 + 12029.50ns INFO [00012031] Port=1 RD @00 + 12030.50ns INFO [00012032] * RD COMPARE * port=0 adr=00 act=13EFD4A42BB1DAEDE0 exp=13EFD4A42BB1DAEDE0 + 12030.50ns INFO [00012032] Port=0 WR @07=061B5ED7F0DE94E15D + 12030.50ns INFO [00012032] Port=0 RD @02 + 12031.50ns INFO [00012033] * RD COMPARE * port=1 adr=00 act=13EFD4A42BB1DAEDE0 exp=13EFD4A42BB1DAEDE0 + 12031.50ns INFO [00012033] Port=0 WR @07=5919893C4726E48745 + 12032.50ns INFO [00012034] * RD COMPARE * port=0 adr=02 act=12932351A56E8114D9 exp=12932351A56E8114D9 + 12033.50ns INFO [00012035] Port=1 RD @04 + 12034.50ns INFO [00012036] Port=0 RD @05 + 12035.50ns INFO [00012037] * RD COMPARE * port=1 adr=04 act=B7D3C5556ED8BB29E5 exp=B7D3C5556ED8BB29E5 + 12035.50ns INFO [00012037] Port=0 RD @05 + 12036.50ns INFO [00012038] * RD COMPARE * port=0 adr=05 act=BF58F8A6FFDD90C811 exp=BF58F8A6FFDD90C811 + 12036.50ns INFO [00012038] Port=0 WR @02=409EB13F81E79978C6 + 12037.50ns INFO [00012039] * RD COMPARE * port=0 adr=05 act=BF58F8A6FFDD90C811 exp=BF58F8A6FFDD90C811 + 12037.50ns INFO [00012039] Port=1 RD @01 + 12038.50ns INFO [00012040] Port=1 RD @03 + 12039.50ns INFO [00012041] * RD COMPARE * port=1 adr=01 act=464F90162817321745 exp=464F90162817321745 + 12039.50ns INFO [00012041] Port=0 WR @01=388352A248EF35D43B + 12040.50ns INFO [00012042] * RD COMPARE * port=1 adr=03 act=1C046AC52BDA5A9B21 exp=1C046AC52BDA5A9B21 + 12040.50ns INFO [00012042] Port=0 WR @07=7F6F387FB04D612C50 + 12040.50ns INFO [00012042] Port=0 RD @01 + 12041.50ns INFO [00012043] Port=1 RD @00 + 12042.50ns INFO [00012044] * RD COMPARE * port=0 adr=01 act=388352A248EF35D43B exp=388352A248EF35D43B + 12042.50ns INFO [00012044] Port=0 WR @04=B993686C434FC28E39 + 12042.50ns INFO [00012044] Port=1 RD @02 + 12043.50ns INFO [00012045] * RD COMPARE * port=1 adr=00 act=13EFD4A42BB1DAEDE0 exp=13EFD4A42BB1DAEDE0 + 12043.50ns INFO [00012045] Port=0 WR @07=FB9811343A7069B330 + 12043.50ns INFO [00012045] Port=0 RD @06 + 12043.50ns INFO [00012045] Port=1 RD @01 + 12044.50ns INFO [00012046] * RD COMPARE * port=1 adr=02 act=409EB13F81E79978C6 exp=409EB13F81E79978C6 + 12044.50ns INFO [00012046] Port=0 WR @04=6D96767E4BC0111EB1 + 12044.50ns INFO [00012046] Port=1 RD @02 + 12045.50ns INFO [00012047] * RD COMPARE * port=0 adr=06 act=819C1946E0AECCFBF3 exp=819C1946E0AECCFBF3 + 12045.50ns INFO [00012047] * RD COMPARE * port=1 adr=01 act=388352A248EF35D43B exp=388352A248EF35D43B + 12046.50ns INFO [00012048] * RD COMPARE * port=1 adr=02 act=409EB13F81E79978C6 exp=409EB13F81E79978C6 + 12046.50ns INFO [00012048] Port=0 WR @04=44535040A8240833BF + 12046.50ns INFO [00012048] Port=0 RD @07 + 12047.50ns INFO [00012049] Port=0 RD @06 + 12047.50ns INFO [00012049] Port=1 RD @04 + 12048.50ns INFO [00012050] * RD COMPARE * port=0 adr=07 act=FB9811343A7069B330 exp=FB9811343A7069B330 + 12048.50ns INFO [00012050] Port=0 RD @03 + 12049.50ns INFO [00012051] * RD COMPARE * port=0 adr=06 act=819C1946E0AECCFBF3 exp=819C1946E0AECCFBF3 + 12049.50ns INFO [00012051] * RD COMPARE * port=1 adr=04 act=44535040A8240833BF exp=44535040A8240833BF + 12050.50ns INFO [00012052] * RD COMPARE * port=0 adr=03 act=1C046AC52BDA5A9B21 exp=1C046AC52BDA5A9B21 + 12050.50ns INFO [00012052] Port=0 RD @03 + 12051.50ns INFO [00012053] Port=0 WR @01=6B3FD6F91A1A7EFFCB + 12051.50ns INFO [00012053] Port=1 RD @07 + 12052.50ns INFO [00012054] * RD COMPARE * port=0 adr=03 act=1C046AC52BDA5A9B21 exp=1C046AC52BDA5A9B21 + 12052.50ns INFO [00012054] Port=0 RD @00 + 12052.50ns INFO [00012054] Port=1 RD @05 + 12053.50ns INFO [00012055] * RD COMPARE * port=1 adr=07 act=FB9811343A7069B330 exp=FB9811343A7069B330 + 12053.50ns INFO [00012055] Port=1 RD @04 + 12054.50ns INFO [00012056] * RD COMPARE * port=0 adr=00 act=13EFD4A42BB1DAEDE0 exp=13EFD4A42BB1DAEDE0 + 12054.50ns INFO [00012056] * RD COMPARE * port=1 adr=05 act=BF58F8A6FFDD90C811 exp=BF58F8A6FFDD90C811 + 12054.50ns INFO [00012056] Port=0 WR @05=518BBE6FBE1E5E11DB + 12054.50ns INFO [00012056] Port=1 RD @01 + 12055.50ns INFO [00012057] * RD COMPARE * port=1 adr=04 act=44535040A8240833BF exp=44535040A8240833BF + 12055.50ns INFO [00012057] Port=0 WR @05=77BF71354DF1B8CEF5 + 12056.50ns INFO [00012058] * RD COMPARE * port=1 adr=01 act=6B3FD6F91A1A7EFFCB exp=6B3FD6F91A1A7EFFCB + 12056.50ns INFO [00012058] Port=0 WR @04=6712F5B80A0D48236E + 12056.50ns INFO [00012058] Port=0 RD @07 + 12057.50ns INFO [00012059] Port=0 WR @00=0134C98D050129D312 + 12058.50ns INFO [00012060] * RD COMPARE * port=0 adr=07 act=FB9811343A7069B330 exp=FB9811343A7069B330 + 12060.50ns INFO [00012062] Port=0 WR @00=7E2C4FB1B23C6AC78A + 12060.50ns INFO [00012062] Port=1 RD @04 + 12062.50ns INFO [00012064] * RD COMPARE * port=1 adr=04 act=6712F5B80A0D48236E exp=6712F5B80A0D48236E + 12062.50ns INFO [00012064] Port=1 RD @00 + 12063.50ns INFO [00012065] Port=1 RD @03 + 12064.50ns INFO [00012066] * RD COMPARE * port=1 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12065.50ns INFO [00012067] * RD COMPARE * port=1 adr=03 act=1C046AC52BDA5A9B21 exp=1C046AC52BDA5A9B21 + 12065.50ns INFO [00012067] Port=0 WR @07=22B9FD7D2350E18F20 + 12066.50ns INFO [00012068] Port=0 RD @04 + 12066.50ns INFO [00012068] Port=1 RD @01 + 12067.50ns INFO [00012069] Port=0 WR @07=1CEC2D5F06D7F86FA9 + 12067.50ns INFO [00012069] Port=0 RD @00 + 12067.50ns INFO [00012069] Port=1 RD @04 + 12068.50ns INFO [00012070] * RD COMPARE * port=0 adr=04 act=6712F5B80A0D48236E exp=6712F5B80A0D48236E + 12068.50ns INFO [00012070] * RD COMPARE * port=1 adr=01 act=6B3FD6F91A1A7EFFCB exp=6B3FD6F91A1A7EFFCB + 12069.50ns INFO [00012071] * RD COMPARE * port=0 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12069.50ns INFO [00012071] * RD COMPARE * port=1 adr=04 act=6712F5B80A0D48236E exp=6712F5B80A0D48236E + 12070.50ns INFO [00012072] Port=0 RD @00 + 12070.50ns INFO [00012072] Port=1 RD @02 + 12071.50ns INFO [00012073] Port=0 RD @00 + 12072.50ns INFO [00012074] * RD COMPARE * port=0 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12072.50ns INFO [00012074] * RD COMPARE * port=1 adr=02 act=409EB13F81E79978C6 exp=409EB13F81E79978C6 + 12072.50ns INFO [00012074] Port=0 WR @03=A56115EAE15A7DCF35 + 12072.50ns INFO [00012074] Port=0 RD @01 + 12072.50ns INFO [00012074] Port=1 RD @01 + 12073.50ns INFO [00012075] * RD COMPARE * port=0 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12073.50ns INFO [00012075] Port=0 WR @06=4AF30EB52D2FF305DE + 12073.50ns INFO [00012075] Port=1 RD @00 + 12074.50ns INFO [00012076] * RD COMPARE * port=0 adr=01 act=6B3FD6F91A1A7EFFCB exp=6B3FD6F91A1A7EFFCB + 12074.50ns INFO [00012076] * RD COMPARE * port=1 adr=01 act=6B3FD6F91A1A7EFFCB exp=6B3FD6F91A1A7EFFCB + 12074.50ns INFO [00012076] Port=0 WR @05=D950600318E8F4CD5F + 12075.50ns INFO [00012077] * RD COMPARE * port=1 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12076.50ns INFO [00012078] Port=0 RD @05 + 12077.50ns INFO [00012079] Port=0 WR @03=F4740ECAC27D758C76 + 12078.50ns INFO [00012080] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12078.50ns INFO [00012080] Port=0 WR @03=F121063C1584A03983 + 12078.50ns INFO [00012080] Port=0 RD @07 + 12078.50ns INFO [00012080] Port=1 RD @05 + 12079.50ns INFO [00012081] Port=1 RD @06 + 12080.50ns INFO [00012082] * RD COMPARE * port=0 adr=07 act=1CEC2D5F06D7F86FA9 exp=1CEC2D5F06D7F86FA9 + 12080.50ns INFO [00012082] * RD COMPARE * port=1 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12080.50ns INFO [00012082] Port=0 WR @01=E090EDAF8C410D95C1 + 12080.50ns INFO [00012082] Port=1 RD @05 + 12081.50ns INFO [00012083] * RD COMPARE * port=1 adr=06 act=4AF30EB52D2FF305DE exp=4AF30EB52D2FF305DE + 12081.50ns INFO [00012083] Port=0 WR @01=02A0EF353BAC8A4648 + 12081.50ns INFO [00012083] Port=0 RD @03 + 12082.50ns INFO [00012084] * RD COMPARE * port=1 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12082.50ns INFO [00012084] Port=0 RD @06 + 12082.50ns INFO [00012084] Port=1 RD @06 + 12083.50ns INFO [00012085] * RD COMPARE * port=0 adr=03 act=F121063C1584A03983 exp=F121063C1584A03983 + 12083.50ns INFO [00012085] Port=0 WR @01=D36A4FA01B369A62D9 + 12083.50ns INFO [00012085] Port=1 RD @03 + 12084.50ns INFO [00012086] * RD COMPARE * port=0 adr=06 act=4AF30EB52D2FF305DE exp=4AF30EB52D2FF305DE + 12084.50ns INFO [00012086] * RD COMPARE * port=1 adr=06 act=4AF30EB52D2FF305DE exp=4AF30EB52D2FF305DE + 12084.50ns INFO [00012086] Port=0 RD @00 + 12085.50ns INFO [00012087] * RD COMPARE * port=1 adr=03 act=F121063C1584A03983 exp=F121063C1584A03983 + 12086.50ns INFO [00012088] * RD COMPARE * port=0 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12086.50ns INFO [00012088] Port=0 WR @06=F833114D991EEB2925 + 12086.50ns INFO [00012088] Port=0 RD @00 + 12087.50ns INFO [00012089] Port=0 RD @01 + 12088.50ns INFO [00012090] * RD COMPARE * port=0 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12088.50ns INFO [00012090] Port=0 RD @07 + 12089.50ns INFO [00012091] * RD COMPARE * port=0 adr=01 act=D36A4FA01B369A62D9 exp=D36A4FA01B369A62D9 + 12089.50ns INFO [00012091] Port=1 RD @00 + 12090.50ns INFO [00012092] * RD COMPARE * port=0 adr=07 act=1CEC2D5F06D7F86FA9 exp=1CEC2D5F06D7F86FA9 + 12090.50ns INFO [00012092] Port=0 RD @03 + 12091.50ns INFO [00012093] * RD COMPARE * port=1 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12091.50ns INFO [00012093] Port=0 RD @00 + 12092.50ns INFO [00012094] * RD COMPARE * port=0 adr=03 act=F121063C1584A03983 exp=F121063C1584A03983 + 12093.50ns INFO [00012095] * RD COMPARE * port=0 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12093.50ns INFO [00012095] Port=0 WR @01=F045878F5EF6CA4E13 + 12093.50ns INFO [00012095] Port=0 RD @07 + 12094.50ns INFO [00012096] Port=0 RD @04 + 12094.50ns INFO [00012096] Port=1 RD @07 + 12095.50ns INFO [00012097] * RD COMPARE * port=0 adr=07 act=1CEC2D5F06D7F86FA9 exp=1CEC2D5F06D7F86FA9 + 12095.50ns INFO [00012097] Port=1 RD @05 + 12096.50ns INFO [00012098] * RD COMPARE * port=0 adr=04 act=6712F5B80A0D48236E exp=6712F5B80A0D48236E + 12096.50ns INFO [00012098] * RD COMPARE * port=1 adr=07 act=1CEC2D5F06D7F86FA9 exp=1CEC2D5F06D7F86FA9 + 12096.50ns INFO [00012098] Port=1 RD @02 + 12097.50ns INFO [00012099] * RD COMPARE * port=1 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12097.50ns INFO [00012099] Port=0 WR @01=3A0562E815586DA61B + 12097.50ns INFO [00012099] Port=0 RD @05 + 12098.00ns INFO [00012100] [00012100] ...tick... + 12098.50ns INFO [00012100] * RD COMPARE * port=1 adr=02 act=409EB13F81E79978C6 exp=409EB13F81E79978C6 + 12099.50ns INFO [00012101] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12101.50ns INFO [00012103] Port=0 RD @04 + 12103.50ns INFO [00012105] * RD COMPARE * port=0 adr=04 act=6712F5B80A0D48236E exp=6712F5B80A0D48236E + 12103.50ns INFO [00012105] Port=0 WR @03=469C267182740A96D9 + 12104.50ns INFO [00012106] Port=0 WR @02=6B1777E5F5068A4955 + 12105.50ns INFO [00012107] Port=0 WR @01=E2A05A9F8A7FF0AFE6 + 12106.50ns INFO [00012108] Port=1 RD @00 + 12107.50ns INFO [00012109] Port=0 RD @04 + 12108.50ns INFO [00012110] * RD COMPARE * port=1 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12109.50ns INFO [00012111] * RD COMPARE * port=0 adr=04 act=6712F5B80A0D48236E exp=6712F5B80A0D48236E + 12109.50ns INFO [00012111] Port=0 WR @01=A96A9A91AEEB93ADB3 + 12109.50ns INFO [00012111] Port=0 RD @06 + 12109.50ns INFO [00012111] Port=1 RD @04 + 12110.50ns INFO [00012112] Port=1 RD @07 + 12111.50ns INFO [00012113] * RD COMPARE * port=0 adr=06 act=F833114D991EEB2925 exp=F833114D991EEB2925 + 12111.50ns INFO [00012113] * RD COMPARE * port=1 adr=04 act=6712F5B80A0D48236E exp=6712F5B80A0D48236E + 12112.50ns INFO [00012114] * RD COMPARE * port=1 adr=07 act=1CEC2D5F06D7F86FA9 exp=1CEC2D5F06D7F86FA9 + 12112.50ns INFO [00012114] Port=0 RD @04 + 12113.50ns INFO [00012115] Port=0 WR @07=747933DC813EC88E7D + 12113.50ns INFO [00012115] Port=0 RD @05 + 12114.50ns INFO [00012116] * RD COMPARE * port=0 adr=04 act=6712F5B80A0D48236E exp=6712F5B80A0D48236E + 12114.50ns INFO [00012116] Port=0 WR @04=BD26E9AE7BC28798A8 + 12115.50ns INFO [00012117] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12115.50ns INFO [00012117] Port=0 RD @07 + 12116.50ns INFO [00012118] Port=0 WR @04=EDAA6A0FA6AF3BF742 + 12116.50ns INFO [00012118] Port=1 RD @00 + 12117.50ns INFO [00012119] * RD COMPARE * port=0 adr=07 act=747933DC813EC88E7D exp=747933DC813EC88E7D + 12118.50ns INFO [00012120] * RD COMPARE * port=1 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12119.50ns INFO [00012121] Port=1 RD @07 + 12120.50ns INFO [00012122] Port=0 WR @07=3F740947926EA99BC8 + 12120.50ns INFO [00012122] Port=0 RD @04 + 12120.50ns INFO [00012122] Port=1 RD @03 + 12121.50ns INFO [00012123] * RD COMPARE * port=1 adr=07 act=747933DC813EC88E7D exp=747933DC813EC88E7D + 12121.50ns INFO [00012123] Port=0 WR @02=8349B6D42118AD9478 + 12121.50ns INFO [00012123] Port=1 RD @05 + 12122.50ns INFO [00012124] * RD COMPARE * port=0 adr=04 act=EDAA6A0FA6AF3BF742 exp=EDAA6A0FA6AF3BF742 + 12122.50ns INFO [00012124] * RD COMPARE * port=1 adr=03 act=469C267182740A96D9 exp=469C267182740A96D9 + 12122.50ns INFO [00012124] Port=0 RD @04 + 12123.50ns INFO [00012125] * RD COMPARE * port=1 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12124.50ns INFO [00012126] * RD COMPARE * port=0 adr=04 act=EDAA6A0FA6AF3BF742 exp=EDAA6A0FA6AF3BF742 + 12124.50ns INFO [00012126] Port=0 WR @04=3051504385F1C3EEDF + 12124.50ns INFO [00012126] Port=0 RD @06 + 12125.50ns INFO [00012127] Port=0 WR @06=AB497130ADFD7C1DED + 12126.50ns INFO [00012128] * RD COMPARE * port=0 adr=06 act=F833114D991EEB2925 exp=F833114D991EEB2925 + 12126.50ns INFO [00012128] Port=0 RD @06 + 12126.50ns INFO [00012128] Port=1 RD @04 + 12127.50ns INFO [00012129] Port=0 WR @04=63DD087D84F7D3AEBB + 12128.50ns INFO [00012130] * RD COMPARE * port=0 adr=06 act=AB497130ADFD7C1DED exp=AB497130ADFD7C1DED + 12128.50ns INFO [00012130] * RD COMPARE * port=1 adr=04 act=3051504385F1C3EEDF exp=3051504385F1C3EEDF + 12130.50ns INFO [00012132] Port=0 RD @03 + 12131.50ns INFO [00012133] Port=0 RD @05 + 12132.50ns INFO [00012134] * RD COMPARE * port=0 adr=03 act=469C267182740A96D9 exp=469C267182740A96D9 + 12132.50ns INFO [00012134] Port=0 WR @01=36AEE6EC57FE0B950E + 12132.50ns INFO [00012134] Port=0 RD @00 + 12132.50ns INFO [00012134] Port=1 RD @05 + 12133.50ns INFO [00012135] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12134.50ns INFO [00012136] * RD COMPARE * port=0 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12134.50ns INFO [00012136] * RD COMPARE * port=1 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12134.50ns INFO [00012136] Port=0 WR @04=48B29A5096BE649FCB + 12136.50ns INFO [00012138] Port=0 RD @01 + 12137.50ns INFO [00012139] Port=0 RD @03 + 12138.50ns INFO [00012140] * RD COMPARE * port=0 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12139.50ns INFO [00012141] * RD COMPARE * port=0 adr=03 act=469C267182740A96D9 exp=469C267182740A96D9 + 12139.50ns INFO [00012141] Port=0 WR @03=67AE0DC947389DEFE4 + 12139.50ns INFO [00012141] Port=0 RD @05 + 12140.50ns INFO [00012142] Port=1 RD @03 + 12141.50ns INFO [00012143] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12141.50ns INFO [00012143] Port=0 RD @05 + 12141.50ns INFO [00012143] Port=1 RD @01 + 12142.50ns INFO [00012144] * RD COMPARE * port=1 adr=03 act=67AE0DC947389DEFE4 exp=67AE0DC947389DEFE4 + 12142.50ns INFO [00012144] Port=0 RD @07 + 12143.50ns INFO [00012145] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12143.50ns INFO [00012145] * RD COMPARE * port=1 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12143.50ns INFO [00012145] Port=0 WR @07=A94D8DD1B555840F10 + 12143.50ns INFO [00012145] Port=0 RD @05 + 12143.50ns INFO [00012145] Port=1 RD @03 + 12144.50ns INFO [00012146] * RD COMPARE * port=0 adr=07 act=3F740947926EA99BC8 exp=3F740947926EA99BC8 + 12144.50ns INFO [00012146] Port=0 WR @04=BBBF38240E1ED628BB + 12144.50ns INFO [00012146] Port=0 RD @07 + 12144.50ns INFO [00012146] Port=1 RD @00 + 12145.50ns INFO [00012147] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12145.50ns INFO [00012147] * RD COMPARE * port=1 adr=03 act=67AE0DC947389DEFE4 exp=67AE0DC947389DEFE4 + 12146.50ns INFO [00012148] * RD COMPARE * port=0 adr=07 act=A94D8DD1B555840F10 exp=A94D8DD1B555840F10 + 12146.50ns INFO [00012148] * RD COMPARE * port=1 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12146.50ns INFO [00012148] Port=0 RD @02 + 12146.50ns INFO [00012148] Port=1 RD @01 + 12147.50ns INFO [00012149] Port=0 WR @07=8B9FB203E5FA1827BC + 12147.50ns INFO [00012149] Port=0 RD @04 + 12147.50ns INFO [00012149] Port=1 RD @06 + 12148.50ns INFO [00012150] * RD COMPARE * port=0 adr=02 act=8349B6D42118AD9478 exp=8349B6D42118AD9478 + 12148.50ns INFO [00012150] * RD COMPARE * port=1 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12148.50ns INFO [00012150] Port=1 RD @02 + 12149.50ns INFO [00012151] * RD COMPARE * port=0 adr=04 act=BBBF38240E1ED628BB exp=BBBF38240E1ED628BB + 12149.50ns INFO [00012151] * RD COMPARE * port=1 adr=06 act=AB497130ADFD7C1DED exp=AB497130ADFD7C1DED + 12149.50ns INFO [00012151] Port=0 WR @02=CB13205324EFA91C73 + 12150.50ns INFO [00012152] * RD COMPARE * port=1 adr=02 act=8349B6D42118AD9478 exp=8349B6D42118AD9478 + 12150.50ns INFO [00012152] Port=0 WR @04=32D09EF9DCD86C0424 + 12150.50ns INFO [00012152] Port=0 RD @05 + 12150.50ns INFO [00012152] Port=1 RD @01 + 12152.50ns INFO [00012154] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12152.50ns INFO [00012154] * RD COMPARE * port=1 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12152.50ns INFO [00012154] Port=0 WR @03=B2CC3AA6DC904D84C6 + 12152.50ns INFO [00012154] Port=0 RD @02 + 12153.50ns INFO [00012155] Port=1 RD @05 + 12154.50ns INFO [00012156] * RD COMPARE * port=0 adr=02 act=CB13205324EFA91C73 exp=CB13205324EFA91C73 + 12154.50ns INFO [00012156] Port=0 RD @07 + 12155.50ns INFO [00012157] * RD COMPARE * port=1 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12155.50ns INFO [00012157] Port=0 WR @04=35588D5A0D55313CDC + 12155.50ns INFO [00012157] Port=0 RD @01 + 12156.50ns INFO [00012158] * RD COMPARE * port=0 adr=07 act=8B9FB203E5FA1827BC exp=8B9FB203E5FA1827BC + 12156.50ns INFO [00012158] Port=1 RD @02 + 12157.50ns INFO [00012159] * RD COMPARE * port=0 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12157.50ns INFO [00012159] Port=0 WR @06=BB041142884F2744A9 + 12157.50ns INFO [00012159] Port=0 RD @05 + 12157.50ns INFO [00012159] Port=1 RD @00 + 12158.50ns INFO [00012160] * RD COMPARE * port=1 adr=02 act=CB13205324EFA91C73 exp=CB13205324EFA91C73 + 12159.50ns INFO [00012161] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12159.50ns INFO [00012161] * RD COMPARE * port=1 adr=00 act=7E2C4FB1B23C6AC78A exp=7E2C4FB1B23C6AC78A + 12159.50ns INFO [00012161] Port=0 WR @00=D0414EBF939BE1FCA3 + 12159.50ns INFO [00012161] Port=0 RD @06 + 12159.50ns INFO [00012161] Port=1 RD @06 + 12160.50ns INFO [00012162] Port=0 RD @02 + 12160.50ns INFO [00012162] Port=1 RD @02 + 12161.50ns INFO [00012163] * RD COMPARE * port=0 adr=06 act=BB041142884F2744A9 exp=BB041142884F2744A9 + 12161.50ns INFO [00012163] * RD COMPARE * port=1 adr=06 act=BB041142884F2744A9 exp=BB041142884F2744A9 + 12161.50ns INFO [00012163] Port=0 RD @07 + 12161.50ns INFO [00012163] Port=1 RD @03 + 12162.50ns INFO [00012164] * RD COMPARE * port=0 adr=02 act=CB13205324EFA91C73 exp=CB13205324EFA91C73 + 12162.50ns INFO [00012164] * RD COMPARE * port=1 adr=02 act=CB13205324EFA91C73 exp=CB13205324EFA91C73 + 12162.50ns INFO [00012164] Port=0 RD @05 + 12162.50ns INFO [00012164] Port=1 RD @06 + 12163.50ns INFO [00012165] * RD COMPARE * port=0 adr=07 act=8B9FB203E5FA1827BC exp=8B9FB203E5FA1827BC + 12163.50ns INFO [00012165] * RD COMPARE * port=1 adr=03 act=B2CC3AA6DC904D84C6 exp=B2CC3AA6DC904D84C6 + 12164.50ns INFO [00012166] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12164.50ns INFO [00012166] * RD COMPARE * port=1 adr=06 act=BB041142884F2744A9 exp=BB041142884F2744A9 + 12167.50ns INFO [00012169] Port=0 WR @02=D3B851BA82F1333095 + 12169.50ns INFO [00012171] Port=0 RD @01 + 12171.50ns INFO [00012173] * RD COMPARE * port=0 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12171.50ns INFO [00012173] Port=0 WR @02=1DEEBD3F81097E68CE + 12171.50ns INFO [00012173] Port=0 RD @06 + 12173.50ns INFO [00012175] * RD COMPARE * port=0 adr=06 act=BB041142884F2744A9 exp=BB041142884F2744A9 + 12173.50ns INFO [00012175] Port=0 WR @04=6C8E13D10CBCFD8261 + 12174.50ns INFO [00012176] Port=0 WR @07=70E81D56188C0DBBED + 12174.50ns INFO [00012176] Port=1 RD @00 + 12175.50ns INFO [00012177] Port=0 RD @01 + 12175.50ns INFO [00012177] Port=1 RD @04 + 12176.50ns INFO [00012178] * RD COMPARE * port=1 adr=00 act=D0414EBF939BE1FCA3 exp=D0414EBF939BE1FCA3 + 12176.50ns INFO [00012178] Port=0 RD @02 + 12176.50ns INFO [00012178] Port=1 RD @01 + 12177.50ns INFO [00012179] * RD COMPARE * port=0 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12177.50ns INFO [00012179] * RD COMPARE * port=1 adr=04 act=6C8E13D10CBCFD8261 exp=6C8E13D10CBCFD8261 + 12177.50ns INFO [00012179] Port=0 WR @02=4EDDFEB71D75322D54 + 12177.50ns INFO [00012179] Port=1 RD @00 + 12178.50ns INFO [00012180] * RD COMPARE * port=0 adr=02 act=1DEEBD3F81097E68CE exp=1DEEBD3F81097E68CE + 12178.50ns INFO [00012180] * RD COMPARE * port=1 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12178.50ns INFO [00012180] Port=0 RD @00 + 12179.50ns INFO [00012181] * RD COMPARE * port=1 adr=00 act=D0414EBF939BE1FCA3 exp=D0414EBF939BE1FCA3 + 12179.50ns INFO [00012181] Port=0 WR @04=4A5D704AADB84EA865 + 12180.50ns INFO [00012182] * RD COMPARE * port=0 adr=00 act=D0414EBF939BE1FCA3 exp=D0414EBF939BE1FCA3 + 12180.50ns INFO [00012182] Port=1 RD @07 + 12181.50ns INFO [00012183] Port=1 RD @00 + 12182.50ns INFO [00012184] * RD COMPARE * port=1 adr=07 act=70E81D56188C0DBBED exp=70E81D56188C0DBBED + 12183.50ns INFO [00012185] * RD COMPARE * port=1 adr=00 act=D0414EBF939BE1FCA3 exp=D0414EBF939BE1FCA3 + 12183.50ns INFO [00012185] Port=1 RD @04 + 12185.50ns INFO [00012187] * RD COMPARE * port=1 adr=04 act=4A5D704AADB84EA865 exp=4A5D704AADB84EA865 + 12186.50ns INFO [00012188] Port=0 RD @00 + 12186.50ns INFO [00012188] Port=1 RD @05 + 12188.50ns INFO [00012190] * RD COMPARE * port=0 adr=00 act=D0414EBF939BE1FCA3 exp=D0414EBF939BE1FCA3 + 12188.50ns INFO [00012190] * RD COMPARE * port=1 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12188.50ns INFO [00012190] Port=0 RD @03 + 12189.50ns INFO [00012191] Port=0 RD @00 + 12190.50ns INFO [00012192] * RD COMPARE * port=0 adr=03 act=B2CC3AA6DC904D84C6 exp=B2CC3AA6DC904D84C6 + 12190.50ns INFO [00012192] Port=0 RD @00 + 12191.50ns INFO [00012193] * RD COMPARE * port=0 adr=00 act=D0414EBF939BE1FCA3 exp=D0414EBF939BE1FCA3 + 12191.50ns INFO [00012193] Port=0 WR @06=3EF570497CB1D4208C + 12191.50ns INFO [00012193] Port=1 RD @04 + 12192.50ns INFO [00012194] * RD COMPARE * port=0 adr=00 act=D0414EBF939BE1FCA3 exp=D0414EBF939BE1FCA3 + 12192.50ns INFO [00012194] Port=0 WR @02=A69132465680208143 + 12193.50ns INFO [00012195] * RD COMPARE * port=1 adr=04 act=4A5D704AADB84EA865 exp=4A5D704AADB84EA865 + 12193.50ns INFO [00012195] Port=0 WR @06=1BCB9414552BE25AEB + 12193.50ns INFO [00012195] Port=0 RD @00 + 12193.50ns INFO [00012195] Port=1 RD @07 + 12194.50ns INFO [00012196] Port=0 RD @03 + 12195.50ns INFO [00012197] * RD COMPARE * port=0 adr=00 act=D0414EBF939BE1FCA3 exp=D0414EBF939BE1FCA3 + 12195.50ns INFO [00012197] * RD COMPARE * port=1 adr=07 act=70E81D56188C0DBBED exp=70E81D56188C0DBBED + 12196.50ns INFO [00012198] * RD COMPARE * port=0 adr=03 act=B2CC3AA6DC904D84C6 exp=B2CC3AA6DC904D84C6 + 12196.50ns INFO [00012198] Port=0 RD @03 + 12197.50ns INFO [00012199] Port=0 WR @02=5B4123E3B4EAAF5A47 + 12197.50ns INFO [00012199] Port=0 RD @05 + 12198.00ns INFO [00012200] [00012200] ...tick... + 12198.50ns INFO [00012200] * RD COMPARE * port=0 adr=03 act=B2CC3AA6DC904D84C6 exp=B2CC3AA6DC904D84C6 + 12198.50ns INFO [00012200] Port=0 WR @02=21AD335D9328607D1F + 12198.50ns INFO [00012200] Port=0 RD @00 + 12198.50ns INFO [00012200] Port=1 RD @01 + 12199.50ns INFO [00012201] * RD COMPARE * port=0 adr=05 act=D950600318E8F4CD5F exp=D950600318E8F4CD5F + 12199.50ns INFO [00012201] Port=0 WR @06=4AC2A639298BBDB466 + 12200.50ns INFO [00012202] * RD COMPARE * port=0 adr=00 act=D0414EBF939BE1FCA3 exp=D0414EBF939BE1FCA3 + 12200.50ns INFO [00012202] * RD COMPARE * port=1 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12200.50ns INFO [00012202] Port=0 WR @05=4A307F84528B3B447C + 12200.50ns INFO [00012202] Port=0 RD @00 + 12200.50ns INFO [00012202] Port=1 RD @03 + 12201.50ns INFO [00012203] Port=0 WR @07=9E30766EFE1B691392 + 12202.50ns INFO [00012204] * RD COMPARE * port=0 adr=00 act=D0414EBF939BE1FCA3 exp=D0414EBF939BE1FCA3 + 12202.50ns INFO [00012204] * RD COMPARE * port=1 adr=03 act=B2CC3AA6DC904D84C6 exp=B2CC3AA6DC904D84C6 + 12202.50ns INFO [00012204] Port=1 RD @05 + 12203.50ns INFO [00012205] Port=0 WR @04=272DE5B6C385034AA1 + 12204.50ns INFO [00012206] * RD COMPARE * port=1 adr=05 act=4A307F84528B3B447C exp=4A307F84528B3B447C + 12205.50ns INFO [00012207] Port=1 RD @05 + 12206.50ns INFO [00012208] Port=1 RD @03 + 12207.50ns INFO [00012209] * RD COMPARE * port=1 adr=05 act=4A307F84528B3B447C exp=4A307F84528B3B447C + 12207.50ns INFO [00012209] Port=1 RD @07 + 12208.50ns INFO [00012210] * RD COMPARE * port=1 adr=03 act=B2CC3AA6DC904D84C6 exp=B2CC3AA6DC904D84C6 + 12208.50ns INFO [00012210] Port=0 RD @04 + 12208.50ns INFO [00012210] Port=1 RD @07 + 12209.50ns INFO [00012211] * RD COMPARE * port=1 adr=07 act=9E30766EFE1B691392 exp=9E30766EFE1B691392 + 12209.50ns INFO [00012211] Port=1 RD @07 + 12210.50ns INFO [00012212] * RD COMPARE * port=0 adr=04 act=272DE5B6C385034AA1 exp=272DE5B6C385034AA1 + 12210.50ns INFO [00012212] * RD COMPARE * port=1 adr=07 act=9E30766EFE1B691392 exp=9E30766EFE1B691392 + 12211.50ns INFO [00012213] * RD COMPARE * port=1 adr=07 act=9E30766EFE1B691392 exp=9E30766EFE1B691392 + 12211.50ns INFO [00012213] Port=0 WR @04=DF578B9D2EFAE43101 + 12211.50ns INFO [00012213] Port=0 RD @03 + 12212.50ns INFO [00012214] Port=0 WR @05=6135AF2F5CEDEACF8E + 12213.50ns INFO [00012215] * RD COMPARE * port=0 adr=03 act=B2CC3AA6DC904D84C6 exp=B2CC3AA6DC904D84C6 + 12214.50ns INFO [00012216] Port=0 RD @03 + 12214.50ns INFO [00012216] Port=1 RD @01 + 12215.50ns INFO [00012217] Port=0 RD @06 + 12216.50ns INFO [00012218] * RD COMPARE * port=0 adr=03 act=B2CC3AA6DC904D84C6 exp=B2CC3AA6DC904D84C6 + 12216.50ns INFO [00012218] * RD COMPARE * port=1 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12216.50ns INFO [00012218] Port=0 WR @06=098BA694F3B1438A37 + 12216.50ns INFO [00012218] Port=0 RD @02 + 12216.50ns INFO [00012218] Port=1 RD @01 + 12217.50ns INFO [00012219] * RD COMPARE * port=0 adr=06 act=4AC2A639298BBDB466 exp=4AC2A639298BBDB466 + 12217.50ns INFO [00012219] Port=0 WR @00=42AB8E50C3A27CFB27 + 12217.50ns INFO [00012219] Port=0 RD @02 + 12217.50ns INFO [00012219] Port=1 RD @05 + 12218.50ns INFO [00012220] * RD COMPARE * port=0 adr=02 act=21AD335D9328607D1F exp=21AD335D9328607D1F + 12218.50ns INFO [00012220] * RD COMPARE * port=1 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12219.50ns INFO [00012221] * RD COMPARE * port=0 adr=02 act=21AD335D9328607D1F exp=21AD335D9328607D1F + 12219.50ns INFO [00012221] * RD COMPARE * port=1 adr=05 act=6135AF2F5CEDEACF8E exp=6135AF2F5CEDEACF8E + 12219.50ns INFO [00012221] Port=0 WR @05=F440D5B62F7B0E5919 + 12219.50ns INFO [00012221] Port=0 RD @06 + 12220.50ns INFO [00012222] Port=0 WR @00=FA05CB12F5FB7435E3 + 12221.50ns INFO [00012223] * RD COMPARE * port=0 adr=06 act=098BA694F3B1438A37 exp=098BA694F3B1438A37 + 12221.50ns INFO [00012223] Port=0 RD @05 + 12223.50ns INFO [00012225] * RD COMPARE * port=0 adr=05 act=F440D5B62F7B0E5919 exp=F440D5B62F7B0E5919 + 12223.50ns INFO [00012225] Port=0 WR @02=78B5470C6B49A8AD62 + 12224.50ns INFO [00012226] Port=1 RD @01 + 12225.50ns INFO [00012227] Port=0 WR @04=38071CD5CA6337028E + 12226.50ns INFO [00012228] * RD COMPARE * port=1 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12226.50ns INFO [00012228] Port=0 WR @04=DCFC18DF79F4E20DBE + 12227.50ns INFO [00012229] Port=0 WR @03=75A188AE90BA07CF84 + 12227.50ns INFO [00012229] Port=1 RD @00 + 12228.50ns INFO [00012230] Port=0 WR @06=692041FB1E2B8BAB02 + 12228.50ns INFO [00012230] Port=0 RD @02 + 12228.50ns INFO [00012230] Port=1 RD @01 + 12229.50ns INFO [00012231] * RD COMPARE * port=1 adr=00 act=FA05CB12F5FB7435E3 exp=FA05CB12F5FB7435E3 + 12230.50ns INFO [00012232] * RD COMPARE * port=0 adr=02 act=78B5470C6B49A8AD62 exp=78B5470C6B49A8AD62 + 12230.50ns INFO [00012232] * RD COMPARE * port=1 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12230.50ns INFO [00012232] Port=0 RD @05 + 12230.50ns INFO [00012232] Port=1 RD @05 + 12231.50ns INFO [00012233] Port=0 WR @07=10A9FCB3C1AA613BC8 + 12231.50ns INFO [00012233] Port=0 RD @00 + 12231.50ns INFO [00012233] Port=1 RD @02 + 12232.50ns INFO [00012234] * RD COMPARE * port=0 adr=05 act=F440D5B62F7B0E5919 exp=F440D5B62F7B0E5919 + 12232.50ns INFO [00012234] * RD COMPARE * port=1 adr=05 act=F440D5B62F7B0E5919 exp=F440D5B62F7B0E5919 + 12232.50ns INFO [00012234] Port=0 WR @03=1A5EE5082B26D1DB35 + 12233.50ns INFO [00012235] * RD COMPARE * port=0 adr=00 act=FA05CB12F5FB7435E3 exp=FA05CB12F5FB7435E3 + 12233.50ns INFO [00012235] * RD COMPARE * port=1 adr=02 act=78B5470C6B49A8AD62 exp=78B5470C6B49A8AD62 + 12233.50ns INFO [00012235] Port=0 RD @04 + 12234.50ns INFO [00012236] Port=1 RD @00 + 12235.50ns INFO [00012237] * RD COMPARE * port=0 adr=04 act=DCFC18DF79F4E20DBE exp=DCFC18DF79F4E20DBE + 12235.50ns INFO [00012237] Port=0 RD @01 + 12236.50ns INFO [00012238] * RD COMPARE * port=1 adr=00 act=FA05CB12F5FB7435E3 exp=FA05CB12F5FB7435E3 + 12236.50ns INFO [00012238] Port=0 WR @05=D7AC64445DB1B5A262 + 12237.50ns INFO [00012239] * RD COMPARE * port=0 adr=01 act=36AEE6EC57FE0B950E exp=36AEE6EC57FE0B950E + 12237.50ns INFO [00012239] Port=1 RD @00 + 12238.50ns INFO [00012240] Port=0 WR @03=B939EFFD5A7A6E3B84 + 12238.50ns INFO [00012240] Port=0 RD @04 + 12238.50ns INFO [00012240] Port=1 RD @00 + 12239.50ns INFO [00012241] * RD COMPARE * port=1 adr=00 act=FA05CB12F5FB7435E3 exp=FA05CB12F5FB7435E3 + 12239.50ns INFO [00012241] Port=0 RD @04 + 12239.50ns INFO [00012241] Port=1 RD @04 + 12240.50ns INFO [00012242] * RD COMPARE * port=0 adr=04 act=DCFC18DF79F4E20DBE exp=DCFC18DF79F4E20DBE + 12240.50ns INFO [00012242] * RD COMPARE * port=1 adr=00 act=FA05CB12F5FB7435E3 exp=FA05CB12F5FB7435E3 + 12240.50ns INFO [00012242] Port=0 WR @06=0075D0ED50FAC6ABC2 + 12240.50ns INFO [00012242] Port=0 RD @00 + 12241.50ns INFO [00012243] * RD COMPARE * port=0 adr=04 act=DCFC18DF79F4E20DBE exp=DCFC18DF79F4E20DBE + 12241.50ns INFO [00012243] * RD COMPARE * port=1 adr=04 act=DCFC18DF79F4E20DBE exp=DCFC18DF79F4E20DBE + 12241.50ns INFO [00012243] Port=1 RD @06 + 12242.50ns INFO [00012244] * RD COMPARE * port=0 adr=00 act=FA05CB12F5FB7435E3 exp=FA05CB12F5FB7435E3 + 12242.50ns INFO [00012244] Port=1 RD @06 + 12243.50ns INFO [00012245] * RD COMPARE * port=1 adr=06 act=0075D0ED50FAC6ABC2 exp=0075D0ED50FAC6ABC2 + 12243.50ns INFO [00012245] Port=0 WR @01=12DB42AB37CFF83C68 + 12244.50ns INFO [00012246] * RD COMPARE * port=1 adr=06 act=0075D0ED50FAC6ABC2 exp=0075D0ED50FAC6ABC2 + 12244.50ns INFO [00012246] Port=0 RD @03 + 12245.50ns INFO [00012247] Port=0 RD @02 + 12245.50ns INFO [00012247] Port=1 RD @00 + 12246.50ns INFO [00012248] * RD COMPARE * port=0 adr=03 act=B939EFFD5A7A6E3B84 exp=B939EFFD5A7A6E3B84 + 12246.50ns INFO [00012248] Port=0 RD @04 + 12246.50ns INFO [00012248] Port=1 RD @06 + 12247.50ns INFO [00012249] * RD COMPARE * port=0 adr=02 act=78B5470C6B49A8AD62 exp=78B5470C6B49A8AD62 + 12247.50ns INFO [00012249] * RD COMPARE * port=1 adr=00 act=FA05CB12F5FB7435E3 exp=FA05CB12F5FB7435E3 + 12248.50ns INFO [00012250] * RD COMPARE * port=0 adr=04 act=DCFC18DF79F4E20DBE exp=DCFC18DF79F4E20DBE + 12248.50ns INFO [00012250] * RD COMPARE * port=1 adr=06 act=0075D0ED50FAC6ABC2 exp=0075D0ED50FAC6ABC2 + 12248.50ns INFO [00012250] Port=0 RD @06 + 12248.50ns INFO [00012250] Port=1 RD @06 + 12249.50ns INFO [00012251] Port=0 WR @00=2FA3EDD9DC04BAE891 + 12249.50ns INFO [00012251] Port=0 RD @04 + 12250.50ns INFO [00012252] * RD COMPARE * port=0 adr=06 act=0075D0ED50FAC6ABC2 exp=0075D0ED50FAC6ABC2 + 12250.50ns INFO [00012252] * RD COMPARE * port=1 adr=06 act=0075D0ED50FAC6ABC2 exp=0075D0ED50FAC6ABC2 + 12250.50ns INFO [00012252] Port=1 RD @07 + 12251.50ns INFO [00012253] * RD COMPARE * port=0 adr=04 act=DCFC18DF79F4E20DBE exp=DCFC18DF79F4E20DBE + 12251.50ns INFO [00012253] Port=0 RD @04 + 12252.50ns INFO [00012254] * RD COMPARE * port=1 adr=07 act=10A9FCB3C1AA613BC8 exp=10A9FCB3C1AA613BC8 + 12252.50ns INFO [00012254] Port=1 RD @01 + 12253.50ns INFO [00012255] * RD COMPARE * port=0 adr=04 act=DCFC18DF79F4E20DBE exp=DCFC18DF79F4E20DBE + 12254.50ns INFO [00012256] * RD COMPARE * port=1 adr=01 act=12DB42AB37CFF83C68 exp=12DB42AB37CFF83C68 + 12254.50ns INFO [00012256] Port=1 RD @04 + 12255.50ns INFO [00012257] Port=0 WR @04=E55FBDF513F1ACFBB1 + 12255.50ns INFO [00012257] Port=0 RD @01 + 12256.50ns INFO [00012258] * RD COMPARE * port=1 adr=04 act=DCFC18DF79F4E20DBE exp=DCFC18DF79F4E20DBE + 12257.50ns INFO [00012259] * RD COMPARE * port=0 adr=01 act=12DB42AB37CFF83C68 exp=12DB42AB37CFF83C68 + 12257.50ns INFO [00012259] Port=0 RD @05 + 12258.50ns INFO [00012260] Port=1 RD @02 + 12259.50ns INFO [00012261] * RD COMPARE * port=0 adr=05 act=D7AC64445DB1B5A262 exp=D7AC64445DB1B5A262 + 12259.50ns INFO [00012261] Port=1 RD @07 + 12260.50ns INFO [00012262] * RD COMPARE * port=1 adr=02 act=78B5470C6B49A8AD62 exp=78B5470C6B49A8AD62 + 12260.50ns INFO [00012262] Port=0 WR @05=784086B84C19117243 + 12260.50ns INFO [00012262] Port=0 RD @01 + 12260.50ns INFO [00012262] Port=1 RD @03 + 12261.50ns INFO [00012263] * RD COMPARE * port=1 adr=07 act=10A9FCB3C1AA613BC8 exp=10A9FCB3C1AA613BC8 + 12261.50ns INFO [00012263] Port=0 RD @02 + 12261.50ns INFO [00012263] Port=1 RD @00 + 12262.50ns INFO [00012264] * RD COMPARE * port=0 adr=01 act=12DB42AB37CFF83C68 exp=12DB42AB37CFF83C68 + 12262.50ns INFO [00012264] * RD COMPARE * port=1 adr=03 act=B939EFFD5A7A6E3B84 exp=B939EFFD5A7A6E3B84 + 12262.50ns INFO [00012264] Port=1 RD @02 + 12263.50ns INFO [00012265] * RD COMPARE * port=0 adr=02 act=78B5470C6B49A8AD62 exp=78B5470C6B49A8AD62 + 12263.50ns INFO [00012265] * RD COMPARE * port=1 adr=00 act=2FA3EDD9DC04BAE891 exp=2FA3EDD9DC04BAE891 + 12263.50ns INFO [00012265] Port=1 RD @01 + 12264.50ns INFO [00012266] * RD COMPARE * port=1 adr=02 act=78B5470C6B49A8AD62 exp=78B5470C6B49A8AD62 + 12264.50ns INFO [00012266] Port=0 WR @00=C05BA92D4214629A4C + 12264.50ns INFO [00012266] Port=1 RD @04 + 12265.50ns INFO [00012267] * RD COMPARE * port=1 adr=01 act=12DB42AB37CFF83C68 exp=12DB42AB37CFF83C68 + 12266.50ns INFO [00012268] * RD COMPARE * port=1 adr=04 act=E55FBDF513F1ACFBB1 exp=E55FBDF513F1ACFBB1 + 12266.50ns INFO [00012268] Port=0 WR @01=9177A20AB40A83B200 + 12271.50ns INFO [00012273] Port=0 WR @06=1ACCEB9E44BDDA0DDF + 12271.50ns INFO [00012273] Port=1 RD @00 + 12272.50ns INFO [00012274] Port=0 WR @00=6DB97D12B1027CB047 + 12272.50ns INFO [00012274] Port=0 RD @01 + 12272.50ns INFO [00012274] Port=1 RD @01 + 12273.50ns INFO [00012275] * RD COMPARE * port=1 adr=00 act=C05BA92D4214629A4C exp=C05BA92D4214629A4C + 12273.50ns INFO [00012275] Port=0 WR @02=8C3FB547673258A070 + 12274.50ns INFO [00012276] * RD COMPARE * port=0 adr=01 act=9177A20AB40A83B200 exp=9177A20AB40A83B200 + 12274.50ns INFO [00012276] * RD COMPARE * port=1 adr=01 act=9177A20AB40A83B200 exp=9177A20AB40A83B200 + 12274.50ns INFO [00012276] Port=0 RD @05 + 12274.50ns INFO [00012276] Port=1 RD @02 + 12275.50ns INFO [00012277] Port=0 WR @01=765D01DEBCE55CD7C6 + 12275.50ns INFO [00012277] Port=1 RD @04 + 12276.50ns INFO [00012278] * RD COMPARE * port=0 adr=05 act=784086B84C19117243 exp=784086B84C19117243 + 12276.50ns INFO [00012278] * RD COMPARE * port=1 adr=02 act=8C3FB547673258A070 exp=8C3FB547673258A070 + 12276.50ns INFO [00012278] Port=0 RD @03 + 12277.50ns INFO [00012279] * RD COMPARE * port=1 adr=04 act=E55FBDF513F1ACFBB1 exp=E55FBDF513F1ACFBB1 + 12277.50ns INFO [00012279] Port=0 RD @07 + 12278.50ns INFO [00012280] * RD COMPARE * port=0 adr=03 act=B939EFFD5A7A6E3B84 exp=B939EFFD5A7A6E3B84 + 12278.50ns INFO [00012280] Port=0 WR @07=A61A2F4EF71B4F0C5B + 12278.50ns INFO [00012280] Port=0 RD @06 + 12278.50ns INFO [00012280] Port=1 RD @02 + 12279.50ns INFO [00012281] * RD COMPARE * port=0 adr=07 act=10A9FCB3C1AA613BC8 exp=10A9FCB3C1AA613BC8 + 12279.50ns INFO [00012281] Port=1 RD @03 + 12280.50ns INFO [00012282] * RD COMPARE * port=0 adr=06 act=1ACCEB9E44BDDA0DDF exp=1ACCEB9E44BDDA0DDF + 12280.50ns INFO [00012282] * RD COMPARE * port=1 adr=02 act=8C3FB547673258A070 exp=8C3FB547673258A070 + 12280.50ns INFO [00012282] Port=0 WR @03=5DD1AF5D81440A3649 + 12281.50ns INFO [00012283] * RD COMPARE * port=1 adr=03 act=B939EFFD5A7A6E3B84 exp=B939EFFD5A7A6E3B84 + 12281.50ns INFO [00012283] Port=0 WR @06=A3DC876EFCD5540E22 + 12281.50ns INFO [00012283] Port=0 RD @02 + 12281.50ns INFO [00012283] Port=1 RD @00 + 12282.50ns INFO [00012284] Port=0 WR @03=B4C03B8AC8B04C0AC1 + 12282.50ns INFO [00012284] Port=0 RD @07 + 12282.50ns INFO [00012284] Port=1 RD @01 + 12283.50ns INFO [00012285] * RD COMPARE * port=0 adr=02 act=8C3FB547673258A070 exp=8C3FB547673258A070 + 12283.50ns INFO [00012285] * RD COMPARE * port=1 adr=00 act=6DB97D12B1027CB047 exp=6DB97D12B1027CB047 + 12283.50ns INFO [00012285] Port=0 RD @07 + 12284.50ns INFO [00012286] * RD COMPARE * port=0 adr=07 act=A61A2F4EF71B4F0C5B exp=A61A2F4EF71B4F0C5B + 12284.50ns INFO [00012286] * RD COMPARE * port=1 adr=01 act=765D01DEBCE55CD7C6 exp=765D01DEBCE55CD7C6 + 12285.50ns INFO [00012287] * RD COMPARE * port=0 adr=07 act=A61A2F4EF71B4F0C5B exp=A61A2F4EF71B4F0C5B + 12285.50ns INFO [00012287] Port=0 WR @03=0A21490D29BDC631D8 + 12286.50ns INFO [00012288] Port=1 RD @07 + 12287.50ns INFO [00012289] Port=1 RD @07 + 12288.50ns INFO [00012290] * RD COMPARE * port=1 adr=07 act=A61A2F4EF71B4F0C5B exp=A61A2F4EF71B4F0C5B + 12288.50ns INFO [00012290] Port=0 WR @07=C1572422EE10D464C1 + 12288.50ns INFO [00012290] Port=0 RD @04 + 12288.50ns INFO [00012290] Port=1 RD @00 + 12289.50ns INFO [00012291] * RD COMPARE * port=1 adr=07 act=A61A2F4EF71B4F0C5B exp=A61A2F4EF71B4F0C5B + 12290.50ns INFO [00012292] * RD COMPARE * port=0 adr=04 act=E55FBDF513F1ACFBB1 exp=E55FBDF513F1ACFBB1 + 12290.50ns INFO [00012292] * RD COMPARE * port=1 adr=00 act=6DB97D12B1027CB047 exp=6DB97D12B1027CB047 + 12290.50ns INFO [00012292] Port=0 RD @03 + 12291.50ns INFO [00012293] Port=0 WR @03=30BE3C70C7931C0D68 + 12291.50ns INFO [00012293] Port=0 RD @00 + 12292.50ns INFO [00012294] * RD COMPARE * port=0 adr=03 act=0A21490D29BDC631D8 exp=0A21490D29BDC631D8 + 12292.50ns INFO [00012294] Port=0 WR @00=3B9428F4626766ADD7 + 12293.50ns INFO [00012295] * RD COMPARE * port=0 adr=00 act=6DB97D12B1027CB047 exp=6DB97D12B1027CB047 + 12293.50ns INFO [00012295] Port=0 WR @00=E58D91A1E344F56A23 + 12293.50ns INFO [00012295] Port=0 RD @06 + 12294.50ns INFO [00012296] Port=1 RD @01 + 12295.50ns INFO [00012297] * RD COMPARE * port=0 adr=06 act=A3DC876EFCD5540E22 exp=A3DC876EFCD5540E22 + 12295.50ns INFO [00012297] Port=0 RD @01 + 12295.50ns INFO [00012297] Port=1 RD @00 + 12296.50ns INFO [00012298] * RD COMPARE * port=1 adr=01 act=765D01DEBCE55CD7C6 exp=765D01DEBCE55CD7C6 + 12297.50ns INFO [00012299] * RD COMPARE * port=0 adr=01 act=765D01DEBCE55CD7C6 exp=765D01DEBCE55CD7C6 + 12297.50ns INFO [00012299] * RD COMPARE * port=1 adr=00 act=E58D91A1E344F56A23 exp=E58D91A1E344F56A23 + 12298.00ns INFO [00012300] [00012300] ...tick... + 12298.50ns INFO [00012300] Port=0 WR @04=A42C4BDB2E42D08591 + 12298.50ns INFO [00012300] Port=0 RD @06 + 12299.50ns INFO [00012301] Port=1 RD @01 + 12300.50ns INFO [00012302] * RD COMPARE * port=0 adr=06 act=A3DC876EFCD5540E22 exp=A3DC876EFCD5540E22 + 12301.50ns INFO [00012303] * RD COMPARE * port=1 adr=01 act=765D01DEBCE55CD7C6 exp=765D01DEBCE55CD7C6 + 12301.50ns INFO [00012303] Port=0 WR @01=82C835A28638E989A9 + 12301.50ns INFO [00012303] Port=0 RD @07 + 12302.50ns INFO [00012304] Port=1 RD @06 + 12303.50ns INFO [00012305] * RD COMPARE * port=0 adr=07 act=C1572422EE10D464C1 exp=C1572422EE10D464C1 + 12303.50ns INFO [00012305] Port=0 WR @00=1E1A8A115BAD0EDE9C + 12303.50ns INFO [00012305] Port=0 RD @02 + 12304.50ns INFO [00012306] * RD COMPARE * port=1 adr=06 act=A3DC876EFCD5540E22 exp=A3DC876EFCD5540E22 + 12305.50ns INFO [00012307] * RD COMPARE * port=0 adr=02 act=8C3FB547673258A070 exp=8C3FB547673258A070 + 12305.50ns INFO [00012307] Port=0 RD @06 + 12305.50ns INFO [00012307] Port=1 RD @05 + 12306.50ns INFO [00012308] Port=1 RD @07 + 12307.50ns INFO [00012309] * RD COMPARE * port=0 adr=06 act=A3DC876EFCD5540E22 exp=A3DC876EFCD5540E22 + 12307.50ns INFO [00012309] * RD COMPARE * port=1 adr=05 act=784086B84C19117243 exp=784086B84C19117243 + 12307.50ns INFO [00012309] Port=0 RD @07 + 12308.50ns INFO [00012310] * RD COMPARE * port=1 adr=07 act=C1572422EE10D464C1 exp=C1572422EE10D464C1 + 12308.50ns INFO [00012310] Port=0 WR @03=F6457D281F2DE2DF0E + 12308.50ns INFO [00012310] Port=1 RD @01 + 12309.50ns INFO [00012311] * RD COMPARE * port=0 adr=07 act=C1572422EE10D464C1 exp=C1572422EE10D464C1 + 12310.50ns INFO [00012312] * RD COMPARE * port=1 adr=01 act=82C835A28638E989A9 exp=82C835A28638E989A9 + 12311.50ns INFO [00012313] Port=1 RD @00 + 12312.50ns INFO [00012314] Port=0 RD @03 + 12312.50ns INFO [00012314] Port=1 RD @05 + 12313.50ns INFO [00012315] * RD COMPARE * port=1 adr=00 act=1E1A8A115BAD0EDE9C exp=1E1A8A115BAD0EDE9C + 12313.50ns INFO [00012315] Port=1 RD @05 + 12314.50ns INFO [00012316] * RD COMPARE * port=0 adr=03 act=F6457D281F2DE2DF0E exp=F6457D281F2DE2DF0E + 12314.50ns INFO [00012316] * RD COMPARE * port=1 adr=05 act=784086B84C19117243 exp=784086B84C19117243 + 12314.50ns INFO [00012316] Port=0 WR @04=B1E1C3631CEC401CC6 + 12314.50ns INFO [00012316] Port=1 RD @06 + 12315.50ns INFO [00012317] * RD COMPARE * port=1 adr=05 act=784086B84C19117243 exp=784086B84C19117243 + 12315.50ns INFO [00012317] Port=0 WR @01=C496BA9FD138BF183A + 12316.50ns INFO [00012318] * RD COMPARE * port=1 adr=06 act=A3DC876EFCD5540E22 exp=A3DC876EFCD5540E22 + 12317.50ns INFO [00012319] Port=0 RD @03 + 12317.50ns INFO [00012319] Port=1 RD @02 + 12318.50ns INFO [00012320] Port=0 WR @07=63C69F901FD8ED9E7D + 12318.50ns INFO [00012320] Port=1 RD @04 + 12319.50ns INFO [00012321] * RD COMPARE * port=0 adr=03 act=F6457D281F2DE2DF0E exp=F6457D281F2DE2DF0E + 12319.50ns INFO [00012321] * RD COMPARE * port=1 adr=02 act=8C3FB547673258A070 exp=8C3FB547673258A070 + 12319.50ns INFO [00012321] Port=0 RD @04 + 12319.50ns INFO [00012321] Port=1 RD @06 + 12320.50ns INFO [00012322] * RD COMPARE * port=1 adr=04 act=B1E1C3631CEC401CC6 exp=B1E1C3631CEC401CC6 + 12321.50ns INFO [00012323] * RD COMPARE * port=0 adr=04 act=B1E1C3631CEC401CC6 exp=B1E1C3631CEC401CC6 + 12321.50ns INFO [00012323] * RD COMPARE * port=1 adr=06 act=A3DC876EFCD5540E22 exp=A3DC876EFCD5540E22 + 12321.50ns INFO [00012323] Port=0 WR @06=2B7B923A7859AAB80F + 12321.50ns INFO [00012323] Port=0 RD @03 + 12323.50ns INFO [00012325] * RD COMPARE * port=0 adr=03 act=F6457D281F2DE2DF0E exp=F6457D281F2DE2DF0E + 12323.50ns INFO [00012325] Port=0 WR @04=33BF67ACCD5D43008B + 12323.50ns INFO [00012325] Port=1 RD @07 + 12324.50ns INFO [00012326] Port=1 RD @05 + 12325.50ns INFO [00012327] * RD COMPARE * port=1 adr=07 act=63C69F901FD8ED9E7D exp=63C69F901FD8ED9E7D + 12325.50ns INFO [00012327] Port=0 RD @06 + 12325.50ns INFO [00012327] Port=1 RD @04 + 12326.50ns INFO [00012328] * RD COMPARE * port=1 adr=05 act=784086B84C19117243 exp=784086B84C19117243 + 12326.50ns INFO [00012328] Port=1 RD @07 + 12327.50ns INFO [00012329] * RD COMPARE * port=0 adr=06 act=2B7B923A7859AAB80F exp=2B7B923A7859AAB80F + 12327.50ns INFO [00012329] * RD COMPARE * port=1 adr=04 act=33BF67ACCD5D43008B exp=33BF67ACCD5D43008B + 12327.50ns INFO [00012329] Port=0 WR @07=30E0214D7B06A82732 + 12327.50ns INFO [00012329] Port=0 RD @03 + 12328.50ns INFO [00012330] * RD COMPARE * port=1 adr=07 act=63C69F901FD8ED9E7D exp=63C69F901FD8ED9E7D + 12328.50ns INFO [00012330] Port=0 RD @01 + 12328.50ns INFO [00012330] Port=1 RD @03 + 12329.50ns INFO [00012331] * RD COMPARE * port=0 adr=03 act=F6457D281F2DE2DF0E exp=F6457D281F2DE2DF0E + 12329.50ns INFO [00012331] Port=0 WR @03=9D34FC12253AB45B98 + 12330.50ns INFO [00012332] * RD COMPARE * port=0 adr=01 act=C496BA9FD138BF183A exp=C496BA9FD138BF183A + 12330.50ns INFO [00012332] * RD COMPARE * port=1 adr=03 act=F6457D281F2DE2DF0E exp=F6457D281F2DE2DF0E + 12330.50ns INFO [00012332] Port=1 RD @00 + 12331.50ns INFO [00012333] Port=0 RD @05 + 12331.50ns INFO [00012333] Port=1 RD @01 + 12332.50ns INFO [00012334] * RD COMPARE * port=1 adr=00 act=1E1A8A115BAD0EDE9C exp=1E1A8A115BAD0EDE9C + 12333.50ns INFO [00012335] * RD COMPARE * port=0 adr=05 act=784086B84C19117243 exp=784086B84C19117243 + 12333.50ns INFO [00012335] * RD COMPARE * port=1 adr=01 act=C496BA9FD138BF183A exp=C496BA9FD138BF183A + 12333.50ns INFO [00012335] Port=1 RD @02 + 12334.50ns INFO [00012336] Port=0 WR @02=D6997151E51568388A + 12334.50ns INFO [00012336] Port=0 RD @06 + 12335.50ns INFO [00012337] * RD COMPARE * port=1 adr=02 act=8C3FB547673258A070 exp=8C3FB547673258A070 + 12335.50ns INFO [00012337] Port=0 WR @01=6A011C55F0F6BF7AF4 + 12335.50ns INFO [00012337] Port=0 RD @00 + 12335.50ns INFO [00012337] Port=1 RD @02 + 12336.50ns INFO [00012338] * RD COMPARE * port=0 adr=06 act=2B7B923A7859AAB80F exp=2B7B923A7859AAB80F + 12336.50ns INFO [00012338] Port=0 WR @00=D3D460BB82E76B6B57 + 12336.50ns INFO [00012338] Port=1 RD @01 + 12337.50ns INFO [00012339] * RD COMPARE * port=0 adr=00 act=1E1A8A115BAD0EDE9C exp=1E1A8A115BAD0EDE9C + 12337.50ns INFO [00012339] * RD COMPARE * port=1 adr=02 act=D6997151E51568388A exp=D6997151E51568388A + 12338.50ns INFO [00012340] * RD COMPARE * port=1 adr=01 act=6A011C55F0F6BF7AF4 exp=6A011C55F0F6BF7AF4 + 12338.50ns INFO [00012340] Port=0 WR @00=AC411C2C0B10ED13A1 + 12338.50ns INFO [00012340] Port=0 RD @03 + 12338.50ns INFO [00012340] Port=1 RD @02 + 12339.50ns INFO [00012341] Port=0 WR @02=DE5E17D7DA97D4FDA5 + 12339.50ns INFO [00012341] Port=1 RD @03 + 12340.50ns INFO [00012342] * RD COMPARE * port=0 adr=03 act=9D34FC12253AB45B98 exp=9D34FC12253AB45B98 + 12340.50ns INFO [00012342] * RD COMPARE * port=1 adr=02 act=D6997151E51568388A exp=D6997151E51568388A + 12340.50ns INFO [00012342] Port=1 RD @00 + 12341.50ns INFO [00012343] * RD COMPARE * port=1 adr=03 act=9D34FC12253AB45B98 exp=9D34FC12253AB45B98 + 12342.50ns INFO [00012344] * RD COMPARE * port=1 adr=00 act=AC411C2C0B10ED13A1 exp=AC411C2C0B10ED13A1 + 12342.50ns INFO [00012344] Port=1 RD @07 + 12343.50ns INFO [00012345] Port=0 WR @04=671A8222F08B11F838 + 12344.50ns INFO [00012346] * RD COMPARE * port=1 adr=07 act=30E0214D7B06A82732 exp=30E0214D7B06A82732 + 12344.50ns INFO [00012346] Port=0 WR @00=2F5207DD0B11269B15 + 12344.50ns INFO [00012346] Port=1 RD @05 + 12345.50ns INFO [00012347] Port=1 RD @04 + 12346.50ns INFO [00012348] * RD COMPARE * port=1 adr=05 act=784086B84C19117243 exp=784086B84C19117243 + 12347.50ns INFO [00012349] * RD COMPARE * port=1 adr=04 act=671A8222F08B11F838 exp=671A8222F08B11F838 + 12347.50ns INFO [00012349] Port=0 RD @03 + 12349.50ns INFO [00012351] * RD COMPARE * port=0 adr=03 act=9D34FC12253AB45B98 exp=9D34FC12253AB45B98 + 12349.50ns INFO [00012351] Port=0 WR @05=64FAD252642B708F52 + 12349.50ns INFO [00012351] Port=0 RD @03 + 12351.50ns INFO [00012353] * RD COMPARE * port=0 adr=03 act=9D34FC12253AB45B98 exp=9D34FC12253AB45B98 + 12351.50ns INFO [00012353] Port=1 RD @02 + 12353.50ns INFO [00012355] * RD COMPARE * port=1 adr=02 act=DE5E17D7DA97D4FDA5 exp=DE5E17D7DA97D4FDA5 + 12353.50ns INFO [00012355] Port=1 RD @07 + 12354.50ns INFO [00012356] Port=0 WR @02=4F4361EED1360B0160 + 12354.50ns INFO [00012356] Port=1 RD @04 + 12355.50ns INFO [00012357] * RD COMPARE * port=1 adr=07 act=30E0214D7B06A82732 exp=30E0214D7B06A82732 + 12355.50ns INFO [00012357] Port=0 RD @00 + 12356.50ns INFO [00012358] * RD COMPARE * port=1 adr=04 act=671A8222F08B11F838 exp=671A8222F08B11F838 + 12356.50ns INFO [00012358] Port=0 RD @03 + 12357.50ns INFO [00012359] * RD COMPARE * port=0 adr=00 act=2F5207DD0B11269B15 exp=2F5207DD0B11269B15 + 12357.50ns INFO [00012359] Port=1 RD @01 + 12358.50ns INFO [00012360] * RD COMPARE * port=0 adr=03 act=9D34FC12253AB45B98 exp=9D34FC12253AB45B98 + 12358.50ns INFO [00012360] Port=1 RD @03 + 12359.50ns INFO [00012361] * RD COMPARE * port=1 adr=01 act=6A011C55F0F6BF7AF4 exp=6A011C55F0F6BF7AF4 + 12359.50ns INFO [00012361] Port=0 WR @01=D3EF7C4973590AD7E2 + 12359.50ns INFO [00012361] Port=1 RD @04 + 12360.50ns INFO [00012362] * RD COMPARE * port=1 adr=03 act=9D34FC12253AB45B98 exp=9D34FC12253AB45B98 + 12361.50ns INFO [00012363] * RD COMPARE * port=1 adr=04 act=671A8222F08B11F838 exp=671A8222F08B11F838 + 12361.50ns INFO [00012363] Port=0 WR @02=C0A820FFE1AF84231F + 12361.50ns INFO [00012363] Port=1 RD @03 + 12363.50ns INFO [00012365] * RD COMPARE * port=1 adr=03 act=9D34FC12253AB45B98 exp=9D34FC12253AB45B98 + 12363.50ns INFO [00012365] Port=0 WR @06=99FD2507A4B3E76D44 + 12364.50ns INFO [00012366] Port=1 RD @06 + 12366.50ns INFO [00012368] * RD COMPARE * port=1 adr=06 act=99FD2507A4B3E76D44 exp=99FD2507A4B3E76D44 + 12366.50ns INFO [00012368] Port=0 WR @06=1724AEF922C8BF729F + 12366.50ns INFO [00012368] Port=0 RD @05 + 12368.50ns INFO [00012370] * RD COMPARE * port=0 adr=05 act=64FAD252642B708F52 exp=64FAD252642B708F52 + 12368.50ns INFO [00012370] Port=0 WR @03=78D7D9F3BBBB5CCAC5 + 12369.50ns INFO [00012371] Port=0 WR @01=11DF7EF0EE9EF536B3 + 12369.50ns INFO [00012371] Port=0 RD @05 + 12370.50ns INFO [00012372] Port=0 RD @02 + 12370.50ns INFO [00012372] Port=1 RD @04 + 12371.50ns INFO [00012373] * RD COMPARE * port=0 adr=05 act=64FAD252642B708F52 exp=64FAD252642B708F52 + 12371.50ns INFO [00012373] Port=0 WR @04=DCE642BA4045CAEFC7 + 12372.50ns INFO [00012374] * RD COMPARE * port=0 adr=02 act=C0A820FFE1AF84231F exp=C0A820FFE1AF84231F + 12372.50ns INFO [00012374] * RD COMPARE * port=1 adr=04 act=671A8222F08B11F838 exp=671A8222F08B11F838 + 12372.50ns INFO [00012374] Port=0 WR @07=AE1869D936C9D71848 + 12372.50ns INFO [00012374] Port=1 RD @05 + 12373.50ns INFO [00012375] Port=0 WR @00=712DF9148B79F859E6 + 12373.50ns INFO [00012375] Port=0 RD @02 + 12374.50ns INFO [00012376] * RD COMPARE * port=1 adr=05 act=64FAD252642B708F52 exp=64FAD252642B708F52 + 12374.50ns INFO [00012376] Port=0 WR @05=3904C44FCC72222610 + 12375.50ns INFO [00012377] * RD COMPARE * port=0 adr=02 act=C0A820FFE1AF84231F exp=C0A820FFE1AF84231F + 12375.50ns INFO [00012377] Port=0 WR @02=A4231BC7647AAC7047 + 12376.50ns INFO [00012378] Port=1 RD @06 + 12377.50ns INFO [00012379] Port=1 RD @04 + 12378.50ns INFO [00012380] * RD COMPARE * port=1 adr=06 act=1724AEF922C8BF729F exp=1724AEF922C8BF729F + 12379.50ns INFO [00012381] * RD COMPARE * port=1 adr=04 act=DCE642BA4045CAEFC7 exp=DCE642BA4045CAEFC7 + 12379.50ns INFO [00012381] Port=0 RD @05 + 12379.50ns INFO [00012381] Port=1 RD @06 + 12380.50ns INFO [00012382] Port=0 RD @01 + 12380.50ns INFO [00012382] Port=1 RD @05 + 12381.50ns INFO [00012383] * RD COMPARE * port=0 adr=05 act=3904C44FCC72222610 exp=3904C44FCC72222610 + 12381.50ns INFO [00012383] * RD COMPARE * port=1 adr=06 act=1724AEF922C8BF729F exp=1724AEF922C8BF729F + 12381.50ns INFO [00012383] Port=0 RD @03 + 12381.50ns INFO [00012383] Port=1 RD @06 + 12382.50ns INFO [00012384] * RD COMPARE * port=0 adr=01 act=11DF7EF0EE9EF536B3 exp=11DF7EF0EE9EF536B3 + 12382.50ns INFO [00012384] * RD COMPARE * port=1 adr=05 act=3904C44FCC72222610 exp=3904C44FCC72222610 + 12382.50ns INFO [00012384] Port=1 RD @06 + 12383.50ns INFO [00012385] * RD COMPARE * port=0 adr=03 act=78D7D9F3BBBB5CCAC5 exp=78D7D9F3BBBB5CCAC5 + 12383.50ns INFO [00012385] * RD COMPARE * port=1 adr=06 act=1724AEF922C8BF729F exp=1724AEF922C8BF729F + 12383.50ns INFO [00012385] Port=0 WR @02=8E4096F3B79DD03950 + 12383.50ns INFO [00012385] Port=0 RD @00 + 12384.50ns INFO [00012386] * RD COMPARE * port=1 adr=06 act=1724AEF922C8BF729F exp=1724AEF922C8BF729F + 12385.50ns INFO [00012387] * RD COMPARE * port=0 adr=00 act=712DF9148B79F859E6 exp=712DF9148B79F859E6 + 12385.50ns INFO [00012387] Port=0 RD @06 + 12386.50ns INFO [00012388] Port=1 RD @02 + 12387.50ns INFO [00012389] * RD COMPARE * port=0 adr=06 act=1724AEF922C8BF729F exp=1724AEF922C8BF729F + 12388.50ns INFO [00012390] * RD COMPARE * port=1 adr=02 act=8E4096F3B79DD03950 exp=8E4096F3B79DD03950 + 12388.50ns INFO [00012390] Port=0 RD @02 + 12389.50ns INFO [00012391] Port=0 WR @00=D8B8759D9FA84D00C6 + 12389.50ns INFO [00012391] Port=1 RD @06 + 12390.50ns INFO [00012392] * RD COMPARE * port=0 adr=02 act=8E4096F3B79DD03950 exp=8E4096F3B79DD03950 + 12391.50ns INFO [00012393] * RD COMPARE * port=1 adr=06 act=1724AEF922C8BF729F exp=1724AEF922C8BF729F + 12391.50ns INFO [00012393] Port=0 WR @05=359509E2F401DCC328 + 12391.50ns INFO [00012393] Port=0 RD @01 + 12391.50ns INFO [00012393] Port=1 RD @03 + 12393.50ns INFO [00012395] * RD COMPARE * port=0 adr=01 act=11DF7EF0EE9EF536B3 exp=11DF7EF0EE9EF536B3 + 12393.50ns INFO [00012395] * RD COMPARE * port=1 adr=03 act=78D7D9F3BBBB5CCAC5 exp=78D7D9F3BBBB5CCAC5 + 12393.50ns INFO [00012395] Port=0 WR @05=1494BA24425D212B48 + 12394.50ns INFO [00012396] Port=0 WR @06=F982F58F0B3C7C9B66 + 12394.50ns INFO [00012396] Port=0 RD @05 + 12395.50ns INFO [00012397] Port=0 WR @05=9AA433F26817C73DB0 + 12395.50ns INFO [00012397] Port=0 RD @06 + 12396.50ns INFO [00012398] * RD COMPARE * port=0 adr=05 act=1494BA24425D212B48 exp=1494BA24425D212B48 + 12397.50ns INFO [00012399] * RD COMPARE * port=0 adr=06 act=F982F58F0B3C7C9B66 exp=F982F58F0B3C7C9B66 + 12397.50ns INFO [00012399] Port=0 WR @00=0EAF87DA30CD907011 + 12397.50ns INFO [00012399] Port=1 RD @04 + 12398.00ns INFO [00012400] [00012400] ...tick... + 12398.50ns INFO [00012400] Port=0 WR @01=D1D8FB51731C8B4ADB + 12398.50ns INFO [00012400] Port=0 RD @02 + 12398.50ns INFO [00012400] Port=1 RD @05 + 12399.50ns INFO [00012401] * RD COMPARE * port=1 adr=04 act=DCE642BA4045CAEFC7 exp=DCE642BA4045CAEFC7 + 12399.50ns INFO [00012401] Port=0 WR @06=0DD1B63FBC6CE11DFF + 12399.50ns INFO [00012401] Port=1 RD @04 + 12400.50ns INFO [00012402] * RD COMPARE * port=0 adr=02 act=8E4096F3B79DD03950 exp=8E4096F3B79DD03950 + 12400.50ns INFO [00012402] * RD COMPARE * port=1 adr=05 act=9AA433F26817C73DB0 exp=9AA433F26817C73DB0 + 12401.50ns INFO [00012403] * RD COMPARE * port=1 adr=04 act=DCE642BA4045CAEFC7 exp=DCE642BA4045CAEFC7 + 12402.50ns INFO [00012404] Port=1 RD @05 + 12404.50ns INFO [00012406] * RD COMPARE * port=1 adr=05 act=9AA433F26817C73DB0 exp=9AA433F26817C73DB0 + 12404.50ns INFO [00012406] Port=0 WR @07=05A590D08CC76211C6 + 12405.50ns INFO [00012407] Port=0 WR @06=D80108B28AD1207C37 + 12405.50ns INFO [00012407] Port=1 RD @01 + 12407.50ns INFO [00012409] * RD COMPARE * port=1 adr=01 act=D1D8FB51731C8B4ADB exp=D1D8FB51731C8B4ADB + 12408.50ns INFO [00012410] Port=1 RD @06 + 12409.50ns INFO [00012411] Port=0 WR @02=A138C61417AF440E4C + 12410.50ns INFO [00012412] * RD COMPARE * port=1 adr=06 act=D80108B28AD1207C37 exp=D80108B28AD1207C37 + 12411.50ns INFO [00012413] Port=0 WR @05=D3D3A17A862B1C147E + 12411.50ns INFO [00012413] Port=0 RD @07 + 12411.50ns INFO [00012413] Port=1 RD @01 + 12412.50ns INFO [00012414] Port=0 RD @07 + 12413.50ns INFO [00012415] * RD COMPARE * port=0 adr=07 act=05A590D08CC76211C6 exp=05A590D08CC76211C6 + 12413.50ns INFO [00012415] * RD COMPARE * port=1 adr=01 act=D1D8FB51731C8B4ADB exp=D1D8FB51731C8B4ADB + 12413.50ns INFO [00012415] Port=0 WR @01=CA939C06AAFC3C4A91 + 12413.50ns INFO [00012415] Port=0 RD @00 + 12413.50ns INFO [00012415] Port=1 RD @02 + 12414.50ns INFO [00012416] * RD COMPARE * port=0 adr=07 act=05A590D08CC76211C6 exp=05A590D08CC76211C6 + 12414.50ns INFO [00012416] Port=0 WR @07=1BCB6BB26A6CE59E96 + 12415.50ns INFO [00012417] * RD COMPARE * port=0 adr=00 act=0EAF87DA30CD907011 exp=0EAF87DA30CD907011 + 12415.50ns INFO [00012417] * RD COMPARE * port=1 adr=02 act=A138C61417AF440E4C exp=A138C61417AF440E4C + 12416.50ns INFO [00012418] Port=1 RD @01 + 12418.50ns INFO [00012420] * RD COMPARE * port=1 adr=01 act=CA939C06AAFC3C4A91 exp=CA939C06AAFC3C4A91 + 12419.50ns INFO [00012421] Port=0 RD @05 + 12421.50ns INFO [00012423] * RD COMPARE * port=0 adr=05 act=D3D3A17A862B1C147E exp=D3D3A17A862B1C147E + 12422.50ns INFO [00012424] Port=0 WR @03=A40352B89927728D3D + 12422.50ns INFO [00012424] Port=0 RD @05 + 12422.50ns INFO [00012424] Port=1 RD @07 + 12424.50ns INFO [00012426] * RD COMPARE * port=0 adr=05 act=D3D3A17A862B1C147E exp=D3D3A17A862B1C147E + 12424.50ns INFO [00012426] * RD COMPARE * port=1 adr=07 act=1BCB6BB26A6CE59E96 exp=1BCB6BB26A6CE59E96 + 12424.50ns INFO [00012426] Port=0 RD @04 + 12424.50ns INFO [00012426] Port=1 RD @07 + 12425.50ns INFO [00012427] Port=0 RD @00 + 12425.50ns INFO [00012427] Port=1 RD @00 + 12426.50ns INFO [00012428] * RD COMPARE * port=0 adr=04 act=DCE642BA4045CAEFC7 exp=DCE642BA4045CAEFC7 + 12426.50ns INFO [00012428] * RD COMPARE * port=1 adr=07 act=1BCB6BB26A6CE59E96 exp=1BCB6BB26A6CE59E96 + 12426.50ns INFO [00012428] Port=0 WR @07=0B86E5D38008E05D80 + 12427.50ns INFO [00012429] * RD COMPARE * port=0 adr=00 act=0EAF87DA30CD907011 exp=0EAF87DA30CD907011 + 12427.50ns INFO [00012429] * RD COMPARE * port=1 adr=00 act=0EAF87DA30CD907011 exp=0EAF87DA30CD907011 + 12427.50ns INFO [00012429] Port=0 WR @03=8C01715A80982C608B + 12427.50ns INFO [00012429] Port=0 RD @07 + 12428.50ns INFO [00012430] Port=0 WR @03=7F238E1E0BA5212D66 + 12428.50ns INFO [00012430] Port=0 RD @00 + 12428.50ns INFO [00012430] Port=1 RD @05 + 12429.50ns INFO [00012431] * RD COMPARE * port=0 adr=07 act=0B86E5D38008E05D80 exp=0B86E5D38008E05D80 + 12430.50ns INFO [00012432] * RD COMPARE * port=0 adr=00 act=0EAF87DA30CD907011 exp=0EAF87DA30CD907011 + 12430.50ns INFO [00012432] * RD COMPARE * port=1 adr=05 act=D3D3A17A862B1C147E exp=D3D3A17A862B1C147E + 12430.50ns INFO [00012432] Port=0 RD @04 + 12430.50ns INFO [00012432] Port=1 RD @03 + 12431.50ns INFO [00012433] Port=0 WR @06=93CC6B2AA47CDAA089 + 12432.50ns INFO [00012434] * RD COMPARE * port=0 adr=04 act=DCE642BA4045CAEFC7 exp=DCE642BA4045CAEFC7 + 12432.50ns INFO [00012434] * RD COMPARE * port=1 adr=03 act=7F238E1E0BA5212D66 exp=7F238E1E0BA5212D66 + 12432.50ns INFO [00012434] Port=0 RD @06 + 12432.50ns INFO [00012434] Port=1 RD @02 + 12433.50ns INFO [00012435] Port=0 WR @04=C8AF25B6C50CD250A8 + 12433.50ns INFO [00012435] Port=1 RD @05 + 12434.50ns INFO [00012436] * RD COMPARE * port=0 adr=06 act=93CC6B2AA47CDAA089 exp=93CC6B2AA47CDAA089 + 12434.50ns INFO [00012436] * RD COMPARE * port=1 adr=02 act=A138C61417AF440E4C exp=A138C61417AF440E4C + 12434.50ns INFO [00012436] Port=0 RD @01 + 12434.50ns INFO [00012436] Port=1 RD @00 + 12435.50ns INFO [00012437] * RD COMPARE * port=1 adr=05 act=D3D3A17A862B1C147E exp=D3D3A17A862B1C147E + 12436.50ns INFO [00012438] * RD COMPARE * port=0 adr=01 act=CA939C06AAFC3C4A91 exp=CA939C06AAFC3C4A91 + 12436.50ns INFO [00012438] * RD COMPARE * port=1 adr=00 act=0EAF87DA30CD907011 exp=0EAF87DA30CD907011 + 12436.50ns INFO [00012438] Port=0 WR @05=B546A4C9B1F0C1B774 + 12436.50ns INFO [00012438] Port=1 RD @06 + 12437.50ns INFO [00012439] Port=0 RD @04 + 12438.50ns INFO [00012440] * RD COMPARE * port=1 adr=06 act=93CC6B2AA47CDAA089 exp=93CC6B2AA47CDAA089 + 12438.50ns INFO [00012440] Port=0 RD @00 + 12438.50ns INFO [00012440] Port=1 RD @05 + 12439.50ns INFO [00012441] * RD COMPARE * port=0 adr=04 act=C8AF25B6C50CD250A8 exp=C8AF25B6C50CD250A8 + 12439.50ns INFO [00012441] Port=0 WR @05=DABC2FF2C79E2AA71A + 12439.50ns INFO [00012441] Port=1 RD @00 + 12440.50ns INFO [00012442] * RD COMPARE * port=0 adr=00 act=0EAF87DA30CD907011 exp=0EAF87DA30CD907011 + 12440.50ns INFO [00012442] * RD COMPARE * port=1 adr=05 act=B546A4C9B1F0C1B774 exp=B546A4C9B1F0C1B774 + 12441.50ns INFO [00012443] * RD COMPARE * port=1 adr=00 act=0EAF87DA30CD907011 exp=0EAF87DA30CD907011 + 12441.50ns INFO [00012443] Port=0 WR @02=CCB97FF1053CD44DD4 + 12441.50ns INFO [00012443] Port=0 RD @01 + 12443.50ns INFO [00012445] * RD COMPARE * port=0 adr=01 act=CA939C06AAFC3C4A91 exp=CA939C06AAFC3C4A91 + 12443.50ns INFO [00012445] Port=0 RD @03 + 12444.50ns INFO [00012446] Port=0 RD @00 + 12444.50ns INFO [00012446] Port=1 RD @05 + 12445.50ns INFO [00012447] * RD COMPARE * port=0 adr=03 act=7F238E1E0BA5212D66 exp=7F238E1E0BA5212D66 + 12445.50ns INFO [00012447] Port=0 RD @00 + 12446.50ns INFO [00012448] * RD COMPARE * port=0 adr=00 act=0EAF87DA30CD907011 exp=0EAF87DA30CD907011 + 12446.50ns INFO [00012448] * RD COMPARE * port=1 adr=05 act=DABC2FF2C79E2AA71A exp=DABC2FF2C79E2AA71A + 12447.50ns INFO [00012449] * RD COMPARE * port=0 adr=00 act=0EAF87DA30CD907011 exp=0EAF87DA30CD907011 + 12447.50ns INFO [00012449] Port=1 RD @05 + 12449.50ns INFO [00012451] * RD COMPARE * port=1 adr=05 act=DABC2FF2C79E2AA71A exp=DABC2FF2C79E2AA71A + 12449.50ns INFO [00012451] Port=0 WR @01=ECD9F2C3AB4FD25D7C + 12450.50ns INFO [00012452] Port=0 RD @03 + 12450.50ns INFO [00012452] Port=1 RD @01 + 12451.50ns INFO [00012453] Port=0 WR @00=534BB182E68849D62E + 12452.50ns INFO [00012454] * RD COMPARE * port=0 adr=03 act=7F238E1E0BA5212D66 exp=7F238E1E0BA5212D66 + 12452.50ns INFO [00012454] * RD COMPARE * port=1 adr=01 act=ECD9F2C3AB4FD25D7C exp=ECD9F2C3AB4FD25D7C + 12452.50ns INFO [00012454] Port=1 RD @06 + 12453.50ns INFO [00012455] Port=0 WR @05=A031E517984E3B35E8 + 12454.50ns INFO [00012456] * RD COMPARE * port=1 adr=06 act=93CC6B2AA47CDAA089 exp=93CC6B2AA47CDAA089 + 12454.50ns INFO [00012456] Port=0 WR @05=7FA9808E65456BF003 + 12455.50ns INFO [00012457] Port=0 WR @01=42C3FDE4A6121B9A73 + 12455.50ns INFO [00012457] Port=1 RD @06 + 12457.50ns INFO [00012459] * RD COMPARE * port=1 adr=06 act=93CC6B2AA47CDAA089 exp=93CC6B2AA47CDAA089 + 12458.50ns INFO [00012460] Port=1 RD @06 + 12460.50ns INFO [00012462] * RD COMPARE * port=1 adr=06 act=93CC6B2AA47CDAA089 exp=93CC6B2AA47CDAA089 + 12460.50ns INFO [00012462] Port=0 WR @05=B67FBE0BECC172BF57 + 12460.50ns INFO [00012462] Port=1 RD @02 + 12461.50ns INFO [00012463] Port=0 RD @03 + 12461.50ns INFO [00012463] Port=1 RD @02 + 12462.50ns INFO [00012464] * RD COMPARE * port=1 adr=02 act=CCB97FF1053CD44DD4 exp=CCB97FF1053CD44DD4 + 12462.50ns INFO [00012464] Port=1 RD @00 + 12463.50ns INFO [00012465] * RD COMPARE * port=0 adr=03 act=7F238E1E0BA5212D66 exp=7F238E1E0BA5212D66 + 12463.50ns INFO [00012465] * RD COMPARE * port=1 adr=02 act=CCB97FF1053CD44DD4 exp=CCB97FF1053CD44DD4 + 12463.50ns INFO [00012465] Port=0 WR @03=9BD1E79F8FD578EBCD + 12463.50ns INFO [00012465] Port=1 RD @07 + 12464.50ns INFO [00012466] * RD COMPARE * port=1 adr=00 act=534BB182E68849D62E exp=534BB182E68849D62E + 12464.50ns INFO [00012466] Port=1 RD @02 + 12465.50ns INFO [00012467] * RD COMPARE * port=1 adr=07 act=0B86E5D38008E05D80 exp=0B86E5D38008E05D80 + 12465.50ns INFO [00012467] Port=0 WR @04=C66AAF2362658969B8 + 12465.50ns INFO [00012467] Port=1 RD @06 + 12466.50ns INFO [00012468] * RD COMPARE * port=1 adr=02 act=CCB97FF1053CD44DD4 exp=CCB97FF1053CD44DD4 + 12466.50ns INFO [00012468] Port=0 RD @02 + 12466.50ns INFO [00012468] Port=1 RD @03 + 12467.50ns INFO [00012469] * RD COMPARE * port=1 adr=06 act=93CC6B2AA47CDAA089 exp=93CC6B2AA47CDAA089 + 12467.50ns INFO [00012469] Port=0 WR @03=836413F8D888CB8B55 + 12467.50ns INFO [00012469] Port=1 RD @07 + 12468.50ns INFO [00012470] * RD COMPARE * port=0 adr=02 act=CCB97FF1053CD44DD4 exp=CCB97FF1053CD44DD4 + 12468.50ns INFO [00012470] * RD COMPARE * port=1 adr=03 act=9BD1E79F8FD578EBCD exp=9BD1E79F8FD578EBCD + 12469.50ns INFO [00012471] * RD COMPARE * port=1 adr=07 act=0B86E5D38008E05D80 exp=0B86E5D38008E05D80 + 12470.50ns INFO [00012472] Port=0 RD @05 + 12471.50ns INFO [00012473] Port=0 WR @03=C3AFB8BB490FE63D59 + 12471.50ns INFO [00012473] Port=0 RD @04 + 12472.50ns INFO [00012474] * RD COMPARE * port=0 adr=05 act=B67FBE0BECC172BF57 exp=B67FBE0BECC172BF57 + 12472.50ns INFO [00012474] Port=0 WR @05=CA20918B766DB899FD + 12472.50ns INFO [00012474] Port=0 RD @04 + 12473.50ns INFO [00012475] * RD COMPARE * port=0 adr=04 act=C66AAF2362658969B8 exp=C66AAF2362658969B8 + 12473.50ns INFO [00012475] Port=1 RD @01 + 12474.50ns INFO [00012476] * RD COMPARE * port=0 adr=04 act=C66AAF2362658969B8 exp=C66AAF2362658969B8 + 12474.50ns INFO [00012476] Port=0 RD @06 + 12475.50ns INFO [00012477] * RD COMPARE * port=1 adr=01 act=42C3FDE4A6121B9A73 exp=42C3FDE4A6121B9A73 + 12475.50ns INFO [00012477] Port=0 WR @05=9F17745F9512C91B47 + 12475.50ns INFO [00012477] Port=1 RD @03 + 12476.50ns INFO [00012478] * RD COMPARE * port=0 adr=06 act=93CC6B2AA47CDAA089 exp=93CC6B2AA47CDAA089 + 12476.50ns INFO [00012478] Port=0 RD @03 + 12476.50ns INFO [00012478] Port=1 RD @04 + 12477.50ns INFO [00012479] * RD COMPARE * port=1 adr=03 act=C3AFB8BB490FE63D59 exp=C3AFB8BB490FE63D59 + 12477.50ns INFO [00012479] Port=0 WR @04=D27E7F33BF7896A12E + 12477.50ns INFO [00012479] Port=0 RD @07 + 12477.50ns INFO [00012479] Port=1 RD @01 + 12478.50ns INFO [00012480] * RD COMPARE * port=0 adr=03 act=C3AFB8BB490FE63D59 exp=C3AFB8BB490FE63D59 + 12478.50ns INFO [00012480] * RD COMPARE * port=1 adr=04 act=C66AAF2362658969B8 exp=C66AAF2362658969B8 + 12478.50ns INFO [00012480] Port=0 RD @07 + 12479.50ns INFO [00012481] * RD COMPARE * port=0 adr=07 act=0B86E5D38008E05D80 exp=0B86E5D38008E05D80 + 12479.50ns INFO [00012481] * RD COMPARE * port=1 adr=01 act=42C3FDE4A6121B9A73 exp=42C3FDE4A6121B9A73 + 12479.50ns INFO [00012481] Port=0 RD @02 + 12480.50ns INFO [00012482] * RD COMPARE * port=0 adr=07 act=0B86E5D38008E05D80 exp=0B86E5D38008E05D80 + 12480.50ns INFO [00012482] Port=0 RD @03 + 12481.50ns INFO [00012483] * RD COMPARE * port=0 adr=02 act=CCB97FF1053CD44DD4 exp=CCB97FF1053CD44DD4 + 12481.50ns INFO [00012483] Port=0 RD @05 + 12482.50ns INFO [00012484] * RD COMPARE * port=0 adr=03 act=C3AFB8BB490FE63D59 exp=C3AFB8BB490FE63D59 + 12482.50ns INFO [00012484] Port=0 WR @07=BB84B340BAB4CBD649 + 12482.50ns INFO [00012484] Port=0 RD @02 + 12483.50ns INFO [00012485] * RD COMPARE * port=0 adr=05 act=9F17745F9512C91B47 exp=9F17745F9512C91B47 + 12483.50ns INFO [00012485] Port=0 WR @02=3779109FE95101CBEA + 12483.50ns INFO [00012485] Port=0 RD @04 + 12484.50ns INFO [00012486] * RD COMPARE * port=0 adr=02 act=CCB97FF1053CD44DD4 exp=CCB97FF1053CD44DD4 + 12484.50ns INFO [00012486] Port=0 WR @05=1190FF0A135117CD43 + 12485.50ns INFO [00012487] * RD COMPARE * port=0 adr=04 act=D27E7F33BF7896A12E exp=D27E7F33BF7896A12E + 12485.50ns INFO [00012487] Port=0 RD @06 + 12487.50ns INFO [00012489] * RD COMPARE * port=0 adr=06 act=93CC6B2AA47CDAA089 exp=93CC6B2AA47CDAA089 + 12487.50ns INFO [00012489] Port=1 RD @00 + 12489.50ns INFO [00012491] * RD COMPARE * port=1 adr=00 act=534BB182E68849D62E exp=534BB182E68849D62E + 12489.50ns INFO [00012491] Port=0 RD @00 + 12490.50ns INFO [00012492] Port=0 WR @00=5B5CC8A1CFD1BE1E3D + 12491.50ns INFO [00012493] * RD COMPARE * port=0 adr=00 act=534BB182E68849D62E exp=534BB182E68849D62E + 12491.50ns INFO [00012493] Port=0 WR @05=0CA9C48599BD26DD72 + 12491.50ns INFO [00012493] Port=1 RD @00 + 12492.50ns INFO [00012494] Port=1 RD @04 + 12493.50ns INFO [00012495] * RD COMPARE * port=1 adr=00 act=5B5CC8A1CFD1BE1E3D exp=5B5CC8A1CFD1BE1E3D + 12494.50ns INFO [00012496] * RD COMPARE * port=1 adr=04 act=D27E7F33BF7896A12E exp=D27E7F33BF7896A12E + 12494.50ns INFO [00012496] Port=0 RD @06 + 12494.50ns INFO [00012496] Port=1 RD @04 + 12495.50ns INFO [00012497] Port=0 WR @05=0E26D785555EE2D5CC + 12496.50ns INFO [00012498] * RD COMPARE * port=0 adr=06 act=93CC6B2AA47CDAA089 exp=93CC6B2AA47CDAA089 + 12496.50ns INFO [00012498] * RD COMPARE * port=1 adr=04 act=D27E7F33BF7896A12E exp=D27E7F33BF7896A12E + 12497.50ns INFO [00012499] Port=1 RD @00 + 12498.00ns INFO [00012500] [00012500] ...tick... + 12498.50ns INFO [00012500] Port=1 RD @01 + 12499.50ns INFO [00012501] * RD COMPARE * port=1 adr=00 act=5B5CC8A1CFD1BE1E3D exp=5B5CC8A1CFD1BE1E3D + 12499.50ns INFO [00012501] Port=0 WR @06=28E48271898A96FEDC + 12500.50ns INFO [00012502] * RD COMPARE * port=1 adr=01 act=42C3FDE4A6121B9A73 exp=42C3FDE4A6121B9A73 + 12500.50ns INFO [00012502] Port=0 WR @04=159621E156395045C5 + 12500.50ns INFO [00012502] Port=1 RD @03 + 12501.50ns INFO [00012503] Port=0 WR @07=DA941F165A96744830 + 12501.50ns INFO [00012503] Port=1 RD @00 + 12502.50ns INFO [00012504] * RD COMPARE * port=1 adr=03 act=C3AFB8BB490FE63D59 exp=C3AFB8BB490FE63D59 + 12502.50ns INFO [00012504] Port=0 RD @03 + 12502.50ns INFO [00012504] Port=1 RD @03 + 12503.50ns INFO [00012505] * RD COMPARE * port=1 adr=00 act=5B5CC8A1CFD1BE1E3D exp=5B5CC8A1CFD1BE1E3D + 12503.50ns INFO [00012505] Port=0 RD @01 + 12504.50ns INFO [00012506] * RD COMPARE * port=0 adr=03 act=C3AFB8BB490FE63D59 exp=C3AFB8BB490FE63D59 + 12504.50ns INFO [00012506] * RD COMPARE * port=1 adr=03 act=C3AFB8BB490FE63D59 exp=C3AFB8BB490FE63D59 + 12505.50ns INFO [00012507] * RD COMPARE * port=0 adr=01 act=42C3FDE4A6121B9A73 exp=42C3FDE4A6121B9A73 + 12505.50ns INFO [00012507] Port=0 WR @05=E30EF07C1CC566C04E + 12505.50ns INFO [00012507] Port=1 RD @03 + 12506.50ns INFO [00012508] Port=0 RD @04 + 12506.50ns INFO [00012508] Port=1 RD @05 + 12507.50ns INFO [00012509] * RD COMPARE * port=1 adr=03 act=C3AFB8BB490FE63D59 exp=C3AFB8BB490FE63D59 + 12507.50ns INFO [00012509] Port=0 WR @05=20FDC919E3283674F9 + 12507.50ns INFO [00012509] Port=0 RD @06 + 12507.50ns INFO [00012509] Port=1 RD @01 + 12508.50ns INFO [00012510] * RD COMPARE * port=0 adr=04 act=159621E156395045C5 exp=159621E156395045C5 + 12508.50ns INFO [00012510] * RD COMPARE * port=1 adr=05 act=E30EF07C1CC566C04E exp=E30EF07C1CC566C04E + 12509.50ns INFO [00012511] * RD COMPARE * port=0 adr=06 act=28E48271898A96FEDC exp=28E48271898A96FEDC + 12509.50ns INFO [00012511] * RD COMPARE * port=1 adr=01 act=42C3FDE4A6121B9A73 exp=42C3FDE4A6121B9A73 + 12509.50ns INFO [00012511] Port=0 WR @03=43DD074287497192ED + 12509.50ns INFO [00012511] Port=0 RD @07 + 12511.50ns INFO [00012513] * RD COMPARE * port=0 adr=07 act=DA941F165A96744830 exp=DA941F165A96744830 + 12511.50ns INFO [00012513] Port=0 RD @06 + 12512.50ns INFO [00012514] Port=1 RD @02 + 12513.50ns INFO [00012515] * RD COMPARE * port=0 adr=06 act=28E48271898A96FEDC exp=28E48271898A96FEDC + 12513.50ns INFO [00012515] Port=0 RD @03 + 12514.50ns INFO [00012516] * RD COMPARE * port=1 adr=02 act=3779109FE95101CBEA exp=3779109FE95101CBEA + 12514.50ns INFO [00012516] Port=0 WR @06=5CF768A613334FE706 + 12514.50ns INFO [00012516] Port=0 RD @01 + 12514.50ns INFO [00012516] Port=1 RD @04 + 12515.50ns INFO [00012517] * RD COMPARE * port=0 adr=03 act=43DD074287497192ED exp=43DD074287497192ED + 12515.50ns INFO [00012517] Port=0 WR @01=E9BFAD6C7C9B4C10D0 + 12516.50ns INFO [00012518] * RD COMPARE * port=0 adr=01 act=42C3FDE4A6121B9A73 exp=42C3FDE4A6121B9A73 + 12516.50ns INFO [00012518] * RD COMPARE * port=1 adr=04 act=159621E156395045C5 exp=159621E156395045C5 + 12518.50ns INFO [00012520] Port=0 WR @01=25C5A5A48E3D61E911 + 12519.50ns INFO [00012521] Port=0 WR @05=4793B33076387933D4 + 12519.50ns INFO [00012521] Port=1 RD @01 + 12520.50ns INFO [00012522] Port=0 RD @05 + 12520.50ns INFO [00012522] Port=1 RD @02 + 12521.50ns INFO [00012523] * RD COMPARE * port=1 adr=01 act=25C5A5A48E3D61E911 exp=25C5A5A48E3D61E911 + 12521.50ns INFO [00012523] Port=0 WR @03=C2E7FD4DAADD619D82 + 12521.50ns INFO [00012523] Port=0 RD @00 + 12522.50ns INFO [00012524] * RD COMPARE * port=0 adr=05 act=4793B33076387933D4 exp=4793B33076387933D4 + 12522.50ns INFO [00012524] * RD COMPARE * port=1 adr=02 act=3779109FE95101CBEA exp=3779109FE95101CBEA + 12523.50ns INFO [00012525] * RD COMPARE * port=0 adr=00 act=5B5CC8A1CFD1BE1E3D exp=5B5CC8A1CFD1BE1E3D + 12523.50ns INFO [00012525] Port=1 RD @05 + 12525.50ns INFO [00012527] * RD COMPARE * port=1 adr=05 act=4793B33076387933D4 exp=4793B33076387933D4 + 12525.50ns INFO [00012527] Port=0 WR @01=C79C0F79433032E8CB + 12526.50ns INFO [00012528] Port=0 RD @00 + 12526.50ns INFO [00012528] Port=1 RD @03 + 12527.50ns INFO [00012529] Port=0 WR @02=87B62A61EC7C71E9B4 + 12528.50ns INFO [00012530] * RD COMPARE * port=0 adr=00 act=5B5CC8A1CFD1BE1E3D exp=5B5CC8A1CFD1BE1E3D + 12528.50ns INFO [00012530] * RD COMPARE * port=1 adr=03 act=C2E7FD4DAADD619D82 exp=C2E7FD4DAADD619D82 + 12528.50ns INFO [00012530] Port=0 RD @05 + 12528.50ns INFO [00012530] Port=1 RD @02 + 12529.50ns INFO [00012531] Port=0 RD @00 + 12529.50ns INFO [00012531] Port=1 RD @01 + 12530.50ns INFO [00012532] * RD COMPARE * port=0 adr=05 act=4793B33076387933D4 exp=4793B33076387933D4 + 12530.50ns INFO [00012532] * RD COMPARE * port=1 adr=02 act=87B62A61EC7C71E9B4 exp=87B62A61EC7C71E9B4 + 12530.50ns INFO [00012532] Port=0 WR @04=999B6C7952AC0DB1B8 + 12530.50ns INFO [00012532] Port=1 RD @05 + 12531.50ns INFO [00012533] * RD COMPARE * port=0 adr=00 act=5B5CC8A1CFD1BE1E3D exp=5B5CC8A1CFD1BE1E3D + 12531.50ns INFO [00012533] * RD COMPARE * port=1 adr=01 act=C79C0F79433032E8CB exp=C79C0F79433032E8CB + 12531.50ns INFO [00012533] Port=0 WR @04=64AA589963D55E1E1E + 12531.50ns INFO [00012533] Port=1 RD @00 + 12532.50ns INFO [00012534] * RD COMPARE * port=1 adr=05 act=4793B33076387933D4 exp=4793B33076387933D4 + 12532.50ns INFO [00012534] Port=0 WR @03=BADB8F9A2A45C02D46 + 12533.50ns INFO [00012535] * RD COMPARE * port=1 adr=00 act=5B5CC8A1CFD1BE1E3D exp=5B5CC8A1CFD1BE1E3D + 12533.50ns INFO [00012535] Port=0 WR @02=760725CCFBE83D3115 + 12533.50ns INFO [00012535] Port=1 RD @04 + 12534.50ns INFO [00012536] Port=0 RD @01 + 12535.50ns INFO [00012537] * RD COMPARE * port=1 adr=04 act=64AA589963D55E1E1E exp=64AA589963D55E1E1E + 12535.50ns INFO [00012537] Port=0 RD @07 + 12536.50ns INFO [00012538] * RD COMPARE * port=0 adr=01 act=C79C0F79433032E8CB exp=C79C0F79433032E8CB + 12536.50ns INFO [00012538] Port=0 WR @01=53762E01E3C3027D67 + 12536.50ns INFO [00012538] Port=0 RD @02 + 12537.50ns INFO [00012539] * RD COMPARE * port=0 adr=07 act=DA941F165A96744830 exp=DA941F165A96744830 + 12537.50ns INFO [00012539] Port=0 RD @00 + 12538.50ns INFO [00012540] * RD COMPARE * port=0 adr=02 act=760725CCFBE83D3115 exp=760725CCFBE83D3115 + 12538.50ns INFO [00012540] Port=0 WR @00=E94D029164F8788C6F + 12538.50ns INFO [00012540] Port=0 RD @04 + 12538.50ns INFO [00012540] Port=1 RD @04 + 12539.50ns INFO [00012541] * RD COMPARE * port=0 adr=00 act=5B5CC8A1CFD1BE1E3D exp=5B5CC8A1CFD1BE1E3D + 12539.50ns INFO [00012541] Port=0 WR @03=83CCB119D3B896C345 + 12540.50ns INFO [00012542] * RD COMPARE * port=0 adr=04 act=64AA589963D55E1E1E exp=64AA589963D55E1E1E + 12540.50ns INFO [00012542] * RD COMPARE * port=1 adr=04 act=64AA589963D55E1E1E exp=64AA589963D55E1E1E + 12540.50ns INFO [00012542] Port=0 WR @02=CC7216AC143BD5FC64 + 12540.50ns INFO [00012542] Port=0 RD @01 + 12541.50ns INFO [00012543] Port=0 RD @00 + 12541.50ns INFO [00012543] Port=1 RD @07 + 12542.50ns INFO [00012544] * RD COMPARE * port=0 adr=01 act=53762E01E3C3027D67 exp=53762E01E3C3027D67 + 12542.50ns INFO [00012544] Port=0 RD @04 + 12543.50ns INFO [00012545] * RD COMPARE * port=0 adr=00 act=E94D029164F8788C6F exp=E94D029164F8788C6F + 12543.50ns INFO [00012545] * RD COMPARE * port=1 adr=07 act=DA941F165A96744830 exp=DA941F165A96744830 + 12543.50ns INFO [00012545] Port=0 WR @07=BB6046C5DE5AF91DFA + 12543.50ns INFO [00012545] Port=0 RD @03 + 12543.50ns INFO [00012545] Port=1 RD @04 + 12544.50ns INFO [00012546] * RD COMPARE * port=0 adr=04 act=64AA589963D55E1E1E exp=64AA589963D55E1E1E + 12544.50ns INFO [00012546] Port=0 RD @06 + 12544.50ns INFO [00012546] Port=1 RD @04 + 12545.50ns INFO [00012547] * RD COMPARE * port=0 adr=03 act=83CCB119D3B896C345 exp=83CCB119D3B896C345 + 12545.50ns INFO [00012547] * RD COMPARE * port=1 adr=04 act=64AA589963D55E1E1E exp=64AA589963D55E1E1E + 12545.50ns INFO [00012547] Port=0 RD @07 + 12546.50ns INFO [00012548] * RD COMPARE * port=0 adr=06 act=5CF768A613334FE706 exp=5CF768A613334FE706 + 12546.50ns INFO [00012548] * RD COMPARE * port=1 adr=04 act=64AA589963D55E1E1E exp=64AA589963D55E1E1E + 12546.50ns INFO [00012548] Port=0 WR @05=38B04508226033A3E6 + 12546.50ns INFO [00012548] Port=0 RD @01 + 12547.50ns INFO [00012549] * RD COMPARE * port=0 adr=07 act=BB6046C5DE5AF91DFA exp=BB6046C5DE5AF91DFA + 12547.50ns INFO [00012549] Port=0 WR @04=C91CE4AA2FAD0AF99B + 12547.50ns INFO [00012549] Port=0 RD @06 + 12548.50ns INFO [00012550] * RD COMPARE * port=0 adr=01 act=53762E01E3C3027D67 exp=53762E01E3C3027D67 + 12548.50ns INFO [00012550] Port=1 RD @05 + 12549.50ns INFO [00012551] * RD COMPARE * port=0 adr=06 act=5CF768A613334FE706 exp=5CF768A613334FE706 + 12549.50ns INFO [00012551] Port=0 RD @01 + 12550.50ns INFO [00012552] * RD COMPARE * port=1 adr=05 act=38B04508226033A3E6 exp=38B04508226033A3E6 + 12550.50ns INFO [00012552] Port=0 RD @02 + 12550.50ns INFO [00012552] Port=1 RD @07 + 12551.50ns INFO [00012553] * RD COMPARE * port=0 adr=01 act=53762E01E3C3027D67 exp=53762E01E3C3027D67 + 12551.50ns INFO [00012553] Port=0 RD @00 + 12551.50ns INFO [00012553] Port=1 RD @00 + 12552.50ns INFO [00012554] * RD COMPARE * port=0 adr=02 act=CC7216AC143BD5FC64 exp=CC7216AC143BD5FC64 + 12552.50ns INFO [00012554] * RD COMPARE * port=1 adr=07 act=BB6046C5DE5AF91DFA exp=BB6046C5DE5AF91DFA + 12552.50ns INFO [00012554] Port=0 WR @03=78B04A37059B571705 + 12552.50ns INFO [00012554] Port=0 RD @02 + 12552.50ns INFO [00012554] Port=1 RD @07 + 12553.50ns INFO [00012555] * RD COMPARE * port=0 adr=00 act=E94D029164F8788C6F exp=E94D029164F8788C6F + 12553.50ns INFO [00012555] * RD COMPARE * port=1 adr=00 act=E94D029164F8788C6F exp=E94D029164F8788C6F + 12553.50ns INFO [00012555] Port=0 WR @05=2CF1F3871D4E90FE71 + 12554.50ns INFO [00012556] * RD COMPARE * port=0 adr=02 act=CC7216AC143BD5FC64 exp=CC7216AC143BD5FC64 + 12554.50ns INFO [00012556] * RD COMPARE * port=1 adr=07 act=BB6046C5DE5AF91DFA exp=BB6046C5DE5AF91DFA + 12554.50ns INFO [00012556] Port=0 WR @01=ED395001C2E1F05D7E + 12556.50ns INFO [00012558] Port=0 WR @06=CC23B54459EAE8A032 + 12556.50ns INFO [00012558] Port=0 RD @01 + 12556.50ns INFO [00012558] Port=1 RD @00 + 12557.50ns INFO [00012559] Port=0 RD @04 + 12558.50ns INFO [00012560] * RD COMPARE * port=0 adr=01 act=ED395001C2E1F05D7E exp=ED395001C2E1F05D7E + 12558.50ns INFO [00012560] * RD COMPARE * port=1 adr=00 act=E94D029164F8788C6F exp=E94D029164F8788C6F + 12558.50ns INFO [00012560] Port=0 WR @00=12F4DC90CCB661683D + 12558.50ns INFO [00012560] Port=0 RD @05 + 12559.50ns INFO [00012561] * RD COMPARE * port=0 adr=04 act=C91CE4AA2FAD0AF99B exp=C91CE4AA2FAD0AF99B + 12560.50ns INFO [00012562] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12560.50ns INFO [00012562] Port=0 RD @05 + 12561.50ns INFO [00012563] Port=0 WR @04=92D70E23575AB02D92 + 12561.50ns INFO [00012563] Port=1 RD @05 + 12562.50ns INFO [00012564] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12562.50ns INFO [00012564] Port=1 RD @00 + 12563.50ns INFO [00012565] * RD COMPARE * port=1 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12563.50ns INFO [00012565] Port=0 RD @01 + 12564.50ns INFO [00012566] * RD COMPARE * port=1 adr=00 act=12F4DC90CCB661683D exp=12F4DC90CCB661683D + 12564.50ns INFO [00012566] Port=0 RD @05 + 12564.50ns INFO [00012566] Port=1 RD @00 + 12565.50ns INFO [00012567] * RD COMPARE * port=0 adr=01 act=ED395001C2E1F05D7E exp=ED395001C2E1F05D7E + 12565.50ns INFO [00012567] Port=0 WR @07=99F316008805F2233F + 12565.50ns INFO [00012567] Port=0 RD @05 + 12566.50ns INFO [00012568] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12566.50ns INFO [00012568] * RD COMPARE * port=1 adr=00 act=12F4DC90CCB661683D exp=12F4DC90CCB661683D + 12567.50ns INFO [00012569] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12567.50ns INFO [00012569] Port=0 WR @00=8A2428710D65D1464D + 12567.50ns INFO [00012569] Port=1 RD @07 + 12568.50ns INFO [00012570] Port=1 RD @05 + 12569.50ns INFO [00012571] * RD COMPARE * port=1 adr=07 act=99F316008805F2233F exp=99F316008805F2233F + 12569.50ns INFO [00012571] Port=0 RD @00 + 12570.50ns INFO [00012572] * RD COMPARE * port=1 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12570.50ns INFO [00012572] Port=0 RD @07 + 12571.50ns INFO [00012573] * RD COMPARE * port=0 adr=00 act=8A2428710D65D1464D exp=8A2428710D65D1464D + 12571.50ns INFO [00012573] Port=0 WR @03=48A2590D86C1E3E41B + 12571.50ns INFO [00012573] Port=0 RD @05 + 12571.50ns INFO [00012573] Port=1 RD @02 + 12572.50ns INFO [00012574] * RD COMPARE * port=0 adr=07 act=99F316008805F2233F exp=99F316008805F2233F + 12572.50ns INFO [00012574] Port=1 RD @00 + 12573.50ns INFO [00012575] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12573.50ns INFO [00012575] * RD COMPARE * port=1 adr=02 act=CC7216AC143BD5FC64 exp=CC7216AC143BD5FC64 + 12573.50ns INFO [00012575] Port=0 RD @07 + 12574.50ns INFO [00012576] * RD COMPARE * port=1 adr=00 act=8A2428710D65D1464D exp=8A2428710D65D1464D + 12574.50ns INFO [00012576] Port=0 WR @00=4187FB33C6B5DE5BD4 + 12575.50ns INFO [00012577] * RD COMPARE * port=0 adr=07 act=99F316008805F2233F exp=99F316008805F2233F + 12575.50ns INFO [00012577] Port=0 WR @01=FE5C60F65E2D53E980 + 12576.50ns INFO [00012578] Port=0 WR @03=F6C3F0ABFB51871F1B + 12576.50ns INFO [00012578] Port=0 RD @00 + 12577.50ns INFO [00012579] Port=0 WR @00=FB0DD9D5D37B5B239D + 12577.50ns INFO [00012579] Port=0 RD @04 + 12578.50ns INFO [00012580] * RD COMPARE * port=0 adr=00 act=4187FB33C6B5DE5BD4 exp=4187FB33C6B5DE5BD4 + 12578.50ns INFO [00012580] Port=0 RD @00 + 12578.50ns INFO [00012580] Port=1 RD @00 + 12579.50ns INFO [00012581] * RD COMPARE * port=0 adr=04 act=92D70E23575AB02D92 exp=92D70E23575AB02D92 + 12579.50ns INFO [00012581] Port=0 RD @03 + 12580.50ns INFO [00012582] * RD COMPARE * port=0 adr=00 act=FB0DD9D5D37B5B239D exp=FB0DD9D5D37B5B239D + 12580.50ns INFO [00012582] * RD COMPARE * port=1 adr=00 act=FB0DD9D5D37B5B239D exp=FB0DD9D5D37B5B239D + 12580.50ns INFO [00012582] Port=1 RD @05 + 12581.50ns INFO [00012583] * RD COMPARE * port=0 adr=03 act=F6C3F0ABFB51871F1B exp=F6C3F0ABFB51871F1B + 12581.50ns INFO [00012583] Port=0 RD @01 + 12582.50ns INFO [00012584] * RD COMPARE * port=1 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12582.50ns INFO [00012584] Port=0 RD @05 + 12583.50ns INFO [00012585] * RD COMPARE * port=0 adr=01 act=FE5C60F65E2D53E980 exp=FE5C60F65E2D53E980 + 12584.50ns INFO [00012586] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12584.50ns INFO [00012586] Port=0 RD @06 + 12584.50ns INFO [00012586] Port=1 RD @05 + 12586.50ns INFO [00012588] * RD COMPARE * port=0 adr=06 act=CC23B54459EAE8A032 exp=CC23B54459EAE8A032 + 12586.50ns INFO [00012588] * RD COMPARE * port=1 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12586.50ns INFO [00012588] Port=0 RD @03 + 12587.50ns INFO [00012589] Port=0 WR @06=3539FBF496B4A30FCD + 12587.50ns INFO [00012589] Port=0 RD @04 + 12588.50ns INFO [00012590] * RD COMPARE * port=0 adr=03 act=F6C3F0ABFB51871F1B exp=F6C3F0ABFB51871F1B + 12588.50ns INFO [00012590] Port=0 WR @01=6BD19E79FF15920755 + 12589.50ns INFO [00012591] * RD COMPARE * port=0 adr=04 act=92D70E23575AB02D92 exp=92D70E23575AB02D92 + 12591.50ns INFO [00012593] Port=0 WR @03=94389B04648A091662 + 12591.50ns INFO [00012593] Port=1 RD @06 + 12592.50ns INFO [00012594] Port=0 RD @03 + 12593.50ns INFO [00012595] * RD COMPARE * port=1 adr=06 act=3539FBF496B4A30FCD exp=3539FBF496B4A30FCD + 12593.50ns INFO [00012595] Port=0 RD @07 + 12594.50ns INFO [00012596] * RD COMPARE * port=0 adr=03 act=94389B04648A091662 exp=94389B04648A091662 + 12594.50ns INFO [00012596] Port=0 WR @02=B98DBD349F7A26F472 + 12595.50ns INFO [00012597] * RD COMPARE * port=0 adr=07 act=99F316008805F2233F exp=99F316008805F2233F + 12595.50ns INFO [00012597] Port=0 RD @06 + 12596.50ns INFO [00012598] Port=0 RD @05 + 12596.50ns INFO [00012598] Port=1 RD @02 + 12597.50ns INFO [00012599] * RD COMPARE * port=0 adr=06 act=3539FBF496B4A30FCD exp=3539FBF496B4A30FCD + 12597.50ns INFO [00012599] Port=0 WR @06=DBA1AC55240F0F0C96 + 12597.50ns INFO [00012599] Port=0 RD @07 + 12597.50ns INFO [00012599] Port=1 RD @05 + 12598.00ns INFO [00012600] [00012600] ...tick... + 12598.50ns INFO [00012600] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12598.50ns INFO [00012600] * RD COMPARE * port=1 adr=02 act=B98DBD349F7A26F472 exp=B98DBD349F7A26F472 + 12598.50ns INFO [00012600] Port=1 RD @04 + 12599.50ns INFO [00012601] * RD COMPARE * port=0 adr=07 act=99F316008805F2233F exp=99F316008805F2233F + 12599.50ns INFO [00012601] * RD COMPARE * port=1 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12599.50ns INFO [00012601] Port=1 RD @04 + 12600.50ns INFO [00012602] * RD COMPARE * port=1 adr=04 act=92D70E23575AB02D92 exp=92D70E23575AB02D92 + 12600.50ns INFO [00012602] Port=1 RD @03 + 12601.50ns INFO [00012603] * RD COMPARE * port=1 adr=04 act=92D70E23575AB02D92 exp=92D70E23575AB02D92 + 12602.50ns INFO [00012604] * RD COMPARE * port=1 adr=03 act=94389B04648A091662 exp=94389B04648A091662 + 12602.50ns INFO [00012604] Port=0 WR @06=CAC73F0C4D4F8A0A2D + 12604.50ns INFO [00012606] Port=0 WR @06=EC216B78F7AA9B9451 + 12604.50ns INFO [00012606] Port=0 RD @05 + 12605.50ns INFO [00012607] Port=0 RD @00 + 12606.50ns INFO [00012608] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12606.50ns INFO [00012608] Port=0 RD @04 + 12607.50ns INFO [00012609] * RD COMPARE * port=0 adr=00 act=FB0DD9D5D37B5B239D exp=FB0DD9D5D37B5B239D + 12607.50ns INFO [00012609] Port=0 WR @04=9A3F2638B8D6BD3EF9 + 12608.50ns INFO [00012610] * RD COMPARE * port=0 adr=04 act=92D70E23575AB02D92 exp=92D70E23575AB02D92 + 12608.50ns INFO [00012610] Port=0 RD @02 + 12610.50ns INFO [00012612] * RD COMPARE * port=0 adr=02 act=B98DBD349F7A26F472 exp=B98DBD349F7A26F472 + 12610.50ns INFO [00012612] Port=1 RD @04 + 12611.50ns INFO [00012613] Port=0 WR @00=88B49D63206A2983E5 + 12611.50ns INFO [00012613] Port=0 RD @04 + 12612.50ns INFO [00012614] * RD COMPARE * port=1 adr=04 act=9A3F2638B8D6BD3EF9 exp=9A3F2638B8D6BD3EF9 + 12613.50ns INFO [00012615] * RD COMPARE * port=0 adr=04 act=9A3F2638B8D6BD3EF9 exp=9A3F2638B8D6BD3EF9 + 12613.50ns INFO [00012615] Port=0 WR @01=2515A082E53586303F + 12613.50ns INFO [00012615] Port=1 RD @05 + 12614.50ns INFO [00012616] Port=0 WR @03=9CD9A922E45DA8ED5A + 12614.50ns INFO [00012616] Port=1 RD @01 + 12615.50ns INFO [00012617] * RD COMPARE * port=1 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12616.50ns INFO [00012618] * RD COMPARE * port=1 adr=01 act=2515A082E53586303F exp=2515A082E53586303F + 12616.50ns INFO [00012618] Port=0 RD @05 + 12618.50ns INFO [00012620] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12619.50ns INFO [00012621] Port=0 WR @01=CB54B3641EE0C5176A + 12622.50ns INFO [00012624] Port=0 WR @04=19D68BCA66B73010D4 + 12622.50ns INFO [00012624] Port=0 RD @00 + 12623.50ns INFO [00012625] Port=0 RD @04 + 12623.50ns INFO [00012625] Port=1 RD @03 + 12624.50ns INFO [00012626] * RD COMPARE * port=0 adr=00 act=88B49D63206A2983E5 exp=88B49D63206A2983E5 + 12624.50ns INFO [00012626] Port=0 WR @00=EE7DBF2917F3DFEC94 + 12624.50ns INFO [00012626] Port=0 RD @07 + 12625.50ns INFO [00012627] * RD COMPARE * port=0 adr=04 act=19D68BCA66B73010D4 exp=19D68BCA66B73010D4 + 12625.50ns INFO [00012627] * RD COMPARE * port=1 adr=03 act=9CD9A922E45DA8ED5A exp=9CD9A922E45DA8ED5A + 12625.50ns INFO [00012627] Port=0 WR @07=945A4232005AEEF158 + 12625.50ns INFO [00012627] Port=1 RD @00 + 12626.50ns INFO [00012628] * RD COMPARE * port=0 adr=07 act=99F316008805F2233F exp=99F316008805F2233F + 12626.50ns INFO [00012628] Port=1 RD @03 + 12627.50ns INFO [00012629] * RD COMPARE * port=1 adr=00 act=EE7DBF2917F3DFEC94 exp=EE7DBF2917F3DFEC94 + 12627.50ns INFO [00012629] Port=0 RD @07 + 12628.50ns INFO [00012630] * RD COMPARE * port=1 adr=03 act=9CD9A922E45DA8ED5A exp=9CD9A922E45DA8ED5A + 12628.50ns INFO [00012630] Port=0 WR @06=49476C62D5261382AF + 12629.50ns INFO [00012631] * RD COMPARE * port=0 adr=07 act=945A4232005AEEF158 exp=945A4232005AEEF158 + 12629.50ns INFO [00012631] Port=0 WR @02=562CACD3C4D43CF185 + 12630.50ns INFO [00012632] Port=0 WR @01=76E875F196C3BA7D6D + 12630.50ns INFO [00012632] Port=0 RD @02 + 12630.50ns INFO [00012632] Port=1 RD @06 + 12631.50ns INFO [00012633] Port=0 WR @00=B6E985E728BFB0F959 + 12631.50ns INFO [00012633] Port=0 RD @06 + 12632.50ns INFO [00012634] * RD COMPARE * port=0 adr=02 act=562CACD3C4D43CF185 exp=562CACD3C4D43CF185 + 12632.50ns INFO [00012634] * RD COMPARE * port=1 adr=06 act=49476C62D5261382AF exp=49476C62D5261382AF + 12632.50ns INFO [00012634] Port=0 RD @01 + 12632.50ns INFO [00012634] Port=1 RD @07 + 12633.50ns INFO [00012635] * RD COMPARE * port=0 adr=06 act=49476C62D5261382AF exp=49476C62D5261382AF + 12633.50ns INFO [00012635] Port=0 RD @05 + 12633.50ns INFO [00012635] Port=1 RD @07 + 12634.50ns INFO [00012636] * RD COMPARE * port=0 adr=01 act=76E875F196C3BA7D6D exp=76E875F196C3BA7D6D + 12634.50ns INFO [00012636] * RD COMPARE * port=1 adr=07 act=945A4232005AEEF158 exp=945A4232005AEEF158 + 12635.50ns INFO [00012637] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12635.50ns INFO [00012637] * RD COMPARE * port=1 adr=07 act=945A4232005AEEF158 exp=945A4232005AEEF158 + 12635.50ns INFO [00012637] Port=0 WR @03=BB639A65499793DA1F + 12636.50ns INFO [00012638] Port=0 WR @00=C25DF4BD7856D20873 + 12637.50ns INFO [00012639] Port=0 WR @07=19490DC26DBD89A60A + 12637.50ns INFO [00012639] Port=1 RD @04 + 12638.50ns INFO [00012640] Port=0 RD @03 + 12639.50ns INFO [00012641] * RD COMPARE * port=1 adr=04 act=19D68BCA66B73010D4 exp=19D68BCA66B73010D4 + 12639.50ns INFO [00012641] Port=0 WR @04=DB92127CCD68908157 + 12639.50ns INFO [00012641] Port=0 RD @06 + 12640.50ns INFO [00012642] * RD COMPARE * port=0 adr=03 act=BB639A65499793DA1F exp=BB639A65499793DA1F + 12640.50ns INFO [00012642] Port=1 RD @00 + 12641.50ns INFO [00012643] * RD COMPARE * port=0 adr=06 act=49476C62D5261382AF exp=49476C62D5261382AF + 12641.50ns INFO [00012643] Port=0 RD @05 + 12641.50ns INFO [00012643] Port=1 RD @04 + 12642.50ns INFO [00012644] * RD COMPARE * port=1 adr=00 act=C25DF4BD7856D20873 exp=C25DF4BD7856D20873 + 12642.50ns INFO [00012644] Port=1 RD @05 + 12643.50ns INFO [00012645] * RD COMPARE * port=0 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12643.50ns INFO [00012645] * RD COMPARE * port=1 adr=04 act=DB92127CCD68908157 exp=DB92127CCD68908157 + 12643.50ns INFO [00012645] Port=0 WR @00=0357DC21E0D06FA79B + 12644.50ns INFO [00012646] * RD COMPARE * port=1 adr=05 act=2CF1F3871D4E90FE71 exp=2CF1F3871D4E90FE71 + 12644.50ns INFO [00012646] Port=0 RD @06 + 12645.50ns INFO [00012647] Port=1 RD @03 + 12646.50ns INFO [00012648] * RD COMPARE * port=0 adr=06 act=49476C62D5261382AF exp=49476C62D5261382AF + 12646.50ns INFO [00012648] Port=0 WR @01=88B0F11EBB6DC79A62 + 12646.50ns INFO [00012648] Port=0 RD @04 + 12647.50ns INFO [00012649] * RD COMPARE * port=1 adr=03 act=BB639A65499793DA1F exp=BB639A65499793DA1F + 12647.50ns INFO [00012649] Port=0 RD @04 + 12647.50ns INFO [00012649] Port=1 RD @04 + 12648.50ns INFO [00012650] * RD COMPARE * port=0 adr=04 act=DB92127CCD68908157 exp=DB92127CCD68908157 + 12648.50ns INFO [00012650] Port=0 WR @05=2878FF779D86B3816A + 12649.50ns INFO [00012651] * RD COMPARE * port=0 adr=04 act=DB92127CCD68908157 exp=DB92127CCD68908157 + 12649.50ns INFO [00012651] * RD COMPARE * port=1 adr=04 act=DB92127CCD68908157 exp=DB92127CCD68908157 + 12649.50ns INFO [00012651] Port=0 WR @04=F7E311385781627A4E + 12649.50ns INFO [00012651] Port=0 RD @07 + 12650.50ns INFO [00012652] Port=1 RD @02 + 12651.50ns INFO [00012653] * RD COMPARE * port=0 adr=07 act=19490DC26DBD89A60A exp=19490DC26DBD89A60A + 12651.50ns INFO [00012653] Port=0 RD @06 + 12651.50ns INFO [00012653] Port=1 RD @04 + 12652.50ns INFO [00012654] * RD COMPARE * port=1 adr=02 act=562CACD3C4D43CF185 exp=562CACD3C4D43CF185 + 12652.50ns INFO [00012654] Port=1 RD @00 + 12653.50ns INFO [00012655] * RD COMPARE * port=0 adr=06 act=49476C62D5261382AF exp=49476C62D5261382AF + 12653.50ns INFO [00012655] * RD COMPARE * port=1 adr=04 act=F7E311385781627A4E exp=F7E311385781627A4E + 12653.50ns INFO [00012655] Port=1 RD @02 + 12654.50ns INFO [00012656] * RD COMPARE * port=1 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12654.50ns INFO [00012656] Port=0 RD @05 + 12655.50ns INFO [00012657] * RD COMPARE * port=1 adr=02 act=562CACD3C4D43CF185 exp=562CACD3C4D43CF185 + 12655.50ns INFO [00012657] Port=1 RD @07 + 12656.50ns INFO [00012658] * RD COMPARE * port=0 adr=05 act=2878FF779D86B3816A exp=2878FF779D86B3816A + 12657.50ns INFO [00012659] * RD COMPARE * port=1 adr=07 act=19490DC26DBD89A60A exp=19490DC26DBD89A60A + 12657.50ns INFO [00012659] Port=0 RD @03 + 12658.50ns INFO [00012660] Port=0 WR @02=90747C9C055D0B7801 + 12659.50ns INFO [00012661] * RD COMPARE * port=0 adr=03 act=BB639A65499793DA1F exp=BB639A65499793DA1F + 12659.50ns INFO [00012661] Port=1 RD @04 + 12660.50ns INFO [00012662] Port=0 WR @03=D495738DFB8ACBB245 + 12660.50ns INFO [00012662] Port=1 RD @06 + 12661.50ns INFO [00012663] * RD COMPARE * port=1 adr=04 act=F7E311385781627A4E exp=F7E311385781627A4E + 12662.50ns INFO [00012664] * RD COMPARE * port=1 adr=06 act=49476C62D5261382AF exp=49476C62D5261382AF + 12663.50ns INFO [00012665] Port=1 RD @06 + 12664.50ns INFO [00012666] Port=0 RD @04 + 12665.50ns INFO [00012667] * RD COMPARE * port=1 adr=06 act=49476C62D5261382AF exp=49476C62D5261382AF + 12665.50ns INFO [00012667] Port=0 RD @03 + 12666.50ns INFO [00012668] * RD COMPARE * port=0 adr=04 act=F7E311385781627A4E exp=F7E311385781627A4E + 12666.50ns INFO [00012668] Port=0 WR @05=3B506F728879C7364E + 12667.50ns INFO [00012669] * RD COMPARE * port=0 adr=03 act=D495738DFB8ACBB245 exp=D495738DFB8ACBB245 + 12667.50ns INFO [00012669] Port=0 RD @06 + 12668.50ns INFO [00012670] Port=0 WR @02=F1B8864BA64CF58BD1 + 12669.50ns INFO [00012671] * RD COMPARE * port=0 adr=06 act=49476C62D5261382AF exp=49476C62D5261382AF + 12669.50ns INFO [00012671] Port=0 WR @05=3673E956924333BC3C + 12670.50ns INFO [00012672] Port=1 RD @00 + 12672.50ns INFO [00012674] * RD COMPARE * port=1 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12672.50ns INFO [00012674] Port=0 RD @06 + 12672.50ns INFO [00012674] Port=1 RD @07 + 12673.50ns INFO [00012675] Port=0 RD @00 + 12674.50ns INFO [00012676] * RD COMPARE * port=0 adr=06 act=49476C62D5261382AF exp=49476C62D5261382AF + 12674.50ns INFO [00012676] * RD COMPARE * port=1 adr=07 act=19490DC26DBD89A60A exp=19490DC26DBD89A60A + 12674.50ns INFO [00012676] Port=0 RD @01 + 12675.50ns INFO [00012677] * RD COMPARE * port=0 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12676.50ns INFO [00012678] * RD COMPARE * port=0 adr=01 act=88B0F11EBB6DC79A62 exp=88B0F11EBB6DC79A62 + 12676.50ns INFO [00012678] Port=0 WR @01=AD41C53CFBB9243C15 + 12676.50ns INFO [00012678] Port=0 RD @07 + 12676.50ns INFO [00012678] Port=1 RD @07 + 12677.50ns INFO [00012679] Port=0 RD @07 + 12678.50ns INFO [00012680] * RD COMPARE * port=0 adr=07 act=19490DC26DBD89A60A exp=19490DC26DBD89A60A + 12678.50ns INFO [00012680] * RD COMPARE * port=1 adr=07 act=19490DC26DBD89A60A exp=19490DC26DBD89A60A + 12678.50ns INFO [00012680] Port=1 RD @07 + 12679.50ns INFO [00012681] * RD COMPARE * port=0 adr=07 act=19490DC26DBD89A60A exp=19490DC26DBD89A60A + 12680.50ns INFO [00012682] * RD COMPARE * port=1 adr=07 act=19490DC26DBD89A60A exp=19490DC26DBD89A60A + 12680.50ns INFO [00012682] Port=0 WR @01=D82E90F4A0C6C65E87 + 12680.50ns INFO [00012682] Port=0 RD @00 + 12680.50ns INFO [00012682] Port=1 RD @03 + 12682.50ns INFO [00012684] * RD COMPARE * port=0 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12682.50ns INFO [00012684] * RD COMPARE * port=1 adr=03 act=D495738DFB8ACBB245 exp=D495738DFB8ACBB245 + 12682.50ns INFO [00012684] Port=0 WR @01=0C243CB8E5C8508732 + 12682.50ns INFO [00012684] Port=0 RD @00 + 12682.50ns INFO [00012684] Port=1 RD @07 + 12683.50ns INFO [00012685] Port=0 WR @04=106828B08D049B130E + 12684.50ns INFO [00012686] * RD COMPARE * port=0 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12684.50ns INFO [00012686] * RD COMPARE * port=1 adr=07 act=19490DC26DBD89A60A exp=19490DC26DBD89A60A + 12684.50ns INFO [00012686] Port=0 RD @04 + 12685.50ns INFO [00012687] Port=0 RD @05 + 12685.50ns INFO [00012687] Port=1 RD @00 + 12686.50ns INFO [00012688] * RD COMPARE * port=0 adr=04 act=106828B08D049B130E exp=106828B08D049B130E + 12686.50ns INFO [00012688] Port=1 RD @02 + 12687.50ns INFO [00012689] * RD COMPARE * port=0 adr=05 act=3673E956924333BC3C exp=3673E956924333BC3C + 12687.50ns INFO [00012689] * RD COMPARE * port=1 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12687.50ns INFO [00012689] Port=1 RD @04 + 12688.50ns INFO [00012690] * RD COMPARE * port=1 adr=02 act=F1B8864BA64CF58BD1 exp=F1B8864BA64CF58BD1 + 12689.50ns INFO [00012691] * RD COMPARE * port=1 adr=04 act=106828B08D049B130E exp=106828B08D049B130E + 12689.50ns INFO [00012691] Port=0 WR @03=8FFBB5C21B0BB4C382 + 12689.50ns INFO [00012691] Port=0 RD @07 + 12690.50ns INFO [00012692] Port=0 WR @03=7564EB7D2D101107A0 + 12690.50ns INFO [00012692] Port=1 RD @04 + 12691.50ns INFO [00012693] * RD COMPARE * port=0 adr=07 act=19490DC26DBD89A60A exp=19490DC26DBD89A60A + 12691.50ns INFO [00012693] Port=0 WR @07=FAA0CDFB8108957CCB + 12692.50ns INFO [00012694] * RD COMPARE * port=1 adr=04 act=106828B08D049B130E exp=106828B08D049B130E + 12692.50ns INFO [00012694] Port=0 WR @02=2D240D29394222AE35 + 12692.50ns INFO [00012694] Port=0 RD @06 + 12692.50ns INFO [00012694] Port=1 RD @07 + 12693.50ns INFO [00012695] Port=0 WR @06=973961355FE77BD31B + 12693.50ns INFO [00012695] Port=0 RD @02 + 12694.50ns INFO [00012696] * RD COMPARE * port=0 adr=06 act=49476C62D5261382AF exp=49476C62D5261382AF + 12694.50ns INFO [00012696] * RD COMPARE * port=1 adr=07 act=FAA0CDFB8108957CCB exp=FAA0CDFB8108957CCB + 12694.50ns INFO [00012696] Port=0 WR @01=8CB294D9219D8329D4 + 12694.50ns INFO [00012696] Port=0 RD @03 + 12695.50ns INFO [00012697] * RD COMPARE * port=0 adr=02 act=2D240D29394222AE35 exp=2D240D29394222AE35 + 12695.50ns INFO [00012697] Port=0 RD @06 + 12695.50ns INFO [00012697] Port=1 RD @07 + 12696.50ns INFO [00012698] * RD COMPARE * port=0 adr=03 act=7564EB7D2D101107A0 exp=7564EB7D2D101107A0 + 12696.50ns INFO [00012698] Port=0 WR @05=537D496AC6F2A93F84 + 12697.50ns INFO [00012699] * RD COMPARE * port=0 adr=06 act=973961355FE77BD31B exp=973961355FE77BD31B + 12697.50ns INFO [00012699] * RD COMPARE * port=1 adr=07 act=FAA0CDFB8108957CCB exp=FAA0CDFB8108957CCB + 12698.00ns INFO [00012700] [00012700] ...tick... + 12698.50ns INFO [00012700] Port=0 RD @03 + 12699.50ns INFO [00012701] Port=0 WR @06=A3E728CD74EE36D48D + 12700.50ns INFO [00012702] * RD COMPARE * port=0 adr=03 act=7564EB7D2D101107A0 exp=7564EB7D2D101107A0 + 12700.50ns INFO [00012702] Port=0 RD @00 + 12702.50ns INFO [00012704] * RD COMPARE * port=0 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12702.50ns INFO [00012704] Port=0 WR @05=1E6DB7397852879E01 + 12702.50ns INFO [00012704] Port=1 RD @00 + 12703.50ns INFO [00012705] Port=1 RD @05 + 12704.50ns INFO [00012706] * RD COMPARE * port=1 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12704.50ns INFO [00012706] Port=0 RD @05 + 12704.50ns INFO [00012706] Port=1 RD @04 + 12705.50ns INFO [00012707] * RD COMPARE * port=1 adr=05 act=1E6DB7397852879E01 exp=1E6DB7397852879E01 + 12705.50ns INFO [00012707] Port=0 RD @04 + 12705.50ns INFO [00012707] Port=1 RD @04 + 12706.50ns INFO [00012708] * RD COMPARE * port=0 adr=05 act=1E6DB7397852879E01 exp=1E6DB7397852879E01 + 12706.50ns INFO [00012708] * RD COMPARE * port=1 adr=04 act=106828B08D049B130E exp=106828B08D049B130E + 12706.50ns INFO [00012708] Port=0 WR @07=46BD5CC443036C8D0C + 12706.50ns INFO [00012708] Port=1 RD @00 + 12707.50ns INFO [00012709] * RD COMPARE * port=0 adr=04 act=106828B08D049B130E exp=106828B08D049B130E + 12707.50ns INFO [00012709] * RD COMPARE * port=1 adr=04 act=106828B08D049B130E exp=106828B08D049B130E + 12707.50ns INFO [00012709] Port=0 WR @05=4115E971C662F3B7EB + 12707.50ns INFO [00012709] Port=0 RD @00 + 12707.50ns INFO [00012709] Port=1 RD @03 + 12708.50ns INFO [00012710] * RD COMPARE * port=1 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12708.50ns INFO [00012710] Port=0 WR @02=F2D7AF91788CA13338 + 12708.50ns INFO [00012710] Port=0 RD @04 + 12708.50ns INFO [00012710] Port=1 RD @04 + 12709.50ns INFO [00012711] * RD COMPARE * port=0 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12709.50ns INFO [00012711] * RD COMPARE * port=1 adr=03 act=7564EB7D2D101107A0 exp=7564EB7D2D101107A0 + 12709.50ns INFO [00012711] Port=0 WR @07=2D12143FD0611C8B77 + 12709.50ns INFO [00012711] Port=1 RD @00 + 12710.50ns INFO [00012712] * RD COMPARE * port=0 adr=04 act=106828B08D049B130E exp=106828B08D049B130E + 12710.50ns INFO [00012712] * RD COMPARE * port=1 adr=04 act=106828B08D049B130E exp=106828B08D049B130E + 12710.50ns INFO [00012712] Port=0 WR @02=B6B1DDACB4E6C3D8A2 + 12710.50ns INFO [00012712] Port=0 RD @05 + 12711.50ns INFO [00012713] * RD COMPARE * port=1 adr=00 act=0357DC21E0D06FA79B exp=0357DC21E0D06FA79B + 12711.50ns INFO [00012713] Port=0 WR @06=4CE509EAA548B925A6 + 12711.50ns INFO [00012713] Port=0 RD @01 + 12712.50ns INFO [00012714] * RD COMPARE * port=0 adr=05 act=4115E971C662F3B7EB exp=4115E971C662F3B7EB + 12712.50ns INFO [00012714] Port=1 RD @07 + 12713.50ns INFO [00012715] * RD COMPARE * port=0 adr=01 act=8CB294D9219D8329D4 exp=8CB294D9219D8329D4 + 12713.50ns INFO [00012715] Port=0 RD @05 + 12714.50ns INFO [00012716] * RD COMPARE * port=1 adr=07 act=2D12143FD0611C8B77 exp=2D12143FD0611C8B77 + 12714.50ns INFO [00012716] Port=1 RD @01 + 12715.50ns INFO [00012717] * RD COMPARE * port=0 adr=05 act=4115E971C662F3B7EB exp=4115E971C662F3B7EB + 12715.50ns INFO [00012717] Port=0 WR @00=619671195D9D0D87B0 + 12715.50ns INFO [00012717] Port=0 RD @07 + 12715.50ns INFO [00012717] Port=1 RD @06 + 12716.50ns INFO [00012718] * RD COMPARE * port=1 adr=01 act=8CB294D9219D8329D4 exp=8CB294D9219D8329D4 + 12716.50ns INFO [00012718] Port=0 RD @00 + 12717.50ns INFO [00012719] * RD COMPARE * port=0 adr=07 act=2D12143FD0611C8B77 exp=2D12143FD0611C8B77 + 12717.50ns INFO [00012719] * RD COMPARE * port=1 adr=06 act=4CE509EAA548B925A6 exp=4CE509EAA548B925A6 + 12717.50ns INFO [00012719] Port=0 WR @05=05C9E51ECB2F21C996 + 12717.50ns INFO [00012719] Port=1 RD @00 + 12718.50ns INFO [00012720] * RD COMPARE * port=0 adr=00 act=619671195D9D0D87B0 exp=619671195D9D0D87B0 + 12719.50ns INFO [00012721] * RD COMPARE * port=1 adr=00 act=619671195D9D0D87B0 exp=619671195D9D0D87B0 + 12719.50ns INFO [00012721] Port=1 RD @01 + 12720.50ns INFO [00012722] Port=0 RD @01 + 12721.50ns INFO [00012723] * RD COMPARE * port=1 adr=01 act=8CB294D9219D8329D4 exp=8CB294D9219D8329D4 + 12721.50ns INFO [00012723] Port=1 RD @02 + 12722.50ns INFO [00012724] * RD COMPARE * port=0 adr=01 act=8CB294D9219D8329D4 exp=8CB294D9219D8329D4 + 12722.50ns INFO [00012724] Port=0 WR @05=D60ED1731A3368612E + 12723.50ns INFO [00012725] * RD COMPARE * port=1 adr=02 act=B6B1DDACB4E6C3D8A2 exp=B6B1DDACB4E6C3D8A2 + 12723.50ns INFO [00012725] Port=0 RD @01 + 12723.50ns INFO [00012725] Port=1 RD @02 + 12725.50ns INFO [00012727] * RD COMPARE * port=0 adr=01 act=8CB294D9219D8329D4 exp=8CB294D9219D8329D4 + 12725.50ns INFO [00012727] * RD COMPARE * port=1 adr=02 act=B6B1DDACB4E6C3D8A2 exp=B6B1DDACB4E6C3D8A2 + 12725.50ns INFO [00012727] Port=0 WR @06=B863229ED0F98ABDFC + 12726.50ns INFO [00012728] Port=1 RD @05 + 12727.50ns INFO [00012729] Port=1 RD @02 + 12728.50ns INFO [00012730] * RD COMPARE * port=1 adr=05 act=D60ED1731A3368612E exp=D60ED1731A3368612E + 12728.50ns INFO [00012730] Port=1 RD @00 + 12729.50ns INFO [00012731] * RD COMPARE * port=1 adr=02 act=B6B1DDACB4E6C3D8A2 exp=B6B1DDACB4E6C3D8A2 + 12729.50ns INFO [00012731] Port=0 WR @04=50283EE2BB14DB8992 + 12729.50ns INFO [00012731] Port=0 RD @03 + 12730.50ns INFO [00012732] * RD COMPARE * port=1 adr=00 act=619671195D9D0D87B0 exp=619671195D9D0D87B0 + 12730.50ns INFO [00012732] Port=0 WR @02=8A86524FBE68DBEF75 + 12731.50ns INFO [00012733] * RD COMPARE * port=0 adr=03 act=7564EB7D2D101107A0 exp=7564EB7D2D101107A0 + 12731.50ns INFO [00012733] Port=0 WR @01=480D62EEB4573F208D + 12731.50ns INFO [00012733] Port=0 RD @04 + 12732.50ns INFO [00012734] Port=0 RD @01 + 12733.50ns INFO [00012735] * RD COMPARE * port=0 adr=04 act=50283EE2BB14DB8992 exp=50283EE2BB14DB8992 + 12733.50ns INFO [00012735] Port=0 RD @04 + 12734.50ns INFO [00012736] * RD COMPARE * port=0 adr=01 act=480D62EEB4573F208D exp=480D62EEB4573F208D + 12734.50ns INFO [00012736] Port=0 WR @00=AF3FC59DAAFB7E5868 + 12734.50ns INFO [00012736] Port=1 RD @01 + 12735.50ns INFO [00012737] * RD COMPARE * port=0 adr=04 act=50283EE2BB14DB8992 exp=50283EE2BB14DB8992 + 12735.50ns INFO [00012737] Port=1 RD @05 + 12736.50ns INFO [00012738] * RD COMPARE * port=1 adr=01 act=480D62EEB4573F208D exp=480D62EEB4573F208D + 12736.50ns INFO [00012738] Port=0 RD @01 + 12737.50ns INFO [00012739] * RD COMPARE * port=1 adr=05 act=D60ED1731A3368612E exp=D60ED1731A3368612E + 12737.50ns INFO [00012739] Port=0 WR @02=23CE1D3A71A2125559 + 12737.50ns INFO [00012739] Port=1 RD @06 + 12738.50ns INFO [00012740] * RD COMPARE * port=0 adr=01 act=480D62EEB4573F208D exp=480D62EEB4573F208D + 12738.50ns INFO [00012740] Port=0 WR @05=F0E68F3D35523CEA5C + 12738.50ns INFO [00012740] Port=1 RD @06 + 12739.50ns INFO [00012741] * RD COMPARE * port=1 adr=06 act=B863229ED0F98ABDFC exp=B863229ED0F98ABDFC + 12739.50ns INFO [00012741] Port=0 RD @01 + 12739.50ns INFO [00012741] Port=1 RD @03 + 12740.50ns INFO [00012742] * RD COMPARE * port=1 adr=06 act=B863229ED0F98ABDFC exp=B863229ED0F98ABDFC + 12740.50ns INFO [00012742] Port=1 RD @03 + 12741.50ns INFO [00012743] * RD COMPARE * port=0 adr=01 act=480D62EEB4573F208D exp=480D62EEB4573F208D + 12741.50ns INFO [00012743] * RD COMPARE * port=1 adr=03 act=7564EB7D2D101107A0 exp=7564EB7D2D101107A0 + 12742.50ns INFO [00012744] * RD COMPARE * port=1 adr=03 act=7564EB7D2D101107A0 exp=7564EB7D2D101107A0 + 12742.50ns INFO [00012744] Port=0 WR @00=B611CC0C122A37FB1F + 12743.50ns INFO [00012745] Port=0 WR @01=FA545A760A80DF3727 + 12743.50ns INFO [00012745] Port=1 RD @05 + 12744.50ns INFO [00012746] Port=0 RD @02 + 12744.50ns INFO [00012746] Port=1 RD @05 + 12745.50ns INFO [00012747] * RD COMPARE * port=1 adr=05 act=F0E68F3D35523CEA5C exp=F0E68F3D35523CEA5C + 12745.50ns INFO [00012747] Port=0 RD @03 + 12745.50ns INFO [00012747] Port=1 RD @04 + 12746.50ns INFO [00012748] * RD COMPARE * port=0 adr=02 act=23CE1D3A71A2125559 exp=23CE1D3A71A2125559 + 12746.50ns INFO [00012748] * RD COMPARE * port=1 adr=05 act=F0E68F3D35523CEA5C exp=F0E68F3D35523CEA5C + 12746.50ns INFO [00012748] Port=0 RD @04 + 12747.50ns INFO [00012749] * RD COMPARE * port=0 adr=03 act=7564EB7D2D101107A0 exp=7564EB7D2D101107A0 + 12747.50ns INFO [00012749] * RD COMPARE * port=1 adr=04 act=50283EE2BB14DB8992 exp=50283EE2BB14DB8992 + 12747.50ns INFO [00012749] Port=0 WR @07=F9D519AEE6EFE04E3E + 12747.50ns INFO [00012749] Port=0 RD @02 + 12748.50ns INFO [00012750] * RD COMPARE * port=0 adr=04 act=50283EE2BB14DB8992 exp=50283EE2BB14DB8992 + 12748.50ns INFO [00012750] Port=0 WR @03=F210917F43CB9A047A + 12748.50ns INFO [00012750] Port=1 RD @04 + 12749.50ns INFO [00012751] * RD COMPARE * port=0 adr=02 act=23CE1D3A71A2125559 exp=23CE1D3A71A2125559 + 12750.50ns INFO [00012752] * RD COMPARE * port=1 adr=04 act=50283EE2BB14DB8992 exp=50283EE2BB14DB8992 + 12750.50ns INFO [00012752] Port=1 RD @04 + 12751.50ns INFO [00012753] Port=1 RD @06 + 12752.50ns INFO [00012754] * RD COMPARE * port=1 adr=04 act=50283EE2BB14DB8992 exp=50283EE2BB14DB8992 + 12752.50ns INFO [00012754] Port=0 RD @06 + 12753.50ns INFO [00012755] * RD COMPARE * port=1 adr=06 act=B863229ED0F98ABDFC exp=B863229ED0F98ABDFC + 12753.50ns INFO [00012755] Port=0 WR @01=248E8DC679D4B8E3C8 + 12753.50ns INFO [00012755] Port=0 RD @06 + 12754.50ns INFO [00012756] * RD COMPARE * port=0 adr=06 act=B863229ED0F98ABDFC exp=B863229ED0F98ABDFC + 12755.50ns INFO [00012757] * RD COMPARE * port=0 adr=06 act=B863229ED0F98ABDFC exp=B863229ED0F98ABDFC + 12755.50ns INFO [00012757] Port=0 WR @06=F8A1336FBC13B3121B + 12757.50ns INFO [00012759] Port=1 RD @03 + 12758.50ns INFO [00012760] Port=0 RD @01 + 12759.50ns INFO [00012761] * RD COMPARE * port=1 adr=03 act=F210917F43CB9A047A exp=F210917F43CB9A047A + 12759.50ns INFO [00012761] Port=0 RD @00 + 12759.50ns INFO [00012761] Port=1 RD @03 + 12760.50ns INFO [00012762] * RD COMPARE * port=0 adr=01 act=248E8DC679D4B8E3C8 exp=248E8DC679D4B8E3C8 + 12761.50ns INFO [00012763] * RD COMPARE * port=0 adr=00 act=B611CC0C122A37FB1F exp=B611CC0C122A37FB1F + 12761.50ns INFO [00012763] * RD COMPARE * port=1 adr=03 act=F210917F43CB9A047A exp=F210917F43CB9A047A + 12761.50ns INFO [00012763] Port=0 WR @02=C6CCB73C22FFEC10FE + 12761.50ns INFO [00012763] Port=0 RD @01 + 12761.50ns INFO [00012763] Port=1 RD @04 + 12762.50ns INFO [00012764] Port=0 WR @02=F1283479DD866ED9C5 + 12762.50ns INFO [00012764] Port=0 RD @04 + 12762.50ns INFO [00012764] Port=1 RD @01 + 12763.50ns INFO [00012765] * RD COMPARE * port=0 adr=01 act=248E8DC679D4B8E3C8 exp=248E8DC679D4B8E3C8 + 12763.50ns INFO [00012765] * RD COMPARE * port=1 adr=04 act=50283EE2BB14DB8992 exp=50283EE2BB14DB8992 + 12763.50ns INFO [00012765] Port=0 WR @01=C772226640BB92D08B + 12764.50ns INFO [00012766] * RD COMPARE * port=0 adr=04 act=50283EE2BB14DB8992 exp=50283EE2BB14DB8992 + 12764.50ns INFO [00012766] * RD COMPARE * port=1 adr=01 act=248E8DC679D4B8E3C8 exp=248E8DC679D4B8E3C8 + 12764.50ns INFO [00012766] Port=0 RD @00 + 12765.50ns INFO [00012767] Port=0 WR @05=A4B041157E58626102 + 12765.50ns INFO [00012767] Port=1 RD @02 + 12766.50ns INFO [00012768] * RD COMPARE * port=0 adr=00 act=B611CC0C122A37FB1F exp=B611CC0C122A37FB1F + 12766.50ns INFO [00012768] Port=0 WR @07=4396D7D29F1A3129D7 + 12767.50ns INFO [00012769] * RD COMPARE * port=1 adr=02 act=F1283479DD866ED9C5 exp=F1283479DD866ED9C5 + 12767.50ns INFO [00012769] Port=0 WR @03=BA5A848F2B652CD01C + 12767.50ns INFO [00012769] Port=1 RD @05 + 12769.50ns INFO [00012771] * RD COMPARE * port=1 adr=05 act=A4B041157E58626102 exp=A4B041157E58626102 + 12770.50ns INFO [00012772] Port=1 RD @04 + 12772.50ns INFO [00012774] * RD COMPARE * port=1 adr=04 act=50283EE2BB14DB8992 exp=50283EE2BB14DB8992 + 12772.50ns INFO [00012774] Port=1 RD @06 + 12773.50ns INFO [00012775] Port=0 RD @06 + 12773.50ns INFO [00012775] Port=1 RD @04 + 12774.50ns INFO [00012776] * RD COMPARE * port=1 adr=06 act=F8A1336FBC13B3121B exp=F8A1336FBC13B3121B + 12774.50ns INFO [00012776] Port=0 WR @05=235564315218F73155 + 12774.50ns INFO [00012776] Port=1 RD @07 + 12775.50ns INFO [00012777] * RD COMPARE * port=0 adr=06 act=F8A1336FBC13B3121B exp=F8A1336FBC13B3121B + 12775.50ns INFO [00012777] * RD COMPARE * port=1 adr=04 act=50283EE2BB14DB8992 exp=50283EE2BB14DB8992 + 12775.50ns INFO [00012777] Port=0 WR @04=F22FE98C4B1801E5DE + 12775.50ns INFO [00012777] Port=0 RD @07 + 12776.50ns INFO [00012778] * RD COMPARE * port=1 adr=07 act=4396D7D29F1A3129D7 exp=4396D7D29F1A3129D7 + 12776.50ns INFO [00012778] Port=0 WR @06=49DCF9AB741D529AE5 + 12776.50ns INFO [00012778] Port=1 RD @04 + 12777.50ns INFO [00012779] * RD COMPARE * port=0 adr=07 act=4396D7D29F1A3129D7 exp=4396D7D29F1A3129D7 + 12777.50ns INFO [00012779] Port=0 WR @00=DCD25DC902EE68683E + 12777.50ns INFO [00012779] Port=1 RD @07 + 12778.50ns INFO [00012780] * RD COMPARE * port=1 adr=04 act=F22FE98C4B1801E5DE exp=F22FE98C4B1801E5DE + 12778.50ns INFO [00012780] Port=0 WR @07=126EAB86C5103E0C20 + 12779.50ns INFO [00012781] * RD COMPARE * port=1 adr=07 act=4396D7D29F1A3129D7 exp=4396D7D29F1A3129D7 + 12781.50ns INFO [00012783] Port=0 WR @05=88038D173F39D21FCF + 12781.50ns INFO [00012783] Port=0 RD @00 + 12781.50ns INFO [00012783] Port=1 RD @02 + 12782.50ns INFO [00012784] Port=0 WR @02=00DEFCB48EE009CEC5 + 12782.50ns INFO [00012784] Port=0 RD @01 + 12782.50ns INFO [00012784] Port=1 RD @00 + 12783.50ns INFO [00012785] * RD COMPARE * port=0 adr=00 act=DCD25DC902EE68683E exp=DCD25DC902EE68683E + 12783.50ns INFO [00012785] * RD COMPARE * port=1 adr=02 act=F1283479DD866ED9C5 exp=F1283479DD866ED9C5 + 12783.50ns INFO [00012785] Port=0 WR @00=EA6B08F4BA8D3E8D0C + 12783.50ns INFO [00012785] Port=1 RD @06 + 12784.50ns INFO [00012786] * RD COMPARE * port=0 adr=01 act=C772226640BB92D08B exp=C772226640BB92D08B + 12784.50ns INFO [00012786] * RD COMPARE * port=1 adr=00 act=DCD25DC902EE68683E exp=DCD25DC902EE68683E + 12785.50ns INFO [00012787] * RD COMPARE * port=1 adr=06 act=49DCF9AB741D529AE5 exp=49DCF9AB741D529AE5 + 12785.50ns INFO [00012787] Port=0 WR @02=9528FD1929C034D097 + 12785.50ns INFO [00012787] Port=1 RD @05 + 12786.50ns INFO [00012788] Port=0 RD @07 + 12787.50ns INFO [00012789] * RD COMPARE * port=1 adr=05 act=88038D173F39D21FCF exp=88038D173F39D21FCF + 12787.50ns INFO [00012789] Port=0 RD @06 + 12788.50ns INFO [00012790] * RD COMPARE * port=0 adr=07 act=126EAB86C5103E0C20 exp=126EAB86C5103E0C20 + 12788.50ns INFO [00012790] Port=0 WR @00=D04112085E2631933A + 12789.50ns INFO [00012791] * RD COMPARE * port=0 adr=06 act=49DCF9AB741D529AE5 exp=49DCF9AB741D529AE5 + 12789.50ns INFO [00012791] Port=0 RD @04 + 12789.50ns INFO [00012791] Port=1 RD @02 + 12790.50ns INFO [00012792] Port=0 WR @06=526FCB97DCB84056F4 + 12790.50ns INFO [00012792] Port=0 RD @04 + 12790.50ns INFO [00012792] Port=1 RD @01 + 12791.50ns INFO [00012793] * RD COMPARE * port=0 adr=04 act=F22FE98C4B1801E5DE exp=F22FE98C4B1801E5DE + 12791.50ns INFO [00012793] * RD COMPARE * port=1 adr=02 act=9528FD1929C034D097 exp=9528FD1929C034D097 + 12791.50ns INFO [00012793] Port=0 WR @00=88636882A75AB302B4 + 12791.50ns INFO [00012793] Port=1 RD @04 + 12792.50ns INFO [00012794] * RD COMPARE * port=0 adr=04 act=F22FE98C4B1801E5DE exp=F22FE98C4B1801E5DE + 12792.50ns INFO [00012794] * RD COMPARE * port=1 adr=01 act=C772226640BB92D08B exp=C772226640BB92D08B + 12792.50ns INFO [00012794] Port=1 RD @01 + 12793.50ns INFO [00012795] * RD COMPARE * port=1 adr=04 act=F22FE98C4B1801E5DE exp=F22FE98C4B1801E5DE + 12793.50ns INFO [00012795] Port=0 RD @06 + 12794.50ns INFO [00012796] * RD COMPARE * port=1 adr=01 act=C772226640BB92D08B exp=C772226640BB92D08B + 12794.50ns INFO [00012796] Port=0 WR @05=A470EA734EF1BE6ECE + 12794.50ns INFO [00012796] Port=0 RD @07 + 12795.50ns INFO [00012797] * RD COMPARE * port=0 adr=06 act=526FCB97DCB84056F4 exp=526FCB97DCB84056F4 + 12795.50ns INFO [00012797] Port=0 RD @01 + 12795.50ns INFO [00012797] Port=1 RD @02 + 12796.50ns INFO [00012798] * RD COMPARE * port=0 adr=07 act=126EAB86C5103E0C20 exp=126EAB86C5103E0C20 + 12796.50ns INFO [00012798] Port=0 WR @06=5A4322AD67AEDA6030 + 12797.50ns INFO [00012799] * RD COMPARE * port=0 adr=01 act=C772226640BB92D08B exp=C772226640BB92D08B + 12797.50ns INFO [00012799] * RD COMPARE * port=1 adr=02 act=9528FD1929C034D097 exp=9528FD1929C034D097 + 12797.50ns INFO [00012799] Port=0 WR @00=EF26A4057F86C41B96 + 12798.00ns INFO [00012800] [00012800] ...tick... + 12798.50ns INFO [00012800] Port=1 RD @05 + 12800.50ns INFO [00012802] * RD COMPARE * port=1 adr=05 act=A470EA734EF1BE6ECE exp=A470EA734EF1BE6ECE + 12800.50ns INFO [00012802] Port=0 WR @06=B834CE61068897DFC1 + 12800.50ns INFO [00012802] Port=0 RD @01 + 12801.50ns INFO [00012803] Port=0 WR @05=29725756933B4363F3 + 12801.50ns INFO [00012803] Port=0 RD @02 + 12802.50ns INFO [00012804] * RD COMPARE * port=0 adr=01 act=C772226640BB92D08B exp=C772226640BB92D08B + 12802.50ns INFO [00012804] Port=0 WR @04=15CE4AE8AFF3D23377 + 12802.50ns INFO [00012804] Port=0 RD @07 + 12803.50ns INFO [00012805] * RD COMPARE * port=0 adr=02 act=9528FD1929C034D097 exp=9528FD1929C034D097 + 12803.50ns INFO [00012805] Port=0 WR @00=BBFC9112D9886C51ED + 12804.50ns INFO [00012806] * RD COMPARE * port=0 adr=07 act=126EAB86C5103E0C20 exp=126EAB86C5103E0C20 + 12804.50ns INFO [00012806] Port=0 RD @03 + 12805.50ns INFO [00012807] Port=0 WR @03=FE667D13FEDAAB4093 + 12806.50ns INFO [00012808] * RD COMPARE * port=0 adr=03 act=BA5A848F2B652CD01C exp=BA5A848F2B652CD01C + 12807.50ns INFO [00012809] Port=0 WR @02=18011A75B346814E55 + 12807.50ns INFO [00012809] Port=0 RD @01 + 12808.50ns INFO [00012810] Port=0 RD @03 + 12809.50ns INFO [00012811] * RD COMPARE * port=0 adr=01 act=C772226640BB92D08B exp=C772226640BB92D08B + 12809.50ns INFO [00012811] Port=1 RD @06 + 12810.50ns INFO [00012812] * RD COMPARE * port=0 adr=03 act=FE667D13FEDAAB4093 exp=FE667D13FEDAAB4093 + 12810.50ns INFO [00012812] Port=0 RD @07 + 12811.50ns INFO [00012813] * RD COMPARE * port=1 adr=06 act=B834CE61068897DFC1 exp=B834CE61068897DFC1 + 12812.50ns INFO [00012814] * RD COMPARE * port=0 adr=07 act=126EAB86C5103E0C20 exp=126EAB86C5103E0C20 + 12814.50ns INFO [00012816] Port=0 WR @01=F977FE1793B0AEE3B0 + 12814.50ns INFO [00012816] Port=0 RD @02 + 12814.50ns INFO [00012816] Port=1 RD @03 + 12816.50ns INFO [00012818] * RD COMPARE * port=0 adr=02 act=18011A75B346814E55 exp=18011A75B346814E55 + 12816.50ns INFO [00012818] * RD COMPARE * port=1 adr=03 act=FE667D13FEDAAB4093 exp=FE667D13FEDAAB4093 + 12817.50ns INFO [00012819] Port=0 RD @01 + 12818.50ns INFO [00012820] Port=0 WR @01=C253ACF0D55799243E + 12819.50ns INFO [00012821] * RD COMPARE * port=0 adr=01 act=F977FE1793B0AEE3B0 exp=F977FE1793B0AEE3B0 + 12819.50ns INFO [00012821] Port=1 RD @05 + 12821.50ns INFO [00012823] * RD COMPARE * port=1 adr=05 act=29725756933B4363F3 exp=29725756933B4363F3 + 12821.50ns INFO [00012823] Port=1 RD @05 + 12822.50ns INFO [00012824] Port=1 RD @02 + 12823.50ns INFO [00012825] * RD COMPARE * port=1 adr=05 act=29725756933B4363F3 exp=29725756933B4363F3 + 12823.50ns INFO [00012825] Port=0 WR @05=AC86BBCA7E6DF1EFC3 + 12824.50ns INFO [00012826] * RD COMPARE * port=1 adr=02 act=18011A75B346814E55 exp=18011A75B346814E55 + 12824.50ns INFO [00012826] Port=0 WR @05=AD9947D892DABA5CA1 + 12824.50ns INFO [00012826] Port=1 RD @07 + 12825.50ns INFO [00012827] Port=0 RD @07 + 12825.50ns INFO [00012827] Port=1 RD @00 + 12826.50ns INFO [00012828] * RD COMPARE * port=1 adr=07 act=126EAB86C5103E0C20 exp=126EAB86C5103E0C20 + 12826.50ns INFO [00012828] Port=0 RD @02 + 12827.50ns INFO [00012829] * RD COMPARE * port=0 adr=07 act=126EAB86C5103E0C20 exp=126EAB86C5103E0C20 + 12827.50ns INFO [00012829] * RD COMPARE * port=1 adr=00 act=BBFC9112D9886C51ED exp=BBFC9112D9886C51ED + 12828.50ns INFO [00012830] * RD COMPARE * port=0 adr=02 act=18011A75B346814E55 exp=18011A75B346814E55 + 12828.50ns INFO [00012830] Port=0 WR @01=4DB71E8B9C49B1788D + 12828.50ns INFO [00012830] Port=0 RD @00 + 12829.50ns INFO [00012831] Port=1 RD @05 + 12830.50ns INFO [00012832] * RD COMPARE * port=0 adr=00 act=BBFC9112D9886C51ED exp=BBFC9112D9886C51ED + 12830.50ns INFO [00012832] Port=1 RD @02 + 12831.50ns INFO [00012833] * RD COMPARE * port=1 adr=05 act=AD9947D892DABA5CA1 exp=AD9947D892DABA5CA1 + 12831.50ns INFO [00012833] Port=0 WR @06=2E2C4564ADBE7EB4F6 + 12831.50ns INFO [00012833] Port=0 RD @02 + 12832.50ns INFO [00012834] * RD COMPARE * port=1 adr=02 act=18011A75B346814E55 exp=18011A75B346814E55 + 12832.50ns INFO [00012834] Port=0 RD @00 + 12832.50ns INFO [00012834] Port=1 RD @03 + 12833.50ns INFO [00012835] * RD COMPARE * port=0 adr=02 act=18011A75B346814E55 exp=18011A75B346814E55 + 12833.50ns INFO [00012835] Port=0 RD @05 + 12833.50ns INFO [00012835] Port=1 RD @00 + 12834.50ns INFO [00012836] * RD COMPARE * port=0 adr=00 act=BBFC9112D9886C51ED exp=BBFC9112D9886C51ED + 12834.50ns INFO [00012836] * RD COMPARE * port=1 adr=03 act=FE667D13FEDAAB4093 exp=FE667D13FEDAAB4093 + 12834.50ns INFO [00012836] Port=0 WR @00=17BD1E0AE973D168F5 + 12835.50ns INFO [00012837] * RD COMPARE * port=0 adr=05 act=AD9947D892DABA5CA1 exp=AD9947D892DABA5CA1 + 12835.50ns INFO [00012837] * RD COMPARE * port=1 adr=00 act=BBFC9112D9886C51ED exp=BBFC9112D9886C51ED + 12835.50ns INFO [00012837] Port=0 WR @07=655D574280AA5CB983 + 12836.50ns INFO [00012838] Port=0 RD @01 + 12837.50ns INFO [00012839] Port=0 WR @00=01DAAE15857B9B2346 + 12837.50ns INFO [00012839] Port=0 RD @03 + 12838.50ns INFO [00012840] * RD COMPARE * port=0 adr=01 act=4DB71E8B9C49B1788D exp=4DB71E8B9C49B1788D + 12838.50ns INFO [00012840] Port=0 WR @05=BFE11EB4A550EA13E6 + 12838.50ns INFO [00012840] Port=0 RD @02 + 12839.50ns INFO [00012841] * RD COMPARE * port=0 adr=03 act=FE667D13FEDAAB4093 exp=FE667D13FEDAAB4093 + 12839.50ns INFO [00012841] Port=0 RD @01 + 12840.50ns INFO [00012842] * RD COMPARE * port=0 adr=02 act=18011A75B346814E55 exp=18011A75B346814E55 + 12841.50ns INFO [00012843] * RD COMPARE * port=0 adr=01 act=4DB71E8B9C49B1788D exp=4DB71E8B9C49B1788D + 12842.50ns INFO [00012844] Port=0 WR @06=3020EEBA7F661DB1CD + 12844.50ns INFO [00012846] Port=0 WR @00=7D57CADE0975E82255 + 12844.50ns INFO [00012846] Port=1 RD @05 + 12845.50ns INFO [00012847] Port=0 WR @02=1BA6074E79C07EE11B + 12846.50ns INFO [00012848] * RD COMPARE * port=1 adr=05 act=BFE11EB4A550EA13E6 exp=BFE11EB4A550EA13E6 + 12846.50ns INFO [00012848] Port=0 WR @06=566623A73E9879DC56 + 12846.50ns INFO [00012848] Port=1 RD @01 + 12847.50ns INFO [00012849] Port=0 RD @00 + 12848.50ns INFO [00012850] * RD COMPARE * port=1 adr=01 act=4DB71E8B9C49B1788D exp=4DB71E8B9C49B1788D + 12849.50ns INFO [00012851] * RD COMPARE * port=0 adr=00 act=7D57CADE0975E82255 exp=7D57CADE0975E82255 + 12849.50ns INFO [00012851] Port=0 RD @00 + 12849.50ns INFO [00012851] Port=1 RD @05 + 12851.50ns INFO [00012853] * RD COMPARE * port=0 adr=00 act=7D57CADE0975E82255 exp=7D57CADE0975E82255 + 12851.50ns INFO [00012853] * RD COMPARE * port=1 adr=05 act=BFE11EB4A550EA13E6 exp=BFE11EB4A550EA13E6 + 12851.50ns INFO [00012853] Port=0 RD @01 + 12851.50ns INFO [00012853] Port=1 RD @01 + 12853.50ns INFO [00012855] * RD COMPARE * port=0 adr=01 act=4DB71E8B9C49B1788D exp=4DB71E8B9C49B1788D + 12853.50ns INFO [00012855] * RD COMPARE * port=1 adr=01 act=4DB71E8B9C49B1788D exp=4DB71E8B9C49B1788D + 12853.50ns INFO [00012855] Port=0 WR @01=40B526401D07385FCA + 12853.50ns INFO [00012855] Port=0 RD @00 + 12853.50ns INFO [00012855] Port=1 RD @05 + 12854.50ns INFO [00012856] Port=0 WR @02=D23EBBEFA596178893 + 12855.50ns INFO [00012857] * RD COMPARE * port=0 adr=00 act=7D57CADE0975E82255 exp=7D57CADE0975E82255 + 12855.50ns INFO [00012857] * RD COMPARE * port=1 adr=05 act=BFE11EB4A550EA13E6 exp=BFE11EB4A550EA13E6 + 12855.50ns INFO [00012857] Port=1 RD @04 + 12857.50ns INFO [00012859] * RD COMPARE * port=1 adr=04 act=15CE4AE8AFF3D23377 exp=15CE4AE8AFF3D23377 + 12857.50ns INFO [00012859] Port=0 RD @00 + 12857.50ns INFO [00012859] Port=1 RD @07 + 12858.50ns INFO [00012860] Port=0 WR @06=2A96501765C9465E29 + 12859.50ns INFO [00012861] * RD COMPARE * port=0 adr=00 act=7D57CADE0975E82255 exp=7D57CADE0975E82255 + 12859.50ns INFO [00012861] * RD COMPARE * port=1 adr=07 act=655D574280AA5CB983 exp=655D574280AA5CB983 + 12859.50ns INFO [00012861] Port=0 WR @05=88B036A019701DD27B + 12860.50ns INFO [00012862] Port=0 RD @00 + 12860.50ns INFO [00012862] Port=1 RD @03 + 12861.50ns INFO [00012863] Port=0 RD @00 + 12862.50ns INFO [00012864] * RD COMPARE * port=0 adr=00 act=7D57CADE0975E82255 exp=7D57CADE0975E82255 + 12862.50ns INFO [00012864] * RD COMPARE * port=1 adr=03 act=FE667D13FEDAAB4093 exp=FE667D13FEDAAB4093 + 12862.50ns INFO [00012864] Port=1 RD @01 + 12863.50ns INFO [00012865] * RD COMPARE * port=0 adr=00 act=7D57CADE0975E82255 exp=7D57CADE0975E82255 + 12863.50ns INFO [00012865] Port=0 WR @06=549FA575DF22876CAF + 12863.50ns INFO [00012865] Port=0 RD @05 + 12863.50ns INFO [00012865] Port=1 RD @02 + 12864.50ns INFO [00012866] * RD COMPARE * port=1 adr=01 act=40B526401D07385FCA exp=40B526401D07385FCA + 12865.50ns INFO [00012867] * RD COMPARE * port=0 adr=05 act=88B036A019701DD27B exp=88B036A019701DD27B + 12865.50ns INFO [00012867] * RD COMPARE * port=1 adr=02 act=D23EBBEFA596178893 exp=D23EBBEFA596178893 + 12865.50ns INFO [00012867] Port=1 RD @03 + 12866.50ns INFO [00012868] Port=1 RD @05 + 12867.50ns INFO [00012869] * RD COMPARE * port=1 adr=03 act=FE667D13FEDAAB4093 exp=FE667D13FEDAAB4093 + 12868.50ns INFO [00012870] * RD COMPARE * port=1 adr=05 act=88B036A019701DD27B exp=88B036A019701DD27B + 12869.50ns INFO [00012871] Port=1 RD @05 + 12870.50ns INFO [00012872] Port=0 WR @04=7F589C19474A197135 + 12871.50ns INFO [00012873] * RD COMPARE * port=1 adr=05 act=88B036A019701DD27B exp=88B036A019701DD27B + 12873.50ns INFO [00012875] Port=0 WR @07=F66178246246A661DF + 12875.50ns INFO [00012877] Port=0 WR @06=C2A9F1B606BD5E8E11 + 12877.50ns INFO [00012879] Port=0 WR @05=9B4F823B5FBD0676D3 + 12878.50ns INFO [00012880] Port=0 WR @02=196ED6F6AF5C4DFE49 + 12878.50ns INFO [00012880] Port=0 RD @00 + 12878.50ns INFO [00012880] Port=1 RD @07 + 12879.50ns INFO [00012881] Port=0 WR @02=C891D910E4471A5B41 + 12879.50ns INFO [00012881] Port=0 RD @07 + 12880.50ns INFO [00012882] * RD COMPARE * port=0 adr=00 act=7D57CADE0975E82255 exp=7D57CADE0975E82255 + 12880.50ns INFO [00012882] * RD COMPARE * port=1 adr=07 act=F66178246246A661DF exp=F66178246246A661DF + 12880.50ns INFO [00012882] Port=0 WR @06=FBDFE2E18847B4E2A8 + 12880.50ns INFO [00012882] Port=0 RD @07 + 12881.50ns INFO [00012883] * RD COMPARE * port=0 adr=07 act=F66178246246A661DF exp=F66178246246A661DF + 12881.50ns INFO [00012883] Port=0 WR @02=25C5F383CA275C11DC + 12881.50ns INFO [00012883] Port=0 RD @07 + 12882.50ns INFO [00012884] * RD COMPARE * port=0 adr=07 act=F66178246246A661DF exp=F66178246246A661DF + 12883.50ns INFO [00012885] * RD COMPARE * port=0 adr=07 act=F66178246246A661DF exp=F66178246246A661DF + 12883.50ns INFO [00012885] Port=0 WR @06=F10CAE5B776553EA3B + 12884.50ns INFO [00012886] Port=0 WR @05=28680DCA1FC4751BFD + 12885.50ns INFO [00012887] Port=0 WR @02=555EFEFEB4CBA74AC7 + 12885.50ns INFO [00012887] Port=1 RD @04 + 12887.50ns INFO [00012889] * RD COMPARE * port=1 adr=04 act=7F589C19474A197135 exp=7F589C19474A197135 + 12888.50ns INFO [00012890] Port=1 RD @03 + 12890.50ns INFO [00012892] * RD COMPARE * port=1 adr=03 act=FE667D13FEDAAB4093 exp=FE667D13FEDAAB4093 + 12890.50ns INFO [00012892] Port=0 RD @01 + 12890.50ns INFO [00012892] Port=1 RD @05 + 12891.50ns INFO [00012893] Port=0 WR @04=B21D496E737050F5D6 + 12892.50ns INFO [00012894] * RD COMPARE * port=0 adr=01 act=40B526401D07385FCA exp=40B526401D07385FCA + 12892.50ns INFO [00012894] * RD COMPARE * port=1 adr=05 act=28680DCA1FC4751BFD exp=28680DCA1FC4751BFD + 12892.50ns INFO [00012894] Port=0 RD @01 + 12893.50ns INFO [00012895] Port=1 RD @03 + 12894.50ns INFO [00012896] * RD COMPARE * port=0 adr=01 act=40B526401D07385FCA exp=40B526401D07385FCA + 12894.50ns INFO [00012896] Port=0 WR @03=CFF5E1863A8A220743 + 12895.50ns INFO [00012897] * RD COMPARE * port=1 adr=03 act=FE667D13FEDAAB4093 exp=FE667D13FEDAAB4093 + 12895.50ns INFO [00012897] Port=0 WR @04=DC2BBD1343E2A06E33 + 12895.50ns INFO [00012897] Port=0 RD @05 + 12897.50ns INFO [00012899] * RD COMPARE * port=0 adr=05 act=28680DCA1FC4751BFD exp=28680DCA1FC4751BFD + 12897.50ns INFO [00012899] Port=0 RD @05 + 12897.50ns INFO [00012899] Port=1 RD @01 + 12898.00ns INFO [00012900] [00012900] ...tick... + 12899.50ns INFO [00012901] * RD COMPARE * port=0 adr=05 act=28680DCA1FC4751BFD exp=28680DCA1FC4751BFD + 12899.50ns INFO [00012901] * RD COMPARE * port=1 adr=01 act=40B526401D07385FCA exp=40B526401D07385FCA + 12901.50ns INFO [00012903] Port=0 RD @01 + 12901.50ns INFO [00012903] Port=1 RD @07 + 12903.50ns INFO [00012905] * RD COMPARE * port=0 adr=01 act=40B526401D07385FCA exp=40B526401D07385FCA + 12903.50ns INFO [00012905] * RD COMPARE * port=1 adr=07 act=F66178246246A661DF exp=F66178246246A661DF + 12903.50ns INFO [00012905] Port=0 RD @04 + 12903.50ns INFO [00012905] Port=1 RD @07 + 12904.50ns INFO [00012906] Port=0 WR @00=FF8245A03F8FDA41B6 + 12904.50ns INFO [00012906] Port=0 RD @06 + 12905.50ns INFO [00012907] * RD COMPARE * port=0 adr=04 act=DC2BBD1343E2A06E33 exp=DC2BBD1343E2A06E33 + 12905.50ns INFO [00012907] * RD COMPARE * port=1 adr=07 act=F66178246246A661DF exp=F66178246246A661DF + 12905.50ns INFO [00012907] Port=0 WR @03=87DAE83C70F0ABDBAA + 12905.50ns INFO [00012907] Port=0 RD @02 + 12906.50ns INFO [00012908] * RD COMPARE * port=0 adr=06 act=F10CAE5B776553EA3B exp=F10CAE5B776553EA3B + 12906.50ns INFO [00012908] Port=0 RD @04 + 12906.50ns INFO [00012908] Port=1 RD @04 + 12907.50ns INFO [00012909] * RD COMPARE * port=0 adr=02 act=555EFEFEB4CBA74AC7 exp=555EFEFEB4CBA74AC7 + 12907.50ns INFO [00012909] Port=0 WR @01=AC78F1AB475947EB23 + 12907.50ns INFO [00012909] Port=0 RD @07 + 12907.50ns INFO [00012909] Port=1 RD @05 + 12908.50ns INFO [00012910] * RD COMPARE * port=0 adr=04 act=DC2BBD1343E2A06E33 exp=DC2BBD1343E2A06E33 + 12908.50ns INFO [00012910] * RD COMPARE * port=1 adr=04 act=DC2BBD1343E2A06E33 exp=DC2BBD1343E2A06E33 + 12909.50ns INFO [00012911] * RD COMPARE * port=0 adr=07 act=F66178246246A661DF exp=F66178246246A661DF + 12909.50ns INFO [00012911] * RD COMPARE * port=1 adr=05 act=28680DCA1FC4751BFD exp=28680DCA1FC4751BFD + 12909.50ns INFO [00012911] Port=0 WR @04=ED9A1D507CA3930AF2 + 12909.50ns INFO [00012911] Port=0 RD @01 + 12909.50ns INFO [00012911] Port=1 RD @05 + 12910.50ns INFO [00012912] Port=0 WR @04=EA8A5CDA123510C46C + 12910.50ns INFO [00012912] Port=0 RD @00 + 12911.50ns INFO [00012913] * RD COMPARE * port=0 adr=01 act=AC78F1AB475947EB23 exp=AC78F1AB475947EB23 + 12911.50ns INFO [00012913] * RD COMPARE * port=1 adr=05 act=28680DCA1FC4751BFD exp=28680DCA1FC4751BFD + 12911.50ns INFO [00012913] Port=0 RD @06 + 12912.50ns INFO [00012914] * RD COMPARE * port=0 adr=00 act=FF8245A03F8FDA41B6 exp=FF8245A03F8FDA41B6 + 12913.50ns INFO [00012915] * RD COMPARE * port=0 adr=06 act=F10CAE5B776553EA3B exp=F10CAE5B776553EA3B + 12914.50ns INFO [00012916] Port=0 RD @06 + 12916.50ns INFO [00012918] * RD COMPARE * port=0 adr=06 act=F10CAE5B776553EA3B exp=F10CAE5B776553EA3B + 12916.50ns INFO [00012918] Port=0 WR @04=342963A7C2A163C346 + 12917.50ns INFO [00012919] Port=0 RD @00 + 12917.50ns INFO [00012919] Port=1 RD @00 + 12918.50ns INFO [00012920] Port=0 RD @05 + 12918.50ns INFO [00012920] Port=1 RD @07 + 12919.50ns INFO [00012921] * RD COMPARE * port=0 adr=00 act=FF8245A03F8FDA41B6 exp=FF8245A03F8FDA41B6 + 12919.50ns INFO [00012921] * RD COMPARE * port=1 adr=00 act=FF8245A03F8FDA41B6 exp=FF8245A03F8FDA41B6 + 12920.50ns INFO [00012922] * RD COMPARE * port=0 adr=05 act=28680DCA1FC4751BFD exp=28680DCA1FC4751BFD + 12920.50ns INFO [00012922] * RD COMPARE * port=1 adr=07 act=F66178246246A661DF exp=F66178246246A661DF + 12920.50ns INFO [00012922] Port=1 RD @03 + 12921.50ns INFO [00012923] Port=0 WR @00=8543F0525F0A6EA9A0 + 12922.50ns INFO [00012924] * RD COMPARE * port=1 adr=03 act=87DAE83C70F0ABDBAA exp=87DAE83C70F0ABDBAA + 12922.50ns INFO [00012924] Port=0 WR @00=1E34DAB2004E16DDC1 + 12922.50ns INFO [00012924] Port=1 RD @02 + 12923.50ns INFO [00012925] Port=0 WR @00=497558AAAD44F96362 + 12924.50ns INFO [00012926] * RD COMPARE * port=1 adr=02 act=555EFEFEB4CBA74AC7 exp=555EFEFEB4CBA74AC7 + 12924.50ns INFO [00012926] Port=0 RD @06 + 12924.50ns INFO [00012926] Port=1 RD @07 + 12925.50ns INFO [00012927] Port=0 WR @05=1D4D480A3DACFFB648 + 12925.50ns INFO [00012927] Port=0 RD @01 + 12925.50ns INFO [00012927] Port=1 RD @07 + 12926.50ns INFO [00012928] * RD COMPARE * port=0 adr=06 act=F10CAE5B776553EA3B exp=F10CAE5B776553EA3B + 12926.50ns INFO [00012928] * RD COMPARE * port=1 adr=07 act=F66178246246A661DF exp=F66178246246A661DF + 12926.50ns INFO [00012928] Port=0 RD @03 + 12926.50ns INFO [00012928] Port=1 RD @05 + 12927.50ns INFO [00012929] * RD COMPARE * port=0 adr=01 act=AC78F1AB475947EB23 exp=AC78F1AB475947EB23 + 12927.50ns INFO [00012929] * RD COMPARE * port=1 adr=07 act=F66178246246A661DF exp=F66178246246A661DF + 12927.50ns INFO [00012929] Port=0 WR @03=A6CB94A11348C57140 + 12927.50ns INFO [00012929] Port=0 RD @05 + 12928.50ns INFO [00012930] * RD COMPARE * port=0 adr=03 act=87DAE83C70F0ABDBAA exp=87DAE83C70F0ABDBAA + 12928.50ns INFO [00012930] * RD COMPARE * port=1 adr=05 act=1D4D480A3DACFFB648 exp=1D4D480A3DACFFB648 + 12928.50ns INFO [00012930] Port=0 WR @05=31713DC66CF2AA2F8D + 12929.50ns INFO [00012931] * RD COMPARE * port=0 adr=05 act=1D4D480A3DACFFB648 exp=1D4D480A3DACFFB648 + 12929.50ns INFO [00012931] Port=0 WR @01=285FF71EF2AD695B46 + 12930.50ns INFO [00012932] Port=0 WR @04=664B9C93271C7F89CA + 12930.50ns INFO [00012932] Port=1 RD @02 + 12931.50ns INFO [00012933] Port=1 RD @04 + 12932.50ns INFO [00012934] * RD COMPARE * port=1 adr=02 act=555EFEFEB4CBA74AC7 exp=555EFEFEB4CBA74AC7 + 12932.50ns INFO [00012934] Port=0 WR @07=A03374399AF34BC914 + 12932.50ns INFO [00012934] Port=1 RD @01 + 12933.50ns INFO [00012935] * RD COMPARE * port=1 adr=04 act=664B9C93271C7F89CA exp=664B9C93271C7F89CA + 12933.50ns INFO [00012935] Port=1 RD @00 + 12934.50ns INFO [00012936] * RD COMPARE * port=1 adr=01 act=285FF71EF2AD695B46 exp=285FF71EF2AD695B46 + 12934.50ns INFO [00012936] Port=0 WR @06=73B83DAE094F990ACC + 12935.50ns INFO [00012937] * RD COMPARE * port=1 adr=00 act=497558AAAD44F96362 exp=497558AAAD44F96362 + 12935.50ns INFO [00012937] Port=0 RD @07 + 12936.50ns INFO [00012938] Port=0 WR @03=CB524FEBA71F8B555E + 12936.50ns INFO [00012938] Port=1 RD @02 + 12937.50ns INFO [00012939] * RD COMPARE * port=0 adr=07 act=A03374399AF34BC914 exp=A03374399AF34BC914 + 12937.50ns INFO [00012939] Port=1 RD @06 + 12938.50ns INFO [00012940] * RD COMPARE * port=1 adr=02 act=555EFEFEB4CBA74AC7 exp=555EFEFEB4CBA74AC7 + 12938.50ns INFO [00012940] Port=0 RD @03 + 12938.50ns INFO [00012940] Port=1 RD @01 + 12939.50ns INFO [00012941] * RD COMPARE * port=1 adr=06 act=73B83DAE094F990ACC exp=73B83DAE094F990ACC + 12939.50ns INFO [00012941] Port=1 RD @00 + 12940.50ns INFO [00012942] * RD COMPARE * port=0 adr=03 act=CB524FEBA71F8B555E exp=CB524FEBA71F8B555E + 12940.50ns INFO [00012942] * RD COMPARE * port=1 adr=01 act=285FF71EF2AD695B46 exp=285FF71EF2AD695B46 + 12941.50ns INFO [00012943] * RD COMPARE * port=1 adr=00 act=497558AAAD44F96362 exp=497558AAAD44F96362 + 12942.50ns INFO [00012944] Port=1 RD @06 + 12943.50ns INFO [00012945] Port=0 WR @03=0D0D209D6B04B4ED72 + 12943.50ns INFO [00012945] Port=0 RD @01 + 12943.50ns INFO [00012945] Port=1 RD @04 + 12944.50ns INFO [00012946] * RD COMPARE * port=1 adr=06 act=73B83DAE094F990ACC exp=73B83DAE094F990ACC + 12944.50ns INFO [00012946] Port=0 RD @06 + 12945.50ns INFO [00012947] * RD COMPARE * port=0 adr=01 act=285FF71EF2AD695B46 exp=285FF71EF2AD695B46 + 12945.50ns INFO [00012947] * RD COMPARE * port=1 adr=04 act=664B9C93271C7F89CA exp=664B9C93271C7F89CA + 12945.50ns INFO [00012947] Port=0 RD @05 + 12945.50ns INFO [00012947] Port=1 RD @06 + 12946.50ns INFO [00012948] * RD COMPARE * port=0 adr=06 act=73B83DAE094F990ACC exp=73B83DAE094F990ACC + 12946.50ns INFO [00012948] Port=0 RD @02 + 12946.50ns INFO [00012948] Port=1 RD @04 + 12947.50ns INFO [00012949] * RD COMPARE * port=0 adr=05 act=31713DC66CF2AA2F8D exp=31713DC66CF2AA2F8D + 12947.50ns INFO [00012949] * RD COMPARE * port=1 adr=06 act=73B83DAE094F990ACC exp=73B83DAE094F990ACC + 12947.50ns INFO [00012949] Port=0 WR @07=D4D50E0A3C1B9D353D + 12947.50ns INFO [00012949] Port=0 RD @03 + 12947.50ns INFO [00012949] Port=1 RD @06 + 12948.50ns INFO [00012950] * RD COMPARE * port=0 adr=02 act=555EFEFEB4CBA74AC7 exp=555EFEFEB4CBA74AC7 + 12948.50ns INFO [00012950] * RD COMPARE * port=1 adr=04 act=664B9C93271C7F89CA exp=664B9C93271C7F89CA + 12949.50ns INFO [00012951] * RD COMPARE * port=0 adr=03 act=0D0D209D6B04B4ED72 exp=0D0D209D6B04B4ED72 + 12949.50ns INFO [00012951] * RD COMPARE * port=1 adr=06 act=73B83DAE094F990ACC exp=73B83DAE094F990ACC + 12950.50ns INFO [00012952] Port=0 WR @05=FB76CD1FE3C2C4A7E0 + 12951.50ns INFO [00012953] Port=0 WR @01=A93C59A4BF30551453 + 12952.50ns INFO [00012954] Port=0 WR @06=513188A6662D1F5C98 + 12952.50ns INFO [00012954] Port=0 RD @03 + 12954.50ns INFO [00012956] * RD COMPARE * port=0 adr=03 act=0D0D209D6B04B4ED72 exp=0D0D209D6B04B4ED72 + 12956.50ns INFO [00012958] Port=0 RD @01 + 12957.50ns INFO [00012959] Port=1 RD @05 + 12958.50ns INFO [00012960] * RD COMPARE * port=0 adr=01 act=A93C59A4BF30551453 exp=A93C59A4BF30551453 + 12958.50ns INFO [00012960] Port=0 WR @04=2B391CEDD8AC527CAD + 12958.50ns INFO [00012960] Port=1 RD @07 + 12959.50ns INFO [00012961] * RD COMPARE * port=1 adr=05 act=FB76CD1FE3C2C4A7E0 exp=FB76CD1FE3C2C4A7E0 + 12960.50ns INFO [00012962] * RD COMPARE * port=1 adr=07 act=D4D50E0A3C1B9D353D exp=D4D50E0A3C1B9D353D + 12960.50ns INFO [00012962] Port=0 WR @02=CCA59F69D648315AA1 + 12960.50ns INFO [00012962] Port=0 RD @07 + 12961.50ns INFO [00012963] Port=0 RD @02 + 12962.50ns INFO [00012964] * RD COMPARE * port=0 adr=07 act=D4D50E0A3C1B9D353D exp=D4D50E0A3C1B9D353D + 12962.50ns INFO [00012964] Port=0 WR @01=EC1D5835C388B9029B + 12963.50ns INFO [00012965] * RD COMPARE * port=0 adr=02 act=CCA59F69D648315AA1 exp=CCA59F69D648315AA1 + 12963.50ns INFO [00012965] Port=0 WR @02=EB62490818BF188C1A + 12964.50ns INFO [00012966] Port=0 RD @01 + 12965.50ns INFO [00012967] Port=0 WR @02=4B98B92BB9AE7AF44E + 12966.50ns INFO [00012968] * RD COMPARE * port=0 adr=01 act=EC1D5835C388B9029B exp=EC1D5835C388B9029B + 12966.50ns INFO [00012968] Port=0 WR @07=D3C956F706A7D217F7 + 12966.50ns INFO [00012968] Port=0 RD @02 + 12967.50ns INFO [00012969] Port=0 WR @02=ABD2B16A371C04538E + 12967.50ns INFO [00012969] Port=0 RD @01 + 12967.50ns INFO [00012969] Port=1 RD @07 + 12968.50ns INFO [00012970] * RD COMPARE * port=0 adr=02 act=4B98B92BB9AE7AF44E exp=4B98B92BB9AE7AF44E + 12968.50ns INFO [00012970] Port=0 WR @07=E0B450F934780232D1 + 12968.50ns INFO [00012970] Port=0 RD @06 + 12968.50ns INFO [00012970] Port=1 RD @02 + 12969.50ns INFO [00012971] * RD COMPARE * port=0 adr=01 act=EC1D5835C388B9029B exp=EC1D5835C388B9029B + 12969.50ns INFO [00012971] * RD COMPARE * port=1 adr=07 act=D3C956F706A7D217F7 exp=D3C956F706A7D217F7 + 12969.50ns INFO [00012971] Port=0 WR @00=9723DC17B474F61287 + 12969.50ns INFO [00012971] Port=1 RD @01 + 12970.50ns INFO [00012972] * RD COMPARE * port=0 adr=06 act=513188A6662D1F5C98 exp=513188A6662D1F5C98 + 12970.50ns INFO [00012972] * RD COMPARE * port=1 adr=02 act=ABD2B16A371C04538E exp=ABD2B16A371C04538E + 12971.50ns INFO [00012973] * RD COMPARE * port=1 adr=01 act=EC1D5835C388B9029B exp=EC1D5835C388B9029B + 12971.50ns INFO [00012973] Port=0 RD @05 + 12973.50ns INFO [00012975] * RD COMPARE * port=0 adr=05 act=FB76CD1FE3C2C4A7E0 exp=FB76CD1FE3C2C4A7E0 + 12973.50ns INFO [00012975] Port=0 RD @02 + 12973.50ns INFO [00012975] Port=1 RD @01 + 12974.50ns INFO [00012976] Port=0 RD @04 + 12974.50ns INFO [00012976] Port=1 RD @06 + 12975.50ns INFO [00012977] * RD COMPARE * port=0 adr=02 act=ABD2B16A371C04538E exp=ABD2B16A371C04538E + 12975.50ns INFO [00012977] * RD COMPARE * port=1 adr=01 act=EC1D5835C388B9029B exp=EC1D5835C388B9029B + 12976.50ns INFO [00012978] * RD COMPARE * port=0 adr=04 act=2B391CEDD8AC527CAD exp=2B391CEDD8AC527CAD + 12976.50ns INFO [00012978] * RD COMPARE * port=1 adr=06 act=513188A6662D1F5C98 exp=513188A6662D1F5C98 + 12976.50ns INFO [00012978] Port=0 WR @07=2DAEC84B1FDB45DBEE + 12976.50ns INFO [00012978] Port=1 RD @04 + 12977.50ns INFO [00012979] Port=0 WR @00=8D21BD1002889D652E + 12978.50ns INFO [00012980] * RD COMPARE * port=1 adr=04 act=2B391CEDD8AC527CAD exp=2B391CEDD8AC527CAD + 12978.50ns INFO [00012980] Port=0 WR @00=B4934B1DD2DF3AA682 + 12978.50ns INFO [00012980] Port=1 RD @06 + 12979.50ns INFO [00012981] Port=1 RD @01 + 12980.50ns INFO [00012982] * RD COMPARE * port=1 adr=06 act=513188A6662D1F5C98 exp=513188A6662D1F5C98 + 12980.50ns INFO [00012982] Port=0 RD @07 + 12980.50ns INFO [00012982] Port=1 RD @00 + 12981.50ns INFO [00012983] * RD COMPARE * port=1 adr=01 act=EC1D5835C388B9029B exp=EC1D5835C388B9029B + 12981.50ns INFO [00012983] Port=0 WR @02=86BB5B86BA8C205344 + 12981.50ns INFO [00012983] Port=0 RD @04 + 12982.50ns INFO [00012984] * RD COMPARE * port=0 adr=07 act=2DAEC84B1FDB45DBEE exp=2DAEC84B1FDB45DBEE + 12982.50ns INFO [00012984] * RD COMPARE * port=1 adr=00 act=B4934B1DD2DF3AA682 exp=B4934B1DD2DF3AA682 + 12982.50ns INFO [00012984] Port=0 WR @05=16BEDC37596BBD4DAB + 12983.50ns INFO [00012985] * RD COMPARE * port=0 adr=04 act=2B391CEDD8AC527CAD exp=2B391CEDD8AC527CAD + 12983.50ns INFO [00012985] Port=1 RD @01 + 12984.50ns INFO [00012986] Port=0 WR @07=E59382FADC50F927A1 + 12985.50ns INFO [00012987] * RD COMPARE * port=1 adr=01 act=EC1D5835C388B9029B exp=EC1D5835C388B9029B + 12985.50ns INFO [00012987] Port=0 WR @02=4125A8764CCF39D5F6 + 12986.50ns INFO [00012988] Port=0 RD @03 + 12987.50ns INFO [00012989] Port=0 RD @01 + 12987.50ns INFO [00012989] Port=1 RD @05 + 12988.50ns INFO [00012990] * RD COMPARE * port=0 adr=03 act=0D0D209D6B04B4ED72 exp=0D0D209D6B04B4ED72 + 12988.50ns INFO [00012990] Port=0 RD @01 + 12988.50ns INFO [00012990] Port=1 RD @05 + 12989.50ns INFO [00012991] * RD COMPARE * port=0 adr=01 act=EC1D5835C388B9029B exp=EC1D5835C388B9029B + 12989.50ns INFO [00012991] * RD COMPARE * port=1 adr=05 act=16BEDC37596BBD4DAB exp=16BEDC37596BBD4DAB + 12989.50ns INFO [00012991] Port=0 RD @00 + 12989.50ns INFO [00012991] Port=1 RD @07 + 12990.50ns INFO [00012992] * RD COMPARE * port=0 adr=01 act=EC1D5835C388B9029B exp=EC1D5835C388B9029B + 12990.50ns INFO [00012992] * RD COMPARE * port=1 adr=05 act=16BEDC37596BBD4DAB exp=16BEDC37596BBD4DAB + 12990.50ns INFO [00012992] Port=1 RD @02 + 12991.50ns INFO [00012993] * RD COMPARE * port=0 adr=00 act=B4934B1DD2DF3AA682 exp=B4934B1DD2DF3AA682 + 12991.50ns INFO [00012993] * RD COMPARE * port=1 adr=07 act=E59382FADC50F927A1 exp=E59382FADC50F927A1 + 12992.50ns INFO [00012994] * RD COMPARE * port=1 adr=02 act=4125A8764CCF39D5F6 exp=4125A8764CCF39D5F6 + 12992.50ns INFO [00012994] Port=1 RD @03 + 12994.50ns INFO [00012996] * RD COMPARE * port=1 adr=03 act=0D0D209D6B04B4ED72 exp=0D0D209D6B04B4ED72 + 12994.50ns INFO [00012996] Port=0 WR @01=585E6B2D650EC5F351 + 12994.50ns INFO [00012996] Port=1 RD @04 + 12995.50ns INFO [00012997] Port=0 WR @06=A79295E03BC993DB4E + 12996.50ns INFO [00012998] * RD COMPARE * port=1 adr=04 act=2B391CEDD8AC527CAD exp=2B391CEDD8AC527CAD + 12996.50ns INFO [00012998] Port=0 RD @02 + 12996.50ns INFO [00012998] Port=1 RD @03 + 12998.00ns INFO [00013000] [00013000] ...tick... + 12998.50ns INFO [00013000] * RD COMPARE * port=0 adr=02 act=4125A8764CCF39D5F6 exp=4125A8764CCF39D5F6 + 12998.50ns INFO [00013000] * RD COMPARE * port=1 adr=03 act=0D0D209D6B04B4ED72 exp=0D0D209D6B04B4ED72 + 12998.50ns INFO [00013000] Port=1 RD @03 + 12999.50ns INFO [00013001] Port=0 RD @04 + 13000.50ns INFO [00013002] * RD COMPARE * port=1 adr=03 act=0D0D209D6B04B4ED72 exp=0D0D209D6B04B4ED72 + 13000.50ns INFO [00013002] Port=0 WR @04=05E1845521482AA5E8 + 13001.50ns INFO [00013003] * RD COMPARE * port=0 adr=04 act=2B391CEDD8AC527CAD exp=2B391CEDD8AC527CAD + 13001.50ns INFO [00013003] Port=0 RD @06 + 13001.50ns INFO [00013003] Port=1 RD @02 + 13002.50ns INFO [00013004] Port=0 RD @03 + 13002.50ns INFO [00013004] Port=1 RD @07 + 13003.50ns INFO [00013005] * RD COMPARE * port=0 adr=06 act=A79295E03BC993DB4E exp=A79295E03BC993DB4E + 13003.50ns INFO [00013005] * RD COMPARE * port=1 adr=02 act=4125A8764CCF39D5F6 exp=4125A8764CCF39D5F6 + 13003.50ns INFO [00013005] Port=0 WR @01=EE8166C35C7F435F73 + 13004.50ns INFO [00013006] * RD COMPARE * port=0 adr=03 act=0D0D209D6B04B4ED72 exp=0D0D209D6B04B4ED72 + 13004.50ns INFO [00013006] * RD COMPARE * port=1 adr=07 act=E59382FADC50F927A1 exp=E59382FADC50F927A1 + 13004.50ns INFO [00013006] Port=1 RD @00 + 13005.50ns INFO [00013007] Port=0 WR @05=1ABE19921C3CB330F5 + 13005.50ns INFO [00013007] Port=0 RD @04 + 13006.50ns INFO [00013008] * RD COMPARE * port=1 adr=00 act=B4934B1DD2DF3AA682 exp=B4934B1DD2DF3AA682 + 13007.50ns INFO [00013009] * RD COMPARE * port=0 adr=04 act=05E1845521482AA5E8 exp=05E1845521482AA5E8 + 13008.50ns INFO [00013010] Port=0 RD @03 + 13010.50ns INFO [00013012] * RD COMPARE * port=0 adr=03 act=0D0D209D6B04B4ED72 exp=0D0D209D6B04B4ED72 + 13010.50ns INFO [00013012] Port=1 RD @03 + 13011.50ns INFO [00013013] Port=1 RD @02 + 13012.50ns INFO [00013014] * RD COMPARE * port=1 adr=03 act=0D0D209D6B04B4ED72 exp=0D0D209D6B04B4ED72 + 13012.50ns INFO [00013014] Port=0 WR @00=0E5A1B10D31B545DD0 + 13012.50ns INFO [00013014] Port=0 RD @05 + 13013.50ns INFO [00013015] * RD COMPARE * port=1 adr=02 act=4125A8764CCF39D5F6 exp=4125A8764CCF39D5F6 + 13013.50ns INFO [00013015] Port=0 RD @00 + 13013.50ns INFO [00013015] Port=1 RD @02 + 13014.50ns INFO [00013016] * RD COMPARE * port=0 adr=05 act=1ABE19921C3CB330F5 exp=1ABE19921C3CB330F5 + 13015.50ns INFO [00013017] * RD COMPARE * port=0 adr=00 act=0E5A1B10D31B545DD0 exp=0E5A1B10D31B545DD0 + 13015.50ns INFO [00013017] * RD COMPARE * port=1 adr=02 act=4125A8764CCF39D5F6 exp=4125A8764CCF39D5F6 + 13017.50ns INFO [00013019] Port=0 WR @01=78879D6721E40F1547 + 13017.50ns INFO [00013019] Port=0 RD @04 + 13018.50ns INFO [00013020] Port=0 RD @01 + 13019.50ns INFO [00013021] * RD COMPARE * port=0 adr=04 act=05E1845521482AA5E8 exp=05E1845521482AA5E8 + 13019.50ns INFO [00013021] Port=0 RD @05 + 13019.50ns INFO [00013021] Port=1 RD @05 + 13020.50ns INFO [00013022] * RD COMPARE * port=0 adr=01 act=78879D6721E40F1547 exp=78879D6721E40F1547 + 13020.50ns INFO [00013022] Port=0 WR @06=82E3B85A01A8E4B251 + 13020.50ns INFO [00013022] Port=0 RD @04 + 13020.50ns INFO [00013022] Port=1 RD @01 + 13021.50ns INFO [00013023] * RD COMPARE * port=0 adr=05 act=1ABE19921C3CB330F5 exp=1ABE19921C3CB330F5 + 13021.50ns INFO [00013023] * RD COMPARE * port=1 adr=05 act=1ABE19921C3CB330F5 exp=1ABE19921C3CB330F5 + 13022.50ns INFO [00013024] * RD COMPARE * port=0 adr=04 act=05E1845521482AA5E8 exp=05E1845521482AA5E8 + 13022.50ns INFO [00013024] * RD COMPARE * port=1 adr=01 act=78879D6721E40F1547 exp=78879D6721E40F1547 + 13022.50ns INFO [00013024] Port=0 WR @00=E20E3F126CF841D31C + 13022.50ns INFO [00013024] Port=1 RD @06 + 13023.50ns INFO [00013025] Port=0 RD @03 + 13024.50ns INFO [00013026] * RD COMPARE * port=1 adr=06 act=82E3B85A01A8E4B251 exp=82E3B85A01A8E4B251 + 13025.50ns INFO [00013027] * RD COMPARE * port=0 adr=03 act=0D0D209D6B04B4ED72 exp=0D0D209D6B04B4ED72 + 13025.50ns INFO [00013027] Port=0 WR @06=5BA7DDD7F8836E6844 + 13025.50ns INFO [00013027] Port=1 RD @04 + 13026.50ns INFO [00013028] Port=1 RD @06 + 13027.50ns INFO [00013029] * RD COMPARE * port=1 adr=04 act=05E1845521482AA5E8 exp=05E1845521482AA5E8 + 13027.50ns INFO [00013029] Port=0 WR @02=101ADF1303773205EF + 13027.50ns INFO [00013029] Port=0 RD @06 + 13028.50ns INFO [00013030] * RD COMPARE * port=1 adr=06 act=5BA7DDD7F8836E6844 exp=5BA7DDD7F8836E6844 + 13028.50ns INFO [00013030] Port=0 RD @02 + 13029.50ns INFO [00013031] * RD COMPARE * port=0 adr=06 act=5BA7DDD7F8836E6844 exp=5BA7DDD7F8836E6844 + 13029.50ns INFO [00013031] Port=1 RD @00 + 13030.50ns INFO [00013032] * RD COMPARE * port=0 adr=02 act=101ADF1303773205EF exp=101ADF1303773205EF + 13030.50ns INFO [00013032] Port=0 WR @02=2C9681700B01275830 + 13030.50ns INFO [00013032] Port=0 RD @01 + 13030.50ns INFO [00013032] Port=1 RD @07 + 13031.50ns INFO [00013033] * RD COMPARE * port=1 adr=00 act=E20E3F126CF841D31C exp=E20E3F126CF841D31C + 13031.50ns INFO [00013033] Port=0 RD @04 + 13031.50ns INFO [00013033] Port=1 RD @01 + 13032.50ns INFO [00013034] * RD COMPARE * port=0 adr=01 act=78879D6721E40F1547 exp=78879D6721E40F1547 + 13032.50ns INFO [00013034] * RD COMPARE * port=1 adr=07 act=E59382FADC50F927A1 exp=E59382FADC50F927A1 + 13032.50ns INFO [00013034] Port=0 WR @03=6742C3F97E56C8C0A0 + 13033.50ns INFO [00013035] * RD COMPARE * port=0 adr=04 act=05E1845521482AA5E8 exp=05E1845521482AA5E8 + 13033.50ns INFO [00013035] * RD COMPARE * port=1 adr=01 act=78879D6721E40F1547 exp=78879D6721E40F1547 + 13034.50ns INFO [00013036] Port=0 RD @07 + 13035.50ns INFO [00013037] Port=0 WR @03=F9A2363CB34918D8E4 + 13035.50ns INFO [00013037] Port=1 RD @05 + 13036.50ns INFO [00013038] * RD COMPARE * port=0 adr=07 act=E59382FADC50F927A1 exp=E59382FADC50F927A1 + 13036.50ns INFO [00013038] Port=1 RD @01 + 13037.50ns INFO [00013039] * RD COMPARE * port=1 adr=05 act=1ABE19921C3CB330F5 exp=1ABE19921C3CB330F5 + 13037.50ns INFO [00013039] Port=0 RD @00 + 13037.50ns INFO [00013039] Port=1 RD @01 + 13038.50ns INFO [00013040] * RD COMPARE * port=1 adr=01 act=78879D6721E40F1547 exp=78879D6721E40F1547 + 13038.50ns INFO [00013040] Port=1 RD @04 + 13039.50ns INFO [00013041] * RD COMPARE * port=0 adr=00 act=E20E3F126CF841D31C exp=E20E3F126CF841D31C + 13039.50ns INFO [00013041] * RD COMPARE * port=1 adr=01 act=78879D6721E40F1547 exp=78879D6721E40F1547 + 13040.50ns INFO [00013042] * RD COMPARE * port=1 adr=04 act=05E1845521482AA5E8 exp=05E1845521482AA5E8 + 13040.50ns INFO [00013042] Port=0 WR @07=DEC6677AAA82CD0D0F + 13040.50ns INFO [00013042] Port=0 RD @04 + 13042.50ns INFO [00013044] * RD COMPARE * port=0 adr=04 act=05E1845521482AA5E8 exp=05E1845521482AA5E8 + 13046.50ns INFO [00013048] Port=0 RD @01 + 13046.50ns INFO [00013048] Port=1 RD @04 + 13047.50ns INFO [00013049] Port=0 WR @03=294490BF0AA7863E0A + 13048.50ns INFO [00013050] * RD COMPARE * port=0 adr=01 act=78879D6721E40F1547 exp=78879D6721E40F1547 + 13048.50ns INFO [00013050] * RD COMPARE * port=1 adr=04 act=05E1845521482AA5E8 exp=05E1845521482AA5E8 + 13049.50ns INFO [00013051] Port=0 WR @04=550F0F7CA67DB43A97 + 13049.50ns INFO [00013051] Port=0 RD @01 + 13050.50ns INFO [00013052] Port=0 RD @06 + 13051.50ns INFO [00013053] * RD COMPARE * port=0 adr=01 act=78879D6721E40F1547 exp=78879D6721E40F1547 + 13052.50ns INFO [00013054] * RD COMPARE * port=0 adr=06 act=5BA7DDD7F8836E6844 exp=5BA7DDD7F8836E6844 + 13052.50ns INFO [00013054] Port=1 RD @05 + 13053.50ns INFO [00013055] Port=0 RD @02 + 13053.50ns INFO [00013055] Port=1 RD @07 + 13054.50ns INFO [00013056] * RD COMPARE * port=1 adr=05 act=1ABE19921C3CB330F5 exp=1ABE19921C3CB330F5 + 13054.50ns INFO [00013056] Port=0 WR @01=EF564091FA5F24A617 + 13054.50ns INFO [00013056] Port=0 RD @03 + 13055.50ns INFO [00013057] * RD COMPARE * port=0 adr=02 act=2C9681700B01275830 exp=2C9681700B01275830 + 13055.50ns INFO [00013057] * RD COMPARE * port=1 adr=07 act=DEC6677AAA82CD0D0F exp=DEC6677AAA82CD0D0F + 13055.50ns INFO [00013057] Port=0 WR @02=7500C19559A1AA5D85 + 13056.50ns INFO [00013058] * RD COMPARE * port=0 adr=03 act=294490BF0AA7863E0A exp=294490BF0AA7863E0A + 13056.50ns INFO [00013058] Port=0 WR @02=6565994D65EE9D4424 + 13058.50ns INFO [00013060] Port=1 RD @04 + 13059.50ns INFO [00013061] Port=0 WR @00=2DFE7EE180325BD826 + 13059.50ns INFO [00013061] Port=0 RD @02 + 13059.50ns INFO [00013061] Port=1 RD @03 + 13060.50ns INFO [00013062] * RD COMPARE * port=1 adr=04 act=550F0F7CA67DB43A97 exp=550F0F7CA67DB43A97 + 13060.50ns INFO [00013062] Port=0 WR @00=0ECFD1C3830752082A + 13060.50ns INFO [00013062] Port=1 RD @05 + 13061.50ns INFO [00013063] * RD COMPARE * port=0 adr=02 act=6565994D65EE9D4424 exp=6565994D65EE9D4424 + 13061.50ns INFO [00013063] * RD COMPARE * port=1 adr=03 act=294490BF0AA7863E0A exp=294490BF0AA7863E0A + 13061.50ns INFO [00013063] Port=0 WR @00=D755A3E2686C19E671 + 13062.50ns INFO [00013064] * RD COMPARE * port=1 adr=05 act=1ABE19921C3CB330F5 exp=1ABE19921C3CB330F5 + 13063.50ns INFO [00013065] Port=0 RD @04 + 13064.50ns INFO [00013066] Port=0 RD @06 + 13064.50ns INFO [00013066] Port=1 RD @06 + 13065.50ns INFO [00013067] * RD COMPARE * port=0 adr=04 act=550F0F7CA67DB43A97 exp=550F0F7CA67DB43A97 + 13065.50ns INFO [00013067] Port=0 WR @03=3106B4DACB8225B075 + 13065.50ns INFO [00013067] Port=1 RD @06 + 13066.50ns INFO [00013068] * RD COMPARE * port=0 adr=06 act=5BA7DDD7F8836E6844 exp=5BA7DDD7F8836E6844 + 13066.50ns INFO [00013068] * RD COMPARE * port=1 adr=06 act=5BA7DDD7F8836E6844 exp=5BA7DDD7F8836E6844 + 13066.50ns INFO [00013068] Port=0 WR @00=98B4E85F366494B36A + 13067.50ns INFO [00013069] * RD COMPARE * port=1 adr=06 act=5BA7DDD7F8836E6844 exp=5BA7DDD7F8836E6844 + 13067.50ns INFO [00013069] Port=0 WR @01=36D16B522FFE8633D5 + 13067.50ns INFO [00013069] Port=1 RD @00 + 13068.50ns INFO [00013070] Port=0 RD @04 + 13069.50ns INFO [00013071] * RD COMPARE * port=1 adr=00 act=98B4E85F366494B36A exp=98B4E85F366494B36A + 13069.50ns INFO [00013071] Port=0 WR @07=7BCCCF0A18BBE893D6 + 13070.50ns INFO [00013072] * RD COMPARE * port=0 adr=04 act=550F0F7CA67DB43A97 exp=550F0F7CA67DB43A97 + 13070.50ns INFO [00013072] Port=0 RD @07 + 13071.50ns INFO [00013073] Port=1 RD @04 + 13072.50ns INFO [00013074] * RD COMPARE * port=0 adr=07 act=7BCCCF0A18BBE893D6 exp=7BCCCF0A18BBE893D6 + 13072.50ns INFO [00013074] Port=1 RD @00 + 13073.50ns INFO [00013075] * RD COMPARE * port=1 adr=04 act=550F0F7CA67DB43A97 exp=550F0F7CA67DB43A97 + 13073.50ns INFO [00013075] Port=0 WR @01=6C66746653BB8272EA + 13074.50ns INFO [00013076] * RD COMPARE * port=1 adr=00 act=98B4E85F366494B36A exp=98B4E85F366494B36A + 13074.50ns INFO [00013076] Port=1 RD @05 + 13075.50ns INFO [00013077] Port=0 RD @01 + 13075.50ns INFO [00013077] Port=1 RD @00 + 13076.50ns INFO [00013078] * RD COMPARE * port=1 adr=05 act=1ABE19921C3CB330F5 exp=1ABE19921C3CB330F5 + 13076.50ns INFO [00013078] Port=0 WR @07=95AABE387E9458B679 + 13076.50ns INFO [00013078] Port=1 RD @06 + 13077.50ns INFO [00013079] * RD COMPARE * port=0 adr=01 act=6C66746653BB8272EA exp=6C66746653BB8272EA + 13077.50ns INFO [00013079] * RD COMPARE * port=1 adr=00 act=98B4E85F366494B36A exp=98B4E85F366494B36A + 13077.50ns INFO [00013079] Port=0 RD @02 + 13078.50ns INFO [00013080] * RD COMPARE * port=1 adr=06 act=5BA7DDD7F8836E6844 exp=5BA7DDD7F8836E6844 + 13079.50ns INFO [00013081] * RD COMPARE * port=0 adr=02 act=6565994D65EE9D4424 exp=6565994D65EE9D4424 + 13079.50ns INFO [00013081] Port=0 WR @05=240D0EFD2901A39CC4 + 13079.50ns INFO [00013081] Port=1 RD @02 + 13080.50ns INFO [00013082] Port=0 WR @01=EEB84AF8AADA3B230F + 13081.50ns INFO [00013083] * RD COMPARE * port=1 adr=02 act=6565994D65EE9D4424 exp=6565994D65EE9D4424 + 13082.50ns INFO [00013084] Port=0 RD @07 + 13082.50ns INFO [00013084] Port=1 RD @04 + 13083.50ns INFO [00013085] Port=0 WR @05=9D2E6530476F9BF820 + 13083.50ns INFO [00013085] Port=1 RD @07 + 13084.50ns INFO [00013086] * RD COMPARE * port=0 adr=07 act=95AABE387E9458B679 exp=95AABE387E9458B679 + 13084.50ns INFO [00013086] * RD COMPARE * port=1 adr=04 act=550F0F7CA67DB43A97 exp=550F0F7CA67DB43A97 + 13085.50ns INFO [00013087] * RD COMPARE * port=1 adr=07 act=95AABE387E9458B679 exp=95AABE387E9458B679 + 13085.50ns INFO [00013087] Port=0 WR @03=B08F4EFF5E867EA6D1 + 13086.50ns INFO [00013088] Port=0 WR @03=DDB3DA67A665069E77 + 13088.50ns INFO [00013090] Port=0 RD @00 + 13088.50ns INFO [00013090] Port=1 RD @04 + 13089.50ns INFO [00013091] Port=0 RD @05 + 13089.50ns INFO [00013091] Port=1 RD @02 + 13090.50ns INFO [00013092] * RD COMPARE * port=0 adr=00 act=98B4E85F366494B36A exp=98B4E85F366494B36A + 13090.50ns INFO [00013092] * RD COMPARE * port=1 adr=04 act=550F0F7CA67DB43A97 exp=550F0F7CA67DB43A97 + 13090.50ns INFO [00013092] Port=0 WR @00=45C1E422775C12A81B + 13090.50ns INFO [00013092] Port=1 RD @02 + 13091.50ns INFO [00013093] * RD COMPARE * port=0 adr=05 act=9D2E6530476F9BF820 exp=9D2E6530476F9BF820 + 13091.50ns INFO [00013093] * RD COMPARE * port=1 adr=02 act=6565994D65EE9D4424 exp=6565994D65EE9D4424 + 13091.50ns INFO [00013093] Port=1 RD @05 + 13092.50ns INFO [00013094] * RD COMPARE * port=1 adr=02 act=6565994D65EE9D4424 exp=6565994D65EE9D4424 + 13092.50ns INFO [00013094] Port=0 RD @01 + 13093.50ns INFO [00013095] * RD COMPARE * port=1 adr=05 act=9D2E6530476F9BF820 exp=9D2E6530476F9BF820 + 13093.50ns INFO [00013095] Port=1 RD @00 + 13094.50ns INFO [00013096] * RD COMPARE * port=0 adr=01 act=EEB84AF8AADA3B230F exp=EEB84AF8AADA3B230F + 13095.50ns INFO [00013097] * RD COMPARE * port=1 adr=00 act=45C1E422775C12A81B exp=45C1E422775C12A81B + 13095.50ns INFO [00013097] Port=0 WR @07=A2FF29B3F291B0D48B + 13095.50ns INFO [00013097] Port=1 RD @04 + 13096.50ns INFO [00013098] Port=0 WR @03=682E2BA07016096EE5 + 13096.50ns INFO [00013098] Port=0 RD @02 + 13097.50ns INFO [00013099] * RD COMPARE * port=1 adr=04 act=550F0F7CA67DB43A97 exp=550F0F7CA67DB43A97 + 13097.50ns INFO [00013099] Port=0 WR @02=BA8E281644748E68C8 + 13097.50ns INFO [00013099] Port=0 RD @00 + 13097.50ns INFO [00013099] Port=1 RD @06 + 13098.00ns INFO [00013100] [00013100] ...tick... + 13098.50ns INFO [00013100] * RD COMPARE * port=0 adr=02 act=6565994D65EE9D4424 exp=6565994D65EE9D4424 + 13099.50ns INFO [00013101] * RD COMPARE * port=0 adr=00 act=45C1E422775C12A81B exp=45C1E422775C12A81B + 13099.50ns INFO [00013101] * RD COMPARE * port=1 adr=06 act=5BA7DDD7F8836E6844 exp=5BA7DDD7F8836E6844 + 13099.50ns INFO [00013101] Port=0 RD @02 + 13100.50ns INFO [00013102] Port=0 RD @06 + 13101.50ns INFO [00013103] * RD COMPARE * port=0 adr=02 act=BA8E281644748E68C8 exp=BA8E281644748E68C8 + 13101.50ns INFO [00013103] Port=0 WR @07=8C4A424DA09D1C7148 + 13101.50ns INFO [00013103] Port=0 RD @04 + 13101.50ns INFO [00013103] Port=1 RD @01 + 13102.50ns INFO [00013104] * RD COMPARE * port=0 adr=06 act=5BA7DDD7F8836E6844 exp=5BA7DDD7F8836E6844 + 13102.50ns INFO [00013104] Port=0 WR @02=D63D23ADC7F78537CB + 13102.50ns INFO [00013104] Port=1 RD @07 + 13103.50ns INFO [00013105] * RD COMPARE * port=0 adr=04 act=550F0F7CA67DB43A97 exp=550F0F7CA67DB43A97 + 13103.50ns INFO [00013105] * RD COMPARE * port=1 adr=01 act=EEB84AF8AADA3B230F exp=EEB84AF8AADA3B230F + 13103.50ns INFO [00013105] Port=0 WR @05=B0CE14FBAA59276AC2 + 13104.50ns INFO [00013106] * RD COMPARE * port=1 adr=07 act=8C4A424DA09D1C7148 exp=8C4A424DA09D1C7148 + 13104.50ns INFO [00013106] Port=1 RD @00 + 13105.50ns INFO [00013107] Port=0 RD @01 + 13106.50ns INFO [00013108] * RD COMPARE * port=1 adr=00 act=45C1E422775C12A81B exp=45C1E422775C12A81B + 13106.50ns INFO [00013108] Port=0 WR @06=CC6892B79400AD152D + 13106.50ns INFO [00013108] Port=0 RD @02 + 13107.50ns INFO [00013109] * RD COMPARE * port=0 adr=01 act=EEB84AF8AADA3B230F exp=EEB84AF8AADA3B230F + 13108.50ns INFO [00013110] * RD COMPARE * port=0 adr=02 act=D63D23ADC7F78537CB exp=D63D23ADC7F78537CB + 13108.50ns INFO [00013110] Port=0 RD @01 + 13109.50ns INFO [00013111] Port=0 WR @01=BBA2ECD178F07FAAF1 + 13109.50ns INFO [00013111] Port=0 RD @06 + 13109.50ns INFO [00013111] Port=1 RD @03 + 13110.50ns INFO [00013112] * RD COMPARE * port=0 adr=01 act=EEB84AF8AADA3B230F exp=EEB84AF8AADA3B230F + 13110.50ns INFO [00013112] Port=0 WR @04=95542BBA920BA49FA6 + 13111.50ns INFO [00013113] * RD COMPARE * port=0 adr=06 act=CC6892B79400AD152D exp=CC6892B79400AD152D + 13111.50ns INFO [00013113] * RD COMPARE * port=1 adr=03 act=682E2BA07016096EE5 exp=682E2BA07016096EE5 + 13111.50ns INFO [00013113] Port=0 WR @04=5D0CC7BC6A7AEA5EA1 + 13111.50ns INFO [00013113] Port=0 RD @05 + 13112.50ns INFO [00013114] Port=0 WR @04=A68A0BD4876A36C625 + 13113.50ns INFO [00013115] * RD COMPARE * port=0 adr=05 act=B0CE14FBAA59276AC2 exp=B0CE14FBAA59276AC2 + 13113.50ns INFO [00013115] Port=1 RD @07 + 13115.50ns INFO [00013117] * RD COMPARE * port=1 adr=07 act=8C4A424DA09D1C7148 exp=8C4A424DA09D1C7148 + 13116.50ns INFO [00013118] Port=0 WR @01=896C94A619504D2583 + 13117.50ns INFO [00013119] Port=0 RD @05 + 13118.50ns INFO [00013120] Port=1 RD @04 + 13119.50ns INFO [00013121] * RD COMPARE * port=0 adr=05 act=B0CE14FBAA59276AC2 exp=B0CE14FBAA59276AC2 + 13119.50ns INFO [00013121] Port=0 WR @00=F2375B2018D4A3AEEE + 13120.50ns INFO [00013122] * RD COMPARE * port=1 adr=04 act=A68A0BD4876A36C625 exp=A68A0BD4876A36C625 + 13120.50ns INFO [00013122] Port=0 WR @03=796ED788A50C99451A + 13120.50ns INFO [00013122] Port=1 RD @00 + 13121.50ns INFO [00013123] Port=0 WR @07=2D5CF85CF669D922CA + 13122.50ns INFO [00013124] * RD COMPARE * port=1 adr=00 act=F2375B2018D4A3AEEE exp=F2375B2018D4A3AEEE + 13122.50ns INFO [00013124] Port=0 RD @07 + 13123.50ns INFO [00013125] Port=1 RD @03 + 13124.50ns INFO [00013126] * RD COMPARE * port=0 adr=07 act=2D5CF85CF669D922CA exp=2D5CF85CF669D922CA + 13125.50ns INFO [00013127] * RD COMPARE * port=1 adr=03 act=796ED788A50C99451A exp=796ED788A50C99451A + 13125.50ns INFO [00013127] Port=0 WR @03=1EF14EB2763B39B50F + 13125.50ns INFO [00013127] Port=1 RD @04 + 13127.50ns INFO [00013129] * RD COMPARE * port=1 adr=04 act=A68A0BD4876A36C625 exp=A68A0BD4876A36C625 + 13127.50ns INFO [00013129] Port=0 WR @04=8C2B58B96C9568D8E9 + 13127.50ns INFO [00013129] Port=0 RD @07 + 13128.50ns INFO [00013130] Port=0 WR @07=4F083DBEFC305D4FCB + 13129.50ns INFO [00013131] * RD COMPARE * port=0 adr=07 act=2D5CF85CF669D922CA exp=2D5CF85CF669D922CA + 13129.50ns INFO [00013131] Port=1 RD @00 + 13130.50ns INFO [00013132] Port=0 WR @02=06D2C66F6574607755 + 13130.50ns INFO [00013132] Port=0 RD @07 + 13131.50ns INFO [00013133] * RD COMPARE * port=1 adr=00 act=F2375B2018D4A3AEEE exp=F2375B2018D4A3AEEE + 13132.50ns INFO [00013134] * RD COMPARE * port=0 adr=07 act=4F083DBEFC305D4FCB exp=4F083DBEFC305D4FCB + 13132.50ns INFO [00013134] Port=0 WR @02=0B2520D9B57E33E7B0 + 13132.50ns INFO [00013134] Port=1 RD @00 + 13133.50ns INFO [00013135] Port=1 RD @01 + 13134.50ns INFO [00013136] * RD COMPARE * port=1 adr=00 act=F2375B2018D4A3AEEE exp=F2375B2018D4A3AEEE + 13135.50ns INFO [00013137] * RD COMPARE * port=1 adr=01 act=896C94A619504D2583 exp=896C94A619504D2583 + 13135.50ns INFO [00013137] Port=0 WR @01=A48BCE495F6B14AF6F + 13136.50ns INFO [00013138] Port=0 WR @05=378FFD20EEFA845EE3 + 13136.50ns INFO [00013138] Port=0 RD @02 + 13137.50ns INFO [00013139] Port=0 WR @06=BF8B8A60BE0E7B69D0 + 13137.50ns INFO [00013139] Port=0 RD @02 + 13138.50ns INFO [00013140] * RD COMPARE * port=0 adr=02 act=0B2520D9B57E33E7B0 exp=0B2520D9B57E33E7B0 + 13138.50ns INFO [00013140] Port=1 RD @00 + 13139.50ns INFO [00013141] * RD COMPARE * port=0 adr=02 act=0B2520D9B57E33E7B0 exp=0B2520D9B57E33E7B0 + 13139.50ns INFO [00013141] Port=0 WR @05=1593E7AECA99F7F923 + 13139.50ns INFO [00013141] Port=1 RD @02 + 13140.50ns INFO [00013142] * RD COMPARE * port=1 adr=00 act=F2375B2018D4A3AEEE exp=F2375B2018D4A3AEEE + 13140.50ns INFO [00013142] Port=0 WR @01=42AF15A658A1FA0C2D + 13141.50ns INFO [00013143] * RD COMPARE * port=1 adr=02 act=0B2520D9B57E33E7B0 exp=0B2520D9B57E33E7B0 + 13141.50ns INFO [00013143] Port=0 WR @06=680EF052362EBD31D6 + 13141.50ns INFO [00013143] Port=0 RD @04 + 13141.50ns INFO [00013143] Port=1 RD @01 + 13142.50ns INFO [00013144] Port=0 WR @04=609EAEF5EB6079AFA9 + 13143.50ns INFO [00013145] * RD COMPARE * port=0 adr=04 act=8C2B58B96C9568D8E9 exp=8C2B58B96C9568D8E9 + 13143.50ns INFO [00013145] * RD COMPARE * port=1 adr=01 act=42AF15A658A1FA0C2D exp=42AF15A658A1FA0C2D + 13143.50ns INFO [00013145] Port=0 RD @04 + 13144.50ns INFO [00013146] Port=1 RD @02 + 13145.50ns INFO [00013147] * RD COMPARE * port=0 adr=04 act=609EAEF5EB6079AFA9 exp=609EAEF5EB6079AFA9 + 13145.50ns INFO [00013147] Port=0 RD @06 + 13146.50ns INFO [00013148] * RD COMPARE * port=1 adr=02 act=0B2520D9B57E33E7B0 exp=0B2520D9B57E33E7B0 + 13147.50ns INFO [00013149] * RD COMPARE * port=0 adr=06 act=680EF052362EBD31D6 exp=680EF052362EBD31D6 + 13148.50ns INFO [00013150] Port=0 WR @07=F5C88121335FB5A666 + 13149.50ns INFO [00013151] Port=0 WR @03=EE744EDD765FF86C53 + 13150.50ns INFO [00013152] Port=0 RD @04 + 13151.50ns INFO [00013153] Port=0 WR @07=4363C90B1478CDA853 + 13152.50ns INFO [00013154] * RD COMPARE * port=0 adr=04 act=609EAEF5EB6079AFA9 exp=609EAEF5EB6079AFA9 + 13152.50ns INFO [00013154] Port=0 WR @00=E9DB055AFA38373472 + 13153.50ns INFO [00013155] Port=0 WR @07=D00037C9201C6CCF49 + 13153.50ns INFO [00013155] Port=1 RD @00 + 13154.50ns INFO [00013156] Port=0 RD @07 + 13154.50ns INFO [00013156] Port=1 RD @03 + 13155.50ns INFO [00013157] * RD COMPARE * port=1 adr=00 act=E9DB055AFA38373472 exp=E9DB055AFA38373472 + 13156.50ns INFO [00013158] * RD COMPARE * port=0 adr=07 act=D00037C9201C6CCF49 exp=D00037C9201C6CCF49 + 13156.50ns INFO [00013158] * RD COMPARE * port=1 adr=03 act=EE744EDD765FF86C53 exp=EE744EDD765FF86C53 + 13157.50ns INFO [00013159] Port=0 RD @02 + 13157.50ns INFO [00013159] Port=1 RD @07 + 13159.50ns INFO [00013161] * RD COMPARE * port=0 adr=02 act=0B2520D9B57E33E7B0 exp=0B2520D9B57E33E7B0 + 13159.50ns INFO [00013161] * RD COMPARE * port=1 adr=07 act=D00037C9201C6CCF49 exp=D00037C9201C6CCF49 + 13159.50ns INFO [00013161] Port=0 WR @03=F2B7AA1057134AE116 + 13159.50ns INFO [00013161] Port=1 RD @02 + 13160.50ns INFO [00013162] Port=0 RD @06 + 13161.50ns INFO [00013163] * RD COMPARE * port=1 adr=02 act=0B2520D9B57E33E7B0 exp=0B2520D9B57E33E7B0 + 13161.50ns INFO [00013163] Port=0 WR @05=FA2473A5F6EA4855F5 + 13161.50ns INFO [00013163] Port=0 RD @07 + 13162.50ns INFO [00013164] * RD COMPARE * port=0 adr=06 act=680EF052362EBD31D6 exp=680EF052362EBD31D6 + 13163.50ns INFO [00013165] * RD COMPARE * port=0 adr=07 act=D00037C9201C6CCF49 exp=D00037C9201C6CCF49 + 13163.50ns INFO [00013165] Port=0 WR @05=3872C4B36169CF6E85 + 13163.50ns INFO [00013165] Port=1 RD @00 + 13164.50ns INFO [00013166] Port=0 RD @07 + 13164.50ns INFO [00013166] Port=1 RD @07 + 13165.50ns INFO [00013167] * RD COMPARE * port=1 adr=00 act=E9DB055AFA38373472 exp=E9DB055AFA38373472 + 13165.50ns INFO [00013167] Port=1 RD @01 + 13166.50ns INFO [00013168] * RD COMPARE * port=0 adr=07 act=D00037C9201C6CCF49 exp=D00037C9201C6CCF49 + 13166.50ns INFO [00013168] * RD COMPARE * port=1 adr=07 act=D00037C9201C6CCF49 exp=D00037C9201C6CCF49 + 13166.50ns INFO [00013168] Port=0 RD @04 + 13166.50ns INFO [00013168] Port=1 RD @06 + 13167.50ns INFO [00013169] * RD COMPARE * port=1 adr=01 act=42AF15A658A1FA0C2D exp=42AF15A658A1FA0C2D + 13167.50ns INFO [00013169] Port=0 WR @05=4C7B1A01384F698989 + 13167.50ns INFO [00013169] Port=0 RD @06 + 13167.50ns INFO [00013169] Port=1 RD @01 + 13168.50ns INFO [00013170] * RD COMPARE * port=0 adr=04 act=609EAEF5EB6079AFA9 exp=609EAEF5EB6079AFA9 + 13168.50ns INFO [00013170] * RD COMPARE * port=1 adr=06 act=680EF052362EBD31D6 exp=680EF052362EBD31D6 + 13168.50ns INFO [00013170] Port=0 RD @01 + 13168.50ns INFO [00013170] Port=1 RD @06 + 13169.50ns INFO [00013171] * RD COMPARE * port=0 adr=06 act=680EF052362EBD31D6 exp=680EF052362EBD31D6 + 13169.50ns INFO [00013171] * RD COMPARE * port=1 adr=01 act=42AF15A658A1FA0C2D exp=42AF15A658A1FA0C2D + 13170.50ns INFO [00013172] * RD COMPARE * port=0 adr=01 act=42AF15A658A1FA0C2D exp=42AF15A658A1FA0C2D + 13170.50ns INFO [00013172] * RD COMPARE * port=1 adr=06 act=680EF052362EBD31D6 exp=680EF052362EBD31D6 + 13170.50ns INFO [00013172] Port=1 RD @03 + 13171.50ns INFO [00013173] Port=0 WR @07=54E98F7F86551FD4BF + 13171.50ns INFO [00013173] Port=1 RD @03 + 13172.50ns INFO [00013174] * RD COMPARE * port=1 adr=03 act=F2B7AA1057134AE116 exp=F2B7AA1057134AE116 + 13172.50ns INFO [00013174] Port=0 WR @04=11CF752C55CC3A0764 + 13173.50ns INFO [00013175] * RD COMPARE * port=1 adr=03 act=F2B7AA1057134AE116 exp=F2B7AA1057134AE116 + 13173.50ns INFO [00013175] Port=1 RD @07 + 13175.50ns INFO [00013177] * RD COMPARE * port=1 adr=07 act=54E98F7F86551FD4BF exp=54E98F7F86551FD4BF + 13176.50ns INFO [00013178] Port=0 WR @07=8650AC285279055119 + 13176.50ns INFO [00013178] Port=1 RD @04 + 13177.50ns INFO [00013179] Port=0 WR @04=412CD083AAB436FD6B + 13177.50ns INFO [00013179] Port=0 RD @05 + 13178.50ns INFO [00013180] * RD COMPARE * port=1 adr=04 act=11CF752C55CC3A0764 exp=11CF752C55CC3A0764 + 13178.50ns INFO [00013180] Port=0 RD @06 + 13179.50ns INFO [00013181] * RD COMPARE * port=0 adr=05 act=4C7B1A01384F698989 exp=4C7B1A01384F698989 + 13180.50ns INFO [00013182] * RD COMPARE * port=0 adr=06 act=680EF052362EBD31D6 exp=680EF052362EBD31D6 + 13180.50ns INFO [00013182] Port=1 RD @00 + 13181.50ns INFO [00013183] Port=0 RD @00 + 13181.50ns INFO [00013183] Port=1 RD @01 + 13182.50ns INFO [00013184] * RD COMPARE * port=1 adr=00 act=E9DB055AFA38373472 exp=E9DB055AFA38373472 + 13183.50ns INFO [00013185] * RD COMPARE * port=0 adr=00 act=E9DB055AFA38373472 exp=E9DB055AFA38373472 + 13183.50ns INFO [00013185] * RD COMPARE * port=1 adr=01 act=42AF15A658A1FA0C2D exp=42AF15A658A1FA0C2D + 13183.50ns INFO [00013185] Port=0 WR @01=163D8499977CE2228E + 13184.50ns INFO [00013186] Port=0 RD @02 + 13185.50ns INFO [00013187] Port=1 RD @04 + 13186.50ns INFO [00013188] * RD COMPARE * port=0 adr=02 act=0B2520D9B57E33E7B0 exp=0B2520D9B57E33E7B0 + 13186.50ns INFO [00013188] Port=0 RD @00 + 13187.50ns INFO [00013189] * RD COMPARE * port=1 adr=04 act=412CD083AAB436FD6B exp=412CD083AAB436FD6B + 13188.50ns INFO [00013190] * RD COMPARE * port=0 adr=00 act=E9DB055AFA38373472 exp=E9DB055AFA38373472 + 13188.50ns INFO [00013190] Port=0 WR @04=5F15A234E58C4870C7 + 13189.50ns INFO [00013191] Port=0 RD @06 + 13191.50ns INFO [00013193] * RD COMPARE * port=0 adr=06 act=680EF052362EBD31D6 exp=680EF052362EBD31D6 + 13192.50ns INFO [00013194] Port=0 RD @04 + 13193.50ns INFO [00013195] Port=0 RD @07 + 13194.50ns INFO [00013196] * RD COMPARE * port=0 adr=04 act=5F15A234E58C4870C7 exp=5F15A234E58C4870C7 + 13194.50ns INFO [00013196] Port=0 WR @04=15851BDA315E5D9578 + 13195.50ns INFO [00013197] * RD COMPARE * port=0 adr=07 act=8650AC285279055119 exp=8650AC285279055119 + 13195.50ns INFO [00013197] Port=0 WR @07=E2DC553F3E4DDBC443 + 13196.50ns INFO [00013198] Port=1 RD @05 + 13197.50ns INFO [00013199] Port=0 RD @00 + 13198.00ns INFO [00013200] [00013200] ...tick... + 13198.50ns INFO [00013200] * RD COMPARE * port=1 adr=05 act=4C7B1A01384F698989 exp=4C7B1A01384F698989 + 13198.50ns INFO [00013200] Port=0 WR @05=1C03E0B0310F0C56C1 + 13199.50ns INFO [00013201] * RD COMPARE * port=0 adr=00 act=E9DB055AFA38373472 exp=E9DB055AFA38373472 + 13200.50ns INFO [00013202] Port=0 RD @01 + 13201.50ns INFO [00013203] Port=0 WR @00=E32E38BA023ACCD6A3 + 13201.50ns INFO [00013203] Port=1 RD @05 + 13202.50ns INFO [00013204] * RD COMPARE * port=0 adr=01 act=163D8499977CE2228E exp=163D8499977CE2228E + 13203.50ns INFO [00013205] * RD COMPARE * port=1 adr=05 act=1C03E0B0310F0C56C1 exp=1C03E0B0310F0C56C1 + 13203.50ns INFO [00013205] Port=1 RD @06 + 13205.50ns INFO [00013207] * RD COMPARE * port=1 adr=06 act=680EF052362EBD31D6 exp=680EF052362EBD31D6 + 13206.50ns INFO [00013208] Port=0 WR @02=F736C0F5D0A049E14F + 13206.50ns INFO [00013208] Port=0 RD @07 + 13206.50ns INFO [00013208] Port=1 RD @01 + 13208.50ns INFO [00013210] * RD COMPARE * port=0 adr=07 act=E2DC553F3E4DDBC443 exp=E2DC553F3E4DDBC443 + 13208.50ns INFO [00013210] * RD COMPARE * port=1 adr=01 act=163D8499977CE2228E exp=163D8499977CE2228E + 13208.50ns INFO [00013210] Port=1 RD @05 + 13209.50ns INFO [00013211] Port=0 WR @03=9B557DD6615B33EE2D + 13209.50ns INFO [00013211] Port=1 RD @04 + 13210.50ns INFO [00013212] * RD COMPARE * port=1 adr=05 act=1C03E0B0310F0C56C1 exp=1C03E0B0310F0C56C1 + 13210.50ns INFO [00013212] Port=0 WR @01=D0589C5C7E2D182310 + 13211.50ns INFO [00013213] * RD COMPARE * port=1 adr=04 act=15851BDA315E5D9578 exp=15851BDA315E5D9578 + 13211.50ns INFO [00013213] Port=1 RD @03 + 13212.50ns INFO [00013214] Port=0 WR @01=58A79EAEC22E2ED682 + 13212.50ns INFO [00013214] Port=0 RD @06 + 13213.50ns INFO [00013215] * RD COMPARE * port=1 adr=03 act=9B557DD6615B33EE2D exp=9B557DD6615B33EE2D + 13214.50ns INFO [00013216] * RD COMPARE * port=0 adr=06 act=680EF052362EBD31D6 exp=680EF052362EBD31D6 + 13214.50ns INFO [00013216] Port=0 WR @06=83136537804A51CED9 + 13214.50ns INFO [00013216] Port=1 RD @00 + 13215.50ns INFO [00013217] Port=0 WR @07=1617EE0EAC56F02B4D + 13215.50ns INFO [00013217] Port=0 RD @00 + 13216.50ns INFO [00013218] * RD COMPARE * port=1 adr=00 act=E32E38BA023ACCD6A3 exp=E32E38BA023ACCD6A3 + 13216.50ns INFO [00013218] Port=0 RD @03 + 13217.50ns INFO [00013219] * RD COMPARE * port=0 adr=00 act=E32E38BA023ACCD6A3 exp=E32E38BA023ACCD6A3 + 13217.50ns INFO [00013219] Port=0 WR @06=3722C2E2C87ECE9FF1 + 13218.50ns INFO [00013220] * RD COMPARE * port=0 adr=03 act=9B557DD6615B33EE2D exp=9B557DD6615B33EE2D + 13218.50ns INFO [00013220] Port=1 RD @03 + 13220.50ns INFO [00013222] * RD COMPARE * port=1 adr=03 act=9B557DD6615B33EE2D exp=9B557DD6615B33EE2D + 13220.50ns INFO [00013222] Port=0 WR @00=521FBB84D132F184E2 + 13220.50ns INFO [00013222] Port=0 RD @01 + 13221.50ns INFO [00013223] Port=0 RD @05 + 13221.50ns INFO [00013223] Port=1 RD @03 + 13222.50ns INFO [00013224] * RD COMPARE * port=0 adr=01 act=58A79EAEC22E2ED682 exp=58A79EAEC22E2ED682 + 13222.50ns INFO [00013224] Port=0 WR @06=36F1C17BE6FE2BF514 + 13223.50ns INFO [00013225] * RD COMPARE * port=0 adr=05 act=1C03E0B0310F0C56C1 exp=1C03E0B0310F0C56C1 + 13223.50ns INFO [00013225] * RD COMPARE * port=1 adr=03 act=9B557DD6615B33EE2D exp=9B557DD6615B33EE2D + 13223.50ns INFO [00013225] Port=0 RD @05 + 13224.50ns INFO [00013226] Port=0 WR @00=7BF5A6D6F7D151A647 + 13224.50ns INFO [00013226] Port=1 RD @01 + 13225.50ns INFO [00013227] * RD COMPARE * port=0 adr=05 act=1C03E0B0310F0C56C1 exp=1C03E0B0310F0C56C1 + 13225.50ns INFO [00013227] Port=0 RD @03 + 13226.50ns INFO [00013228] * RD COMPARE * port=1 adr=01 act=58A79EAEC22E2ED682 exp=58A79EAEC22E2ED682 + 13227.50ns INFO [00013229] * RD COMPARE * port=0 adr=03 act=9B557DD6615B33EE2D exp=9B557DD6615B33EE2D + 13227.50ns INFO [00013229] Port=0 WR @07=DAC921F0C40358683E + 13227.50ns INFO [00013229] Port=0 RD @01 + 13228.50ns INFO [00013230] Port=0 WR @05=2DA5CAB93BA4B3A184 + 13228.50ns INFO [00013230] Port=1 RD @06 + 13229.50ns INFO [00013231] * RD COMPARE * port=0 adr=01 act=58A79EAEC22E2ED682 exp=58A79EAEC22E2ED682 + 13229.50ns INFO [00013231] Port=1 RD @01 + 13230.50ns INFO [00013232] * RD COMPARE * port=1 adr=06 act=36F1C17BE6FE2BF514 exp=36F1C17BE6FE2BF514 + 13231.50ns INFO [00013233] * RD COMPARE * port=1 adr=01 act=58A79EAEC22E2ED682 exp=58A79EAEC22E2ED682 + 13231.50ns INFO [00013233] Port=0 WR @05=6873E00A1A553353EE + 13232.50ns INFO [00013234] Port=1 RD @02 + 13233.50ns INFO [00013235] Port=1 RD @07 + 13234.50ns INFO [00013236] * RD COMPARE * port=1 adr=02 act=F736C0F5D0A049E14F exp=F736C0F5D0A049E14F + 13234.50ns INFO [00013236] Port=0 WR @01=95C1B81F13E5B83FDB + 13235.50ns INFO [00013237] * RD COMPARE * port=1 adr=07 act=DAC921F0C40358683E exp=DAC921F0C40358683E + 13235.50ns INFO [00013237] Port=0 RD @03 + 13236.50ns INFO [00013238] Port=1 RD @00 + 13237.50ns INFO [00013239] * RD COMPARE * port=0 adr=03 act=9B557DD6615B33EE2D exp=9B557DD6615B33EE2D + 13237.50ns INFO [00013239] Port=1 RD @01 + 13238.50ns INFO [00013240] * RD COMPARE * port=1 adr=00 act=7BF5A6D6F7D151A647 exp=7BF5A6D6F7D151A647 + 13238.50ns INFO [00013240] Port=1 RD @05 + 13239.50ns INFO [00013241] * RD COMPARE * port=1 adr=01 act=95C1B81F13E5B83FDB exp=95C1B81F13E5B83FDB + 13239.50ns INFO [00013241] Port=1 RD @03 + 13240.50ns INFO [00013242] * RD COMPARE * port=1 adr=05 act=6873E00A1A553353EE exp=6873E00A1A553353EE + 13241.50ns INFO [00013243] * RD COMPARE * port=1 adr=03 act=9B557DD6615B33EE2D exp=9B557DD6615B33EE2D + 13242.50ns INFO [00013244] Port=0 RD @01 + 13243.50ns INFO [00013245] Port=0 RD @05 + 13244.50ns INFO [00013246] * RD COMPARE * port=0 adr=01 act=95C1B81F13E5B83FDB exp=95C1B81F13E5B83FDB + 13245.50ns INFO [00013247] * RD COMPARE * port=0 adr=05 act=6873E00A1A553353EE exp=6873E00A1A553353EE + 13245.50ns INFO [00013247] Port=1 RD @02 + 13247.50ns INFO [00013249] * RD COMPARE * port=1 adr=02 act=F736C0F5D0A049E14F exp=F736C0F5D0A049E14F + 13247.50ns INFO [00013249] Port=0 WR @07=D7DF1AB1F477569FCC + 13248.50ns INFO [00013250] Port=0 RD @07 + 13249.50ns INFO [00013251] Port=0 WR @02=EEF1D228D819A8916E + 13249.50ns INFO [00013251] Port=0 RD @06 + 13249.50ns INFO [00013251] Port=1 RD @00 + 13250.50ns INFO [00013252] * RD COMPARE * port=0 adr=07 act=D7DF1AB1F477569FCC exp=D7DF1AB1F477569FCC + 13250.50ns INFO [00013252] Port=0 WR @00=2EC4A16AAA9C661E4B + 13250.50ns INFO [00013252] Port=1 RD @01 + 13251.50ns INFO [00013253] * RD COMPARE * port=0 adr=06 act=36F1C17BE6FE2BF514 exp=36F1C17BE6FE2BF514 + 13251.50ns INFO [00013253] * RD COMPARE * port=1 adr=00 act=7BF5A6D6F7D151A647 exp=7BF5A6D6F7D151A647 + 13251.50ns INFO [00013253] Port=0 WR @04=87D9CADA9B1F0F4F1B + 13252.50ns INFO [00013254] * RD COMPARE * port=1 adr=01 act=95C1B81F13E5B83FDB exp=95C1B81F13E5B83FDB + 13252.50ns INFO [00013254] Port=0 WR @04=2536F2887DA394D265 + 13252.50ns INFO [00013254] Port=1 RD @01 + 13253.50ns INFO [00013255] Port=0 WR @02=B078C69270B9FCDBA9 + 13253.50ns INFO [00013255] Port=1 RD @07 + 13254.50ns INFO [00013256] * RD COMPARE * port=1 adr=01 act=95C1B81F13E5B83FDB exp=95C1B81F13E5B83FDB + 13254.50ns INFO [00013256] Port=0 WR @00=91C9113EB6FBA602BD + 13255.50ns INFO [00013257] * RD COMPARE * port=1 adr=07 act=D7DF1AB1F477569FCC exp=D7DF1AB1F477569FCC + 13255.50ns INFO [00013257] Port=0 WR @01=36B571B324BF873FE2 + 13255.50ns INFO [00013257] Port=1 RD @04 + 13257.50ns INFO [00013259] * RD COMPARE * port=1 adr=04 act=2536F2887DA394D265 exp=2536F2887DA394D265 + 13257.50ns INFO [00013259] Port=1 RD @04 + 13258.50ns INFO [00013260] Port=0 WR @02=D882CCD2FF17003A70 + 13259.50ns INFO [00013261] * RD COMPARE * port=1 adr=04 act=2536F2887DA394D265 exp=2536F2887DA394D265 + 13259.50ns INFO [00013261] Port=0 WR @07=DD65E51BB3AD704D77 + 13259.50ns INFO [00013261] Port=1 RD @05 + 13260.50ns INFO [00013262] Port=0 RD @00 + 13260.50ns INFO [00013262] Port=1 RD @01 + 13261.50ns INFO [00013263] * RD COMPARE * port=1 adr=05 act=6873E00A1A553353EE exp=6873E00A1A553353EE + 13261.50ns INFO [00013263] Port=0 RD @07 + 13262.50ns INFO [00013264] * RD COMPARE * port=0 adr=00 act=91C9113EB6FBA602BD exp=91C9113EB6FBA602BD + 13262.50ns INFO [00013264] * RD COMPARE * port=1 adr=01 act=36B571B324BF873FE2 exp=36B571B324BF873FE2 + 13262.50ns INFO [00013264] Port=0 WR @02=1A036A5915DEC29E9E + 13262.50ns INFO [00013264] Port=1 RD @03 + 13263.50ns INFO [00013265] * RD COMPARE * port=0 adr=07 act=DD65E51BB3AD704D77 exp=DD65E51BB3AD704D77 + 13263.50ns INFO [00013265] Port=0 WR @01=4A2CB958FF07C93C03 + 13263.50ns INFO [00013265] Port=0 RD @05 + 13264.50ns INFO [00013266] * RD COMPARE * port=1 adr=03 act=9B557DD6615B33EE2D exp=9B557DD6615B33EE2D + 13265.50ns INFO [00013267] * RD COMPARE * port=0 adr=05 act=6873E00A1A553353EE exp=6873E00A1A553353EE + 13265.50ns INFO [00013267] Port=0 RD @05 + 13265.50ns INFO [00013267] Port=1 RD @02 + 13266.50ns INFO [00013268] Port=0 RD @04 + 13267.50ns INFO [00013269] * RD COMPARE * port=0 adr=05 act=6873E00A1A553353EE exp=6873E00A1A553353EE + 13267.50ns INFO [00013269] * RD COMPARE * port=1 adr=02 act=1A036A5915DEC29E9E exp=1A036A5915DEC29E9E + 13268.50ns INFO [00013270] * RD COMPARE * port=0 adr=04 act=2536F2887DA394D265 exp=2536F2887DA394D265 + 13268.50ns INFO [00013270] Port=0 WR @04=776AFAB38362252198 + 13269.50ns INFO [00013271] Port=1 RD @06 + 13270.50ns INFO [00013272] Port=0 WR @03=416246184598CBC2DA + 13270.50ns INFO [00013272] Port=0 RD @01 + 13270.50ns INFO [00013272] Port=1 RD @01 + 13271.50ns INFO [00013273] * RD COMPARE * port=1 adr=06 act=36F1C17BE6FE2BF514 exp=36F1C17BE6FE2BF514 + 13271.50ns INFO [00013273] Port=0 RD @07 + 13271.50ns INFO [00013273] Port=1 RD @01 + 13272.50ns INFO [00013274] * RD COMPARE * port=0 adr=01 act=4A2CB958FF07C93C03 exp=4A2CB958FF07C93C03 + 13272.50ns INFO [00013274] * RD COMPARE * port=1 adr=01 act=4A2CB958FF07C93C03 exp=4A2CB958FF07C93C03 + 13272.50ns INFO [00013274] Port=0 WR @03=7325C70318124352DF + 13272.50ns INFO [00013274] Port=1 RD @00 + 13273.50ns INFO [00013275] * RD COMPARE * port=0 adr=07 act=DD65E51BB3AD704D77 exp=DD65E51BB3AD704D77 + 13273.50ns INFO [00013275] * RD COMPARE * port=1 adr=01 act=4A2CB958FF07C93C03 exp=4A2CB958FF07C93C03 + 13273.50ns INFO [00013275] Port=0 WR @06=65592FD529A58F6AE3 + 13273.50ns INFO [00013275] Port=0 RD @02 + 13274.50ns INFO [00013276] * RD COMPARE * port=1 adr=00 act=91C9113EB6FBA602BD exp=91C9113EB6FBA602BD + 13274.50ns INFO [00013276] Port=0 RD @04 + 13274.50ns INFO [00013276] Port=1 RD @03 + 13275.50ns INFO [00013277] * RD COMPARE * port=0 adr=02 act=1A036A5915DEC29E9E exp=1A036A5915DEC29E9E + 13275.50ns INFO [00013277] Port=0 WR @07=7C4BABB1B1CBDFCADD + 13275.50ns INFO [00013277] Port=1 RD @06 + 13276.50ns INFO [00013278] * RD COMPARE * port=0 adr=04 act=776AFAB38362252198 exp=776AFAB38362252198 + 13276.50ns INFO [00013278] * RD COMPARE * port=1 adr=03 act=7325C70318124352DF exp=7325C70318124352DF + 13277.50ns INFO [00013279] * RD COMPARE * port=1 adr=06 act=65592FD529A58F6AE3 exp=65592FD529A58F6AE3 + 13277.50ns INFO [00013279] Port=0 RD @03 + 13277.50ns INFO [00013279] Port=1 RD @01 + 13278.50ns INFO [00013280] Port=1 RD @06 + 13279.50ns INFO [00013281] * RD COMPARE * port=0 adr=03 act=7325C70318124352DF exp=7325C70318124352DF + 13279.50ns INFO [00013281] * RD COMPARE * port=1 adr=01 act=4A2CB958FF07C93C03 exp=4A2CB958FF07C93C03 + 13279.50ns INFO [00013281] Port=0 WR @04=652A1D6EC3FC34C2F0 + 13279.50ns INFO [00013281] Port=0 RD @06 + 13280.50ns INFO [00013282] * RD COMPARE * port=1 adr=06 act=65592FD529A58F6AE3 exp=65592FD529A58F6AE3 + 13280.50ns INFO [00013282] Port=0 RD @00 + 13280.50ns INFO [00013282] Port=1 RD @05 + 13281.50ns INFO [00013283] * RD COMPARE * port=0 adr=06 act=65592FD529A58F6AE3 exp=65592FD529A58F6AE3 + 13282.50ns INFO [00013284] * RD COMPARE * port=0 adr=00 act=91C9113EB6FBA602BD exp=91C9113EB6FBA602BD + 13282.50ns INFO [00013284] * RD COMPARE * port=1 adr=05 act=6873E00A1A553353EE exp=6873E00A1A553353EE + 13282.50ns INFO [00013284] Port=1 RD @02 + 13283.50ns INFO [00013285] Port=0 RD @02 + 13283.50ns INFO [00013285] Port=1 RD @06 + 13284.50ns INFO [00013286] * RD COMPARE * port=1 adr=02 act=1A036A5915DEC29E9E exp=1A036A5915DEC29E9E + 13285.50ns INFO [00013287] * RD COMPARE * port=0 adr=02 act=1A036A5915DEC29E9E exp=1A036A5915DEC29E9E + 13285.50ns INFO [00013287] * RD COMPARE * port=1 adr=06 act=65592FD529A58F6AE3 exp=65592FD529A58F6AE3 + 13287.50ns INFO [00013289] Port=1 RD @05 + 13289.50ns INFO [00013291] * RD COMPARE * port=1 adr=05 act=6873E00A1A553353EE exp=6873E00A1A553353EE + 13289.50ns INFO [00013291] Port=1 RD @06 + 13290.50ns INFO [00013292] Port=1 RD @01 + 13291.50ns INFO [00013293] * RD COMPARE * port=1 adr=06 act=65592FD529A58F6AE3 exp=65592FD529A58F6AE3 + 13291.50ns INFO [00013293] Port=0 WR @04=7B95986F4C2776576F + 13291.50ns INFO [00013293] Port=0 RD @07 + 13291.50ns INFO [00013293] Port=1 RD @00 + 13292.50ns INFO [00013294] * RD COMPARE * port=1 adr=01 act=4A2CB958FF07C93C03 exp=4A2CB958FF07C93C03 + 13293.50ns INFO [00013295] * RD COMPARE * port=0 adr=07 act=7C4BABB1B1CBDFCADD exp=7C4BABB1B1CBDFCADD + 13293.50ns INFO [00013295] * RD COMPARE * port=1 adr=00 act=91C9113EB6FBA602BD exp=91C9113EB6FBA602BD + 13294.50ns INFO [00013296] Port=0 WR @05=7668BAD3F8ED966CB1 + 13294.50ns INFO [00013296] Port=0 RD @07 + 13294.50ns INFO [00013296] Port=1 RD @06 + 13295.50ns INFO [00013297] Port=1 RD @07 + 13296.50ns INFO [00013298] * RD COMPARE * port=0 adr=07 act=7C4BABB1B1CBDFCADD exp=7C4BABB1B1CBDFCADD + 13296.50ns INFO [00013298] * RD COMPARE * port=1 adr=06 act=65592FD529A58F6AE3 exp=65592FD529A58F6AE3 + 13296.50ns INFO [00013298] Port=0 RD @00 + 13297.50ns INFO [00013299] * RD COMPARE * port=1 adr=07 act=7C4BABB1B1CBDFCADD exp=7C4BABB1B1CBDFCADD + 13298.00ns INFO [00013300] [00013300] ...tick... + 13298.50ns INFO [00013300] * RD COMPARE * port=0 adr=00 act=91C9113EB6FBA602BD exp=91C9113EB6FBA602BD + 13298.50ns INFO [00013300] Port=0 RD @06 + 13298.50ns INFO [00013300] Port=1 RD @06 + 13299.50ns INFO [00013301] Port=0 WR @03=7F1F247884A065721E + 13300.50ns INFO [00013302] * RD COMPARE * port=0 adr=06 act=65592FD529A58F6AE3 exp=65592FD529A58F6AE3 + 13300.50ns INFO [00013302] * RD COMPARE * port=1 adr=06 act=65592FD529A58F6AE3 exp=65592FD529A58F6AE3 + 13300.50ns INFO [00013302] Port=0 RD @07 + 13300.50ns INFO [00013302] Port=1 RD @03 + 13301.50ns INFO [00013303] Port=0 WR @01=A64E169B03FF757785 + 13301.50ns INFO [00013303] Port=0 RD @02 + 13301.50ns INFO [00013303] Port=1 RD @02 + 13302.50ns INFO [00013304] * RD COMPARE * port=0 adr=07 act=7C4BABB1B1CBDFCADD exp=7C4BABB1B1CBDFCADD + 13302.50ns INFO [00013304] * RD COMPARE * port=1 adr=03 act=7F1F247884A065721E exp=7F1F247884A065721E + 13302.50ns INFO [00013304] Port=0 WR @01=72641C90655979B171 + 13302.50ns INFO [00013304] Port=1 RD @04 + 13303.50ns INFO [00013305] * RD COMPARE * port=0 adr=02 act=1A036A5915DEC29E9E exp=1A036A5915DEC29E9E + 13303.50ns INFO [00013305] * RD COMPARE * port=1 adr=02 act=1A036A5915DEC29E9E exp=1A036A5915DEC29E9E + 13303.50ns INFO [00013305] Port=0 WR @04=65C7D5623EE3A58DE4 + 13304.50ns INFO [00013306] * RD COMPARE * port=1 adr=04 act=7B95986F4C2776576F exp=7B95986F4C2776576F + 13304.50ns INFO [00013306] Port=0 RD @06 + 13304.50ns INFO [00013306] Port=1 RD @03 + 13305.50ns INFO [00013307] Port=0 WR @07=213BC292F894945FBF + 13306.50ns INFO [00013308] * RD COMPARE * port=0 adr=06 act=65592FD529A58F6AE3 exp=65592FD529A58F6AE3 + 13306.50ns INFO [00013308] * RD COMPARE * port=1 adr=03 act=7F1F247884A065721E exp=7F1F247884A065721E + 13306.50ns INFO [00013308] Port=0 RD @02 + 13306.50ns INFO [00013308] Port=1 RD @03 + 13307.50ns INFO [00013309] Port=0 RD @00 + 13307.50ns INFO [00013309] Port=1 RD @02 + 13308.50ns INFO [00013310] * RD COMPARE * port=0 adr=02 act=1A036A5915DEC29E9E exp=1A036A5915DEC29E9E + 13308.50ns INFO [00013310] * RD COMPARE * port=1 adr=03 act=7F1F247884A065721E exp=7F1F247884A065721E + 13308.50ns INFO [00013310] Port=0 WR @05=BED4C66734C6BB9A42 + 13309.50ns INFO [00013311] * RD COMPARE * port=0 adr=00 act=91C9113EB6FBA602BD exp=91C9113EB6FBA602BD + 13309.50ns INFO [00013311] * RD COMPARE * port=1 adr=02 act=1A036A5915DEC29E9E exp=1A036A5915DEC29E9E + 13310.50ns INFO [00013312] Port=0 RD @02 + 13311.50ns INFO [00013313] Port=0 WR @02=8EC8EAF8C7526D6E09 + 13312.50ns INFO [00013314] * RD COMPARE * port=0 adr=02 act=1A036A5915DEC29E9E exp=1A036A5915DEC29E9E + 13312.50ns INFO [00013314] Port=0 WR @05=20725D039427984FC9 + 13312.50ns INFO [00013314] Port=0 RD @00 + 13314.50ns INFO [00013316] * RD COMPARE * port=0 adr=00 act=91C9113EB6FBA602BD exp=91C9113EB6FBA602BD + 13314.50ns INFO [00013316] Port=1 RD @05 + 13315.50ns INFO [00013317] Port=0 WR @02=AD08DBAF2C054472A3 + 13315.50ns INFO [00013317] Port=1 RD @00 + 13316.50ns INFO [00013318] * RD COMPARE * port=1 adr=05 act=20725D039427984FC9 exp=20725D039427984FC9 + 13316.50ns INFO [00013318] Port=1 RD @03 + 13317.50ns INFO [00013319] * RD COMPARE * port=1 adr=00 act=91C9113EB6FBA602BD exp=91C9113EB6FBA602BD + 13318.50ns INFO [00013320] * RD COMPARE * port=1 adr=03 act=7F1F247884A065721E exp=7F1F247884A065721E + 13320.50ns INFO [00013322] Port=0 RD @07 + 13322.50ns INFO [00013324] * RD COMPARE * port=0 adr=07 act=213BC292F894945FBF exp=213BC292F894945FBF + 13323.50ns INFO [00013325] Port=0 WR @00=F368BAE6FBDD9FAAB9 + 13323.50ns INFO [00013325] Port=1 RD @04 + 13324.50ns INFO [00013326] Port=1 RD @00 + 13325.50ns INFO [00013327] * RD COMPARE * port=1 adr=04 act=65C7D5623EE3A58DE4 exp=65C7D5623EE3A58DE4 + 13326.50ns INFO [00013328] * RD COMPARE * port=1 adr=00 act=F368BAE6FBDD9FAAB9 exp=F368BAE6FBDD9FAAB9 + 13326.50ns INFO [00013328] Port=0 RD @05 + 13326.50ns INFO [00013328] Port=1 RD @06 + 13327.50ns INFO [00013329] Port=0 RD @04 + 13327.50ns INFO [00013329] Port=1 RD @04 + 13328.50ns INFO [00013330] * RD COMPARE * port=0 adr=05 act=20725D039427984FC9 exp=20725D039427984FC9 + 13328.50ns INFO [00013330] * RD COMPARE * port=1 adr=06 act=65592FD529A58F6AE3 exp=65592FD529A58F6AE3 + 13328.50ns INFO [00013330] Port=0 RD @01 + 13328.50ns INFO [00013330] Port=1 RD @02 + 13329.50ns INFO [00013331] * RD COMPARE * port=0 adr=04 act=65C7D5623EE3A58DE4 exp=65C7D5623EE3A58DE4 + 13329.50ns INFO [00013331] * RD COMPARE * port=1 adr=04 act=65C7D5623EE3A58DE4 exp=65C7D5623EE3A58DE4 + 13329.50ns INFO [00013331] Port=0 RD @02 + 13330.50ns INFO [00013332] * RD COMPARE * port=0 adr=01 act=72641C90655979B171 exp=72641C90655979B171 + 13330.50ns INFO [00013332] * RD COMPARE * port=1 adr=02 act=AD08DBAF2C054472A3 exp=AD08DBAF2C054472A3 + 13330.50ns INFO [00013332] Port=0 WR @07=50E66F1F5E08074A19 + 13330.50ns INFO [00013332] Port=1 RD @00 + 13331.50ns INFO [00013333] * RD COMPARE * port=0 adr=02 act=AD08DBAF2C054472A3 exp=AD08DBAF2C054472A3 + 13331.50ns INFO [00013333] Port=1 RD @03 + 13332.50ns INFO [00013334] * RD COMPARE * port=1 adr=00 act=F368BAE6FBDD9FAAB9 exp=F368BAE6FBDD9FAAB9 + 13332.50ns INFO [00013334] Port=0 WR @02=2D105CDC06CCAD42D7 + 13332.50ns INFO [00013334] Port=0 RD @01 + 13332.50ns INFO [00013334] Port=1 RD @05 + 13333.50ns INFO [00013335] * RD COMPARE * port=1 adr=03 act=7F1F247884A065721E exp=7F1F247884A065721E + 13333.50ns INFO [00013335] Port=0 WR @02=FF8D86E3805AAEED99 + 13333.50ns INFO [00013335] Port=0 RD @04 + 13333.50ns INFO [00013335] Port=1 RD @03 + 13334.50ns INFO [00013336] * RD COMPARE * port=0 adr=01 act=72641C90655979B171 exp=72641C90655979B171 + 13334.50ns INFO [00013336] * RD COMPARE * port=1 adr=05 act=20725D039427984FC9 exp=20725D039427984FC9 + 13335.50ns INFO [00013337] * RD COMPARE * port=0 adr=04 act=65C7D5623EE3A58DE4 exp=65C7D5623EE3A58DE4 + 13335.50ns INFO [00013337] * RD COMPARE * port=1 adr=03 act=7F1F247884A065721E exp=7F1F247884A065721E + 13335.50ns INFO [00013337] Port=0 WR @06=BD2C7FA70E8CD7F9D9 + 13336.50ns INFO [00013338] Port=0 WR @05=164DDD8BA9D1CA43A8 + 13336.50ns INFO [00013338] Port=0 RD @07 + 13336.50ns INFO [00013338] Port=1 RD @04 + 13337.50ns INFO [00013339] Port=0 RD @02 + 13337.50ns INFO [00013339] Port=1 RD @04 + 13338.50ns INFO [00013340] * RD COMPARE * port=0 adr=07 act=50E66F1F5E08074A19 exp=50E66F1F5E08074A19 + 13338.50ns INFO [00013340] * RD COMPARE * port=1 adr=04 act=65C7D5623EE3A58DE4 exp=65C7D5623EE3A58DE4 + 13338.50ns INFO [00013340] Port=0 RD @00 + 13338.50ns INFO [00013340] Port=1 RD @03 + 13339.50ns INFO [00013341] * RD COMPARE * port=0 adr=02 act=FF8D86E3805AAEED99 exp=FF8D86E3805AAEED99 + 13339.50ns INFO [00013341] * RD COMPARE * port=1 adr=04 act=65C7D5623EE3A58DE4 exp=65C7D5623EE3A58DE4 + 13339.50ns INFO [00013341] Port=0 WR @02=7009A8BB86C5163B52 + 13340.50ns INFO [00013342] * RD COMPARE * port=0 adr=00 act=F368BAE6FBDD9FAAB9 exp=F368BAE6FBDD9FAAB9 + 13340.50ns INFO [00013342] * RD COMPARE * port=1 adr=03 act=7F1F247884A065721E exp=7F1F247884A065721E + 13340.50ns INFO [00013342] Port=1 RD @01 + 13341.50ns INFO [00013343] Port=1 RD @01 + 13342.50ns INFO [00013344] * RD COMPARE * port=1 adr=01 act=72641C90655979B171 exp=72641C90655979B171 + 13342.50ns INFO [00013344] Port=1 RD @05 + 13343.50ns INFO [00013345] * RD COMPARE * port=1 adr=01 act=72641C90655979B171 exp=72641C90655979B171 + 13343.50ns INFO [00013345] Port=0 WR @03=0897AE0F2426099395 + 13344.50ns INFO [00013346] * RD COMPARE * port=1 adr=05 act=164DDD8BA9D1CA43A8 exp=164DDD8BA9D1CA43A8 + 13344.50ns INFO [00013346] Port=0 RD @07 + 13344.50ns INFO [00013346] Port=1 RD @06 + 13345.50ns INFO [00013347] Port=0 WR @06=7700F0E7A128F3CD2E + 13346.50ns INFO [00013348] * RD COMPARE * port=0 adr=07 act=50E66F1F5E08074A19 exp=50E66F1F5E08074A19 + 13346.50ns INFO [00013348] * RD COMPARE * port=1 adr=06 act=BD2C7FA70E8CD7F9D9 exp=BD2C7FA70E8CD7F9D9 + 13346.50ns INFO [00013348] Port=0 RD @00 + 13348.50ns INFO [00013350] * RD COMPARE * port=0 adr=00 act=F368BAE6FBDD9FAAB9 exp=F368BAE6FBDD9FAAB9 + 13348.50ns INFO [00013350] Port=0 WR @06=DB06A5D6F6870FA56F + 13348.50ns INFO [00013350] Port=0 RD @03 + 13348.50ns INFO [00013350] Port=1 RD @05 + 13350.50ns INFO [00013352] * RD COMPARE * port=0 adr=03 act=0897AE0F2426099395 exp=0897AE0F2426099395 + 13350.50ns INFO [00013352] * RD COMPARE * port=1 adr=05 act=164DDD8BA9D1CA43A8 exp=164DDD8BA9D1CA43A8 + 13350.50ns INFO [00013352] Port=0 WR @02=FCE9645660272D4B0A + 13352.50ns INFO [00013354] Port=0 WR @01=C72887DCF7AE49FC07 + 13352.50ns INFO [00013354] Port=1 RD @04 + 13353.50ns INFO [00013355] Port=0 RD @02 + 13354.50ns INFO [00013356] * RD COMPARE * port=1 adr=04 act=65C7D5623EE3A58DE4 exp=65C7D5623EE3A58DE4 + 13354.50ns INFO [00013356] Port=0 WR @04=FA4995DAEE0F8BD4DE + 13355.50ns INFO [00013357] * RD COMPARE * port=0 adr=02 act=FCE9645660272D4B0A exp=FCE9645660272D4B0A + 13355.50ns INFO [00013357] Port=0 WR @04=78D61B0486255AAECB + 13357.50ns INFO [00013359] Port=0 WR @03=2CBCC6BBD0FDC01FBA + 13357.50ns INFO [00013359] Port=0 RD @02 + 13358.50ns INFO [00013360] Port=1 RD @02 + 13359.50ns INFO [00013361] * RD COMPARE * port=0 adr=02 act=FCE9645660272D4B0A exp=FCE9645660272D4B0A + 13359.50ns INFO [00013361] Port=0 RD @03 + 13360.50ns INFO [00013362] * RD COMPARE * port=1 adr=02 act=FCE9645660272D4B0A exp=FCE9645660272D4B0A + 13360.50ns INFO [00013362] Port=0 WR @03=07C6A18D02CDBCF58D + 13361.50ns INFO [00013363] * RD COMPARE * port=0 adr=03 act=2CBCC6BBD0FDC01FBA exp=2CBCC6BBD0FDC01FBA + 13361.50ns INFO [00013363] Port=0 WR @07=A85800CCD18C2E8606 + 13362.50ns INFO [00013364] Port=0 WR @00=1E58B14C5BE81FBD05 + 13362.50ns INFO [00013364] Port=1 RD @06 + 13363.50ns INFO [00013365] Port=1 RD @01 + 13364.50ns INFO [00013366] * RD COMPARE * port=1 adr=06 act=DB06A5D6F6870FA56F exp=DB06A5D6F6870FA56F + 13364.50ns INFO [00013366] Port=0 WR @05=6EE44AB561ABC037E9 + 13365.50ns INFO [00013367] * RD COMPARE * port=1 adr=01 act=C72887DCF7AE49FC07 exp=C72887DCF7AE49FC07 + 13366.50ns INFO [00013368] Port=0 WR @07=CB855D4D589A2E1B12 + 13368.50ns INFO [00013370] Port=0 WR @03=5A51FBF3D5C3483154 + 13368.50ns INFO [00013370] Port=0 RD @06 + 13369.50ns INFO [00013371] Port=0 WR @07=89DA87BEA428303DD3 + 13369.50ns INFO [00013371] Port=0 RD @05 + 13370.50ns INFO [00013372] * RD COMPARE * port=0 adr=06 act=DB06A5D6F6870FA56F exp=DB06A5D6F6870FA56F + 13370.50ns INFO [00013372] Port=0 WR @07=12DADC7B1C0B7716FA + 13371.50ns INFO [00013373] * RD COMPARE * port=0 adr=05 act=6EE44AB561ABC037E9 exp=6EE44AB561ABC037E9 + 13371.50ns INFO [00013373] Port=0 WR @00=801C97ACFC3526DF44 + 13371.50ns INFO [00013373] Port=1 RD @04 + 13372.50ns INFO [00013374] Port=1 RD @03 + 13373.50ns INFO [00013375] * RD COMPARE * port=1 adr=04 act=78D61B0486255AAECB exp=78D61B0486255AAECB + 13373.50ns INFO [00013375] Port=0 WR @05=C5160463C1A47D1407 + 13374.50ns INFO [00013376] * RD COMPARE * port=1 adr=03 act=5A51FBF3D5C3483154 exp=5A51FBF3D5C3483154 + 13374.50ns INFO [00013376] Port=0 RD @00 + 13376.50ns INFO [00013378] * RD COMPARE * port=0 adr=00 act=801C97ACFC3526DF44 exp=801C97ACFC3526DF44 + 13376.50ns INFO [00013378] Port=1 RD @03 + 13377.50ns INFO [00013379] Port=0 RD @02 + 13378.50ns INFO [00013380] * RD COMPARE * port=1 adr=03 act=5A51FBF3D5C3483154 exp=5A51FBF3D5C3483154 + 13378.50ns INFO [00013380] Port=0 WR @01=5CC0D3AF712474D012 + 13378.50ns INFO [00013380] Port=1 RD @05 + 13379.50ns INFO [00013381] * RD COMPARE * port=0 adr=02 act=FCE9645660272D4B0A exp=FCE9645660272D4B0A + 13379.50ns INFO [00013381] Port=0 RD @06 + 13380.50ns INFO [00013382] * RD COMPARE * port=1 adr=05 act=C5160463C1A47D1407 exp=C5160463C1A47D1407 + 13380.50ns INFO [00013382] Port=0 RD @06 + 13381.50ns INFO [00013383] * RD COMPARE * port=0 adr=06 act=DB06A5D6F6870FA56F exp=DB06A5D6F6870FA56F + 13381.50ns INFO [00013383] Port=1 RD @00 + 13382.50ns INFO [00013384] * RD COMPARE * port=0 adr=06 act=DB06A5D6F6870FA56F exp=DB06A5D6F6870FA56F + 13382.50ns INFO [00013384] Port=0 RD @01 + 13382.50ns INFO [00013384] Port=1 RD @00 + 13383.50ns INFO [00013385] * RD COMPARE * port=1 adr=00 act=801C97ACFC3526DF44 exp=801C97ACFC3526DF44 + 13383.50ns INFO [00013385] Port=0 WR @03=C0D23AB5A938A62F8E + 13383.50ns INFO [00013385] Port=0 RD @07 + 13384.50ns INFO [00013386] * RD COMPARE * port=0 adr=01 act=5CC0D3AF712474D012 exp=5CC0D3AF712474D012 + 13384.50ns INFO [00013386] * RD COMPARE * port=1 adr=00 act=801C97ACFC3526DF44 exp=801C97ACFC3526DF44 + 13384.50ns INFO [00013386] Port=1 RD @03 + 13385.50ns INFO [00013387] * RD COMPARE * port=0 adr=07 act=12DADC7B1C0B7716FA exp=12DADC7B1C0B7716FA + 13385.50ns INFO [00013387] Port=1 RD @00 + 13386.50ns INFO [00013388] * RD COMPARE * port=1 adr=03 act=C0D23AB5A938A62F8E exp=C0D23AB5A938A62F8E + 13386.50ns INFO [00013388] Port=1 RD @03 + 13387.50ns INFO [00013389] * RD COMPARE * port=1 adr=00 act=801C97ACFC3526DF44 exp=801C97ACFC3526DF44 + 13387.50ns INFO [00013389] Port=0 RD @06 + 13387.50ns INFO [00013389] Port=1 RD @04 + 13388.50ns INFO [00013390] * RD COMPARE * port=1 adr=03 act=C0D23AB5A938A62F8E exp=C0D23AB5A938A62F8E + 13388.50ns INFO [00013390] Port=0 WR @05=2B6B4E23140420CB90 + 13388.50ns INFO [00013390] Port=1 RD @03 + 13389.50ns INFO [00013391] * RD COMPARE * port=0 adr=06 act=DB06A5D6F6870FA56F exp=DB06A5D6F6870FA56F + 13389.50ns INFO [00013391] * RD COMPARE * port=1 adr=04 act=78D61B0486255AAECB exp=78D61B0486255AAECB + 13390.50ns INFO [00013392] * RD COMPARE * port=1 adr=03 act=C0D23AB5A938A62F8E exp=C0D23AB5A938A62F8E + 13392.50ns INFO [00013394] Port=0 WR @06=58F55D9A3F339DED80 + 13392.50ns INFO [00013394] Port=0 RD @03 + 13392.50ns INFO [00013394] Port=1 RD @03 + 13393.50ns INFO [00013395] Port=0 RD @02 + 13394.50ns INFO [00013396] * RD COMPARE * port=0 adr=03 act=C0D23AB5A938A62F8E exp=C0D23AB5A938A62F8E + 13394.50ns INFO [00013396] * RD COMPARE * port=1 adr=03 act=C0D23AB5A938A62F8E exp=C0D23AB5A938A62F8E + 13395.50ns INFO [00013397] * RD COMPARE * port=0 adr=02 act=FCE9645660272D4B0A exp=FCE9645660272D4B0A + 13395.50ns INFO [00013397] Port=0 RD @05 + 13395.50ns INFO [00013397] Port=1 RD @00 + 13396.50ns INFO [00013398] Port=0 RD @03 + 13397.50ns INFO [00013399] * RD COMPARE * port=0 adr=05 act=2B6B4E23140420CB90 exp=2B6B4E23140420CB90 + 13397.50ns INFO [00013399] * RD COMPARE * port=1 adr=00 act=801C97ACFC3526DF44 exp=801C97ACFC3526DF44 + 13397.50ns INFO [00013399] Port=0 WR @02=144EC926D68D7D98C3 + 13398.00ns INFO [00013400] [00013400] ...tick... + 13398.50ns INFO [00013400] * RD COMPARE * port=0 adr=03 act=C0D23AB5A938A62F8E exp=C0D23AB5A938A62F8E + 13399.50ns INFO [00013401] Port=0 RD @01 + 13400.50ns INFO [00013402] Port=0 WR @05=6E5B59BEE72A20428D + 13401.50ns INFO [00013403] * RD COMPARE * port=0 adr=01 act=5CC0D3AF712474D012 exp=5CC0D3AF712474D012 + 13401.50ns INFO [00013403] Port=0 WR @03=C4AD681ABF23E04722 + 13401.50ns INFO [00013403] Port=0 RD @04 + 13402.50ns INFO [00013404] Port=0 WR @00=693C279B7BC60DA942 + 13403.50ns INFO [00013405] * RD COMPARE * port=0 adr=04 act=78D61B0486255AAECB exp=78D61B0486255AAECB + 13403.50ns INFO [00013405] Port=0 RD @01 + 13404.50ns INFO [00013406] Port=0 RD @02 + 13404.50ns INFO [00013406] Port=1 RD @03 + 13405.50ns INFO [00013407] * RD COMPARE * port=0 adr=01 act=5CC0D3AF712474D012 exp=5CC0D3AF712474D012 + 13405.50ns INFO [00013407] Port=0 WR @05=687EE801EB2BE8B6FE + 13406.50ns INFO [00013408] * RD COMPARE * port=0 adr=02 act=144EC926D68D7D98C3 exp=144EC926D68D7D98C3 + 13406.50ns INFO [00013408] * RD COMPARE * port=1 adr=03 act=C4AD681ABF23E04722 exp=C4AD681ABF23E04722 + 13406.50ns INFO [00013408] Port=0 WR @06=F0C7E84997627FB1C8 + 13406.50ns INFO [00013408] Port=0 RD @07 + 13407.50ns INFO [00013409] Port=0 WR @04=9A2A81EA12C3569310 + 13407.50ns INFO [00013409] Port=0 RD @00 + 13407.50ns INFO [00013409] Port=1 RD @06 + 13408.50ns INFO [00013410] * RD COMPARE * port=0 adr=07 act=12DADC7B1C0B7716FA exp=12DADC7B1C0B7716FA + 13409.50ns INFO [00013411] * RD COMPARE * port=0 adr=00 act=693C279B7BC60DA942 exp=693C279B7BC60DA942 + 13409.50ns INFO [00013411] * RD COMPARE * port=1 adr=06 act=F0C7E84997627FB1C8 exp=F0C7E84997627FB1C8 + 13409.50ns INFO [00013411] Port=0 WR @01=2CC6DF89F0E9BB7203 + 13411.50ns INFO [00013413] Port=0 RD @06 + 13412.50ns INFO [00013414] Port=0 WR @02=5F4051A371184151AA + 13412.50ns INFO [00013414] Port=0 RD @03 + 13413.50ns INFO [00013415] * RD COMPARE * port=0 adr=06 act=F0C7E84997627FB1C8 exp=F0C7E84997627FB1C8 + 13414.50ns INFO [00013416] * RD COMPARE * port=0 adr=03 act=C4AD681ABF23E04722 exp=C4AD681ABF23E04722 + 13414.50ns INFO [00013416] Port=1 RD @01 + 13415.50ns INFO [00013417] Port=0 RD @06 + 13416.50ns INFO [00013418] * RD COMPARE * port=1 adr=01 act=2CC6DF89F0E9BB7203 exp=2CC6DF89F0E9BB7203 + 13417.50ns INFO [00013419] * RD COMPARE * port=0 adr=06 act=F0C7E84997627FB1C8 exp=F0C7E84997627FB1C8 + 13418.50ns INFO [00013420] Port=0 WR @01=0D7B3DCFC3B7A1BBDC + 13418.50ns INFO [00013420] Port=0 RD @06 + 13418.50ns INFO [00013420] Port=1 RD @05 + 13419.50ns INFO [00013421] Port=0 WR @07=27D10B2E12844D2280 + 13420.50ns INFO [00013422] * RD COMPARE * port=0 adr=06 act=F0C7E84997627FB1C8 exp=F0C7E84997627FB1C8 + 13420.50ns INFO [00013422] * RD COMPARE * port=1 adr=05 act=687EE801EB2BE8B6FE exp=687EE801EB2BE8B6FE + 13420.50ns INFO [00013422] Port=0 WR @02=8A99738C61993856A0 + 13420.50ns INFO [00013422] Port=0 RD @03 + 13420.50ns INFO [00013422] Port=1 RD @00 + 13422.50ns INFO [00013424] * RD COMPARE * port=0 adr=03 act=C4AD681ABF23E04722 exp=C4AD681ABF23E04722 + 13422.50ns INFO [00013424] * RD COMPARE * port=1 adr=00 act=693C279B7BC60DA942 exp=693C279B7BC60DA942 + 13422.50ns INFO [00013424] Port=0 WR @06=E49F3CDD5DEC6D70C8 + 13422.50ns INFO [00013424] Port=0 RD @00 + 13422.50ns INFO [00013424] Port=1 RD @03 + 13424.50ns INFO [00013426] * RD COMPARE * port=0 adr=00 act=693C279B7BC60DA942 exp=693C279B7BC60DA942 + 13424.50ns INFO [00013426] * RD COMPARE * port=1 adr=03 act=C4AD681ABF23E04722 exp=C4AD681ABF23E04722 + 13424.50ns INFO [00013426] Port=0 RD @05 + 13425.50ns INFO [00013427] Port=0 WR @02=136A70184E54BCF874 + 13425.50ns INFO [00013427] Port=0 RD @01 + 13425.50ns INFO [00013427] Port=1 RD @06 + 13426.50ns INFO [00013428] * RD COMPARE * port=0 adr=05 act=687EE801EB2BE8B6FE exp=687EE801EB2BE8B6FE + 13426.50ns INFO [00013428] Port=0 WR @03=35498664A5D3343EDC + 13426.50ns INFO [00013428] Port=0 RD @01 + 13427.50ns INFO [00013429] * RD COMPARE * port=0 adr=01 act=0D7B3DCFC3B7A1BBDC exp=0D7B3DCFC3B7A1BBDC + 13427.50ns INFO [00013429] * RD COMPARE * port=1 adr=06 act=E49F3CDD5DEC6D70C8 exp=E49F3CDD5DEC6D70C8 + 13427.50ns INFO [00013429] Port=0 WR @03=F0BF1FA9E434AFAAC1 + 13427.50ns INFO [00013429] Port=0 RD @07 + 13427.50ns INFO [00013429] Port=1 RD @04 + 13428.50ns INFO [00013430] * RD COMPARE * port=0 adr=01 act=0D7B3DCFC3B7A1BBDC exp=0D7B3DCFC3B7A1BBDC + 13428.50ns INFO [00013430] Port=0 WR @00=0B712597A2ECEA2F7B + 13429.50ns INFO [00013431] * RD COMPARE * port=0 adr=07 act=27D10B2E12844D2280 exp=27D10B2E12844D2280 + 13429.50ns INFO [00013431] * RD COMPARE * port=1 adr=04 act=9A2A81EA12C3569310 exp=9A2A81EA12C3569310 + 13429.50ns INFO [00013431] Port=0 RD @03 + 13430.50ns INFO [00013432] Port=1 RD @07 + 13431.50ns INFO [00013433] * RD COMPARE * port=0 adr=03 act=F0BF1FA9E434AFAAC1 exp=F0BF1FA9E434AFAAC1 + 13431.50ns INFO [00013433] Port=0 WR @03=1E8BC9755AA3DC1163 + 13432.50ns INFO [00013434] * RD COMPARE * port=1 adr=07 act=27D10B2E12844D2280 exp=27D10B2E12844D2280 + 13433.50ns INFO [00013435] Port=0 RD @06 + 13433.50ns INFO [00013435] Port=1 RD @01 + 13434.50ns INFO [00013436] Port=0 WR @04=67B411471B082A3565 + 13434.50ns INFO [00013436] Port=1 RD @06 + 13435.50ns INFO [00013437] * RD COMPARE * port=0 adr=06 act=E49F3CDD5DEC6D70C8 exp=E49F3CDD5DEC6D70C8 + 13435.50ns INFO [00013437] * RD COMPARE * port=1 adr=01 act=0D7B3DCFC3B7A1BBDC exp=0D7B3DCFC3B7A1BBDC + 13435.50ns INFO [00013437] Port=1 RD @06 + 13436.50ns INFO [00013438] * RD COMPARE * port=1 adr=06 act=E49F3CDD5DEC6D70C8 exp=E49F3CDD5DEC6D70C8 + 13436.50ns INFO [00013438] Port=0 WR @03=1EE9CB1EAD666C3260 + 13437.50ns INFO [00013439] * RD COMPARE * port=1 adr=06 act=E49F3CDD5DEC6D70C8 exp=E49F3CDD5DEC6D70C8 + 13437.50ns INFO [00013439] Port=0 WR @03=FACBBD424C4488EA35 + 13437.50ns INFO [00013439] Port=0 RD @04 + 13437.50ns INFO [00013439] Port=1 RD @04 + 13438.50ns INFO [00013440] Port=0 RD @07 + 13439.50ns INFO [00013441] * RD COMPARE * port=0 adr=04 act=67B411471B082A3565 exp=67B411471B082A3565 + 13439.50ns INFO [00013441] * RD COMPARE * port=1 adr=04 act=67B411471B082A3565 exp=67B411471B082A3565 + 13440.50ns INFO [00013442] * RD COMPARE * port=0 adr=07 act=27D10B2E12844D2280 exp=27D10B2E12844D2280 + 13440.50ns INFO [00013442] Port=0 WR @04=84ADAD4B62E81EE210 + 13441.50ns INFO [00013443] Port=0 WR @05=0C30F82836F8B454CA + 13442.50ns INFO [00013444] Port=0 RD @03 + 13442.50ns INFO [00013444] Port=1 RD @01 + 13443.50ns INFO [00013445] Port=0 RD @02 + 13443.50ns INFO [00013445] Port=1 RD @06 + 13444.50ns INFO [00013446] * RD COMPARE * port=0 adr=03 act=FACBBD424C4488EA35 exp=FACBBD424C4488EA35 + 13444.50ns INFO [00013446] * RD COMPARE * port=1 adr=01 act=0D7B3DCFC3B7A1BBDC exp=0D7B3DCFC3B7A1BBDC + 13445.50ns INFO [00013447] * RD COMPARE * port=0 adr=02 act=136A70184E54BCF874 exp=136A70184E54BCF874 + 13445.50ns INFO [00013447] * RD COMPARE * port=1 adr=06 act=E49F3CDD5DEC6D70C8 exp=E49F3CDD5DEC6D70C8 + 13445.50ns INFO [00013447] Port=0 WR @03=69AC4215A36265D261 + 13445.50ns INFO [00013447] Port=0 RD @01 + 13446.50ns INFO [00013448] Port=1 RD @03 + 13447.50ns INFO [00013449] * RD COMPARE * port=0 adr=01 act=0D7B3DCFC3B7A1BBDC exp=0D7B3DCFC3B7A1BBDC + 13448.50ns INFO [00013450] * RD COMPARE * port=1 adr=03 act=69AC4215A36265D261 exp=69AC4215A36265D261 + 13448.50ns INFO [00013450] Port=0 RD @00 + 13448.50ns INFO [00013450] Port=1 RD @05 + 13449.50ns INFO [00013451] Port=0 RD @00 + 13449.50ns INFO [00013451] Port=1 RD @06 + 13450.50ns INFO [00013452] * RD COMPARE * port=0 adr=00 act=0B712597A2ECEA2F7B exp=0B712597A2ECEA2F7B + 13450.50ns INFO [00013452] * RD COMPARE * port=1 adr=05 act=0C30F82836F8B454CA exp=0C30F82836F8B454CA + 13450.50ns INFO [00013452] Port=0 WR @01=7CAA6FC3FA7B6D14A3 + 13451.50ns INFO [00013453] * RD COMPARE * port=0 adr=00 act=0B712597A2ECEA2F7B exp=0B712597A2ECEA2F7B + 13451.50ns INFO [00013453] * RD COMPARE * port=1 adr=06 act=E49F3CDD5DEC6D70C8 exp=E49F3CDD5DEC6D70C8 + 13451.50ns INFO [00013453] Port=1 RD @07 + 13452.50ns INFO [00013454] Port=0 WR @03=1A905F9A2EA789C3E8 + 13452.50ns INFO [00013454] Port=1 RD @06 + 13453.50ns INFO [00013455] * RD COMPARE * port=1 adr=07 act=27D10B2E12844D2280 exp=27D10B2E12844D2280 + 13454.50ns INFO [00013456] * RD COMPARE * port=1 adr=06 act=E49F3CDD5DEC6D70C8 exp=E49F3CDD5DEC6D70C8 + 13454.50ns INFO [00013456] Port=1 RD @07 + 13456.50ns INFO [00013458] * RD COMPARE * port=1 adr=07 act=27D10B2E12844D2280 exp=27D10B2E12844D2280 + 13456.50ns INFO [00013458] Port=0 RD @01 + 13457.50ns INFO [00013459] Port=0 RD @01 + 13458.50ns INFO [00013460] * RD COMPARE * port=0 adr=01 act=7CAA6FC3FA7B6D14A3 exp=7CAA6FC3FA7B6D14A3 + 13459.50ns INFO [00013461] * RD COMPARE * port=0 adr=01 act=7CAA6FC3FA7B6D14A3 exp=7CAA6FC3FA7B6D14A3 + 13459.50ns INFO [00013461] Port=0 RD @00 + 13459.50ns INFO [00013461] Port=1 RD @04 + 13460.50ns INFO [00013462] Port=1 RD @05 + 13461.50ns INFO [00013463] * RD COMPARE * port=0 adr=00 act=0B712597A2ECEA2F7B exp=0B712597A2ECEA2F7B + 13461.50ns INFO [00013463] * RD COMPARE * port=1 adr=04 act=84ADAD4B62E81EE210 exp=84ADAD4B62E81EE210 + 13461.50ns INFO [00013463] Port=0 WR @03=A98878BFB2A72BB951 + 13461.50ns INFO [00013463] Port=1 RD @00 + 13462.50ns INFO [00013464] * RD COMPARE * port=1 adr=05 act=0C30F82836F8B454CA exp=0C30F82836F8B454CA + 13462.50ns INFO [00013464] Port=0 WR @06=CBFAE9D81A4F5C6D54 + 13462.50ns INFO [00013464] Port=0 RD @07 + 13463.50ns INFO [00013465] * RD COMPARE * port=1 adr=00 act=0B712597A2ECEA2F7B exp=0B712597A2ECEA2F7B + 13463.50ns INFO [00013465] Port=0 WR @02=217E6DA7850FB21B57 + 13463.50ns INFO [00013465] Port=1 RD @01 + 13464.50ns INFO [00013466] * RD COMPARE * port=0 adr=07 act=27D10B2E12844D2280 exp=27D10B2E12844D2280 + 13464.50ns INFO [00013466] Port=0 RD @06 + 13464.50ns INFO [00013466] Port=1 RD @05 + 13465.50ns INFO [00013467] * RD COMPARE * port=1 adr=01 act=7CAA6FC3FA7B6D14A3 exp=7CAA6FC3FA7B6D14A3 + 13465.50ns INFO [00013467] Port=0 WR @03=F7C7868D91AD98888B + 13466.50ns INFO [00013468] * RD COMPARE * port=0 adr=06 act=CBFAE9D81A4F5C6D54 exp=CBFAE9D81A4F5C6D54 + 13466.50ns INFO [00013468] * RD COMPARE * port=1 adr=05 act=0C30F82836F8B454CA exp=0C30F82836F8B454CA + 13466.50ns INFO [00013468] Port=0 WR @03=69951AD7DD9C939CB0 + 13466.50ns INFO [00013468] Port=1 RD @07 + 13467.50ns INFO [00013469] Port=0 RD @02 + 13467.50ns INFO [00013469] Port=1 RD @05 + 13468.50ns INFO [00013470] * RD COMPARE * port=1 adr=07 act=27D10B2E12844D2280 exp=27D10B2E12844D2280 + 13468.50ns INFO [00013470] Port=0 RD @04 + 13468.50ns INFO [00013470] Port=1 RD @06 + 13469.50ns INFO [00013471] * RD COMPARE * port=0 adr=02 act=217E6DA7850FB21B57 exp=217E6DA7850FB21B57 + 13469.50ns INFO [00013471] * RD COMPARE * port=1 adr=05 act=0C30F82836F8B454CA exp=0C30F82836F8B454CA + 13469.50ns INFO [00013471] Port=0 RD @05 + 13470.50ns INFO [00013472] * RD COMPARE * port=0 adr=04 act=84ADAD4B62E81EE210 exp=84ADAD4B62E81EE210 + 13470.50ns INFO [00013472] * RD COMPARE * port=1 adr=06 act=CBFAE9D81A4F5C6D54 exp=CBFAE9D81A4F5C6D54 + 13470.50ns INFO [00013472] Port=0 WR @00=A8F1BBF29CAD5CDF22 + 13471.50ns INFO [00013473] * RD COMPARE * port=0 adr=05 act=0C30F82836F8B454CA exp=0C30F82836F8B454CA + 13472.50ns INFO [00013474] Port=1 RD @01 + 13473.50ns INFO [00013475] Port=0 WR @03=7FEE16E34BB2C3DCCF + 13473.50ns INFO [00013475] Port=1 RD @07 + 13474.50ns INFO [00013476] * RD COMPARE * port=1 adr=01 act=7CAA6FC3FA7B6D14A3 exp=7CAA6FC3FA7B6D14A3 + 13475.50ns INFO [00013477] * RD COMPARE * port=1 adr=07 act=27D10B2E12844D2280 exp=27D10B2E12844D2280 + 13476.50ns INFO [00013478] Port=0 RD @01 + 13478.50ns INFO [00013480] * RD COMPARE * port=0 adr=01 act=7CAA6FC3FA7B6D14A3 exp=7CAA6FC3FA7B6D14A3 + 13478.50ns INFO [00013480] Port=0 WR @00=5A05E9699190197A2C + 13478.50ns INFO [00013480] Port=1 RD @05 + 13479.50ns INFO [00013481] Port=0 RD @07 + 13480.50ns INFO [00013482] * RD COMPARE * port=1 adr=05 act=0C30F82836F8B454CA exp=0C30F82836F8B454CA + 13481.50ns INFO [00013483] * RD COMPARE * port=0 adr=07 act=27D10B2E12844D2280 exp=27D10B2E12844D2280 + 13481.50ns INFO [00013483] Port=0 RD @01 + 13481.50ns INFO [00013483] Port=1 RD @01 + 13482.50ns INFO [00013484] Port=0 WR @01=2F9BAF27885464AA65 + 13482.50ns INFO [00013484] Port=0 RD @00 + 13483.50ns INFO [00013485] * RD COMPARE * port=0 adr=01 act=7CAA6FC3FA7B6D14A3 exp=7CAA6FC3FA7B6D14A3 + 13483.50ns INFO [00013485] * RD COMPARE * port=1 adr=01 act=7CAA6FC3FA7B6D14A3 exp=7CAA6FC3FA7B6D14A3 + 13483.50ns INFO [00013485] Port=0 RD @07 + 13483.50ns INFO [00013485] Port=1 RD @06 + 13484.50ns INFO [00013486] * RD COMPARE * port=0 adr=00 act=5A05E9699190197A2C exp=5A05E9699190197A2C + 13484.50ns INFO [00013486] Port=0 WR @07=CB21675B9FD6DD8A57 + 13484.50ns INFO [00013486] Port=1 RD @01 + 13485.50ns INFO [00013487] * RD COMPARE * port=0 adr=07 act=27D10B2E12844D2280 exp=27D10B2E12844D2280 + 13485.50ns INFO [00013487] * RD COMPARE * port=1 adr=06 act=CBFAE9D81A4F5C6D54 exp=CBFAE9D81A4F5C6D54 + 13485.50ns INFO [00013487] Port=1 RD @02 + 13486.50ns INFO [00013488] * RD COMPARE * port=1 adr=01 act=2F9BAF27885464AA65 exp=2F9BAF27885464AA65 + 13486.50ns INFO [00013488] Port=0 WR @05=1CFD42E9BF9B980DF6 + 13486.50ns INFO [00013488] Port=1 RD @06 + 13487.50ns INFO [00013489] * RD COMPARE * port=1 adr=02 act=217E6DA7850FB21B57 exp=217E6DA7850FB21B57 + 13487.50ns INFO [00013489] Port=1 RD @04 + 13488.50ns INFO [00013490] * RD COMPARE * port=1 adr=06 act=CBFAE9D81A4F5C6D54 exp=CBFAE9D81A4F5C6D54 + 13488.50ns INFO [00013490] Port=0 WR @04=3A652C4F2A34C00035 + 13488.50ns INFO [00013490] Port=0 RD @06 + 13488.50ns INFO [00013490] Port=1 RD @05 + 13489.50ns INFO [00013491] * RD COMPARE * port=1 adr=04 act=84ADAD4B62E81EE210 exp=84ADAD4B62E81EE210 + 13489.50ns INFO [00013491] Port=0 RD @01 + 13490.50ns INFO [00013492] * RD COMPARE * port=0 adr=06 act=CBFAE9D81A4F5C6D54 exp=CBFAE9D81A4F5C6D54 + 13490.50ns INFO [00013492] * RD COMPARE * port=1 adr=05 act=1CFD42E9BF9B980DF6 exp=1CFD42E9BF9B980DF6 + 13490.50ns INFO [00013492] Port=0 RD @00 + 13491.50ns INFO [00013493] * RD COMPARE * port=0 adr=01 act=2F9BAF27885464AA65 exp=2F9BAF27885464AA65 + 13491.50ns INFO [00013493] Port=0 WR @04=F74DF8F530B6393557 + 13492.50ns INFO [00013494] * RD COMPARE * port=0 adr=00 act=5A05E9699190197A2C exp=5A05E9699190197A2C + 13492.50ns INFO [00013494] Port=0 RD @06 + 13492.50ns INFO [00013494] Port=1 RD @04 + 13494.50ns INFO [00013496] * RD COMPARE * port=0 adr=06 act=CBFAE9D81A4F5C6D54 exp=CBFAE9D81A4F5C6D54 + 13494.50ns INFO [00013496] * RD COMPARE * port=1 adr=04 act=F74DF8F530B6393557 exp=F74DF8F530B6393557 + 13496.50ns INFO [00013498] Port=0 WR @00=0FAF88AE5A16871F44 + 13497.50ns INFO [00013499] Port=0 WR @06=9E93932596F4BEF2D7 + 13497.50ns INFO [00013499] Port=0 RD @07 + 13498.00ns INFO [00013500] [00013500] ...tick... + 13499.50ns INFO [00013501] * RD COMPARE * port=0 adr=07 act=CB21675B9FD6DD8A57 exp=CB21675B9FD6DD8A57 + 13499.50ns INFO [00013501] Port=0 WR @07=6B027DE8E69E770EED + 13499.50ns INFO [00013501] Port=0 RD @01 + 13501.50ns INFO [00013503] * RD COMPARE * port=0 adr=01 act=2F9BAF27885464AA65 exp=2F9BAF27885464AA65 + 13501.50ns INFO [00013503] Port=0 WR @05=907469B62A7B4C72BB + 13501.50ns INFO [00013503] Port=1 RD @07 + 13502.50ns INFO [00013504] Port=0 RD @06 + 13503.50ns INFO [00013505] * RD COMPARE * port=1 adr=07 act=6B027DE8E69E770EED exp=6B027DE8E69E770EED + 13503.50ns INFO [00013505] Port=0 RD @04 + 13504.50ns INFO [00013506] * RD COMPARE * port=0 adr=06 act=9E93932596F4BEF2D7 exp=9E93932596F4BEF2D7 + 13504.50ns INFO [00013506] Port=0 RD @04 + 13505.50ns INFO [00013507] * RD COMPARE * port=0 adr=04 act=F74DF8F530B6393557 exp=F74DF8F530B6393557 + 13505.50ns INFO [00013507] Port=1 RD @06 + 13506.50ns INFO [00013508] * RD COMPARE * port=0 adr=04 act=F74DF8F530B6393557 exp=F74DF8F530B6393557 + 13506.50ns INFO [00013508] Port=0 RD @05 + 13506.50ns INFO [00013508] Port=1 RD @03 + 13507.50ns INFO [00013509] * RD COMPARE * port=1 adr=06 act=9E93932596F4BEF2D7 exp=9E93932596F4BEF2D7 + 13507.50ns INFO [00013509] Port=0 WR @07=654FF9004D93BEACDA + 13507.50ns INFO [00013509] Port=1 RD @02 + 13508.50ns INFO [00013510] * RD COMPARE * port=0 adr=05 act=907469B62A7B4C72BB exp=907469B62A7B4C72BB + 13508.50ns INFO [00013510] * RD COMPARE * port=1 adr=03 act=7FEE16E34BB2C3DCCF exp=7FEE16E34BB2C3DCCF + 13508.50ns INFO [00013510] Port=1 RD @02 + 13509.50ns INFO [00013511] * RD COMPARE * port=1 adr=02 act=217E6DA7850FB21B57 exp=217E6DA7850FB21B57 + 13510.50ns INFO [00013512] * RD COMPARE * port=1 adr=02 act=217E6DA7850FB21B57 exp=217E6DA7850FB21B57 + 13510.50ns INFO [00013512] Port=0 RD @01 + 13510.50ns INFO [00013512] Port=1 RD @06 + 13511.50ns INFO [00013513] Port=0 WR @07=394933DB3B0334C8B0 + 13511.50ns INFO [00013513] Port=0 RD @04 + 13512.50ns INFO [00013514] * RD COMPARE * port=0 adr=01 act=2F9BAF27885464AA65 exp=2F9BAF27885464AA65 + 13512.50ns INFO [00013514] * RD COMPARE * port=1 adr=06 act=9E93932596F4BEF2D7 exp=9E93932596F4BEF2D7 + 13512.50ns INFO [00013514] Port=0 RD @04 + 13513.50ns INFO [00013515] * RD COMPARE * port=0 adr=04 act=F74DF8F530B6393557 exp=F74DF8F530B6393557 + 13513.50ns INFO [00013515] Port=1 RD @01 + 13514.50ns INFO [00013516] * RD COMPARE * port=0 adr=04 act=F74DF8F530B6393557 exp=F74DF8F530B6393557 + 13514.50ns INFO [00013516] Port=0 RD @01 + 13514.50ns INFO [00013516] Port=1 RD @03 + 13515.50ns INFO [00013517] * RD COMPARE * port=1 adr=01 act=2F9BAF27885464AA65 exp=2F9BAF27885464AA65 + 13515.50ns INFO [00013517] Port=0 WR @06=F02274720772766163 + 13516.50ns INFO [00013518] * RD COMPARE * port=0 adr=01 act=2F9BAF27885464AA65 exp=2F9BAF27885464AA65 + 13516.50ns INFO [00013518] * RD COMPARE * port=1 adr=03 act=7FEE16E34BB2C3DCCF exp=7FEE16E34BB2C3DCCF + 13516.50ns INFO [00013518] Port=1 RD @00 + 13517.50ns INFO [00013519] Port=0 RD @04 + 13518.50ns INFO [00013520] * RD COMPARE * port=1 adr=00 act=0FAF88AE5A16871F44 exp=0FAF88AE5A16871F44 + 13518.50ns INFO [00013520] Port=0 RD @06 + 13519.50ns INFO [00013521] * RD COMPARE * port=0 adr=04 act=F74DF8F530B6393557 exp=F74DF8F530B6393557 + 13519.50ns INFO [00013521] Port=0 WR @05=88BD3AEC8B4C281132 + 13520.50ns INFO [00013522] * RD COMPARE * port=0 adr=06 act=F02274720772766163 exp=F02274720772766163 + 13522.50ns INFO [00013524] Port=0 WR @03=338E41E98A8E15D12C + 13523.50ns INFO [00013525] Port=0 WR @03=5EFD39A7E3743B6940 + 13523.50ns INFO [00013525] Port=0 RD @00 + 13523.50ns INFO [00013525] Port=1 RD @01 + 13525.50ns INFO [00013527] * RD COMPARE * port=0 adr=00 act=0FAF88AE5A16871F44 exp=0FAF88AE5A16871F44 + 13525.50ns INFO [00013527] * RD COMPARE * port=1 adr=01 act=2F9BAF27885464AA65 exp=2F9BAF27885464AA65 + 13525.50ns INFO [00013527] Port=0 RD @05 + 13527.50ns INFO [00013529] * RD COMPARE * port=0 adr=05 act=88BD3AEC8B4C281132 exp=88BD3AEC8B4C281132 + 13527.50ns INFO [00013529] Port=0 WR @00=8C5343BB6AB430B659 + 13527.50ns INFO [00013529] Port=1 RD @03 + 13528.50ns INFO [00013530] Port=0 RD @03 + 13529.50ns INFO [00013531] * RD COMPARE * port=1 adr=03 act=5EFD39A7E3743B6940 exp=5EFD39A7E3743B6940 + 13529.50ns INFO [00013531] Port=0 WR @00=2F8B2BD70D41871719 + 13530.50ns INFO [00013532] * RD COMPARE * port=0 adr=03 act=5EFD39A7E3743B6940 exp=5EFD39A7E3743B6940 + 13530.50ns INFO [00013532] Port=0 RD @05 + 13530.50ns INFO [00013532] Port=1 RD @03 + 13531.50ns INFO [00013533] Port=0 WR @01=6C7F19033BA2E31E79 + 13532.50ns INFO [00013534] * RD COMPARE * port=0 adr=05 act=88BD3AEC8B4C281132 exp=88BD3AEC8B4C281132 + 13532.50ns INFO [00013534] * RD COMPARE * port=1 adr=03 act=5EFD39A7E3743B6940 exp=5EFD39A7E3743B6940 + 13532.50ns INFO [00013534] Port=0 WR @02=F60D6F146CCB65E504 + 13532.50ns INFO [00013534] Port=0 RD @07 + 13533.50ns INFO [00013535] Port=0 WR @05=AB23B9C1F2D884B301 + 13534.50ns INFO [00013536] * RD COMPARE * port=0 adr=07 act=394933DB3B0334C8B0 exp=394933DB3B0334C8B0 + 13535.50ns INFO [00013537] Port=0 WR @02=8324550603B4AE2856 + 13535.50ns INFO [00013537] Port=0 RD @07 + 13536.50ns INFO [00013538] Port=1 RD @05 + 13537.50ns INFO [00013539] * RD COMPARE * port=0 adr=07 act=394933DB3B0334C8B0 exp=394933DB3B0334C8B0 + 13537.50ns INFO [00013539] Port=0 RD @03 + 13538.50ns INFO [00013540] * RD COMPARE * port=1 adr=05 act=AB23B9C1F2D884B301 exp=AB23B9C1F2D884B301 + 13539.50ns INFO [00013541] * RD COMPARE * port=0 adr=03 act=5EFD39A7E3743B6940 exp=5EFD39A7E3743B6940 + 13539.50ns INFO [00013541] Port=0 RD @03 + 13541.50ns INFO [00013543] * RD COMPARE * port=0 adr=03 act=5EFD39A7E3743B6940 exp=5EFD39A7E3743B6940 + 13542.50ns INFO [00013544] Port=0 RD @04 + 13542.50ns INFO [00013544] Port=1 RD @02 + 13543.50ns INFO [00013545] Port=0 WR @00=1124A87E213DB09969 + 13543.50ns INFO [00013545] Port=0 RD @04 + 13544.50ns INFO [00013546] * RD COMPARE * port=0 adr=04 act=F74DF8F530B6393557 exp=F74DF8F530B6393557 + 13544.50ns INFO [00013546] * RD COMPARE * port=1 adr=02 act=8324550603B4AE2856 exp=8324550603B4AE2856 + 13544.50ns INFO [00013546] Port=0 RD @04 + 13545.50ns INFO [00013547] * RD COMPARE * port=0 adr=04 act=F74DF8F530B6393557 exp=F74DF8F530B6393557 + 13545.50ns INFO [00013547] Port=0 RD @02 + 13546.50ns INFO [00013548] * RD COMPARE * port=0 adr=04 act=F74DF8F530B6393557 exp=F74DF8F530B6393557 + 13546.50ns INFO [00013548] Port=0 RD @05 + 13547.50ns INFO [00013549] * RD COMPARE * port=0 adr=02 act=8324550603B4AE2856 exp=8324550603B4AE2856 + 13548.50ns INFO [00013550] * RD COMPARE * port=0 adr=05 act=AB23B9C1F2D884B301 exp=AB23B9C1F2D884B301 + 13548.50ns INFO [00013550] Port=0 WR @06=24D98A5E838BEC49D7 + 13548.50ns INFO [00013550] Port=0 RD @00 + 13548.50ns INFO [00013550] Port=1 RD @03 + 13549.50ns INFO [00013551] Port=0 WR @05=8C915824ED09B47DDE + 13550.50ns INFO [00013552] * RD COMPARE * port=0 adr=00 act=1124A87E213DB09969 exp=1124A87E213DB09969 + 13550.50ns INFO [00013552] * RD COMPARE * port=1 adr=03 act=5EFD39A7E3743B6940 exp=5EFD39A7E3743B6940 + 13550.50ns INFO [00013552] Port=0 WR @07=91C490AC761FC5A75A + 13552.50ns INFO [00013554] Port=0 WR @02=2CDE97DF8847F0C522 + 13552.50ns INFO [00013554] Port=0 RD @05 + 13553.50ns INFO [00013555] Port=0 RD @01 + 13554.50ns INFO [00013556] * RD COMPARE * port=0 adr=05 act=8C915824ED09B47DDE exp=8C915824ED09B47DDE + 13554.50ns INFO [00013556] Port=0 RD @00 + 13555.50ns INFO [00013557] * RD COMPARE * port=0 adr=01 act=6C7F19033BA2E31E79 exp=6C7F19033BA2E31E79 + 13555.50ns INFO [00013557] Port=0 WR @02=F7AE45285A995BD2F3 + 13555.50ns INFO [00013557] Port=1 RD @03 + 13556.50ns INFO [00013558] * RD COMPARE * port=0 adr=00 act=1124A87E213DB09969 exp=1124A87E213DB09969 + 13557.50ns INFO [00013559] * RD COMPARE * port=1 adr=03 act=5EFD39A7E3743B6940 exp=5EFD39A7E3743B6940 + 13557.50ns INFO [00013559] Port=0 WR @03=332FB913497BF55E07 + 13558.50ns INFO [00013560] Port=0 RD @01 + 13558.50ns INFO [00013560] Port=1 RD @04 + 13559.50ns INFO [00013561] Port=0 WR @04=73D39289CD4145239D + 13559.50ns INFO [00013561] Port=0 RD @00 + 13560.50ns INFO [00013562] * RD COMPARE * port=0 adr=01 act=6C7F19033BA2E31E79 exp=6C7F19033BA2E31E79 + 13560.50ns INFO [00013562] * RD COMPARE * port=1 adr=04 act=F74DF8F530B6393557 exp=F74DF8F530B6393557 + 13561.50ns INFO [00013563] * RD COMPARE * port=0 adr=00 act=1124A87E213DB09969 exp=1124A87E213DB09969 + 13561.50ns INFO [00013563] Port=0 WR @04=2B498997002C80FCB0 + 13562.50ns INFO [00013564] Port=0 WR @05=27A1FC14B5749906C5 + 13562.50ns INFO [00013564] Port=1 RD @04 + 13564.50ns INFO [00013566] * RD COMPARE * port=1 adr=04 act=2B498997002C80FCB0 exp=2B498997002C80FCB0 + 13565.50ns INFO [00013567] Port=0 WR @00=2D1F38780ADFE86534 + 13565.50ns INFO [00013567] Port=0 RD @06 + 13566.50ns INFO [00013568] Port=0 RD @07 + 13566.50ns INFO [00013568] Port=1 RD @02 + 13567.50ns INFO [00013569] * RD COMPARE * port=0 adr=06 act=24D98A5E838BEC49D7 exp=24D98A5E838BEC49D7 + 13567.50ns INFO [00013569] Port=0 WR @03=AED6708D2BE704EB71 + 13568.50ns INFO [00013570] * RD COMPARE * port=0 adr=07 act=91C490AC761FC5A75A exp=91C490AC761FC5A75A + 13568.50ns INFO [00013570] * RD COMPARE * port=1 adr=02 act=F7AE45285A995BD2F3 exp=F7AE45285A995BD2F3 + 13568.50ns INFO [00013570] Port=0 RD @02 + 13568.50ns INFO [00013570] Port=1 RD @03 + 13570.50ns INFO [00013572] * RD COMPARE * port=0 adr=02 act=F7AE45285A995BD2F3 exp=F7AE45285A995BD2F3 + 13570.50ns INFO [00013572] * RD COMPARE * port=1 adr=03 act=AED6708D2BE704EB71 exp=AED6708D2BE704EB71 + 13570.50ns INFO [00013572] Port=1 RD @00 + 13571.50ns INFO [00013573] Port=0 RD @05 + 13572.50ns INFO [00013574] * RD COMPARE * port=1 adr=00 act=2D1F38780ADFE86534 exp=2D1F38780ADFE86534 + 13572.50ns INFO [00013574] Port=0 RD @02 + 13572.50ns INFO [00013574] Port=1 RD @07 + 13573.50ns INFO [00013575] * RD COMPARE * port=0 adr=05 act=27A1FC14B5749906C5 exp=27A1FC14B5749906C5 + 13573.50ns INFO [00013575] Port=0 RD @05 + 13574.50ns INFO [00013576] * RD COMPARE * port=0 adr=02 act=F7AE45285A995BD2F3 exp=F7AE45285A995BD2F3 + 13574.50ns INFO [00013576] * RD COMPARE * port=1 adr=07 act=91C490AC761FC5A75A exp=91C490AC761FC5A75A + 13574.50ns INFO [00013576] Port=0 RD @07 + 13575.50ns INFO [00013577] * RD COMPARE * port=0 adr=05 act=27A1FC14B5749906C5 exp=27A1FC14B5749906C5 + 13575.50ns INFO [00013577] Port=0 RD @07 + 13576.50ns INFO [00013578] * RD COMPARE * port=0 adr=07 act=91C490AC761FC5A75A exp=91C490AC761FC5A75A + 13576.50ns INFO [00013578] Port=0 RD @00 + 13576.50ns INFO [00013578] Port=1 RD @04 + 13577.50ns INFO [00013579] * RD COMPARE * port=0 adr=07 act=91C490AC761FC5A75A exp=91C490AC761FC5A75A + 13577.50ns INFO [00013579] Port=0 WR @04=224DC603266F7EBC58 + 13577.50ns INFO [00013579] Port=0 RD @03 + 13577.50ns INFO [00013579] Port=1 RD @02 + 13578.50ns INFO [00013580] * RD COMPARE * port=0 adr=00 act=2D1F38780ADFE86534 exp=2D1F38780ADFE86534 + 13578.50ns INFO [00013580] * RD COMPARE * port=1 adr=04 act=2B498997002C80FCB0 exp=2B498997002C80FCB0 + 13578.50ns INFO [00013580] Port=0 RD @07 + 13578.50ns INFO [00013580] Port=1 RD @05 + 13579.50ns INFO [00013581] * RD COMPARE * port=0 adr=03 act=AED6708D2BE704EB71 exp=AED6708D2BE704EB71 + 13579.50ns INFO [00013581] * RD COMPARE * port=1 adr=02 act=F7AE45285A995BD2F3 exp=F7AE45285A995BD2F3 + 13579.50ns INFO [00013581] Port=1 RD @01 + 13580.50ns INFO [00013582] * RD COMPARE * port=0 adr=07 act=91C490AC761FC5A75A exp=91C490AC761FC5A75A + 13580.50ns INFO [00013582] * RD COMPARE * port=1 adr=05 act=27A1FC14B5749906C5 exp=27A1FC14B5749906C5 + 13580.50ns INFO [00013582] Port=0 WR @04=64EE4BA0D86385D4FF + 13580.50ns INFO [00013582] Port=1 RD @01 + 13581.50ns INFO [00013583] * RD COMPARE * port=1 adr=01 act=6C7F19033BA2E31E79 exp=6C7F19033BA2E31E79 + 13581.50ns INFO [00013583] Port=0 WR @06=80502300283721CED5 + 13581.50ns INFO [00013583] Port=0 RD @07 + 13582.50ns INFO [00013584] * RD COMPARE * port=1 adr=01 act=6C7F19033BA2E31E79 exp=6C7F19033BA2E31E79 + 13582.50ns INFO [00013584] Port=0 WR @01=7952DA95C9220C1511 + 13583.50ns INFO [00013585] * RD COMPARE * port=0 adr=07 act=91C490AC761FC5A75A exp=91C490AC761FC5A75A + 13583.50ns INFO [00013585] Port=0 WR @04=3385DB2DBF9D510FCA + 13583.50ns INFO [00013585] Port=0 RD @05 + 13585.50ns INFO [00013587] * RD COMPARE * port=0 adr=05 act=27A1FC14B5749906C5 exp=27A1FC14B5749906C5 + 13585.50ns INFO [00013587] Port=1 RD @02 + 13586.50ns INFO [00013588] Port=1 RD @01 + 13587.50ns INFO [00013589] * RD COMPARE * port=1 adr=02 act=F7AE45285A995BD2F3 exp=F7AE45285A995BD2F3 + 13587.50ns INFO [00013589] Port=0 WR @03=FD1E46CB77529A2E89 + 13587.50ns INFO [00013589] Port=0 RD @04 + 13587.50ns INFO [00013589] Port=1 RD @00 + 13588.50ns INFO [00013590] * RD COMPARE * port=1 adr=01 act=7952DA95C9220C1511 exp=7952DA95C9220C1511 + 13588.50ns INFO [00013590] Port=0 WR @06=53259DD4FD4C8D478B + 13588.50ns INFO [00013590] Port=0 RD @03 + 13589.50ns INFO [00013591] * RD COMPARE * port=0 adr=04 act=3385DB2DBF9D510FCA exp=3385DB2DBF9D510FCA + 13589.50ns INFO [00013591] * RD COMPARE * port=1 adr=00 act=2D1F38780ADFE86534 exp=2D1F38780ADFE86534 + 13590.50ns INFO [00013592] * RD COMPARE * port=0 adr=03 act=FD1E46CB77529A2E89 exp=FD1E46CB77529A2E89 + 13590.50ns INFO [00013592] Port=1 RD @03 + 13592.50ns INFO [00013594] * RD COMPARE * port=1 adr=03 act=FD1E46CB77529A2E89 exp=FD1E46CB77529A2E89 + 13594.50ns INFO [00013596] Port=0 WR @00=EB2050AE545BB75FD6 + 13594.50ns INFO [00013596] Port=0 RD @02 + 13595.50ns INFO [00013597] Port=0 WR @02=BAB1F6A7AEA0B37757 + 13596.50ns INFO [00013598] * RD COMPARE * port=0 adr=02 act=F7AE45285A995BD2F3 exp=F7AE45285A995BD2F3 + 13596.50ns INFO [00013598] Port=1 RD @04 + 13597.50ns INFO [00013599] Port=0 WR @05=36CFAAB91FE82DA5EE + 13597.50ns INFO [00013599] Port=0 RD @01 + 13598.00ns INFO [00013600] [00013600] ...tick... + 13598.50ns INFO [00013600] * RD COMPARE * port=1 adr=04 act=3385DB2DBF9D510FCA exp=3385DB2DBF9D510FCA + 13599.50ns INFO [00013601] * RD COMPARE * port=0 adr=01 act=7952DA95C9220C1511 exp=7952DA95C9220C1511 + 13599.50ns INFO [00013601] Port=0 WR @03=13A62EB325273C3ABC + 13600.50ns INFO [00013602] Port=0 RD @03 + 13602.50ns INFO [00013604] * RD COMPARE * port=0 adr=03 act=13A62EB325273C3ABC exp=13A62EB325273C3ABC + 13602.50ns INFO [00013604] Port=0 WR @05=C51A5178000425455F + 13602.50ns INFO [00013604] Port=0 RD @07 + 13604.50ns INFO [00013606] * RD COMPARE * port=0 adr=07 act=91C490AC761FC5A75A exp=91C490AC761FC5A75A + 13604.50ns INFO [00013606] Port=0 WR @00=7E0ACF87C755700236 + 13604.50ns INFO [00013606] Port=0 RD @02 + 13604.50ns INFO [00013606] Port=1 RD @05 + 13605.50ns INFO [00013607] Port=0 WR @00=B3ABEC586868E5F4EF + 13606.50ns INFO [00013608] * RD COMPARE * port=0 adr=02 act=BAB1F6A7AEA0B37757 exp=BAB1F6A7AEA0B37757 + 13606.50ns INFO [00013608] * RD COMPARE * port=1 adr=05 act=C51A5178000425455F exp=C51A5178000425455F + 13606.50ns INFO [00013608] Port=0 WR @05=888027823A2AC45CFF + 13606.50ns INFO [00013608] Port=1 RD @04 + 13607.50ns INFO [00013609] Port=0 RD @06 + 13608.50ns INFO [00013610] * RD COMPARE * port=1 adr=04 act=3385DB2DBF9D510FCA exp=3385DB2DBF9D510FCA + 13608.50ns INFO [00013610] Port=0 WR @05=0095FFD86B6B666892 + 13609.50ns INFO [00013611] * RD COMPARE * port=0 adr=06 act=53259DD4FD4C8D478B exp=53259DD4FD4C8D478B + 13609.50ns INFO [00013611] Port=0 WR @05=F61A25A1B789B00BB7 + 13610.50ns INFO [00013612] Port=0 RD @03 + 13611.50ns INFO [00013613] Port=0 RD @07 + 13612.50ns INFO [00013614] * RD COMPARE * port=0 adr=03 act=13A62EB325273C3ABC exp=13A62EB325273C3ABC + 13612.50ns INFO [00013614] Port=0 WR @02=FB97B9334FD241EB09 + 13613.50ns INFO [00013615] * RD COMPARE * port=0 adr=07 act=91C490AC761FC5A75A exp=91C490AC761FC5A75A + 13613.50ns INFO [00013615] Port=0 RD @01 + 13613.50ns INFO [00013615] Port=1 RD @00 + 13614.50ns INFO [00013616] Port=0 RD @02 + 13614.50ns INFO [00013616] Port=1 RD @06 + 13615.50ns INFO [00013617] * RD COMPARE * port=0 adr=01 act=7952DA95C9220C1511 exp=7952DA95C9220C1511 + 13615.50ns INFO [00013617] * RD COMPARE * port=1 adr=00 act=B3ABEC586868E5F4EF exp=B3ABEC586868E5F4EF + 13615.50ns INFO [00013617] Port=0 RD @07 + 13615.50ns INFO [00013617] Port=1 RD @04 + 13616.50ns INFO [00013618] * RD COMPARE * port=0 adr=02 act=FB97B9334FD241EB09 exp=FB97B9334FD241EB09 + 13616.50ns INFO [00013618] * RD COMPARE * port=1 adr=06 act=53259DD4FD4C8D478B exp=53259DD4FD4C8D478B + 13616.50ns INFO [00013618] Port=1 RD @05 + 13617.50ns INFO [00013619] * RD COMPARE * port=0 adr=07 act=91C490AC761FC5A75A exp=91C490AC761FC5A75A + 13617.50ns INFO [00013619] * RD COMPARE * port=1 adr=04 act=3385DB2DBF9D510FCA exp=3385DB2DBF9D510FCA + 13617.50ns INFO [00013619] Port=0 WR @07=50729FF5B7AFB0BD94 + 13618.50ns INFO [00013620] * RD COMPARE * port=1 adr=05 act=F61A25A1B789B00BB7 exp=F61A25A1B789B00BB7 + 13618.50ns INFO [00013620] Port=0 RD @05 + 13619.50ns INFO [00013621] Port=0 WR @02=35E533953F1DBFA4E5 + 13619.50ns INFO [00013621] Port=0 RD @01 + 13620.50ns INFO [00013622] * RD COMPARE * port=0 adr=05 act=F61A25A1B789B00BB7 exp=F61A25A1B789B00BB7 + 13620.50ns INFO [00013622] Port=0 RD @02 + 13621.50ns INFO [00013623] * RD COMPARE * port=0 adr=01 act=7952DA95C9220C1511 exp=7952DA95C9220C1511 + 13621.50ns INFO [00013623] Port=0 WR @04=62A323D4060449D585 + 13621.50ns INFO [00013623] Port=0 RD @03 + 13621.50ns INFO [00013623] Port=1 RD @01 + 13622.50ns INFO [00013624] * RD COMPARE * port=0 adr=02 act=35E533953F1DBFA4E5 exp=35E533953F1DBFA4E5 + 13622.50ns INFO [00013624] Port=0 RD @06 + 13623.50ns INFO [00013625] * RD COMPARE * port=0 adr=03 act=13A62EB325273C3ABC exp=13A62EB325273C3ABC + 13623.50ns INFO [00013625] * RD COMPARE * port=1 adr=01 act=7952DA95C9220C1511 exp=7952DA95C9220C1511 + 13623.50ns INFO [00013625] Port=0 WR @01=DABF8C80DE724C7FBB + 13623.50ns INFO [00013625] Port=0 RD @07 + 13624.50ns INFO [00013626] * RD COMPARE * port=0 adr=06 act=53259DD4FD4C8D478B exp=53259DD4FD4C8D478B + 13624.50ns INFO [00013626] Port=0 WR @06=2DCB044DC4D20373F3 + 13625.50ns INFO [00013627] * RD COMPARE * port=0 adr=07 act=50729FF5B7AFB0BD94 exp=50729FF5B7AFB0BD94 + 13625.50ns INFO [00013627] Port=1 RD @00 + 13626.50ns INFO [00013628] Port=0 WR @02=85CB83E60B972DA6C7 + 13627.50ns INFO [00013629] * RD COMPARE * port=1 adr=00 act=B3ABEC586868E5F4EF exp=B3ABEC586868E5F4EF + 13627.50ns INFO [00013629] Port=0 WR @03=71AACA34354B1A93DE + 13627.50ns INFO [00013629] Port=0 RD @04 + 13628.50ns INFO [00013630] Port=0 RD @07 + 13628.50ns INFO [00013630] Port=1 RD @00 + 13629.50ns INFO [00013631] * RD COMPARE * port=0 adr=04 act=62A323D4060449D585 exp=62A323D4060449D585 + 13629.50ns INFO [00013631] Port=0 RD @04 + 13630.50ns INFO [00013632] * RD COMPARE * port=0 adr=07 act=50729FF5B7AFB0BD94 exp=50729FF5B7AFB0BD94 + 13630.50ns INFO [00013632] * RD COMPARE * port=1 adr=00 act=B3ABEC586868E5F4EF exp=B3ABEC586868E5F4EF + 13630.50ns INFO [00013632] Port=0 WR @02=B5EFC99BFBAE468DFB + 13630.50ns INFO [00013632] Port=0 RD @07 + 13630.50ns INFO [00013632] Port=1 RD @06 + 13631.50ns INFO [00013633] * RD COMPARE * port=0 adr=04 act=62A323D4060449D585 exp=62A323D4060449D585 + 13631.50ns INFO [00013633] Port=0 WR @03=C171D824868AB1CF5D + 13631.50ns INFO [00013633] Port=1 RD @04 + 13632.50ns INFO [00013634] * RD COMPARE * port=0 adr=07 act=50729FF5B7AFB0BD94 exp=50729FF5B7AFB0BD94 + 13632.50ns INFO [00013634] * RD COMPARE * port=1 adr=06 act=2DCB044DC4D20373F3 exp=2DCB044DC4D20373F3 + 13632.50ns INFO [00013634] Port=0 WR @03=D6F00E3CC4BEDE5270 + 13633.50ns INFO [00013635] * RD COMPARE * port=1 adr=04 act=62A323D4060449D585 exp=62A323D4060449D585 + 13633.50ns INFO [00013635] Port=0 WR @01=E8382BDFA111366E61 + 13634.50ns INFO [00013636] Port=0 WR @02=60CBCF852528403593 + 13634.50ns INFO [00013636] Port=0 RD @03 + 13634.50ns INFO [00013636] Port=1 RD @06 + 13635.50ns INFO [00013637] Port=0 WR @04=91C0D4B14853C097A2 + 13635.50ns INFO [00013637] Port=1 RD @00 + 13636.50ns INFO [00013638] * RD COMPARE * port=0 adr=03 act=D6F00E3CC4BEDE5270 exp=D6F00E3CC4BEDE5270 + 13636.50ns INFO [00013638] * RD COMPARE * port=1 adr=06 act=2DCB044DC4D20373F3 exp=2DCB044DC4D20373F3 + 13636.50ns INFO [00013638] Port=0 RD @03 + 13637.50ns INFO [00013639] * RD COMPARE * port=1 adr=00 act=B3ABEC586868E5F4EF exp=B3ABEC586868E5F4EF + 13638.50ns INFO [00013640] * RD COMPARE * port=0 adr=03 act=D6F00E3CC4BEDE5270 exp=D6F00E3CC4BEDE5270 + 13638.50ns INFO [00013640] Port=0 RD @01 + 13639.50ns INFO [00013641] Port=1 RD @02 + 13640.50ns INFO [00013642] * RD COMPARE * port=0 adr=01 act=E8382BDFA111366E61 exp=E8382BDFA111366E61 + 13640.50ns INFO [00013642] Port=0 WR @07=BDEBDEC90BB8492F89 + 13641.50ns INFO [00013643] * RD COMPARE * port=1 adr=02 act=60CBCF852528403593 exp=60CBCF852528403593 + 13641.50ns INFO [00013643] Port=0 WR @02=D83ABE3E722F877201 + 13642.50ns INFO [00013644] Port=1 RD @00 + 13643.50ns INFO [00013645] Port=0 WR @00=2C181C85F0D4D50A5B + 13644.50ns INFO [00013646] * RD COMPARE * port=1 adr=00 act=B3ABEC586868E5F4EF exp=B3ABEC586868E5F4EF + 13644.50ns INFO [00013646] Port=1 RD @04 + 13646.50ns INFO [00013648] * RD COMPARE * port=1 adr=04 act=91C0D4B14853C097A2 exp=91C0D4B14853C097A2 + 13646.50ns INFO [00013648] Port=0 WR @05=F15877690E8E131EA1 + 13647.50ns INFO [00013649] Port=0 WR @03=3B1A3D8D621E5F9401 + 13647.50ns INFO [00013649] Port=1 RD @00 + 13649.50ns INFO [00013651] * RD COMPARE * port=1 adr=00 act=2C181C85F0D4D50A5B exp=2C181C85F0D4D50A5B + 13649.50ns INFO [00013651] Port=0 WR @05=D970BBCF02723BE42C + 13650.50ns INFO [00013652] Port=0 WR @01=ACEEA5BAEDC36DBC40 + 13650.50ns INFO [00013652] Port=1 RD @06 + 13651.50ns INFO [00013653] Port=0 WR @07=ED221BAAD65D9886EA + 13652.50ns INFO [00013654] * RD COMPARE * port=1 adr=06 act=2DCB044DC4D20373F3 exp=2DCB044DC4D20373F3 + 13652.50ns INFO [00013654] Port=1 RD @07 + 13653.50ns INFO [00013655] Port=0 WR @03=D6FACF9B2B4F8D55B8 + 13653.50ns INFO [00013655] Port=1 RD @07 + 13654.50ns INFO [00013656] * RD COMPARE * port=1 adr=07 act=ED221BAAD65D9886EA exp=ED221BAAD65D9886EA + 13654.50ns INFO [00013656] Port=0 WR @06=E7A46BB74D00367767 + 13655.50ns INFO [00013657] * RD COMPARE * port=1 adr=07 act=ED221BAAD65D9886EA exp=ED221BAAD65D9886EA + 13655.50ns INFO [00013657] Port=0 WR @00=0286CF8C6AFAD5E2D3 + 13656.50ns INFO [00013658] Port=0 WR @00=F25D68F6FDFC8439E6 + 13656.50ns INFO [00013658] Port=0 RD @06 + 13656.50ns INFO [00013658] Port=1 RD @07 + 13658.50ns INFO [00013660] * RD COMPARE * port=0 adr=06 act=E7A46BB74D00367767 exp=E7A46BB74D00367767 + 13658.50ns INFO [00013660] * RD COMPARE * port=1 adr=07 act=ED221BAAD65D9886EA exp=ED221BAAD65D9886EA + 13658.50ns INFO [00013660] Port=0 RD @02 + 13659.50ns INFO [00013661] Port=0 WR @01=10052498EED92624DD + 13659.50ns INFO [00013661] Port=1 RD @00 + 13660.50ns INFO [00013662] * RD COMPARE * port=0 adr=02 act=D83ABE3E722F877201 exp=D83ABE3E722F877201 + 13660.50ns INFO [00013662] Port=0 WR @06=819E8957CAF8C730F4 + 13660.50ns INFO [00013662] Port=0 RD @00 + 13660.50ns INFO [00013662] Port=1 RD @01 + 13661.50ns INFO [00013663] * RD COMPARE * port=1 adr=00 act=F25D68F6FDFC8439E6 exp=F25D68F6FDFC8439E6 + 13661.50ns INFO [00013663] Port=0 WR @01=F155964045652E430E + 13661.50ns INFO [00013663] Port=1 RD @07 + 13662.50ns INFO [00013664] * RD COMPARE * port=0 adr=00 act=F25D68F6FDFC8439E6 exp=F25D68F6FDFC8439E6 + 13662.50ns INFO [00013664] * RD COMPARE * port=1 adr=01 act=10052498EED92624DD exp=10052498EED92624DD + 13662.50ns INFO [00013664] Port=0 WR @00=A5045145FC4DD4C63B + 13663.50ns INFO [00013665] * RD COMPARE * port=1 adr=07 act=ED221BAAD65D9886EA exp=ED221BAAD65D9886EA + 13663.50ns INFO [00013665] Port=0 WR @02=D53C5890AABA1E8834 + 13664.50ns INFO [00013666] Port=0 WR @07=54740267006EC13E00 + 13664.50ns INFO [00013666] Port=1 RD @01 + 13665.50ns INFO [00013667] Port=0 WR @05=A935A8D976644BC66B + 13665.50ns INFO [00013667] Port=1 RD @02 + 13666.50ns INFO [00013668] * RD COMPARE * port=1 adr=01 act=F155964045652E430E exp=F155964045652E430E + 13666.50ns INFO [00013668] Port=1 RD @01 + 13667.50ns INFO [00013669] * RD COMPARE * port=1 adr=02 act=D53C5890AABA1E8834 exp=D53C5890AABA1E8834 + 13667.50ns INFO [00013669] Port=0 WR @02=2EDC8338C5B418B14F + 13667.50ns INFO [00013669] Port=1 RD @03 + 13668.50ns INFO [00013670] * RD COMPARE * port=1 adr=01 act=F155964045652E430E exp=F155964045652E430E + 13669.50ns INFO [00013671] * RD COMPARE * port=1 adr=03 act=D6FACF9B2B4F8D55B8 exp=D6FACF9B2B4F8D55B8 + 13669.50ns INFO [00013671] Port=0 RD @03 + 13670.50ns INFO [00013672] Port=0 WR @04=5F31DCA686D4C6C674 + 13670.50ns INFO [00013672] Port=0 RD @01 + 13671.50ns INFO [00013673] * RD COMPARE * port=0 adr=03 act=D6FACF9B2B4F8D55B8 exp=D6FACF9B2B4F8D55B8 + 13671.50ns INFO [00013673] Port=1 RD @04 + 13672.50ns INFO [00013674] * RD COMPARE * port=0 adr=01 act=F155964045652E430E exp=F155964045652E430E + 13673.50ns INFO [00013675] * RD COMPARE * port=1 adr=04 act=5F31DCA686D4C6C674 exp=5F31DCA686D4C6C674 + 13674.50ns INFO [00013676] Port=0 RD @01 + 13674.50ns INFO [00013676] Port=1 RD @03 + 13676.50ns INFO [00013678] * RD COMPARE * port=0 adr=01 act=F155964045652E430E exp=F155964045652E430E + 13676.50ns INFO [00013678] * RD COMPARE * port=1 adr=03 act=D6FACF9B2B4F8D55B8 exp=D6FACF9B2B4F8D55B8 + 13677.50ns INFO [00013679] Port=0 WR @03=93D5DBE7DBDC823E24 + 13677.50ns INFO [00013679] Port=0 RD @04 + 13677.50ns INFO [00013679] Port=1 RD @01 + 13678.50ns INFO [00013680] Port=0 WR @00=5BF32531B12FAD5324 + 13678.50ns INFO [00013680] Port=0 RD @05 + 13679.50ns INFO [00013681] * RD COMPARE * port=0 adr=04 act=5F31DCA686D4C6C674 exp=5F31DCA686D4C6C674 + 13679.50ns INFO [00013681] * RD COMPARE * port=1 adr=01 act=F155964045652E430E exp=F155964045652E430E + 13679.50ns INFO [00013681] Port=0 RD @02 + 13680.50ns INFO [00013682] * RD COMPARE * port=0 adr=05 act=A935A8D976644BC66B exp=A935A8D976644BC66B + 13680.50ns INFO [00013682] Port=0 WR @01=635EB13B6036A3BC7D + 13680.50ns INFO [00013682] Port=0 RD @02 + 13681.50ns INFO [00013683] * RD COMPARE * port=0 adr=02 act=2EDC8338C5B418B14F exp=2EDC8338C5B418B14F + 13682.50ns INFO [00013684] * RD COMPARE * port=0 adr=02 act=2EDC8338C5B418B14F exp=2EDC8338C5B418B14F + 13682.50ns INFO [00013684] Port=0 RD @02 + 13683.50ns INFO [00013685] Port=0 WR @06=CEB49D695CBF866B26 + 13683.50ns INFO [00013685] Port=0 RD @00 + 13684.50ns INFO [00013686] * RD COMPARE * port=0 adr=02 act=2EDC8338C5B418B14F exp=2EDC8338C5B418B14F + 13684.50ns INFO [00013686] Port=0 WR @04=06181C718545E7809A + 13684.50ns INFO [00013686] Port=1 RD @05 + 13685.50ns INFO [00013687] * RD COMPARE * port=0 adr=00 act=5BF32531B12FAD5324 exp=5BF32531B12FAD5324 + 13686.50ns INFO [00013688] * RD COMPARE * port=1 adr=05 act=A935A8D976644BC66B exp=A935A8D976644BC66B + 13686.50ns INFO [00013688] Port=0 WR @03=50A22C5604DC773716 + 13686.50ns INFO [00013688] Port=0 RD @06 + 13686.50ns INFO [00013688] Port=1 RD @05 + 13687.50ns INFO [00013689] Port=0 WR @03=784380D0F3A6150A43 + 13687.50ns INFO [00013689] Port=0 RD @04 + 13688.50ns INFO [00013690] * RD COMPARE * port=0 adr=06 act=CEB49D695CBF866B26 exp=CEB49D695CBF866B26 + 13688.50ns INFO [00013690] * RD COMPARE * port=1 adr=05 act=A935A8D976644BC66B exp=A935A8D976644BC66B + 13688.50ns INFO [00013690] Port=0 WR @07=8F1589F87F50D55E21 + 13689.50ns INFO [00013691] * RD COMPARE * port=0 adr=04 act=06181C718545E7809A exp=06181C718545E7809A + 13690.50ns INFO [00013692] Port=0 WR @00=0F70CDCDC91FC90CF6 + 13690.50ns INFO [00013692] Port=1 RD @02 + 13691.50ns INFO [00013693] Port=1 RD @04 + 13692.50ns INFO [00013694] * RD COMPARE * port=1 adr=02 act=2EDC8338C5B418B14F exp=2EDC8338C5B418B14F + 13692.50ns INFO [00013694] Port=0 WR @00=17551C574B2DEE06E1 + 13693.50ns INFO [00013695] * RD COMPARE * port=1 adr=04 act=06181C718545E7809A exp=06181C718545E7809A + 13693.50ns INFO [00013695] Port=0 WR @04=376131F158DFD6F1D3 + 13694.50ns INFO [00013696] Port=0 WR @06=2299551BDFA861D655 + 13694.50ns INFO [00013696] Port=0 RD @00 + 13694.50ns INFO [00013696] Port=1 RD @07 + 13695.50ns INFO [00013697] Port=1 RD @01 + 13696.50ns INFO [00013698] * RD COMPARE * port=0 adr=00 act=17551C574B2DEE06E1 exp=17551C574B2DEE06E1 + 13696.50ns INFO [00013698] * RD COMPARE * port=1 adr=07 act=8F1589F87F50D55E21 exp=8F1589F87F50D55E21 + 13696.50ns INFO [00013698] Port=1 RD @05 + 13697.50ns INFO [00013699] * RD COMPARE * port=1 adr=01 act=635EB13B6036A3BC7D exp=635EB13B6036A3BC7D + 13697.50ns INFO [00013699] Port=0 RD @05 + 13697.50ns INFO [00013699] Port=1 RD @05 + 13698.00ns INFO [00013700] [00013700] ...tick... + 13698.50ns INFO [00013700] * RD COMPARE * port=1 adr=05 act=A935A8D976644BC66B exp=A935A8D976644BC66B + 13699.50ns INFO [00013701] * RD COMPARE * port=0 adr=05 act=A935A8D976644BC66B exp=A935A8D976644BC66B + 13699.50ns INFO [00013701] * RD COMPARE * port=1 adr=05 act=A935A8D976644BC66B exp=A935A8D976644BC66B + 13699.50ns INFO [00013701] Port=1 RD @05 + 13700.50ns INFO [00013702] Port=1 RD @04 + 13701.50ns INFO [00013703] * RD COMPARE * port=1 adr=05 act=A935A8D976644BC66B exp=A935A8D976644BC66B + 13701.50ns INFO [00013703] Port=1 RD @04 + 13702.50ns INFO [00013704] * RD COMPARE * port=1 adr=04 act=376131F158DFD6F1D3 exp=376131F158DFD6F1D3 + 13702.50ns INFO [00013704] Port=0 RD @06 + 13702.50ns INFO [00013704] Port=1 RD @05 + 13703.50ns INFO [00013705] * RD COMPARE * port=1 adr=04 act=376131F158DFD6F1D3 exp=376131F158DFD6F1D3 + 13703.50ns INFO [00013705] Port=0 RD @04 + 13704.50ns INFO [00013706] * RD COMPARE * port=0 adr=06 act=2299551BDFA861D655 exp=2299551BDFA861D655 + 13704.50ns INFO [00013706] * RD COMPARE * port=1 adr=05 act=A935A8D976644BC66B exp=A935A8D976644BC66B + 13704.50ns INFO [00013706] Port=0 RD @04 + 13705.50ns INFO [00013707] * RD COMPARE * port=0 adr=04 act=376131F158DFD6F1D3 exp=376131F158DFD6F1D3 + 13705.50ns INFO [00013707] Port=1 RD @01 + 13706.50ns INFO [00013708] * RD COMPARE * port=0 adr=04 act=376131F158DFD6F1D3 exp=376131F158DFD6F1D3 + 13706.50ns INFO [00013708] Port=0 RD @01 + 13707.50ns INFO [00013709] * RD COMPARE * port=1 adr=01 act=635EB13B6036A3BC7D exp=635EB13B6036A3BC7D + 13707.50ns INFO [00013709] Port=0 WR @04=7D14D5CE68FBEB35F8 + 13708.50ns INFO [00013710] * RD COMPARE * port=0 adr=01 act=635EB13B6036A3BC7D exp=635EB13B6036A3BC7D + 13708.50ns INFO [00013710] Port=0 WR @05=956F6EC6FF3024FB63 + 13709.50ns INFO [00013711] Port=1 RD @03 + 13710.50ns INFO [00013712] Port=1 RD @00 + 13711.50ns INFO [00013713] * RD COMPARE * port=1 adr=03 act=784380D0F3A6150A43 exp=784380D0F3A6150A43 + 13711.50ns INFO [00013713] Port=0 WR @03=361657E0B01DE0C5A0 + 13712.50ns INFO [00013714] * RD COMPARE * port=1 adr=00 act=17551C574B2DEE06E1 exp=17551C574B2DEE06E1 + 13712.50ns INFO [00013714] Port=1 RD @02 + 13713.50ns INFO [00013715] Port=0 RD @02 + 13714.50ns INFO [00013716] * RD COMPARE * port=1 adr=02 act=2EDC8338C5B418B14F exp=2EDC8338C5B418B14F + 13714.50ns INFO [00013716] Port=0 RD @01 + 13714.50ns INFO [00013716] Port=1 RD @06 + 13715.50ns INFO [00013717] * RD COMPARE * port=0 adr=02 act=2EDC8338C5B418B14F exp=2EDC8338C5B418B14F + 13715.50ns INFO [00013717] Port=1 RD @06 + 13716.50ns INFO [00013718] * RD COMPARE * port=0 adr=01 act=635EB13B6036A3BC7D exp=635EB13B6036A3BC7D + 13716.50ns INFO [00013718] * RD COMPARE * port=1 adr=06 act=2299551BDFA861D655 exp=2299551BDFA861D655 + 13716.50ns INFO [00013718] Port=0 WR @07=FB1BD430DF3B7760F9 + 13717.50ns INFO [00013719] * RD COMPARE * port=1 adr=06 act=2299551BDFA861D655 exp=2299551BDFA861D655 + 13718.50ns INFO [00013720] Port=0 WR @04=2E931142007633AFE8 + 13718.50ns INFO [00013720] Port=0 RD @00 + 13718.50ns INFO [00013720] Port=1 RD @07 + 13719.50ns INFO [00013721] Port=0 RD @04 + 13719.50ns INFO [00013721] Port=1 RD @00 + 13720.50ns INFO [00013722] * RD COMPARE * port=0 adr=00 act=17551C574B2DEE06E1 exp=17551C574B2DEE06E1 + 13720.50ns INFO [00013722] * RD COMPARE * port=1 adr=07 act=FB1BD430DF3B7760F9 exp=FB1BD430DF3B7760F9 + 13720.50ns INFO [00013722] Port=0 WR @02=6632C26B46947C85A7 + 13720.50ns INFO [00013722] Port=0 RD @04 + 13720.50ns INFO [00013722] Port=1 RD @04 + 13721.50ns INFO [00013723] * RD COMPARE * port=0 adr=04 act=2E931142007633AFE8 exp=2E931142007633AFE8 + 13721.50ns INFO [00013723] * RD COMPARE * port=1 adr=00 act=17551C574B2DEE06E1 exp=17551C574B2DEE06E1 + 13721.50ns INFO [00013723] Port=0 WR @04=A8AB979DD18F5974BD + 13721.50ns INFO [00013723] Port=1 RD @07 + 13722.50ns INFO [00013724] * RD COMPARE * port=0 adr=04 act=2E931142007633AFE8 exp=2E931142007633AFE8 + 13722.50ns INFO [00013724] * RD COMPARE * port=1 adr=04 act=2E931142007633AFE8 exp=2E931142007633AFE8 + 13722.50ns INFO [00013724] Port=0 RD @06 + 13722.50ns INFO [00013724] Port=1 RD @07 + 13723.50ns INFO [00013725] * RD COMPARE * port=1 adr=07 act=FB1BD430DF3B7760F9 exp=FB1BD430DF3B7760F9 + 13723.50ns INFO [00013725] Port=0 WR @05=C143A3431742932199 + 13723.50ns INFO [00013725] Port=1 RD @00 + 13724.50ns INFO [00013726] * RD COMPARE * port=0 adr=06 act=2299551BDFA861D655 exp=2299551BDFA861D655 + 13724.50ns INFO [00013726] * RD COMPARE * port=1 adr=07 act=FB1BD430DF3B7760F9 exp=FB1BD430DF3B7760F9 + 13725.50ns INFO [00013727] * RD COMPARE * port=1 adr=00 act=17551C574B2DEE06E1 exp=17551C574B2DEE06E1 + 13725.50ns INFO [00013727] Port=0 WR @01=D76864DE8BB81F27F4 + 13727.50ns INFO [00013729] Port=0 RD @03 + 13729.50ns INFO [00013731] * RD COMPARE * port=0 adr=03 act=361657E0B01DE0C5A0 exp=361657E0B01DE0C5A0 + 13730.50ns INFO [00013732] Port=0 RD @06 + 13730.50ns INFO [00013732] Port=1 RD @06 + 13732.50ns INFO [00013734] * RD COMPARE * port=0 adr=06 act=2299551BDFA861D655 exp=2299551BDFA861D655 + 13732.50ns INFO [00013734] * RD COMPARE * port=1 adr=06 act=2299551BDFA861D655 exp=2299551BDFA861D655 + 13732.50ns INFO [00013734] Port=0 WR @02=B1B440AEF4E799CFB7 + 13733.50ns INFO [00013735] Port=0 RD @03 + 13733.50ns INFO [00013735] Port=1 RD @04 + 13734.50ns INFO [00013736] Port=0 WR @04=CB5D6E85C4A7A94E83 + 13734.50ns INFO [00013736] Port=0 RD @01 + 13735.50ns INFO [00013737] * RD COMPARE * port=0 adr=03 act=361657E0B01DE0C5A0 exp=361657E0B01DE0C5A0 + 13735.50ns INFO [00013737] * RD COMPARE * port=1 adr=04 act=A8AB979DD18F5974BD exp=A8AB979DD18F5974BD + 13735.50ns INFO [00013737] Port=0 RD @03 + 13735.50ns INFO [00013737] Port=1 RD @02 + 13736.50ns INFO [00013738] * RD COMPARE * port=0 adr=01 act=D76864DE8BB81F27F4 exp=D76864DE8BB81F27F4 + 13737.50ns INFO [00013739] * RD COMPARE * port=0 adr=03 act=361657E0B01DE0C5A0 exp=361657E0B01DE0C5A0 + 13737.50ns INFO [00013739] * RD COMPARE * port=1 adr=02 act=B1B440AEF4E799CFB7 exp=B1B440AEF4E799CFB7 + 13738.50ns INFO [00013740] Port=1 RD @05 + 13739.50ns INFO [00013741] Port=0 WR @02=298D59425BE34F9B2A + 13739.50ns INFO [00013741] Port=0 RD @03 + 13740.50ns INFO [00013742] * RD COMPARE * port=1 adr=05 act=C143A3431742932199 exp=C143A3431742932199 + 13740.50ns INFO [00013742] Port=0 WR @02=10AF13A1F8BC6DE88F + 13741.50ns INFO [00013743] * RD COMPARE * port=0 adr=03 act=361657E0B01DE0C5A0 exp=361657E0B01DE0C5A0 + 13742.50ns INFO [00013744] Port=0 WR @06=02C0DB685A881D73D8 + 13744.50ns INFO [00013746] Port=0 RD @03 + 13746.50ns INFO [00013748] * RD COMPARE * port=0 adr=03 act=361657E0B01DE0C5A0 exp=361657E0B01DE0C5A0 + 13747.50ns INFO [00013749] Port=0 WR @06=B98027F53EA8334FD4 + 13747.50ns INFO [00013749] Port=1 RD @03 + 13749.50ns INFO [00013751] * RD COMPARE * port=1 adr=03 act=361657E0B01DE0C5A0 exp=361657E0B01DE0C5A0 + 13749.50ns INFO [00013751] Port=0 WR @01=C77D93933278CF31CF + 13749.50ns INFO [00013751] Port=0 RD @02 + 13749.50ns INFO [00013751] Port=1 RD @03 + 13750.50ns INFO [00013752] Port=0 WR @02=6C8BE81FD80D1A2DC8 + 13750.50ns INFO [00013752] Port=0 RD @04 + 13751.50ns INFO [00013753] * RD COMPARE * port=0 adr=02 act=10AF13A1F8BC6DE88F exp=10AF13A1F8BC6DE88F + 13751.50ns INFO [00013753] * RD COMPARE * port=1 adr=03 act=361657E0B01DE0C5A0 exp=361657E0B01DE0C5A0 + 13752.50ns INFO [00013754] * RD COMPARE * port=0 adr=04 act=CB5D6E85C4A7A94E83 exp=CB5D6E85C4A7A94E83 + 13752.50ns INFO [00013754] Port=0 RD @00 + 13752.50ns INFO [00013754] Port=1 RD @02 + 13753.50ns INFO [00013755] Port=1 RD @05 + 13754.50ns INFO [00013756] * RD COMPARE * port=0 adr=00 act=17551C574B2DEE06E1 exp=17551C574B2DEE06E1 + 13754.50ns INFO [00013756] * RD COMPARE * port=1 adr=02 act=6C8BE81FD80D1A2DC8 exp=6C8BE81FD80D1A2DC8 + 13755.50ns INFO [00013757] * RD COMPARE * port=1 adr=05 act=C143A3431742932199 exp=C143A3431742932199 + 13755.50ns INFO [00013757] Port=0 WR @07=20AEE7B4CA85454919 + 13755.50ns INFO [00013757] Port=1 RD @06 + 13756.50ns INFO [00013758] Port=0 WR @04=63D1FFB8239A819CA6 + 13756.50ns INFO [00013758] Port=0 RD @02 + 13756.50ns INFO [00013758] Port=1 RD @02 + 13757.50ns INFO [00013759] * RD COMPARE * port=1 adr=06 act=B98027F53EA8334FD4 exp=B98027F53EA8334FD4 + 13757.50ns INFO [00013759] Port=0 RD @05 + 13757.50ns INFO [00013759] Port=1 RD @01 + 13758.50ns INFO [00013760] * RD COMPARE * port=0 adr=02 act=6C8BE81FD80D1A2DC8 exp=6C8BE81FD80D1A2DC8 + 13758.50ns INFO [00013760] * RD COMPARE * port=1 adr=02 act=6C8BE81FD80D1A2DC8 exp=6C8BE81FD80D1A2DC8 + 13759.50ns INFO [00013761] * RD COMPARE * port=0 adr=05 act=C143A3431742932199 exp=C143A3431742932199 + 13759.50ns INFO [00013761] * RD COMPARE * port=1 adr=01 act=C77D93933278CF31CF exp=C77D93933278CF31CF + 13759.50ns INFO [00013761] Port=1 RD @01 + 13761.50ns INFO [00013763] * RD COMPARE * port=1 adr=01 act=C77D93933278CF31CF exp=C77D93933278CF31CF + 13763.50ns INFO [00013765] Port=0 WR @04=558712A6BB831A7F0E + 13763.50ns INFO [00013765] Port=1 RD @02 + 13764.50ns INFO [00013766] Port=0 RD @03 + 13764.50ns INFO [00013766] Port=1 RD @02 + 13765.50ns INFO [00013767] * RD COMPARE * port=1 adr=02 act=6C8BE81FD80D1A2DC8 exp=6C8BE81FD80D1A2DC8 + 13765.50ns INFO [00013767] Port=0 WR @03=8C53D3772A719BA441 + 13766.50ns INFO [00013768] * RD COMPARE * port=0 adr=03 act=361657E0B01DE0C5A0 exp=361657E0B01DE0C5A0 + 13766.50ns INFO [00013768] * RD COMPARE * port=1 adr=02 act=6C8BE81FD80D1A2DC8 exp=6C8BE81FD80D1A2DC8 + 13766.50ns INFO [00013768] Port=0 WR @04=79A0B896B4C0A95615 + 13766.50ns INFO [00013768] Port=1 RD @00 + 13768.50ns INFO [00013770] * RD COMPARE * port=1 adr=00 act=17551C574B2DEE06E1 exp=17551C574B2DEE06E1 + 13768.50ns INFO [00013770] Port=0 WR @01=BADD036AECFC39ED40 + 13768.50ns INFO [00013770] Port=0 RD @04 + 13768.50ns INFO [00013770] Port=1 RD @02 + 13770.50ns INFO [00013772] * RD COMPARE * port=0 adr=04 act=79A0B896B4C0A95615 exp=79A0B896B4C0A95615 + 13770.50ns INFO [00013772] * RD COMPARE * port=1 adr=02 act=6C8BE81FD80D1A2DC8 exp=6C8BE81FD80D1A2DC8 + 13770.50ns INFO [00013772] Port=0 WR @07=E40A3809B24B958841 + 13770.50ns INFO [00013772] Port=1 RD @00 + 13771.50ns INFO [00013773] Port=0 WR @04=F05BDB39DBAC4C766C + 13771.50ns INFO [00013773] Port=0 RD @05 + 13772.50ns INFO [00013774] * RD COMPARE * port=1 adr=00 act=17551C574B2DEE06E1 exp=17551C574B2DEE06E1 + 13772.50ns INFO [00013774] Port=0 WR @04=11EAC139E1243AA8D2 + 13772.50ns INFO [00013774] Port=1 RD @02 + 13773.50ns INFO [00013775] * RD COMPARE * port=0 adr=05 act=C143A3431742932199 exp=C143A3431742932199 + 13773.50ns INFO [00013775] Port=0 RD @04 + 13774.50ns INFO [00013776] * RD COMPARE * port=1 adr=02 act=6C8BE81FD80D1A2DC8 exp=6C8BE81FD80D1A2DC8 + 13774.50ns INFO [00013776] Port=0 WR @04=D2FD27546B9E3F27B9 + 13775.50ns INFO [00013777] * RD COMPARE * port=0 adr=04 act=11EAC139E1243AA8D2 exp=11EAC139E1243AA8D2 + 13775.50ns INFO [00013777] Port=1 RD @03 + 13776.50ns INFO [00013778] Port=1 RD @06 + 13777.50ns INFO [00013779] * RD COMPARE * port=1 adr=03 act=8C53D3772A719BA441 exp=8C53D3772A719BA441 + 13777.50ns INFO [00013779] Port=0 RD @03 + 13777.50ns INFO [00013779] Port=1 RD @02 + 13778.50ns INFO [00013780] * RD COMPARE * port=1 adr=06 act=B98027F53EA8334FD4 exp=B98027F53EA8334FD4 + 13778.50ns INFO [00013780] Port=0 WR @03=4616825B56B5F7A3EF + 13779.50ns INFO [00013781] * RD COMPARE * port=0 adr=03 act=8C53D3772A719BA441 exp=8C53D3772A719BA441 + 13779.50ns INFO [00013781] * RD COMPARE * port=1 adr=02 act=6C8BE81FD80D1A2DC8 exp=6C8BE81FD80D1A2DC8 + 13779.50ns INFO [00013781] Port=0 WR @05=EAD54BA83B0CE764B7 + 13779.50ns INFO [00013781] Port=1 RD @04 + 13780.50ns INFO [00013782] Port=0 WR @05=5564DD9EBF1BA86DC0 + 13780.50ns INFO [00013782] Port=1 RD @03 + 13781.50ns INFO [00013783] * RD COMPARE * port=1 adr=04 act=D2FD27546B9E3F27B9 exp=D2FD27546B9E3F27B9 + 13781.50ns INFO [00013783] Port=0 WR @01=767B061147C7A8BA92 + 13781.50ns INFO [00013783] Port=0 RD @06 + 13781.50ns INFO [00013783] Port=1 RD @07 + 13782.50ns INFO [00013784] * RD COMPARE * port=1 adr=03 act=4616825B56B5F7A3EF exp=4616825B56B5F7A3EF + 13782.50ns INFO [00013784] Port=0 RD @00 + 13783.50ns INFO [00013785] * RD COMPARE * port=0 adr=06 act=B98027F53EA8334FD4 exp=B98027F53EA8334FD4 + 13783.50ns INFO [00013785] * RD COMPARE * port=1 adr=07 act=E40A3809B24B958841 exp=E40A3809B24B958841 + 13783.50ns INFO [00013785] Port=0 WR @00=0FCD0C3EDBC8752C80 + 13783.50ns INFO [00013785] Port=0 RD @01 + 13783.50ns INFO [00013785] Port=1 RD @03 + 13784.50ns INFO [00013786] * RD COMPARE * port=0 adr=00 act=17551C574B2DEE06E1 exp=17551C574B2DEE06E1 + 13784.50ns INFO [00013786] Port=0 WR @00=23ED7D2DDBC8399E85 + 13784.50ns INFO [00013786] Port=0 RD @01 + 13785.50ns INFO [00013787] * RD COMPARE * port=0 adr=01 act=767B061147C7A8BA92 exp=767B061147C7A8BA92 + 13785.50ns INFO [00013787] * RD COMPARE * port=1 adr=03 act=4616825B56B5F7A3EF exp=4616825B56B5F7A3EF + 13785.50ns INFO [00013787] Port=0 WR @07=611AE99AEF3DF191D9 + 13785.50ns INFO [00013787] Port=0 RD @06 + 13785.50ns INFO [00013787] Port=1 RD @05 + 13786.50ns INFO [00013788] * RD COMPARE * port=0 adr=01 act=767B061147C7A8BA92 exp=767B061147C7A8BA92 + 13786.50ns INFO [00013788] Port=0 RD @05 + 13787.50ns INFO [00013789] * RD COMPARE * port=0 adr=06 act=B98027F53EA8334FD4 exp=B98027F53EA8334FD4 + 13787.50ns INFO [00013789] * RD COMPARE * port=1 adr=05 act=5564DD9EBF1BA86DC0 exp=5564DD9EBF1BA86DC0 + 13787.50ns INFO [00013789] Port=0 WR @03=1F93EB628114F37FB8 + 13788.50ns INFO [00013790] * RD COMPARE * port=0 adr=05 act=5564DD9EBF1BA86DC0 exp=5564DD9EBF1BA86DC0 + 13788.50ns INFO [00013790] Port=0 WR @07=0D6D5E88D162EAD88E + 13789.50ns INFO [00013791] Port=0 RD @00 + 13789.50ns INFO [00013791] Port=1 RD @06 + 13790.50ns INFO [00013792] Port=0 WR @00=3B090BB20230938A21 + 13791.50ns INFO [00013793] * RD COMPARE * port=0 adr=00 act=23ED7D2DDBC8399E85 exp=23ED7D2DDBC8399E85 + 13791.50ns INFO [00013793] * RD COMPARE * port=1 adr=06 act=B98027F53EA8334FD4 exp=B98027F53EA8334FD4 + 13792.50ns INFO [00013794] Port=0 RD @05 + 13792.50ns INFO [00013794] Port=1 RD @01 + 13793.50ns INFO [00013795] Port=0 WR @02=EAC4B048A88D7C5617 + 13793.50ns INFO [00013795] Port=1 RD @03 + 13794.50ns INFO [00013796] * RD COMPARE * port=0 adr=05 act=5564DD9EBF1BA86DC0 exp=5564DD9EBF1BA86DC0 + 13794.50ns INFO [00013796] * RD COMPARE * port=1 adr=01 act=767B061147C7A8BA92 exp=767B061147C7A8BA92 + 13795.50ns INFO [00013797] * RD COMPARE * port=1 adr=03 act=1F93EB628114F37FB8 exp=1F93EB628114F37FB8 + 13796.50ns INFO [00013798] Port=1 RD @01 + 13797.50ns INFO [00013799] Port=0 RD @06 + 13797.50ns INFO [00013799] Port=1 RD @03 + 13798.00ns INFO [00013800] [00013800] ...tick... + 13798.50ns INFO [00013800] * RD COMPARE * port=1 adr=01 act=767B061147C7A8BA92 exp=767B061147C7A8BA92 + 13798.50ns INFO [00013800] Port=0 WR @02=88F1113495D1758E8A + 13799.50ns INFO [00013801] * RD COMPARE * port=0 adr=06 act=B98027F53EA8334FD4 exp=B98027F53EA8334FD4 + 13799.50ns INFO [00013801] * RD COMPARE * port=1 adr=03 act=1F93EB628114F37FB8 exp=1F93EB628114F37FB8 + 13799.50ns INFO [00013801] Port=0 WR @07=34F4D716FD538D296F + 13799.50ns INFO [00013801] Port=1 RD @06 + 13800.50ns INFO [00013802] Port=0 WR @04=2A555B3EB183840467 + 13800.50ns INFO [00013802] Port=1 RD @00 + 13801.50ns INFO [00013803] * RD COMPARE * port=1 adr=06 act=B98027F53EA8334FD4 exp=B98027F53EA8334FD4 + 13801.50ns INFO [00013803] Port=0 RD @01 + 13802.50ns INFO [00013804] * RD COMPARE * port=1 adr=00 act=3B090BB20230938A21 exp=3B090BB20230938A21 + 13802.50ns INFO [00013804] Port=0 RD @06 + 13802.50ns INFO [00013804] Port=1 RD @03 + 13803.50ns INFO [00013805] * RD COMPARE * port=0 adr=01 act=767B061147C7A8BA92 exp=767B061147C7A8BA92 + 13803.50ns INFO [00013805] Port=0 WR @05=A6DA08A1DE65A394B0 + 13804.50ns INFO [00013806] * RD COMPARE * port=0 adr=06 act=B98027F53EA8334FD4 exp=B98027F53EA8334FD4 + 13804.50ns INFO [00013806] * RD COMPARE * port=1 adr=03 act=1F93EB628114F37FB8 exp=1F93EB628114F37FB8 + 13804.50ns INFO [00013806] Port=0 RD @02 + 13804.50ns INFO [00013806] Port=1 RD @03 + 13805.50ns INFO [00013807] Port=0 WR @00=ADECEB5F4C31565BBA + 13805.50ns INFO [00013807] Port=1 RD @01 + 13806.50ns INFO [00013808] * RD COMPARE * port=0 adr=02 act=88F1113495D1758E8A exp=88F1113495D1758E8A + 13806.50ns INFO [00013808] * RD COMPARE * port=1 adr=03 act=1F93EB628114F37FB8 exp=1F93EB628114F37FB8 + 13806.50ns INFO [00013808] Port=0 WR @04=E5590BDD2C66DF9A50 + 13806.50ns INFO [00013808] Port=0 RD @05 + 13806.50ns INFO [00013808] Port=1 RD @00 + 13807.50ns INFO [00013809] * RD COMPARE * port=1 adr=01 act=767B061147C7A8BA92 exp=767B061147C7A8BA92 + 13807.50ns INFO [00013809] Port=0 WR @03=5BE2CE16F87AD3F418 + 13808.50ns INFO [00013810] * RD COMPARE * port=0 adr=05 act=A6DA08A1DE65A394B0 exp=A6DA08A1DE65A394B0 + 13808.50ns INFO [00013810] * RD COMPARE * port=1 adr=00 act=ADECEB5F4C31565BBA exp=ADECEB5F4C31565BBA + 13808.50ns INFO [00013810] Port=0 RD @04 + 13808.50ns INFO [00013810] Port=1 RD @04 + 13809.50ns INFO [00013811] Port=0 RD @00 + 13810.50ns INFO [00013812] * RD COMPARE * port=0 adr=04 act=E5590BDD2C66DF9A50 exp=E5590BDD2C66DF9A50 + 13810.50ns INFO [00013812] * RD COMPARE * port=1 adr=04 act=E5590BDD2C66DF9A50 exp=E5590BDD2C66DF9A50 + 13810.50ns INFO [00013812] Port=0 RD @01 + 13810.50ns INFO [00013812] Port=1 RD @02 + 13811.50ns INFO [00013813] * RD COMPARE * port=0 adr=00 act=ADECEB5F4C31565BBA exp=ADECEB5F4C31565BBA + 13811.50ns INFO [00013813] Port=0 WR @05=4B6803A8C5A9DABBD1 + 13811.50ns INFO [00013813] Port=1 RD @02 + 13812.50ns INFO [00013814] * RD COMPARE * port=0 adr=01 act=767B061147C7A8BA92 exp=767B061147C7A8BA92 + 13812.50ns INFO [00013814] * RD COMPARE * port=1 adr=02 act=88F1113495D1758E8A exp=88F1113495D1758E8A + 13812.50ns INFO [00013814] Port=1 RD @07 + 13813.50ns INFO [00013815] * RD COMPARE * port=1 adr=02 act=88F1113495D1758E8A exp=88F1113495D1758E8A + 13813.50ns INFO [00013815] Port=0 WR @00=227F1597F28B466A0F + 13814.50ns INFO [00013816] * RD COMPARE * port=1 adr=07 act=34F4D716FD538D296F exp=34F4D716FD538D296F + 13814.50ns INFO [00013816] Port=0 RD @07 + 13815.50ns INFO [00013817] Port=0 RD @04 + 13815.50ns INFO [00013817] Port=1 RD @06 + 13816.50ns INFO [00013818] * RD COMPARE * port=0 adr=07 act=34F4D716FD538D296F exp=34F4D716FD538D296F + 13816.50ns INFO [00013818] Port=1 RD @02 + 13817.50ns INFO [00013819] * RD COMPARE * port=0 adr=04 act=E5590BDD2C66DF9A50 exp=E5590BDD2C66DF9A50 + 13817.50ns INFO [00013819] * RD COMPARE * port=1 adr=06 act=B98027F53EA8334FD4 exp=B98027F53EA8334FD4 + 13817.50ns INFO [00013819] Port=0 WR @02=BFD7F11AEEC68B10F4 + 13817.50ns INFO [00013819] Port=0 RD @00 + 13817.50ns INFO [00013819] Port=1 RD @00 + 13818.50ns INFO [00013820] * RD COMPARE * port=1 adr=02 act=88F1113495D1758E8A exp=88F1113495D1758E8A + 13818.50ns INFO [00013820] Port=0 RD @02 + 13819.50ns INFO [00013821] * RD COMPARE * port=0 adr=00 act=227F1597F28B466A0F exp=227F1597F28B466A0F + 13819.50ns INFO [00013821] * RD COMPARE * port=1 adr=00 act=227F1597F28B466A0F exp=227F1597F28B466A0F + 13820.50ns INFO [00013822] * RD COMPARE * port=0 adr=02 act=BFD7F11AEEC68B10F4 exp=BFD7F11AEEC68B10F4 + 13820.50ns INFO [00013822] Port=0 WR @06=3B512EFD65BF50ACBB + 13820.50ns INFO [00013822] Port=1 RD @07 + 13821.50ns INFO [00013823] Port=0 RD @04 + 13822.50ns INFO [00013824] * RD COMPARE * port=1 adr=07 act=34F4D716FD538D296F exp=34F4D716FD538D296F + 13822.50ns INFO [00013824] Port=0 RD @03 + 13823.50ns INFO [00013825] * RD COMPARE * port=0 adr=04 act=E5590BDD2C66DF9A50 exp=E5590BDD2C66DF9A50 + 13823.50ns INFO [00013825] Port=0 WR @02=B87C52DDEFEF4E6483 + 13824.50ns INFO [00013826] * RD COMPARE * port=0 adr=03 act=5BE2CE16F87AD3F418 exp=5BE2CE16F87AD3F418 + 13825.50ns INFO [00013827] Port=1 RD @06 + 13826.50ns INFO [00013828] Port=1 RD @00 + 13827.50ns INFO [00013829] * RD COMPARE * port=1 adr=06 act=3B512EFD65BF50ACBB exp=3B512EFD65BF50ACBB + 13827.50ns INFO [00013829] Port=0 RD @07 + 13827.50ns INFO [00013829] Port=1 RD @00 + 13828.50ns INFO [00013830] * RD COMPARE * port=1 adr=00 act=227F1597F28B466A0F exp=227F1597F28B466A0F + 13828.50ns INFO [00013830] Port=1 RD @04 + 13829.50ns INFO [00013831] * RD COMPARE * port=0 adr=07 act=34F4D716FD538D296F exp=34F4D716FD538D296F + 13829.50ns INFO [00013831] * RD COMPARE * port=1 adr=00 act=227F1597F28B466A0F exp=227F1597F28B466A0F + 13830.50ns INFO [00013832] * RD COMPARE * port=1 adr=04 act=E5590BDD2C66DF9A50 exp=E5590BDD2C66DF9A50 + 13830.50ns INFO [00013832] Port=0 RD @04 + 13831.50ns INFO [00013833] Port=0 WR @02=9A25E8B2E9C4F715C3 + 13832.50ns INFO [00013834] * RD COMPARE * port=0 adr=04 act=E5590BDD2C66DF9A50 exp=E5590BDD2C66DF9A50 + 13832.50ns INFO [00013834] Port=0 RD @01 + 13834.50ns INFO [00013836] * RD COMPARE * port=0 adr=01 act=767B061147C7A8BA92 exp=767B061147C7A8BA92 + 13834.50ns INFO [00013836] Port=0 RD @01 + 13834.50ns INFO [00013836] Port=1 RD @03 + 13835.50ns INFO [00013837] Port=0 WR @06=9CFB54444CCEA8B042 + 13835.50ns INFO [00013837] Port=0 RD @00 + 13835.50ns INFO [00013837] Port=1 RD @03 + 13836.50ns INFO [00013838] * RD COMPARE * port=0 adr=01 act=767B061147C7A8BA92 exp=767B061147C7A8BA92 + 13836.50ns INFO [00013838] * RD COMPARE * port=1 adr=03 act=5BE2CE16F87AD3F418 exp=5BE2CE16F87AD3F418 + 13837.50ns INFO [00013839] * RD COMPARE * port=0 adr=00 act=227F1597F28B466A0F exp=227F1597F28B466A0F + 13837.50ns INFO [00013839] * RD COMPARE * port=1 adr=03 act=5BE2CE16F87AD3F418 exp=5BE2CE16F87AD3F418 + 13837.50ns INFO [00013839] Port=0 RD @01 + 13838.50ns INFO [00013840] Port=1 RD @07 + 13839.50ns INFO [00013841] * RD COMPARE * port=0 adr=01 act=767B061147C7A8BA92 exp=767B061147C7A8BA92 + 13839.50ns INFO [00013841] Port=0 WR @06=47977896A7ABEEC4D2 + 13840.50ns INFO [00013842] * RD COMPARE * port=1 adr=07 act=34F4D716FD538D296F exp=34F4D716FD538D296F + 13842.50ns INFO [00013844] Port=1 RD @05 + 13844.50ns INFO [00013846] * RD COMPARE * port=1 adr=05 act=4B6803A8C5A9DABBD1 exp=4B6803A8C5A9DABBD1 + 13844.50ns INFO [00013846] Port=0 RD @07 + 13845.50ns INFO [00013847] Port=0 WR @01=2E07842EC44601BC36 + 13845.50ns INFO [00013847] Port=0 RD @03 + 13846.50ns INFO [00013848] * RD COMPARE * port=0 adr=07 act=34F4D716FD538D296F exp=34F4D716FD538D296F + 13847.50ns INFO [00013849] * RD COMPARE * port=0 adr=03 act=5BE2CE16F87AD3F418 exp=5BE2CE16F87AD3F418 + 13847.50ns INFO [00013849] Port=0 WR @04=0F8F85A0E6488E1539 + 13847.50ns INFO [00013849] Port=0 RD @00 + 13847.50ns INFO [00013849] Port=1 RD @05 + 13848.50ns INFO [00013850] Port=0 RD @03 + 13848.50ns INFO [00013850] Port=1 RD @05 + 13849.50ns INFO [00013851] * RD COMPARE * port=0 adr=00 act=227F1597F28B466A0F exp=227F1597F28B466A0F + 13849.50ns INFO [00013851] * RD COMPARE * port=1 adr=05 act=4B6803A8C5A9DABBD1 exp=4B6803A8C5A9DABBD1 + 13850.50ns INFO [00013852] * RD COMPARE * port=0 adr=03 act=5BE2CE16F87AD3F418 exp=5BE2CE16F87AD3F418 + 13850.50ns INFO [00013852] * RD COMPARE * port=1 adr=05 act=4B6803A8C5A9DABBD1 exp=4B6803A8C5A9DABBD1 + 13852.50ns INFO [00013854] Port=0 WR @01=3BB49B98E25F8B4FC9 + 13853.50ns INFO [00013855] Port=0 RD @07 + 13853.50ns INFO [00013855] Port=1 RD @06 + 13854.50ns INFO [00013856] Port=0 WR @05=586ECCDEBC3DE96AC3 + 13855.50ns INFO [00013857] * RD COMPARE * port=0 adr=07 act=34F4D716FD538D296F exp=34F4D716FD538D296F + 13855.50ns INFO [00013857] * RD COMPARE * port=1 adr=06 act=47977896A7ABEEC4D2 exp=47977896A7ABEEC4D2 + 13855.50ns INFO [00013857] Port=1 RD @06 + 13856.50ns INFO [00013858] Port=1 RD @07 + 13857.50ns INFO [00013859] * RD COMPARE * port=1 adr=06 act=47977896A7ABEEC4D2 exp=47977896A7ABEEC4D2 + 13857.50ns INFO [00013859] Port=0 WR @07=6F3357C86C0C9F526E + 13857.50ns INFO [00013859] Port=0 RD @01 + 13857.50ns INFO [00013859] Port=1 RD @05 + 13858.50ns INFO [00013860] * RD COMPARE * port=1 adr=07 act=34F4D716FD538D296F exp=34F4D716FD538D296F + 13858.50ns INFO [00013860] Port=0 WR @01=E80B1F92D7F91BA816 + 13859.50ns INFO [00013861] * RD COMPARE * port=0 adr=01 act=3BB49B98E25F8B4FC9 exp=3BB49B98E25F8B4FC9 + 13859.50ns INFO [00013861] * RD COMPARE * port=1 adr=05 act=586ECCDEBC3DE96AC3 exp=586ECCDEBC3DE96AC3 + 13859.50ns INFO [00013861] Port=0 WR @00=20C3EE548E0707EF9B + 13859.50ns INFO [00013861] Port=0 RD @05 + 13860.50ns INFO [00013862] Port=1 RD @05 + 13861.50ns INFO [00013863] * RD COMPARE * port=0 adr=05 act=586ECCDEBC3DE96AC3 exp=586ECCDEBC3DE96AC3 + 13861.50ns INFO [00013863] Port=0 WR @02=F1FDE856A69AD4D598 + 13861.50ns INFO [00013863] Port=1 RD @00 + 13862.50ns INFO [00013864] * RD COMPARE * port=1 adr=05 act=586ECCDEBC3DE96AC3 exp=586ECCDEBC3DE96AC3 + 13862.50ns INFO [00013864] Port=0 WR @00=AE264FE8C5A3810671 + 13862.50ns INFO [00013864] Port=0 RD @06 + 13863.50ns INFO [00013865] * RD COMPARE * port=1 adr=00 act=20C3EE548E0707EF9B exp=20C3EE548E0707EF9B + 13863.50ns INFO [00013865] Port=0 WR @00=946B0847CFA46FF1F7 + 13863.50ns INFO [00013865] Port=0 RD @01 + 13864.50ns INFO [00013866] * RD COMPARE * port=0 adr=06 act=47977896A7ABEEC4D2 exp=47977896A7ABEEC4D2 + 13864.50ns INFO [00013866] Port=0 RD @04 + 13865.50ns INFO [00013867] * RD COMPARE * port=0 adr=01 act=E80B1F92D7F91BA816 exp=E80B1F92D7F91BA816 + 13865.50ns INFO [00013867] Port=0 WR @02=56F1E4B2C8141CA81C + 13865.50ns INFO [00013867] Port=1 RD @04 + 13866.50ns INFO [00013868] * RD COMPARE * port=0 adr=04 act=0F8F85A0E6488E1539 exp=0F8F85A0E6488E1539 + 13867.50ns INFO [00013869] * RD COMPARE * port=1 adr=04 act=0F8F85A0E6488E1539 exp=0F8F85A0E6488E1539 + 13867.50ns INFO [00013869] Port=0 WR @06=5592D8D6EB96EE5DD3 + 13867.50ns INFO [00013869] Port=0 RD @07 + 13867.50ns INFO [00013869] Port=1 RD @00 + 13868.50ns INFO [00013870] Port=1 RD @01 + 13869.50ns INFO [00013871] * RD COMPARE * port=0 adr=07 act=6F3357C86C0C9F526E exp=6F3357C86C0C9F526E + 13869.50ns INFO [00013871] * RD COMPARE * port=1 adr=00 act=946B0847CFA46FF1F7 exp=946B0847CFA46FF1F7 + 13869.50ns INFO [00013871] Port=0 RD @06 + 13869.50ns INFO [00013871] Port=1 RD @02 + 13870.50ns INFO [00013872] * RD COMPARE * port=1 adr=01 act=E80B1F92D7F91BA816 exp=E80B1F92D7F91BA816 + 13871.50ns INFO [00013873] * RD COMPARE * port=0 adr=06 act=5592D8D6EB96EE5DD3 exp=5592D8D6EB96EE5DD3 + 13871.50ns INFO [00013873] * RD COMPARE * port=1 adr=02 act=56F1E4B2C8141CA81C exp=56F1E4B2C8141CA81C + 13871.50ns INFO [00013873] Port=0 WR @03=1EE635C36CBD1161F1 + 13872.50ns INFO [00013874] Port=1 RD @00 + 13873.50ns INFO [00013875] Port=0 WR @02=354352172BEF62CB9A + 13874.50ns INFO [00013876] * RD COMPARE * port=1 adr=00 act=946B0847CFA46FF1F7 exp=946B0847CFA46FF1F7 + 13874.50ns INFO [00013876] Port=0 WR @03=AC7373046F47527E47 + 13874.50ns INFO [00013876] Port=0 RD @02 + 13876.50ns INFO [00013878] * RD COMPARE * port=0 adr=02 act=354352172BEF62CB9A exp=354352172BEF62CB9A + 13876.50ns INFO [00013878] Port=1 RD @00 + 13877.50ns INFO [00013879] Port=0 WR @06=1E6476ED3D1FE6DAF2 + 13877.50ns INFO [00013879] Port=0 RD @03 + 13877.50ns INFO [00013879] Port=1 RD @03 + 13878.50ns INFO [00013880] * RD COMPARE * port=1 adr=00 act=946B0847CFA46FF1F7 exp=946B0847CFA46FF1F7 + 13878.50ns INFO [00013880] Port=0 RD @00 + 13878.50ns INFO [00013880] Port=1 RD @05 + 13879.50ns INFO [00013881] * RD COMPARE * port=0 adr=03 act=AC7373046F47527E47 exp=AC7373046F47527E47 + 13879.50ns INFO [00013881] * RD COMPARE * port=1 adr=03 act=AC7373046F47527E47 exp=AC7373046F47527E47 + 13879.50ns INFO [00013881] Port=0 RD @02 + 13880.50ns INFO [00013882] * RD COMPARE * port=0 adr=00 act=946B0847CFA46FF1F7 exp=946B0847CFA46FF1F7 + 13880.50ns INFO [00013882] * RD COMPARE * port=1 adr=05 act=586ECCDEBC3DE96AC3 exp=586ECCDEBC3DE96AC3 + 13880.50ns INFO [00013882] Port=1 RD @06 + 13881.50ns INFO [00013883] * RD COMPARE * port=0 adr=02 act=354352172BEF62CB9A exp=354352172BEF62CB9A + 13881.50ns INFO [00013883] Port=0 RD @03 + 13881.50ns INFO [00013883] Port=1 RD @07 + 13882.50ns INFO [00013884] * RD COMPARE * port=1 adr=06 act=1E6476ED3D1FE6DAF2 exp=1E6476ED3D1FE6DAF2 + 13883.50ns INFO [00013885] * RD COMPARE * port=0 adr=03 act=AC7373046F47527E47 exp=AC7373046F47527E47 + 13883.50ns INFO [00013885] * RD COMPARE * port=1 adr=07 act=6F3357C86C0C9F526E exp=6F3357C86C0C9F526E + 13883.50ns INFO [00013885] Port=0 WR @05=805B3BF75646F049FC + 13884.50ns INFO [00013886] Port=0 WR @01=3A1E1A7AAE1B52A9B5 + 13885.50ns INFO [00013887] Port=0 WR @03=1103261AD8B4D3EFFD + 13885.50ns INFO [00013887] Port=0 RD @00 + 13886.50ns INFO [00013888] Port=0 WR @04=4E07E921B9D0494D35 + 13886.50ns INFO [00013888] Port=0 RD @07 + 13886.50ns INFO [00013888] Port=1 RD @00 + 13887.50ns INFO [00013889] * RD COMPARE * port=0 adr=00 act=946B0847CFA46FF1F7 exp=946B0847CFA46FF1F7 + 13887.50ns INFO [00013889] Port=0 RD @07 + 13888.50ns INFO [00013890] * RD COMPARE * port=0 adr=07 act=6F3357C86C0C9F526E exp=6F3357C86C0C9F526E + 13888.50ns INFO [00013890] * RD COMPARE * port=1 adr=00 act=946B0847CFA46FF1F7 exp=946B0847CFA46FF1F7 + 13888.50ns INFO [00013890] Port=0 WR @02=864C260254616D26F5 + 13888.50ns INFO [00013890] Port=0 RD @00 + 13889.50ns INFO [00013891] * RD COMPARE * port=0 adr=07 act=6F3357C86C0C9F526E exp=6F3357C86C0C9F526E + 13889.50ns INFO [00013891] Port=0 RD @00 + 13890.50ns INFO [00013892] * RD COMPARE * port=0 adr=00 act=946B0847CFA46FF1F7 exp=946B0847CFA46FF1F7 + 13891.50ns INFO [00013893] * RD COMPARE * port=0 adr=00 act=946B0847CFA46FF1F7 exp=946B0847CFA46FF1F7 + 13891.50ns INFO [00013893] Port=1 RD @03 + 13892.50ns INFO [00013894] Port=0 WR @00=4A2F778D2DA7A607B3 + 13893.50ns INFO [00013895] * RD COMPARE * port=1 adr=03 act=1103261AD8B4D3EFFD exp=1103261AD8B4D3EFFD + 13893.50ns INFO [00013895] Port=0 WR @04=9F4BDE7B2DEFD1B3E8 + 13893.50ns INFO [00013895] Port=0 RD @01 + 13893.50ns INFO [00013895] Port=1 RD @03 + 13894.50ns INFO [00013896] Port=0 RD @00 + 13895.50ns INFO [00013897] * RD COMPARE * port=0 adr=01 act=3A1E1A7AAE1B52A9B5 exp=3A1E1A7AAE1B52A9B5 + 13895.50ns INFO [00013897] * RD COMPARE * port=1 adr=03 act=1103261AD8B4D3EFFD exp=1103261AD8B4D3EFFD + 13895.50ns INFO [00013897] Port=0 WR @07=9E6600FA834733DA7E + 13896.50ns INFO [00013898] * RD COMPARE * port=0 adr=00 act=4A2F778D2DA7A607B3 exp=4A2F778D2DA7A607B3 + 13896.50ns INFO [00013898] Port=1 RD @07 + 13898.00ns INFO [00013900] [00013900] ...tick... + 13898.50ns INFO [00013900] * RD COMPARE * port=1 adr=07 act=9E6600FA834733DA7E exp=9E6600FA834733DA7E + 13898.50ns INFO [00013900] Port=0 WR @03=23F100E0CC3A207ED3 + 13898.50ns INFO [00013900] Port=0 RD @06 + 13900.50ns INFO [00013902] * RD COMPARE * port=0 adr=06 act=1E6476ED3D1FE6DAF2 exp=1E6476ED3D1FE6DAF2 + 13900.50ns INFO [00013902] Port=0 WR @04=566324CD16F9BA1EA9 + 13900.50ns INFO [00013902] Port=0 RD @06 + 13900.50ns INFO [00013902] Port=1 RD @01 + 13901.50ns INFO [00013903] Port=0 WR @01=BE2540BA35D3BA0557 + 13901.50ns INFO [00013903] Port=0 RD @05 + 13902.50ns INFO [00013904] * RD COMPARE * port=0 adr=06 act=1E6476ED3D1FE6DAF2 exp=1E6476ED3D1FE6DAF2 + 13902.50ns INFO [00013904] * RD COMPARE * port=1 adr=01 act=3A1E1A7AAE1B52A9B5 exp=3A1E1A7AAE1B52A9B5 + 13902.50ns INFO [00013904] Port=1 RD @01 + 13903.50ns INFO [00013905] * RD COMPARE * port=0 adr=05 act=805B3BF75646F049FC exp=805B3BF75646F049FC + 13903.50ns INFO [00013905] Port=0 RD @04 + 13904.50ns INFO [00013906] * RD COMPARE * port=1 adr=01 act=BE2540BA35D3BA0557 exp=BE2540BA35D3BA0557 + 13904.50ns INFO [00013906] Port=0 WR @00=D5DEE756865D9C2BA3 + 13905.50ns INFO [00013907] * RD COMPARE * port=0 adr=04 act=566324CD16F9BA1EA9 exp=566324CD16F9BA1EA9 + 13905.50ns INFO [00013907] Port=0 WR @07=9E537E6450B9E8FCF1 + 13905.50ns INFO [00013907] Port=0 RD @00 + 13906.50ns INFO [00013908] Port=0 RD @07 + 13907.50ns INFO [00013909] * RD COMPARE * port=0 adr=00 act=D5DEE756865D9C2BA3 exp=D5DEE756865D9C2BA3 + 13907.50ns INFO [00013909] Port=0 WR @04=594C912774B30B9D01 + 13908.50ns INFO [00013910] * RD COMPARE * port=0 adr=07 act=9E537E6450B9E8FCF1 exp=9E537E6450B9E8FCF1 + 13908.50ns INFO [00013910] Port=0 WR @03=957757044C8660682C + 13908.50ns INFO [00013910] Port=0 RD @02 + 13908.50ns INFO [00013910] Port=1 RD @02 + 13909.50ns INFO [00013911] Port=0 WR @07=183387686745A27212 + 13909.50ns INFO [00013911] Port=0 RD @01 + 13909.50ns INFO [00013911] Port=1 RD @01 + 13910.50ns INFO [00013912] * RD COMPARE * port=0 adr=02 act=864C260254616D26F5 exp=864C260254616D26F5 + 13910.50ns INFO [00013912] * RD COMPARE * port=1 adr=02 act=864C260254616D26F5 exp=864C260254616D26F5 + 13911.50ns INFO [00013913] * RD COMPARE * port=0 adr=01 act=BE2540BA35D3BA0557 exp=BE2540BA35D3BA0557 + 13911.50ns INFO [00013913] * RD COMPARE * port=1 adr=01 act=BE2540BA35D3BA0557 exp=BE2540BA35D3BA0557 + 13913.50ns INFO [00013915] Port=0 WR @06=6CB3F744E291FC0AB9 + 13913.50ns INFO [00013915] Port=1 RD @03 + 13915.50ns INFO [00013917] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13915.50ns INFO [00013917] Port=1 RD @06 + 13916.50ns INFO [00013918] Port=0 WR @07=7F51549F20E333E90E + 13917.50ns INFO [00013919] * RD COMPARE * port=1 adr=06 act=6CB3F744E291FC0AB9 exp=6CB3F744E291FC0AB9 + 13917.50ns INFO [00013919] Port=0 WR @04=5DE98CEB3059BDF72A + 13918.50ns INFO [00013920] Port=0 RD @04 + 13919.50ns INFO [00013921] Port=0 WR @02=4194E7DF9DCB26E649 + 13919.50ns INFO [00013921] Port=1 RD @01 + 13920.50ns INFO [00013922] * RD COMPARE * port=0 adr=04 act=5DE98CEB3059BDF72A exp=5DE98CEB3059BDF72A + 13920.50ns INFO [00013922] Port=0 WR @01=B152C58AF4B674957E + 13921.50ns INFO [00013923] * RD COMPARE * port=1 adr=01 act=BE2540BA35D3BA0557 exp=BE2540BA35D3BA0557 + 13921.50ns INFO [00013923] Port=0 WR @04=A62137117B8B734E1B + 13922.50ns INFO [00013924] Port=0 RD @07 + 13923.50ns INFO [00013925] Port=0 WR @07=A45535812062613F62 + 13923.50ns INFO [00013925] Port=0 RD @02 + 13923.50ns INFO [00013925] Port=1 RD @05 + 13924.50ns INFO [00013926] * RD COMPARE * port=0 adr=07 act=7F51549F20E333E90E exp=7F51549F20E333E90E + 13924.50ns INFO [00013926] Port=0 RD @02 + 13925.50ns INFO [00013927] * RD COMPARE * port=0 adr=02 act=4194E7DF9DCB26E649 exp=4194E7DF9DCB26E649 + 13925.50ns INFO [00013927] * RD COMPARE * port=1 adr=05 act=805B3BF75646F049FC exp=805B3BF75646F049FC + 13925.50ns INFO [00013927] Port=0 RD @06 + 13925.50ns INFO [00013927] Port=1 RD @02 + 13926.50ns INFO [00013928] * RD COMPARE * port=0 adr=02 act=4194E7DF9DCB26E649 exp=4194E7DF9DCB26E649 + 13926.50ns INFO [00013928] Port=0 RD @06 + 13926.50ns INFO [00013928] Port=1 RD @01 + 13927.50ns INFO [00013929] * RD COMPARE * port=0 adr=06 act=6CB3F744E291FC0AB9 exp=6CB3F744E291FC0AB9 + 13927.50ns INFO [00013929] * RD COMPARE * port=1 adr=02 act=4194E7DF9DCB26E649 exp=4194E7DF9DCB26E649 + 13927.50ns INFO [00013929] Port=0 WR @02=AD7E25A0C9E52349CA + 13927.50ns INFO [00013929] Port=0 RD @07 + 13928.50ns INFO [00013930] * RD COMPARE * port=0 adr=06 act=6CB3F744E291FC0AB9 exp=6CB3F744E291FC0AB9 + 13928.50ns INFO [00013930] * RD COMPARE * port=1 adr=01 act=B152C58AF4B674957E exp=B152C58AF4B674957E + 13928.50ns INFO [00013930] Port=0 RD @03 + 13929.50ns INFO [00013931] * RD COMPARE * port=0 adr=07 act=A45535812062613F62 exp=A45535812062613F62 + 13929.50ns INFO [00013931] Port=1 RD @03 + 13930.50ns INFO [00013932] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13930.50ns INFO [00013932] Port=1 RD @07 + 13931.50ns INFO [00013933] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13931.50ns INFO [00013933] Port=0 RD @00 + 13932.50ns INFO [00013934] * RD COMPARE * port=1 adr=07 act=A45535812062613F62 exp=A45535812062613F62 + 13932.50ns INFO [00013934] Port=0 WR @02=C7872E221F18E47DA5 + 13932.50ns INFO [00013934] Port=0 RD @00 + 13932.50ns INFO [00013934] Port=1 RD @06 + 13933.50ns INFO [00013935] * RD COMPARE * port=0 adr=00 act=D5DEE756865D9C2BA3 exp=D5DEE756865D9C2BA3 + 13933.50ns INFO [00013935] Port=0 WR @02=7C004D276F73D6E971 + 13933.50ns INFO [00013935] Port=0 RD @00 + 13934.50ns INFO [00013936] * RD COMPARE * port=0 adr=00 act=D5DEE756865D9C2BA3 exp=D5DEE756865D9C2BA3 + 13934.50ns INFO [00013936] * RD COMPARE * port=1 adr=06 act=6CB3F744E291FC0AB9 exp=6CB3F744E291FC0AB9 + 13934.50ns INFO [00013936] Port=0 RD @02 + 13934.50ns INFO [00013936] Port=1 RD @07 + 13935.50ns INFO [00013937] * RD COMPARE * port=0 adr=00 act=D5DEE756865D9C2BA3 exp=D5DEE756865D9C2BA3 + 13935.50ns INFO [00013937] Port=0 WR @05=ED316B0029B8A870E3 + 13935.50ns INFO [00013937] Port=1 RD @04 + 13936.50ns INFO [00013938] * RD COMPARE * port=0 adr=02 act=7C004D276F73D6E971 exp=7C004D276F73D6E971 + 13936.50ns INFO [00013938] * RD COMPARE * port=1 adr=07 act=A45535812062613F62 exp=A45535812062613F62 + 13936.50ns INFO [00013938] Port=1 RD @04 + 13937.50ns INFO [00013939] * RD COMPARE * port=1 adr=04 act=A62137117B8B734E1B exp=A62137117B8B734E1B + 13937.50ns INFO [00013939] Port=0 WR @06=AD07ADC1275164801E + 13938.50ns INFO [00013940] * RD COMPARE * port=1 adr=04 act=A62137117B8B734E1B exp=A62137117B8B734E1B + 13938.50ns INFO [00013940] Port=0 WR @01=596263A76FA29B6047 + 13939.50ns INFO [00013941] Port=0 WR @02=07B92F10E858D152F7 + 13940.50ns INFO [00013942] Port=0 RD @05 + 13940.50ns INFO [00013942] Port=1 RD @02 + 13941.50ns INFO [00013943] Port=0 WR @01=60EEBF52B55DE2594B + 13941.50ns INFO [00013943] Port=0 RD @04 + 13942.50ns INFO [00013944] * RD COMPARE * port=0 adr=05 act=ED316B0029B8A870E3 exp=ED316B0029B8A870E3 + 13942.50ns INFO [00013944] * RD COMPARE * port=1 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13942.50ns INFO [00013944] Port=1 RD @02 + 13943.50ns INFO [00013945] * RD COMPARE * port=0 adr=04 act=A62137117B8B734E1B exp=A62137117B8B734E1B + 13943.50ns INFO [00013945] Port=1 RD @06 + 13944.50ns INFO [00013946] * RD COMPARE * port=1 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13945.50ns INFO [00013947] * RD COMPARE * port=1 adr=06 act=AD07ADC1275164801E exp=AD07ADC1275164801E + 13945.50ns INFO [00013947] Port=1 RD @00 + 13946.50ns INFO [00013948] Port=0 WR @01=1870F6C54C81F020D4 + 13946.50ns INFO [00013948] Port=1 RD @00 + 13947.50ns INFO [00013949] * RD COMPARE * port=1 adr=00 act=D5DEE756865D9C2BA3 exp=D5DEE756865D9C2BA3 + 13948.50ns INFO [00013950] * RD COMPARE * port=1 adr=00 act=D5DEE756865D9C2BA3 exp=D5DEE756865D9C2BA3 + 13948.50ns INFO [00013950] Port=1 RD @07 + 13950.50ns INFO [00013952] * RD COMPARE * port=1 adr=07 act=A45535812062613F62 exp=A45535812062613F62 + 13952.50ns INFO [00013954] Port=0 RD @02 + 13954.50ns INFO [00013956] * RD COMPARE * port=0 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13954.50ns INFO [00013956] Port=0 RD @02 + 13954.50ns INFO [00013956] Port=1 RD @03 + 13955.50ns INFO [00013957] Port=1 RD @01 + 13956.50ns INFO [00013958] * RD COMPARE * port=0 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13956.50ns INFO [00013958] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13956.50ns INFO [00013958] Port=0 RD @05 + 13956.50ns INFO [00013958] Port=1 RD @02 + 13957.50ns INFO [00013959] * RD COMPARE * port=1 adr=01 act=1870F6C54C81F020D4 exp=1870F6C54C81F020D4 + 13958.50ns INFO [00013960] * RD COMPARE * port=0 adr=05 act=ED316B0029B8A870E3 exp=ED316B0029B8A870E3 + 13958.50ns INFO [00013960] * RD COMPARE * port=1 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13958.50ns INFO [00013960] Port=1 RD @03 + 13959.50ns INFO [00013961] Port=0 RD @02 + 13959.50ns INFO [00013961] Port=1 RD @03 + 13960.50ns INFO [00013962] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13960.50ns INFO [00013962] Port=0 RD @03 + 13961.50ns INFO [00013963] * RD COMPARE * port=0 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13961.50ns INFO [00013963] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13961.50ns INFO [00013963] Port=0 RD @00 + 13961.50ns INFO [00013963] Port=1 RD @05 + 13962.50ns INFO [00013964] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13962.50ns INFO [00013964] Port=1 RD @05 + 13963.50ns INFO [00013965] * RD COMPARE * port=0 adr=00 act=D5DEE756865D9C2BA3 exp=D5DEE756865D9C2BA3 + 13963.50ns INFO [00013965] * RD COMPARE * port=1 adr=05 act=ED316B0029B8A870E3 exp=ED316B0029B8A870E3 + 13963.50ns INFO [00013965] Port=1 RD @02 + 13964.50ns INFO [00013966] * RD COMPARE * port=1 adr=05 act=ED316B0029B8A870E3 exp=ED316B0029B8A870E3 + 13964.50ns INFO [00013966] Port=0 RD @01 + 13965.50ns INFO [00013967] * RD COMPARE * port=1 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13966.50ns INFO [00013968] * RD COMPARE * port=0 adr=01 act=1870F6C54C81F020D4 exp=1870F6C54C81F020D4 + 13967.50ns INFO [00013969] Port=1 RD @07 + 13968.50ns INFO [00013970] Port=0 RD @04 + 13969.50ns INFO [00013971] * RD COMPARE * port=1 adr=07 act=A45535812062613F62 exp=A45535812062613F62 + 13969.50ns INFO [00013971] Port=0 WR @06=DD7BE65C5A76522A4F + 13969.50ns INFO [00013971] Port=1 RD @01 + 13970.50ns INFO [00013972] * RD COMPARE * port=0 adr=04 act=A62137117B8B734E1B exp=A62137117B8B734E1B + 13971.50ns INFO [00013973] * RD COMPARE * port=1 adr=01 act=1870F6C54C81F020D4 exp=1870F6C54C81F020D4 + 13971.50ns INFO [00013973] Port=0 WR @04=0AEE0786D9B9BC05D5 + 13971.50ns INFO [00013973] Port=0 RD @07 + 13971.50ns INFO [00013973] Port=1 RD @02 + 13972.50ns INFO [00013974] Port=0 WR @01=553FEB4CE7718297AF + 13972.50ns INFO [00013974] Port=1 RD @07 + 13973.50ns INFO [00013975] * RD COMPARE * port=0 adr=07 act=A45535812062613F62 exp=A45535812062613F62 + 13973.50ns INFO [00013975] * RD COMPARE * port=1 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13973.50ns INFO [00013975] Port=0 WR @04=72B94E329B35C6363F + 13973.50ns INFO [00013975] Port=0 RD @05 + 13974.50ns INFO [00013976] * RD COMPARE * port=1 adr=07 act=A45535812062613F62 exp=A45535812062613F62 + 13974.50ns INFO [00013976] Port=0 WR @07=0A7540A0047DBC9B62 + 13974.50ns INFO [00013976] Port=1 RD @04 + 13975.50ns INFO [00013977] * RD COMPARE * port=0 adr=05 act=ED316B0029B8A870E3 exp=ED316B0029B8A870E3 + 13975.50ns INFO [00013977] Port=0 WR @06=A26F4AF5A1C4098541 + 13975.50ns INFO [00013977] Port=1 RD @02 + 13976.50ns INFO [00013978] * RD COMPARE * port=1 adr=04 act=72B94E329B35C6363F exp=72B94E329B35C6363F + 13976.50ns INFO [00013978] Port=1 RD @01 + 13977.50ns INFO [00013979] * RD COMPARE * port=1 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13977.50ns INFO [00013979] Port=0 WR @05=D10E5284CB5B4E3FCA + 13977.50ns INFO [00013979] Port=0 RD @03 + 13978.50ns INFO [00013980] * RD COMPARE * port=1 adr=01 act=553FEB4CE7718297AF exp=553FEB4CE7718297AF + 13978.50ns INFO [00013980] Port=1 RD @04 + 13979.50ns INFO [00013981] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13979.50ns INFO [00013981] Port=0 RD @03 + 13980.50ns INFO [00013982] * RD COMPARE * port=1 adr=04 act=72B94E329B35C6363F exp=72B94E329B35C6363F + 13980.50ns INFO [00013982] Port=0 WR @00=30B15EF7B38D488FD3 + 13981.50ns INFO [00013983] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13981.50ns INFO [00013983] Port=0 RD @04 + 13982.50ns INFO [00013984] Port=1 RD @03 + 13983.50ns INFO [00013985] * RD COMPARE * port=0 adr=04 act=72B94E329B35C6363F exp=72B94E329B35C6363F + 13983.50ns INFO [00013985] Port=0 RD @02 + 13983.50ns INFO [00013985] Port=1 RD @00 + 13984.50ns INFO [00013986] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13984.50ns INFO [00013986] Port=0 WR @05=F2B82E0F2ABBEB5A3F + 13985.50ns INFO [00013987] * RD COMPARE * port=0 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13985.50ns INFO [00013987] * RD COMPARE * port=1 adr=00 act=30B15EF7B38D488FD3 exp=30B15EF7B38D488FD3 + 13986.50ns INFO [00013988] Port=0 RD @03 + 13987.50ns INFO [00013989] Port=0 WR @00=3A020562F07AD0B949 + 13987.50ns INFO [00013989] Port=1 RD @07 + 13988.50ns INFO [00013990] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13989.50ns INFO [00013991] * RD COMPARE * port=1 adr=07 act=0A7540A0047DBC9B62 exp=0A7540A0047DBC9B62 + 13989.50ns INFO [00013991] Port=0 WR @04=C33044CB748AA895D3 + 13989.50ns INFO [00013991] Port=0 RD @00 + 13989.50ns INFO [00013991] Port=1 RD @03 + 13990.50ns INFO [00013992] Port=0 WR @01=99DA4911C89C21C763 + 13990.50ns INFO [00013992] Port=0 RD @02 + 13991.50ns INFO [00013993] * RD COMPARE * port=0 adr=00 act=3A020562F07AD0B949 exp=3A020562F07AD0B949 + 13991.50ns INFO [00013993] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13991.50ns INFO [00013993] Port=1 RD @03 + 13992.50ns INFO [00013994] * RD COMPARE * port=0 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13993.50ns INFO [00013995] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 13994.50ns INFO [00013996] Port=0 RD @02 + 13995.50ns INFO [00013997] Port=0 RD @01 + 13995.50ns INFO [00013997] Port=1 RD @00 + 13996.50ns INFO [00013998] * RD COMPARE * port=0 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13996.50ns INFO [00013998] Port=0 WR @07=56A4AF95E1474D8844 + 13996.50ns INFO [00013998] Port=0 RD @02 + 13996.50ns INFO [00013998] Port=1 RD @02 + 13997.50ns INFO [00013999] * RD COMPARE * port=0 adr=01 act=99DA4911C89C21C763 exp=99DA4911C89C21C763 + 13997.50ns INFO [00013999] * RD COMPARE * port=1 adr=00 act=3A020562F07AD0B949 exp=3A020562F07AD0B949 + 13997.50ns INFO [00013999] Port=1 RD @05 + 13998.00ns INFO [00014000] [00014000] ...tick... + 13998.50ns INFO [00014000] * RD COMPARE * port=0 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13998.50ns INFO [00014000] * RD COMPARE * port=1 adr=02 act=07B92F10E858D152F7 exp=07B92F10E858D152F7 + 13999.50ns INFO [00014001] * RD COMPARE * port=1 adr=05 act=F2B82E0F2ABBEB5A3F exp=F2B82E0F2ABBEB5A3F + 13999.50ns INFO [00014001] Port=0 WR @02=137FD63A4CFA63D993 + 13999.50ns INFO [00014001] Port=0 RD @00 + 13999.50ns INFO [00014001] Port=1 RD @01 + 14000.50ns INFO [00014002] Port=0 WR @01=065414894503AE7652 + 14000.50ns INFO [00014002] Port=0 RD @05 + 14000.50ns INFO [00014002] Port=1 RD @04 + 14001.50ns INFO [00014003] * RD COMPARE * port=0 adr=00 act=3A020562F07AD0B949 exp=3A020562F07AD0B949 + 14001.50ns INFO [00014003] * RD COMPARE * port=1 adr=01 act=99DA4911C89C21C763 exp=99DA4911C89C21C763 + 14001.50ns INFO [00014003] Port=1 RD @02 + 14002.50ns INFO [00014004] * RD COMPARE * port=0 adr=05 act=F2B82E0F2ABBEB5A3F exp=F2B82E0F2ABBEB5A3F + 14002.50ns INFO [00014004] * RD COMPARE * port=1 adr=04 act=C33044CB748AA895D3 exp=C33044CB748AA895D3 + 14002.50ns INFO [00014004] Port=0 WR @00=1AAAE38D1791E9BDF2 + 14002.50ns INFO [00014004] Port=0 RD @06 + 14003.50ns INFO [00014005] * RD COMPARE * port=1 adr=02 act=137FD63A4CFA63D993 exp=137FD63A4CFA63D993 + 14003.50ns INFO [00014005] Port=0 RD @00 + 14004.50ns INFO [00014006] * RD COMPARE * port=0 adr=06 act=A26F4AF5A1C4098541 exp=A26F4AF5A1C4098541 + 14004.50ns INFO [00014006] Port=1 RD @07 + 14005.50ns INFO [00014007] * RD COMPARE * port=0 adr=00 act=1AAAE38D1791E9BDF2 exp=1AAAE38D1791E9BDF2 + 14005.50ns INFO [00014007] Port=1 RD @03 + 14006.50ns INFO [00014008] * RD COMPARE * port=1 adr=07 act=56A4AF95E1474D8844 exp=56A4AF95E1474D8844 + 14007.50ns INFO [00014009] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 14007.50ns INFO [00014009] Port=0 WR @01=AAB540E909CC11FDFD + 14007.50ns INFO [00014009] Port=1 RD @05 + 14008.50ns INFO [00014010] Port=0 RD @04 + 14009.50ns INFO [00014011] * RD COMPARE * port=1 adr=05 act=F2B82E0F2ABBEB5A3F exp=F2B82E0F2ABBEB5A3F + 14010.50ns INFO [00014012] * RD COMPARE * port=0 adr=04 act=C33044CB748AA895D3 exp=C33044CB748AA895D3 + 14011.50ns INFO [00014013] Port=1 RD @06 + 14012.50ns INFO [00014014] Port=1 RD @07 + 14013.50ns INFO [00014015] * RD COMPARE * port=1 adr=06 act=A26F4AF5A1C4098541 exp=A26F4AF5A1C4098541 + 14014.50ns INFO [00014016] * RD COMPARE * port=1 adr=07 act=56A4AF95E1474D8844 exp=56A4AF95E1474D8844 + 14014.50ns INFO [00014016] Port=0 WR @02=18F751ABD6556573B2 + 14015.50ns INFO [00014017] Port=1 RD @02 + 14016.50ns INFO [00014018] Port=0 RD @07 + 14017.50ns INFO [00014019] * RD COMPARE * port=1 adr=02 act=18F751ABD6556573B2 exp=18F751ABD6556573B2 + 14018.50ns INFO [00014020] * RD COMPARE * port=0 adr=07 act=56A4AF95E1474D8844 exp=56A4AF95E1474D8844 + 14018.50ns INFO [00014020] Port=0 WR @05=66DF031EA75C32B44E + 14019.50ns INFO [00014021] Port=0 WR @02=23F1C2279F93041143 + 14019.50ns INFO [00014021] Port=0 RD @03 + 14020.50ns INFO [00014022] Port=1 RD @02 + 14021.50ns INFO [00014023] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 14022.50ns INFO [00014024] * RD COMPARE * port=1 adr=02 act=23F1C2279F93041143 exp=23F1C2279F93041143 + 14022.50ns INFO [00014024] Port=0 RD @00 + 14022.50ns INFO [00014024] Port=1 RD @01 + 14023.50ns INFO [00014025] Port=0 RD @03 + 14024.50ns INFO [00014026] * RD COMPARE * port=0 adr=00 act=1AAAE38D1791E9BDF2 exp=1AAAE38D1791E9BDF2 + 14024.50ns INFO [00014026] * RD COMPARE * port=1 adr=01 act=AAB540E909CC11FDFD exp=AAB540E909CC11FDFD + 14024.50ns INFO [00014026] Port=0 WR @02=582DD6FB0D60C0B70B + 14025.50ns INFO [00014027] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 14025.50ns INFO [00014027] Port=0 WR @00=B7FC9C5AE57B00D1D5 + 14026.50ns INFO [00014028] Port=0 RD @07 + 14027.50ns INFO [00014029] Port=1 RD @06 + 14028.50ns INFO [00014030] * RD COMPARE * port=0 adr=07 act=56A4AF95E1474D8844 exp=56A4AF95E1474D8844 + 14028.50ns INFO [00014030] Port=0 RD @04 + 14028.50ns INFO [00014030] Port=1 RD @04 + 14029.50ns INFO [00014031] * RD COMPARE * port=1 adr=06 act=A26F4AF5A1C4098541 exp=A26F4AF5A1C4098541 + 14029.50ns INFO [00014031] Port=0 WR @05=EA0531157726366442 + 14030.50ns INFO [00014032] * RD COMPARE * port=0 adr=04 act=C33044CB748AA895D3 exp=C33044CB748AA895D3 + 14030.50ns INFO [00014032] * RD COMPARE * port=1 adr=04 act=C33044CB748AA895D3 exp=C33044CB748AA895D3 + 14030.50ns INFO [00014032] Port=1 RD @01 + 14031.50ns INFO [00014033] Port=0 RD @05 + 14032.50ns INFO [00014034] * RD COMPARE * port=1 adr=01 act=AAB540E909CC11FDFD exp=AAB540E909CC11FDFD + 14032.50ns INFO [00014034] Port=1 RD @03 + 14033.50ns INFO [00014035] * RD COMPARE * port=0 adr=05 act=EA0531157726366442 exp=EA0531157726366442 + 14033.50ns INFO [00014035] Port=0 RD @07 + 14034.50ns INFO [00014036] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 14034.50ns INFO [00014036] Port=0 WR @04=077D6BAF7075FACAE3 + 14035.50ns INFO [00014037] * RD COMPARE * port=0 adr=07 act=56A4AF95E1474D8844 exp=56A4AF95E1474D8844 + 14035.50ns INFO [00014037] Port=0 WR @02=AADEA1377E5678956E + 14035.50ns INFO [00014037] Port=0 RD @03 + 14036.50ns INFO [00014038] Port=0 RD @00 + 14037.50ns INFO [00014039] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 14037.50ns INFO [00014039] Port=0 WR @04=37D77301F316772694 + 14037.50ns INFO [00014039] Port=0 RD @03 + 14037.50ns INFO [00014039] Port=1 RD @01 + 14038.50ns INFO [00014040] * RD COMPARE * port=0 adr=00 act=B7FC9C5AE57B00D1D5 exp=B7FC9C5AE57B00D1D5 + 14038.50ns INFO [00014040] Port=0 RD @07 + 14039.50ns INFO [00014041] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 14039.50ns INFO [00014041] * RD COMPARE * port=1 adr=01 act=AAB540E909CC11FDFD exp=AAB540E909CC11FDFD + 14039.50ns INFO [00014041] Port=0 WR @07=1F31786EC5A30E2D61 + 14039.50ns INFO [00014041] Port=0 RD @05 + 14039.50ns INFO [00014041] Port=1 RD @05 + 14040.50ns INFO [00014042] * RD COMPARE * port=0 adr=07 act=56A4AF95E1474D8844 exp=56A4AF95E1474D8844 + 14041.50ns INFO [00014043] * RD COMPARE * port=0 adr=05 act=EA0531157726366442 exp=EA0531157726366442 + 14041.50ns INFO [00014043] * RD COMPARE * port=1 adr=05 act=EA0531157726366442 exp=EA0531157726366442 + 14041.50ns INFO [00014043] Port=0 WR @04=0085F7A9960303A5D1 + 14041.50ns INFO [00014043] Port=0 RD @03 + 14041.50ns INFO [00014043] Port=1 RD @06 + 14042.50ns INFO [00014044] Port=0 WR @00=88B88643A4F4C65624 + 14043.50ns INFO [00014045] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 14043.50ns INFO [00014045] * RD COMPARE * port=1 adr=06 act=A26F4AF5A1C4098541 exp=A26F4AF5A1C4098541 + 14043.50ns INFO [00014045] Port=0 RD @03 + 14045.50ns INFO [00014047] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 14045.50ns INFO [00014047] Port=0 WR @02=AD007A173DAF222F28 + 14045.50ns INFO [00014047] Port=1 RD @01 + 14046.50ns INFO [00014048] Port=0 RD @02 + 14047.50ns INFO [00014049] * RD COMPARE * port=1 adr=01 act=AAB540E909CC11FDFD exp=AAB540E909CC11FDFD + 14047.50ns INFO [00014049] Port=0 WR @00=E89B0C918A5CEAF71D + 14047.50ns INFO [00014049] Port=0 RD @03 + 14048.50ns INFO [00014050] * RD COMPARE * port=0 adr=02 act=AD007A173DAF222F28 exp=AD007A173DAF222F28 + 14048.50ns INFO [00014050] Port=0 WR @00=4F6502A0ED4DC4A658 + 14048.50ns INFO [00014050] Port=1 RD @03 + 14049.50ns INFO [00014051] * RD COMPARE * port=0 adr=03 act=957757044C8660682C exp=957757044C8660682C + 14049.50ns INFO [00014051] Port=1 RD @00 + 14050.50ns INFO [00014052] * RD COMPARE * port=1 adr=03 act=957757044C8660682C exp=957757044C8660682C + 14050.50ns INFO [00014052] Port=0 WR @02=99B0D0335F1B9BBFF4 + 14050.50ns INFO [00014052] Port=0 RD @01 + 14050.50ns INFO [00014052] Port=1 RD @00 + 14051.50ns INFO [00014053] * RD COMPARE * port=1 adr=00 act=4F6502A0ED4DC4A658 exp=4F6502A0ED4DC4A658 + 14051.50ns INFO [00014053] Port=0 WR @03=687DDF0E38B7C10ADF + 14051.50ns INFO [00014053] Port=0 RD @04 + 14051.50ns INFO [00014053] Port=1 RD @05 + 14052.50ns INFO [00014054] * RD COMPARE * port=0 adr=01 act=AAB540E909CC11FDFD exp=AAB540E909CC11FDFD + 14052.50ns INFO [00014054] * RD COMPARE * port=1 adr=00 act=4F6502A0ED4DC4A658 exp=4F6502A0ED4DC4A658 + 14052.50ns INFO [00014054] Port=0 WR @04=F08E8BCE5584DB7518 + 14053.50ns INFO [00014055] * RD COMPARE * port=0 adr=04 act=0085F7A9960303A5D1 exp=0085F7A9960303A5D1 + 14053.50ns INFO [00014055] * RD COMPARE * port=1 adr=05 act=EA0531157726366442 exp=EA0531157726366442 + 14053.50ns INFO [00014055] Port=0 RD @04 + 14053.50ns INFO [00014055] Port=1 RD @07 + 14054.50ns INFO [00014056] Port=0 RD @05 + 14055.50ns INFO [00014057] * RD COMPARE * port=0 adr=04 act=F08E8BCE5584DB7518 exp=F08E8BCE5584DB7518 + 14055.50ns INFO [00014057] * RD COMPARE * port=1 adr=07 act=1F31786EC5A30E2D61 exp=1F31786EC5A30E2D61 + 14055.50ns INFO [00014057] Port=0 RD @04 + 14056.50ns INFO [00014058] * RD COMPARE * port=0 adr=05 act=EA0531157726366442 exp=EA0531157726366442 + 14056.50ns INFO [00014058] Port=1 RD @02 + 14057.50ns INFO [00014059] * RD COMPARE * port=0 adr=04 act=F08E8BCE5584DB7518 exp=F08E8BCE5584DB7518 + 14058.50ns INFO [00014060] * RD COMPARE * port=1 adr=02 act=99B0D0335F1B9BBFF4 exp=99B0D0335F1B9BBFF4 + 14058.50ns INFO [00014060] Port=0 RD @03 + 14059.50ns INFO [00014061] Port=0 WR @07=FC99CEBB3FF43865E9 + 14060.50ns INFO [00014062] * RD COMPARE * port=0 adr=03 act=687DDF0E38B7C10ADF exp=687DDF0E38B7C10ADF + 14060.50ns INFO [00014062] Port=0 WR @05=1E0A6C20DA5CBE924E + 14061.50ns INFO [00014063] Port=1 RD @05 + 14062.50ns INFO [00014064] Port=0 WR @02=484F2D2BF39E0DBA13 + 14062.50ns INFO [00014064] Port=1 RD @04 + 14063.50ns INFO [00014065] * RD COMPARE * port=1 adr=05 act=1E0A6C20DA5CBE924E exp=1E0A6C20DA5CBE924E + 14063.50ns INFO [00014065] Port=0 RD @01 + 14064.50ns INFO [00014066] * RD COMPARE * port=1 adr=04 act=F08E8BCE5584DB7518 exp=F08E8BCE5584DB7518 + 14064.50ns INFO [00014066] Port=0 WR @01=A4558AC4DEAD3CCACF + 14065.50ns INFO [00014067] * RD COMPARE * port=0 adr=01 act=AAB540E909CC11FDFD exp=AAB540E909CC11FDFD + 14066.50ns INFO [00014068] Port=1 RD @02 + 14067.50ns INFO [00014069] Port=0 RD @02 + 14067.50ns INFO [00014069] Port=1 RD @02 + 14068.50ns INFO [00014070] * RD COMPARE * port=1 adr=02 act=484F2D2BF39E0DBA13 exp=484F2D2BF39E0DBA13 + 14068.50ns INFO [00014070] Port=0 WR @03=19E291EABE156DFC78 + 14069.50ns INFO [00014071] * RD COMPARE * port=0 adr=02 act=484F2D2BF39E0DBA13 exp=484F2D2BF39E0DBA13 + 14069.50ns INFO [00014071] * RD COMPARE * port=1 adr=02 act=484F2D2BF39E0DBA13 exp=484F2D2BF39E0DBA13 + 14069.50ns INFO [00014071] Port=0 RD @04 + 14070.50ns INFO [00014072] Port=0 WR @06=9EC76E7D3632AC9300 + 14070.50ns INFO [00014072] Port=1 RD @04 + 14071.50ns INFO [00014073] * RD COMPARE * port=0 adr=04 act=F08E8BCE5584DB7518 exp=F08E8BCE5584DB7518 + 14072.50ns INFO [00014074] * RD COMPARE * port=1 adr=04 act=F08E8BCE5584DB7518 exp=F08E8BCE5584DB7518 + 14073.50ns INFO [00014075] Port=0 RD @03 + 14073.50ns INFO [00014075] Port=1 RD @06 + 14075.50ns INFO [00014077] * RD COMPARE * port=0 adr=03 act=19E291EABE156DFC78 exp=19E291EABE156DFC78 + 14075.50ns INFO [00014077] * RD COMPARE * port=1 adr=06 act=9EC76E7D3632AC9300 exp=9EC76E7D3632AC9300 + 14076.50ns INFO [00014078] Port=1 RD @00 + 14078.50ns INFO [00014080] * RD COMPARE * port=1 adr=00 act=4F6502A0ED4DC4A658 exp=4F6502A0ED4DC4A658 + 14080.50ns INFO [00014082] Port=0 WR @01=7032AA0973ECEBBEF5 + 14080.50ns INFO [00014082] Port=0 RD @05 + 14081.50ns INFO [00014083] Port=0 WR @01=8DF761A084BCAD3447 + 14082.50ns INFO [00014084] * RD COMPARE * port=0 adr=05 act=1E0A6C20DA5CBE924E exp=1E0A6C20DA5CBE924E + 14082.50ns INFO [00014084] Port=0 WR @02=221DA3CE5AD2AA41D6 + 14084.50ns INFO [00014086] Port=1 RD @01 + 14085.50ns INFO [00014087] Port=0 WR @04=0FE4B67690C4813CE2 + 14086.50ns INFO [00014088] * RD COMPARE * port=1 adr=01 act=8DF761A084BCAD3447 exp=8DF761A084BCAD3447 + 14086.50ns INFO [00014088] Port=1 RD @02 + 14087.50ns INFO [00014089] Port=0 WR @01=26A4B019738EBDDAA9 + 14087.50ns INFO [00014089] Port=1 RD @02 + 14088.50ns INFO [00014090] * RD COMPARE * port=1 adr=02 act=221DA3CE5AD2AA41D6 exp=221DA3CE5AD2AA41D6 + 14088.50ns INFO [00014090] Port=0 WR @04=D85DCF4EB65E1ECAB8 + 14089.50ns INFO [00014091] * RD COMPARE * port=1 adr=02 act=221DA3CE5AD2AA41D6 exp=221DA3CE5AD2AA41D6 + 14089.50ns INFO [00014091] Port=0 WR @07=768F0A937711705147 + 14089.50ns INFO [00014091] Port=0 RD @03 + 14089.50ns INFO [00014091] Port=1 RD @05 + 14090.50ns INFO [00014092] Port=0 RD @05 + 14091.50ns INFO [00014093] * RD COMPARE * port=0 adr=03 act=19E291EABE156DFC78 exp=19E291EABE156DFC78 + 14091.50ns INFO [00014093] * RD COMPARE * port=1 adr=05 act=1E0A6C20DA5CBE924E exp=1E0A6C20DA5CBE924E + 14092.50ns INFO [00014094] * RD COMPARE * port=0 adr=05 act=1E0A6C20DA5CBE924E exp=1E0A6C20DA5CBE924E + 14092.50ns INFO [00014094] Port=0 RD @03 + 14093.50ns INFO [00014095] Port=1 RD @05 + 14094.50ns INFO [00014096] * RD COMPARE * port=0 adr=03 act=19E291EABE156DFC78 exp=19E291EABE156DFC78 + 14094.50ns INFO [00014096] Port=0 RD @02 + 14094.50ns INFO [00014096] Port=1 RD @03 + 14095.50ns INFO [00014097] * RD COMPARE * port=1 adr=05 act=1E0A6C20DA5CBE924E exp=1E0A6C20DA5CBE924E + 14096.50ns INFO [00014098] * RD COMPARE * port=0 adr=02 act=221DA3CE5AD2AA41D6 exp=221DA3CE5AD2AA41D6 + 14096.50ns INFO [00014098] * RD COMPARE * port=1 adr=03 act=19E291EABE156DFC78 exp=19E291EABE156DFC78 + 14098.00ns INFO [00014100] [00014100] ...tick... + 14098.50ns INFO [00014100] Port=1 RD @04 + 14099.50ns INFO [00014101] Port=0 RD @04 + 14100.50ns INFO [00014102] * RD COMPARE * port=1 adr=04 act=D85DCF4EB65E1ECAB8 exp=D85DCF4EB65E1ECAB8 + 14101.50ns INFO [00014103] * RD COMPARE * port=0 adr=04 act=D85DCF4EB65E1ECAB8 exp=D85DCF4EB65E1ECAB8 + 14101.50ns INFO [00014103] Port=0 WR @07=CA9C08ECC787A549B7 + 14101.50ns INFO [00014103] Port=1 RD @04 + 14102.50ns INFO [00014104] Port=0 WR @01=B3A1320EF2F99D6113 + 14102.50ns INFO [00014104] Port=1 RD @07 + 14103.50ns INFO [00014105] * RD COMPARE * port=1 adr=04 act=D85DCF4EB65E1ECAB8 exp=D85DCF4EB65E1ECAB8 + 14103.50ns INFO [00014105] Port=0 WR @06=ADFFFFE7792615FF06 + 14103.50ns INFO [00014105] Port=1 RD @04 + 14104.50ns INFO [00014106] * RD COMPARE * port=1 adr=07 act=CA9C08ECC787A549B7 exp=CA9C08ECC787A549B7 + 14104.50ns INFO [00014106] Port=0 RD @01 + 14104.50ns INFO [00014106] Port=1 RD @07 + 14105.50ns INFO [00014107] * RD COMPARE * port=1 adr=04 act=D85DCF4EB65E1ECAB8 exp=D85DCF4EB65E1ECAB8 + 14105.50ns INFO [00014107] Port=0 WR @06=A49F58DA1FD3CA0FD8 + 14105.50ns INFO [00014107] Port=0 RD @05 + 14105.50ns INFO [00014107] Port=1 RD @03 + 14106.50ns INFO [00014108] * RD COMPARE * port=0 adr=01 act=B3A1320EF2F99D6113 exp=B3A1320EF2F99D6113 + 14106.50ns INFO [00014108] * RD COMPARE * port=1 adr=07 act=CA9C08ECC787A549B7 exp=CA9C08ECC787A549B7 + 14106.50ns INFO [00014108] Port=0 RD @01 + 14106.50ns INFO [00014108] Port=1 RD @00 + 14107.50ns INFO [00014109] * RD COMPARE * port=0 adr=05 act=1E0A6C20DA5CBE924E exp=1E0A6C20DA5CBE924E + 14107.50ns INFO [00014109] * RD COMPARE * port=1 adr=03 act=19E291EABE156DFC78 exp=19E291EABE156DFC78 + 14107.50ns INFO [00014109] Port=0 WR @01=820CE26000A4360C62 + 14107.50ns INFO [00014109] Port=1 RD @03 + 14108.50ns INFO [00014110] * RD COMPARE * port=0 adr=01 act=B3A1320EF2F99D6113 exp=B3A1320EF2F99D6113 + 14108.50ns INFO [00014110] * RD COMPARE * port=1 adr=00 act=4F6502A0ED4DC4A658 exp=4F6502A0ED4DC4A658 + 14108.50ns INFO [00014110] Port=0 RD @07 + 14109.50ns INFO [00014111] * RD COMPARE * port=1 adr=03 act=19E291EABE156DFC78 exp=19E291EABE156DFC78 + 14109.50ns INFO [00014111] Port=0 WR @05=E312933923867CF117 + 14109.50ns INFO [00014111] Port=0 RD @00 + 14110.50ns INFO [00014112] * RD COMPARE * port=0 adr=07 act=CA9C08ECC787A549B7 exp=CA9C08ECC787A549B7 + 14110.50ns INFO [00014112] Port=0 WR @01=CA140644F61E5E2549 + 14111.50ns INFO [00014113] * RD COMPARE * port=0 adr=00 act=4F6502A0ED4DC4A658 exp=4F6502A0ED4DC4A658 + 14111.50ns INFO [00014113] Port=0 RD @05 + 14113.50ns INFO [00014115] * RD COMPARE * port=0 adr=05 act=E312933923867CF117 exp=E312933923867CF117 + 14113.50ns INFO [00014115] Port=0 RD @05 + 14114.50ns INFO [00014116] Port=0 RD @03 + 14114.50ns INFO [00014116] Port=1 RD @05 + 14115.50ns INFO [00014117] * RD COMPARE * port=0 adr=05 act=E312933923867CF117 exp=E312933923867CF117 + 14115.50ns INFO [00014117] Port=1 RD @03 + 14116.50ns INFO [00014118] * RD COMPARE * port=0 adr=03 act=19E291EABE156DFC78 exp=19E291EABE156DFC78 + 14116.50ns INFO [00014118] * RD COMPARE * port=1 adr=05 act=E312933923867CF117 exp=E312933923867CF117 + 14116.50ns INFO [00014118] Port=0 WR @05=EDEE2B1798DFD5458E + 14116.50ns INFO [00014118] Port=1 RD @03 + 14117.50ns INFO [00014119] * RD COMPARE * port=1 adr=03 act=19E291EABE156DFC78 exp=19E291EABE156DFC78 + 14117.50ns INFO [00014119] Port=0 RD @01 + 14117.50ns INFO [00014119] Port=1 RD @06 + 14118.50ns INFO [00014120] * RD COMPARE * port=1 adr=03 act=19E291EABE156DFC78 exp=19E291EABE156DFC78 + 14118.50ns INFO [00014120] Port=0 RD @00 + 14119.50ns INFO [00014121] * RD COMPARE * port=0 adr=01 act=CA140644F61E5E2549 exp=CA140644F61E5E2549 + 14119.50ns INFO [00014121] * RD COMPARE * port=1 adr=06 act=A49F58DA1FD3CA0FD8 exp=A49F58DA1FD3CA0FD8 + 14120.50ns INFO [00014122] * RD COMPARE * port=0 adr=00 act=4F6502A0ED4DC4A658 exp=4F6502A0ED4DC4A658 + 14120.50ns INFO [00014122] Port=0 WR @03=2D77FC6D386FB43308 + 14120.50ns INFO [00014122] Port=0 RD @02 + 14121.50ns INFO [00014123] Port=0 RD @05 + 14121.50ns INFO [00014123] Port=1 RD @00 + 14122.50ns INFO [00014124] * RD COMPARE * port=0 adr=02 act=221DA3CE5AD2AA41D6 exp=221DA3CE5AD2AA41D6 + 14123.50ns INFO [00014125] * RD COMPARE * port=0 adr=05 act=EDEE2B1798DFD5458E exp=EDEE2B1798DFD5458E + 14123.50ns INFO [00014125] * RD COMPARE * port=1 adr=00 act=4F6502A0ED4DC4A658 exp=4F6502A0ED4DC4A658 + 14125.50ns INFO [00014127] Port=0 RD @00 + 14125.50ns INFO [00014127] Port=1 RD @04 + 14126.50ns INFO [00014128] Port=0 RD @02 + 14126.50ns INFO [00014128] Port=1 RD @00 + 14127.50ns INFO [00014129] * RD COMPARE * port=0 adr=00 act=4F6502A0ED4DC4A658 exp=4F6502A0ED4DC4A658 + 14127.50ns INFO [00014129] * RD COMPARE * port=1 adr=04 act=D85DCF4EB65E1ECAB8 exp=D85DCF4EB65E1ECAB8 + 14128.50ns INFO [00014130] * RD COMPARE * port=0 adr=02 act=221DA3CE5AD2AA41D6 exp=221DA3CE5AD2AA41D6 + 14128.50ns INFO [00014130] * RD COMPARE * port=1 adr=00 act=4F6502A0ED4DC4A658 exp=4F6502A0ED4DC4A658 + 14128.50ns INFO [00014130] Port=0 RD @02 + 14129.50ns INFO [00014131] Port=0 WR @00=C4E9D2A703776A347A + 14129.50ns INFO [00014131] Port=0 RD @01 + 14129.50ns INFO [00014131] Port=1 RD @04 + 14130.50ns INFO [00014132] * RD COMPARE * port=0 adr=02 act=221DA3CE5AD2AA41D6 exp=221DA3CE5AD2AA41D6 + 14130.50ns INFO [00014132] Port=0 WR @05=A727BE9100D9C4AD74 + 14130.50ns INFO [00014132] Port=0 RD @00 + 14130.50ns INFO [00014132] Port=1 RD @06 + 14131.50ns INFO [00014133] * RD COMPARE * port=0 adr=01 act=CA140644F61E5E2549 exp=CA140644F61E5E2549 + 14131.50ns INFO [00014133] * RD COMPARE * port=1 adr=04 act=D85DCF4EB65E1ECAB8 exp=D85DCF4EB65E1ECAB8 + 14131.50ns INFO [00014133] Port=1 RD @03 + 14132.50ns INFO [00014134] * RD COMPARE * port=0 adr=00 act=C4E9D2A703776A347A exp=C4E9D2A703776A347A + 14132.50ns INFO [00014134] * RD COMPARE * port=1 adr=06 act=A49F58DA1FD3CA0FD8 exp=A49F58DA1FD3CA0FD8 + 14132.50ns INFO [00014134] Port=0 WR @02=E7FBD59C73B0E7080A + 14132.50ns INFO [00014134] Port=0 RD @07 + 14133.50ns INFO [00014135] * RD COMPARE * port=1 adr=03 act=2D77FC6D386FB43308 exp=2D77FC6D386FB43308 + 14133.50ns INFO [00014135] Port=0 WR @03=E6AFBEFBB8F1B2AB69 + 14133.50ns INFO [00014135] Port=0 RD @02 + 14134.50ns INFO [00014136] * RD COMPARE * port=0 adr=07 act=CA9C08ECC787A549B7 exp=CA9C08ECC787A549B7 + 14135.50ns INFO [00014137] * RD COMPARE * port=0 adr=02 act=E7FBD59C73B0E7080A exp=E7FBD59C73B0E7080A + 14135.50ns INFO [00014137] Port=0 WR @06=CA47A5A9672E8B72A0 + 14135.50ns INFO [00014137] Port=1 RD @00 + 14136.50ns INFO [00014138] Port=0 RD @04 + 14137.50ns INFO [00014139] * RD COMPARE * port=1 adr=00 act=C4E9D2A703776A347A exp=C4E9D2A703776A347A + 14137.50ns INFO [00014139] Port=0 WR @04=FA3F4E7551D6A249F4 + 14138.50ns INFO [00014140] * RD COMPARE * port=0 adr=04 act=D85DCF4EB65E1ECAB8 exp=D85DCF4EB65E1ECAB8 + 14138.50ns INFO [00014140] Port=1 RD @02 + 14139.50ns INFO [00014141] Port=0 RD @04 + 14140.50ns INFO [00014142] * RD COMPARE * port=1 adr=02 act=E7FBD59C73B0E7080A exp=E7FBD59C73B0E7080A + 14140.50ns INFO [00014142] Port=1 RD @04 + 14141.50ns INFO [00014143] * RD COMPARE * port=0 adr=04 act=FA3F4E7551D6A249F4 exp=FA3F4E7551D6A249F4 + 14141.50ns INFO [00014143] Port=0 WR @06=A3E447EAE3175AB1D6 + 14142.50ns INFO [00014144] * RD COMPARE * port=1 adr=04 act=FA3F4E7551D6A249F4 exp=FA3F4E7551D6A249F4 + 14142.50ns INFO [00014144] Port=0 WR @00=D36FF7F36AFE22C80C + 14142.50ns INFO [00014144] Port=0 RD @06 + 14142.50ns INFO [00014144] Port=1 RD @07 + 14143.50ns INFO [00014145] Port=0 RD @05 + 14144.50ns INFO [00014146] * RD COMPARE * port=0 adr=06 act=A3E447EAE3175AB1D6 exp=A3E447EAE3175AB1D6 + 14144.50ns INFO [00014146] * RD COMPARE * port=1 adr=07 act=CA9C08ECC787A549B7 exp=CA9C08ECC787A549B7 + 14144.50ns INFO [00014146] Port=1 RD @04 + 14145.50ns INFO [00014147] * RD COMPARE * port=0 adr=05 act=A727BE9100D9C4AD74 exp=A727BE9100D9C4AD74 + 14145.50ns INFO [00014147] Port=0 WR @07=A27ED606E5A8D6A39A + 14146.50ns INFO [00014148] * RD COMPARE * port=1 adr=04 act=FA3F4E7551D6A249F4 exp=FA3F4E7551D6A249F4 + 14146.50ns INFO [00014148] Port=0 RD @00 + 14147.50ns INFO [00014149] Port=0 WR @00=DE1B50C46F25AF71DD + 14148.50ns INFO [00014150] * RD COMPARE * port=0 adr=00 act=D36FF7F36AFE22C80C exp=D36FF7F36AFE22C80C + 14148.50ns INFO [00014150] Port=0 RD @04 + 14149.50ns INFO [00014151] Port=0 RD @03 + 14150.50ns INFO [00014152] * RD COMPARE * port=0 adr=04 act=FA3F4E7551D6A249F4 exp=FA3F4E7551D6A249F4 + 14150.50ns INFO [00014152] Port=0 WR @07=598F2AAD726ED370B8 + 14150.50ns INFO [00014152] Port=1 RD @00 + 14151.50ns INFO [00014153] * RD COMPARE * port=0 adr=03 act=E6AFBEFBB8F1B2AB69 exp=E6AFBEFBB8F1B2AB69 + 14151.50ns INFO [00014153] Port=0 RD @00 + 14152.50ns INFO [00014154] * RD COMPARE * port=1 adr=00 act=DE1B50C46F25AF71DD exp=DE1B50C46F25AF71DD + 14152.50ns INFO [00014154] Port=0 WR @05=7B7143704E6BEBF68A + 14152.50ns INFO [00014154] Port=1 RD @01 + 14153.50ns INFO [00014155] * RD COMPARE * port=0 adr=00 act=DE1B50C46F25AF71DD exp=DE1B50C46F25AF71DD + 14153.50ns INFO [00014155] Port=0 WR @01=A1B5A59AD3993B21BF + 14153.50ns INFO [00014155] Port=1 RD @04 + 14154.50ns INFO [00014156] * RD COMPARE * port=1 adr=01 act=CA140644F61E5E2549 exp=CA140644F61E5E2549 + 14154.50ns INFO [00014156] Port=0 RD @02 + 14155.50ns INFO [00014157] * RD COMPARE * port=1 adr=04 act=FA3F4E7551D6A249F4 exp=FA3F4E7551D6A249F4 + 14155.50ns INFO [00014157] Port=0 WR @07=1A9964F7C1DB5B3B00 + 14156.50ns INFO [00014158] * RD COMPARE * port=0 adr=02 act=E7FBD59C73B0E7080A exp=E7FBD59C73B0E7080A + 14156.50ns INFO [00014158] Port=0 WR @06=191C569EAE5C0C5A11 + 14157.50ns INFO [00014159] Port=0 WR @06=A4E29FF4AB697AF967 + 14157.50ns INFO [00014159] Port=0 RD @03 + 14159.50ns INFO [00014161] * RD COMPARE * port=0 adr=03 act=E6AFBEFBB8F1B2AB69 exp=E6AFBEFBB8F1B2AB69 + 14159.50ns INFO [00014161] Port=0 RD @05 + 14160.50ns INFO [00014162] Port=0 WR @05=9EABD7F2BE6378379B + 14161.50ns INFO [00014163] * RD COMPARE * port=0 adr=05 act=7B7143704E6BEBF68A exp=7B7143704E6BEBF68A + 14161.50ns INFO [00014163] Port=0 WR @03=070BD44BD5C2E3DE87 + 14161.50ns INFO [00014163] Port=1 RD @06 + 14162.50ns INFO [00014164] Port=0 RD @04 + 14163.50ns INFO [00014165] * RD COMPARE * port=1 adr=06 act=A4E29FF4AB697AF967 exp=A4E29FF4AB697AF967 + 14163.50ns INFO [00014165] Port=0 WR @00=98D177B6553B261B93 + 14164.50ns INFO [00014166] * RD COMPARE * port=0 adr=04 act=FA3F4E7551D6A249F4 exp=FA3F4E7551D6A249F4 + 14165.50ns INFO [00014167] Port=0 WR @01=C51E017EA5BF19FDBF + 14165.50ns INFO [00014167] Port=0 RD @04 + 14165.50ns INFO [00014167] Port=1 RD @03 + 14166.50ns INFO [00014168] Port=0 WR @07=7B8035E6691D892C1C + 14167.50ns INFO [00014169] * RD COMPARE * port=0 adr=04 act=FA3F4E7551D6A249F4 exp=FA3F4E7551D6A249F4 + 14167.50ns INFO [00014169] * RD COMPARE * port=1 adr=03 act=070BD44BD5C2E3DE87 exp=070BD44BD5C2E3DE87 + 14167.50ns INFO [00014169] Port=0 RD @05 + 14167.50ns INFO [00014169] Port=1 RD @03 + 14168.50ns INFO [00014170] Port=0 WR @07=07EC8DA83B545698D3 + 14168.50ns INFO [00014170] Port=1 RD @01 + 14169.50ns INFO [00014171] * RD COMPARE * port=0 adr=05 act=9EABD7F2BE6378379B exp=9EABD7F2BE6378379B + 14169.50ns INFO [00014171] * RD COMPARE * port=1 adr=03 act=070BD44BD5C2E3DE87 exp=070BD44BD5C2E3DE87 + 14169.50ns INFO [00014171] Port=1 RD @04 + 14170.50ns INFO [00014172] * RD COMPARE * port=1 adr=01 act=C51E017EA5BF19FDBF exp=C51E017EA5BF19FDBF + 14170.50ns INFO [00014172] Port=0 WR @05=A59F1F58D7A36ACD61 + 14171.50ns INFO [00014173] * RD COMPARE * port=1 adr=04 act=FA3F4E7551D6A249F4 exp=FA3F4E7551D6A249F4 + 14171.50ns INFO [00014173] Port=0 WR @03=DF15C082534632446E + 14171.50ns INFO [00014173] Port=0 RD @07 + 14172.50ns INFO [00014174] Port=0 WR @01=2474A33F9DB45837B5 + 14172.50ns INFO [00014174] Port=1 RD @00 + 14173.50ns INFO [00014175] * RD COMPARE * port=0 adr=07 act=07EC8DA83B545698D3 exp=07EC8DA83B545698D3 + 14174.50ns INFO [00014176] * RD COMPARE * port=1 adr=00 act=98D177B6553B261B93 exp=98D177B6553B261B93 + 14174.50ns INFO [00014176] Port=0 WR @05=D6A0AFC5ECB8AB2629 + 14175.50ns INFO [00014177] Port=1 RD @06 + 14177.50ns INFO [00014179] * RD COMPARE * port=1 adr=06 act=A4E29FF4AB697AF967 exp=A4E29FF4AB697AF967 + 14177.50ns INFO [00014179] Port=0 RD @05 + 14178.50ns INFO [00014180] Port=1 RD @05 + 14179.50ns INFO [00014181] * RD COMPARE * port=0 adr=05 act=D6A0AFC5ECB8AB2629 exp=D6A0AFC5ECB8AB2629 + 14179.50ns INFO [00014181] Port=0 WR @05=506DC429EC8989D48E + 14180.50ns INFO [00014182] * RD COMPARE * port=1 adr=05 act=D6A0AFC5ECB8AB2629 exp=D6A0AFC5ECB8AB2629 + 14180.50ns INFO [00014182] Port=0 WR @04=4CE6885B13F6964DD7 + 14180.50ns INFO [00014182] Port=0 RD @01 + 14181.50ns INFO [00014183] Port=1 RD @01 + 14182.50ns INFO [00014184] * RD COMPARE * port=0 adr=01 act=2474A33F9DB45837B5 exp=2474A33F9DB45837B5 + 14182.50ns INFO [00014184] Port=0 RD @01 + 14182.50ns INFO [00014184] Port=1 RD @03 + 14183.50ns INFO [00014185] * RD COMPARE * port=1 adr=01 act=2474A33F9DB45837B5 exp=2474A33F9DB45837B5 + 14183.50ns INFO [00014185] Port=1 RD @06 + 14184.50ns INFO [00014186] * RD COMPARE * port=0 adr=01 act=2474A33F9DB45837B5 exp=2474A33F9DB45837B5 + 14184.50ns INFO [00014186] * RD COMPARE * port=1 adr=03 act=DF15C082534632446E exp=DF15C082534632446E + 14184.50ns INFO [00014186] Port=0 RD @06 + 14185.50ns INFO [00014187] * RD COMPARE * port=1 adr=06 act=A4E29FF4AB697AF967 exp=A4E29FF4AB697AF967 + 14185.50ns INFO [00014187] Port=0 WR @03=D88BBEF0DEE794B693 + 14185.50ns INFO [00014187] Port=1 RD @07 + 14186.50ns INFO [00014188] * RD COMPARE * port=0 adr=06 act=A4E29FF4AB697AF967 exp=A4E29FF4AB697AF967 + 14186.50ns INFO [00014188] Port=0 WR @05=4D3ED72249283E3E0D + 14187.50ns INFO [00014189] * RD COMPARE * port=1 adr=07 act=07EC8DA83B545698D3 exp=07EC8DA83B545698D3 + 14187.50ns INFO [00014189] Port=1 RD @06 + 14188.50ns INFO [00014190] Port=1 RD @05 + 14189.50ns INFO [00014191] * RD COMPARE * port=1 adr=06 act=A4E29FF4AB697AF967 exp=A4E29FF4AB697AF967 + 14190.50ns INFO [00014192] * RD COMPARE * port=1 adr=05 act=4D3ED72249283E3E0D exp=4D3ED72249283E3E0D + 14190.50ns INFO [00014192] Port=0 WR @00=B07280A6DB054E02A5 + 14190.50ns INFO [00014192] Port=0 RD @04 + 14192.50ns INFO [00014194] * RD COMPARE * port=0 adr=04 act=4CE6885B13F6964DD7 exp=4CE6885B13F6964DD7 + 14192.50ns INFO [00014194] Port=0 RD @06 + 14193.50ns INFO [00014195] Port=0 WR @05=86A5998C69ED4CD7F1 + 14193.50ns INFO [00014195] Port=1 RD @00 + 14194.50ns INFO [00014196] * RD COMPARE * port=0 adr=06 act=A4E29FF4AB697AF967 exp=A4E29FF4AB697AF967 + 14194.50ns INFO [00014196] Port=0 WR @03=752CCA85E185D09B5C + 14194.50ns INFO [00014196] Port=0 RD @00 + 14195.50ns INFO [00014197] * RD COMPARE * port=1 adr=00 act=B07280A6DB054E02A5 exp=B07280A6DB054E02A5 + 14196.50ns INFO [00014198] * RD COMPARE * port=0 adr=00 act=B07280A6DB054E02A5 exp=B07280A6DB054E02A5 + 14196.50ns INFO [00014198] Port=0 WR @03=E3DD65D228F8AA3FE0 + 14196.50ns INFO [00014198] Port=1 RD @05 + 14197.50ns INFO [00014199] Port=0 WR @01=61BC92F6631985FAAA + 14198.00ns INFO [00014200] [00014200] ...tick... + 14198.50ns INFO [00014200] * RD COMPARE * port=1 adr=05 act=86A5998C69ED4CD7F1 exp=86A5998C69ED4CD7F1 + 14199.50ns INFO [00014201] Port=0 RD @02 + 14199.50ns INFO [00014201] Port=1 RD @01 + 14201.50ns INFO [00014203] * RD COMPARE * port=0 adr=02 act=E7FBD59C73B0E7080A exp=E7FBD59C73B0E7080A + 14201.50ns INFO [00014203] * RD COMPARE * port=1 adr=01 act=61BC92F6631985FAAA exp=61BC92F6631985FAAA + 14201.50ns INFO [00014203] Port=0 WR @05=27E70DACF2DD5F03E7 + 14201.50ns INFO [00014203] Port=1 RD @01 + 14202.50ns INFO [00014204] Port=0 RD @00 + 14203.50ns INFO [00014205] * RD COMPARE * port=1 adr=01 act=61BC92F6631985FAAA exp=61BC92F6631985FAAA + 14203.50ns INFO [00014205] Port=0 WR @07=4419081D57CE5F1F0B + 14203.50ns INFO [00014205] Port=0 RD @04 + 14203.50ns INFO [00014205] Port=1 RD @05 + 14204.50ns INFO [00014206] * RD COMPARE * port=0 adr=00 act=B07280A6DB054E02A5 exp=B07280A6DB054E02A5 + 14205.50ns INFO [00014207] * RD COMPARE * port=0 adr=04 act=4CE6885B13F6964DD7 exp=4CE6885B13F6964DD7 + 14205.50ns INFO [00014207] * RD COMPARE * port=1 adr=05 act=27E70DACF2DD5F03E7 exp=27E70DACF2DD5F03E7 + 14205.50ns INFO [00014207] Port=0 WR @07=BEB010D47F99E44B3B + 14205.50ns INFO [00014207] Port=1 RD @04 + 14206.50ns INFO [00014208] Port=0 WR @06=8405353F8FB257801B + 14207.50ns INFO [00014209] * RD COMPARE * port=1 adr=04 act=4CE6885B13F6964DD7 exp=4CE6885B13F6964DD7 + 14207.50ns INFO [00014209] Port=0 WR @05=4AF17AD47A9DE0034E + 14208.50ns INFO [00014210] Port=0 WR @07=83EFDA1A8B47C45573 + 14208.50ns INFO [00014210] Port=0 RD @03 + 14210.50ns INFO [00014212] * RD COMPARE * port=0 adr=03 act=E3DD65D228F8AA3FE0 exp=E3DD65D228F8AA3FE0 + 14210.50ns INFO [00014212] Port=0 WR @02=FF750F5F034DFBEE05 + 14210.50ns INFO [00014212] Port=0 RD @05 + 14210.50ns INFO [00014212] Port=1 RD @07 + 14212.50ns INFO [00014214] * RD COMPARE * port=0 adr=05 act=4AF17AD47A9DE0034E exp=4AF17AD47A9DE0034E + 14212.50ns INFO [00014214] * RD COMPARE * port=1 adr=07 act=83EFDA1A8B47C45573 exp=83EFDA1A8B47C45573 + 14212.50ns INFO [00014214] Port=1 RD @03 + 14213.50ns INFO [00014215] Port=0 WR @02=E0C0587527B9274DB6 + 14213.50ns INFO [00014215] Port=1 RD @07 + 14214.50ns INFO [00014216] * RD COMPARE * port=1 adr=03 act=E3DD65D228F8AA3FE0 exp=E3DD65D228F8AA3FE0 + 14214.50ns INFO [00014216] Port=1 RD @04 + 14215.50ns INFO [00014217] * RD COMPARE * port=1 adr=07 act=83EFDA1A8B47C45573 exp=83EFDA1A8B47C45573 + 14216.50ns INFO [00014218] * RD COMPARE * port=1 adr=04 act=4CE6885B13F6964DD7 exp=4CE6885B13F6964DD7 + 14216.50ns INFO [00014218] Port=0 WR @02=3DED3C531B1FB1A873 + 14216.50ns INFO [00014218] Port=1 RD @07 + 14217.50ns INFO [00014219] Port=0 WR @04=C3B37451A456C4CCDA + 14218.50ns INFO [00014220] * RD COMPARE * port=1 adr=07 act=83EFDA1A8B47C45573 exp=83EFDA1A8B47C45573 + 14218.50ns INFO [00014220] Port=1 RD @02 + 14220.50ns INFO [00014222] * RD COMPARE * port=1 adr=02 act=3DED3C531B1FB1A873 exp=3DED3C531B1FB1A873 + 14221.50ns INFO [00014223] Port=0 RD @01 + 14222.50ns INFO [00014224] Port=0 RD @02 + 14223.50ns INFO [00014225] * RD COMPARE * port=0 adr=01 act=61BC92F6631985FAAA exp=61BC92F6631985FAAA + 14223.50ns INFO [00014225] Port=1 RD @01 + 14224.50ns INFO [00014226] * RD COMPARE * port=0 adr=02 act=3DED3C531B1FB1A873 exp=3DED3C531B1FB1A873 + 14224.50ns INFO [00014226] Port=0 WR @03=D240DF2CAAB3BDEC2C + 14224.50ns INFO [00014226] Port=1 RD @06 + 14225.50ns INFO [00014227] * RD COMPARE * port=1 adr=01 act=61BC92F6631985FAAA exp=61BC92F6631985FAAA + 14225.50ns INFO [00014227] Port=0 WR @03=A1C4FF8773B12477FE + 14225.50ns INFO [00014227] Port=1 RD @07 + 14226.50ns INFO [00014228] * RD COMPARE * port=1 adr=06 act=8405353F8FB257801B exp=8405353F8FB257801B + 14226.50ns INFO [00014228] Port=1 RD @04 + 14227.50ns INFO [00014229] * RD COMPARE * port=1 adr=07 act=83EFDA1A8B47C45573 exp=83EFDA1A8B47C45573 + 14227.50ns INFO [00014229] Port=0 RD @04 + 14228.50ns INFO [00014230] * RD COMPARE * port=1 adr=04 act=C3B37451A456C4CCDA exp=C3B37451A456C4CCDA + 14228.50ns INFO [00014230] Port=0 WR @02=E6B0A6C9E8CC93D4BF + 14228.50ns INFO [00014230] Port=0 RD @06 + 14228.50ns INFO [00014230] Port=1 RD @03 + 14229.50ns INFO [00014231] * RD COMPARE * port=0 adr=04 act=C3B37451A456C4CCDA exp=C3B37451A456C4CCDA + 14230.50ns INFO [00014232] * RD COMPARE * port=0 adr=06 act=8405353F8FB257801B exp=8405353F8FB257801B + 14230.50ns INFO [00014232] * RD COMPARE * port=1 adr=03 act=A1C4FF8773B12477FE exp=A1C4FF8773B12477FE + 14230.50ns INFO [00014232] Port=0 WR @03=15766AFE83D6032204 + 14230.50ns INFO [00014232] Port=0 RD @05 + 14230.50ns INFO [00014232] Port=1 RD @05 + 14232.50ns INFO [00014234] * RD COMPARE * port=0 adr=05 act=4AF17AD47A9DE0034E exp=4AF17AD47A9DE0034E + 14232.50ns INFO [00014234] * RD COMPARE * port=1 adr=05 act=4AF17AD47A9DE0034E exp=4AF17AD47A9DE0034E + 14233.50ns INFO [00014235] Port=0 WR @02=BA38063E76E6CD6BE1 + 14234.50ns INFO [00014236] Port=0 WR @02=314B2087C52DB7B1EB + 14234.50ns INFO [00014236] Port=1 RD @01 + 14235.50ns INFO [00014237] Port=1 RD @00 + 14236.50ns INFO [00014238] * RD COMPARE * port=1 adr=01 act=61BC92F6631985FAAA exp=61BC92F6631985FAAA + 14236.50ns INFO [00014238] Port=0 RD @01 + 14237.50ns INFO [00014239] * RD COMPARE * port=1 adr=00 act=B07280A6DB054E02A5 exp=B07280A6DB054E02A5 + 14237.50ns INFO [00014239] Port=0 WR @01=6164291F5FA3418883 + 14237.50ns INFO [00014239] Port=0 RD @00 + 14238.50ns INFO [00014240] * RD COMPARE * port=0 adr=01 act=61BC92F6631985FAAA exp=61BC92F6631985FAAA + 14238.50ns INFO [00014240] Port=0 RD @03 + 14239.50ns INFO [00014241] * RD COMPARE * port=0 adr=00 act=B07280A6DB054E02A5 exp=B07280A6DB054E02A5 + 14239.50ns INFO [00014241] Port=0 WR @07=F0E00D146580AD99A7 + 14239.50ns INFO [00014241] Port=1 RD @02 + 14240.50ns INFO [00014242] * RD COMPARE * port=0 adr=03 act=15766AFE83D6032204 exp=15766AFE83D6032204 + 14240.50ns INFO [00014242] Port=0 WR @06=CCF7BF1F0CC12D9B34 + 14241.50ns INFO [00014243] * RD COMPARE * port=1 adr=02 act=314B2087C52DB7B1EB exp=314B2087C52DB7B1EB + 14241.50ns INFO [00014243] Port=0 WR @00=0297AE8E0EA900292D + 14241.50ns INFO [00014243] Port=0 RD @07 + 14242.50ns INFO [00014244] Port=0 RD @07 + 14243.50ns INFO [00014245] * RD COMPARE * port=0 adr=07 act=F0E00D146580AD99A7 exp=F0E00D146580AD99A7 + 14243.50ns INFO [00014245] Port=0 WR @02=2CA6BA6F3B0F4F4718 + 14244.50ns INFO [00014246] * RD COMPARE * port=0 adr=07 act=F0E00D146580AD99A7 exp=F0E00D146580AD99A7 + 14244.50ns INFO [00014246] Port=0 WR @07=858F49FA846616FAA1 + 14244.50ns INFO [00014246] Port=1 RD @01 + 14245.50ns INFO [00014247] Port=0 RD @02 + 14245.50ns INFO [00014247] Port=1 RD @03 + 14246.50ns INFO [00014248] * RD COMPARE * port=1 adr=01 act=6164291F5FA3418883 exp=6164291F5FA3418883 + 14246.50ns INFO [00014248] Port=0 WR @04=F012638330BE46DA0E + 14246.50ns INFO [00014248] Port=1 RD @07 + 14247.50ns INFO [00014249] * RD COMPARE * port=0 adr=02 act=2CA6BA6F3B0F4F4718 exp=2CA6BA6F3B0F4F4718 + 14247.50ns INFO [00014249] * RD COMPARE * port=1 adr=03 act=15766AFE83D6032204 exp=15766AFE83D6032204 + 14247.50ns INFO [00014249] Port=0 WR @00=37236FE993706B4807 + 14247.50ns INFO [00014249] Port=0 RD @01 + 14248.50ns INFO [00014250] * RD COMPARE * port=1 adr=07 act=858F49FA846616FAA1 exp=858F49FA846616FAA1 + 14248.50ns INFO [00014250] Port=0 WR @00=23EE4557A29900368A + 14249.50ns INFO [00014251] * RD COMPARE * port=0 adr=01 act=6164291F5FA3418883 exp=6164291F5FA3418883 + 14249.50ns INFO [00014251] Port=0 WR @01=63CCE9F7E1C654505D + 14249.50ns INFO [00014251] Port=1 RD @05 + 14250.50ns INFO [00014252] Port=0 WR @04=3AE5DBF602AD76D0E2 + 14250.50ns INFO [00014252] Port=1 RD @01 + 14251.50ns INFO [00014253] * RD COMPARE * port=1 adr=05 act=4AF17AD47A9DE0034E exp=4AF17AD47A9DE0034E + 14251.50ns INFO [00014253] Port=1 RD @00 + 14252.50ns INFO [00014254] * RD COMPARE * port=1 adr=01 act=63CCE9F7E1C654505D exp=63CCE9F7E1C654505D + 14253.50ns INFO [00014255] * RD COMPARE * port=1 adr=00 act=23EE4557A29900368A exp=23EE4557A29900368A + 14253.50ns INFO [00014255] Port=0 WR @05=98F8EF8E53A50F888B + 14253.50ns INFO [00014255] Port=1 RD @03 + 14254.50ns INFO [00014256] Port=0 WR @05=A5C96191F8068940E4 + 14255.50ns INFO [00014257] * RD COMPARE * port=1 adr=03 act=15766AFE83D6032204 exp=15766AFE83D6032204 + 14256.50ns INFO [00014258] Port=0 WR @03=DDD410395819EAC774 + 14256.50ns INFO [00014258] Port=0 RD @00 + 14257.50ns INFO [00014259] Port=1 RD @05 + 14258.50ns INFO [00014260] * RD COMPARE * port=0 adr=00 act=23EE4557A29900368A exp=23EE4557A29900368A + 14258.50ns INFO [00014260] Port=1 RD @03 + 14259.50ns INFO [00014261] * RD COMPARE * port=1 adr=05 act=A5C96191F8068940E4 exp=A5C96191F8068940E4 + 14259.50ns INFO [00014261] Port=0 WR @02=B0363977EA544F0B67 + 14259.50ns INFO [00014261] Port=0 RD @01 + 14259.50ns INFO [00014261] Port=1 RD @01 + 14260.50ns INFO [00014262] * RD COMPARE * port=1 adr=03 act=DDD410395819EAC774 exp=DDD410395819EAC774 + 14260.50ns INFO [00014262] Port=0 WR @01=CD6D7E1A3F456736B7 + 14260.50ns INFO [00014262] Port=0 RD @07 + 14261.50ns INFO [00014263] * RD COMPARE * port=0 adr=01 act=63CCE9F7E1C654505D exp=63CCE9F7E1C654505D + 14261.50ns INFO [00014263] * RD COMPARE * port=1 adr=01 act=63CCE9F7E1C654505D exp=63CCE9F7E1C654505D + 14261.50ns INFO [00014263] Port=0 RD @07 + 14261.50ns INFO [00014263] Port=1 RD @01 + 14262.50ns INFO [00014264] * RD COMPARE * port=0 adr=07 act=858F49FA846616FAA1 exp=858F49FA846616FAA1 + 14262.50ns INFO [00014264] Port=1 RD @07 + 14263.50ns INFO [00014265] * RD COMPARE * port=0 adr=07 act=858F49FA846616FAA1 exp=858F49FA846616FAA1 + 14263.50ns INFO [00014265] * RD COMPARE * port=1 adr=01 act=CD6D7E1A3F456736B7 exp=CD6D7E1A3F456736B7 + 14263.50ns INFO [00014265] Port=0 RD @02 + 14264.50ns INFO [00014266] * RD COMPARE * port=1 adr=07 act=858F49FA846616FAA1 exp=858F49FA846616FAA1 + 14264.50ns INFO [00014266] Port=0 RD @07 + 14265.50ns INFO [00014267] * RD COMPARE * port=0 adr=02 act=B0363977EA544F0B67 exp=B0363977EA544F0B67 + 14265.50ns INFO [00014267] Port=0 WR @02=B647D105E743B6B46E + 14265.50ns INFO [00014267] Port=1 RD @07 + 14266.50ns INFO [00014268] * RD COMPARE * port=0 adr=07 act=858F49FA846616FAA1 exp=858F49FA846616FAA1 + 14266.50ns INFO [00014268] Port=0 WR @04=A40D0D1745B9F117ED + 14266.50ns INFO [00014268] Port=0 RD @01 + 14267.50ns INFO [00014269] * RD COMPARE * port=1 adr=07 act=858F49FA846616FAA1 exp=858F49FA846616FAA1 + 14267.50ns INFO [00014269] Port=1 RD @04 + 14268.50ns INFO [00014270] * RD COMPARE * port=0 adr=01 act=CD6D7E1A3F456736B7 exp=CD6D7E1A3F456736B7 + 14269.50ns INFO [00014271] * RD COMPARE * port=1 adr=04 act=A40D0D1745B9F117ED exp=A40D0D1745B9F117ED + 14269.50ns INFO [00014271] Port=0 WR @07=7C3C0B83FBB147C3CF + 14270.50ns INFO [00014272] Port=0 WR @04=9FBE4EDBAA995D6590 + 14270.50ns INFO [00014272] Port=1 RD @02 + 14271.50ns INFO [00014273] Port=0 WR @07=3250186C69E728ED04 + 14272.50ns INFO [00014274] * RD COMPARE * port=1 adr=02 act=B647D105E743B6B46E exp=B647D105E743B6B46E + 14272.50ns INFO [00014274] Port=0 WR @06=217EAC06451C3D5581 + 14274.50ns INFO [00014276] Port=0 WR @01=28D93EA903FE781E2D + 14274.50ns INFO [00014276] Port=1 RD @03 + 14275.50ns INFO [00014277] Port=0 RD @05 + 14276.50ns INFO [00014278] * RD COMPARE * port=1 adr=03 act=DDD410395819EAC774 exp=DDD410395819EAC774 + 14277.50ns INFO [00014279] * RD COMPARE * port=0 adr=05 act=A5C96191F8068940E4 exp=A5C96191F8068940E4 + 14277.50ns INFO [00014279] Port=0 WR @07=58C04839CA5BDAD29D + 14277.50ns INFO [00014279] Port=0 RD @04 + 14278.50ns INFO [00014280] Port=1 RD @00 + 14279.50ns INFO [00014281] * RD COMPARE * port=0 adr=04 act=9FBE4EDBAA995D6590 exp=9FBE4EDBAA995D6590 + 14279.50ns INFO [00014281] Port=0 RD @01 + 14280.50ns INFO [00014282] * RD COMPARE * port=1 adr=00 act=23EE4557A29900368A exp=23EE4557A29900368A + 14280.50ns INFO [00014282] Port=0 WR @06=F6E576CD1A353D1037 + 14280.50ns INFO [00014282] Port=1 RD @05 + 14281.50ns INFO [00014283] * RD COMPARE * port=0 adr=01 act=28D93EA903FE781E2D exp=28D93EA903FE781E2D + 14281.50ns INFO [00014283] Port=0 WR @03=18BB16418F52A8DCBF + 14281.50ns INFO [00014283] Port=1 RD @04 + 14282.50ns INFO [00014284] * RD COMPARE * port=1 adr=05 act=A5C96191F8068940E4 exp=A5C96191F8068940E4 + 14282.50ns INFO [00014284] Port=0 WR @02=6F6FF8EBCAA5AC3DDD + 14282.50ns INFO [00014284] Port=0 RD @04 + 14283.50ns INFO [00014285] * RD COMPARE * port=1 adr=04 act=9FBE4EDBAA995D6590 exp=9FBE4EDBAA995D6590 + 14284.50ns INFO [00014286] * RD COMPARE * port=0 adr=04 act=9FBE4EDBAA995D6590 exp=9FBE4EDBAA995D6590 + 14284.50ns INFO [00014286] Port=0 WR @04=25C51FCA54EAC5D602 + 14284.50ns INFO [00014286] Port=0 RD @01 + 14285.50ns INFO [00014287] Port=0 WR @04=01E09098AAFF053A27 + 14285.50ns INFO [00014287] Port=0 RD @05 + 14285.50ns INFO [00014287] Port=1 RD @05 + 14286.50ns INFO [00014288] * RD COMPARE * port=0 adr=01 act=28D93EA903FE781E2D exp=28D93EA903FE781E2D + 14287.50ns INFO [00014289] * RD COMPARE * port=0 adr=05 act=A5C96191F8068940E4 exp=A5C96191F8068940E4 + 14287.50ns INFO [00014289] * RD COMPARE * port=1 adr=05 act=A5C96191F8068940E4 exp=A5C96191F8068940E4 + 14290.50ns INFO [00014292] Port=0 RD @03 + 14290.50ns INFO [00014292] Port=1 RD @03 + 14291.50ns INFO [00014293] Port=0 RD @06 + 14292.50ns INFO [00014294] * RD COMPARE * port=0 adr=03 act=18BB16418F52A8DCBF exp=18BB16418F52A8DCBF + 14292.50ns INFO [00014294] * RD COMPARE * port=1 adr=03 act=18BB16418F52A8DCBF exp=18BB16418F52A8DCBF + 14292.50ns INFO [00014294] Port=0 WR @01=C11836B24B5CA9859B + 14293.50ns INFO [00014295] * RD COMPARE * port=0 adr=06 act=F6E576CD1A353D1037 exp=F6E576CD1A353D1037 + 14295.50ns INFO [00014297] Port=0 RD @03 + 14297.50ns INFO [00014299] * RD COMPARE * port=0 adr=03 act=18BB16418F52A8DCBF exp=18BB16418F52A8DCBF + 14298.00ns INFO [00014300] [00014300] ...tick... + 14300.50ns INFO [00014302] Port=1 RD @02 + 14301.50ns INFO [00014303] Port=0 RD @05 + 14302.50ns INFO [00014304] * RD COMPARE * port=1 adr=02 act=6F6FF8EBCAA5AC3DDD exp=6F6FF8EBCAA5AC3DDD + 14302.50ns INFO [00014304] Port=0 RD @02 + 14303.50ns INFO [00014305] * RD COMPARE * port=0 adr=05 act=A5C96191F8068940E4 exp=A5C96191F8068940E4 + 14303.50ns INFO [00014305] Port=0 WR @05=8112C59CF9D9974B7A + 14304.50ns INFO [00014306] * RD COMPARE * port=0 adr=02 act=6F6FF8EBCAA5AC3DDD exp=6F6FF8EBCAA5AC3DDD + 14304.50ns INFO [00014306] Port=0 RD @01 + 14306.50ns INFO [00014308] * RD COMPARE * port=0 adr=01 act=C11836B24B5CA9859B exp=C11836B24B5CA9859B + 14306.50ns INFO [00014308] Port=0 WR @04=2B170DA429AD974363 + 14306.50ns INFO [00014308] Port=0 RD @00 + 14307.50ns INFO [00014309] Port=0 WR @07=403AF10D503BE25885 + 14307.50ns INFO [00014309] Port=1 RD @05 + 14308.50ns INFO [00014310] * RD COMPARE * port=0 adr=00 act=23EE4557A29900368A exp=23EE4557A29900368A + 14308.50ns INFO [00014310] Port=1 RD @06 + 14309.50ns INFO [00014311] * RD COMPARE * port=1 adr=05 act=8112C59CF9D9974B7A exp=8112C59CF9D9974B7A + 14310.50ns INFO [00014312] * RD COMPARE * port=1 adr=06 act=F6E576CD1A353D1037 exp=F6E576CD1A353D1037 + 14310.50ns INFO [00014312] Port=0 WR @07=C4A85899BA1B81B02B + 14310.50ns INFO [00014312] Port=1 RD @01 + 14311.50ns INFO [00014313] Port=1 RD @02 + 14312.50ns INFO [00014314] * RD COMPARE * port=1 adr=01 act=C11836B24B5CA9859B exp=C11836B24B5CA9859B + 14312.50ns INFO [00014314] Port=1 RD @02 + 14313.50ns INFO [00014315] * RD COMPARE * port=1 adr=02 act=6F6FF8EBCAA5AC3DDD exp=6F6FF8EBCAA5AC3DDD + 14313.50ns INFO [00014315] Port=0 WR @05=E4576FD329D5F1B134 + 14314.50ns INFO [00014316] * RD COMPARE * port=1 adr=02 act=6F6FF8EBCAA5AC3DDD exp=6F6FF8EBCAA5AC3DDD + 14314.50ns INFO [00014316] Port=0 RD @00 + 14315.50ns INFO [00014317] Port=0 WR @00=AC8C20F5D09A55EC75 + 14316.50ns INFO [00014318] * RD COMPARE * port=0 adr=00 act=23EE4557A29900368A exp=23EE4557A29900368A + 14317.50ns INFO [00014319] Port=1 RD @06 + 14318.50ns INFO [00014320] Port=0 RD @04 + 14319.50ns INFO [00014321] * RD COMPARE * port=1 adr=06 act=F6E576CD1A353D1037 exp=F6E576CD1A353D1037 + 14319.50ns INFO [00014321] Port=1 RD @05 + 14320.50ns INFO [00014322] * RD COMPARE * port=0 adr=04 act=2B170DA429AD974363 exp=2B170DA429AD974363 + 14321.50ns INFO [00014323] * RD COMPARE * port=1 adr=05 act=E4576FD329D5F1B134 exp=E4576FD329D5F1B134 + 14322.50ns INFO [00014324] Port=0 WR @06=CD1B1181CDEBBE983E + 14322.50ns INFO [00014324] Port=0 RD @07 + 14322.50ns INFO [00014324] Port=1 RD @02 + 14324.50ns INFO [00014326] * RD COMPARE * port=0 adr=07 act=C4A85899BA1B81B02B exp=C4A85899BA1B81B02B + 14324.50ns INFO [00014326] * RD COMPARE * port=1 adr=02 act=6F6FF8EBCAA5AC3DDD exp=6F6FF8EBCAA5AC3DDD + 14325.50ns INFO [00014327] Port=0 RD @00 + 14326.50ns INFO [00014328] Port=0 WR @04=8CDD42F5177E17F7FF + 14326.50ns INFO [00014328] Port=0 RD @07 + 14327.50ns INFO [00014329] * RD COMPARE * port=0 adr=00 act=AC8C20F5D09A55EC75 exp=AC8C20F5D09A55EC75 + 14327.50ns INFO [00014329] Port=1 RD @01 + 14328.50ns INFO [00014330] * RD COMPARE * port=0 adr=07 act=C4A85899BA1B81B02B exp=C4A85899BA1B81B02B + 14329.50ns INFO [00014331] * RD COMPARE * port=1 adr=01 act=C11836B24B5CA9859B exp=C11836B24B5CA9859B + 14329.50ns INFO [00014331] Port=1 RD @07 + 14330.50ns INFO [00014332] Port=0 RD @05 + 14330.50ns INFO [00014332] Port=1 RD @06 + 14331.50ns INFO [00014333] * RD COMPARE * port=1 adr=07 act=C4A85899BA1B81B02B exp=C4A85899BA1B81B02B + 14331.50ns INFO [00014333] Port=0 RD @06 + 14332.50ns INFO [00014334] * RD COMPARE * port=0 adr=05 act=E4576FD329D5F1B134 exp=E4576FD329D5F1B134 + 14332.50ns INFO [00014334] * RD COMPARE * port=1 adr=06 act=CD1B1181CDEBBE983E exp=CD1B1181CDEBBE983E + 14333.50ns INFO [00014335] * RD COMPARE * port=0 adr=06 act=CD1B1181CDEBBE983E exp=CD1B1181CDEBBE983E + 14334.50ns INFO [00014336] Port=1 RD @04 + 14336.50ns INFO [00014338] * RD COMPARE * port=1 adr=04 act=8CDD42F5177E17F7FF exp=8CDD42F5177E17F7FF + 14336.50ns INFO [00014338] Port=0 WR @07=F5344BAA0B4761554D + 14337.50ns INFO [00014339] Port=0 WR @06=0A2E6DBDAC0CF6AF88 + 14337.50ns INFO [00014339] Port=1 RD @04 + 14338.50ns INFO [00014340] Port=0 WR @01=115D20C18DB3CC5DA1 + 14339.50ns INFO [00014341] * RD COMPARE * port=1 adr=04 act=8CDD42F5177E17F7FF exp=8CDD42F5177E17F7FF + 14339.50ns INFO [00014341] Port=0 RD @01 + 14339.50ns INFO [00014341] Port=1 RD @07 + 14341.50ns INFO [00014343] * RD COMPARE * port=0 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14341.50ns INFO [00014343] * RD COMPARE * port=1 adr=07 act=F5344BAA0B4761554D exp=F5344BAA0B4761554D + 14342.50ns INFO [00014344] Port=0 RD @03 + 14342.50ns INFO [00014344] Port=1 RD @06 + 14343.50ns INFO [00014345] Port=0 WR @06=E5FF1C54FC5E4E26AE + 14344.50ns INFO [00014346] * RD COMPARE * port=0 adr=03 act=18BB16418F52A8DCBF exp=18BB16418F52A8DCBF + 14344.50ns INFO [00014346] * RD COMPARE * port=1 adr=06 act=0A2E6DBDAC0CF6AF88 exp=0A2E6DBDAC0CF6AF88 + 14344.50ns INFO [00014346] Port=0 RD @04 + 14344.50ns INFO [00014346] Port=1 RD @03 + 14346.50ns INFO [00014348] * RD COMPARE * port=0 adr=04 act=8CDD42F5177E17F7FF exp=8CDD42F5177E17F7FF + 14346.50ns INFO [00014348] * RD COMPARE * port=1 adr=03 act=18BB16418F52A8DCBF exp=18BB16418F52A8DCBF + 14346.50ns INFO [00014348] Port=0 WR @02=1F774ED140C8650786 + 14346.50ns INFO [00014348] Port=0 RD @00 + 14348.50ns INFO [00014350] * RD COMPARE * port=0 adr=00 act=AC8C20F5D09A55EC75 exp=AC8C20F5D09A55EC75 + 14348.50ns INFO [00014350] Port=0 WR @05=4DDAF2A2E6549555DC + 14350.50ns INFO [00014352] Port=0 WR @07=E1E06BDB786DB24113 + 14351.50ns INFO [00014353] Port=0 RD @01 + 14351.50ns INFO [00014353] Port=1 RD @03 + 14352.50ns INFO [00014354] Port=0 WR @04=593A59CE3E5AE71A0D + 14353.50ns INFO [00014355] * RD COMPARE * port=0 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14353.50ns INFO [00014355] * RD COMPARE * port=1 adr=03 act=18BB16418F52A8DCBF exp=18BB16418F52A8DCBF + 14353.50ns INFO [00014355] Port=1 RD @03 + 14354.50ns INFO [00014356] Port=0 RD @03 + 14354.50ns INFO [00014356] Port=1 RD @03 + 14355.50ns INFO [00014357] * RD COMPARE * port=1 adr=03 act=18BB16418F52A8DCBF exp=18BB16418F52A8DCBF + 14355.50ns INFO [00014357] Port=0 RD @06 + 14355.50ns INFO [00014357] Port=1 RD @01 + 14356.50ns INFO [00014358] * RD COMPARE * port=0 adr=03 act=18BB16418F52A8DCBF exp=18BB16418F52A8DCBF + 14356.50ns INFO [00014358] * RD COMPARE * port=1 adr=03 act=18BB16418F52A8DCBF exp=18BB16418F52A8DCBF + 14356.50ns INFO [00014358] Port=1 RD @07 + 14357.50ns INFO [00014359] * RD COMPARE * port=0 adr=06 act=E5FF1C54FC5E4E26AE exp=E5FF1C54FC5E4E26AE + 14357.50ns INFO [00014359] * RD COMPARE * port=1 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14357.50ns INFO [00014359] Port=1 RD @06 + 14358.50ns INFO [00014360] * RD COMPARE * port=1 adr=07 act=E1E06BDB786DB24113 exp=E1E06BDB786DB24113 + 14358.50ns INFO [00014360] Port=1 RD @07 + 14359.50ns INFO [00014361] * RD COMPARE * port=1 adr=06 act=E5FF1C54FC5E4E26AE exp=E5FF1C54FC5E4E26AE + 14359.50ns INFO [00014361] Port=0 RD @03 + 14359.50ns INFO [00014361] Port=1 RD @05 + 14360.50ns INFO [00014362] * RD COMPARE * port=1 adr=07 act=E1E06BDB786DB24113 exp=E1E06BDB786DB24113 + 14361.50ns INFO [00014363] * RD COMPARE * port=0 adr=03 act=18BB16418F52A8DCBF exp=18BB16418F52A8DCBF + 14361.50ns INFO [00014363] * RD COMPARE * port=1 adr=05 act=4DDAF2A2E6549555DC exp=4DDAF2A2E6549555DC + 14361.50ns INFO [00014363] Port=0 WR @05=5CCAAA0D7B160DAD58 + 14364.50ns INFO [00014366] Port=0 RD @04 + 14364.50ns INFO [00014366] Port=1 RD @02 + 14365.50ns INFO [00014367] Port=0 RD @06 + 14366.50ns INFO [00014368] * RD COMPARE * port=0 adr=04 act=593A59CE3E5AE71A0D exp=593A59CE3E5AE71A0D + 14366.50ns INFO [00014368] * RD COMPARE * port=1 adr=02 act=1F774ED140C8650786 exp=1F774ED140C8650786 + 14366.50ns INFO [00014368] Port=0 RD @05 + 14366.50ns INFO [00014368] Port=1 RD @06 + 14367.50ns INFO [00014369] * RD COMPARE * port=0 adr=06 act=E5FF1C54FC5E4E26AE exp=E5FF1C54FC5E4E26AE + 14367.50ns INFO [00014369] Port=0 WR @07=78825FD1F8C8E109A0 + 14367.50ns INFO [00014369] Port=0 RD @01 + 14367.50ns INFO [00014369] Port=1 RD @02 + 14368.50ns INFO [00014370] * RD COMPARE * port=0 adr=05 act=5CCAAA0D7B160DAD58 exp=5CCAAA0D7B160DAD58 + 14368.50ns INFO [00014370] * RD COMPARE * port=1 adr=06 act=E5FF1C54FC5E4E26AE exp=E5FF1C54FC5E4E26AE + 14368.50ns INFO [00014370] Port=0 WR @07=884D4900A537459D61 + 14368.50ns INFO [00014370] Port=1 RD @06 + 14369.50ns INFO [00014371] * RD COMPARE * port=0 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14369.50ns INFO [00014371] * RD COMPARE * port=1 adr=02 act=1F774ED140C8650786 exp=1F774ED140C8650786 + 14369.50ns INFO [00014371] Port=0 WR @03=8722A111DD6954D0B9 + 14370.50ns INFO [00014372] * RD COMPARE * port=1 adr=06 act=E5FF1C54FC5E4E26AE exp=E5FF1C54FC5E4E26AE + 14370.50ns INFO [00014372] Port=0 RD @02 + 14372.50ns INFO [00014374] * RD COMPARE * port=0 adr=02 act=1F774ED140C8650786 exp=1F774ED140C8650786 + 14372.50ns INFO [00014374] Port=0 WR @00=253320264B59571D9A + 14373.50ns INFO [00014375] Port=0 RD @05 + 14373.50ns INFO [00014375] Port=1 RD @05 + 14374.50ns INFO [00014376] Port=0 RD @03 + 14375.50ns INFO [00014377] * RD COMPARE * port=0 adr=05 act=5CCAAA0D7B160DAD58 exp=5CCAAA0D7B160DAD58 + 14375.50ns INFO [00014377] * RD COMPARE * port=1 adr=05 act=5CCAAA0D7B160DAD58 exp=5CCAAA0D7B160DAD58 + 14375.50ns INFO [00014377] Port=0 WR @04=125FB6E4512AD63E28 + 14376.50ns INFO [00014378] * RD COMPARE * port=0 adr=03 act=8722A111DD6954D0B9 exp=8722A111DD6954D0B9 + 14376.50ns INFO [00014378] Port=0 WR @00=703ABF0177EAE84072 + 14376.50ns INFO [00014378] Port=0 RD @07 + 14376.50ns INFO [00014378] Port=1 RD @01 + 14377.50ns INFO [00014379] Port=0 RD @01 + 14377.50ns INFO [00014379] Port=1 RD @05 + 14378.50ns INFO [00014380] * RD COMPARE * port=0 adr=07 act=884D4900A537459D61 exp=884D4900A537459D61 + 14378.50ns INFO [00014380] * RD COMPARE * port=1 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14378.50ns INFO [00014380] Port=1 RD @04 + 14379.50ns INFO [00014381] * RD COMPARE * port=0 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14379.50ns INFO [00014381] * RD COMPARE * port=1 adr=05 act=5CCAAA0D7B160DAD58 exp=5CCAAA0D7B160DAD58 + 14379.50ns INFO [00014381] Port=0 RD @03 + 14380.50ns INFO [00014382] * RD COMPARE * port=1 adr=04 act=125FB6E4512AD63E28 exp=125FB6E4512AD63E28 + 14380.50ns INFO [00014382] Port=0 WR @03=96AC341F8E1EE314D7 + 14381.50ns INFO [00014383] * RD COMPARE * port=0 adr=03 act=8722A111DD6954D0B9 exp=8722A111DD6954D0B9 + 14381.50ns INFO [00014383] Port=1 RD @05 + 14382.50ns INFO [00014384] Port=1 RD @01 + 14383.50ns INFO [00014385] * RD COMPARE * port=1 adr=05 act=5CCAAA0D7B160DAD58 exp=5CCAAA0D7B160DAD58 + 14383.50ns INFO [00014385] Port=1 RD @02 + 14384.50ns INFO [00014386] * RD COMPARE * port=1 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14384.50ns INFO [00014386] Port=0 RD @03 + 14384.50ns INFO [00014386] Port=1 RD @04 + 14385.50ns INFO [00014387] * RD COMPARE * port=1 adr=02 act=1F774ED140C8650786 exp=1F774ED140C8650786 + 14385.50ns INFO [00014387] Port=1 RD @02 + 14386.50ns INFO [00014388] * RD COMPARE * port=0 adr=03 act=96AC341F8E1EE314D7 exp=96AC341F8E1EE314D7 + 14386.50ns INFO [00014388] * RD COMPARE * port=1 adr=04 act=125FB6E4512AD63E28 exp=125FB6E4512AD63E28 + 14386.50ns INFO [00014388] Port=1 RD @03 + 14387.50ns INFO [00014389] * RD COMPARE * port=1 adr=02 act=1F774ED140C8650786 exp=1F774ED140C8650786 + 14387.50ns INFO [00014389] Port=0 RD @02 + 14388.50ns INFO [00014390] * RD COMPARE * port=1 adr=03 act=96AC341F8E1EE314D7 exp=96AC341F8E1EE314D7 + 14389.50ns INFO [00014391] * RD COMPARE * port=0 adr=02 act=1F774ED140C8650786 exp=1F774ED140C8650786 + 14389.50ns INFO [00014391] Port=0 WR @00=BB6482007474BB0B7A + 14389.50ns INFO [00014391] Port=0 RD @01 + 14390.50ns INFO [00014392] Port=0 WR @07=DEFA0E98097DD32565 + 14390.50ns INFO [00014392] Port=0 RD @02 + 14391.50ns INFO [00014393] * RD COMPARE * port=0 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14391.50ns INFO [00014393] Port=1 RD @01 + 14392.50ns INFO [00014394] * RD COMPARE * port=0 adr=02 act=1F774ED140C8650786 exp=1F774ED140C8650786 + 14392.50ns INFO [00014394] Port=0 WR @02=A0809BE94E7108250C + 14393.50ns INFO [00014395] * RD COMPARE * port=1 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14393.50ns INFO [00014395] Port=0 WR @06=1D02AC0A49FD12A972 + 14393.50ns INFO [00014395] Port=1 RD @05 + 14394.50ns INFO [00014396] Port=0 RD @05 + 14395.50ns INFO [00014397] * RD COMPARE * port=1 adr=05 act=5CCAAA0D7B160DAD58 exp=5CCAAA0D7B160DAD58 + 14396.50ns INFO [00014398] * RD COMPARE * port=0 adr=05 act=5CCAAA0D7B160DAD58 exp=5CCAAA0D7B160DAD58 + 14397.50ns INFO [00014399] Port=0 WR @04=DAF5C1F6A181A0F022 + 14398.00ns INFO [00014400] [00014400] ...tick... + 14398.50ns INFO [00014400] Port=0 WR @05=A4F7884881ECCFF978 + 14398.50ns INFO [00014400] Port=1 RD @04 + 14399.50ns INFO [00014401] Port=0 RD @00 + 14400.50ns INFO [00014402] * RD COMPARE * port=1 adr=04 act=DAF5C1F6A181A0F022 exp=DAF5C1F6A181A0F022 + 14400.50ns INFO [00014402] Port=1 RD @06 + 14401.50ns INFO [00014403] * RD COMPARE * port=0 adr=00 act=BB6482007474BB0B7A exp=BB6482007474BB0B7A + 14401.50ns INFO [00014403] Port=0 WR @05=BD2FC3FC90C3DC97B9 + 14401.50ns INFO [00014403] Port=1 RD @07 + 14402.50ns INFO [00014404] * RD COMPARE * port=1 adr=06 act=1D02AC0A49FD12A972 exp=1D02AC0A49FD12A972 + 14403.50ns INFO [00014405] * RD COMPARE * port=1 adr=07 act=DEFA0E98097DD32565 exp=DEFA0E98097DD32565 + 14403.50ns INFO [00014405] Port=0 RD @01 + 14404.50ns INFO [00014406] Port=0 RD @07 + 14404.50ns INFO [00014406] Port=1 RD @02 + 14405.50ns INFO [00014407] * RD COMPARE * port=0 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14405.50ns INFO [00014407] Port=0 WR @06=E7003747CCCC42D0A0 + 14405.50ns INFO [00014407] Port=1 RD @07 + 14406.50ns INFO [00014408] * RD COMPARE * port=0 adr=07 act=DEFA0E98097DD32565 exp=DEFA0E98097DD32565 + 14406.50ns INFO [00014408] * RD COMPARE * port=1 adr=02 act=A0809BE94E7108250C exp=A0809BE94E7108250C + 14406.50ns INFO [00014408] Port=0 WR @06=50F82EB0A0C65714DC + 14406.50ns INFO [00014408] Port=0 RD @03 + 14407.50ns INFO [00014409] * RD COMPARE * port=1 adr=07 act=DEFA0E98097DD32565 exp=DEFA0E98097DD32565 + 14408.50ns INFO [00014410] * RD COMPARE * port=0 adr=03 act=96AC341F8E1EE314D7 exp=96AC341F8E1EE314D7 + 14408.50ns INFO [00014410] Port=0 WR @03=5E44CB8C5AB3269B97 + 14408.50ns INFO [00014410] Port=1 RD @05 + 14409.50ns INFO [00014411] Port=1 RD @05 + 14410.50ns INFO [00014412] * RD COMPARE * port=1 adr=05 act=BD2FC3FC90C3DC97B9 exp=BD2FC3FC90C3DC97B9 + 14410.50ns INFO [00014412] Port=0 WR @05=DADB3FF91579CD8405 + 14410.50ns INFO [00014412] Port=0 RD @00 + 14411.50ns INFO [00014413] * RD COMPARE * port=1 adr=05 act=BD2FC3FC90C3DC97B9 exp=BD2FC3FC90C3DC97B9 + 14411.50ns INFO [00014413] Port=0 WR @07=64761893F5F65A930B + 14412.50ns INFO [00014414] * RD COMPARE * port=0 adr=00 act=BB6482007474BB0B7A exp=BB6482007474BB0B7A + 14412.50ns INFO [00014414] Port=0 RD @05 + 14412.50ns INFO [00014414] Port=1 RD @07 + 14414.50ns INFO [00014416] * RD COMPARE * port=0 adr=05 act=DADB3FF91579CD8405 exp=DADB3FF91579CD8405 + 14414.50ns INFO [00014416] * RD COMPARE * port=1 adr=07 act=64761893F5F65A930B exp=64761893F5F65A930B + 14414.50ns INFO [00014416] Port=0 RD @06 + 14414.50ns INFO [00014416] Port=1 RD @00 + 14416.50ns INFO [00014418] * RD COMPARE * port=0 adr=06 act=50F82EB0A0C65714DC exp=50F82EB0A0C65714DC + 14416.50ns INFO [00014418] * RD COMPARE * port=1 adr=00 act=BB6482007474BB0B7A exp=BB6482007474BB0B7A + 14416.50ns INFO [00014418] Port=0 RD @04 + 14417.50ns INFO [00014419] Port=0 WR @02=45B2F7DD508736BF00 + 14417.50ns INFO [00014419] Port=0 RD @00 + 14417.50ns INFO [00014419] Port=1 RD @06 + 14418.50ns INFO [00014420] * RD COMPARE * port=0 adr=04 act=DAF5C1F6A181A0F022 exp=DAF5C1F6A181A0F022 + 14418.50ns INFO [00014420] Port=0 WR @04=C90FB55ADA698087BE + 14418.50ns INFO [00014420] Port=0 RD @05 + 14419.50ns INFO [00014421] * RD COMPARE * port=0 adr=00 act=BB6482007474BB0B7A exp=BB6482007474BB0B7A + 14419.50ns INFO [00014421] * RD COMPARE * port=1 adr=06 act=50F82EB0A0C65714DC exp=50F82EB0A0C65714DC + 14420.50ns INFO [00014422] * RD COMPARE * port=0 adr=05 act=DADB3FF91579CD8405 exp=DADB3FF91579CD8405 + 14420.50ns INFO [00014422] Port=1 RD @00 + 14421.50ns INFO [00014423] Port=0 WR @00=2A8663D2A03BD31265 + 14421.50ns INFO [00014423] Port=0 RD @01 + 14421.50ns INFO [00014423] Port=1 RD @02 + 14422.50ns INFO [00014424] * RD COMPARE * port=1 adr=00 act=BB6482007474BB0B7A exp=BB6482007474BB0B7A + 14423.50ns INFO [00014425] * RD COMPARE * port=0 adr=01 act=115D20C18DB3CC5DA1 exp=115D20C18DB3CC5DA1 + 14423.50ns INFO [00014425] * RD COMPARE * port=1 adr=02 act=45B2F7DD508736BF00 exp=45B2F7DD508736BF00 + 14423.50ns INFO [00014425] Port=0 WR @04=5F4C0D930811FE613C + 14423.50ns INFO [00014425] Port=0 RD @07 + 14425.50ns INFO [00014427] * RD COMPARE * port=0 adr=07 act=64761893F5F65A930B exp=64761893F5F65A930B + 14425.50ns INFO [00014427] Port=0 RD @03 + 14426.50ns INFO [00014428] Port=0 RD @02 + 14426.50ns INFO [00014428] Port=1 RD @05 + 14427.50ns INFO [00014429] * RD COMPARE * port=0 adr=03 act=5E44CB8C5AB3269B97 exp=5E44CB8C5AB3269B97 + 14427.50ns INFO [00014429] Port=0 WR @06=387C5BBADD8BB14499 + 14427.50ns INFO [00014429] Port=1 RD @00 + 14428.50ns INFO [00014430] * RD COMPARE * port=0 adr=02 act=45B2F7DD508736BF00 exp=45B2F7DD508736BF00 + 14428.50ns INFO [00014430] * RD COMPARE * port=1 adr=05 act=DADB3FF91579CD8405 exp=DADB3FF91579CD8405 + 14428.50ns INFO [00014430] Port=0 RD @03 + 14429.50ns INFO [00014431] * RD COMPARE * port=1 adr=00 act=2A8663D2A03BD31265 exp=2A8663D2A03BD31265 + 14429.50ns INFO [00014431] Port=1 RD @04 + 14430.50ns INFO [00014432] * RD COMPARE * port=0 adr=03 act=5E44CB8C5AB3269B97 exp=5E44CB8C5AB3269B97 + 14431.50ns INFO [00014433] * RD COMPARE * port=1 adr=04 act=5F4C0D930811FE613C exp=5F4C0D930811FE613C + 14432.50ns INFO [00014434] Port=0 RD @02 + 14432.50ns INFO [00014434] Port=1 RD @07 + 14433.50ns INFO [00014435] Port=0 RD @06 + 14433.50ns INFO [00014435] Port=1 RD @03 + 14434.50ns INFO [00014436] * RD COMPARE * port=0 adr=02 act=45B2F7DD508736BF00 exp=45B2F7DD508736BF00 + 14434.50ns INFO [00014436] * RD COMPARE * port=1 adr=07 act=64761893F5F65A930B exp=64761893F5F65A930B + 14434.50ns INFO [00014436] Port=1 RD @04 + 14435.50ns INFO [00014437] * RD COMPARE * port=0 adr=06 act=387C5BBADD8BB14499 exp=387C5BBADD8BB14499 + 14435.50ns INFO [00014437] * RD COMPARE * port=1 adr=03 act=5E44CB8C5AB3269B97 exp=5E44CB8C5AB3269B97 + 14436.50ns INFO [00014438] * RD COMPARE * port=1 adr=04 act=5F4C0D930811FE613C exp=5F4C0D930811FE613C + 14437.50ns INFO [00014439] Port=0 WR @02=68376A5FF0909D8027 + 14437.50ns INFO [00014439] Port=0 RD @07 + 14437.50ns INFO [00014439] Port=1 RD @05 + 14439.50ns INFO [00014441] * RD COMPARE * port=0 adr=07 act=64761893F5F65A930B exp=64761893F5F65A930B + 14439.50ns INFO [00014441] * RD COMPARE * port=1 adr=05 act=DADB3FF91579CD8405 exp=DADB3FF91579CD8405 + 14439.50ns INFO [00014441] Port=0 RD @04 + 14439.50ns INFO [00014441] Port=1 RD @04 + 14440.50ns INFO [00014442] Port=0 WR @06=BB4FA70DBB2EF64542 + 14440.50ns INFO [00014442] Port=0 RD @05 + 14440.50ns INFO [00014442] Port=1 RD @04 + 14441.50ns INFO [00014443] * RD COMPARE * port=0 adr=04 act=5F4C0D930811FE613C exp=5F4C0D930811FE613C + 14441.50ns INFO [00014443] * RD COMPARE * port=1 adr=04 act=5F4C0D930811FE613C exp=5F4C0D930811FE613C + 14441.50ns INFO [00014443] Port=0 RD @06 + 14442.50ns INFO [00014444] * RD COMPARE * port=0 adr=05 act=DADB3FF91579CD8405 exp=DADB3FF91579CD8405 + 14442.50ns INFO [00014444] * RD COMPARE * port=1 adr=04 act=5F4C0D930811FE613C exp=5F4C0D930811FE613C + 14443.50ns INFO [00014445] * RD COMPARE * port=0 adr=06 act=BB4FA70DBB2EF64542 exp=BB4FA70DBB2EF64542 + 14443.50ns INFO [00014445] Port=0 WR @01=B4A23B32B52CC58910 + 14447.50ns INFO [00014449] Port=0 RD @01 + 14447.50ns INFO [00014449] Port=1 RD @00 + 14448.50ns INFO [00014450] Port=0 WR @02=610205418629BB3CEF + 14449.50ns INFO [00014451] * RD COMPARE * port=0 adr=01 act=B4A23B32B52CC58910 exp=B4A23B32B52CC58910 + 14449.50ns INFO [00014451] * RD COMPARE * port=1 adr=00 act=2A8663D2A03BD31265 exp=2A8663D2A03BD31265 + 14449.50ns INFO [00014451] Port=0 WR @02=16DBFFB414C21DF19E + 14449.50ns INFO [00014451] Port=0 RD @05 + 14449.50ns INFO [00014451] Port=1 RD @00 + 14450.50ns INFO [00014452] Port=1 RD @01 + 14451.50ns INFO [00014453] * RD COMPARE * port=0 adr=05 act=DADB3FF91579CD8405 exp=DADB3FF91579CD8405 + 14451.50ns INFO [00014453] * RD COMPARE * port=1 adr=00 act=2A8663D2A03BD31265 exp=2A8663D2A03BD31265 + 14452.50ns INFO [00014454] * RD COMPARE * port=1 adr=01 act=B4A23B32B52CC58910 exp=B4A23B32B52CC58910 + 14452.50ns INFO [00014454] Port=0 WR @03=531536890E6FA92BBD + 14452.50ns INFO [00014454] Port=0 RD @07 + 14454.50ns INFO [00014456] * RD COMPARE * port=0 adr=07 act=64761893F5F65A930B exp=64761893F5F65A930B + 14454.50ns INFO [00014456] Port=0 WR @02=7ABADA4BC7F50DF7EC + 14454.50ns INFO [00014456] Port=0 RD @03 + 14454.50ns INFO [00014456] Port=1 RD @06 + 14456.50ns INFO [00014458] * RD COMPARE * port=0 adr=03 act=531536890E6FA92BBD exp=531536890E6FA92BBD + 14456.50ns INFO [00014458] * RD COMPARE * port=1 adr=06 act=BB4FA70DBB2EF64542 exp=BB4FA70DBB2EF64542 + 14456.50ns INFO [00014458] Port=1 RD @05 + 14457.50ns INFO [00014459] Port=0 RD @06 + 14457.50ns INFO [00014459] Port=1 RD @05 + 14458.50ns INFO [00014460] * RD COMPARE * port=1 adr=05 act=DADB3FF91579CD8405 exp=DADB3FF91579CD8405 + 14458.50ns INFO [00014460] Port=1 RD @07 + 14459.50ns INFO [00014461] * RD COMPARE * port=0 adr=06 act=BB4FA70DBB2EF64542 exp=BB4FA70DBB2EF64542 + 14459.50ns INFO [00014461] * RD COMPARE * port=1 adr=05 act=DADB3FF91579CD8405 exp=DADB3FF91579CD8405 + 14460.50ns INFO [00014462] * RD COMPARE * port=1 adr=07 act=64761893F5F65A930B exp=64761893F5F65A930B + 14460.50ns INFO [00014462] Port=0 RD @06 + 14460.50ns INFO [00014462] Port=1 RD @05 + 14461.50ns INFO [00014463] Port=0 RD @04 + 14462.50ns INFO [00014464] * RD COMPARE * port=0 adr=06 act=BB4FA70DBB2EF64542 exp=BB4FA70DBB2EF64542 + 14462.50ns INFO [00014464] * RD COMPARE * port=1 adr=05 act=DADB3FF91579CD8405 exp=DADB3FF91579CD8405 + 14462.50ns INFO [00014464] Port=0 RD @01 + 14462.50ns INFO [00014464] Port=1 RD @07 + 14463.50ns INFO [00014465] * RD COMPARE * port=0 adr=04 act=5F4C0D930811FE613C exp=5F4C0D930811FE613C + 14463.50ns INFO [00014465] Port=0 WR @04=7C7EFFDE147902936E + 14463.50ns INFO [00014465] Port=0 RD @01 + 14464.50ns INFO [00014466] * RD COMPARE * port=0 adr=01 act=B4A23B32B52CC58910 exp=B4A23B32B52CC58910 + 14464.50ns INFO [00014466] * RD COMPARE * port=1 adr=07 act=64761893F5F65A930B exp=64761893F5F65A930B + 14465.50ns INFO [00014467] * RD COMPARE * port=0 adr=01 act=B4A23B32B52CC58910 exp=B4A23B32B52CC58910 + 14465.50ns INFO [00014467] Port=0 WR @03=87F6B5C7E47156C265 + 14466.50ns INFO [00014468] Port=0 RD @03 + 14467.50ns INFO [00014469] Port=1 RD @02 + 14468.50ns INFO [00014470] * RD COMPARE * port=0 adr=03 act=87F6B5C7E47156C265 exp=87F6B5C7E47156C265 + 14468.50ns INFO [00014470] Port=1 RD @06 + 14469.50ns INFO [00014471] * RD COMPARE * port=1 adr=02 act=7ABADA4BC7F50DF7EC exp=7ABADA4BC7F50DF7EC + 14469.50ns INFO [00014471] Port=0 RD @06 + 14469.50ns INFO [00014471] Port=1 RD @07 + 14470.50ns INFO [00014472] * RD COMPARE * port=1 adr=06 act=BB4FA70DBB2EF64542 exp=BB4FA70DBB2EF64542 + 14470.50ns INFO [00014472] Port=0 WR @01=CDC33A99B0813B7CDF + 14470.50ns INFO [00014472] Port=0 RD @00 + 14470.50ns INFO [00014472] Port=1 RD @03 + 14471.50ns INFO [00014473] * RD COMPARE * port=0 adr=06 act=BB4FA70DBB2EF64542 exp=BB4FA70DBB2EF64542 + 14471.50ns INFO [00014473] * RD COMPARE * port=1 adr=07 act=64761893F5F65A930B exp=64761893F5F65A930B + 14471.50ns INFO [00014473] Port=0 WR @04=FD8CDEDD24356D39A1 + 14471.50ns INFO [00014473] Port=0 RD @05 + 14471.50ns INFO [00014473] Port=1 RD @07 + 14472.50ns INFO [00014474] * RD COMPARE * port=0 adr=00 act=2A8663D2A03BD31265 exp=2A8663D2A03BD31265 + 14472.50ns INFO [00014474] * RD COMPARE * port=1 adr=03 act=87F6B5C7E47156C265 exp=87F6B5C7E47156C265 + 14472.50ns INFO [00014474] Port=0 WR @02=8B662C3718F0AFD85F + 14472.50ns INFO [00014474] Port=1 RD @03 + 14473.50ns INFO [00014475] * RD COMPARE * port=0 adr=05 act=DADB3FF91579CD8405 exp=DADB3FF91579CD8405 + 14473.50ns INFO [00014475] * RD COMPARE * port=1 adr=07 act=64761893F5F65A930B exp=64761893F5F65A930B + 14474.50ns INFO [00014476] * RD COMPARE * port=1 adr=03 act=87F6B5C7E47156C265 exp=87F6B5C7E47156C265 + 14475.50ns INFO [00014477] Port=0 WR @01=60E0D2DF5C923D7E5A + 14476.50ns INFO [00014478] Port=1 RD @03 + 14477.50ns INFO [00014479] Port=1 RD @00 + 14478.50ns INFO [00014480] * RD COMPARE * port=1 adr=03 act=87F6B5C7E47156C265 exp=87F6B5C7E47156C265 + 14478.50ns INFO [00014480] Port=0 RD @03 + 14479.50ns INFO [00014481] * RD COMPARE * port=1 adr=00 act=2A8663D2A03BD31265 exp=2A8663D2A03BD31265 + 14480.50ns INFO [00014482] * RD COMPARE * port=0 adr=03 act=87F6B5C7E47156C265 exp=87F6B5C7E47156C265 + 14480.50ns INFO [00014482] Port=0 WR @05=0961B1594CDC54F464 + 14480.50ns INFO [00014482] Port=1 RD @02 + 14481.50ns INFO [00014483] Port=0 RD @06 + 14481.50ns INFO [00014483] Port=1 RD @03 + 14482.50ns INFO [00014484] * RD COMPARE * port=1 adr=02 act=8B662C3718F0AFD85F exp=8B662C3718F0AFD85F + 14482.50ns INFO [00014484] Port=0 RD @01 + 14483.50ns INFO [00014485] * RD COMPARE * port=0 adr=06 act=BB4FA70DBB2EF64542 exp=BB4FA70DBB2EF64542 + 14483.50ns INFO [00014485] * RD COMPARE * port=1 adr=03 act=87F6B5C7E47156C265 exp=87F6B5C7E47156C265 + 14483.50ns INFO [00014485] Port=0 RD @04 + 14484.50ns INFO [00014486] * RD COMPARE * port=0 adr=01 act=60E0D2DF5C923D7E5A exp=60E0D2DF5C923D7E5A + 14484.50ns INFO [00014486] Port=0 WR @00=287BA4F170353327A4 + 14485.50ns INFO [00014487] * RD COMPARE * port=0 adr=04 act=FD8CDEDD24356D39A1 exp=FD8CDEDD24356D39A1 + 14485.50ns INFO [00014487] Port=0 RD @02 + 14486.50ns INFO [00014488] Port=0 WR @00=BCFC84D03DAFA4C14C + 14486.50ns INFO [00014488] Port=0 RD @02 + 14487.50ns INFO [00014489] * RD COMPARE * port=0 adr=02 act=8B662C3718F0AFD85F exp=8B662C3718F0AFD85F + 14488.50ns INFO [00014490] * RD COMPARE * port=0 adr=02 act=8B662C3718F0AFD85F exp=8B662C3718F0AFD85F + 14488.50ns INFO [00014490] Port=1 RD @07 + 14489.50ns INFO [00014491] Port=0 WR @07=C50B1A4763D741FD23 + 14490.50ns INFO [00014492] * RD COMPARE * port=1 adr=07 act=64761893F5F65A930B exp=64761893F5F65A930B + 14490.50ns INFO [00014492] Port=0 WR @01=019E4BEEA9D641127C + 14490.50ns INFO [00014492] Port=1 RD @06 + 14491.50ns INFO [00014493] Port=0 RD @04 + 14491.50ns INFO [00014493] Port=1 RD @00 + 14492.50ns INFO [00014494] * RD COMPARE * port=1 adr=06 act=BB4FA70DBB2EF64542 exp=BB4FA70DBB2EF64542 + 14493.50ns INFO [00014495] * RD COMPARE * port=0 adr=04 act=FD8CDEDD24356D39A1 exp=FD8CDEDD24356D39A1 + 14493.50ns INFO [00014495] * RD COMPARE * port=1 adr=00 act=BCFC84D03DAFA4C14C exp=BCFC84D03DAFA4C14C + 14493.50ns INFO [00014495] Port=1 RD @04 + 14494.50ns INFO [00014496] Port=0 RD @07 + 14495.50ns INFO [00014497] * RD COMPARE * port=1 adr=04 act=FD8CDEDD24356D39A1 exp=FD8CDEDD24356D39A1 + 14495.50ns INFO [00014497] Port=0 RD @00 + 14496.50ns INFO [00014498] * RD COMPARE * port=0 adr=07 act=C50B1A4763D741FD23 exp=C50B1A4763D741FD23 + 14496.50ns INFO [00014498] Port=0 WR @06=385173B453707B5175 + 14496.50ns INFO [00014498] Port=1 RD @02 + 14497.50ns INFO [00014499] * RD COMPARE * port=0 adr=00 act=BCFC84D03DAFA4C14C exp=BCFC84D03DAFA4C14C + 14498.00ns INFO [00014500] [00014500] ...tick... + 14498.50ns INFO [00014500] * RD COMPARE * port=1 adr=02 act=8B662C3718F0AFD85F exp=8B662C3718F0AFD85F + 14498.50ns INFO [00014500] Port=0 WR @02=07B1942D3001FE2221 + 14498.50ns INFO [00014500] Port=1 RD @06 + 14499.50ns INFO [00014501] Port=1 RD @04 + 14500.50ns INFO [00014502] * RD COMPARE * port=1 adr=06 act=385173B453707B5175 exp=385173B453707B5175 + 14500.50ns INFO [00014502] Port=0 WR @01=241D2A1C1940FDC8F4 + 14500.50ns INFO [00014502] Port=0 RD @05 + 14501.50ns INFO [00014503] * RD COMPARE * port=1 adr=04 act=FD8CDEDD24356D39A1 exp=FD8CDEDD24356D39A1 + 14501.50ns INFO [00014503] Port=1 RD @03 + 14502.50ns INFO [00014504] * RD COMPARE * port=0 adr=05 act=0961B1594CDC54F464 exp=0961B1594CDC54F464 + 14502.50ns INFO [00014504] Port=0 WR @03=9766789655ACB65D20 + 14502.50ns INFO [00014504] Port=1 RD @00 + 14503.50ns INFO [00014505] * RD COMPARE * port=1 adr=03 act=87F6B5C7E47156C265 exp=87F6B5C7E47156C265 + 14503.50ns INFO [00014505] Port=0 WR @01=1B539C2063D2974C2E + 14503.50ns INFO [00014505] Port=0 RD @05 + 14504.50ns INFO [00014506] * RD COMPARE * port=1 adr=00 act=BCFC84D03DAFA4C14C exp=BCFC84D03DAFA4C14C + 14504.50ns INFO [00014506] Port=0 WR @03=C7DFACFB1C122D8FD1 + 14505.50ns INFO [00014507] * RD COMPARE * port=0 adr=05 act=0961B1594CDC54F464 exp=0961B1594CDC54F464 + 14505.50ns INFO [00014507] Port=0 WR @00=ADB61FD0B33856B882 + 14506.50ns INFO [00014508] Port=0 WR @04=8C6F504025EF78BAE7 + 14506.50ns INFO [00014508] Port=0 RD @05 + 14508.50ns INFO [00014510] * RD COMPARE * port=0 adr=05 act=0961B1594CDC54F464 exp=0961B1594CDC54F464 + 14508.50ns INFO [00014510] Port=0 RD @00 + 14510.50ns INFO [00014512] * RD COMPARE * port=0 adr=00 act=ADB61FD0B33856B882 exp=ADB61FD0B33856B882 + 14510.50ns INFO [00014512] Port=0 RD @00 + 14510.50ns INFO [00014512] Port=1 RD @05 + 14512.50ns INFO [00014514] * RD COMPARE * port=0 adr=00 act=ADB61FD0B33856B882 exp=ADB61FD0B33856B882 + 14512.50ns INFO [00014514] * RD COMPARE * port=1 adr=05 act=0961B1594CDC54F464 exp=0961B1594CDC54F464 + 14512.50ns INFO [00014514] Port=0 RD @05 + 14513.50ns INFO [00014515] Port=0 RD @02 + 14514.50ns INFO [00014516] * RD COMPARE * port=0 adr=05 act=0961B1594CDC54F464 exp=0961B1594CDC54F464 + 14515.50ns INFO [00014517] * RD COMPARE * port=0 adr=02 act=07B1942D3001FE2221 exp=07B1942D3001FE2221 + 14515.50ns INFO [00014517] Port=0 WR @05=0F1891028E761AAEE3 + 14515.50ns INFO [00014517] Port=1 RD @07 + 14516.50ns INFO [00014518] Port=1 RD @02 + 14517.50ns INFO [00014519] * RD COMPARE * port=1 adr=07 act=C50B1A4763D741FD23 exp=C50B1A4763D741FD23 + 14517.50ns INFO [00014519] Port=0 WR @04=45331B6CB785AF3609 + 14517.50ns INFO [00014519] Port=0 RD @07 + 14518.50ns INFO [00014520] * RD COMPARE * port=1 adr=02 act=07B1942D3001FE2221 exp=07B1942D3001FE2221 + 14518.50ns INFO [00014520] Port=0 WR @05=56016D65B6ACED7115 + 14519.50ns INFO [00014521] * RD COMPARE * port=0 adr=07 act=C50B1A4763D741FD23 exp=C50B1A4763D741FD23 + 14519.50ns INFO [00014521] Port=0 WR @02=A816B5C26ECA41E56A + 14520.50ns INFO [00014522] Port=1 RD @04 + 14521.50ns INFO [00014523] Port=0 WR @04=E797B84324ED488A48 + 14522.50ns INFO [00014524] * RD COMPARE * port=1 adr=04 act=45331B6CB785AF3609 exp=45331B6CB785AF3609 + 14522.50ns INFO [00014524] Port=0 RD @07 + 14523.50ns INFO [00014525] Port=0 RD @01 + 14524.50ns INFO [00014526] * RD COMPARE * port=0 adr=07 act=C50B1A4763D741FD23 exp=C50B1A4763D741FD23 + 14524.50ns INFO [00014526] Port=0 RD @01 + 14525.50ns INFO [00014527] * RD COMPARE * port=0 adr=01 act=1B539C2063D2974C2E exp=1B539C2063D2974C2E + 14525.50ns INFO [00014527] Port=0 WR @01=D67C8E84E03D82E16C + 14525.50ns INFO [00014527] Port=0 RD @04 + 14526.50ns INFO [00014528] * RD COMPARE * port=0 adr=01 act=1B539C2063D2974C2E exp=1B539C2063D2974C2E + 14526.50ns INFO [00014528] Port=0 WR @00=A5F91BF0CA7011DD37 + 14526.50ns INFO [00014528] Port=1 RD @07 + 14527.50ns INFO [00014529] * RD COMPARE * port=0 adr=04 act=E797B84324ED488A48 exp=E797B84324ED488A48 + 14527.50ns INFO [00014529] Port=0 WR @01=CFAE753A1EE06BA305 + 14528.50ns INFO [00014530] * RD COMPARE * port=1 adr=07 act=C50B1A4763D741FD23 exp=C50B1A4763D741FD23 + 14528.50ns INFO [00014530] Port=1 RD @05 + 14529.50ns INFO [00014531] Port=0 RD @01 + 14529.50ns INFO [00014531] Port=1 RD @03 + 14530.50ns INFO [00014532] * RD COMPARE * port=1 adr=05 act=56016D65B6ACED7115 exp=56016D65B6ACED7115 + 14531.50ns INFO [00014533] * RD COMPARE * port=0 adr=01 act=CFAE753A1EE06BA305 exp=CFAE753A1EE06BA305 + 14531.50ns INFO [00014533] * RD COMPARE * port=1 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14531.50ns INFO [00014533] Port=0 WR @04=CCBB2F15BB1C192ACA + 14531.50ns INFO [00014533] Port=0 RD @02 + 14531.50ns INFO [00014533] Port=1 RD @01 + 14532.50ns INFO [00014534] Port=0 WR @05=727E606B57E906E36D + 14532.50ns INFO [00014534] Port=0 RD @06 + 14533.50ns INFO [00014535] * RD COMPARE * port=0 adr=02 act=A816B5C26ECA41E56A exp=A816B5C26ECA41E56A + 14533.50ns INFO [00014535] * RD COMPARE * port=1 adr=01 act=CFAE753A1EE06BA305 exp=CFAE753A1EE06BA305 + 14533.50ns INFO [00014535] Port=0 RD @02 + 14533.50ns INFO [00014535] Port=1 RD @00 + 14534.50ns INFO [00014536] * RD COMPARE * port=0 adr=06 act=385173B453707B5175 exp=385173B453707B5175 + 14535.50ns INFO [00014537] * RD COMPARE * port=0 adr=02 act=A816B5C26ECA41E56A exp=A816B5C26ECA41E56A + 14535.50ns INFO [00014537] * RD COMPARE * port=1 adr=00 act=A5F91BF0CA7011DD37 exp=A5F91BF0CA7011DD37 + 14535.50ns INFO [00014537] Port=1 RD @03 + 14536.50ns INFO [00014538] Port=0 WR @04=67221F285F340A40B3 + 14537.50ns INFO [00014539] * RD COMPARE * port=1 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14537.50ns INFO [00014539] Port=0 RD @07 + 14539.50ns INFO [00014541] * RD COMPARE * port=0 adr=07 act=C50B1A4763D741FD23 exp=C50B1A4763D741FD23 + 14539.50ns INFO [00014541] Port=0 RD @05 + 14539.50ns INFO [00014541] Port=1 RD @04 + 14541.50ns INFO [00014543] * RD COMPARE * port=0 adr=05 act=727E606B57E906E36D exp=727E606B57E906E36D + 14541.50ns INFO [00014543] * RD COMPARE * port=1 adr=04 act=67221F285F340A40B3 exp=67221F285F340A40B3 + 14542.50ns INFO [00014544] Port=0 WR @05=F5CF005146267E74BE + 14544.50ns INFO [00014546] Port=1 RD @05 + 14546.50ns INFO [00014548] * RD COMPARE * port=1 adr=05 act=F5CF005146267E74BE exp=F5CF005146267E74BE + 14546.50ns INFO [00014548] Port=1 RD @05 + 14548.50ns INFO [00014550] * RD COMPARE * port=1 adr=05 act=F5CF005146267E74BE exp=F5CF005146267E74BE + 14548.50ns INFO [00014550] Port=1 RD @02 + 14549.50ns INFO [00014551] Port=0 WR @02=6EC3BFEDD99944565B + 14550.50ns INFO [00014552] * RD COMPARE * port=1 adr=02 act=A816B5C26ECA41E56A exp=A816B5C26ECA41E56A + 14550.50ns INFO [00014552] Port=0 RD @01 + 14551.50ns INFO [00014553] Port=0 WR @02=F490A6B89BAE25E929 + 14551.50ns INFO [00014553] Port=0 RD @05 + 14551.50ns INFO [00014553] Port=1 RD @03 + 14552.50ns INFO [00014554] * RD COMPARE * port=0 adr=01 act=CFAE753A1EE06BA305 exp=CFAE753A1EE06BA305 + 14552.50ns INFO [00014554] Port=0 WR @02=8A7C616CE0876A8C75 + 14552.50ns INFO [00014554] Port=0 RD @07 + 14552.50ns INFO [00014554] Port=1 RD @01 + 14553.50ns INFO [00014555] * RD COMPARE * port=0 adr=05 act=F5CF005146267E74BE exp=F5CF005146267E74BE + 14553.50ns INFO [00014555] * RD COMPARE * port=1 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14553.50ns INFO [00014555] Port=0 WR @06=DDB5FA911E278740D7 + 14553.50ns INFO [00014555] Port=0 RD @05 + 14554.50ns INFO [00014556] * RD COMPARE * port=0 adr=07 act=C50B1A4763D741FD23 exp=C50B1A4763D741FD23 + 14554.50ns INFO [00014556] * RD COMPARE * port=1 adr=01 act=CFAE753A1EE06BA305 exp=CFAE753A1EE06BA305 + 14555.50ns INFO [00014557] * RD COMPARE * port=0 adr=05 act=F5CF005146267E74BE exp=F5CF005146267E74BE + 14555.50ns INFO [00014557] Port=0 WR @02=4F22D86B61DF127439 + 14555.50ns INFO [00014557] Port=0 RD @06 + 14555.50ns INFO [00014557] Port=1 RD @04 + 14556.50ns INFO [00014558] Port=0 RD @01 + 14557.50ns INFO [00014559] * RD COMPARE * port=0 adr=06 act=DDB5FA911E278740D7 exp=DDB5FA911E278740D7 + 14557.50ns INFO [00014559] * RD COMPARE * port=1 adr=04 act=67221F285F340A40B3 exp=67221F285F340A40B3 + 14557.50ns INFO [00014559] Port=0 WR @04=9C55BC355855A8E024 + 14557.50ns INFO [00014559] Port=0 RD @05 + 14557.50ns INFO [00014559] Port=1 RD @03 + 14558.50ns INFO [00014560] * RD COMPARE * port=0 adr=01 act=CFAE753A1EE06BA305 exp=CFAE753A1EE06BA305 + 14558.50ns INFO [00014560] Port=0 WR @01=84AA1FA56B239FD0CF + 14558.50ns INFO [00014560] Port=0 RD @07 + 14558.50ns INFO [00014560] Port=1 RD @03 + 14559.50ns INFO [00014561] * RD COMPARE * port=0 adr=05 act=F5CF005146267E74BE exp=F5CF005146267E74BE + 14559.50ns INFO [00014561] * RD COMPARE * port=1 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14559.50ns INFO [00014561] Port=0 RD @03 + 14559.50ns INFO [00014561] Port=1 RD @06 + 14560.50ns INFO [00014562] * RD COMPARE * port=0 adr=07 act=C50B1A4763D741FD23 exp=C50B1A4763D741FD23 + 14560.50ns INFO [00014562] * RD COMPARE * port=1 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14560.50ns INFO [00014562] Port=0 WR @01=0469EC8ABF66DC8691 + 14561.50ns INFO [00014563] * RD COMPARE * port=0 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14561.50ns INFO [00014563] * RD COMPARE * port=1 adr=06 act=DDB5FA911E278740D7 exp=DDB5FA911E278740D7 + 14562.50ns INFO [00014564] Port=0 WR @02=025659F84C333DFC9E + 14562.50ns INFO [00014564] Port=0 RD @03 + 14562.50ns INFO [00014564] Port=1 RD @05 + 14563.50ns INFO [00014565] Port=0 WR @04=BBC7E094387106579D + 14564.50ns INFO [00014566] * RD COMPARE * port=0 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14564.50ns INFO [00014566] * RD COMPARE * port=1 adr=05 act=F5CF005146267E74BE exp=F5CF005146267E74BE + 14564.50ns INFO [00014566] Port=0 RD @01 + 14565.50ns INFO [00014567] Port=0 RD @06 + 14565.50ns INFO [00014567] Port=1 RD @05 + 14566.50ns INFO [00014568] * RD COMPARE * port=0 adr=01 act=0469EC8ABF66DC8691 exp=0469EC8ABF66DC8691 + 14566.50ns INFO [00014568] Port=1 RD @07 + 14567.50ns INFO [00014569] * RD COMPARE * port=0 adr=06 act=DDB5FA911E278740D7 exp=DDB5FA911E278740D7 + 14567.50ns INFO [00014569] * RD COMPARE * port=1 adr=05 act=F5CF005146267E74BE exp=F5CF005146267E74BE + 14567.50ns INFO [00014569] Port=0 WR @01=BFA18364F2190D3ABF + 14567.50ns INFO [00014569] Port=0 RD @03 + 14567.50ns INFO [00014569] Port=1 RD @05 + 14568.50ns INFO [00014570] * RD COMPARE * port=1 adr=07 act=C50B1A4763D741FD23 exp=C50B1A4763D741FD23 + 14568.50ns INFO [00014570] Port=0 WR @04=F71878772AB3A3C8F3 + 14568.50ns INFO [00014570] Port=0 RD @00 + 14569.50ns INFO [00014571] * RD COMPARE * port=0 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14569.50ns INFO [00014571] * RD COMPARE * port=1 adr=05 act=F5CF005146267E74BE exp=F5CF005146267E74BE + 14570.50ns INFO [00014572] * RD COMPARE * port=0 adr=00 act=A5F91BF0CA7011DD37 exp=A5F91BF0CA7011DD37 + 14570.50ns INFO [00014572] Port=0 WR @04=DB91C58D76017F27B0 + 14570.50ns INFO [00014572] Port=1 RD @02 + 14571.50ns INFO [00014573] Port=0 WR @07=81D52FCA25D638D1A0 + 14571.50ns INFO [00014573] Port=0 RD @01 + 14571.50ns INFO [00014573] Port=1 RD @03 + 14572.50ns INFO [00014574] * RD COMPARE * port=1 adr=02 act=025659F84C333DFC9E exp=025659F84C333DFC9E + 14572.50ns INFO [00014574] Port=0 RD @07 + 14573.50ns INFO [00014575] * RD COMPARE * port=0 adr=01 act=BFA18364F2190D3ABF exp=BFA18364F2190D3ABF + 14573.50ns INFO [00014575] * RD COMPARE * port=1 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14573.50ns INFO [00014575] Port=1 RD @06 + 14574.50ns INFO [00014576] * RD COMPARE * port=0 adr=07 act=81D52FCA25D638D1A0 exp=81D52FCA25D638D1A0 + 14574.50ns INFO [00014576] Port=0 WR @01=5C15F1D9C5436ACFDC + 14574.50ns INFO [00014576] Port=0 RD @07 + 14574.50ns INFO [00014576] Port=1 RD @04 + 14575.50ns INFO [00014577] * RD COMPARE * port=1 adr=06 act=DDB5FA911E278740D7 exp=DDB5FA911E278740D7 + 14575.50ns INFO [00014577] Port=0 WR @02=3A840CCC0C748B9CE7 + 14576.50ns INFO [00014578] * RD COMPARE * port=0 adr=07 act=81D52FCA25D638D1A0 exp=81D52FCA25D638D1A0 + 14576.50ns INFO [00014578] * RD COMPARE * port=1 adr=04 act=DB91C58D76017F27B0 exp=DB91C58D76017F27B0 + 14577.50ns INFO [00014579] Port=0 WR @01=BDDF04F91FD67834EC + 14577.50ns INFO [00014579] Port=0 RD @07 + 14579.50ns INFO [00014581] * RD COMPARE * port=0 adr=07 act=81D52FCA25D638D1A0 exp=81D52FCA25D638D1A0 + 14579.50ns INFO [00014581] Port=0 WR @04=6E8FA17CDD1FDB1547 + 14580.50ns INFO [00014582] Port=0 RD @03 + 14581.50ns INFO [00014583] Port=0 WR @04=5C368D0202E4665F06 + 14582.50ns INFO [00014584] * RD COMPARE * port=0 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14582.50ns INFO [00014584] Port=0 RD @00 + 14582.50ns INFO [00014584] Port=1 RD @05 + 14583.50ns INFO [00014585] Port=0 WR @00=6EB69413C45BD1A8D8 + 14584.50ns INFO [00014586] * RD COMPARE * port=0 adr=00 act=A5F91BF0CA7011DD37 exp=A5F91BF0CA7011DD37 + 14584.50ns INFO [00014586] * RD COMPARE * port=1 adr=05 act=F5CF005146267E74BE exp=F5CF005146267E74BE + 14584.50ns INFO [00014586] Port=0 RD @07 + 14585.50ns INFO [00014587] Port=0 RD @07 + 14586.50ns INFO [00014588] * RD COMPARE * port=0 adr=07 act=81D52FCA25D638D1A0 exp=81D52FCA25D638D1A0 + 14587.50ns INFO [00014589] * RD COMPARE * port=0 adr=07 act=81D52FCA25D638D1A0 exp=81D52FCA25D638D1A0 + 14587.50ns INFO [00014589] Port=1 RD @06 + 14588.50ns INFO [00014590] Port=0 WR @05=39459025C3CF0FEF90 + 14589.50ns INFO [00014591] * RD COMPARE * port=1 adr=06 act=DDB5FA911E278740D7 exp=DDB5FA911E278740D7 + 14589.50ns INFO [00014591] Port=0 WR @02=4FCAE74EE30581442D + 14589.50ns INFO [00014591] Port=0 RD @04 + 14589.50ns INFO [00014591] Port=1 RD @01 + 14590.50ns INFO [00014592] Port=0 RD @02 + 14591.50ns INFO [00014593] * RD COMPARE * port=0 adr=04 act=5C368D0202E4665F06 exp=5C368D0202E4665F06 + 14591.50ns INFO [00014593] * RD COMPARE * port=1 adr=01 act=BDDF04F91FD67834EC exp=BDDF04F91FD67834EC + 14591.50ns INFO [00014593] Port=0 WR @06=CE7CFDAD473FF90B56 + 14591.50ns INFO [00014593] Port=1 RD @04 + 14592.50ns INFO [00014594] * RD COMPARE * port=0 adr=02 act=4FCAE74EE30581442D exp=4FCAE74EE30581442D + 14592.50ns INFO [00014594] Port=0 RD @00 + 14592.50ns INFO [00014594] Port=1 RD @02 + 14593.50ns INFO [00014595] * RD COMPARE * port=1 adr=04 act=5C368D0202E4665F06 exp=5C368D0202E4665F06 + 14594.50ns INFO [00014596] * RD COMPARE * port=0 adr=00 act=6EB69413C45BD1A8D8 exp=6EB69413C45BD1A8D8 + 14594.50ns INFO [00014596] * RD COMPARE * port=1 adr=02 act=4FCAE74EE30581442D exp=4FCAE74EE30581442D + 14594.50ns INFO [00014596] Port=0 WR @07=498E8E364A25A648CD + 14594.50ns INFO [00014596] Port=1 RD @02 + 14595.50ns INFO [00014597] Port=1 RD @03 + 14596.50ns INFO [00014598] * RD COMPARE * port=1 adr=02 act=4FCAE74EE30581442D exp=4FCAE74EE30581442D + 14596.50ns INFO [00014598] Port=0 WR @04=05B44526A51A2CB3D8 + 14597.50ns INFO [00014599] * RD COMPARE * port=1 adr=03 act=C7DFACFB1C122D8FD1 exp=C7DFACFB1C122D8FD1 + 14598.00ns INFO [00014600] [00014600] ...tick... + 14598.50ns INFO [00014600] Port=1 RD @06 + 14599.50ns INFO [00014601] Port=0 RD @07 + 14599.50ns INFO [00014601] Port=1 RD @05 + 14600.50ns INFO [00014602] * RD COMPARE * port=1 adr=06 act=CE7CFDAD473FF90B56 exp=CE7CFDAD473FF90B56 + 14601.50ns INFO [00014603] * RD COMPARE * port=0 adr=07 act=498E8E364A25A648CD exp=498E8E364A25A648CD + 14601.50ns INFO [00014603] * RD COMPARE * port=1 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14601.50ns INFO [00014603] Port=0 RD @06 + 14602.50ns INFO [00014604] Port=0 RD @04 + 14603.50ns INFO [00014605] * RD COMPARE * port=0 adr=06 act=CE7CFDAD473FF90B56 exp=CE7CFDAD473FF90B56 + 14603.50ns INFO [00014605] Port=0 RD @04 + 14604.50ns INFO [00014606] * RD COMPARE * port=0 adr=04 act=05B44526A51A2CB3D8 exp=05B44526A51A2CB3D8 + 14604.50ns INFO [00014606] Port=1 RD @00 + 14605.50ns INFO [00014607] * RD COMPARE * port=0 adr=04 act=05B44526A51A2CB3D8 exp=05B44526A51A2CB3D8 + 14605.50ns INFO [00014607] Port=0 WR @03=A4B2C67767E15DC400 + 14606.50ns INFO [00014608] * RD COMPARE * port=1 adr=00 act=6EB69413C45BD1A8D8 exp=6EB69413C45BD1A8D8 + 14606.50ns INFO [00014608] Port=0 WR @01=F29E54C5C44F8E6E51 + 14606.50ns INFO [00014608] Port=0 RD @03 + 14607.50ns INFO [00014609] Port=0 WR @00=E828C466234EDB3849 + 14607.50ns INFO [00014609] Port=1 RD @05 + 14608.50ns INFO [00014610] * RD COMPARE * port=0 adr=03 act=A4B2C67767E15DC400 exp=A4B2C67767E15DC400 + 14608.50ns INFO [00014610] Port=0 RD @01 + 14609.50ns INFO [00014611] * RD COMPARE * port=1 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14609.50ns INFO [00014611] Port=0 WR @02=7EA2A1A94EBC8F63B6 + 14609.50ns INFO [00014611] Port=0 RD @00 + 14610.50ns INFO [00014612] * RD COMPARE * port=0 adr=01 act=F29E54C5C44F8E6E51 exp=F29E54C5C44F8E6E51 + 14611.50ns INFO [00014613] * RD COMPARE * port=0 adr=00 act=E828C466234EDB3849 exp=E828C466234EDB3849 + 14612.50ns INFO [00014614] Port=1 RD @00 + 14613.50ns INFO [00014615] Port=0 WR @07=49D31018436C794F80 + 14614.50ns INFO [00014616] * RD COMPARE * port=1 adr=00 act=E828C466234EDB3849 exp=E828C466234EDB3849 + 14614.50ns INFO [00014616] Port=0 WR @01=BDF3062F4FCEF5C2AA + 14614.50ns INFO [00014616] Port=1 RD @00 + 14615.50ns INFO [00014617] Port=0 RD @04 + 14615.50ns INFO [00014617] Port=1 RD @04 + 14616.50ns INFO [00014618] * RD COMPARE * port=1 adr=00 act=E828C466234EDB3849 exp=E828C466234EDB3849 + 14617.50ns INFO [00014619] * RD COMPARE * port=0 adr=04 act=05B44526A51A2CB3D8 exp=05B44526A51A2CB3D8 + 14617.50ns INFO [00014619] * RD COMPARE * port=1 adr=04 act=05B44526A51A2CB3D8 exp=05B44526A51A2CB3D8 + 14617.50ns INFO [00014619] Port=0 WR @07=F64D4D34BD718E8E93 + 14617.50ns INFO [00014619] Port=0 RD @02 + 14618.50ns INFO [00014620] Port=0 RD @02 + 14619.50ns INFO [00014621] * RD COMPARE * port=0 adr=02 act=7EA2A1A94EBC8F63B6 exp=7EA2A1A94EBC8F63B6 + 14620.50ns INFO [00014622] * RD COMPARE * port=0 adr=02 act=7EA2A1A94EBC8F63B6 exp=7EA2A1A94EBC8F63B6 + 14620.50ns INFO [00014622] Port=0 WR @02=CA188C71AC63477AFE + 14620.50ns INFO [00014622] Port=0 RD @05 + 14620.50ns INFO [00014622] Port=1 RD @04 + 14621.50ns INFO [00014623] Port=0 RD @07 + 14621.50ns INFO [00014623] Port=1 RD @06 + 14622.50ns INFO [00014624] * RD COMPARE * port=0 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14622.50ns INFO [00014624] * RD COMPARE * port=1 adr=04 act=05B44526A51A2CB3D8 exp=05B44526A51A2CB3D8 + 14622.50ns INFO [00014624] Port=0 WR @01=904FAA6A38DCAB86F8 + 14622.50ns INFO [00014624] Port=1 RD @03 + 14623.50ns INFO [00014625] * RD COMPARE * port=0 adr=07 act=F64D4D34BD718E8E93 exp=F64D4D34BD718E8E93 + 14623.50ns INFO [00014625] * RD COMPARE * port=1 adr=06 act=CE7CFDAD473FF90B56 exp=CE7CFDAD473FF90B56 + 14623.50ns INFO [00014625] Port=1 RD @04 + 14624.50ns INFO [00014626] * RD COMPARE * port=1 adr=03 act=A4B2C67767E15DC400 exp=A4B2C67767E15DC400 + 14624.50ns INFO [00014626] Port=0 WR @02=744CD932489DCD396C + 14624.50ns INFO [00014626] Port=0 RD @01 + 14625.50ns INFO [00014627] * RD COMPARE * port=1 adr=04 act=05B44526A51A2CB3D8 exp=05B44526A51A2CB3D8 + 14625.50ns INFO [00014627] Port=0 RD @05 + 14626.50ns INFO [00014628] * RD COMPARE * port=0 adr=01 act=904FAA6A38DCAB86F8 exp=904FAA6A38DCAB86F8 + 14626.50ns INFO [00014628] Port=0 WR @06=4700B4A69D51FD75A8 + 14626.50ns INFO [00014628] Port=0 RD @01 + 14627.50ns INFO [00014629] * RD COMPARE * port=0 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14627.50ns INFO [00014629] Port=0 RD @01 + 14628.50ns INFO [00014630] * RD COMPARE * port=0 adr=01 act=904FAA6A38DCAB86F8 exp=904FAA6A38DCAB86F8 + 14628.50ns INFO [00014630] Port=0 WR @07=F534045CB4C9DBFB64 + 14628.50ns INFO [00014630] Port=1 RD @03 + 14629.50ns INFO [00014631] * RD COMPARE * port=0 adr=01 act=904FAA6A38DCAB86F8 exp=904FAA6A38DCAB86F8 + 14629.50ns INFO [00014631] Port=1 RD @01 + 14630.50ns INFO [00014632] * RD COMPARE * port=1 adr=03 act=A4B2C67767E15DC400 exp=A4B2C67767E15DC400 + 14630.50ns INFO [00014632] Port=0 WR @03=30ADE7E5B5BD4EA344 + 14631.50ns INFO [00014633] * RD COMPARE * port=1 adr=01 act=904FAA6A38DCAB86F8 exp=904FAA6A38DCAB86F8 + 14631.50ns INFO [00014633] Port=0 RD @03 + 14633.50ns INFO [00014635] * RD COMPARE * port=0 adr=03 act=30ADE7E5B5BD4EA344 exp=30ADE7E5B5BD4EA344 + 14633.50ns INFO [00014635] Port=0 WR @04=83AA41BA301175F4EC + 14633.50ns INFO [00014635] Port=0 RD @05 + 14633.50ns INFO [00014635] Port=1 RD @01 + 14634.50ns INFO [00014636] Port=0 WR @06=277CDD49A5460670FB + 14634.50ns INFO [00014636] Port=0 RD @05 + 14635.50ns INFO [00014637] * RD COMPARE * port=0 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14635.50ns INFO [00014637] * RD COMPARE * port=1 adr=01 act=904FAA6A38DCAB86F8 exp=904FAA6A38DCAB86F8 + 14635.50ns INFO [00014637] Port=0 WR @07=304D2F262B100CCA90 + 14636.50ns INFO [00014638] * RD COMPARE * port=0 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14636.50ns INFO [00014638] Port=0 WR @07=E1EDD6B994E82EC8A8 + 14636.50ns INFO [00014638] Port=0 RD @00 + 14637.50ns INFO [00014639] Port=0 WR @00=CC51C931595EF4E03A + 14637.50ns INFO [00014639] Port=0 RD @06 + 14638.50ns INFO [00014640] * RD COMPARE * port=0 adr=00 act=E828C466234EDB3849 exp=E828C466234EDB3849 + 14638.50ns INFO [00014640] Port=1 RD @07 + 14639.50ns INFO [00014641] * RD COMPARE * port=0 adr=06 act=277CDD49A5460670FB exp=277CDD49A5460670FB + 14640.50ns INFO [00014642] * RD COMPARE * port=1 adr=07 act=E1EDD6B994E82EC8A8 exp=E1EDD6B994E82EC8A8 + 14640.50ns INFO [00014642] Port=0 WR @01=8A28EC417BB61B59D5 + 14640.50ns INFO [00014642] Port=1 RD @02 + 14642.50ns INFO [00014644] * RD COMPARE * port=1 adr=02 act=744CD932489DCD396C exp=744CD932489DCD396C + 14643.50ns INFO [00014645] Port=0 WR @07=45D762383A30633C30 + 14643.50ns INFO [00014645] Port=0 RD @06 + 14644.50ns INFO [00014646] Port=0 WR @01=9882D41EE40986C67E + 14645.50ns INFO [00014647] * RD COMPARE * port=0 adr=06 act=277CDD49A5460670FB exp=277CDD49A5460670FB + 14645.50ns INFO [00014647] Port=0 WR @01=46749CD84937AE8595 + 14645.50ns INFO [00014647] Port=1 RD @05 + 14646.50ns INFO [00014648] Port=0 WR @04=56C261CBE9C1E158CC + 14647.50ns INFO [00014649] * RD COMPARE * port=1 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14647.50ns INFO [00014649] Port=0 RD @00 + 14649.50ns INFO [00014651] * RD COMPARE * port=0 adr=00 act=CC51C931595EF4E03A exp=CC51C931595EF4E03A + 14650.50ns INFO [00014652] Port=1 RD @04 + 14651.50ns INFO [00014653] Port=1 RD @00 + 14652.50ns INFO [00014654] * RD COMPARE * port=1 adr=04 act=56C261CBE9C1E158CC exp=56C261CBE9C1E158CC + 14652.50ns INFO [00014654] Port=0 WR @03=AC4B7C48DA0657C1F1 + 14652.50ns INFO [00014654] Port=0 RD @02 + 14653.50ns INFO [00014655] * RD COMPARE * port=1 adr=00 act=CC51C931595EF4E03A exp=CC51C931595EF4E03A + 14653.50ns INFO [00014655] Port=0 RD @01 + 14653.50ns INFO [00014655] Port=1 RD @04 + 14654.50ns INFO [00014656] * RD COMPARE * port=0 adr=02 act=744CD932489DCD396C exp=744CD932489DCD396C + 14654.50ns INFO [00014656] Port=0 WR @02=9C107C007A478C5264 + 14654.50ns INFO [00014656] Port=0 RD @05 + 14654.50ns INFO [00014656] Port=1 RD @00 + 14655.50ns INFO [00014657] * RD COMPARE * port=0 adr=01 act=46749CD84937AE8595 exp=46749CD84937AE8595 + 14655.50ns INFO [00014657] * RD COMPARE * port=1 adr=04 act=56C261CBE9C1E158CC exp=56C261CBE9C1E158CC + 14655.50ns INFO [00014657] Port=1 RD @01 + 14656.50ns INFO [00014658] * RD COMPARE * port=0 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14656.50ns INFO [00014658] * RD COMPARE * port=1 adr=00 act=CC51C931595EF4E03A exp=CC51C931595EF4E03A + 14656.50ns INFO [00014658] Port=0 WR @06=2F182C1ED13DA44DDF + 14657.50ns INFO [00014659] * RD COMPARE * port=1 adr=01 act=46749CD84937AE8595 exp=46749CD84937AE8595 + 14657.50ns INFO [00014659] Port=0 RD @00 + 14657.50ns INFO [00014659] Port=1 RD @00 + 14658.50ns INFO [00014660] Port=0 WR @07=95880206056DE9FBE9 + 14658.50ns INFO [00014660] Port=0 RD @02 + 14658.50ns INFO [00014660] Port=1 RD @03 + 14659.50ns INFO [00014661] * RD COMPARE * port=0 adr=00 act=CC51C931595EF4E03A exp=CC51C931595EF4E03A + 14659.50ns INFO [00014661] * RD COMPARE * port=1 adr=00 act=CC51C931595EF4E03A exp=CC51C931595EF4E03A + 14659.50ns INFO [00014661] Port=1 RD @07 + 14660.50ns INFO [00014662] * RD COMPARE * port=0 adr=02 act=9C107C007A478C5264 exp=9C107C007A478C5264 + 14660.50ns INFO [00014662] * RD COMPARE * port=1 adr=03 act=AC4B7C48DA0657C1F1 exp=AC4B7C48DA0657C1F1 + 14661.50ns INFO [00014663] * RD COMPARE * port=1 adr=07 act=95880206056DE9FBE9 exp=95880206056DE9FBE9 + 14661.50ns INFO [00014663] Port=0 WR @03=02E3811EB66D164C11 + 14662.50ns INFO [00014664] Port=0 RD @03 + 14664.50ns INFO [00014666] * RD COMPARE * port=0 adr=03 act=02E3811EB66D164C11 exp=02E3811EB66D164C11 + 14664.50ns INFO [00014666] Port=0 WR @04=6DA9043315EF7870B9 + 14664.50ns INFO [00014666] Port=0 RD @01 + 14665.50ns INFO [00014667] Port=0 WR @03=71838E6A81B4F73995 + 14666.50ns INFO [00014668] * RD COMPARE * port=0 adr=01 act=46749CD84937AE8595 exp=46749CD84937AE8595 + 14667.50ns INFO [00014669] Port=0 WR @06=87D16F65B50090C724 + 14668.50ns INFO [00014670] Port=0 RD @06 + 14669.50ns INFO [00014671] Port=0 WR @03=FBDE30C484FF2460B8 + 14669.50ns INFO [00014671] Port=0 RD @07 + 14670.50ns INFO [00014672] * RD COMPARE * port=0 adr=06 act=87D16F65B50090C724 exp=87D16F65B50090C724 + 14670.50ns INFO [00014672] Port=0 WR @07=AF7E7BC91DC4A3C8A2 + 14671.50ns INFO [00014673] * RD COMPARE * port=0 adr=07 act=95880206056DE9FBE9 exp=95880206056DE9FBE9 + 14672.50ns INFO [00014674] Port=0 WR @00=61C398AEAA2B56DC8D + 14673.50ns INFO [00014675] Port=0 WR @03=2D7D8657281DBE428E + 14673.50ns INFO [00014675] Port=0 RD @02 + 14673.50ns INFO [00014675] Port=1 RD @04 + 14675.50ns INFO [00014677] * RD COMPARE * port=0 adr=02 act=9C107C007A478C5264 exp=9C107C007A478C5264 + 14675.50ns INFO [00014677] * RD COMPARE * port=1 adr=04 act=6DA9043315EF7870B9 exp=6DA9043315EF7870B9 + 14675.50ns INFO [00014677] Port=1 RD @00 + 14677.50ns INFO [00014679] * RD COMPARE * port=1 adr=00 act=61C398AEAA2B56DC8D exp=61C398AEAA2B56DC8D + 14677.50ns INFO [00014679] Port=0 RD @01 + 14677.50ns INFO [00014679] Port=1 RD @07 + 14679.50ns INFO [00014681] * RD COMPARE * port=0 adr=01 act=46749CD84937AE8595 exp=46749CD84937AE8595 + 14679.50ns INFO [00014681] * RD COMPARE * port=1 adr=07 act=AF7E7BC91DC4A3C8A2 exp=AF7E7BC91DC4A3C8A2 + 14679.50ns INFO [00014681] Port=1 RD @05 + 14681.50ns INFO [00014683] * RD COMPARE * port=1 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14681.50ns INFO [00014683] Port=0 WR @06=7C8A989875BDF66BAC + 14681.50ns INFO [00014683] Port=1 RD @01 + 14683.50ns INFO [00014685] * RD COMPARE * port=1 adr=01 act=46749CD84937AE8595 exp=46749CD84937AE8595 + 14683.50ns INFO [00014685] Port=1 RD @04 + 14684.50ns INFO [00014686] Port=0 WR @06=01E5C83B8A16475C03 + 14685.50ns INFO [00014687] * RD COMPARE * port=1 adr=04 act=6DA9043315EF7870B9 exp=6DA9043315EF7870B9 + 14685.50ns INFO [00014687] Port=0 WR @04=5D01E8C27161F7ABAF + 14686.50ns INFO [00014688] Port=0 WR @04=1B367CCBC366A9D467 + 14686.50ns INFO [00014688] Port=1 RD @02 + 14687.50ns INFO [00014689] Port=0 RD @00 + 14688.50ns INFO [00014690] * RD COMPARE * port=1 adr=02 act=9C107C007A478C5264 exp=9C107C007A478C5264 + 14688.50ns INFO [00014690] Port=0 RD @00 + 14688.50ns INFO [00014690] Port=1 RD @05 + 14689.50ns INFO [00014691] * RD COMPARE * port=0 adr=00 act=61C398AEAA2B56DC8D exp=61C398AEAA2B56DC8D + 14689.50ns INFO [00014691] Port=0 WR @06=F851A9B4F75E41AC66 + 14689.50ns INFO [00014691] Port=1 RD @07 + 14690.50ns INFO [00014692] * RD COMPARE * port=0 adr=00 act=61C398AEAA2B56DC8D exp=61C398AEAA2B56DC8D + 14690.50ns INFO [00014692] * RD COMPARE * port=1 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14691.50ns INFO [00014693] * RD COMPARE * port=1 adr=07 act=AF7E7BC91DC4A3C8A2 exp=AF7E7BC91DC4A3C8A2 + 14691.50ns INFO [00014693] Port=0 RD @05 + 14691.50ns INFO [00014693] Port=1 RD @06 + 14692.50ns INFO [00014694] Port=0 RD @06 + 14692.50ns INFO [00014694] Port=1 RD @01 + 14693.50ns INFO [00014695] * RD COMPARE * port=0 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14693.50ns INFO [00014695] * RD COMPARE * port=1 adr=06 act=F851A9B4F75E41AC66 exp=F851A9B4F75E41AC66 + 14694.50ns INFO [00014696] * RD COMPARE * port=0 adr=06 act=F851A9B4F75E41AC66 exp=F851A9B4F75E41AC66 + 14694.50ns INFO [00014696] * RD COMPARE * port=1 adr=01 act=46749CD84937AE8595 exp=46749CD84937AE8595 + 14694.50ns INFO [00014696] Port=0 WR @02=7DEB2235D82DA57F1D + 14695.50ns INFO [00014697] Port=0 WR @07=D48ED74E5B12BD519F + 14695.50ns INFO [00014697] Port=0 RD @05 + 14695.50ns INFO [00014697] Port=1 RD @04 + 14696.50ns INFO [00014698] Port=0 WR @01=70FD482FFC01991E66 + 14696.50ns INFO [00014698] Port=1 RD @02 + 14697.50ns INFO [00014699] * RD COMPARE * port=0 adr=05 act=39459025C3CF0FEF90 exp=39459025C3CF0FEF90 + 14697.50ns INFO [00014699] * RD COMPARE * port=1 adr=04 act=1B367CCBC366A9D467 exp=1B367CCBC366A9D467 + 14697.50ns INFO [00014699] Port=0 WR @04=1EEF72D05B0C3D4F2B + 14698.00ns INFO [00014700] [00014700] ...tick... + 14698.50ns INFO [00014700] * RD COMPARE * port=1 adr=02 act=7DEB2235D82DA57F1D exp=7DEB2235D82DA57F1D + 14698.50ns INFO [00014700] Port=1 RD @00 + 14699.50ns INFO [00014701] Port=0 WR @05=C45012E7310AAFA6BF + 14699.50ns INFO [00014701] Port=1 RD @03 + 14700.50ns INFO [00014702] * RD COMPARE * port=1 adr=00 act=61C398AEAA2B56DC8D exp=61C398AEAA2B56DC8D + 14700.50ns INFO [00014702] Port=0 WR @00=D1AE137B719C993024 + 14701.50ns INFO [00014703] * RD COMPARE * port=1 adr=03 act=2D7D8657281DBE428E exp=2D7D8657281DBE428E + 14701.50ns INFO [00014703] Port=0 WR @02=32B93A97A06C768844 + 14701.50ns INFO [00014703] Port=0 RD @05 + 14702.50ns INFO [00014704] Port=1 RD @07 + 14703.50ns INFO [00014705] * RD COMPARE * port=0 adr=05 act=C45012E7310AAFA6BF exp=C45012E7310AAFA6BF + 14703.50ns INFO [00014705] Port=0 WR @05=252B9777933F1F44EC + 14703.50ns INFO [00014705] Port=0 RD @02 + 14704.50ns INFO [00014706] * RD COMPARE * port=1 adr=07 act=D48ED74E5B12BD519F exp=D48ED74E5B12BD519F + 14705.50ns INFO [00014707] * RD COMPARE * port=0 adr=02 act=32B93A97A06C768844 exp=32B93A97A06C768844 + 14705.50ns INFO [00014707] Port=0 WR @02=D7E27C9E068918065C + 14705.50ns INFO [00014707] Port=0 RD @05 + 14706.50ns INFO [00014708] Port=1 RD @03 + 14707.50ns INFO [00014709] * RD COMPARE * port=0 adr=05 act=252B9777933F1F44EC exp=252B9777933F1F44EC + 14708.50ns INFO [00014710] * RD COMPARE * port=1 adr=03 act=2D7D8657281DBE428E exp=2D7D8657281DBE428E + 14708.50ns INFO [00014710] Port=0 RD @01 + 14708.50ns INFO [00014710] Port=1 RD @03 + 14710.50ns INFO [00014712] * RD COMPARE * port=0 adr=01 act=70FD482FFC01991E66 exp=70FD482FFC01991E66 + 14710.50ns INFO [00014712] * RD COMPARE * port=1 adr=03 act=2D7D8657281DBE428E exp=2D7D8657281DBE428E + 14710.50ns INFO [00014712] Port=0 WR @02=F30E80E2B72F201C04 + 14710.50ns INFO [00014712] Port=1 RD @06 + 14711.50ns INFO [00014713] Port=0 RD @07 + 14711.50ns INFO [00014713] Port=1 RD @04 + 14712.50ns INFO [00014714] * RD COMPARE * port=1 adr=06 act=F851A9B4F75E41AC66 exp=F851A9B4F75E41AC66 + 14713.50ns INFO [00014715] * RD COMPARE * port=0 adr=07 act=D48ED74E5B12BD519F exp=D48ED74E5B12BD519F + 14713.50ns INFO [00014715] * RD COMPARE * port=1 adr=04 act=1EEF72D05B0C3D4F2B exp=1EEF72D05B0C3D4F2B + 14713.50ns INFO [00014715] Port=0 WR @01=B95DBCA8949D7E206C + 14714.50ns INFO [00014716] Port=0 WR @03=E899796F80D7E71F50 + 14714.50ns INFO [00014716] Port=1 RD @06 + 14716.50ns INFO [00014718] * RD COMPARE * port=1 adr=06 act=F851A9B4F75E41AC66 exp=F851A9B4F75E41AC66 + 14716.50ns INFO [00014718] Port=0 WR @04=1A47F06B93ECE9D870 + 14717.50ns INFO [00014719] Port=1 RD @03 + 14718.50ns INFO [00014720] Port=0 WR @03=74A2F3261CDD203F1A + 14719.50ns INFO [00014721] * RD COMPARE * port=1 adr=03 act=E899796F80D7E71F50 exp=E899796F80D7E71F50 + 14719.50ns INFO [00014721] Port=0 RD @06 + 14719.50ns INFO [00014721] Port=1 RD @00 + 14721.50ns INFO [00014723] * RD COMPARE * port=0 adr=06 act=F851A9B4F75E41AC66 exp=F851A9B4F75E41AC66 + 14721.50ns INFO [00014723] * RD COMPARE * port=1 adr=00 act=D1AE137B719C993024 exp=D1AE137B719C993024 + 14721.50ns INFO [00014723] Port=0 RD @04 + 14721.50ns INFO [00014723] Port=1 RD @04 + 14722.50ns INFO [00014724] Port=0 RD @05 + 14723.50ns INFO [00014725] * RD COMPARE * port=0 adr=04 act=1A47F06B93ECE9D870 exp=1A47F06B93ECE9D870 + 14723.50ns INFO [00014725] * RD COMPARE * port=1 adr=04 act=1A47F06B93ECE9D870 exp=1A47F06B93ECE9D870 + 14723.50ns INFO [00014725] Port=0 RD @01 + 14724.50ns INFO [00014726] * RD COMPARE * port=0 adr=05 act=252B9777933F1F44EC exp=252B9777933F1F44EC + 14725.50ns INFO [00014727] * RD COMPARE * port=0 adr=01 act=B95DBCA8949D7E206C exp=B95DBCA8949D7E206C + 14725.50ns INFO [00014727] Port=0 WR @06=31819D7FB1E6F9B4E0 + 14725.50ns INFO [00014727] Port=1 RD @05 + 14726.50ns INFO [00014728] Port=0 RD @05 + 14727.50ns INFO [00014729] * RD COMPARE * port=1 adr=05 act=252B9777933F1F44EC exp=252B9777933F1F44EC + 14727.50ns INFO [00014729] Port=1 RD @07 + 14728.50ns INFO [00014730] * RD COMPARE * port=0 adr=05 act=252B9777933F1F44EC exp=252B9777933F1F44EC + 14728.50ns INFO [00014730] Port=0 RD @06 + 14729.50ns INFO [00014731] * RD COMPARE * port=1 adr=07 act=D48ED74E5B12BD519F exp=D48ED74E5B12BD519F + 14729.50ns INFO [00014731] Port=0 WR @07=C55F19A9623EE2B598 + 14729.50ns INFO [00014731] Port=0 RD @05 + 14730.50ns INFO [00014732] * RD COMPARE * port=0 adr=06 act=31819D7FB1E6F9B4E0 exp=31819D7FB1E6F9B4E0 + 14730.50ns INFO [00014732] Port=0 RD @06 + 14731.50ns INFO [00014733] * RD COMPARE * port=0 adr=05 act=252B9777933F1F44EC exp=252B9777933F1F44EC + 14731.50ns INFO [00014733] Port=0 WR @02=923DFE2BF4C5F31A2B + 14731.50ns INFO [00014733] Port=0 RD @01 + 14732.50ns INFO [00014734] * RD COMPARE * port=0 adr=06 act=31819D7FB1E6F9B4E0 exp=31819D7FB1E6F9B4E0 + 14732.50ns INFO [00014734] Port=0 WR @02=5A0DEE6CC6CB607350 + 14732.50ns INFO [00014734] Port=0 RD @07 + 14732.50ns INFO [00014734] Port=1 RD @03 + 14733.50ns INFO [00014735] * RD COMPARE * port=0 adr=01 act=B95DBCA8949D7E206C exp=B95DBCA8949D7E206C + 14734.50ns INFO [00014736] * RD COMPARE * port=0 adr=07 act=C55F19A9623EE2B598 exp=C55F19A9623EE2B598 + 14734.50ns INFO [00014736] * RD COMPARE * port=1 adr=03 act=74A2F3261CDD203F1A exp=74A2F3261CDD203F1A + 14734.50ns INFO [00014736] Port=0 RD @05 + 14734.50ns INFO [00014736] Port=1 RD @07 + 14735.50ns INFO [00014737] Port=0 WR @07=F73B8C0D5D9EB26A7F + 14736.50ns INFO [00014738] * RD COMPARE * port=0 adr=05 act=252B9777933F1F44EC exp=252B9777933F1F44EC + 14736.50ns INFO [00014738] * RD COMPARE * port=1 adr=07 act=C55F19A9623EE2B598 exp=C55F19A9623EE2B598 + 14736.50ns INFO [00014738] Port=0 WR @07=B04F6251E18BE76746 + 14736.50ns INFO [00014738] Port=0 RD @00 + 14737.50ns INFO [00014739] Port=0 WR @00=866FF1ABF236A2DF4D + 14738.50ns INFO [00014740] * RD COMPARE * port=0 adr=00 act=D1AE137B719C993024 exp=D1AE137B719C993024 + 14738.50ns INFO [00014740] Port=0 RD @02 + 14738.50ns INFO [00014740] Port=1 RD @00 + 14739.50ns INFO [00014741] Port=0 WR @04=00EF3F018F2F8EB5D6 + 14740.50ns INFO [00014742] * RD COMPARE * port=0 adr=02 act=5A0DEE6CC6CB607350 exp=5A0DEE6CC6CB607350 + 14740.50ns INFO [00014742] * RD COMPARE * port=1 adr=00 act=866FF1ABF236A2DF4D exp=866FF1ABF236A2DF4D + 14740.50ns INFO [00014742] Port=0 WR @04=DEDB2536AB1D123A2D + 14740.50ns INFO [00014742] Port=1 RD @06 + 14741.50ns INFO [00014743] Port=0 WR @02=32D5A8B81329FDA1BF + 14742.50ns INFO [00014744] * RD COMPARE * port=1 adr=06 act=31819D7FB1E6F9B4E0 exp=31819D7FB1E6F9B4E0 + 14742.50ns INFO [00014744] Port=0 RD @00 + 14743.50ns INFO [00014745] Port=0 RD @03 + 14744.50ns INFO [00014746] * RD COMPARE * port=0 adr=00 act=866FF1ABF236A2DF4D exp=866FF1ABF236A2DF4D + 14744.50ns INFO [00014746] Port=0 WR @06=6C6C9BF492B52819E8 + 14745.50ns INFO [00014747] * RD COMPARE * port=0 adr=03 act=74A2F3261CDD203F1A exp=74A2F3261CDD203F1A + 14745.50ns INFO [00014747] Port=1 RD @01 + 14746.50ns INFO [00014748] Port=0 RD @04 + 14746.50ns INFO [00014748] Port=1 RD @04 + 14747.50ns INFO [00014749] * RD COMPARE * port=1 adr=01 act=B95DBCA8949D7E206C exp=B95DBCA8949D7E206C + 14747.50ns INFO [00014749] Port=0 WR @04=AC9A54580096EF6DA0 + 14747.50ns INFO [00014749] Port=1 RD @02 + 14748.50ns INFO [00014750] * RD COMPARE * port=0 adr=04 act=DEDB2536AB1D123A2D exp=DEDB2536AB1D123A2D + 14748.50ns INFO [00014750] * RD COMPARE * port=1 adr=04 act=DEDB2536AB1D123A2D exp=DEDB2536AB1D123A2D + 14749.50ns INFO [00014751] * RD COMPARE * port=1 adr=02 act=32D5A8B81329FDA1BF exp=32D5A8B81329FDA1BF + 14749.50ns INFO [00014751] Port=0 WR @01=C447D6EEBB635BB70E + 14749.50ns INFO [00014751] Port=1 RD @03 + 14750.50ns INFO [00014752] Port=1 RD @04 + 14751.50ns INFO [00014753] * RD COMPARE * port=1 adr=03 act=74A2F3261CDD203F1A exp=74A2F3261CDD203F1A + 14751.50ns INFO [00014753] Port=0 WR @04=564453925C34AE3BBB + 14751.50ns INFO [00014753] Port=1 RD @03 + 14752.50ns INFO [00014754] * RD COMPARE * port=1 adr=04 act=AC9A54580096EF6DA0 exp=AC9A54580096EF6DA0 + 14752.50ns INFO [00014754] Port=0 WR @03=B83F78923922A6F8BA + 14752.50ns INFO [00014754] Port=0 RD @01 + 14753.50ns INFO [00014755] * RD COMPARE * port=1 adr=03 act=74A2F3261CDD203F1A exp=74A2F3261CDD203F1A + 14753.50ns INFO [00014755] Port=0 WR @00=55B48F285038DB5214 + 14754.50ns INFO [00014756] * RD COMPARE * port=0 adr=01 act=C447D6EEBB635BB70E exp=C447D6EEBB635BB70E + 14754.50ns INFO [00014756] Port=0 WR @06=1E3EC9A13A2376CBF5 + 14754.50ns INFO [00014756] Port=1 RD @07 + 14755.50ns INFO [00014757] Port=1 RD @06 + 14756.50ns INFO [00014758] * RD COMPARE * port=1 adr=07 act=B04F6251E18BE76746 exp=B04F6251E18BE76746 + 14757.50ns INFO [00014759] * RD COMPARE * port=1 adr=06 act=1E3EC9A13A2376CBF5 exp=1E3EC9A13A2376CBF5 + 14757.50ns INFO [00014759] Port=0 WR @04=555D97A5741AB77E01 + 14757.50ns INFO [00014759] Port=0 RD @01 + 14758.50ns INFO [00014760] Port=0 RD @02 + 14758.50ns INFO [00014760] Port=1 RD @04 + 14759.50ns INFO [00014761] * RD COMPARE * port=0 adr=01 act=C447D6EEBB635BB70E exp=C447D6EEBB635BB70E + 14760.50ns INFO [00014762] * RD COMPARE * port=0 adr=02 act=32D5A8B81329FDA1BF exp=32D5A8B81329FDA1BF + 14760.50ns INFO [00014762] * RD COMPARE * port=1 adr=04 act=555D97A5741AB77E01 exp=555D97A5741AB77E01 + 14760.50ns INFO [00014762] Port=1 RD @01 + 14761.50ns INFO [00014763] Port=0 RD @00 + 14762.50ns INFO [00014764] * RD COMPARE * port=1 adr=01 act=C447D6EEBB635BB70E exp=C447D6EEBB635BB70E + 14762.50ns INFO [00014764] Port=1 RD @06 + 14763.50ns INFO [00014765] * RD COMPARE * port=0 adr=00 act=55B48F285038DB5214 exp=55B48F285038DB5214 + 14763.50ns INFO [00014765] Port=0 RD @03 + 14763.50ns INFO [00014765] Port=1 RD @00 + 14764.50ns INFO [00014766] * RD COMPARE * port=1 adr=06 act=1E3EC9A13A2376CBF5 exp=1E3EC9A13A2376CBF5 + 14765.50ns INFO [00014767] * RD COMPARE * port=0 adr=03 act=B83F78923922A6F8BA exp=B83F78923922A6F8BA + 14765.50ns INFO [00014767] * RD COMPARE * port=1 adr=00 act=55B48F285038DB5214 exp=55B48F285038DB5214 + 14765.50ns INFO [00014767] Port=0 WR @00=F861F93CB10C205AA9 + 14765.50ns INFO [00014767] Port=0 RD @05 + 14765.50ns INFO [00014767] Port=1 RD @01 + 14766.50ns INFO [00014768] Port=0 WR @04=C928131D4F3AF85CF5 + 14767.50ns INFO [00014769] * RD COMPARE * port=0 adr=05 act=252B9777933F1F44EC exp=252B9777933F1F44EC + 14767.50ns INFO [00014769] * RD COMPARE * port=1 adr=01 act=C447D6EEBB635BB70E exp=C447D6EEBB635BB70E + 14767.50ns INFO [00014769] Port=0 WR @00=0C0CE7D14282FC40E4 + 14768.50ns INFO [00014770] Port=0 WR @02=BD67AF5CE7252D890C + 14768.50ns INFO [00014770] Port=0 RD @01 + 14769.50ns INFO [00014771] Port=0 WR @04=EAB8235AAAADC00261 + 14769.50ns INFO [00014771] Port=1 RD @00 + 14770.50ns INFO [00014772] * RD COMPARE * port=0 adr=01 act=C447D6EEBB635BB70E exp=C447D6EEBB635BB70E + 14771.50ns INFO [00014773] * RD COMPARE * port=1 adr=00 act=0C0CE7D14282FC40E4 exp=0C0CE7D14282FC40E4 + 14771.50ns INFO [00014773] Port=0 WR @03=5725B3287495B80ED7 + 14772.50ns INFO [00014774] Port=0 WR @04=03826F977183AC6BF3 + 14773.50ns INFO [00014775] Port=0 WR @05=091D5636B3B17174E6 + 14773.50ns INFO [00014775] Port=0 RD @04 + 14773.50ns INFO [00014775] Port=1 RD @00 + 14774.50ns INFO [00014776] Port=1 RD @03 + 14775.50ns INFO [00014777] * RD COMPARE * port=0 adr=04 act=03826F977183AC6BF3 exp=03826F977183AC6BF3 + 14775.50ns INFO [00014777] * RD COMPARE * port=1 adr=00 act=0C0CE7D14282FC40E4 exp=0C0CE7D14282FC40E4 + 14775.50ns INFO [00014777] Port=0 WR @07=F707FA4E7E91FB33C6 + 14775.50ns INFO [00014777] Port=0 RD @04 + 14776.50ns INFO [00014778] * RD COMPARE * port=1 adr=03 act=5725B3287495B80ED7 exp=5725B3287495B80ED7 + 14776.50ns INFO [00014778] Port=1 RD @02 + 14777.50ns INFO [00014779] * RD COMPARE * port=0 adr=04 act=03826F977183AC6BF3 exp=03826F977183AC6BF3 + 14777.50ns INFO [00014779] Port=0 WR @02=B0EE4AECBBA92D2AA9 + 14778.50ns INFO [00014780] * RD COMPARE * port=1 adr=02 act=BD67AF5CE7252D890C exp=BD67AF5CE7252D890C + 14778.50ns INFO [00014780] Port=0 WR @04=2879ED72F5E5D474A9 + 14779.50ns INFO [00014781] Port=1 RD @02 + 14780.50ns INFO [00014782] Port=0 WR @07=7C4634AE614442EB97 + 14780.50ns INFO [00014782] Port=0 RD @01 + 14781.50ns INFO [00014783] * RD COMPARE * port=1 adr=02 act=B0EE4AECBBA92D2AA9 exp=B0EE4AECBBA92D2AA9 + 14781.50ns INFO [00014783] Port=0 WR @07=8EF19107E4062EB19F + 14781.50ns INFO [00014783] Port=1 RD @04 + 14782.50ns INFO [00014784] * RD COMPARE * port=0 adr=01 act=C447D6EEBB635BB70E exp=C447D6EEBB635BB70E + 14782.50ns INFO [00014784] Port=1 RD @02 + 14783.50ns INFO [00014785] * RD COMPARE * port=1 adr=04 act=2879ED72F5E5D474A9 exp=2879ED72F5E5D474A9 + 14783.50ns INFO [00014785] Port=0 WR @01=F42E77AA1D2E13DA10 + 14783.50ns INFO [00014785] Port=1 RD @06 + 14784.50ns INFO [00014786] * RD COMPARE * port=1 adr=02 act=B0EE4AECBBA92D2AA9 exp=B0EE4AECBBA92D2AA9 + 14784.50ns INFO [00014786] Port=1 RD @06 + 14785.50ns INFO [00014787] * RD COMPARE * port=1 adr=06 act=1E3EC9A13A2376CBF5 exp=1E3EC9A13A2376CBF5 + 14785.50ns INFO [00014787] Port=0 WR @07=E94D8B23F0CCC0FA67 + 14786.50ns INFO [00014788] * RD COMPARE * port=1 adr=06 act=1E3EC9A13A2376CBF5 exp=1E3EC9A13A2376CBF5 + 14787.50ns INFO [00014789] Port=0 RD @04 + 14788.50ns INFO [00014790] Port=0 WR @06=092D6B83E4F48E3B5A + 14789.50ns INFO [00014791] * RD COMPARE * port=0 adr=04 act=2879ED72F5E5D474A9 exp=2879ED72F5E5D474A9 + 14789.50ns INFO [00014791] Port=0 WR @03=D374F0D8DDE6EE12E9 + 14789.50ns INFO [00014791] Port=0 RD @04 + 14789.50ns INFO [00014791] Port=1 RD @06 + 14790.50ns INFO [00014792] Port=0 RD @06 + 14791.50ns INFO [00014793] * RD COMPARE * port=0 adr=04 act=2879ED72F5E5D474A9 exp=2879ED72F5E5D474A9 + 14791.50ns INFO [00014793] * RD COMPARE * port=1 adr=06 act=092D6B83E4F48E3B5A exp=092D6B83E4F48E3B5A + 14791.50ns INFO [00014793] Port=0 RD @03 + 14792.50ns INFO [00014794] * RD COMPARE * port=0 adr=06 act=092D6B83E4F48E3B5A exp=092D6B83E4F48E3B5A + 14792.50ns INFO [00014794] Port=0 RD @00 + 14792.50ns INFO [00014794] Port=1 RD @03 + 14793.50ns INFO [00014795] * RD COMPARE * port=0 adr=03 act=D374F0D8DDE6EE12E9 exp=D374F0D8DDE6EE12E9 + 14793.50ns INFO [00014795] Port=0 RD @06 + 14794.50ns INFO [00014796] * RD COMPARE * port=0 adr=00 act=0C0CE7D14282FC40E4 exp=0C0CE7D14282FC40E4 + 14794.50ns INFO [00014796] * RD COMPARE * port=1 adr=03 act=D374F0D8DDE6EE12E9 exp=D374F0D8DDE6EE12E9 + 14795.50ns INFO [00014797] * RD COMPARE * port=0 adr=06 act=092D6B83E4F48E3B5A exp=092D6B83E4F48E3B5A + 14795.50ns INFO [00014797] Port=0 WR @01=FB9827284C5AD1491B + 14795.50ns INFO [00014797] Port=1 RD @05 + 14796.50ns INFO [00014798] Port=0 RD @05 + 14796.50ns INFO [00014798] Port=1 RD @00 + 14797.50ns INFO [00014799] * RD COMPARE * port=1 adr=05 act=091D5636B3B17174E6 exp=091D5636B3B17174E6 + 14798.00ns INFO [00014800] [00014800] ...tick... + 14798.50ns INFO [00014800] * RD COMPARE * port=0 adr=05 act=091D5636B3B17174E6 exp=091D5636B3B17174E6 + 14798.50ns INFO [00014800] * RD COMPARE * port=1 adr=00 act=0C0CE7D14282FC40E4 exp=0C0CE7D14282FC40E4 + 14798.50ns INFO [00014800] Port=0 WR @06=0A6B49C59BE7B1ABDA + 14798.50ns INFO [00014800] Port=0 RD @02 + 14800.50ns INFO [00014802] * RD COMPARE * port=0 adr=02 act=B0EE4AECBBA92D2AA9 exp=B0EE4AECBBA92D2AA9 + 14801.50ns INFO [00014803] Port=0 WR @01=1B8AFCC6B6D2B75EB6 + 14801.50ns INFO [00014803] Port=0 RD @02 + 14801.50ns INFO [00014803] Port=1 RD @04 + 14802.50ns INFO [00014804] Port=0 WR @06=E22B553ABE08CCA8DD + 14802.50ns INFO [00014804] Port=0 RD @01 + 14803.50ns INFO [00014805] * RD COMPARE * port=0 adr=02 act=B0EE4AECBBA92D2AA9 exp=B0EE4AECBBA92D2AA9 + 14803.50ns INFO [00014805] * RD COMPARE * port=1 adr=04 act=2879ED72F5E5D474A9 exp=2879ED72F5E5D474A9 + 14803.50ns INFO [00014805] Port=0 WR @02=7043ADD7C5C860D235 + 14804.50ns INFO [00014806] * RD COMPARE * port=0 adr=01 act=1B8AFCC6B6D2B75EB6 exp=1B8AFCC6B6D2B75EB6 + 14804.50ns INFO [00014806] Port=0 RD @06 + 14806.50ns INFO [00014808] * RD COMPARE * port=0 adr=06 act=E22B553ABE08CCA8DD exp=E22B553ABE08CCA8DD + 14807.50ns INFO [00014809] Port=1 RD @05 + 14808.50ns INFO [00014810] Port=0 WR @06=43C19F0498E6EACE20 + 14808.50ns INFO [00014810] Port=0 RD @04 + 14809.50ns INFO [00014811] * RD COMPARE * port=1 adr=05 act=091D5636B3B17174E6 exp=091D5636B3B17174E6 + 14809.50ns INFO [00014811] Port=1 RD @03 + 14810.50ns INFO [00014812] * RD COMPARE * port=0 adr=04 act=2879ED72F5E5D474A9 exp=2879ED72F5E5D474A9 + 14810.50ns INFO [00014812] Port=0 RD @05 + 14811.50ns INFO [00014813] * RD COMPARE * port=1 adr=03 act=D374F0D8DDE6EE12E9 exp=D374F0D8DDE6EE12E9 + 14811.50ns INFO [00014813] Port=0 WR @00=5EA080BF8A718D004A + 14811.50ns INFO [00014813] Port=1 RD @05 + 14812.50ns INFO [00014814] * RD COMPARE * port=0 adr=05 act=091D5636B3B17174E6 exp=091D5636B3B17174E6 + 14813.50ns INFO [00014815] * RD COMPARE * port=1 adr=05 act=091D5636B3B17174E6 exp=091D5636B3B17174E6 + 14813.50ns INFO [00014815] Port=0 RD @07 + 14813.50ns INFO [00014815] Port=1 RD @06 + 14815.50ns INFO [00014817] * RD COMPARE * port=0 adr=07 act=E94D8B23F0CCC0FA67 exp=E94D8B23F0CCC0FA67 + 14815.50ns INFO [00014817] * RD COMPARE * port=1 adr=06 act=43C19F0498E6EACE20 exp=43C19F0498E6EACE20 + 14816.50ns INFO [00014818] Port=0 WR @06=E2681DBBC59BE56AA7 + 14816.50ns INFO [00014818] Port=1 RD @00 + 14818.50ns INFO [00014820] * RD COMPARE * port=1 adr=00 act=5EA080BF8A718D004A exp=5EA080BF8A718D004A + 14819.50ns INFO [00014821] Port=0 RD @04 + 14819.50ns INFO [00014821] Port=1 RD @00 + 14820.50ns INFO [00014822] Port=0 WR @06=2B85655B0EB7694821 + 14820.50ns INFO [00014822] Port=0 RD @04 + 14820.50ns INFO [00014822] Port=1 RD @02 + 14821.50ns INFO [00014823] * RD COMPARE * port=0 adr=04 act=2879ED72F5E5D474A9 exp=2879ED72F5E5D474A9 + 14821.50ns INFO [00014823] * RD COMPARE * port=1 adr=00 act=5EA080BF8A718D004A exp=5EA080BF8A718D004A + 14821.50ns INFO [00014823] Port=0 WR @06=6C00BAE055BC95967D + 14822.50ns INFO [00014824] * RD COMPARE * port=0 adr=04 act=2879ED72F5E5D474A9 exp=2879ED72F5E5D474A9 + 14822.50ns INFO [00014824] * RD COMPARE * port=1 adr=02 act=7043ADD7C5C860D235 exp=7043ADD7C5C860D235 + 14822.50ns INFO [00014824] Port=0 WR @03=89AE5810C8804A5356 + 14822.50ns INFO [00014824] Port=0 RD @02 + 14823.50ns INFO [00014825] Port=0 WR @04=559027A554580829EC + 14823.50ns INFO [00014825] Port=0 RD @02 + 14823.50ns INFO [00014825] Port=1 RD @02 + 14824.50ns INFO [00014826] * RD COMPARE * port=0 adr=02 act=7043ADD7C5C860D235 exp=7043ADD7C5C860D235 + 14824.50ns INFO [00014826] Port=0 WR @02=F8BA4DE227E9AE15CA + 14824.50ns INFO [00014826] Port=0 RD @06 + 14825.50ns INFO [00014827] * RD COMPARE * port=0 adr=02 act=7043ADD7C5C860D235 exp=7043ADD7C5C860D235 + 14825.50ns INFO [00014827] * RD COMPARE * port=1 adr=02 act=7043ADD7C5C860D235 exp=7043ADD7C5C860D235 + 14826.50ns INFO [00014828] * RD COMPARE * port=0 adr=06 act=6C00BAE055BC95967D exp=6C00BAE055BC95967D + 14826.50ns INFO [00014828] Port=0 RD @07 + 14826.50ns INFO [00014828] Port=1 RD @03 + 14827.50ns INFO [00014829] Port=0 WR @02=46AFED36D0E681D957 + 14827.50ns INFO [00014829] Port=1 RD @03 + 14828.50ns INFO [00014830] * RD COMPARE * port=0 adr=07 act=E94D8B23F0CCC0FA67 exp=E94D8B23F0CCC0FA67 + 14828.50ns INFO [00014830] * RD COMPARE * port=1 adr=03 act=89AE5810C8804A5356 exp=89AE5810C8804A5356 + 14828.50ns INFO [00014830] Port=0 RD @01 + 14829.50ns INFO [00014831] * RD COMPARE * port=1 adr=03 act=89AE5810C8804A5356 exp=89AE5810C8804A5356 + 14829.50ns INFO [00014831] Port=0 RD @07 + 14830.50ns INFO [00014832] * RD COMPARE * port=0 adr=01 act=1B8AFCC6B6D2B75EB6 exp=1B8AFCC6B6D2B75EB6 + 14830.50ns INFO [00014832] Port=0 WR @07=596B9D95CEC5E7A358 + 14830.50ns INFO [00014832] Port=0 RD @03 + 14831.50ns INFO [00014833] * RD COMPARE * port=0 adr=07 act=E94D8B23F0CCC0FA67 exp=E94D8B23F0CCC0FA67 + 14831.50ns INFO [00014833] Port=0 WR @01=D8A34DE07C71A8AE6B + 14832.50ns INFO [00014834] * RD COMPARE * port=0 adr=03 act=89AE5810C8804A5356 exp=89AE5810C8804A5356 + 14832.50ns INFO [00014834] Port=1 RD @04 + 14833.50ns INFO [00014835] Port=0 WR @06=BADFE7C4DF7BFC3C43 + 14834.50ns INFO [00014836] * RD COMPARE * port=1 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14834.50ns INFO [00014836] Port=0 RD @06 + 14835.50ns INFO [00014837] Port=0 WR @05=FA7FBAFF6212D553F7 + 14835.50ns INFO [00014837] Port=1 RD @02 + 14836.50ns INFO [00014838] * RD COMPARE * port=0 adr=06 act=BADFE7C4DF7BFC3C43 exp=BADFE7C4DF7BFC3C43 + 14836.50ns INFO [00014838] Port=0 WR @05=782C6C2814F908F1E9 + 14836.50ns INFO [00014838] Port=1 RD @04 + 14837.50ns INFO [00014839] * RD COMPARE * port=1 adr=02 act=46AFED36D0E681D957 exp=46AFED36D0E681D957 + 14837.50ns INFO [00014839] Port=0 WR @03=66A8661DE7B3D78B43 + 14837.50ns INFO [00014839] Port=0 RD @05 + 14838.50ns INFO [00014840] * RD COMPARE * port=1 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14838.50ns INFO [00014840] Port=0 WR @00=932E4D404421281BA3 + 14838.50ns INFO [00014840] Port=0 RD @07 + 14839.50ns INFO [00014841] * RD COMPARE * port=0 adr=05 act=782C6C2814F908F1E9 exp=782C6C2814F908F1E9 + 14840.50ns INFO [00014842] * RD COMPARE * port=0 adr=07 act=596B9D95CEC5E7A358 exp=596B9D95CEC5E7A358 + 14840.50ns INFO [00014842] Port=0 RD @03 + 14840.50ns INFO [00014842] Port=1 RD @07 + 14841.50ns INFO [00014843] Port=0 WR @03=F0F178785B4F5B5FBF + 14842.50ns INFO [00014844] * RD COMPARE * port=0 adr=03 act=66A8661DE7B3D78B43 exp=66A8661DE7B3D78B43 + 14842.50ns INFO [00014844] * RD COMPARE * port=1 adr=07 act=596B9D95CEC5E7A358 exp=596B9D95CEC5E7A358 + 14842.50ns INFO [00014844] Port=0 RD @00 + 14842.50ns INFO [00014844] Port=1 RD @02 + 14843.50ns INFO [00014845] Port=1 RD @07 + 14844.50ns INFO [00014846] * RD COMPARE * port=0 adr=00 act=932E4D404421281BA3 exp=932E4D404421281BA3 + 14844.50ns INFO [00014846] * RD COMPARE * port=1 adr=02 act=46AFED36D0E681D957 exp=46AFED36D0E681D957 + 14844.50ns INFO [00014846] Port=0 WR @05=71F81B50A3DD419F35 + 14845.50ns INFO [00014847] * RD COMPARE * port=1 adr=07 act=596B9D95CEC5E7A358 exp=596B9D95CEC5E7A358 + 14845.50ns INFO [00014847] Port=1 RD @04 + 14846.50ns INFO [00014848] Port=0 RD @01 + 14846.50ns INFO [00014848] Port=1 RD @03 + 14847.50ns INFO [00014849] * RD COMPARE * port=1 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14847.50ns INFO [00014849] Port=0 RD @03 + 14848.50ns INFO [00014850] * RD COMPARE * port=0 adr=01 act=D8A34DE07C71A8AE6B exp=D8A34DE07C71A8AE6B + 14848.50ns INFO [00014850] * RD COMPARE * port=1 adr=03 act=F0F178785B4F5B5FBF exp=F0F178785B4F5B5FBF + 14849.50ns INFO [00014851] * RD COMPARE * port=0 adr=03 act=F0F178785B4F5B5FBF exp=F0F178785B4F5B5FBF + 14851.50ns INFO [00014853] Port=0 WR @05=FEE1275953879C0D30 + 14851.50ns INFO [00014853] Port=0 RD @04 + 14852.50ns INFO [00014854] Port=0 RD @06 + 14853.50ns INFO [00014855] * RD COMPARE * port=0 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14853.50ns INFO [00014855] Port=0 RD @00 + 14854.50ns INFO [00014856] * RD COMPARE * port=0 adr=06 act=BADFE7C4DF7BFC3C43 exp=BADFE7C4DF7BFC3C43 + 14854.50ns INFO [00014856] Port=1 RD @02 + 14855.50ns INFO [00014857] * RD COMPARE * port=0 adr=00 act=932E4D404421281BA3 exp=932E4D404421281BA3 + 14855.50ns INFO [00014857] Port=0 RD @06 + 14855.50ns INFO [00014857] Port=1 RD @04 + 14856.50ns INFO [00014858] * RD COMPARE * port=1 adr=02 act=46AFED36D0E681D957 exp=46AFED36D0E681D957 + 14856.50ns INFO [00014858] Port=0 RD @00 + 14857.50ns INFO [00014859] * RD COMPARE * port=0 adr=06 act=BADFE7C4DF7BFC3C43 exp=BADFE7C4DF7BFC3C43 + 14857.50ns INFO [00014859] * RD COMPARE * port=1 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14857.50ns INFO [00014859] Port=0 RD @07 + 14858.50ns INFO [00014860] * RD COMPARE * port=0 adr=00 act=932E4D404421281BA3 exp=932E4D404421281BA3 + 14858.50ns INFO [00014860] Port=1 RD @04 + 14859.50ns INFO [00014861] * RD COMPARE * port=0 adr=07 act=596B9D95CEC5E7A358 exp=596B9D95CEC5E7A358 + 14859.50ns INFO [00014861] Port=0 WR @00=BCE42ECA293C699769 + 14860.50ns INFO [00014862] * RD COMPARE * port=1 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14860.50ns INFO [00014862] Port=0 RD @00 + 14860.50ns INFO [00014862] Port=1 RD @01 + 14861.50ns INFO [00014863] Port=0 RD @03 + 14861.50ns INFO [00014863] Port=1 RD @00 + 14862.50ns INFO [00014864] * RD COMPARE * port=0 adr=00 act=BCE42ECA293C699769 exp=BCE42ECA293C699769 + 14862.50ns INFO [00014864] * RD COMPARE * port=1 adr=01 act=D8A34DE07C71A8AE6B exp=D8A34DE07C71A8AE6B + 14862.50ns INFO [00014864] Port=1 RD @07 + 14863.50ns INFO [00014865] * RD COMPARE * port=0 adr=03 act=F0F178785B4F5B5FBF exp=F0F178785B4F5B5FBF + 14863.50ns INFO [00014865] * RD COMPARE * port=1 adr=00 act=BCE42ECA293C699769 exp=BCE42ECA293C699769 + 14864.50ns INFO [00014866] * RD COMPARE * port=1 adr=07 act=596B9D95CEC5E7A358 exp=596B9D95CEC5E7A358 + 14864.50ns INFO [00014866] Port=1 RD @05 + 14865.50ns INFO [00014867] Port=0 WR @03=DBFF25B08E0B5621AD + 14865.50ns INFO [00014867] Port=0 RD @00 + 14866.50ns INFO [00014868] * RD COMPARE * port=1 adr=05 act=FEE1275953879C0D30 exp=FEE1275953879C0D30 + 14866.50ns INFO [00014868] Port=0 WR @06=6A4F9FBD46F7DA5635 + 14866.50ns INFO [00014868] Port=0 RD @04 + 14867.50ns INFO [00014869] * RD COMPARE * port=0 adr=00 act=BCE42ECA293C699769 exp=BCE42ECA293C699769 + 14867.50ns INFO [00014869] Port=0 RD @06 + 14868.50ns INFO [00014870] * RD COMPARE * port=0 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14868.50ns INFO [00014870] Port=0 WR @03=FF04CF7BE884C4619D + 14868.50ns INFO [00014870] Port=0 RD @07 + 14869.50ns INFO [00014871] * RD COMPARE * port=0 adr=06 act=6A4F9FBD46F7DA5635 exp=6A4F9FBD46F7DA5635 + 14870.50ns INFO [00014872] * RD COMPARE * port=0 adr=07 act=596B9D95CEC5E7A358 exp=596B9D95CEC5E7A358 + 14870.50ns INFO [00014872] Port=0 RD @05 + 14870.50ns INFO [00014872] Port=1 RD @05 + 14871.50ns INFO [00014873] Port=0 WR @02=F162C59D411134A7B8 + 14872.50ns INFO [00014874] * RD COMPARE * port=0 adr=05 act=FEE1275953879C0D30 exp=FEE1275953879C0D30 + 14872.50ns INFO [00014874] * RD COMPARE * port=1 adr=05 act=FEE1275953879C0D30 exp=FEE1275953879C0D30 + 14872.50ns INFO [00014874] Port=0 RD @00 + 14874.50ns INFO [00014876] * RD COMPARE * port=0 adr=00 act=BCE42ECA293C699769 exp=BCE42ECA293C699769 + 14874.50ns INFO [00014876] Port=1 RD @04 + 14875.50ns INFO [00014877] Port=1 RD @06 + 14876.50ns INFO [00014878] * RD COMPARE * port=1 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14876.50ns INFO [00014878] Port=0 RD @04 + 14877.50ns INFO [00014879] * RD COMPARE * port=1 adr=06 act=6A4F9FBD46F7DA5635 exp=6A4F9FBD46F7DA5635 + 14877.50ns INFO [00014879] Port=0 RD @01 + 14878.50ns INFO [00014880] * RD COMPARE * port=0 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14878.50ns INFO [00014880] Port=0 RD @02 + 14879.50ns INFO [00014881] * RD COMPARE * port=0 adr=01 act=D8A34DE07C71A8AE6B exp=D8A34DE07C71A8AE6B + 14880.50ns INFO [00014882] * RD COMPARE * port=0 adr=02 act=F162C59D411134A7B8 exp=F162C59D411134A7B8 + 14880.50ns INFO [00014882] Port=0 RD @05 + 14880.50ns INFO [00014882] Port=1 RD @00 + 14882.50ns INFO [00014884] * RD COMPARE * port=0 adr=05 act=FEE1275953879C0D30 exp=FEE1275953879C0D30 + 14882.50ns INFO [00014884] * RD COMPARE * port=1 adr=00 act=BCE42ECA293C699769 exp=BCE42ECA293C699769 + 14882.50ns INFO [00014884] Port=0 WR @03=D1E79DBBD19D55C7C9 + 14882.50ns INFO [00014884] Port=1 RD @07 + 14883.50ns INFO [00014885] Port=0 RD @05 + 14884.50ns INFO [00014886] * RD COMPARE * port=1 adr=07 act=596B9D95CEC5E7A358 exp=596B9D95CEC5E7A358 + 14884.50ns INFO [00014886] Port=0 WR @01=9795112C867A97F522 + 14885.50ns INFO [00014887] * RD COMPARE * port=0 adr=05 act=FEE1275953879C0D30 exp=FEE1275953879C0D30 + 14885.50ns INFO [00014887] Port=0 WR @02=895CE37E33BA11A374 + 14886.50ns INFO [00014888] Port=0 WR @07=243A6B5617879FEBE6 + 14886.50ns INFO [00014888] Port=0 RD @00 + 14886.50ns INFO [00014888] Port=1 RD @06 + 14888.50ns INFO [00014890] * RD COMPARE * port=0 adr=00 act=BCE42ECA293C699769 exp=BCE42ECA293C699769 + 14888.50ns INFO [00014890] * RD COMPARE * port=1 adr=06 act=6A4F9FBD46F7DA5635 exp=6A4F9FBD46F7DA5635 + 14888.50ns INFO [00014890] Port=0 RD @06 + 14888.50ns INFO [00014890] Port=1 RD @06 + 14890.50ns INFO [00014892] * RD COMPARE * port=0 adr=06 act=6A4F9FBD46F7DA5635 exp=6A4F9FBD46F7DA5635 + 14890.50ns INFO [00014892] * RD COMPARE * port=1 adr=06 act=6A4F9FBD46F7DA5635 exp=6A4F9FBD46F7DA5635 + 14890.50ns INFO [00014892] Port=0 RD @03 + 14891.50ns INFO [00014893] Port=0 WR @07=CDE9D104D81AA739CF + 14891.50ns INFO [00014893] Port=1 RD @01 + 14892.50ns INFO [00014894] * RD COMPARE * port=0 adr=03 act=D1E79DBBD19D55C7C9 exp=D1E79DBBD19D55C7C9 + 14892.50ns INFO [00014894] Port=0 WR @02=7E9F25F5841D0EDB81 + 14892.50ns INFO [00014894] Port=1 RD @01 + 14893.50ns INFO [00014895] * RD COMPARE * port=1 adr=01 act=9795112C867A97F522 exp=9795112C867A97F522 + 14893.50ns INFO [00014895] Port=0 RD @06 + 14894.50ns INFO [00014896] * RD COMPARE * port=1 adr=01 act=9795112C867A97F522 exp=9795112C867A97F522 + 14894.50ns INFO [00014896] Port=0 RD @06 + 14895.50ns INFO [00014897] * RD COMPARE * port=0 adr=06 act=6A4F9FBD46F7DA5635 exp=6A4F9FBD46F7DA5635 + 14895.50ns INFO [00014897] Port=0 WR @00=911C1E4F4E8F0760C1 + 14896.50ns INFO [00014898] * RD COMPARE * port=0 adr=06 act=6A4F9FBD46F7DA5635 exp=6A4F9FBD46F7DA5635 + 14896.50ns INFO [00014898] Port=0 WR @01=CA797F5444BBEE4F98 + 14897.50ns INFO [00014899] Port=0 WR @00=1DB5AAA08883CF8F29 + 14898.00ns INFO [00014900] [00014900] ...tick... + 14898.50ns INFO [00014900] Port=0 WR @02=538F41C11FD997F3AC + 14898.50ns INFO [00014900] Port=0 RD @00 + 14899.50ns INFO [00014901] Port=0 RD @04 + 14900.50ns INFO [00014902] * RD COMPARE * port=0 adr=00 act=1DB5AAA08883CF8F29 exp=1DB5AAA08883CF8F29 + 14900.50ns INFO [00014902] Port=0 WR @00=4CEF55CC93F0B11437 + 14901.50ns INFO [00014903] * RD COMPARE * port=0 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14901.50ns INFO [00014903] Port=1 RD @01 + 14902.50ns INFO [00014904] Port=0 RD @05 + 14903.50ns INFO [00014905] * RD COMPARE * port=1 adr=01 act=CA797F5444BBEE4F98 exp=CA797F5444BBEE4F98 + 14903.50ns INFO [00014905] Port=0 RD @02 + 14904.50ns INFO [00014906] * RD COMPARE * port=0 adr=05 act=FEE1275953879C0D30 exp=FEE1275953879C0D30 + 14904.50ns INFO [00014906] Port=0 WR @02=D79770B903A54CC032 + 14905.50ns INFO [00014907] * RD COMPARE * port=0 adr=02 act=538F41C11FD997F3AC exp=538F41C11FD997F3AC + 14905.50ns INFO [00014907] Port=0 WR @05=055B1DEEDBF3ACD92D + 14907.50ns INFO [00014909] Port=0 WR @01=1596FCB2CCA9346D45 + 14907.50ns INFO [00014909] Port=1 RD @04 + 14908.50ns INFO [00014910] Port=0 WR @06=FE37AB2C4FA0BBDB4E + 14908.50ns INFO [00014910] Port=0 RD @05 + 14909.50ns INFO [00014911] * RD COMPARE * port=1 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14909.50ns INFO [00014911] Port=0 WR @07=F3CAAE6E534937DB5E + 14910.50ns INFO [00014912] * RD COMPARE * port=0 adr=05 act=055B1DEEDBF3ACD92D exp=055B1DEEDBF3ACD92D + 14911.50ns INFO [00014913] Port=0 RD @07 + 14912.50ns INFO [00014914] Port=1 RD @04 + 14913.50ns INFO [00014915] * RD COMPARE * port=0 adr=07 act=F3CAAE6E534937DB5E exp=F3CAAE6E534937DB5E + 14913.50ns INFO [00014915] Port=0 WR @04=BF6E0B762B9BBC9740 + 14913.50ns INFO [00014915] Port=0 RD @07 + 14914.50ns INFO [00014916] * RD COMPARE * port=1 adr=04 act=559027A554580829EC exp=559027A554580829EC + 14915.50ns INFO [00014917] * RD COMPARE * port=0 adr=07 act=F3CAAE6E534937DB5E exp=F3CAAE6E534937DB5E + 14916.50ns INFO [00014918] Port=0 WR @02=9BA06F15BD929A9142 + 14917.50ns INFO [00014919] Port=0 WR @05=4E7EFB4D6397045893 + 14918.50ns INFO [00014920] Port=0 RD @04 + 14919.50ns INFO [00014921] Port=0 WR @01=9E826D9E5B4A7FCB29 + 14920.50ns INFO [00014922] * RD COMPARE * port=0 adr=04 act=BF6E0B762B9BBC9740 exp=BF6E0B762B9BBC9740 + 14920.50ns INFO [00014922] Port=1 RD @00 + 14921.50ns INFO [00014923] Port=0 WR @06=12401989C0507E81C7 + 14922.50ns INFO [00014924] * RD COMPARE * port=1 adr=00 act=4CEF55CC93F0B11437 exp=4CEF55CC93F0B11437 + 14922.50ns INFO [00014924] Port=0 RD @02 + 14922.50ns INFO [00014924] Port=1 RD @03 + 14923.50ns INFO [00014925] Port=0 WR @05=8E23FA0AFD1B92D8DB + 14923.50ns INFO [00014925] Port=1 RD @03 + 14924.50ns INFO [00014926] * RD COMPARE * port=0 adr=02 act=9BA06F15BD929A9142 exp=9BA06F15BD929A9142 + 14924.50ns INFO [00014926] * RD COMPARE * port=1 adr=03 act=D1E79DBBD19D55C7C9 exp=D1E79DBBD19D55C7C9 + 14924.50ns INFO [00014926] Port=0 WR @05=2BF3851D458B6BD3C9 + 14924.50ns INFO [00014926] Port=0 RD @01 + 14925.50ns INFO [00014927] * RD COMPARE * port=1 adr=03 act=D1E79DBBD19D55C7C9 exp=D1E79DBBD19D55C7C9 + 14926.50ns INFO [00014928] * RD COMPARE * port=0 adr=01 act=9E826D9E5B4A7FCB29 exp=9E826D9E5B4A7FCB29 + 14926.50ns INFO [00014928] Port=1 RD @03 + 14927.50ns INFO [00014929] Port=1 RD @00 + 14928.50ns INFO [00014930] * RD COMPARE * port=1 adr=03 act=D1E79DBBD19D55C7C9 exp=D1E79DBBD19D55C7C9 + 14928.50ns INFO [00014930] Port=0 WR @01=CF5CAACDAF31FD3290 + 14928.50ns INFO [00014930] Port=0 RD @04 + 14929.50ns INFO [00014931] * RD COMPARE * port=1 adr=00 act=4CEF55CC93F0B11437 exp=4CEF55CC93F0B11437 + 14929.50ns INFO [00014931] Port=0 WR @03=6546D6B4FC8BABA81B + 14929.50ns INFO [00014931] Port=1 RD @04 + 14930.50ns INFO [00014932] * RD COMPARE * port=0 adr=04 act=BF6E0B762B9BBC9740 exp=BF6E0B762B9BBC9740 + 14930.50ns INFO [00014932] Port=0 WR @01=08D569419AE827457B + 14930.50ns INFO [00014932] Port=1 RD @03 + 14931.50ns INFO [00014933] * RD COMPARE * port=1 adr=04 act=BF6E0B762B9BBC9740 exp=BF6E0B762B9BBC9740 + 14931.50ns INFO [00014933] Port=0 WR @04=C3C647498AA838E508 + 14931.50ns INFO [00014933] Port=1 RD @02 + 14932.50ns INFO [00014934] * RD COMPARE * port=1 adr=03 act=6546D6B4FC8BABA81B exp=6546D6B4FC8BABA81B + 14932.50ns INFO [00014934] Port=0 WR @03=F5634B624FB1660EAE + 14932.50ns INFO [00014934] Port=0 RD @06 + 14932.50ns INFO [00014934] Port=1 RD @00 + 14933.50ns INFO [00014935] * RD COMPARE * port=1 adr=02 act=9BA06F15BD929A9142 exp=9BA06F15BD929A9142 + 14933.50ns INFO [00014935] Port=0 RD @04 + 14933.50ns INFO [00014935] Port=1 RD @03 + 14934.50ns INFO [00014936] * RD COMPARE * port=0 adr=06 act=12401989C0507E81C7 exp=12401989C0507E81C7 + 14934.50ns INFO [00014936] * RD COMPARE * port=1 adr=00 act=4CEF55CC93F0B11437 exp=4CEF55CC93F0B11437 + 14935.50ns INFO [00014937] * RD COMPARE * port=0 adr=04 act=C3C647498AA838E508 exp=C3C647498AA838E508 + 14935.50ns INFO [00014937] * RD COMPARE * port=1 adr=03 act=F5634B624FB1660EAE exp=F5634B624FB1660EAE + 14935.50ns INFO [00014937] Port=1 RD @01 + 14936.50ns INFO [00014938] Port=0 RD @00 + 14936.50ns INFO [00014938] Port=1 RD @07 + 14937.50ns INFO [00014939] * RD COMPARE * port=1 adr=01 act=08D569419AE827457B exp=08D569419AE827457B + 14938.50ns INFO [00014940] * RD COMPARE * port=0 adr=00 act=4CEF55CC93F0B11437 exp=4CEF55CC93F0B11437 + 14938.50ns INFO [00014940] * RD COMPARE * port=1 adr=07 act=F3CAAE6E534937DB5E exp=F3CAAE6E534937DB5E + 14940.50ns INFO [00014942] Port=0 WR @04=F00EB860194E03A040 + 14941.50ns INFO [00014943] Port=1 RD @04 + 14942.50ns INFO [00014944] Port=0 WR @02=185CBF34ACB281E98F + 14942.50ns INFO [00014944] Port=0 RD @01 + 14943.50ns INFO [00014945] * RD COMPARE * port=1 adr=04 act=F00EB860194E03A040 exp=F00EB860194E03A040 + 14943.50ns INFO [00014945] Port=0 WR @02=794FCA4A459CB1DFD0 + 14944.50ns INFO [00014946] * RD COMPARE * port=0 adr=01 act=08D569419AE827457B exp=08D569419AE827457B + 14944.50ns INFO [00014946] Port=0 WR @02=C47AE94BE0A11355DF + 14944.50ns INFO [00014946] Port=1 RD @07 + 14946.50ns INFO [00014948] * RD COMPARE * port=1 adr=07 act=F3CAAE6E534937DB5E exp=F3CAAE6E534937DB5E + 14947.50ns INFO [00014949] Port=0 WR @02=A857529CA592617187 + 14947.50ns INFO [00014949] Port=0 RD @03 + 14949.50ns INFO [00014951] * RD COMPARE * port=0 adr=03 act=F5634B624FB1660EAE exp=F5634B624FB1660EAE + 14949.50ns INFO [00014951] Port=0 RD @03 + 14951.50ns INFO [00014953] * RD COMPARE * port=0 adr=03 act=F5634B624FB1660EAE exp=F5634B624FB1660EAE + 14951.50ns INFO [00014953] Port=0 WR @06=B0A09BEFE87BA960F3 + 14954.50ns INFO [00014956] Port=0 WR @06=F35602E0F25AA3B470 + 14954.50ns INFO [00014956] Port=1 RD @07 + 14955.50ns INFO [00014957] Port=1 RD @00 + 14956.50ns INFO [00014958] * RD COMPARE * port=1 adr=07 act=F3CAAE6E534937DB5E exp=F3CAAE6E534937DB5E + 14957.50ns INFO [00014959] * RD COMPARE * port=1 adr=00 act=4CEF55CC93F0B11437 exp=4CEF55CC93F0B11437 + 14958.50ns INFO [00014960] Port=0 RD @06 + 14958.50ns INFO [00014960] Port=1 RD @06 + 14959.50ns INFO [00014961] Port=1 RD @02 + 14960.50ns INFO [00014962] * RD COMPARE * port=0 adr=06 act=F35602E0F25AA3B470 exp=F35602E0F25AA3B470 + 14960.50ns INFO [00014962] * RD COMPARE * port=1 adr=06 act=F35602E0F25AA3B470 exp=F35602E0F25AA3B470 + 14961.50ns INFO [00014963] * RD COMPARE * port=1 adr=02 act=A857529CA592617187 exp=A857529CA592617187 + 14961.50ns INFO [00014963] Port=0 WR @05=39955F3C64795B33E6 + 14961.50ns INFO [00014963] Port=0 RD @06 + 14961.50ns INFO [00014963] Port=1 RD @06 + 14962.50ns INFO [00014964] Port=0 RD @01 + 14963.50ns INFO [00014965] * RD COMPARE * port=0 adr=06 act=F35602E0F25AA3B470 exp=F35602E0F25AA3B470 + 14963.50ns INFO [00014965] * RD COMPARE * port=1 adr=06 act=F35602E0F25AA3B470 exp=F35602E0F25AA3B470 + 14963.50ns INFO [00014965] Port=0 WR @02=4CB212CDB75F355B5E + 14963.50ns INFO [00014965] Port=0 RD @06 + 14964.50ns INFO [00014966] * RD COMPARE * port=0 adr=01 act=08D569419AE827457B exp=08D569419AE827457B + 14964.50ns INFO [00014966] Port=0 WR @02=3781D1CDE92D5E3EE1 + 14964.50ns INFO [00014966] Port=1 RD @04 + 14965.50ns INFO [00014967] * RD COMPARE * port=0 adr=06 act=F35602E0F25AA3B470 exp=F35602E0F25AA3B470 + 14966.50ns INFO [00014968] * RD COMPARE * port=1 adr=04 act=F00EB860194E03A040 exp=F00EB860194E03A040 + 14967.50ns INFO [00014969] Port=0 RD @02 + 14968.50ns INFO [00014970] Port=0 WR @04=6A5740B4E48AC18D97 + 14968.50ns INFO [00014970] Port=1 RD @02 + 14969.50ns INFO [00014971] * RD COMPARE * port=0 adr=02 act=3781D1CDE92D5E3EE1 exp=3781D1CDE92D5E3EE1 + 14970.50ns INFO [00014972] * RD COMPARE * port=1 adr=02 act=3781D1CDE92D5E3EE1 exp=3781D1CDE92D5E3EE1 + 14970.50ns INFO [00014972] Port=0 WR @06=1A00E1E77A32017F9A + 14971.50ns INFO [00014973] Port=0 WR @06=AE26A86AE25EB74F54 + 14971.50ns INFO [00014973] Port=1 RD @02 + 14972.50ns INFO [00014974] Port=0 RD @02 + 14973.50ns INFO [00014975] * RD COMPARE * port=1 adr=02 act=3781D1CDE92D5E3EE1 exp=3781D1CDE92D5E3EE1 + 14973.50ns INFO [00014975] Port=0 RD @04 + 14974.50ns INFO [00014976] * RD COMPARE * port=0 adr=02 act=3781D1CDE92D5E3EE1 exp=3781D1CDE92D5E3EE1 + 14974.50ns INFO [00014976] Port=0 RD @06 + 14975.50ns INFO [00014977] * RD COMPARE * port=0 adr=04 act=6A5740B4E48AC18D97 exp=6A5740B4E48AC18D97 + 14975.50ns INFO [00014977] Port=1 RD @06 + 14976.50ns INFO [00014978] * RD COMPARE * port=0 adr=06 act=AE26A86AE25EB74F54 exp=AE26A86AE25EB74F54 + 14976.50ns INFO [00014978] Port=1 RD @01 + 14977.50ns INFO [00014979] * RD COMPARE * port=1 adr=06 act=AE26A86AE25EB74F54 exp=AE26A86AE25EB74F54 + 14977.50ns INFO [00014979] Port=1 RD @05 + 14978.50ns INFO [00014980] * RD COMPARE * port=1 adr=01 act=08D569419AE827457B exp=08D569419AE827457B + 14978.50ns INFO [00014980] Port=0 RD @07 + 14978.50ns INFO [00014980] Port=1 RD @06 + 14979.50ns INFO [00014981] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 14980.50ns INFO [00014982] * RD COMPARE * port=0 adr=07 act=F3CAAE6E534937DB5E exp=F3CAAE6E534937DB5E + 14980.50ns INFO [00014982] * RD COMPARE * port=1 adr=06 act=AE26A86AE25EB74F54 exp=AE26A86AE25EB74F54 + 14980.50ns INFO [00014982] Port=0 RD @07 + 14981.50ns INFO [00014983] Port=0 RD @00 + 14981.50ns INFO [00014983] Port=1 RD @02 + 14982.50ns INFO [00014984] * RD COMPARE * port=0 adr=07 act=F3CAAE6E534937DB5E exp=F3CAAE6E534937DB5E + 14982.50ns INFO [00014984] Port=0 WR @04=3602672E12434B03D1 + 14983.50ns INFO [00014985] * RD COMPARE * port=0 adr=00 act=4CEF55CC93F0B11437 exp=4CEF55CC93F0B11437 + 14983.50ns INFO [00014985] * RD COMPARE * port=1 adr=02 act=3781D1CDE92D5E3EE1 exp=3781D1CDE92D5E3EE1 + 14983.50ns INFO [00014985] Port=0 RD @07 + 14984.50ns INFO [00014986] Port=0 WR @01=C9480321C210815570 + 14985.50ns INFO [00014987] * RD COMPARE * port=0 adr=07 act=F3CAAE6E534937DB5E exp=F3CAAE6E534937DB5E + 14985.50ns INFO [00014987] Port=0 WR @07=A36500D1B95313F2DF + 14987.50ns INFO [00014989] Port=0 WR @06=F204A7F101D2328061 + 14989.50ns INFO [00014991] Port=0 RD @01 + 14989.50ns INFO [00014991] Port=1 RD @03 + 14990.50ns INFO [00014992] Port=1 RD @03 + 14991.50ns INFO [00014993] * RD COMPARE * port=0 adr=01 act=C9480321C210815570 exp=C9480321C210815570 + 14991.50ns INFO [00014993] * RD COMPARE * port=1 adr=03 act=F5634B624FB1660EAE exp=F5634B624FB1660EAE + 14992.50ns INFO [00014994] * RD COMPARE * port=1 adr=03 act=F5634B624FB1660EAE exp=F5634B624FB1660EAE + 14993.50ns INFO [00014995] Port=1 RD @05 + 14994.50ns INFO [00014996] Port=0 RD @00 + 14995.50ns INFO [00014997] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 14996.50ns INFO [00014998] * RD COMPARE * port=0 adr=00 act=4CEF55CC93F0B11437 exp=4CEF55CC93F0B11437 + 14996.50ns INFO [00014998] Port=0 RD @05 + 14998.00ns INFO [00015000] [00015000] ...tick... + 14998.50ns INFO [00015000] * RD COMPARE * port=0 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 14998.50ns INFO [00015000] Port=0 WR @07=E1253206C0623F9E61 + 14998.50ns INFO [00015000] Port=0 RD @04 + 14998.50ns INFO [00015000] Port=1 RD @00 + 14999.50ns INFO [00015001] Port=0 WR @02=20BFD981AC932A6740 + 14999.50ns INFO [00015001] Port=0 RD @05 + 14999.50ns INFO [00015001] Port=1 RD @05 + 15000.50ns INFO [00015002] * RD COMPARE * port=0 adr=04 act=3602672E12434B03D1 exp=3602672E12434B03D1 + 15000.50ns INFO [00015002] * RD COMPARE * port=1 adr=00 act=4CEF55CC93F0B11437 exp=4CEF55CC93F0B11437 + 15001.50ns INFO [00015003] * RD COMPARE * port=0 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15001.50ns INFO [00015003] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15001.50ns INFO [00015003] Port=0 WR @02=C97E20CDBBFA125AD4 + 15001.50ns INFO [00015003] Port=0 RD @07 + 15002.50ns INFO [00015004] Port=0 WR @04=C5A74659540EDC87C8 + 15002.50ns INFO [00015004] Port=0 RD @00 + 15003.50ns INFO [00015005] * RD COMPARE * port=0 adr=07 act=E1253206C0623F9E61 exp=E1253206C0623F9E61 + 15003.50ns INFO [00015005] Port=0 RD @04 + 15003.50ns INFO [00015005] Port=1 RD @03 + 15004.50ns INFO [00015006] * RD COMPARE * port=0 adr=00 act=4CEF55CC93F0B11437 exp=4CEF55CC93F0B11437 + 15004.50ns INFO [00015006] Port=0 WR @06=18BEC7E88EE30D1F79 + 15005.50ns INFO [00015007] * RD COMPARE * port=0 adr=04 act=C5A74659540EDC87C8 exp=C5A74659540EDC87C8 + 15005.50ns INFO [00015007] * RD COMPARE * port=1 adr=03 act=F5634B624FB1660EAE exp=F5634B624FB1660EAE + 15005.50ns INFO [00015007] Port=0 WR @01=C5298D51038AF4D9B3 + 15005.50ns INFO [00015007] Port=1 RD @02 + 15006.50ns INFO [00015008] Port=0 RD @04 + 15007.50ns INFO [00015009] * RD COMPARE * port=1 adr=02 act=C97E20CDBBFA125AD4 exp=C97E20CDBBFA125AD4 + 15007.50ns INFO [00015009] Port=0 RD @07 + 15007.50ns INFO [00015009] Port=1 RD @04 + 15008.50ns INFO [00015010] * RD COMPARE * port=0 adr=04 act=C5A74659540EDC87C8 exp=C5A74659540EDC87C8 + 15009.50ns INFO [00015011] * RD COMPARE * port=0 adr=07 act=E1253206C0623F9E61 exp=E1253206C0623F9E61 + 15009.50ns INFO [00015011] * RD COMPARE * port=1 adr=04 act=C5A74659540EDC87C8 exp=C5A74659540EDC87C8 + 15009.50ns INFO [00015011] Port=0 RD @03 + 15009.50ns INFO [00015011] Port=1 RD @00 + 15010.50ns INFO [00015012] Port=1 RD @05 + 15011.50ns INFO [00015013] * RD COMPARE * port=0 adr=03 act=F5634B624FB1660EAE exp=F5634B624FB1660EAE + 15011.50ns INFO [00015013] * RD COMPARE * port=1 adr=00 act=4CEF55CC93F0B11437 exp=4CEF55CC93F0B11437 + 15011.50ns INFO [00015013] Port=0 WR @00=9E3C9CF586E242385A + 15011.50ns INFO [00015013] Port=1 RD @03 + 15012.50ns INFO [00015014] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15012.50ns INFO [00015014] Port=0 RD @03 + 15012.50ns INFO [00015014] Port=1 RD @00 + 15013.50ns INFO [00015015] * RD COMPARE * port=1 adr=03 act=F5634B624FB1660EAE exp=F5634B624FB1660EAE + 15013.50ns INFO [00015015] Port=0 WR @03=7DEA9FDA44D35A6BC8 + 15013.50ns INFO [00015015] Port=0 RD @01 + 15013.50ns INFO [00015015] Port=1 RD @05 + 15014.50ns INFO [00015016] * RD COMPARE * port=0 adr=03 act=F5634B624FB1660EAE exp=F5634B624FB1660EAE + 15014.50ns INFO [00015016] * RD COMPARE * port=1 adr=00 act=9E3C9CF586E242385A exp=9E3C9CF586E242385A + 15014.50ns INFO [00015016] Port=0 RD @01 + 15014.50ns INFO [00015016] Port=1 RD @07 + 15015.50ns INFO [00015017] * RD COMPARE * port=0 adr=01 act=C5298D51038AF4D9B3 exp=C5298D51038AF4D9B3 + 15015.50ns INFO [00015017] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15015.50ns INFO [00015017] Port=0 RD @07 + 15015.50ns INFO [00015017] Port=1 RD @00 + 15016.50ns INFO [00015018] * RD COMPARE * port=0 adr=01 act=C5298D51038AF4D9B3 exp=C5298D51038AF4D9B3 + 15016.50ns INFO [00015018] * RD COMPARE * port=1 adr=07 act=E1253206C0623F9E61 exp=E1253206C0623F9E61 + 15016.50ns INFO [00015018] Port=0 RD @00 + 15017.50ns INFO [00015019] * RD COMPARE * port=0 adr=07 act=E1253206C0623F9E61 exp=E1253206C0623F9E61 + 15017.50ns INFO [00015019] * RD COMPARE * port=1 adr=00 act=9E3C9CF586E242385A exp=9E3C9CF586E242385A + 15017.50ns INFO [00015019] Port=0 RD @05 + 15018.50ns INFO [00015020] * RD COMPARE * port=0 adr=00 act=9E3C9CF586E242385A exp=9E3C9CF586E242385A + 15018.50ns INFO [00015020] Port=0 RD @01 + 15019.50ns INFO [00015021] * RD COMPARE * port=0 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15019.50ns INFO [00015021] Port=0 WR @07=47E4D418C388B6A2F4 + 15020.50ns INFO [00015022] * RD COMPARE * port=0 adr=01 act=C5298D51038AF4D9B3 exp=C5298D51038AF4D9B3 + 15020.50ns INFO [00015022] Port=1 RD @03 + 15021.50ns INFO [00015023] Port=0 WR @01=6AC038CBA8C2B943FF + 15021.50ns INFO [00015023] Port=1 RD @06 + 15022.50ns INFO [00015024] * RD COMPARE * port=1 adr=03 act=7DEA9FDA44D35A6BC8 exp=7DEA9FDA44D35A6BC8 + 15023.50ns INFO [00015025] * RD COMPARE * port=1 adr=06 act=18BEC7E88EE30D1F79 exp=18BEC7E88EE30D1F79 + 15025.50ns INFO [00015027] Port=0 WR @01=2957F0EF005AA36A6A + 15026.50ns INFO [00015028] Port=0 RD @02 + 15026.50ns INFO [00015028] Port=1 RD @00 + 15028.50ns INFO [00015030] * RD COMPARE * port=0 adr=02 act=C97E20CDBBFA125AD4 exp=C97E20CDBBFA125AD4 + 15028.50ns INFO [00015030] * RD COMPARE * port=1 adr=00 act=9E3C9CF586E242385A exp=9E3C9CF586E242385A + 15029.50ns INFO [00015031] Port=0 RD @02 + 15030.50ns INFO [00015032] Port=0 RD @02 + 15031.50ns INFO [00015033] * RD COMPARE * port=0 adr=02 act=C97E20CDBBFA125AD4 exp=C97E20CDBBFA125AD4 + 15031.50ns INFO [00015033] Port=0 RD @01 + 15032.50ns INFO [00015034] * RD COMPARE * port=0 adr=02 act=C97E20CDBBFA125AD4 exp=C97E20CDBBFA125AD4 + 15032.50ns INFO [00015034] Port=0 RD @00 + 15032.50ns INFO [00015034] Port=1 RD @01 + 15033.50ns INFO [00015035] * RD COMPARE * port=0 adr=01 act=2957F0EF005AA36A6A exp=2957F0EF005AA36A6A + 15033.50ns INFO [00015035] Port=0 WR @01=B2FD7197C5A906F1E2 + 15034.50ns INFO [00015036] * RD COMPARE * port=0 adr=00 act=9E3C9CF586E242385A exp=9E3C9CF586E242385A + 15034.50ns INFO [00015036] * RD COMPARE * port=1 adr=01 act=2957F0EF005AA36A6A exp=2957F0EF005AA36A6A + 15034.50ns INFO [00015036] Port=0 WR @03=A1DF5CC509A92C988C + 15034.50ns INFO [00015036] Port=0 RD @06 + 15034.50ns INFO [00015036] Port=1 RD @05 + 15035.50ns INFO [00015037] Port=0 WR @03=6779B7652DB8BD4F2D + 15035.50ns INFO [00015037] Port=0 RD @00 + 15035.50ns INFO [00015037] Port=1 RD @00 + 15036.50ns INFO [00015038] * RD COMPARE * port=0 adr=06 act=18BEC7E88EE30D1F79 exp=18BEC7E88EE30D1F79 + 15036.50ns INFO [00015038] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15036.50ns INFO [00015038] Port=0 RD @03 + 15037.50ns INFO [00015039] * RD COMPARE * port=0 adr=00 act=9E3C9CF586E242385A exp=9E3C9CF586E242385A + 15037.50ns INFO [00015039] * RD COMPARE * port=1 adr=00 act=9E3C9CF586E242385A exp=9E3C9CF586E242385A + 15037.50ns INFO [00015039] Port=0 WR @00=1AD0A9DF3DBA5FF27B + 15038.50ns INFO [00015040] * RD COMPARE * port=0 adr=03 act=6779B7652DB8BD4F2D exp=6779B7652DB8BD4F2D + 15038.50ns INFO [00015040] Port=0 WR @03=AF0D612303F3EEFC8F + 15038.50ns INFO [00015040] Port=1 RD @07 + 15039.50ns INFO [00015041] Port=0 RD @02 + 15040.50ns INFO [00015042] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15041.50ns INFO [00015043] * RD COMPARE * port=0 adr=02 act=C97E20CDBBFA125AD4 exp=C97E20CDBBFA125AD4 + 15041.50ns INFO [00015043] Port=0 RD @02 + 15042.50ns INFO [00015044] Port=0 WR @00=3FBA66799902136EA4 + 15042.50ns INFO [00015044] Port=0 RD @07 + 15042.50ns INFO [00015044] Port=1 RD @05 + 15043.50ns INFO [00015045] * RD COMPARE * port=0 adr=02 act=C97E20CDBBFA125AD4 exp=C97E20CDBBFA125AD4 + 15043.50ns INFO [00015045] Port=1 RD @06 + 15044.50ns INFO [00015046] * RD COMPARE * port=0 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15044.50ns INFO [00015046] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15044.50ns INFO [00015046] Port=0 WR @04=CD53E2C11318E69608 + 15044.50ns INFO [00015046] Port=1 RD @07 + 15045.50ns INFO [00015047] * RD COMPARE * port=1 adr=06 act=18BEC7E88EE30D1F79 exp=18BEC7E88EE30D1F79 + 15045.50ns INFO [00015047] Port=0 WR @03=853FD3E55464C749DD + 15045.50ns INFO [00015047] Port=1 RD @01 + 15046.50ns INFO [00015048] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15047.50ns INFO [00015049] * RD COMPARE * port=1 adr=01 act=B2FD7197C5A906F1E2 exp=B2FD7197C5A906F1E2 + 15047.50ns INFO [00015049] Port=0 RD @04 + 15048.50ns INFO [00015050] Port=0 WR @01=FC72D081E66A4718F5 + 15048.50ns INFO [00015050] Port=0 RD @02 + 15048.50ns INFO [00015050] Port=1 RD @03 + 15049.50ns INFO [00015051] * RD COMPARE * port=0 adr=04 act=CD53E2C11318E69608 exp=CD53E2C11318E69608 + 15049.50ns INFO [00015051] Port=0 WR @03=BC01A3AA3C5BF087C3 + 15049.50ns INFO [00015051] Port=0 RD @05 + 15049.50ns INFO [00015051] Port=1 RD @05 + 15050.50ns INFO [00015052] * RD COMPARE * port=0 adr=02 act=C97E20CDBBFA125AD4 exp=C97E20CDBBFA125AD4 + 15050.50ns INFO [00015052] * RD COMPARE * port=1 adr=03 act=853FD3E55464C749DD exp=853FD3E55464C749DD + 15050.50ns INFO [00015052] Port=1 RD @05 + 15051.50ns INFO [00015053] * RD COMPARE * port=0 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15051.50ns INFO [00015053] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15052.50ns INFO [00015054] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15052.50ns INFO [00015054] Port=1 RD @03 + 15053.50ns INFO [00015055] Port=0 WR @01=09FE82F1A9A87FEBBD + 15053.50ns INFO [00015055] Port=0 RD @00 + 15054.50ns INFO [00015056] * RD COMPARE * port=1 adr=03 act=BC01A3AA3C5BF087C3 exp=BC01A3AA3C5BF087C3 + 15055.50ns INFO [00015057] * RD COMPARE * port=0 adr=00 act=3FBA66799902136EA4 exp=3FBA66799902136EA4 + 15055.50ns INFO [00015057] Port=0 WR @02=25C642F387C87C2013 + 15055.50ns INFO [00015057] Port=0 RD @07 + 15055.50ns INFO [00015057] Port=1 RD @01 + 15056.50ns INFO [00015058] Port=0 WR @04=97F110E95DAFB54BB2 + 15057.50ns INFO [00015059] * RD COMPARE * port=0 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15057.50ns INFO [00015059] * RD COMPARE * port=1 adr=01 act=09FE82F1A9A87FEBBD exp=09FE82F1A9A87FEBBD + 15057.50ns INFO [00015059] Port=0 RD @05 + 15057.50ns INFO [00015059] Port=1 RD @05 + 15059.50ns INFO [00015061] * RD COMPARE * port=0 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15059.50ns INFO [00015061] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15059.50ns INFO [00015061] Port=0 WR @00=F102B10DF7DA08CA8F + 15059.50ns INFO [00015061] Port=1 RD @02 + 15061.50ns INFO [00015063] * RD COMPARE * port=1 adr=02 act=25C642F387C87C2013 exp=25C642F387C87C2013 + 15062.50ns INFO [00015064] Port=1 RD @01 + 15063.50ns INFO [00015065] Port=0 WR @02=C1544B2EDC6612028D + 15063.50ns INFO [00015065] Port=0 RD @06 + 15063.50ns INFO [00015065] Port=1 RD @04 + 15064.50ns INFO [00015066] * RD COMPARE * port=1 adr=01 act=09FE82F1A9A87FEBBD exp=09FE82F1A9A87FEBBD + 15064.50ns INFO [00015066] Port=1 RD @06 + 15065.50ns INFO [00015067] * RD COMPARE * port=0 adr=06 act=18BEC7E88EE30D1F79 exp=18BEC7E88EE30D1F79 + 15065.50ns INFO [00015067] * RD COMPARE * port=1 adr=04 act=97F110E95DAFB54BB2 exp=97F110E95DAFB54BB2 + 15065.50ns INFO [00015067] Port=0 RD @05 + 15065.50ns INFO [00015067] Port=1 RD @01 + 15066.50ns INFO [00015068] * RD COMPARE * port=1 adr=06 act=18BEC7E88EE30D1F79 exp=18BEC7E88EE30D1F79 + 15066.50ns INFO [00015068] Port=1 RD @04 + 15067.50ns INFO [00015069] * RD COMPARE * port=0 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15067.50ns INFO [00015069] * RD COMPARE * port=1 adr=01 act=09FE82F1A9A87FEBBD exp=09FE82F1A9A87FEBBD + 15067.50ns INFO [00015069] Port=0 WR @06=00C6FB5D3E90B44574 + 15067.50ns INFO [00015069] Port=0 RD @01 + 15068.50ns INFO [00015070] * RD COMPARE * port=1 adr=04 act=97F110E95DAFB54BB2 exp=97F110E95DAFB54BB2 + 15069.50ns INFO [00015071] * RD COMPARE * port=0 adr=01 act=09FE82F1A9A87FEBBD exp=09FE82F1A9A87FEBBD + 15070.50ns INFO [00015072] Port=0 RD @02 + 15070.50ns INFO [00015072] Port=1 RD @03 + 15071.50ns INFO [00015073] Port=1 RD @02 + 15072.50ns INFO [00015074] * RD COMPARE * port=0 adr=02 act=C1544B2EDC6612028D exp=C1544B2EDC6612028D + 15072.50ns INFO [00015074] * RD COMPARE * port=1 adr=03 act=BC01A3AA3C5BF087C3 exp=BC01A3AA3C5BF087C3 + 15073.50ns INFO [00015075] * RD COMPARE * port=1 adr=02 act=C1544B2EDC6612028D exp=C1544B2EDC6612028D + 15074.50ns INFO [00015076] Port=0 RD @02 + 15075.50ns INFO [00015077] Port=0 WR @04=BC1AE70D4E23E7BE2E + 15075.50ns INFO [00015077] Port=0 RD @07 + 15075.50ns INFO [00015077] Port=1 RD @07 + 15076.50ns INFO [00015078] * RD COMPARE * port=0 adr=02 act=C1544B2EDC6612028D exp=C1544B2EDC6612028D + 15076.50ns INFO [00015078] Port=0 WR @00=97317E1D83ADC5A946 + 15076.50ns INFO [00015078] Port=0 RD @01 + 15077.50ns INFO [00015079] * RD COMPARE * port=0 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15077.50ns INFO [00015079] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15077.50ns INFO [00015079] Port=0 WR @00=DF8516E27BC4C0C3F8 + 15077.50ns INFO [00015079] Port=0 RD @03 + 15077.50ns INFO [00015079] Port=1 RD @01 + 15078.50ns INFO [00015080] * RD COMPARE * port=0 adr=01 act=09FE82F1A9A87FEBBD exp=09FE82F1A9A87FEBBD + 15078.50ns INFO [00015080] Port=0 WR @02=F107860F6433424BE9 + 15078.50ns INFO [00015080] Port=1 RD @07 + 15079.50ns INFO [00015081] * RD COMPARE * port=0 adr=03 act=BC01A3AA3C5BF087C3 exp=BC01A3AA3C5BF087C3 + 15079.50ns INFO [00015081] * RD COMPARE * port=1 adr=01 act=09FE82F1A9A87FEBBD exp=09FE82F1A9A87FEBBD + 15079.50ns INFO [00015081] Port=1 RD @05 + 15080.50ns INFO [00015082] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15080.50ns INFO [00015082] Port=0 WR @03=A1254E9964BE583FC8 + 15081.50ns INFO [00015083] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15081.50ns INFO [00015083] Port=1 RD @00 + 15083.50ns INFO [00015085] * RD COMPARE * port=1 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15084.50ns INFO [00015086] Port=0 WR @01=F0B67CE5F40D42263F + 15084.50ns INFO [00015086] Port=0 RD @00 + 15085.50ns INFO [00015087] Port=0 WR @03=542CDEDCE6588C9052 + 15086.50ns INFO [00015088] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15086.50ns INFO [00015088] Port=1 RD @06 + 15087.50ns INFO [00015089] Port=1 RD @05 + 15088.50ns INFO [00015090] * RD COMPARE * port=1 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15088.50ns INFO [00015090] Port=0 RD @02 + 15088.50ns INFO [00015090] Port=1 RD @07 + 15089.50ns INFO [00015091] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15089.50ns INFO [00015091] Port=0 WR @04=95E53519B98E27DC90 + 15089.50ns INFO [00015091] Port=1 RD @06 + 15090.50ns INFO [00015092] * RD COMPARE * port=0 adr=02 act=F107860F6433424BE9 exp=F107860F6433424BE9 + 15090.50ns INFO [00015092] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15090.50ns INFO [00015092] Port=0 RD @05 + 15091.50ns INFO [00015093] * RD COMPARE * port=1 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15091.50ns INFO [00015093] Port=0 RD @03 + 15091.50ns INFO [00015093] Port=1 RD @07 + 15092.50ns INFO [00015094] * RD COMPARE * port=0 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15092.50ns INFO [00015094] Port=0 WR @04=784D0EB29D7F010704 + 15092.50ns INFO [00015094] Port=0 RD @00 + 15093.50ns INFO [00015095] * RD COMPARE * port=0 adr=03 act=542CDEDCE6588C9052 exp=542CDEDCE6588C9052 + 15093.50ns INFO [00015095] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15093.50ns INFO [00015095] Port=0 RD @02 + 15094.50ns INFO [00015096] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15095.50ns INFO [00015097] * RD COMPARE * port=0 adr=02 act=F107860F6433424BE9 exp=F107860F6433424BE9 + 15096.50ns INFO [00015098] Port=0 RD @07 + 15097.50ns INFO [00015099] Port=0 RD @06 + 15097.50ns INFO [00015099] Port=1 RD @06 + 15098.00ns INFO [00015100] [00015100] ...tick... + 15098.50ns INFO [00015100] * RD COMPARE * port=0 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15099.50ns INFO [00015101] * RD COMPARE * port=0 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15099.50ns INFO [00015101] * RD COMPARE * port=1 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15099.50ns INFO [00015101] Port=0 WR @01=9ACDAE0390D762F783 + 15099.50ns INFO [00015101] Port=0 RD @02 + 15099.50ns INFO [00015101] Port=1 RD @03 + 15100.50ns INFO [00015102] Port=1 RD @02 + 15101.50ns INFO [00015103] * RD COMPARE * port=0 adr=02 act=F107860F6433424BE9 exp=F107860F6433424BE9 + 15101.50ns INFO [00015103] * RD COMPARE * port=1 adr=03 act=542CDEDCE6588C9052 exp=542CDEDCE6588C9052 + 15101.50ns INFO [00015103] Port=0 WR @04=9426136DF5129ACE05 + 15101.50ns INFO [00015103] Port=1 RD @06 + 15102.50ns INFO [00015104] * RD COMPARE * port=1 adr=02 act=F107860F6433424BE9 exp=F107860F6433424BE9 + 15102.50ns INFO [00015104] Port=0 RD @03 + 15102.50ns INFO [00015104] Port=1 RD @07 + 15103.50ns INFO [00015105] * RD COMPARE * port=1 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15103.50ns INFO [00015105] Port=1 RD @04 + 15104.50ns INFO [00015106] * RD COMPARE * port=0 adr=03 act=542CDEDCE6588C9052 exp=542CDEDCE6588C9052 + 15104.50ns INFO [00015106] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15104.50ns INFO [00015106] Port=0 RD @00 + 15105.50ns INFO [00015107] * RD COMPARE * port=1 adr=04 act=9426136DF5129ACE05 exp=9426136DF5129ACE05 + 15106.50ns INFO [00015108] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15106.50ns INFO [00015108] Port=0 RD @07 + 15108.50ns INFO [00015110] * RD COMPARE * port=0 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15108.50ns INFO [00015110] Port=0 WR @03=BCA8AC012D54E90B67 + 15108.50ns INFO [00015110] Port=1 RD @02 + 15109.50ns INFO [00015111] Port=1 RD @07 + 15110.50ns INFO [00015112] * RD COMPARE * port=1 adr=02 act=F107860F6433424BE9 exp=F107860F6433424BE9 + 15110.50ns INFO [00015112] Port=0 RD @00 + 15110.50ns INFO [00015112] Port=1 RD @07 + 15111.50ns INFO [00015113] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15112.50ns INFO [00015114] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15112.50ns INFO [00015114] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15112.50ns INFO [00015114] Port=0 RD @02 + 15112.50ns INFO [00015114] Port=1 RD @01 + 15114.50ns INFO [00015116] * RD COMPARE * port=0 adr=02 act=F107860F6433424BE9 exp=F107860F6433424BE9 + 15114.50ns INFO [00015116] * RD COMPARE * port=1 adr=01 act=9ACDAE0390D762F783 exp=9ACDAE0390D762F783 + 15115.50ns INFO [00015117] Port=1 RD @03 + 15116.50ns INFO [00015118] Port=0 WR @04=12B2598DB4B52B8F88 + 15117.50ns INFO [00015119] * RD COMPARE * port=1 adr=03 act=BCA8AC012D54E90B67 exp=BCA8AC012D54E90B67 + 15117.50ns INFO [00015119] Port=0 RD @02 + 15118.50ns INFO [00015120] Port=1 RD @07 + 15119.50ns INFO [00015121] * RD COMPARE * port=0 adr=02 act=F107860F6433424BE9 exp=F107860F6433424BE9 + 15120.50ns INFO [00015122] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15120.50ns INFO [00015122] Port=0 WR @02=78089CA04A71A0AEC8 + 15121.50ns INFO [00015123] Port=0 WR @04=8C1BF4B0F69738EAEF + 15121.50ns INFO [00015123] Port=1 RD @07 + 15122.50ns INFO [00015124] Port=0 WR @02=193DB36D9D85FD31A2 + 15122.50ns INFO [00015124] Port=0 RD @04 + 15123.50ns INFO [00015125] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15123.50ns INFO [00015125] Port=0 RD @06 + 15124.50ns INFO [00015126] * RD COMPARE * port=0 adr=04 act=8C1BF4B0F69738EAEF exp=8C1BF4B0F69738EAEF + 15124.50ns INFO [00015126] Port=0 WR @04=3BFEDC383D56ED838E + 15124.50ns INFO [00015126] Port=0 RD @00 + 15125.50ns INFO [00015127] * RD COMPARE * port=0 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15125.50ns INFO [00015127] Port=0 RD @06 + 15126.50ns INFO [00015128] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15127.50ns INFO [00015129] * RD COMPARE * port=0 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15128.50ns INFO [00015130] Port=0 RD @07 + 15130.50ns INFO [00015132] * RD COMPARE * port=0 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15130.50ns INFO [00015132] Port=0 RD @02 + 15130.50ns INFO [00015132] Port=1 RD @00 + 15131.50ns INFO [00015133] Port=0 WR @02=BB63C219287EB864D3 + 15132.50ns INFO [00015134] * RD COMPARE * port=0 adr=02 act=193DB36D9D85FD31A2 exp=193DB36D9D85FD31A2 + 15132.50ns INFO [00015134] * RD COMPARE * port=1 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15132.50ns INFO [00015134] Port=0 RD @06 + 15132.50ns INFO [00015134] Port=1 RD @01 + 15134.50ns INFO [00015136] * RD COMPARE * port=0 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15134.50ns INFO [00015136] * RD COMPARE * port=1 adr=01 act=9ACDAE0390D762F783 exp=9ACDAE0390D762F783 + 15134.50ns INFO [00015136] Port=0 WR @03=22C0530351D99C199A + 15134.50ns INFO [00015136] Port=1 RD @02 + 15135.50ns INFO [00015137] Port=1 RD @07 + 15136.50ns INFO [00015138] * RD COMPARE * port=1 adr=02 act=BB63C219287EB864D3 exp=BB63C219287EB864D3 + 15136.50ns INFO [00015138] Port=0 WR @03=4E88EA7C233E029B27 + 15136.50ns INFO [00015138] Port=1 RD @06 + 15137.50ns INFO [00015139] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15137.50ns INFO [00015139] Port=1 RD @00 + 15138.50ns INFO [00015140] * RD COMPARE * port=1 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15138.50ns INFO [00015140] Port=0 WR @02=21D5E0530D977A7192 + 15139.50ns INFO [00015141] * RD COMPARE * port=1 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15139.50ns INFO [00015141] Port=1 RD @07 + 15140.50ns INFO [00015142] Port=1 RD @06 + 15141.50ns INFO [00015143] * RD COMPARE * port=1 adr=07 act=47E4D418C388B6A2F4 exp=47E4D418C388B6A2F4 + 15141.50ns INFO [00015143] Port=0 WR @04=2D0F79F55A837634FE + 15142.50ns INFO [00015144] * RD COMPARE * port=1 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15142.50ns INFO [00015144] Port=0 RD @00 + 15142.50ns INFO [00015144] Port=1 RD @03 + 15143.50ns INFO [00015145] Port=0 WR @03=CA68EAAAFB6C450937 + 15143.50ns INFO [00015145] Port=1 RD @02 + 15144.50ns INFO [00015146] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15144.50ns INFO [00015146] * RD COMPARE * port=1 adr=03 act=4E88EA7C233E029B27 exp=4E88EA7C233E029B27 + 15144.50ns INFO [00015146] Port=0 RD @00 + 15144.50ns INFO [00015146] Port=1 RD @02 + 15145.50ns INFO [00015147] * RD COMPARE * port=1 adr=02 act=21D5E0530D977A7192 exp=21D5E0530D977A7192 + 15146.50ns INFO [00015148] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15146.50ns INFO [00015148] * RD COMPARE * port=1 adr=02 act=21D5E0530D977A7192 exp=21D5E0530D977A7192 + 15146.50ns INFO [00015148] Port=0 WR @07=498B92F69910342B2B + 15146.50ns INFO [00015148] Port=0 RD @06 + 15146.50ns INFO [00015148] Port=1 RD @05 + 15148.50ns INFO [00015150] * RD COMPARE * port=0 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15148.50ns INFO [00015150] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15148.50ns INFO [00015150] Port=0 WR @01=A86D8D2F453F2BBFDE + 15149.50ns INFO [00015151] Port=1 RD @04 + 15150.50ns INFO [00015152] Port=0 RD @06 + 15151.50ns INFO [00015153] * RD COMPARE * port=1 adr=04 act=2D0F79F55A837634FE exp=2D0F79F55A837634FE + 15151.50ns INFO [00015153] Port=0 WR @04=CC8C76C40220881C2F + 15152.50ns INFO [00015154] * RD COMPARE * port=0 adr=06 act=00C6FB5D3E90B44574 exp=00C6FB5D3E90B44574 + 15152.50ns INFO [00015154] Port=1 RD @07 + 15153.50ns INFO [00015155] Port=0 RD @00 + 15154.50ns INFO [00015156] * RD COMPARE * port=1 adr=07 act=498B92F69910342B2B exp=498B92F69910342B2B + 15154.50ns INFO [00015156] Port=0 WR @03=F782703563129345C6 + 15154.50ns INFO [00015156] Port=0 RD @02 + 15154.50ns INFO [00015156] Port=1 RD @02 + 15155.50ns INFO [00015157] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15155.50ns INFO [00015157] Port=0 WR @04=30BF966DF177DF4FA6 + 15155.50ns INFO [00015157] Port=0 RD @02 + 15156.50ns INFO [00015158] * RD COMPARE * port=0 adr=02 act=21D5E0530D977A7192 exp=21D5E0530D977A7192 + 15156.50ns INFO [00015158] * RD COMPARE * port=1 adr=02 act=21D5E0530D977A7192 exp=21D5E0530D977A7192 + 15156.50ns INFO [00015158] Port=1 RD @05 + 15157.50ns INFO [00015159] * RD COMPARE * port=0 adr=02 act=21D5E0530D977A7192 exp=21D5E0530D977A7192 + 15157.50ns INFO [00015159] Port=0 RD @02 + 15158.50ns INFO [00015160] * RD COMPARE * port=1 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15159.50ns INFO [00015161] * RD COMPARE * port=0 adr=02 act=21D5E0530D977A7192 exp=21D5E0530D977A7192 + 15159.50ns INFO [00015161] Port=0 WR @06=74950EAE12CA905ABD + 15159.50ns INFO [00015161] Port=0 RD @04 + 15160.50ns INFO [00015162] Port=0 WR @02=CCD3DE501FF1C41100 + 15160.50ns INFO [00015162] Port=0 RD @05 + 15160.50ns INFO [00015162] Port=1 RD @03 + 15161.50ns INFO [00015163] * RD COMPARE * port=0 adr=04 act=30BF966DF177DF4FA6 exp=30BF966DF177DF4FA6 + 15161.50ns INFO [00015163] Port=0 WR @04=83BD2A195CDBB6A0C5 + 15161.50ns INFO [00015163] Port=0 RD @00 + 15161.50ns INFO [00015163] Port=1 RD @02 + 15162.50ns INFO [00015164] * RD COMPARE * port=0 adr=05 act=39955F3C64795B33E6 exp=39955F3C64795B33E6 + 15162.50ns INFO [00015164] * RD COMPARE * port=1 adr=03 act=F782703563129345C6 exp=F782703563129345C6 + 15162.50ns INFO [00015164] Port=0 WR @05=458DF9327FF0971B99 + 15163.50ns INFO [00015165] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15163.50ns INFO [00015165] * RD COMPARE * port=1 adr=02 act=CCD3DE501FF1C41100 exp=CCD3DE501FF1C41100 + 15163.50ns INFO [00015165] Port=0 WR @03=804C43B7C792F2DF08 + 15163.50ns INFO [00015165] Port=0 RD @01 + 15163.50ns INFO [00015165] Port=1 RD @01 + 15164.50ns INFO [00015166] Port=0 WR @01=999A464A81BE8E47D6 + 15164.50ns INFO [00015166] Port=0 RD @06 + 15164.50ns INFO [00015166] Port=1 RD @07 + 15165.50ns INFO [00015167] * RD COMPARE * port=0 adr=01 act=A86D8D2F453F2BBFDE exp=A86D8D2F453F2BBFDE + 15165.50ns INFO [00015167] * RD COMPARE * port=1 adr=01 act=A86D8D2F453F2BBFDE exp=A86D8D2F453F2BBFDE + 15165.50ns INFO [00015167] Port=0 WR @04=53B045A8FE01D719F6 + 15166.50ns INFO [00015168] * RD COMPARE * port=0 adr=06 act=74950EAE12CA905ABD exp=74950EAE12CA905ABD + 15166.50ns INFO [00015168] * RD COMPARE * port=1 adr=07 act=498B92F69910342B2B exp=498B92F69910342B2B + 15166.50ns INFO [00015168] Port=1 RD @02 + 15167.50ns INFO [00015169] Port=0 RD @04 + 15168.50ns INFO [00015170] * RD COMPARE * port=1 adr=02 act=CCD3DE501FF1C41100 exp=CCD3DE501FF1C41100 + 15168.50ns INFO [00015170] Port=0 RD @07 + 15169.50ns INFO [00015171] * RD COMPARE * port=0 adr=04 act=53B045A8FE01D719F6 exp=53B045A8FE01D719F6 + 15169.50ns INFO [00015171] Port=0 WR @01=CC7F1E9AD76D6A91F0 + 15169.50ns INFO [00015171] Port=0 RD @03 + 15170.50ns INFO [00015172] * RD COMPARE * port=0 adr=07 act=498B92F69910342B2B exp=498B92F69910342B2B + 15170.50ns INFO [00015172] Port=1 RD @00 + 15171.50ns INFO [00015173] * RD COMPARE * port=0 adr=03 act=804C43B7C792F2DF08 exp=804C43B7C792F2DF08 + 15171.50ns INFO [00015173] Port=0 RD @02 + 15172.50ns INFO [00015174] * RD COMPARE * port=1 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15172.50ns INFO [00015174] Port=0 RD @01 + 15172.50ns INFO [00015174] Port=1 RD @01 + 15173.50ns INFO [00015175] * RD COMPARE * port=0 adr=02 act=CCD3DE501FF1C41100 exp=CCD3DE501FF1C41100 + 15174.50ns INFO [00015176] * RD COMPARE * port=0 adr=01 act=CC7F1E9AD76D6A91F0 exp=CC7F1E9AD76D6A91F0 + 15174.50ns INFO [00015176] * RD COMPARE * port=1 adr=01 act=CC7F1E9AD76D6A91F0 exp=CC7F1E9AD76D6A91F0 + 15174.50ns INFO [00015176] Port=0 RD @05 + 15174.50ns INFO [00015176] Port=1 RD @00 + 15176.50ns INFO [00015178] * RD COMPARE * port=0 adr=05 act=458DF9327FF0971B99 exp=458DF9327FF0971B99 + 15176.50ns INFO [00015178] * RD COMPARE * port=1 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15177.50ns INFO [00015179] Port=0 RD @02 + 15177.50ns INFO [00015179] Port=1 RD @01 + 15179.50ns INFO [00015181] * RD COMPARE * port=0 adr=02 act=CCD3DE501FF1C41100 exp=CCD3DE501FF1C41100 + 15179.50ns INFO [00015181] * RD COMPARE * port=1 adr=01 act=CC7F1E9AD76D6A91F0 exp=CC7F1E9AD76D6A91F0 + 15180.50ns INFO [00015182] Port=0 WR @02=902C4965776BC0BC98 + 15181.50ns INFO [00015183] Port=0 RD @03 + 15181.50ns INFO [00015183] Port=1 RD @06 + 15182.50ns INFO [00015184] Port=0 RD @06 + 15183.50ns INFO [00015185] * RD COMPARE * port=0 adr=03 act=804C43B7C792F2DF08 exp=804C43B7C792F2DF08 + 15183.50ns INFO [00015185] * RD COMPARE * port=1 adr=06 act=74950EAE12CA905ABD exp=74950EAE12CA905ABD + 15184.50ns INFO [00015186] * RD COMPARE * port=0 adr=06 act=74950EAE12CA905ABD exp=74950EAE12CA905ABD + 15184.50ns INFO [00015186] Port=0 WR @04=77156284AE86651B7B + 15185.50ns INFO [00015187] Port=0 RD @00 + 15185.50ns INFO [00015187] Port=1 RD @01 + 15187.50ns INFO [00015189] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15187.50ns INFO [00015189] * RD COMPARE * port=1 adr=01 act=CC7F1E9AD76D6A91F0 exp=CC7F1E9AD76D6A91F0 + 15187.50ns INFO [00015189] Port=0 WR @01=A8E6BA1E672853F3C5 + 15188.50ns INFO [00015190] Port=0 RD @06 + 15188.50ns INFO [00015190] Port=1 RD @06 + 15190.50ns INFO [00015192] * RD COMPARE * port=0 adr=06 act=74950EAE12CA905ABD exp=74950EAE12CA905ABD + 15190.50ns INFO [00015192] * RD COMPARE * port=1 adr=06 act=74950EAE12CA905ABD exp=74950EAE12CA905ABD + 15190.50ns INFO [00015192] Port=1 RD @05 + 15192.50ns INFO [00015194] * RD COMPARE * port=1 adr=05 act=458DF9327FF0971B99 exp=458DF9327FF0971B99 + 15192.50ns INFO [00015194] Port=0 WR @03=AE5AE16A3908BD6F84 + 15192.50ns INFO [00015194] Port=1 RD @06 + 15194.50ns INFO [00015196] * RD COMPARE * port=1 adr=06 act=74950EAE12CA905ABD exp=74950EAE12CA905ABD + 15194.50ns INFO [00015196] Port=0 RD @02 + 15195.50ns INFO [00015197] Port=0 WR @03=8C3EB7DC7C525D76D1 + 15195.50ns INFO [00015197] Port=0 RD @02 + 15195.50ns INFO [00015197] Port=1 RD @00 + 15196.50ns INFO [00015198] * RD COMPARE * port=0 adr=02 act=902C4965776BC0BC98 exp=902C4965776BC0BC98 + 15196.50ns INFO [00015198] Port=1 RD @00 + 15197.50ns INFO [00015199] * RD COMPARE * port=0 adr=02 act=902C4965776BC0BC98 exp=902C4965776BC0BC98 + 15197.50ns INFO [00015199] * RD COMPARE * port=1 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15198.00ns INFO [00015200] [00015200] ...tick... + 15198.50ns INFO [00015200] * RD COMPARE * port=1 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15198.50ns INFO [00015200] Port=0 WR @04=387FA9BE6B2678C8F3 + 15200.50ns INFO [00015202] Port=0 RD @07 + 15201.50ns INFO [00015203] Port=0 WR @04=2CF0DF549177194ADD + 15201.50ns INFO [00015203] Port=1 RD @01 + 15202.50ns INFO [00015204] * RD COMPARE * port=0 adr=07 act=498B92F69910342B2B exp=498B92F69910342B2B + 15202.50ns INFO [00015204] Port=0 WR @07=53464AA3B9202BF8F1 + 15202.50ns INFO [00015204] Port=0 RD @02 + 15202.50ns INFO [00015204] Port=1 RD @05 + 15203.50ns INFO [00015205] * RD COMPARE * port=1 adr=01 act=A8E6BA1E672853F3C5 exp=A8E6BA1E672853F3C5 + 15204.50ns INFO [00015206] * RD COMPARE * port=0 adr=02 act=902C4965776BC0BC98 exp=902C4965776BC0BC98 + 15204.50ns INFO [00015206] * RD COMPARE * port=1 adr=05 act=458DF9327FF0971B99 exp=458DF9327FF0971B99 + 15204.50ns INFO [00015206] Port=1 RD @04 + 15205.50ns INFO [00015207] Port=1 RD @01 + 15206.50ns INFO [00015208] * RD COMPARE * port=1 adr=04 act=2CF0DF549177194ADD exp=2CF0DF549177194ADD + 15206.50ns INFO [00015208] Port=0 RD @04 + 15206.50ns INFO [00015208] Port=1 RD @01 + 15207.50ns INFO [00015209] * RD COMPARE * port=1 adr=01 act=A8E6BA1E672853F3C5 exp=A8E6BA1E672853F3C5 + 15207.50ns INFO [00015209] Port=1 RD @04 + 15208.50ns INFO [00015210] * RD COMPARE * port=0 adr=04 act=2CF0DF549177194ADD exp=2CF0DF549177194ADD + 15208.50ns INFO [00015210] * RD COMPARE * port=1 adr=01 act=A8E6BA1E672853F3C5 exp=A8E6BA1E672853F3C5 + 15208.50ns INFO [00015210] Port=1 RD @05 + 15209.50ns INFO [00015211] * RD COMPARE * port=1 adr=04 act=2CF0DF549177194ADD exp=2CF0DF549177194ADD + 15210.50ns INFO [00015212] * RD COMPARE * port=1 adr=05 act=458DF9327FF0971B99 exp=458DF9327FF0971B99 + 15210.50ns INFO [00015212] Port=0 RD @05 + 15210.50ns INFO [00015212] Port=1 RD @07 + 15211.50ns INFO [00015213] Port=1 RD @05 + 15212.50ns INFO [00015214] * RD COMPARE * port=0 adr=05 act=458DF9327FF0971B99 exp=458DF9327FF0971B99 + 15212.50ns INFO [00015214] * RD COMPARE * port=1 adr=07 act=53464AA3B9202BF8F1 exp=53464AA3B9202BF8F1 + 15212.50ns INFO [00015214] Port=1 RD @03 + 15213.50ns INFO [00015215] * RD COMPARE * port=1 adr=05 act=458DF9327FF0971B99 exp=458DF9327FF0971B99 + 15213.50ns INFO [00015215] Port=1 RD @01 + 15214.50ns INFO [00015216] * RD COMPARE * port=1 adr=03 act=8C3EB7DC7C525D76D1 exp=8C3EB7DC7C525D76D1 + 15214.50ns INFO [00015216] Port=0 RD @06 + 15215.50ns INFO [00015217] * RD COMPARE * port=1 adr=01 act=A8E6BA1E672853F3C5 exp=A8E6BA1E672853F3C5 + 15215.50ns INFO [00015217] Port=0 WR @04=45702FAD33CD66AD41 + 15216.50ns INFO [00015218] * RD COMPARE * port=0 adr=06 act=74950EAE12CA905ABD exp=74950EAE12CA905ABD + 15216.50ns INFO [00015218] Port=0 WR @03=D0D901B33CADBF03F1 + 15216.50ns INFO [00015218] Port=0 RD @04 + 15216.50ns INFO [00015218] Port=1 RD @07 + 15217.50ns INFO [00015219] Port=0 WR @03=0F872112CCAE4EF6AA + 15217.50ns INFO [00015219] Port=0 RD @02 + 15217.50ns INFO [00015219] Port=1 RD @04 + 15218.50ns INFO [00015220] * RD COMPARE * port=0 adr=04 act=45702FAD33CD66AD41 exp=45702FAD33CD66AD41 + 15218.50ns INFO [00015220] * RD COMPARE * port=1 adr=07 act=53464AA3B9202BF8F1 exp=53464AA3B9202BF8F1 + 15218.50ns INFO [00015220] Port=1 RD @01 + 15219.50ns INFO [00015221] * RD COMPARE * port=0 adr=02 act=902C4965776BC0BC98 exp=902C4965776BC0BC98 + 15219.50ns INFO [00015221] * RD COMPARE * port=1 adr=04 act=45702FAD33CD66AD41 exp=45702FAD33CD66AD41 + 15219.50ns INFO [00015221] Port=0 RD @00 + 15219.50ns INFO [00015221] Port=1 RD @07 + 15220.50ns INFO [00015222] * RD COMPARE * port=1 adr=01 act=A8E6BA1E672853F3C5 exp=A8E6BA1E672853F3C5 + 15220.50ns INFO [00015222] Port=0 WR @00=CFCB14491C517E23A7 + 15221.50ns INFO [00015223] * RD COMPARE * port=0 adr=00 act=DF8516E27BC4C0C3F8 exp=DF8516E27BC4C0C3F8 + 15221.50ns INFO [00015223] * RD COMPARE * port=1 adr=07 act=53464AA3B9202BF8F1 exp=53464AA3B9202BF8F1 + 15221.50ns INFO [00015223] Port=0 WR @04=ABAE146774B29EFE42 + 15221.50ns INFO [00015223] Port=1 RD @07 + 15222.50ns INFO [00015224] Port=0 WR @00=021B032E5637DCAF37 + 15223.50ns INFO [00015225] * RD COMPARE * port=1 adr=07 act=53464AA3B9202BF8F1 exp=53464AA3B9202BF8F1 + 15223.50ns INFO [00015225] Port=0 RD @00 + 15223.50ns INFO [00015225] Port=1 RD @05 + 15224.50ns INFO [00015226] Port=0 WR @00=084404CB745F1E4EC7 + 15224.50ns INFO [00015226] Port=0 RD @07 + 15225.50ns INFO [00015227] * RD COMPARE * port=0 adr=00 act=021B032E5637DCAF37 exp=021B032E5637DCAF37 + 15225.50ns INFO [00015227] * RD COMPARE * port=1 adr=05 act=458DF9327FF0971B99 exp=458DF9327FF0971B99 + 15225.50ns INFO [00015227] Port=0 WR @04=ED7A7C01450561D79A + 15226.50ns INFO [00015228] * RD COMPARE * port=0 adr=07 act=53464AA3B9202BF8F1 exp=53464AA3B9202BF8F1 + 15226.50ns INFO [00015228] Port=0 WR @07=45FF6708EE169E5245 + 15227.50ns INFO [00015229] Port=0 WR @07=4D324C6040B07E4300 + 15228.50ns INFO [00015230] Port=0 RD @05 + 15228.50ns INFO [00015230] Port=1 RD @04 + 15229.50ns INFO [00015231] Port=0 RD @01 + 15230.50ns INFO [00015232] * RD COMPARE * port=0 adr=05 act=458DF9327FF0971B99 exp=458DF9327FF0971B99 + 15230.50ns INFO [00015232] * RD COMPARE * port=1 adr=04 act=ED7A7C01450561D79A exp=ED7A7C01450561D79A + 15230.50ns INFO [00015232] Port=0 WR @03=A4A97FA656292D5A41 + 15230.50ns INFO [00015232] Port=0 RD @06 + 15231.50ns INFO [00015233] * RD COMPARE * port=0 adr=01 act=A8E6BA1E672853F3C5 exp=A8E6BA1E672853F3C5 + 15231.50ns INFO [00015233] Port=0 WR @03=85DCD831B17FB5CAC6 + 15232.50ns INFO [00015234] * RD COMPARE * port=0 adr=06 act=74950EAE12CA905ABD exp=74950EAE12CA905ABD + 15232.50ns INFO [00015234] Port=0 WR @06=876D68B4A82EC166C7 + 15233.50ns INFO [00015235] Port=0 WR @04=A92764A67F455CC18D + 15234.50ns INFO [00015236] Port=1 RD @04 + 15235.50ns INFO [00015237] Port=0 WR @02=A6E794BBE7503E8FC6 + 15235.50ns INFO [00015237] Port=1 RD @06 + 15236.50ns INFO [00015238] * RD COMPARE * port=1 adr=04 act=A92764A67F455CC18D exp=A92764A67F455CC18D + 15236.50ns INFO [00015238] Port=0 WR @00=1C0918BABE389A5616 + 15236.50ns INFO [00015238] Port=1 RD @03 + 15237.50ns INFO [00015239] * RD COMPARE * port=1 adr=06 act=876D68B4A82EC166C7 exp=876D68B4A82EC166C7 + 15238.50ns INFO [00015240] * RD COMPARE * port=1 adr=03 act=85DCD831B17FB5CAC6 exp=85DCD831B17FB5CAC6 + 15238.50ns INFO [00015240] Port=0 WR @07=0E55A968A800EEBBBD + 15238.50ns INFO [00015240] Port=0 RD @01 + 15240.50ns INFO [00015242] * RD COMPARE * port=0 adr=01 act=A8E6BA1E672853F3C5 exp=A8E6BA1E672853F3C5 + 15240.50ns INFO [00015242] Port=0 WR @03=035A8DBC8A877E8FC9 + 15240.50ns INFO [00015242] Port=1 RD @05 + 15241.50ns INFO [00015243] Port=0 WR @03=E2EBE5853B1EF62708 + 15241.50ns INFO [00015243] Port=1 RD @05 + 15242.50ns INFO [00015244] * RD COMPARE * port=1 adr=05 act=458DF9327FF0971B99 exp=458DF9327FF0971B99 + 15243.50ns INFO [00015245] * RD COMPARE * port=1 adr=05 act=458DF9327FF0971B99 exp=458DF9327FF0971B99 + 15244.50ns INFO [00015246] Port=0 RD @04 + 15245.50ns INFO [00015247] Port=1 RD @04 + 15246.50ns INFO [00015248] * RD COMPARE * port=0 adr=04 act=A92764A67F455CC18D exp=A92764A67F455CC18D + 15246.50ns INFO [00015248] Port=0 WR @07=54E40B0CD0F33F025E + 15246.50ns INFO [00015248] Port=1 RD @04 + 15247.50ns INFO [00015249] * RD COMPARE * port=1 adr=04 act=A92764A67F455CC18D exp=A92764A67F455CC18D + 15247.50ns INFO [00015249] Port=0 WR @03=BA43AD67F6DC169D49 + 15247.50ns INFO [00015249] Port=1 RD @06 + 15248.50ns INFO [00015250] * RD COMPARE * port=1 adr=04 act=A92764A67F455CC18D exp=A92764A67F455CC18D + 15248.50ns INFO [00015250] Port=0 WR @01=F2E266029756204C76 + 15249.50ns INFO [00015251] * RD COMPARE * port=1 adr=06 act=876D68B4A82EC166C7 exp=876D68B4A82EC166C7 + 15250.50ns INFO [00015252] Port=0 WR @05=D6F3B7E0F90DA483F9 + 15250.50ns INFO [00015252] Port=1 RD @07 + 15252.50ns INFO [00015254] * RD COMPARE * port=1 adr=07 act=54E40B0CD0F33F025E exp=54E40B0CD0F33F025E + 15252.50ns INFO [00015254] Port=0 WR @07=DA8C8A28D1AD519563 + 15252.50ns INFO [00015254] Port=1 RD @03 + 15254.50ns INFO [00015256] * RD COMPARE * port=1 adr=03 act=BA43AD67F6DC169D49 exp=BA43AD67F6DC169D49 + 15254.50ns INFO [00015256] Port=0 WR @03=9315728D1728D3C4BA + 15254.50ns INFO [00015256] Port=1 RD @06 + 15255.50ns INFO [00015257] Port=0 RD @07 + 15256.50ns INFO [00015258] * RD COMPARE * port=1 adr=06 act=876D68B4A82EC166C7 exp=876D68B4A82EC166C7 + 15257.50ns INFO [00015259] * RD COMPARE * port=0 adr=07 act=DA8C8A28D1AD519563 exp=DA8C8A28D1AD519563 + 15257.50ns INFO [00015259] Port=0 WR @05=1604B574CE60A9EECE + 15257.50ns INFO [00015259] Port=1 RD @01 + 15258.50ns INFO [00015260] Port=0 RD @04 + 15259.50ns INFO [00015261] * RD COMPARE * port=1 adr=01 act=F2E266029756204C76 exp=F2E266029756204C76 + 15260.50ns INFO [00015262] * RD COMPARE * port=0 adr=04 act=A92764A67F455CC18D exp=A92764A67F455CC18D + 15260.50ns INFO [00015262] Port=0 RD @04 + 15260.50ns INFO [00015262] Port=1 RD @07 + 15261.50ns INFO [00015263] Port=0 RD @05 + 15261.50ns INFO [00015263] Port=1 RD @05 + 15262.50ns INFO [00015264] * RD COMPARE * port=0 adr=04 act=A92764A67F455CC18D exp=A92764A67F455CC18D + 15262.50ns INFO [00015264] * RD COMPARE * port=1 adr=07 act=DA8C8A28D1AD519563 exp=DA8C8A28D1AD519563 + 15262.50ns INFO [00015264] Port=0 RD @01 + 15262.50ns INFO [00015264] Port=1 RD @01 + 15263.50ns INFO [00015265] * RD COMPARE * port=0 adr=05 act=1604B574CE60A9EECE exp=1604B574CE60A9EECE + 15263.50ns INFO [00015265] * RD COMPARE * port=1 adr=05 act=1604B574CE60A9EECE exp=1604B574CE60A9EECE + 15263.50ns INFO [00015265] Port=0 RD @01 + 15264.50ns INFO [00015266] * RD COMPARE * port=0 adr=01 act=F2E266029756204C76 exp=F2E266029756204C76 + 15264.50ns INFO [00015266] * RD COMPARE * port=1 adr=01 act=F2E266029756204C76 exp=F2E266029756204C76 + 15264.50ns INFO [00015266] Port=0 WR @04=CC9F09CA6760463082 + 15265.50ns INFO [00015267] * RD COMPARE * port=0 adr=01 act=F2E266029756204C76 exp=F2E266029756204C76 + 15265.50ns INFO [00015267] Port=0 WR @00=276A269B900E3869C0 + 15265.50ns INFO [00015267] Port=0 RD @04 + 15266.50ns INFO [00015268] Port=0 RD @06 + 15266.50ns INFO [00015268] Port=1 RD @06 + 15267.50ns INFO [00015269] * RD COMPARE * port=0 adr=04 act=CC9F09CA6760463082 exp=CC9F09CA6760463082 + 15268.50ns INFO [00015270] * RD COMPARE * port=0 adr=06 act=876D68B4A82EC166C7 exp=876D68B4A82EC166C7 + 15268.50ns INFO [00015270] * RD COMPARE * port=1 adr=06 act=876D68B4A82EC166C7 exp=876D68B4A82EC166C7 + 15270.50ns INFO [00015272] Port=0 RD @01 + 15271.50ns INFO [00015273] Port=0 RD @05 + 15272.50ns INFO [00015274] * RD COMPARE * port=0 adr=01 act=F2E266029756204C76 exp=F2E266029756204C76 + 15272.50ns INFO [00015274] Port=0 RD @03 + 15273.50ns INFO [00015275] * RD COMPARE * port=0 adr=05 act=1604B574CE60A9EECE exp=1604B574CE60A9EECE + 15273.50ns INFO [00015275] Port=0 WR @01=16BDC9FB66F02AB014 + 15273.50ns INFO [00015275] Port=1 RD @07 + 15274.50ns INFO [00015276] * RD COMPARE * port=0 adr=03 act=9315728D1728D3C4BA exp=9315728D1728D3C4BA + 15274.50ns INFO [00015276] Port=0 WR @03=58AB75FC4D1F93E77B + 15274.50ns INFO [00015276] Port=0 RD @02 + 15274.50ns INFO [00015276] Port=1 RD @02 + 15275.50ns INFO [00015277] * RD COMPARE * port=1 adr=07 act=DA8C8A28D1AD519563 exp=DA8C8A28D1AD519563 + 15275.50ns INFO [00015277] Port=0 WR @01=78C0EEC5193CDEEE01 + 15275.50ns INFO [00015277] Port=0 RD @03 + 15276.50ns INFO [00015278] * RD COMPARE * port=0 adr=02 act=A6E794BBE7503E8FC6 exp=A6E794BBE7503E8FC6 + 15276.50ns INFO [00015278] * RD COMPARE * port=1 adr=02 act=A6E794BBE7503E8FC6 exp=A6E794BBE7503E8FC6 + 15276.50ns INFO [00015278] Port=0 WR @00=87A8C294CE2F196833 + 15276.50ns INFO [00015278] Port=1 RD @01 + 15277.50ns INFO [00015279] * RD COMPARE * port=0 adr=03 act=58AB75FC4D1F93E77B exp=58AB75FC4D1F93E77B + 15278.50ns INFO [00015280] * RD COMPARE * port=1 adr=01 act=78C0EEC5193CDEEE01 exp=78C0EEC5193CDEEE01 + 15279.50ns INFO [00015281] Port=0 WR @06=44346FB991D8ADCCBA + 15279.50ns INFO [00015281] Port=0 RD @05 + 15281.50ns INFO [00015283] * RD COMPARE * port=0 adr=05 act=1604B574CE60A9EECE exp=1604B574CE60A9EECE + 15282.50ns INFO [00015284] Port=0 WR @06=EC1DF5BFBE98DB93CB + 15282.50ns INFO [00015284] Port=0 RD @03 + 15284.50ns INFO [00015286] * RD COMPARE * port=0 adr=03 act=58AB75FC4D1F93E77B exp=58AB75FC4D1F93E77B + 15285.50ns INFO [00015287] Port=1 RD @07 + 15286.50ns INFO [00015288] Port=1 RD @03 + 15287.50ns INFO [00015289] * RD COMPARE * port=1 adr=07 act=DA8C8A28D1AD519563 exp=DA8C8A28D1AD519563 + 15287.50ns INFO [00015289] Port=0 WR @03=75479A7E0BA65147CA + 15288.50ns INFO [00015290] * RD COMPARE * port=1 adr=03 act=58AB75FC4D1F93E77B exp=58AB75FC4D1F93E77B + 15288.50ns INFO [00015290] Port=0 WR @03=13848C0B71F5FDFBFA + 15288.50ns INFO [00015290] Port=1 RD @07 + 15289.50ns INFO [00015291] Port=0 WR @00=C5B1F9B1BAB5AE1C01 + 15289.50ns INFO [00015291] Port=0 RD @03 + 15289.50ns INFO [00015291] Port=1 RD @02 + 15290.50ns INFO [00015292] * RD COMPARE * port=1 adr=07 act=DA8C8A28D1AD519563 exp=DA8C8A28D1AD519563 + 15290.50ns INFO [00015292] Port=0 RD @01 + 15290.50ns INFO [00015292] Port=1 RD @06 + 15291.50ns INFO [00015293] * RD COMPARE * port=0 adr=03 act=13848C0B71F5FDFBFA exp=13848C0B71F5FDFBFA + 15291.50ns INFO [00015293] * RD COMPARE * port=1 adr=02 act=A6E794BBE7503E8FC6 exp=A6E794BBE7503E8FC6 + 15292.50ns INFO [00015294] * RD COMPARE * port=0 adr=01 act=78C0EEC5193CDEEE01 exp=78C0EEC5193CDEEE01 + 15292.50ns INFO [00015294] * RD COMPARE * port=1 adr=06 act=EC1DF5BFBE98DB93CB exp=EC1DF5BFBE98DB93CB + 15292.50ns INFO [00015294] Port=0 RD @01 + 15292.50ns INFO [00015294] Port=1 RD @04 + 15293.50ns INFO [00015295] Port=0 WR @02=67A78DA736AFC33C49 + 15294.50ns INFO [00015296] * RD COMPARE * port=0 adr=01 act=78C0EEC5193CDEEE01 exp=78C0EEC5193CDEEE01 + 15294.50ns INFO [00015296] * RD COMPARE * port=1 adr=04 act=CC9F09CA6760463082 exp=CC9F09CA6760463082 + 15294.50ns INFO [00015296] Port=0 RD @03 + 15294.50ns INFO [00015296] Port=1 RD @04 + 15295.50ns INFO [00015297] Port=0 RD @07 + 15296.50ns INFO [00015298] * RD COMPARE * port=0 adr=03 act=13848C0B71F5FDFBFA exp=13848C0B71F5FDFBFA + 15296.50ns INFO [00015298] * RD COMPARE * port=1 adr=04 act=CC9F09CA6760463082 exp=CC9F09CA6760463082 + 15296.50ns INFO [00015298] Port=0 RD @01 + 15297.50ns INFO [00015299] * RD COMPARE * port=0 adr=07 act=DA8C8A28D1AD519563 exp=DA8C8A28D1AD519563 + 15297.50ns INFO [00015299] Port=0 WR @06=6E7CB162674400ABC4 + 15298.00ns INFO [00015300] [00015300] ...tick... + 15298.50ns INFO [00015300] * RD COMPARE * port=0 adr=01 act=78C0EEC5193CDEEE01 exp=78C0EEC5193CDEEE01 + 15298.50ns INFO [00015300] Port=1 RD @06 + 15299.50ns INFO [00015301] Port=0 RD @05 + 15299.50ns INFO [00015301] Port=1 RD @02 + 15300.50ns INFO [00015302] * RD COMPARE * port=1 adr=06 act=6E7CB162674400ABC4 exp=6E7CB162674400ABC4 + 15300.50ns INFO [00015302] Port=0 WR @01=82FC979B377F06759A + 15300.50ns INFO [00015302] Port=1 RD @03 + 15301.50ns INFO [00015303] * RD COMPARE * port=0 adr=05 act=1604B574CE60A9EECE exp=1604B574CE60A9EECE + 15301.50ns INFO [00015303] * RD COMPARE * port=1 adr=02 act=67A78DA736AFC33C49 exp=67A78DA736AFC33C49 + 15301.50ns INFO [00015303] Port=0 WR @04=EDD732582E94D2A3D8 + 15301.50ns INFO [00015303] Port=1 RD @06 + 15302.50ns INFO [00015304] * RD COMPARE * port=1 adr=03 act=13848C0B71F5FDFBFA exp=13848C0B71F5FDFBFA + 15302.50ns INFO [00015304] Port=0 RD @07 + 15303.50ns INFO [00015305] * RD COMPARE * port=1 adr=06 act=6E7CB162674400ABC4 exp=6E7CB162674400ABC4 + 15303.50ns INFO [00015305] Port=1 RD @02 + 15304.50ns INFO [00015306] * RD COMPARE * port=0 adr=07 act=DA8C8A28D1AD519563 exp=DA8C8A28D1AD519563 + 15304.50ns INFO [00015306] Port=0 RD @03 + 15304.50ns INFO [00015306] Port=1 RD @01 + 15305.50ns INFO [00015307] * RD COMPARE * port=1 adr=02 act=67A78DA736AFC33C49 exp=67A78DA736AFC33C49 + 15305.50ns INFO [00015307] Port=0 WR @07=355AA54D0997034C6B + 15305.50ns INFO [00015307] Port=0 RD @03 + 15306.50ns INFO [00015308] * RD COMPARE * port=0 adr=03 act=13848C0B71F5FDFBFA exp=13848C0B71F5FDFBFA + 15306.50ns INFO [00015308] * RD COMPARE * port=1 adr=01 act=82FC979B377F06759A exp=82FC979B377F06759A + 15306.50ns INFO [00015308] Port=0 WR @05=E82AD96F000E701458 + 15307.50ns INFO [00015309] * RD COMPARE * port=0 adr=03 act=13848C0B71F5FDFBFA exp=13848C0B71F5FDFBFA + 15307.50ns INFO [00015309] Port=0 WR @04=3CC1D88DCFF18B5A72 + 15308.50ns INFO [00015310] Port=0 WR @05=F87BF6B311F786AE43 + 15308.50ns INFO [00015310] Port=0 RD @02 + 15310.50ns INFO [00015312] * RD COMPARE * port=0 adr=02 act=67A78DA736AFC33C49 exp=67A78DA736AFC33C49 + 15310.50ns INFO [00015312] Port=0 WR @04=EBF6F445DC643BE1AF + 15310.50ns INFO [00015312] Port=1 RD @05 + 15311.50ns INFO [00015313] Port=0 WR @01=57CF2D93CC20253E5C + 15311.50ns INFO [00015313] Port=0 RD @04 + 15312.50ns INFO [00015314] * RD COMPARE * port=1 adr=05 act=F87BF6B311F786AE43 exp=F87BF6B311F786AE43 + 15312.50ns INFO [00015314] Port=0 RD @02 + 15313.50ns INFO [00015315] * RD COMPARE * port=0 adr=04 act=EBF6F445DC643BE1AF exp=EBF6F445DC643BE1AF + 15314.50ns INFO [00015316] * RD COMPARE * port=0 adr=02 act=67A78DA736AFC33C49 exp=67A78DA736AFC33C49 + 15314.50ns INFO [00015316] Port=0 RD @01 + 15314.50ns INFO [00015316] Port=1 RD @04 + 15315.50ns INFO [00015317] Port=0 WR @00=4EFD6E7499C37D67CA + 15315.50ns INFO [00015317] Port=0 RD @01 + 15315.50ns INFO [00015317] Port=1 RD @06 + 15316.50ns INFO [00015318] * RD COMPARE * port=0 adr=01 act=57CF2D93CC20253E5C exp=57CF2D93CC20253E5C + 15316.50ns INFO [00015318] * RD COMPARE * port=1 adr=04 act=EBF6F445DC643BE1AF exp=EBF6F445DC643BE1AF + 15317.50ns INFO [00015319] * RD COMPARE * port=0 adr=01 act=57CF2D93CC20253E5C exp=57CF2D93CC20253E5C + 15317.50ns INFO [00015319] * RD COMPARE * port=1 adr=06 act=6E7CB162674400ABC4 exp=6E7CB162674400ABC4 + 15317.50ns INFO [00015319] Port=0 WR @07=DF31E617F3D421937D + 15317.50ns INFO [00015319] Port=0 RD @02 + 15318.50ns INFO [00015320] Port=1 RD @01 + 15319.50ns INFO [00015321] * RD COMPARE * port=0 adr=02 act=67A78DA736AFC33C49 exp=67A78DA736AFC33C49 + 15320.50ns INFO [00015322] * RD COMPARE * port=1 adr=01 act=57CF2D93CC20253E5C exp=57CF2D93CC20253E5C + 15321.50ns INFO [00015323] Port=0 WR @02=491CE2823CEFFBADA7 + 15321.50ns INFO [00015323] Port=1 RD @01 + 15322.50ns INFO [00015324] Port=1 RD @07 + 15323.50ns INFO [00015325] * RD COMPARE * port=1 adr=01 act=57CF2D93CC20253E5C exp=57CF2D93CC20253E5C + 15323.50ns INFO [00015325] Port=0 RD @07 + 15323.50ns INFO [00015325] Port=1 RD @00 + 15324.50ns INFO [00015326] * RD COMPARE * port=1 adr=07 act=DF31E617F3D421937D exp=DF31E617F3D421937D + 15324.50ns INFO [00015326] Port=0 WR @00=92572F21975240FD40 + 15324.50ns INFO [00015326] Port=1 RD @04 + 15325.50ns INFO [00015327] * RD COMPARE * port=0 adr=07 act=DF31E617F3D421937D exp=DF31E617F3D421937D + 15325.50ns INFO [00015327] * RD COMPARE * port=1 adr=00 act=4EFD6E7499C37D67CA exp=4EFD6E7499C37D67CA + 15326.50ns INFO [00015328] * RD COMPARE * port=1 adr=04 act=EBF6F445DC643BE1AF exp=EBF6F445DC643BE1AF + 15326.50ns INFO [00015328] Port=1 RD @00 + 15327.50ns INFO [00015329] Port=0 RD @01 + 15327.50ns INFO [00015329] Port=1 RD @02 + 15328.50ns INFO [00015330] * RD COMPARE * port=1 adr=00 act=92572F21975240FD40 exp=92572F21975240FD40 + 15328.50ns INFO [00015330] Port=0 RD @03 + 15329.50ns INFO [00015331] * RD COMPARE * port=0 adr=01 act=57CF2D93CC20253E5C exp=57CF2D93CC20253E5C + 15329.50ns INFO [00015331] * RD COMPARE * port=1 adr=02 act=491CE2823CEFFBADA7 exp=491CE2823CEFFBADA7 + 15329.50ns INFO [00015331] Port=1 RD @00 + 15330.50ns INFO [00015332] * RD COMPARE * port=0 adr=03 act=13848C0B71F5FDFBFA exp=13848C0B71F5FDFBFA + 15330.50ns INFO [00015332] Port=0 RD @06 + 15330.50ns INFO [00015332] Port=1 RD @04 + 15331.50ns INFO [00015333] * RD COMPARE * port=1 adr=00 act=92572F21975240FD40 exp=92572F21975240FD40 + 15331.50ns INFO [00015333] Port=1 RD @00 + 15332.50ns INFO [00015334] * RD COMPARE * port=0 adr=06 act=6E7CB162674400ABC4 exp=6E7CB162674400ABC4 + 15332.50ns INFO [00015334] * RD COMPARE * port=1 adr=04 act=EBF6F445DC643BE1AF exp=EBF6F445DC643BE1AF + 15332.50ns INFO [00015334] Port=0 RD @02 + 15333.50ns INFO [00015335] * RD COMPARE * port=1 adr=00 act=92572F21975240FD40 exp=92572F21975240FD40 + 15333.50ns INFO [00015335] Port=0 WR @03=7595C10F668E34F25C + 15334.50ns INFO [00015336] * RD COMPARE * port=0 adr=02 act=491CE2823CEFFBADA7 exp=491CE2823CEFFBADA7 + 15334.50ns INFO [00015336] Port=1 RD @05 + 15336.50ns INFO [00015338] * RD COMPARE * port=1 adr=05 act=F87BF6B311F786AE43 exp=F87BF6B311F786AE43 + 15336.50ns INFO [00015338] Port=1 RD @05 + 15337.50ns INFO [00015339] Port=0 WR @00=3D99048C3609FAAEEC + 15337.50ns INFO [00015339] Port=1 RD @07 + 15338.50ns INFO [00015340] * RD COMPARE * port=1 adr=05 act=F87BF6B311F786AE43 exp=F87BF6B311F786AE43 + 15338.50ns INFO [00015340] Port=1 RD @06 + 15339.50ns INFO [00015341] * RD COMPARE * port=1 adr=07 act=DF31E617F3D421937D exp=DF31E617F3D421937D + 15339.50ns INFO [00015341] Port=0 WR @00=875B4DD926BF796943 + 15339.50ns INFO [00015341] Port=1 RD @04 + 15340.50ns INFO [00015342] * RD COMPARE * port=1 adr=06 act=6E7CB162674400ABC4 exp=6E7CB162674400ABC4 + 15340.50ns INFO [00015342] Port=1 RD @00 + 15341.50ns INFO [00015343] * RD COMPARE * port=1 adr=04 act=EBF6F445DC643BE1AF exp=EBF6F445DC643BE1AF + 15341.50ns INFO [00015343] Port=1 RD @04 + 15342.50ns INFO [00015344] * RD COMPARE * port=1 adr=00 act=875B4DD926BF796943 exp=875B4DD926BF796943 + 15342.50ns INFO [00015344] Port=0 WR @06=DDB9C831DD5F73E488 + 15342.50ns INFO [00015344] Port=0 RD @03 + 15342.50ns INFO [00015344] Port=1 RD @05 + 15343.50ns INFO [00015345] * RD COMPARE * port=1 adr=04 act=EBF6F445DC643BE1AF exp=EBF6F445DC643BE1AF + 15343.50ns INFO [00015345] Port=0 RD @01 + 15344.50ns INFO [00015346] * RD COMPARE * port=0 adr=03 act=7595C10F668E34F25C exp=7595C10F668E34F25C + 15344.50ns INFO [00015346] * RD COMPARE * port=1 adr=05 act=F87BF6B311F786AE43 exp=F87BF6B311F786AE43 + 15344.50ns INFO [00015346] Port=0 WR @07=D2D087CDE91D49D13D + 15345.50ns INFO [00015347] * RD COMPARE * port=0 adr=01 act=57CF2D93CC20253E5C exp=57CF2D93CC20253E5C + 15345.50ns INFO [00015347] Port=0 WR @06=F287ABAC29BAF255C9 + 15345.50ns INFO [00015347] Port=0 RD @03 + 15346.50ns INFO [00015348] Port=1 RD @02 + 15347.50ns INFO [00015349] * RD COMPARE * port=0 adr=03 act=7595C10F668E34F25C exp=7595C10F668E34F25C + 15347.50ns INFO [00015349] Port=0 RD @07 + 15348.50ns INFO [00015350] * RD COMPARE * port=1 adr=02 act=491CE2823CEFFBADA7 exp=491CE2823CEFFBADA7 + 15348.50ns INFO [00015350] Port=0 RD @04 + 15348.50ns INFO [00015350] Port=1 RD @02 + 15349.50ns INFO [00015351] * RD COMPARE * port=0 adr=07 act=D2D087CDE91D49D13D exp=D2D087CDE91D49D13D + 15349.50ns INFO [00015351] Port=0 RD @07 + 15350.50ns INFO [00015352] * RD COMPARE * port=0 adr=04 act=EBF6F445DC643BE1AF exp=EBF6F445DC643BE1AF + 15350.50ns INFO [00015352] * RD COMPARE * port=1 adr=02 act=491CE2823CEFFBADA7 exp=491CE2823CEFFBADA7 + 15351.50ns INFO [00015353] * RD COMPARE * port=0 adr=07 act=D2D087CDE91D49D13D exp=D2D087CDE91D49D13D + 15351.50ns INFO [00015353] Port=0 WR @07=FB0D2A8746023B179C + 15351.50ns INFO [00015353] Port=1 RD @00 + 15353.50ns INFO [00015355] * RD COMPARE * port=1 adr=00 act=875B4DD926BF796943 exp=875B4DD926BF796943 + 15353.50ns INFO [00015355] Port=1 RD @02 + 15354.50ns INFO [00015356] Port=0 WR @06=B0370002D4B1274E09 + 15354.50ns INFO [00015356] Port=1 RD @01 + 15355.50ns INFO [00015357] * RD COMPARE * port=1 adr=02 act=491CE2823CEFFBADA7 exp=491CE2823CEFFBADA7 + 15356.50ns INFO [00015358] * RD COMPARE * port=1 adr=01 act=57CF2D93CC20253E5C exp=57CF2D93CC20253E5C + 15357.50ns INFO [00015359] Port=0 RD @00 + 15358.50ns INFO [00015360] Port=0 RD @03 + 15359.50ns INFO [00015361] * RD COMPARE * port=0 adr=00 act=875B4DD926BF796943 exp=875B4DD926BF796943 + 15360.50ns INFO [00015362] * RD COMPARE * port=0 adr=03 act=7595C10F668E34F25C exp=7595C10F668E34F25C + 15360.50ns INFO [00015362] Port=0 WR @06=0ED02C320A69B58AD8 + 15362.50ns INFO [00015364] Port=0 WR @05=36C64A69A13DDBC81D + 15364.50ns INFO [00015366] Port=0 WR @05=DA247861D84541B0A9 + 15365.50ns INFO [00015367] Port=0 WR @06=F156D86FE63ED81333 + 15365.50ns INFO [00015367] Port=0 RD @00 + 15366.50ns INFO [00015368] Port=0 RD @05 + 15367.50ns INFO [00015369] * RD COMPARE * port=0 adr=00 act=875B4DD926BF796943 exp=875B4DD926BF796943 + 15367.50ns INFO [00015369] Port=0 WR @01=861F4AFF5AA8F98E61 + 15368.50ns INFO [00015370] * RD COMPARE * port=0 adr=05 act=DA247861D84541B0A9 exp=DA247861D84541B0A9 + 15368.50ns INFO [00015370] Port=0 WR @03=4B1241E99F26C030DD + 15369.50ns INFO [00015371] Port=0 RD @03 + 15371.50ns INFO [00015373] * RD COMPARE * port=0 adr=03 act=4B1241E99F26C030DD exp=4B1241E99F26C030DD + 15371.50ns INFO [00015373] Port=1 RD @07 + 15372.50ns INFO [00015374] Port=0 RD @02 + 15373.50ns INFO [00015375] * RD COMPARE * port=1 adr=07 act=FB0D2A8746023B179C exp=FB0D2A8746023B179C + 15373.50ns INFO [00015375] Port=0 RD @00 + 15373.50ns INFO [00015375] Port=1 RD @02 + 15374.50ns INFO [00015376] * RD COMPARE * port=0 adr=02 act=491CE2823CEFFBADA7 exp=491CE2823CEFFBADA7 + 15374.50ns INFO [00015376] Port=1 RD @05 + 15375.50ns INFO [00015377] * RD COMPARE * port=0 adr=00 act=875B4DD926BF796943 exp=875B4DD926BF796943 + 15375.50ns INFO [00015377] * RD COMPARE * port=1 adr=02 act=491CE2823CEFFBADA7 exp=491CE2823CEFFBADA7 + 15376.50ns INFO [00015378] * RD COMPARE * port=1 adr=05 act=DA247861D84541B0A9 exp=DA247861D84541B0A9 + 15376.50ns INFO [00015378] Port=0 RD @03 + 15376.50ns INFO [00015378] Port=1 RD @01 + 15378.50ns INFO [00015380] * RD COMPARE * port=0 adr=03 act=4B1241E99F26C030DD exp=4B1241E99F26C030DD + 15378.50ns INFO [00015380] * RD COMPARE * port=1 adr=01 act=861F4AFF5AA8F98E61 exp=861F4AFF5AA8F98E61 + 15378.50ns INFO [00015380] Port=0 WR @03=6E963C2F952F3FDE2A + 15378.50ns INFO [00015380] Port=0 RD @04 + 15379.50ns INFO [00015381] Port=0 RD @07 + 15380.50ns INFO [00015382] * RD COMPARE * port=0 adr=04 act=EBF6F445DC643BE1AF exp=EBF6F445DC643BE1AF + 15380.50ns INFO [00015382] Port=0 WR @00=4AEA0CC2654A7A9CE8 + 15381.50ns INFO [00015383] * RD COMPARE * port=0 adr=07 act=FB0D2A8746023B179C exp=FB0D2A8746023B179C + 15381.50ns INFO [00015383] Port=0 WR @00=2BD5D63A05C4D4EBF4 + 15381.50ns INFO [00015383] Port=0 RD @01 + 15382.50ns INFO [00015384] Port=0 RD @06 + 15383.50ns INFO [00015385] * RD COMPARE * port=0 adr=01 act=861F4AFF5AA8F98E61 exp=861F4AFF5AA8F98E61 + 15383.50ns INFO [00015385] Port=0 WR @02=5BC615B438D795344A + 15384.50ns INFO [00015386] * RD COMPARE * port=0 adr=06 act=F156D86FE63ED81333 exp=F156D86FE63ED81333 + 15385.50ns INFO [00015387] Port=0 WR @02=1AF539D86B16EE1DBB + 15385.50ns INFO [00015387] Port=0 RD @07 + 15387.50ns INFO [00015389] * RD COMPARE * port=0 adr=07 act=FB0D2A8746023B179C exp=FB0D2A8746023B179C + 15387.50ns INFO [00015389] Port=0 RD @04 + 15387.50ns INFO [00015389] Port=1 RD @00 + 15388.50ns INFO [00015390] Port=1 RD @07 + 15389.50ns INFO [00015391] * RD COMPARE * port=0 adr=04 act=EBF6F445DC643BE1AF exp=EBF6F445DC643BE1AF + 15389.50ns INFO [00015391] * RD COMPARE * port=1 adr=00 act=2BD5D63A05C4D4EBF4 exp=2BD5D63A05C4D4EBF4 + 15389.50ns INFO [00015391] Port=0 WR @04=D45D7D4D86D0BD9092 + 15389.50ns INFO [00015391] Port=0 RD @06 + 15389.50ns INFO [00015391] Port=1 RD @05 + 15390.50ns INFO [00015392] * RD COMPARE * port=1 adr=07 act=FB0D2A8746023B179C exp=FB0D2A8746023B179C + 15390.50ns INFO [00015392] Port=0 WR @02=0FBEDE01E0D90BD560 + 15390.50ns INFO [00015392] Port=0 RD @04 + 15390.50ns INFO [00015392] Port=1 RD @04 + 15391.50ns INFO [00015393] * RD COMPARE * port=0 adr=06 act=F156D86FE63ED81333 exp=F156D86FE63ED81333 + 15391.50ns INFO [00015393] * RD COMPARE * port=1 adr=05 act=DA247861D84541B0A9 exp=DA247861D84541B0A9 + 15391.50ns INFO [00015393] Port=1 RD @07 + 15392.50ns INFO [00015394] * RD COMPARE * port=0 adr=04 act=D45D7D4D86D0BD9092 exp=D45D7D4D86D0BD9092 + 15392.50ns INFO [00015394] * RD COMPARE * port=1 adr=04 act=D45D7D4D86D0BD9092 exp=D45D7D4D86D0BD9092 + 15392.50ns INFO [00015394] Port=0 WR @06=80972B0609390EE121 + 15392.50ns INFO [00015394] Port=0 RD @01 + 15393.50ns INFO [00015395] * RD COMPARE * port=1 adr=07 act=FB0D2A8746023B179C exp=FB0D2A8746023B179C + 15393.50ns INFO [00015395] Port=0 RD @06 + 15393.50ns INFO [00015395] Port=1 RD @07 + 15394.50ns INFO [00015396] * RD COMPARE * port=0 adr=01 act=861F4AFF5AA8F98E61 exp=861F4AFF5AA8F98E61 + 15394.50ns INFO [00015396] Port=0 WR @00=166AA2B3CFF70AF7C9 + 15394.50ns INFO [00015396] Port=1 RD @03 + 15395.50ns INFO [00015397] * RD COMPARE * port=0 adr=06 act=80972B0609390EE121 exp=80972B0609390EE121 + 15395.50ns INFO [00015397] * RD COMPARE * port=1 adr=07 act=FB0D2A8746023B179C exp=FB0D2A8746023B179C + 15395.50ns INFO [00015397] Port=0 WR @05=4522775E00333FDCD2 + 15395.50ns INFO [00015397] Port=0 RD @03 + 15396.50ns INFO [00015398] * RD COMPARE * port=1 adr=03 act=6E963C2F952F3FDE2A exp=6E963C2F952F3FDE2A + 15397.50ns INFO [00015399] * RD COMPARE * port=0 adr=03 act=6E963C2F952F3FDE2A exp=6E963C2F952F3FDE2A + 15397.50ns INFO [00015399] Port=0 RD @00 + 15398.00ns INFO [00015400] [00015400] ...tick... + 15398.50ns INFO [00015400] Port=0 WR @04=58C03F93D357C5E675 + 15399.50ns INFO [00015401] * RD COMPARE * port=0 adr=00 act=166AA2B3CFF70AF7C9 exp=166AA2B3CFF70AF7C9 + 15401.50ns INFO [00015403] Port=0 WR @07=B09CC31EC93488B7BC + 15401.50ns INFO [00015403] Port=0 RD @01 + 15402.50ns INFO [00015404] Port=0 WR @02=86FBAC4A8F9F0C8D53 + 15402.50ns INFO [00015404] Port=0 RD @03 + 15403.50ns INFO [00015405] * RD COMPARE * port=0 adr=01 act=861F4AFF5AA8F98E61 exp=861F4AFF5AA8F98E61 + 15403.50ns INFO [00015405] Port=1 RD @03 + 15404.50ns INFO [00015406] * RD COMPARE * port=0 adr=03 act=6E963C2F952F3FDE2A exp=6E963C2F952F3FDE2A + 15404.50ns INFO [00015406] Port=1 RD @07 + 15405.50ns INFO [00015407] * RD COMPARE * port=1 adr=03 act=6E963C2F952F3FDE2A exp=6E963C2F952F3FDE2A + 15405.50ns INFO [00015407] Port=0 WR @05=6D567BEF01E1F772F9 + 15406.50ns INFO [00015408] * RD COMPARE * port=1 adr=07 act=B09CC31EC93488B7BC exp=B09CC31EC93488B7BC + 15406.50ns INFO [00015408] Port=0 WR @06=9398A9F226AF469A7D + 15406.50ns INFO [00015408] Port=1 RD @05 + 15407.50ns INFO [00015409] Port=0 WR @02=4AD285C8A514658BE1 + 15407.50ns INFO [00015409] Port=0 RD @04 + 15408.50ns INFO [00015410] * RD COMPARE * port=1 adr=05 act=6D567BEF01E1F772F9 exp=6D567BEF01E1F772F9 + 15408.50ns INFO [00015410] Port=0 RD @01 + 15409.50ns INFO [00015411] * RD COMPARE * port=0 adr=04 act=58C03F93D357C5E675 exp=58C03F93D357C5E675 + 15409.50ns INFO [00015411] Port=1 RD @05 + 15410.50ns INFO [00015412] * RD COMPARE * port=0 adr=01 act=861F4AFF5AA8F98E61 exp=861F4AFF5AA8F98E61 + 15410.50ns INFO [00015412] Port=1 RD @05 + 15411.50ns INFO [00015413] * RD COMPARE * port=1 adr=05 act=6D567BEF01E1F772F9 exp=6D567BEF01E1F772F9 + 15411.50ns INFO [00015413] Port=0 WR @03=95012B52238F58C211 + 15411.50ns INFO [00015413] Port=1 RD @07 + 15412.50ns INFO [00015414] * RD COMPARE * port=1 adr=05 act=6D567BEF01E1F772F9 exp=6D567BEF01E1F772F9 + 15412.50ns INFO [00015414] Port=0 WR @00=BA9DEFD4A9DFB14382 + 15412.50ns INFO [00015414] Port=0 RD @02 + 15412.50ns INFO [00015414] Port=1 RD @07 + 15413.50ns INFO [00015415] * RD COMPARE * port=1 adr=07 act=B09CC31EC93488B7BC exp=B09CC31EC93488B7BC + 15413.50ns INFO [00015415] Port=0 WR @01=1E48A42831AD96DDBB + 15413.50ns INFO [00015415] Port=0 RD @00 + 15414.50ns INFO [00015416] * RD COMPARE * port=0 adr=02 act=4AD285C8A514658BE1 exp=4AD285C8A514658BE1 + 15414.50ns INFO [00015416] * RD COMPARE * port=1 adr=07 act=B09CC31EC93488B7BC exp=B09CC31EC93488B7BC + 15414.50ns INFO [00015416] Port=1 RD @01 + 15415.50ns INFO [00015417] * RD COMPARE * port=0 adr=00 act=BA9DEFD4A9DFB14382 exp=BA9DEFD4A9DFB14382 + 15415.50ns INFO [00015417] Port=0 RD @06 + 15416.50ns INFO [00015418] * RD COMPARE * port=1 adr=01 act=1E48A42831AD96DDBB exp=1E48A42831AD96DDBB + 15416.50ns INFO [00015418] Port=1 RD @07 + 15417.50ns INFO [00015419] * RD COMPARE * port=0 adr=06 act=9398A9F226AF469A7D exp=9398A9F226AF469A7D + 15417.50ns INFO [00015419] Port=0 WR @02=F5717DCD8C8B457565 + 15417.50ns INFO [00015419] Port=1 RD @04 + 15418.50ns INFO [00015420] * RD COMPARE * port=1 adr=07 act=B09CC31EC93488B7BC exp=B09CC31EC93488B7BC + 15418.50ns INFO [00015420] Port=0 WR @01=5B8FDD7338FBFEE7ED + 15418.50ns INFO [00015420] Port=0 RD @03 + 15418.50ns INFO [00015420] Port=1 RD @02 + 15419.50ns INFO [00015421] * RD COMPARE * port=1 adr=04 act=58C03F93D357C5E675 exp=58C03F93D357C5E675 + 15419.50ns INFO [00015421] Port=0 WR @06=97E8FDDED084E03C56 + 15419.50ns INFO [00015421] Port=0 RD @05 + 15420.50ns INFO [00015422] * RD COMPARE * port=0 adr=03 act=95012B52238F58C211 exp=95012B52238F58C211 + 15420.50ns INFO [00015422] * RD COMPARE * port=1 adr=02 act=F5717DCD8C8B457565 exp=F5717DCD8C8B457565 + 15420.50ns INFO [00015422] Port=1 RD @05 + 15421.50ns INFO [00015423] * RD COMPARE * port=0 adr=05 act=6D567BEF01E1F772F9 exp=6D567BEF01E1F772F9 + 15421.50ns INFO [00015423] Port=0 WR @00=89728B5ADD96A63E76 + 15421.50ns INFO [00015423] Port=0 RD @05 + 15422.50ns INFO [00015424] * RD COMPARE * port=1 adr=05 act=6D567BEF01E1F772F9 exp=6D567BEF01E1F772F9 + 15422.50ns INFO [00015424] Port=0 WR @03=CDD156602C8ED8D207 + 15423.50ns INFO [00015425] * RD COMPARE * port=0 adr=05 act=6D567BEF01E1F772F9 exp=6D567BEF01E1F772F9 + 15423.50ns INFO [00015425] Port=0 RD @07 + 15423.50ns INFO [00015425] Port=1 RD @06 + 15424.50ns INFO [00015426] Port=0 RD @01 + 15425.50ns INFO [00015427] * RD COMPARE * port=0 adr=07 act=B09CC31EC93488B7BC exp=B09CC31EC93488B7BC + 15425.50ns INFO [00015427] * RD COMPARE * port=1 adr=06 act=97E8FDDED084E03C56 exp=97E8FDDED084E03C56 + 15425.50ns INFO [00015427] Port=0 WR @04=95C93224BCFBF3ACDE + 15425.50ns INFO [00015427] Port=0 RD @06 + 15426.50ns INFO [00015428] * RD COMPARE * port=0 adr=01 act=5B8FDD7338FBFEE7ED exp=5B8FDD7338FBFEE7ED + 15426.50ns INFO [00015428] Port=0 WR @03=7F12835E5B21723697 + 15427.50ns INFO [00015429] * RD COMPARE * port=0 adr=06 act=97E8FDDED084E03C56 exp=97E8FDDED084E03C56 + 15428.50ns INFO [00015430] Port=0 WR @01=6981CD6EC4BABBD37D + 15428.50ns INFO [00015430] Port=0 RD @00 + 15429.50ns INFO [00015431] Port=1 RD @07 + 15430.50ns INFO [00015432] * RD COMPARE * port=0 adr=00 act=89728B5ADD96A63E76 exp=89728B5ADD96A63E76 + 15430.50ns INFO [00015432] Port=0 RD @05 + 15431.50ns INFO [00015433] * RD COMPARE * port=1 adr=07 act=B09CC31EC93488B7BC exp=B09CC31EC93488B7BC + 15431.50ns INFO [00015433] Port=0 RD @03 + 15431.50ns INFO [00015433] Port=1 RD @01 + 15432.50ns INFO [00015434] * RD COMPARE * port=0 adr=05 act=6D567BEF01E1F772F9 exp=6D567BEF01E1F772F9 + 15432.50ns INFO [00015434] Port=0 WR @04=1A813A574A7A26396D + 15432.50ns INFO [00015434] Port=1 RD @03 + 15433.50ns INFO [00015435] * RD COMPARE * port=0 adr=03 act=7F12835E5B21723697 exp=7F12835E5B21723697 + 15433.50ns INFO [00015435] * RD COMPARE * port=1 adr=01 act=6981CD6EC4BABBD37D exp=6981CD6EC4BABBD37D + 15433.50ns INFO [00015435] Port=0 WR @07=915DDC233398374891 + 15433.50ns INFO [00015435] Port=0 RD @00 + 15433.50ns INFO [00015435] Port=1 RD @03 + 15434.50ns INFO [00015436] * RD COMPARE * port=1 adr=03 act=7F12835E5B21723697 exp=7F12835E5B21723697 + 15434.50ns INFO [00015436] Port=1 RD @02 + 15435.50ns INFO [00015437] * RD COMPARE * port=0 adr=00 act=89728B5ADD96A63E76 exp=89728B5ADD96A63E76 + 15435.50ns INFO [00015437] * RD COMPARE * port=1 adr=03 act=7F12835E5B21723697 exp=7F12835E5B21723697 + 15435.50ns INFO [00015437] Port=0 WR @01=F859BCAABEEADE17BC + 15435.50ns INFO [00015437] Port=0 RD @03 + 15436.50ns INFO [00015438] * RD COMPARE * port=1 adr=02 act=F5717DCD8C8B457565 exp=F5717DCD8C8B457565 + 15436.50ns INFO [00015438] Port=0 WR @05=3B23AB9FD568E03191 + 15437.50ns INFO [00015439] * RD COMPARE * port=0 adr=03 act=7F12835E5B21723697 exp=7F12835E5B21723697 + 15437.50ns INFO [00015439] Port=0 WR @03=7A933586709F0131AF + 15438.50ns INFO [00015440] Port=0 RD @05 + 15440.50ns INFO [00015442] * RD COMPARE * port=0 adr=05 act=3B23AB9FD568E03191 exp=3B23AB9FD568E03191 + 15440.50ns INFO [00015442] Port=0 WR @07=E44047BCE444339943 + 15440.50ns INFO [00015442] Port=0 RD @06 + 15442.50ns INFO [00015444] * RD COMPARE * port=0 adr=06 act=97E8FDDED084E03C56 exp=97E8FDDED084E03C56 + 15443.50ns INFO [00015445] Port=0 RD @05 + 15444.50ns INFO [00015446] Port=0 RD @01 + 15445.50ns INFO [00015447] * RD COMPARE * port=0 adr=05 act=3B23AB9FD568E03191 exp=3B23AB9FD568E03191 + 15446.50ns INFO [00015448] * RD COMPARE * port=0 adr=01 act=F859BCAABEEADE17BC exp=F859BCAABEEADE17BC + 15447.50ns INFO [00015449] Port=0 RD @06 + 15448.50ns INFO [00015450] Port=1 RD @04 + 15449.50ns INFO [00015451] * RD COMPARE * port=0 adr=06 act=97E8FDDED084E03C56 exp=97E8FDDED084E03C56 + 15450.50ns INFO [00015452] * RD COMPARE * port=1 adr=04 act=1A813A574A7A26396D exp=1A813A574A7A26396D + 15450.50ns INFO [00015452] Port=0 WR @00=482E130C52E4B605C8 + 15450.50ns INFO [00015452] Port=0 RD @06 + 15450.50ns INFO [00015452] Port=1 RD @06 + 15452.50ns INFO [00015454] * RD COMPARE * port=0 adr=06 act=97E8FDDED084E03C56 exp=97E8FDDED084E03C56 + 15452.50ns INFO [00015454] * RD COMPARE * port=1 adr=06 act=97E8FDDED084E03C56 exp=97E8FDDED084E03C56 + 15452.50ns INFO [00015454] Port=0 WR @05=B31766206508CAE46A + 15452.50ns INFO [00015454] Port=0 RD @03 + 15453.50ns INFO [00015455] Port=0 RD @06 + 15454.50ns INFO [00015456] * RD COMPARE * port=0 adr=03 act=7A933586709F0131AF exp=7A933586709F0131AF + 15454.50ns INFO [00015456] Port=0 WR @03=6D112A44BDEE592444 + 15454.50ns INFO [00015456] Port=0 RD @07 + 15455.50ns INFO [00015457] * RD COMPARE * port=0 adr=06 act=97E8FDDED084E03C56 exp=97E8FDDED084E03C56 + 15456.50ns INFO [00015458] * RD COMPARE * port=0 adr=07 act=E44047BCE444339943 exp=E44047BCE444339943 + 15456.50ns INFO [00015458] Port=0 RD @05 + 15457.50ns INFO [00015459] Port=0 WR @02=2F60A428E6C650892C + 15458.50ns INFO [00015460] * RD COMPARE * port=0 adr=05 act=B31766206508CAE46A exp=B31766206508CAE46A + 15458.50ns INFO [00015460] Port=0 WR @03=E8FE73227DFACFF5B0 + 15459.50ns INFO [00015461] Port=0 WR @03=2EA74D1C1EC10F46B2 + 15459.50ns INFO [00015461] Port=0 RD @04 + 15460.50ns INFO [00015462] Port=0 WR @00=AC99BE92C2D231055E + 15461.50ns INFO [00015463] * RD COMPARE * port=0 adr=04 act=1A813A574A7A26396D exp=1A813A574A7A26396D + 15461.50ns INFO [00015463] Port=0 RD @06 + 15461.50ns INFO [00015463] Port=1 RD @04 + 15463.50ns INFO [00015465] * RD COMPARE * port=0 adr=06 act=97E8FDDED084E03C56 exp=97E8FDDED084E03C56 + 15463.50ns INFO [00015465] * RD COMPARE * port=1 adr=04 act=1A813A574A7A26396D exp=1A813A574A7A26396D + 15463.50ns INFO [00015465] Port=0 WR @05=DC2F0DA727FF822591 + 15463.50ns INFO [00015465] Port=1 RD @06 + 15464.50ns INFO [00015466] Port=0 WR @02=EFD5A01730BD51B488 + 15464.50ns INFO [00015466] Port=0 RD @00 + 15464.50ns INFO [00015466] Port=1 RD @07 + 15465.50ns INFO [00015467] * RD COMPARE * port=1 adr=06 act=97E8FDDED084E03C56 exp=97E8FDDED084E03C56 + 15465.50ns INFO [00015467] Port=0 WR @04=2410A8E2FA0E64ABA1 + 15465.50ns INFO [00015467] Port=0 RD @05 + 15466.50ns INFO [00015468] * RD COMPARE * port=0 adr=00 act=AC99BE92C2D231055E exp=AC99BE92C2D231055E + 15466.50ns INFO [00015468] * RD COMPARE * port=1 adr=07 act=E44047BCE444339943 exp=E44047BCE444339943 + 15467.50ns INFO [00015469] * RD COMPARE * port=0 adr=05 act=DC2F0DA727FF822591 exp=DC2F0DA727FF822591 + 15467.50ns INFO [00015469] Port=0 WR @04=A8CBD63CF3B3B48B21 + 15467.50ns INFO [00015469] Port=0 RD @01 + 15467.50ns INFO [00015469] Port=1 RD @06 + 15468.50ns INFO [00015470] Port=0 RD @04 + 15469.50ns INFO [00015471] * RD COMPARE * port=0 adr=01 act=F859BCAABEEADE17BC exp=F859BCAABEEADE17BC + 15469.50ns INFO [00015471] * RD COMPARE * port=1 adr=06 act=97E8FDDED084E03C56 exp=97E8FDDED084E03C56 + 15470.50ns INFO [00015472] * RD COMPARE * port=0 adr=04 act=A8CBD63CF3B3B48B21 exp=A8CBD63CF3B3B48B21 + 15471.50ns INFO [00015473] Port=0 RD @01 + 15472.50ns INFO [00015474] Port=0 WR @03=F2616F88B624EADCB3 + 15472.50ns INFO [00015474] Port=0 RD @07 + 15472.50ns INFO [00015474] Port=1 RD @05 + 15473.50ns INFO [00015475] * RD COMPARE * port=0 adr=01 act=F859BCAABEEADE17BC exp=F859BCAABEEADE17BC + 15474.50ns INFO [00015476] * RD COMPARE * port=0 adr=07 act=E44047BCE444339943 exp=E44047BCE444339943 + 15474.50ns INFO [00015476] * RD COMPARE * port=1 adr=05 act=DC2F0DA727FF822591 exp=DC2F0DA727FF822591 + 15474.50ns INFO [00015476] Port=0 RD @02 + 15476.50ns INFO [00015478] * RD COMPARE * port=0 adr=02 act=EFD5A01730BD51B488 exp=EFD5A01730BD51B488 + 15476.50ns INFO [00015478] Port=1 RD @02 + 15477.50ns INFO [00015479] Port=0 WR @06=AC77CF847C8624D771 + 15478.50ns INFO [00015480] * RD COMPARE * port=1 adr=02 act=EFD5A01730BD51B488 exp=EFD5A01730BD51B488 + 15478.50ns INFO [00015480] Port=0 RD @02 + 15478.50ns INFO [00015480] Port=1 RD @04 + 15479.50ns INFO [00015481] Port=1 RD @02 + 15480.50ns INFO [00015482] * RD COMPARE * port=0 adr=02 act=EFD5A01730BD51B488 exp=EFD5A01730BD51B488 + 15480.50ns INFO [00015482] * RD COMPARE * port=1 adr=04 act=A8CBD63CF3B3B48B21 exp=A8CBD63CF3B3B48B21 + 15480.50ns INFO [00015482] Port=0 WR @03=B88D5D9D45244969EC + 15481.50ns INFO [00015483] * RD COMPARE * port=1 adr=02 act=EFD5A01730BD51B488 exp=EFD5A01730BD51B488 + 15481.50ns INFO [00015483] Port=0 WR @01=8B54BDDDB3E72F6DD4 + 15481.50ns INFO [00015483] Port=1 RD @05 + 15482.50ns INFO [00015484] Port=0 RD @07 + 15483.50ns INFO [00015485] * RD COMPARE * port=1 adr=05 act=DC2F0DA727FF822591 exp=DC2F0DA727FF822591 + 15483.50ns INFO [00015485] Port=0 WR @04=C211D8B2AE11BD3EA9 + 15483.50ns INFO [00015485] Port=1 RD @02 + 15484.50ns INFO [00015486] * RD COMPARE * port=0 adr=07 act=E44047BCE444339943 exp=E44047BCE444339943 + 15484.50ns INFO [00015486] Port=0 WR @04=57870E0DC3994556CE + 15484.50ns INFO [00015486] Port=0 RD @00 + 15485.50ns INFO [00015487] * RD COMPARE * port=1 adr=02 act=EFD5A01730BD51B488 exp=EFD5A01730BD51B488 + 15485.50ns INFO [00015487] Port=1 RD @06 + 15486.50ns INFO [00015488] * RD COMPARE * port=0 adr=00 act=AC99BE92C2D231055E exp=AC99BE92C2D231055E + 15486.50ns INFO [00015488] Port=0 WR @04=83CBFBC2424ECBF448 + 15486.50ns INFO [00015488] Port=1 RD @00 + 15487.50ns INFO [00015489] * RD COMPARE * port=1 adr=06 act=AC77CF847C8624D771 exp=AC77CF847C8624D771 + 15487.50ns INFO [00015489] Port=0 RD @06 + 15487.50ns INFO [00015489] Port=1 RD @04 + 15488.50ns INFO [00015490] * RD COMPARE * port=1 adr=00 act=AC99BE92C2D231055E exp=AC99BE92C2D231055E + 15488.50ns INFO [00015490] Port=0 WR @00=A504366E06429BC87B + 15489.50ns INFO [00015491] * RD COMPARE * port=0 adr=06 act=AC77CF847C8624D771 exp=AC77CF847C8624D771 + 15489.50ns INFO [00015491] * RD COMPARE * port=1 adr=04 act=83CBFBC2424ECBF448 exp=83CBFBC2424ECBF448 + 15489.50ns INFO [00015491] Port=0 RD @05 + 15489.50ns INFO [00015491] Port=1 RD @00 + 15490.50ns INFO [00015492] Port=0 WR @05=3FA64C063F19D92C00 + 15490.50ns INFO [00015492] Port=0 RD @06 + 15491.50ns INFO [00015493] * RD COMPARE * port=0 adr=05 act=DC2F0DA727FF822591 exp=DC2F0DA727FF822591 + 15491.50ns INFO [00015493] * RD COMPARE * port=1 adr=00 act=A504366E06429BC87B exp=A504366E06429BC87B + 15492.50ns INFO [00015494] * RD COMPARE * port=0 adr=06 act=AC77CF847C8624D771 exp=AC77CF847C8624D771 + 15493.50ns INFO [00015495] Port=1 RD @06 + 15494.50ns INFO [00015496] Port=0 WR @07=C173A991A9EB9CBD07 + 15494.50ns INFO [00015496] Port=0 RD @00 + 15494.50ns INFO [00015496] Port=1 RD @01 + 15495.50ns INFO [00015497] * RD COMPARE * port=1 adr=06 act=AC77CF847C8624D771 exp=AC77CF847C8624D771 + 15496.50ns INFO [00015498] * RD COMPARE * port=0 adr=00 act=A504366E06429BC87B exp=A504366E06429BC87B + 15496.50ns INFO [00015498] * RD COMPARE * port=1 adr=01 act=8B54BDDDB3E72F6DD4 exp=8B54BDDDB3E72F6DD4 + 15496.50ns INFO [00015498] Port=1 RD @00 + 15497.50ns INFO [00015499] Port=0 RD @01 + 15498.00ns INFO [00015500] [00015500] ...tick... + 15498.50ns INFO [00015500] * RD COMPARE * port=1 adr=00 act=A504366E06429BC87B exp=A504366E06429BC87B + 15498.50ns INFO [00015500] Port=1 RD @01 + 15499.50ns INFO [00015501] * RD COMPARE * port=0 adr=01 act=8B54BDDDB3E72F6DD4 exp=8B54BDDDB3E72F6DD4 + 15499.50ns INFO [00015501] Port=0 WR @03=9B03A3FEF8BC401E23 + 15499.50ns INFO [00015501] Port=1 RD @04 + 15500.50ns INFO [00015502] * RD COMPARE * port=1 adr=01 act=8B54BDDDB3E72F6DD4 exp=8B54BDDDB3E72F6DD4 + 15500.50ns INFO [00015502] Port=0 WR @00=4BAF842B545E551353 + 15500.50ns INFO [00015502] Port=0 RD @03 + 15500.50ns INFO [00015502] Port=1 RD @06 + 15501.50ns INFO [00015503] * RD COMPARE * port=1 adr=04 act=83CBFBC2424ECBF448 exp=83CBFBC2424ECBF448 + 15501.50ns INFO [00015503] Port=0 WR @03=2ACCF9CA50F38CFEE8 + 15501.50ns INFO [00015503] Port=1 RD @05 + 15502.50ns INFO [00015504] * RD COMPARE * port=0 adr=03 act=9B03A3FEF8BC401E23 exp=9B03A3FEF8BC401E23 + 15502.50ns INFO [00015504] * RD COMPARE * port=1 adr=06 act=AC77CF847C8624D771 exp=AC77CF847C8624D771 + 15502.50ns INFO [00015504] Port=0 RD @00 + 15503.50ns INFO [00015505] * RD COMPARE * port=1 adr=05 act=3FA64C063F19D92C00 exp=3FA64C063F19D92C00 + 15503.50ns INFO [00015505] Port=1 RD @01 + 15504.50ns INFO [00015506] * RD COMPARE * port=0 adr=00 act=4BAF842B545E551353 exp=4BAF842B545E551353 + 15504.50ns INFO [00015506] Port=0 RD @05 + 15504.50ns INFO [00015506] Port=1 RD @06 + 15505.50ns INFO [00015507] * RD COMPARE * port=1 adr=01 act=8B54BDDDB3E72F6DD4 exp=8B54BDDDB3E72F6DD4 + 15505.50ns INFO [00015507] Port=0 RD @00 + 15506.50ns INFO [00015508] * RD COMPARE * port=0 adr=05 act=3FA64C063F19D92C00 exp=3FA64C063F19D92C00 + 15506.50ns INFO [00015508] * RD COMPARE * port=1 adr=06 act=AC77CF847C8624D771 exp=AC77CF847C8624D771 + 15506.50ns INFO [00015508] Port=0 RD @02 + 15507.50ns INFO [00015509] * RD COMPARE * port=0 adr=00 act=4BAF842B545E551353 exp=4BAF842B545E551353 + 15507.50ns INFO [00015509] Port=0 WR @00=FE77A6D1EBF2122203 + 15508.50ns INFO [00015510] * RD COMPARE * port=0 adr=02 act=EFD5A01730BD51B488 exp=EFD5A01730BD51B488 + 15508.50ns INFO [00015510] Port=0 WR @01=6C502989306AC44AC0 + 15508.50ns INFO [00015510] Port=0 RD @02 + 15509.50ns INFO [00015511] Port=0 WR @03=EEEFE73B4FF4732E89 + 15509.50ns INFO [00015511] Port=1 RD @07 + 15510.50ns INFO [00015512] * RD COMPARE * port=0 adr=02 act=EFD5A01730BD51B488 exp=EFD5A01730BD51B488 + 15510.50ns INFO [00015512] Port=0 RD @03 + 15511.50ns INFO [00015513] * RD COMPARE * port=1 adr=07 act=C173A991A9EB9CBD07 exp=C173A991A9EB9CBD07 + 15511.50ns INFO [00015513] Port=0 WR @06=819DA54744D1EBC9C6 + 15511.50ns INFO [00015513] Port=0 RD @01 + 15511.50ns INFO [00015513] Port=1 RD @03 + 15512.50ns INFO [00015514] * RD COMPARE * port=0 adr=03 act=EEEFE73B4FF4732E89 exp=EEEFE73B4FF4732E89 + 15512.50ns INFO [00015514] Port=0 WR @01=2710591F45E8998B29 + 15512.50ns INFO [00015514] Port=1 RD @00 + 15513.50ns INFO [00015515] * RD COMPARE * port=0 adr=01 act=6C502989306AC44AC0 exp=6C502989306AC44AC0 + 15513.50ns INFO [00015515] * RD COMPARE * port=1 adr=03 act=EEEFE73B4FF4732E89 exp=EEEFE73B4FF4732E89 + 15514.50ns INFO [00015516] * RD COMPARE * port=1 adr=00 act=FE77A6D1EBF2122203 exp=FE77A6D1EBF2122203 + 15516.50ns INFO [00015518] Port=0 WR @01=573C2FE0CF2C665C16 + 15516.50ns INFO [00015518] Port=0 RD @03 + 15516.50ns INFO [00015518] Port=1 RD @05 + 15518.50ns INFO [00015520] * RD COMPARE * port=0 adr=03 act=EEEFE73B4FF4732E89 exp=EEEFE73B4FF4732E89 + 15518.50ns INFO [00015520] * RD COMPARE * port=1 adr=05 act=3FA64C063F19D92C00 exp=3FA64C063F19D92C00 + 15518.50ns INFO [00015520] Port=0 WR @01=E850A7BF58D43B9E7A + 15518.50ns INFO [00015520] Port=1 RD @04 + 15520.50ns INFO [00015522] * RD COMPARE * port=1 adr=04 act=83CBFBC2424ECBF448 exp=83CBFBC2424ECBF448 + 15520.50ns INFO [00015522] Port=1 RD @04 + 15522.50ns INFO [00015524] * RD COMPARE * port=1 adr=04 act=83CBFBC2424ECBF448 exp=83CBFBC2424ECBF448 + 15522.50ns INFO [00015524] Port=0 WR @05=BC652DEE53E5D89EBB + 15522.50ns INFO [00015524] Port=0 RD @01 + 15523.50ns INFO [00015525] Port=0 WR @04=0EFEB2B0000C11A0BA + 15523.50ns INFO [00015525] Port=0 RD @01 + 15524.50ns INFO [00015526] * RD COMPARE * port=0 adr=01 act=E850A7BF58D43B9E7A exp=E850A7BF58D43B9E7A + 15524.50ns INFO [00015526] Port=0 RD @03 + 15525.50ns INFO [00015527] * RD COMPARE * port=0 adr=01 act=E850A7BF58D43B9E7A exp=E850A7BF58D43B9E7A + 15525.50ns INFO [00015527] Port=0 RD @03 + 15526.50ns INFO [00015528] * RD COMPARE * port=0 adr=03 act=EEEFE73B4FF4732E89 exp=EEEFE73B4FF4732E89 + 15526.50ns INFO [00015528] Port=0 WR @03=1614E71D39C6D50E4C + 15527.50ns INFO [00015529] * RD COMPARE * port=0 adr=03 act=EEEFE73B4FF4732E89 exp=EEEFE73B4FF4732E89 + 15528.50ns INFO [00015530] Port=1 RD @02 + 15529.50ns INFO [00015531] Port=0 WR @06=54C37A9DCF7D8AFD5D + 15529.50ns INFO [00015531] Port=0 RD @00 + 15530.50ns INFO [00015532] * RD COMPARE * port=1 adr=02 act=EFD5A01730BD51B488 exp=EFD5A01730BD51B488 + 15530.50ns INFO [00015532] Port=0 RD @07 + 15531.50ns INFO [00015533] * RD COMPARE * port=0 adr=00 act=FE77A6D1EBF2122203 exp=FE77A6D1EBF2122203 + 15532.50ns INFO [00015534] * RD COMPARE * port=0 adr=07 act=C173A991A9EB9CBD07 exp=C173A991A9EB9CBD07 + 15532.50ns INFO [00015534] Port=1 RD @04 + 15533.50ns INFO [00015535] Port=0 RD @06 + 15534.50ns INFO [00015536] * RD COMPARE * port=1 adr=04 act=0EFEB2B0000C11A0BA exp=0EFEB2B0000C11A0BA + 15534.50ns INFO [00015536] Port=0 RD @03 + 15535.50ns INFO [00015537] * RD COMPARE * port=0 adr=06 act=54C37A9DCF7D8AFD5D exp=54C37A9DCF7D8AFD5D + 15535.50ns INFO [00015537] Port=1 RD @07 + 15536.50ns INFO [00015538] * RD COMPARE * port=0 adr=03 act=1614E71D39C6D50E4C exp=1614E71D39C6D50E4C + 15536.50ns INFO [00015538] Port=0 RD @00 + 15537.50ns INFO [00015539] * RD COMPARE * port=1 adr=07 act=C173A991A9EB9CBD07 exp=C173A991A9EB9CBD07 + 15538.50ns INFO [00015540] * RD COMPARE * port=0 adr=00 act=FE77A6D1EBF2122203 exp=FE77A6D1EBF2122203 + 15538.50ns INFO [00015540] Port=0 WR @06=5849A17E22EA5F4C8C + 15539.50ns INFO [00015541] Port=0 WR @00=AF4D4764BE46378C07 + 15540.50ns INFO [00015542] Port=0 WR @04=A0866387DA8CF2D0BD + 15541.50ns INFO [00015543] Port=1 RD @06 + 15543.50ns INFO [00015545] * RD COMPARE * port=1 adr=06 act=5849A17E22EA5F4C8C exp=5849A17E22EA5F4C8C + 15544.50ns INFO [00015546] Port=0 WR @02=94033157F057256687 + 15544.50ns INFO [00015546] Port=0 RD @06 + 15545.50ns INFO [00015547] Port=0 WR @01=A3C4843F5B32389C51 + 15545.50ns INFO [00015547] Port=0 RD @02 + 15546.50ns INFO [00015548] * RD COMPARE * port=0 adr=06 act=5849A17E22EA5F4C8C exp=5849A17E22EA5F4C8C + 15546.50ns INFO [00015548] Port=0 WR @02=5B29C1E518057CA302 + 15546.50ns INFO [00015548] Port=1 RD @04 + 15547.50ns INFO [00015549] * RD COMPARE * port=0 adr=02 act=94033157F057256687 exp=94033157F057256687 + 15548.50ns INFO [00015550] * RD COMPARE * port=1 adr=04 act=A0866387DA8CF2D0BD exp=A0866387DA8CF2D0BD + 15549.50ns INFO [00015551] Port=0 RD @01 + 15549.50ns INFO [00015551] Port=1 RD @01 + 15550.50ns INFO [00015552] Port=1 RD @07 + 15551.50ns INFO [00015553] * RD COMPARE * port=0 adr=01 act=A3C4843F5B32389C51 exp=A3C4843F5B32389C51 + 15551.50ns INFO [00015553] * RD COMPARE * port=1 adr=01 act=A3C4843F5B32389C51 exp=A3C4843F5B32389C51 + 15551.50ns INFO [00015553] Port=0 WR @06=672F37DCFE4C1F7A6B + 15552.50ns INFO [00015554] * RD COMPARE * port=1 adr=07 act=C173A991A9EB9CBD07 exp=C173A991A9EB9CBD07 + 15552.50ns INFO [00015554] Port=0 WR @02=EF73713C9B3698F252 + 15553.50ns INFO [00015555] Port=0 WR @05=430D720AA0F7EBFC88 + 15553.50ns INFO [00015555] Port=0 RD @00 + 15553.50ns INFO [00015555] Port=1 RD @06 + 15554.50ns INFO [00015556] Port=1 RD @07 + 15555.50ns INFO [00015557] * RD COMPARE * port=0 adr=00 act=AF4D4764BE46378C07 exp=AF4D4764BE46378C07 + 15555.50ns INFO [00015557] * RD COMPARE * port=1 adr=06 act=672F37DCFE4C1F7A6B exp=672F37DCFE4C1F7A6B + 15555.50ns INFO [00015557] Port=0 WR @06=516EFDB0F4A3A3DBF0 + 15556.50ns INFO [00015558] * RD COMPARE * port=1 adr=07 act=C173A991A9EB9CBD07 exp=C173A991A9EB9CBD07 + 15556.50ns INFO [00015558] Port=0 WR @01=7DA511AEFBECAE1C39 + 15559.50ns INFO [00015561] Port=0 WR @00=5BE7CDEFED8442ECEC + 15559.50ns INFO [00015561] Port=1 RD @07 + 15560.50ns INFO [00015562] Port=0 WR @07=3A9DA7C31D55B6E73F + 15560.50ns INFO [00015562] Port=0 RD @03 + 15560.50ns INFO [00015562] Port=1 RD @02 + 15561.50ns INFO [00015563] * RD COMPARE * port=1 adr=07 act=C173A991A9EB9CBD07 exp=C173A991A9EB9CBD07 + 15561.50ns INFO [00015563] Port=0 RD @03 + 15561.50ns INFO [00015563] Port=1 RD @05 + 15562.50ns INFO [00015564] * RD COMPARE * port=0 adr=03 act=1614E71D39C6D50E4C exp=1614E71D39C6D50E4C + 15562.50ns INFO [00015564] * RD COMPARE * port=1 adr=02 act=EF73713C9B3698F252 exp=EF73713C9B3698F252 + 15562.50ns INFO [00015564] Port=0 RD @04 + 15563.50ns INFO [00015565] * RD COMPARE * port=0 adr=03 act=1614E71D39C6D50E4C exp=1614E71D39C6D50E4C + 15563.50ns INFO [00015565] * RD COMPARE * port=1 adr=05 act=430D720AA0F7EBFC88 exp=430D720AA0F7EBFC88 + 15563.50ns INFO [00015565] Port=0 WR @04=3D785815F86FB6B2C7 + 15563.50ns INFO [00015565] Port=1 RD @03 + 15564.50ns INFO [00015566] * RD COMPARE * port=0 adr=04 act=A0866387DA8CF2D0BD exp=A0866387DA8CF2D0BD + 15564.50ns INFO [00015566] Port=1 RD @04 + 15565.50ns INFO [00015567] * RD COMPARE * port=1 adr=03 act=1614E71D39C6D50E4C exp=1614E71D39C6D50E4C + 15565.50ns INFO [00015567] Port=0 WR @07=FF5D1D9C8A9094B595 + 15565.50ns INFO [00015567] Port=0 RD @06 + 15566.50ns INFO [00015568] * RD COMPARE * port=1 adr=04 act=3D785815F86FB6B2C7 exp=3D785815F86FB6B2C7 + 15566.50ns INFO [00015568] Port=0 WR @07=20676A21D13A0C44DC + 15566.50ns INFO [00015568] Port=0 RD @04 + 15567.50ns INFO [00015569] * RD COMPARE * port=0 adr=06 act=516EFDB0F4A3A3DBF0 exp=516EFDB0F4A3A3DBF0 + 15567.50ns INFO [00015569] Port=0 WR @07=3129F73ECFF829CE3C + 15567.50ns INFO [00015569] Port=0 RD @04 + 15567.50ns INFO [00015569] Port=1 RD @04 + 15568.50ns INFO [00015570] * RD COMPARE * port=0 adr=04 act=3D785815F86FB6B2C7 exp=3D785815F86FB6B2C7 + 15568.50ns INFO [00015570] Port=0 RD @07 + 15569.50ns INFO [00015571] * RD COMPARE * port=0 adr=04 act=3D785815F86FB6B2C7 exp=3D785815F86FB6B2C7 + 15569.50ns INFO [00015571] * RD COMPARE * port=1 adr=04 act=3D785815F86FB6B2C7 exp=3D785815F86FB6B2C7 + 15569.50ns INFO [00015571] Port=0 WR @03=851E998A9050C8AAAC + 15569.50ns INFO [00015571] Port=1 RD @02 + 15570.50ns INFO [00015572] * RD COMPARE * port=0 adr=07 act=3129F73ECFF829CE3C exp=3129F73ECFF829CE3C + 15570.50ns INFO [00015572] Port=0 WR @04=DE8089D8163BC64F75 + 15570.50ns INFO [00015572] Port=1 RD @05 + 15571.50ns INFO [00015573] * RD COMPARE * port=1 adr=02 act=EF73713C9B3698F252 exp=EF73713C9B3698F252 + 15571.50ns INFO [00015573] Port=1 RD @02 + 15572.50ns INFO [00015574] * RD COMPARE * port=1 adr=05 act=430D720AA0F7EBFC88 exp=430D720AA0F7EBFC88 + 15572.50ns INFO [00015574] Port=0 WR @01=D8AA6765CAD447BD2D + 15573.50ns INFO [00015575] * RD COMPARE * port=1 adr=02 act=EF73713C9B3698F252 exp=EF73713C9B3698F252 + 15573.50ns INFO [00015575] Port=0 RD @05 + 15574.50ns INFO [00015576] Port=0 WR @06=CC0C8118C780DBBEEE + 15574.50ns INFO [00015576] Port=1 RD @00 + 15575.50ns INFO [00015577] * RD COMPARE * port=0 adr=05 act=430D720AA0F7EBFC88 exp=430D720AA0F7EBFC88 + 15576.50ns INFO [00015578] * RD COMPARE * port=1 adr=00 act=5BE7CDEFED8442ECEC exp=5BE7CDEFED8442ECEC + 15576.50ns INFO [00015578] Port=0 WR @07=9AF7953D33B9E21A61 + 15576.50ns INFO [00015578] Port=1 RD @05 + 15577.50ns INFO [00015579] Port=0 WR @04=00F2FAEA1CDCD3BFDD + 15577.50ns INFO [00015579] Port=0 RD @00 + 15578.50ns INFO [00015580] * RD COMPARE * port=1 adr=05 act=430D720AA0F7EBFC88 exp=430D720AA0F7EBFC88 + 15578.50ns INFO [00015580] Port=0 WR @00=1A7379A5A7E33C5DFB + 15578.50ns INFO [00015580] Port=1 RD @03 + 15579.50ns INFO [00015581] * RD COMPARE * port=0 adr=00 act=5BE7CDEFED8442ECEC exp=5BE7CDEFED8442ECEC + 15579.50ns INFO [00015581] Port=0 WR @00=F052854C75B6208FDD + 15580.50ns INFO [00015582] * RD COMPARE * port=1 adr=03 act=851E998A9050C8AAAC exp=851E998A9050C8AAAC + 15580.50ns INFO [00015582] Port=0 WR @01=2D4AAE24C5FD6B8668 + 15581.50ns INFO [00015583] Port=0 RD @04 + 15582.50ns INFO [00015584] Port=0 RD @05 + 15583.50ns INFO [00015585] * RD COMPARE * port=0 adr=04 act=00F2FAEA1CDCD3BFDD exp=00F2FAEA1CDCD3BFDD + 15583.50ns INFO [00015585] Port=0 WR @02=5D532CEE81147D2523 + 15583.50ns INFO [00015585] Port=0 RD @07 + 15584.50ns INFO [00015586] * RD COMPARE * port=0 adr=05 act=430D720AA0F7EBFC88 exp=430D720AA0F7EBFC88 + 15584.50ns INFO [00015586] Port=1 RD @02 + 15585.50ns INFO [00015587] * RD COMPARE * port=0 adr=07 act=9AF7953D33B9E21A61 exp=9AF7953D33B9E21A61 + 15585.50ns INFO [00015587] Port=0 WR @06=3299ABCEE4C6B6B0A2 + 15585.50ns INFO [00015587] Port=1 RD @02 + 15586.50ns INFO [00015588] * RD COMPARE * port=1 adr=02 act=5D532CEE81147D2523 exp=5D532CEE81147D2523 + 15587.50ns INFO [00015589] * RD COMPARE * port=1 adr=02 act=5D532CEE81147D2523 exp=5D532CEE81147D2523 + 15587.50ns INFO [00015589] Port=0 RD @02 + 15589.50ns INFO [00015591] * RD COMPARE * port=0 adr=02 act=5D532CEE81147D2523 exp=5D532CEE81147D2523 + 15589.50ns INFO [00015591] Port=0 RD @06 + 15590.50ns INFO [00015592] Port=0 RD @05 + 15591.50ns INFO [00015593] * RD COMPARE * port=0 adr=06 act=3299ABCEE4C6B6B0A2 exp=3299ABCEE4C6B6B0A2 + 15591.50ns INFO [00015593] Port=1 RD @04 + 15592.50ns INFO [00015594] * RD COMPARE * port=0 adr=05 act=430D720AA0F7EBFC88 exp=430D720AA0F7EBFC88 + 15593.50ns INFO [00015595] * RD COMPARE * port=1 adr=04 act=00F2FAEA1CDCD3BFDD exp=00F2FAEA1CDCD3BFDD + 15593.50ns INFO [00015595] Port=0 RD @05 + 15595.50ns INFO [00015597] * RD COMPARE * port=0 adr=05 act=430D720AA0F7EBFC88 exp=430D720AA0F7EBFC88 + 15595.50ns INFO [00015597] Port=0 WR @05=4479B6DC209555BF4D + 15596.50ns INFO [00015598] Port=0 RD @03 + 15597.50ns INFO [00015599] Port=0 RD @07 + 15598.00ns INFO [00015600] [00015600] ...tick... + 15598.50ns INFO [00015600] * RD COMPARE * port=0 adr=03 act=851E998A9050C8AAAC exp=851E998A9050C8AAAC + 15598.50ns INFO [00015600] Port=0 WR @04=E1F161E10FB9DCBF96 + 15598.50ns INFO [00015600] Port=1 RD @03 + 15599.50ns INFO [00015601] * RD COMPARE * port=0 adr=07 act=9AF7953D33B9E21A61 exp=9AF7953D33B9E21A61 + 15599.50ns INFO [00015601] Port=1 RD @06 + 15600.50ns INFO [00015602] * RD COMPARE * port=1 adr=03 act=851E998A9050C8AAAC exp=851E998A9050C8AAAC + 15600.50ns INFO [00015602] Port=0 RD @00 + 15601.50ns INFO [00015603] * RD COMPARE * port=1 adr=06 act=3299ABCEE4C6B6B0A2 exp=3299ABCEE4C6B6B0A2 + 15601.50ns INFO [00015603] Port=1 RD @03 + 15602.50ns INFO [00015604] * RD COMPARE * port=0 adr=00 act=F052854C75B6208FDD exp=F052854C75B6208FDD + 15602.50ns INFO [00015604] Port=1 RD @06 + 15603.50ns INFO [00015605] * RD COMPARE * port=1 adr=03 act=851E998A9050C8AAAC exp=851E998A9050C8AAAC + 15603.50ns INFO [00015605] Port=0 RD @01 + 15604.50ns INFO [00015606] * RD COMPARE * port=1 adr=06 act=3299ABCEE4C6B6B0A2 exp=3299ABCEE4C6B6B0A2 + 15604.50ns INFO [00015606] Port=1 RD @00 + 15605.50ns INFO [00015607] * RD COMPARE * port=0 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15605.50ns INFO [00015607] Port=0 WR @05=6A35DAB9187D120DD3 + 15606.50ns INFO [00015608] * RD COMPARE * port=1 adr=00 act=F052854C75B6208FDD exp=F052854C75B6208FDD + 15606.50ns INFO [00015608] Port=0 RD @00 + 15607.50ns INFO [00015609] Port=0 WR @05=A3538280847687A28B + 15608.50ns INFO [00015610] * RD COMPARE * port=0 adr=00 act=F052854C75B6208FDD exp=F052854C75B6208FDD + 15608.50ns INFO [00015610] Port=0 RD @01 + 15609.50ns INFO [00015611] Port=0 RD @06 + 15610.50ns INFO [00015612] * RD COMPARE * port=0 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15610.50ns INFO [00015612] Port=0 WR @05=71032DEF707699BC23 + 15610.50ns INFO [00015612] Port=0 RD @04 + 15611.50ns INFO [00015613] * RD COMPARE * port=0 adr=06 act=3299ABCEE4C6B6B0A2 exp=3299ABCEE4C6B6B0A2 + 15611.50ns INFO [00015613] Port=0 RD @04 + 15612.50ns INFO [00015614] * RD COMPARE * port=0 adr=04 act=E1F161E10FB9DCBF96 exp=E1F161E10FB9DCBF96 + 15612.50ns INFO [00015614] Port=0 RD @03 + 15613.50ns INFO [00015615] * RD COMPARE * port=0 adr=04 act=E1F161E10FB9DCBF96 exp=E1F161E10FB9DCBF96 + 15613.50ns INFO [00015615] Port=1 RD @07 + 15614.50ns INFO [00015616] * RD COMPARE * port=0 adr=03 act=851E998A9050C8AAAC exp=851E998A9050C8AAAC + 15614.50ns INFO [00015616] Port=0 WR @07=C46252B74D395A9B8B + 15615.50ns INFO [00015617] * RD COMPARE * port=1 adr=07 act=9AF7953D33B9E21A61 exp=9AF7953D33B9E21A61 + 15615.50ns INFO [00015617] Port=0 WR @05=997C3FCE09301DB0DB + 15616.50ns INFO [00015618] Port=0 RD @01 + 15616.50ns INFO [00015618] Port=1 RD @00 + 15618.50ns INFO [00015620] * RD COMPARE * port=0 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15618.50ns INFO [00015620] * RD COMPARE * port=1 adr=00 act=F052854C75B6208FDD exp=F052854C75B6208FDD + 15619.50ns INFO [00015621] Port=0 WR @02=3BE634757DB1DAED62 + 15619.50ns INFO [00015621] Port=0 RD @00 + 15619.50ns INFO [00015621] Port=1 RD @00 + 15620.50ns INFO [00015622] Port=0 WR @05=13B332305088A3D014 + 15620.50ns INFO [00015622] Port=1 RD @02 + 15621.50ns INFO [00015623] * RD COMPARE * port=0 adr=00 act=F052854C75B6208FDD exp=F052854C75B6208FDD + 15621.50ns INFO [00015623] * RD COMPARE * port=1 adr=00 act=F052854C75B6208FDD exp=F052854C75B6208FDD + 15622.50ns INFO [00015624] * RD COMPARE * port=1 adr=02 act=3BE634757DB1DAED62 exp=3BE634757DB1DAED62 + 15622.50ns INFO [00015624] Port=0 RD @03 + 15623.50ns INFO [00015625] Port=0 WR @03=7FBCFBA1285D911463 + 15624.50ns INFO [00015626] * RD COMPARE * port=0 adr=03 act=851E998A9050C8AAAC exp=851E998A9050C8AAAC + 15624.50ns INFO [00015626] Port=0 RD @02 + 15626.50ns INFO [00015628] * RD COMPARE * port=0 adr=02 act=3BE634757DB1DAED62 exp=3BE634757DB1DAED62 + 15626.50ns INFO [00015628] Port=0 WR @03=D5A8836B15236A9E58 + 15626.50ns INFO [00015628] Port=0 RD @04 + 15626.50ns INFO [00015628] Port=1 RD @06 + 15628.50ns INFO [00015630] * RD COMPARE * port=0 adr=04 act=E1F161E10FB9DCBF96 exp=E1F161E10FB9DCBF96 + 15628.50ns INFO [00015630] * RD COMPARE * port=1 adr=06 act=3299ABCEE4C6B6B0A2 exp=3299ABCEE4C6B6B0A2 + 15629.50ns INFO [00015631] Port=0 WR @02=16C63016A980F46C68 + 15631.50ns INFO [00015633] Port=0 WR @03=88BD4B3A4EB8F42AE5 + 15631.50ns INFO [00015633] Port=0 RD @06 + 15631.50ns INFO [00015633] Port=1 RD @05 + 15632.50ns INFO [00015634] Port=0 WR @02=940889240FECED8288 + 15633.50ns INFO [00015635] * RD COMPARE * port=0 adr=06 act=3299ABCEE4C6B6B0A2 exp=3299ABCEE4C6B6B0A2 + 15633.50ns INFO [00015635] * RD COMPARE * port=1 adr=05 act=13B332305088A3D014 exp=13B332305088A3D014 + 15633.50ns INFO [00015635] Port=1 RD @03 + 15635.50ns INFO [00015637] * RD COMPARE * port=1 adr=03 act=88BD4B3A4EB8F42AE5 exp=88BD4B3A4EB8F42AE5 + 15635.50ns INFO [00015637] Port=0 WR @04=0877B98E2884C98F0A + 15635.50ns INFO [00015637] Port=1 RD @01 + 15637.50ns INFO [00015639] * RD COMPARE * port=1 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15637.50ns INFO [00015639] Port=0 RD @01 + 15638.50ns INFO [00015640] Port=0 RD @03 + 15639.50ns INFO [00015641] * RD COMPARE * port=0 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15639.50ns INFO [00015641] Port=1 RD @04 + 15640.50ns INFO [00015642] * RD COMPARE * port=0 adr=03 act=88BD4B3A4EB8F42AE5 exp=88BD4B3A4EB8F42AE5 + 15640.50ns INFO [00015642] Port=1 RD @05 + 15641.50ns INFO [00015643] * RD COMPARE * port=1 adr=04 act=0877B98E2884C98F0A exp=0877B98E2884C98F0A + 15641.50ns INFO [00015643] Port=0 WR @02=C444B77F64E67A1FF2 + 15641.50ns INFO [00015643] Port=0 RD @06 + 15642.50ns INFO [00015644] * RD COMPARE * port=1 adr=05 act=13B332305088A3D014 exp=13B332305088A3D014 + 15643.50ns INFO [00015645] * RD COMPARE * port=0 adr=06 act=3299ABCEE4C6B6B0A2 exp=3299ABCEE4C6B6B0A2 + 15643.50ns INFO [00015645] Port=0 WR @03=F1B7AF1DBA83D1517A + 15643.50ns INFO [00015645] Port=0 RD @04 + 15645.50ns INFO [00015647] * RD COMPARE * port=0 adr=04 act=0877B98E2884C98F0A exp=0877B98E2884C98F0A + 15647.50ns INFO [00015649] Port=0 RD @07 + 15649.50ns INFO [00015651] * RD COMPARE * port=0 adr=07 act=C46252B74D395A9B8B exp=C46252B74D395A9B8B + 15649.50ns INFO [00015651] Port=0 WR @05=35C37B5A642359549D + 15649.50ns INFO [00015651] Port=0 RD @07 + 15650.50ns INFO [00015652] Port=0 WR @03=EDF635D213470D0FDD + 15650.50ns INFO [00015652] Port=1 RD @06 + 15651.50ns INFO [00015653] * RD COMPARE * port=0 adr=07 act=C46252B74D395A9B8B exp=C46252B74D395A9B8B + 15651.50ns INFO [00015653] Port=0 WR @00=83750D5891CFEFF52A + 15652.50ns INFO [00015654] * RD COMPARE * port=1 adr=06 act=3299ABCEE4C6B6B0A2 exp=3299ABCEE4C6B6B0A2 + 15652.50ns INFO [00015654] Port=0 RD @00 + 15654.50ns INFO [00015656] * RD COMPARE * port=0 adr=00 act=83750D5891CFEFF52A exp=83750D5891CFEFF52A + 15654.50ns INFO [00015656] Port=0 WR @06=9EE85986159BB386AD + 15655.50ns INFO [00015657] Port=0 WR @04=2B5C495C877A43F864 + 15656.50ns INFO [00015658] Port=0 RD @01 + 15656.50ns INFO [00015658] Port=1 RD @04 + 15658.50ns INFO [00015660] * RD COMPARE * port=0 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15658.50ns INFO [00015660] * RD COMPARE * port=1 adr=04 act=2B5C495C877A43F864 exp=2B5C495C877A43F864 + 15658.50ns INFO [00015660] Port=0 RD @04 + 15659.50ns INFO [00015661] Port=0 WR @07=D23BC388745E4AB1BC + 15659.50ns INFO [00015661] Port=0 RD @01 + 15660.50ns INFO [00015662] * RD COMPARE * port=0 adr=04 act=2B5C495C877A43F864 exp=2B5C495C877A43F864 + 15660.50ns INFO [00015662] Port=0 RD @04 + 15660.50ns INFO [00015662] Port=1 RD @05 + 15661.50ns INFO [00015663] * RD COMPARE * port=0 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15661.50ns INFO [00015663] Port=0 WR @00=B32E879BC7C60D5FD9 + 15661.50ns INFO [00015663] Port=0 RD @02 + 15662.50ns INFO [00015664] * RD COMPARE * port=0 adr=04 act=2B5C495C877A43F864 exp=2B5C495C877A43F864 + 15662.50ns INFO [00015664] * RD COMPARE * port=1 adr=05 act=35C37B5A642359549D exp=35C37B5A642359549D + 15662.50ns INFO [00015664] Port=1 RD @03 + 15663.50ns INFO [00015665] * RD COMPARE * port=0 adr=02 act=C444B77F64E67A1FF2 exp=C444B77F64E67A1FF2 + 15663.50ns INFO [00015665] Port=0 WR @02=785B4C77A3141CA6F9 + 15663.50ns INFO [00015665] Port=0 RD @06 + 15663.50ns INFO [00015665] Port=1 RD @01 + 15664.50ns INFO [00015666] * RD COMPARE * port=1 adr=03 act=EDF635D213470D0FDD exp=EDF635D213470D0FDD + 15664.50ns INFO [00015666] Port=0 RD @00 + 15665.50ns INFO [00015667] * RD COMPARE * port=0 adr=06 act=9EE85986159BB386AD exp=9EE85986159BB386AD + 15665.50ns INFO [00015667] * RD COMPARE * port=1 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15665.50ns INFO [00015667] Port=0 WR @04=C1D7C4BAB0031DA3BB + 15665.50ns INFO [00015667] Port=0 RD @07 + 15666.50ns INFO [00015668] * RD COMPARE * port=0 adr=00 act=B32E879BC7C60D5FD9 exp=B32E879BC7C60D5FD9 + 15666.50ns INFO [00015668] Port=0 WR @04=D0377A91EB2CC2F93B + 15667.50ns INFO [00015669] * RD COMPARE * port=0 adr=07 act=D23BC388745E4AB1BC exp=D23BC388745E4AB1BC + 15667.50ns INFO [00015669] Port=0 WR @06=94106DCD3562244DDF + 15667.50ns INFO [00015669] Port=0 RD @01 + 15668.50ns INFO [00015670] Port=0 WR @02=1C6053690A719B3D46 + 15668.50ns INFO [00015670] Port=1 RD @01 + 15669.50ns INFO [00015671] * RD COMPARE * port=0 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15669.50ns INFO [00015671] Port=0 WR @03=4BC2BCFBF2C6C274F3 + 15669.50ns INFO [00015671] Port=0 RD @01 + 15669.50ns INFO [00015671] Port=1 RD @05 + 15670.50ns INFO [00015672] * RD COMPARE * port=1 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15670.50ns INFO [00015672] Port=1 RD @00 + 15671.50ns INFO [00015673] * RD COMPARE * port=0 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15671.50ns INFO [00015673] * RD COMPARE * port=1 adr=05 act=35C37B5A642359549D exp=35C37B5A642359549D + 15671.50ns INFO [00015673] Port=1 RD @06 + 15672.50ns INFO [00015674] * RD COMPARE * port=1 adr=00 act=B32E879BC7C60D5FD9 exp=B32E879BC7C60D5FD9 + 15672.50ns INFO [00015674] Port=1 RD @01 + 15673.50ns INFO [00015675] * RD COMPARE * port=1 adr=06 act=94106DCD3562244DDF exp=94106DCD3562244DDF + 15673.50ns INFO [00015675] Port=1 RD @05 + 15674.50ns INFO [00015676] * RD COMPARE * port=1 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15674.50ns INFO [00015676] Port=0 WR @06=84303F8EFA640B8458 + 15674.50ns INFO [00015676] Port=1 RD @05 + 15675.50ns INFO [00015677] * RD COMPARE * port=1 adr=05 act=35C37B5A642359549D exp=35C37B5A642359549D + 15675.50ns INFO [00015677] Port=0 WR @07=42A2FBC276B160815A + 15675.50ns INFO [00015677] Port=0 RD @05 + 15676.50ns INFO [00015678] * RD COMPARE * port=1 adr=05 act=35C37B5A642359549D exp=35C37B5A642359549D + 15676.50ns INFO [00015678] Port=1 RD @01 + 15677.50ns INFO [00015679] * RD COMPARE * port=0 adr=05 act=35C37B5A642359549D exp=35C37B5A642359549D + 15677.50ns INFO [00015679] Port=0 WR @03=7CDF06E05F659FFE38 + 15677.50ns INFO [00015679] Port=0 RD @07 + 15678.50ns INFO [00015680] * RD COMPARE * port=1 adr=01 act=2D4AAE24C5FD6B8668 exp=2D4AAE24C5FD6B8668 + 15678.50ns INFO [00015680] Port=0 WR @01=AA36160A458A0302C2 + 15678.50ns INFO [00015680] Port=1 RD @05 + 15679.50ns INFO [00015681] * RD COMPARE * port=0 adr=07 act=42A2FBC276B160815A exp=42A2FBC276B160815A + 15679.50ns INFO [00015681] Port=0 RD @07 + 15679.50ns INFO [00015681] Port=1 RD @05 + 15680.50ns INFO [00015682] * RD COMPARE * port=1 adr=05 act=35C37B5A642359549D exp=35C37B5A642359549D + 15680.50ns INFO [00015682] Port=0 RD @07 + 15681.50ns INFO [00015683] * RD COMPARE * port=0 adr=07 act=42A2FBC276B160815A exp=42A2FBC276B160815A + 15681.50ns INFO [00015683] * RD COMPARE * port=1 adr=05 act=35C37B5A642359549D exp=35C37B5A642359549D + 15681.50ns INFO [00015683] Port=0 WR @03=7F3EBFDBED56E98348 + 15681.50ns INFO [00015683] Port=1 RD @06 + 15682.50ns INFO [00015684] * RD COMPARE * port=0 adr=07 act=42A2FBC276B160815A exp=42A2FBC276B160815A + 15682.50ns INFO [00015684] Port=0 WR @02=5E727CF8EDF5EF39A9 + 15683.50ns INFO [00015685] * RD COMPARE * port=1 adr=06 act=84303F8EFA640B8458 exp=84303F8EFA640B8458 + 15683.50ns INFO [00015685] Port=1 RD @06 + 15684.50ns INFO [00015686] Port=0 WR @06=4E39FA9878E7A210B7 + 15684.50ns INFO [00015686] Port=0 RD @04 + 15685.50ns INFO [00015687] * RD COMPARE * port=1 adr=06 act=84303F8EFA640B8458 exp=84303F8EFA640B8458 + 15685.50ns INFO [00015687] Port=0 RD @02 + 15685.50ns INFO [00015687] Port=1 RD @03 + 15686.50ns INFO [00015688] * RD COMPARE * port=0 adr=04 act=D0377A91EB2CC2F93B exp=D0377A91EB2CC2F93B + 15686.50ns INFO [00015688] Port=0 RD @07 + 15686.50ns INFO [00015688] Port=1 RD @00 + 15687.50ns INFO [00015689] * RD COMPARE * port=0 adr=02 act=5E727CF8EDF5EF39A9 exp=5E727CF8EDF5EF39A9 + 15687.50ns INFO [00015689] * RD COMPARE * port=1 adr=03 act=7F3EBFDBED56E98348 exp=7F3EBFDBED56E98348 + 15687.50ns INFO [00015689] Port=0 WR @07=70C62B77A5EB7362B6 + 15688.50ns INFO [00015690] * RD COMPARE * port=0 adr=07 act=42A2FBC276B160815A exp=42A2FBC276B160815A + 15688.50ns INFO [00015690] * RD COMPARE * port=1 adr=00 act=B32E879BC7C60D5FD9 exp=B32E879BC7C60D5FD9 + 15688.50ns INFO [00015690] Port=0 WR @02=D1CEBAEB933E0A462E + 15688.50ns INFO [00015690] Port=1 RD @05 + 15689.50ns INFO [00015691] Port=0 WR @00=8D5F6027969F0ED7F6 + 15690.50ns INFO [00015692] * RD COMPARE * port=1 adr=05 act=35C37B5A642359549D exp=35C37B5A642359549D + 15693.50ns INFO [00015695] Port=1 RD @03 + 15694.50ns INFO [00015696] Port=0 WR @02=CF21DA597DF34A8E70 + 15694.50ns INFO [00015696] Port=0 RD @05 + 15695.50ns INFO [00015697] * RD COMPARE * port=1 adr=03 act=7F3EBFDBED56E98348 exp=7F3EBFDBED56E98348 + 15696.50ns INFO [00015698] * RD COMPARE * port=0 adr=05 act=35C37B5A642359549D exp=35C37B5A642359549D + 15696.50ns INFO [00015698] Port=0 WR @07=E0E5F8A5F70FBEEBC6 + 15698.00ns INFO [00015700] [00015700] ...tick... + 15698.50ns INFO [00015700] Port=0 WR @07=C2F723B34390AF64E8 + 15698.50ns INFO [00015700] Port=1 RD @06 + 15700.50ns INFO [00015702] * RD COMPARE * port=1 adr=06 act=4E39FA9878E7A210B7 exp=4E39FA9878E7A210B7 + 15700.50ns INFO [00015702] Port=0 WR @01=C4D6E8837E1A817300 + 15700.50ns INFO [00015702] Port=1 RD @03 + 15701.50ns INFO [00015703] Port=0 WR @07=15A32A36C25F2F1EED + 15701.50ns INFO [00015703] Port=0 RD @01 + 15701.50ns INFO [00015703] Port=1 RD @02 + 15702.50ns INFO [00015704] * RD COMPARE * port=1 adr=03 act=7F3EBFDBED56E98348 exp=7F3EBFDBED56E98348 + 15702.50ns INFO [00015704] Port=0 WR @03=08BD3CDB704D7F1AA4 + 15702.50ns INFO [00015704] Port=0 RD @02 + 15702.50ns INFO [00015704] Port=1 RD @02 + 15703.50ns INFO [00015705] * RD COMPARE * port=0 adr=01 act=C4D6E8837E1A817300 exp=C4D6E8837E1A817300 + 15703.50ns INFO [00015705] * RD COMPARE * port=1 adr=02 act=CF21DA597DF34A8E70 exp=CF21DA597DF34A8E70 + 15704.50ns INFO [00015706] * RD COMPARE * port=0 adr=02 act=CF21DA597DF34A8E70 exp=CF21DA597DF34A8E70 + 15704.50ns INFO [00015706] * RD COMPARE * port=1 adr=02 act=CF21DA597DF34A8E70 exp=CF21DA597DF34A8E70 + 15705.50ns INFO [00015707] Port=1 RD @02 + 15706.50ns INFO [00015708] Port=1 RD @01 + 15707.50ns INFO [00015709] * RD COMPARE * port=1 adr=02 act=CF21DA597DF34A8E70 exp=CF21DA597DF34A8E70 + 15707.50ns INFO [00015709] Port=0 RD @01 + 15708.50ns INFO [00015710] * RD COMPARE * port=1 adr=01 act=C4D6E8837E1A817300 exp=C4D6E8837E1A817300 + 15708.50ns INFO [00015710] Port=0 WR @04=3BC13C1FBBA27CB65A + 15709.50ns INFO [00015711] * RD COMPARE * port=0 adr=01 act=C4D6E8837E1A817300 exp=C4D6E8837E1A817300 + 15709.50ns INFO [00015711] Port=0 WR @04=AA93D07F224A63581F + 15710.50ns INFO [00015712] Port=0 RD @03 + 15711.50ns INFO [00015713] Port=0 RD @02 + 15712.50ns INFO [00015714] * RD COMPARE * port=0 adr=03 act=08BD3CDB704D7F1AA4 exp=08BD3CDB704D7F1AA4 + 15713.50ns INFO [00015715] * RD COMPARE * port=0 adr=02 act=CF21DA597DF34A8E70 exp=CF21DA597DF34A8E70 + 15713.50ns INFO [00015715] Port=1 RD @04 + 15714.50ns INFO [00015716] Port=0 WR @01=8DE214B0F4563CFDA2 + 15714.50ns INFO [00015716] Port=0 RD @05 + 15715.50ns INFO [00015717] * RD COMPARE * port=1 adr=04 act=AA93D07F224A63581F exp=AA93D07F224A63581F + 15716.50ns INFO [00015718] * RD COMPARE * port=0 adr=05 act=35C37B5A642359549D exp=35C37B5A642359549D + 15717.50ns INFO [00015719] Port=0 WR @04=F621A3AD98C2F38455 + 15717.50ns INFO [00015719] Port=0 RD @01 + 15718.50ns INFO [00015720] Port=0 WR @05=EF188236418B195567 + 15718.50ns INFO [00015720] Port=0 RD @07 + 15719.50ns INFO [00015721] * RD COMPARE * port=0 adr=01 act=8DE214B0F4563CFDA2 exp=8DE214B0F4563CFDA2 + 15720.50ns INFO [00015722] * RD COMPARE * port=0 adr=07 act=15A32A36C25F2F1EED exp=15A32A36C25F2F1EED + 15720.50ns INFO [00015722] Port=0 RD @00 + 15721.50ns INFO [00015723] Port=1 RD @01 + 15722.50ns INFO [00015724] * RD COMPARE * port=0 adr=00 act=8D5F6027969F0ED7F6 exp=8D5F6027969F0ED7F6 + 15722.50ns INFO [00015724] Port=1 RD @06 + 15723.50ns INFO [00015725] * RD COMPARE * port=1 adr=01 act=8DE214B0F4563CFDA2 exp=8DE214B0F4563CFDA2 + 15724.50ns INFO [00015726] * RD COMPARE * port=1 adr=06 act=4E39FA9878E7A210B7 exp=4E39FA9878E7A210B7 + 15724.50ns INFO [00015726] Port=0 RD @05 + 15726.50ns INFO [00015728] * RD COMPARE * port=0 adr=05 act=EF188236418B195567 exp=EF188236418B195567 + 15726.50ns INFO [00015728] Port=1 RD @00 + 15727.50ns INFO [00015729] Port=0 RD @01 + 15727.50ns INFO [00015729] Port=1 RD @00 + 15728.50ns INFO [00015730] * RD COMPARE * port=1 adr=00 act=8D5F6027969F0ED7F6 exp=8D5F6027969F0ED7F6 + 15728.50ns INFO [00015730] Port=0 WR @06=405A2D670CEDE53F11 + 15729.50ns INFO [00015731] * RD COMPARE * port=0 adr=01 act=8DE214B0F4563CFDA2 exp=8DE214B0F4563CFDA2 + 15729.50ns INFO [00015731] * RD COMPARE * port=1 adr=00 act=8D5F6027969F0ED7F6 exp=8D5F6027969F0ED7F6 + 15729.50ns INFO [00015731] Port=0 WR @02=ABA8153C9C6CF48547 + 15729.50ns INFO [00015731] Port=0 RD @01 + 15730.50ns INFO [00015732] Port=0 WR @02=A9AA38C601126820A3 + 15730.50ns INFO [00015732] Port=0 RD @00 + 15731.50ns INFO [00015733] * RD COMPARE * port=0 adr=01 act=8DE214B0F4563CFDA2 exp=8DE214B0F4563CFDA2 + 15731.50ns INFO [00015733] Port=0 RD @05 + 15731.50ns INFO [00015733] Port=1 RD @07 + 15732.50ns INFO [00015734] * RD COMPARE * port=0 adr=00 act=8D5F6027969F0ED7F6 exp=8D5F6027969F0ED7F6 + 15732.50ns INFO [00015734] Port=0 WR @06=208CCDEC8A1F03C932 + 15732.50ns INFO [00015734] Port=0 RD @03 + 15733.50ns INFO [00015735] * RD COMPARE * port=0 adr=05 act=EF188236418B195567 exp=EF188236418B195567 + 15733.50ns INFO [00015735] * RD COMPARE * port=1 adr=07 act=15A32A36C25F2F1EED exp=15A32A36C25F2F1EED + 15733.50ns INFO [00015735] Port=0 RD @06 + 15733.50ns INFO [00015735] Port=1 RD @07 + 15734.50ns INFO [00015736] * RD COMPARE * port=0 adr=03 act=08BD3CDB704D7F1AA4 exp=08BD3CDB704D7F1AA4 + 15734.50ns INFO [00015736] Port=1 RD @00 + 15735.50ns INFO [00015737] * RD COMPARE * port=0 adr=06 act=208CCDEC8A1F03C932 exp=208CCDEC8A1F03C932 + 15735.50ns INFO [00015737] * RD COMPARE * port=1 adr=07 act=15A32A36C25F2F1EED exp=15A32A36C25F2F1EED + 15735.50ns INFO [00015737] Port=1 RD @02 + 15736.50ns INFO [00015738] * RD COMPARE * port=1 adr=00 act=8D5F6027969F0ED7F6 exp=8D5F6027969F0ED7F6 + 15737.50ns INFO [00015739] * RD COMPARE * port=1 adr=02 act=A9AA38C601126820A3 exp=A9AA38C601126820A3 + 15737.50ns INFO [00015739] Port=0 WR @07=DDBF9A444E5BE20FA2 + 15737.50ns INFO [00015739] Port=1 RD @04 + 15738.50ns INFO [00015740] Port=0 RD @03 + 15738.50ns INFO [00015740] Port=1 RD @04 + 15739.50ns INFO [00015741] * RD COMPARE * port=1 adr=04 act=F621A3AD98C2F38455 exp=F621A3AD98C2F38455 + 15739.50ns INFO [00015741] Port=0 WR @06=943B2217B75DAEBDF0 + 15740.50ns INFO [00015742] * RD COMPARE * port=0 adr=03 act=08BD3CDB704D7F1AA4 exp=08BD3CDB704D7F1AA4 + 15740.50ns INFO [00015742] * RD COMPARE * port=1 adr=04 act=F621A3AD98C2F38455 exp=F621A3AD98C2F38455 + 15740.50ns INFO [00015742] Port=1 RD @05 + 15741.50ns INFO [00015743] Port=0 WR @04=C9AA6CE9FEA72EE690 + 15741.50ns INFO [00015743] Port=1 RD @07 + 15742.50ns INFO [00015744] * RD COMPARE * port=1 adr=05 act=EF188236418B195567 exp=EF188236418B195567 + 15742.50ns INFO [00015744] Port=0 WR @04=1BDF66A0A640903CB5 + 15743.50ns INFO [00015745] * RD COMPARE * port=1 adr=07 act=DDBF9A444E5BE20FA2 exp=DDBF9A444E5BE20FA2 + 15743.50ns INFO [00015745] Port=1 RD @06 + 15744.50ns INFO [00015746] Port=0 WR @00=7D7F411651EA7BBA1C + 15744.50ns INFO [00015746] Port=0 RD @07 + 15745.50ns INFO [00015747] * RD COMPARE * port=1 adr=06 act=943B2217B75DAEBDF0 exp=943B2217B75DAEBDF0 + 15745.50ns INFO [00015747] Port=1 RD @05 + 15746.50ns INFO [00015748] * RD COMPARE * port=0 adr=07 act=DDBF9A444E5BE20FA2 exp=DDBF9A444E5BE20FA2 + 15746.50ns INFO [00015748] Port=0 WR @05=72AB6648D1B240EA82 + 15746.50ns INFO [00015748] Port=1 RD @03 + 15747.50ns INFO [00015749] * RD COMPARE * port=1 adr=05 act=EF188236418B195567 exp=EF188236418B195567 + 15747.50ns INFO [00015749] Port=0 WR @04=641932BDA6DBBF6B4E + 15747.50ns INFO [00015749] Port=1 RD @02 + 15748.50ns INFO [00015750] * RD COMPARE * port=1 adr=03 act=08BD3CDB704D7F1AA4 exp=08BD3CDB704D7F1AA4 + 15748.50ns INFO [00015750] Port=0 RD @02 + 15748.50ns INFO [00015750] Port=1 RD @06 + 15749.50ns INFO [00015751] * RD COMPARE * port=1 adr=02 act=A9AA38C601126820A3 exp=A9AA38C601126820A3 + 15749.50ns INFO [00015751] Port=0 WR @04=6C7C5EA57B405BB560 + 15749.50ns INFO [00015751] Port=1 RD @06 + 15750.50ns INFO [00015752] * RD COMPARE * port=0 adr=02 act=A9AA38C601126820A3 exp=A9AA38C601126820A3 + 15750.50ns INFO [00015752] * RD COMPARE * port=1 adr=06 act=943B2217B75DAEBDF0 exp=943B2217B75DAEBDF0 + 15750.50ns INFO [00015752] Port=0 RD @03 + 15750.50ns INFO [00015752] Port=1 RD @06 + 15751.50ns INFO [00015753] * RD COMPARE * port=1 adr=06 act=943B2217B75DAEBDF0 exp=943B2217B75DAEBDF0 + 15751.50ns INFO [00015753] Port=0 WR @06=57FC24CA66F6C2AFD4 + 15751.50ns INFO [00015753] Port=0 RD @04 + 15751.50ns INFO [00015753] Port=1 RD @04 + 15752.50ns INFO [00015754] * RD COMPARE * port=0 adr=03 act=08BD3CDB704D7F1AA4 exp=08BD3CDB704D7F1AA4 + 15752.50ns INFO [00015754] * RD COMPARE * port=1 adr=06 act=943B2217B75DAEBDF0 exp=943B2217B75DAEBDF0 + 15752.50ns INFO [00015754] Port=0 WR @04=04FE9FF01378B4CE43 + 15753.50ns INFO [00015755] * RD COMPARE * port=0 adr=04 act=6C7C5EA57B405BB560 exp=6C7C5EA57B405BB560 + 15753.50ns INFO [00015755] * RD COMPARE * port=1 adr=04 act=6C7C5EA57B405BB560 exp=6C7C5EA57B405BB560 + 15753.50ns INFO [00015755] Port=1 RD @05 + 15754.50ns INFO [00015756] Port=0 RD @04 + 15755.50ns INFO [00015757] * RD COMPARE * port=1 adr=05 act=72AB6648D1B240EA82 exp=72AB6648D1B240EA82 + 15756.50ns INFO [00015758] * RD COMPARE * port=0 adr=04 act=04FE9FF01378B4CE43 exp=04FE9FF01378B4CE43 + 15756.50ns INFO [00015758] Port=0 RD @02 + 15758.50ns INFO [00015760] * RD COMPARE * port=0 adr=02 act=A9AA38C601126820A3 exp=A9AA38C601126820A3 + 15758.50ns INFO [00015760] Port=0 RD @02 + 15759.50ns INFO [00015761] Port=1 RD @05 + 15760.50ns INFO [00015762] * RD COMPARE * port=0 adr=02 act=A9AA38C601126820A3 exp=A9AA38C601126820A3 + 15760.50ns INFO [00015762] Port=0 WR @01=0868FD1C05BBE46218 + 15761.50ns INFO [00015763] * RD COMPARE * port=1 adr=05 act=72AB6648D1B240EA82 exp=72AB6648D1B240EA82 + 15761.50ns INFO [00015763] Port=0 RD @06 + 15762.50ns INFO [00015764] Port=0 WR @01=93C0AAC8EF82016AC5 + 15763.50ns INFO [00015765] * RD COMPARE * port=0 adr=06 act=57FC24CA66F6C2AFD4 exp=57FC24CA66F6C2AFD4 + 15763.50ns INFO [00015765] Port=0 WR @00=51CF521D6CC26B8422 + 15764.50ns INFO [00015766] Port=0 RD @07 + 15764.50ns INFO [00015766] Port=1 RD @02 + 15765.50ns INFO [00015767] Port=0 RD @04 + 15766.50ns INFO [00015768] * RD COMPARE * port=0 adr=07 act=DDBF9A444E5BE20FA2 exp=DDBF9A444E5BE20FA2 + 15766.50ns INFO [00015768] * RD COMPARE * port=1 adr=02 act=A9AA38C601126820A3 exp=A9AA38C601126820A3 + 15766.50ns INFO [00015768] Port=0 WR @06=E5ADBF4429026CFF59 + 15767.50ns INFO [00015769] * RD COMPARE * port=0 adr=04 act=04FE9FF01378B4CE43 exp=04FE9FF01378B4CE43 + 15769.50ns INFO [00015771] Port=0 RD @05 + 15771.50ns INFO [00015773] * RD COMPARE * port=0 adr=05 act=72AB6648D1B240EA82 exp=72AB6648D1B240EA82 + 15774.50ns INFO [00015776] Port=0 RD @04 + 15775.50ns INFO [00015777] Port=0 WR @04=B42EC3A3A99CAF078C + 15775.50ns INFO [00015777] Port=0 RD @05 + 15776.50ns INFO [00015778] * RD COMPARE * port=0 adr=04 act=04FE9FF01378B4CE43 exp=04FE9FF01378B4CE43 + 15776.50ns INFO [00015778] Port=0 WR @03=D2613DEA900DD1EB54 + 15776.50ns INFO [00015778] Port=0 RD @04 + 15777.50ns INFO [00015779] * RD COMPARE * port=0 adr=05 act=72AB6648D1B240EA82 exp=72AB6648D1B240EA82 + 15777.50ns INFO [00015779] Port=0 WR @01=EA2ACAFD32686641AF + 15777.50ns INFO [00015779] Port=0 RD @07 + 15778.50ns INFO [00015780] * RD COMPARE * port=0 adr=04 act=B42EC3A3A99CAF078C exp=B42EC3A3A99CAF078C + 15778.50ns INFO [00015780] Port=0 RD @06 + 15779.50ns INFO [00015781] * RD COMPARE * port=0 adr=07 act=DDBF9A444E5BE20FA2 exp=DDBF9A444E5BE20FA2 + 15779.50ns INFO [00015781] Port=0 RD @06 + 15780.50ns INFO [00015782] * RD COMPARE * port=0 adr=06 act=E5ADBF4429026CFF59 exp=E5ADBF4429026CFF59 + 15781.50ns INFO [00015783] * RD COMPARE * port=0 adr=06 act=E5ADBF4429026CFF59 exp=E5ADBF4429026CFF59 + 15781.50ns INFO [00015783] Port=0 RD @00 + 15781.50ns INFO [00015783] Port=1 RD @03 + 15783.50ns INFO [00015785] * RD COMPARE * port=0 adr=00 act=51CF521D6CC26B8422 exp=51CF521D6CC26B8422 + 15783.50ns INFO [00015785] * RD COMPARE * port=1 adr=03 act=D2613DEA900DD1EB54 exp=D2613DEA900DD1EB54 + 15783.50ns INFO [00015785] Port=0 RD @00 + 15784.50ns INFO [00015786] Port=0 WR @07=CF1C4D06F42710BEFE + 15784.50ns INFO [00015786] Port=0 RD @01 + 15784.50ns INFO [00015786] Port=1 RD @00 + 15785.50ns INFO [00015787] * RD COMPARE * port=0 adr=00 act=51CF521D6CC26B8422 exp=51CF521D6CC26B8422 + 15785.50ns INFO [00015787] Port=0 RD @05 + 15785.50ns INFO [00015787] Port=1 RD @05 + 15786.50ns INFO [00015788] * RD COMPARE * port=0 adr=01 act=EA2ACAFD32686641AF exp=EA2ACAFD32686641AF + 15786.50ns INFO [00015788] * RD COMPARE * port=1 adr=00 act=51CF521D6CC26B8422 exp=51CF521D6CC26B8422 + 15786.50ns INFO [00015788] Port=0 RD @07 + 15787.50ns INFO [00015789] * RD COMPARE * port=0 adr=05 act=72AB6648D1B240EA82 exp=72AB6648D1B240EA82 + 15787.50ns INFO [00015789] * RD COMPARE * port=1 adr=05 act=72AB6648D1B240EA82 exp=72AB6648D1B240EA82 + 15787.50ns INFO [00015789] Port=0 WR @05=CF0E97ECCAAF2DA7DE + 15787.50ns INFO [00015789] Port=0 RD @00 + 15788.50ns INFO [00015790] * RD COMPARE * port=0 adr=07 act=CF1C4D06F42710BEFE exp=CF1C4D06F42710BEFE + 15789.50ns INFO [00015791] * RD COMPARE * port=0 adr=00 act=51CF521D6CC26B8422 exp=51CF521D6CC26B8422 + 15792.50ns INFO [00015794] Port=0 RD @03 + 15793.50ns INFO [00015795] Port=1 RD @07 + 15794.50ns INFO [00015796] * RD COMPARE * port=0 adr=03 act=D2613DEA900DD1EB54 exp=D2613DEA900DD1EB54 + 15795.50ns INFO [00015797] * RD COMPARE * port=1 adr=07 act=CF1C4D06F42710BEFE exp=CF1C4D06F42710BEFE + 15795.50ns INFO [00015797] Port=0 WR @03=0D105B7BD39593BA3A + 15796.50ns INFO [00015798] Port=1 RD @04 + 15797.50ns INFO [00015799] Port=0 RD @01 + 15797.50ns INFO [00015799] Port=1 RD @00 + 15798.00ns INFO [00015800] [00015800] ...tick... + 15798.50ns INFO [00015800] * RD COMPARE * port=1 adr=04 act=B42EC3A3A99CAF078C exp=B42EC3A3A99CAF078C + 15798.50ns INFO [00015800] Port=0 WR @07=B3BA27B903F96E9AA3 + 15798.50ns INFO [00015800] Port=0 RD @00 + 15798.50ns INFO [00015800] Port=1 RD @03 + 15799.50ns INFO [00015801] * RD COMPARE * port=0 adr=01 act=EA2ACAFD32686641AF exp=EA2ACAFD32686641AF + 15799.50ns INFO [00015801] * RD COMPARE * port=1 adr=00 act=51CF521D6CC26B8422 exp=51CF521D6CC26B8422 + 15799.50ns INFO [00015801] Port=0 WR @01=0E10EB8AA7EDCD7A80 + 15800.50ns INFO [00015802] * RD COMPARE * port=0 adr=00 act=51CF521D6CC26B8422 exp=51CF521D6CC26B8422 + 15800.50ns INFO [00015802] * RD COMPARE * port=1 adr=03 act=0D105B7BD39593BA3A exp=0D105B7BD39593BA3A + 15800.50ns INFO [00015802] Port=0 WR @04=64BE603866611FAA69 + 15800.50ns INFO [00015802] Port=0 RD @02 + 15800.50ns INFO [00015802] Port=1 RD @02 + 15801.50ns INFO [00015803] Port=0 WR @00=36464F72A63B455317 + 15801.50ns INFO [00015803] Port=0 RD @05 + 15802.50ns INFO [00015804] * RD COMPARE * port=0 adr=02 act=A9AA38C601126820A3 exp=A9AA38C601126820A3 + 15802.50ns INFO [00015804] * RD COMPARE * port=1 adr=02 act=A9AA38C601126820A3 exp=A9AA38C601126820A3 + 15802.50ns INFO [00015804] Port=1 RD @07 + 15803.50ns INFO [00015805] * RD COMPARE * port=0 adr=05 act=CF0E97ECCAAF2DA7DE exp=CF0E97ECCAAF2DA7DE + 15803.50ns INFO [00015805] Port=0 RD @05 + 15804.50ns INFO [00015806] * RD COMPARE * port=1 adr=07 act=B3BA27B903F96E9AA3 exp=B3BA27B903F96E9AA3 + 15804.50ns INFO [00015806] Port=0 RD @04 + 15805.50ns INFO [00015807] * RD COMPARE * port=0 adr=05 act=CF0E97ECCAAF2DA7DE exp=CF0E97ECCAAF2DA7DE + 15805.50ns INFO [00015807] Port=0 RD @03 + 15806.50ns INFO [00015808] * RD COMPARE * port=0 adr=04 act=64BE603866611FAA69 exp=64BE603866611FAA69 + 15807.50ns INFO [00015809] * RD COMPARE * port=0 adr=03 act=0D105B7BD39593BA3A exp=0D105B7BD39593BA3A + 15807.50ns INFO [00015809] Port=0 WR @01=A4D2A2C604E361D9E2 + 15808.50ns INFO [00015810] Port=0 RD @07 + 15808.50ns INFO [00015810] Port=1 RD @04 + 15810.50ns INFO [00015812] * RD COMPARE * port=0 adr=07 act=B3BA27B903F96E9AA3 exp=B3BA27B903F96E9AA3 + 15810.50ns INFO [00015812] * RD COMPARE * port=1 adr=04 act=64BE603866611FAA69 exp=64BE603866611FAA69 + 15810.50ns INFO [00015812] Port=0 WR @07=3360FE2575574F651E + 15810.50ns INFO [00015812] Port=0 RD @04 + 15812.50ns INFO [00015814] * RD COMPARE * port=0 adr=04 act=64BE603866611FAA69 exp=64BE603866611FAA69 + 15813.50ns INFO [00015815] Port=0 WR @02=AFF5A55DA8D95EFCB7 + 15815.50ns INFO [00015817] Port=0 RD @04 + 15816.50ns INFO [00015818] Port=0 WR @04=BB07CDE46A387E5D5C + 15816.50ns INFO [00015818] Port=0 RD @06 + 15817.50ns INFO [00015819] * RD COMPARE * port=0 adr=04 act=64BE603866611FAA69 exp=64BE603866611FAA69 + 15817.50ns INFO [00015819] Port=0 WR @03=8A518285DDA9444AED + 15817.50ns INFO [00015819] Port=0 RD @01 + 15818.50ns INFO [00015820] * RD COMPARE * port=0 adr=06 act=E5ADBF4429026CFF59 exp=E5ADBF4429026CFF59 + 15818.50ns INFO [00015820] Port=1 RD @02 + 15819.50ns INFO [00015821] * RD COMPARE * port=0 adr=01 act=A4D2A2C604E361D9E2 exp=A4D2A2C604E361D9E2 + 15819.50ns INFO [00015821] Port=0 WR @00=4292AF282540512832 + 15819.50ns INFO [00015821] Port=0 RD @01 + 15820.50ns INFO [00015822] * RD COMPARE * port=1 adr=02 act=AFF5A55DA8D95EFCB7 exp=AFF5A55DA8D95EFCB7 + 15820.50ns INFO [00015822] Port=0 WR @07=57D9D549A6F57578B8 + 15821.50ns INFO [00015823] * RD COMPARE * port=0 adr=01 act=A4D2A2C604E361D9E2 exp=A4D2A2C604E361D9E2 + 15821.50ns INFO [00015823] Port=0 WR @07=C962062A7382F4C06B + 15821.50ns INFO [00015823] Port=1 RD @00 + 15822.50ns INFO [00015824] Port=0 WR @06=E23C8CAB7CAE7A3EC5 + 15822.50ns INFO [00015824] Port=0 RD @05 + 15822.50ns INFO [00015824] Port=1 RD @01 + 15823.50ns INFO [00015825] * RD COMPARE * port=1 adr=00 act=4292AF282540512832 exp=4292AF282540512832 + 15823.50ns INFO [00015825] Port=0 WR @04=588227A5324371C158 + 15823.50ns INFO [00015825] Port=1 RD @02 + 15824.50ns INFO [00015826] * RD COMPARE * port=0 adr=05 act=CF0E97ECCAAF2DA7DE exp=CF0E97ECCAAF2DA7DE + 15824.50ns INFO [00015826] * RD COMPARE * port=1 adr=01 act=A4D2A2C604E361D9E2 exp=A4D2A2C604E361D9E2 + 15824.50ns INFO [00015826] Port=0 RD @04 + 15825.50ns INFO [00015827] * RD COMPARE * port=1 adr=02 act=AFF5A55DA8D95EFCB7 exp=AFF5A55DA8D95EFCB7 + 15825.50ns INFO [00015827] Port=1 RD @07 + 15826.50ns INFO [00015828] * RD COMPARE * port=0 adr=04 act=588227A5324371C158 exp=588227A5324371C158 + 15826.50ns INFO [00015828] Port=0 WR @04=503FA845DD5AD32C91 + 15826.50ns INFO [00015828] Port=0 RD @06 + 15827.50ns INFO [00015829] * RD COMPARE * port=1 adr=07 act=C962062A7382F4C06B exp=C962062A7382F4C06B + 15827.50ns INFO [00015829] Port=0 WR @07=1D2DCDE075B8D58B0D + 15828.50ns INFO [00015830] * RD COMPARE * port=0 adr=06 act=E23C8CAB7CAE7A3EC5 exp=E23C8CAB7CAE7A3EC5 + 15829.50ns INFO [00015831] Port=1 RD @05 + 15831.50ns INFO [00015833] * RD COMPARE * port=1 adr=05 act=CF0E97ECCAAF2DA7DE exp=CF0E97ECCAAF2DA7DE + 15831.50ns INFO [00015833] Port=0 RD @04 + 15833.50ns INFO [00015835] * RD COMPARE * port=0 adr=04 act=503FA845DD5AD32C91 exp=503FA845DD5AD32C91 + 15833.50ns INFO [00015835] Port=0 WR @07=7329721784D4DE0F2B + 15834.50ns INFO [00015836] Port=0 WR @06=D607D5BAD53DB86D41 + 15834.50ns INFO [00015836] Port=1 RD @00 + 15835.50ns INFO [00015837] Port=0 RD @00 + 15836.50ns INFO [00015838] * RD COMPARE * port=1 adr=00 act=4292AF282540512832 exp=4292AF282540512832 + 15837.50ns INFO [00015839] * RD COMPARE * port=0 adr=00 act=4292AF282540512832 exp=4292AF282540512832 + 15837.50ns INFO [00015839] Port=0 WR @00=7CDFAF03FD3500E235 + 15838.50ns INFO [00015840] Port=1 RD @00 + 15839.50ns INFO [00015841] Port=0 WR @00=CDBEF6E1C9B45845BF + 15840.50ns INFO [00015842] * RD COMPARE * port=1 adr=00 act=7CDFAF03FD3500E235 exp=7CDFAF03FD3500E235 + 15840.50ns INFO [00015842] Port=0 WR @05=82C20484625C29C8EF + 15842.50ns INFO [00015844] Port=1 RD @05 + 15843.50ns INFO [00015845] Port=1 RD @05 + 15844.50ns INFO [00015846] * RD COMPARE * port=1 adr=05 act=82C20484625C29C8EF exp=82C20484625C29C8EF + 15844.50ns INFO [00015846] Port=0 WR @07=96DAC6AE6D773EC5FB + 15844.50ns INFO [00015846] Port=1 RD @00 + 15845.50ns INFO [00015847] * RD COMPARE * port=1 adr=05 act=82C20484625C29C8EF exp=82C20484625C29C8EF + 15845.50ns INFO [00015847] Port=0 WR @01=308BBB3F07F3B32D45 + 15845.50ns INFO [00015847] Port=0 RD @04 + 15845.50ns INFO [00015847] Port=1 RD @03 + 15846.50ns INFO [00015848] * RD COMPARE * port=1 adr=00 act=CDBEF6E1C9B45845BF exp=CDBEF6E1C9B45845BF + 15846.50ns INFO [00015848] Port=0 RD @00 + 15846.50ns INFO [00015848] Port=1 RD @03 + 15847.50ns INFO [00015849] * RD COMPARE * port=0 adr=04 act=503FA845DD5AD32C91 exp=503FA845DD5AD32C91 + 15847.50ns INFO [00015849] * RD COMPARE * port=1 adr=03 act=8A518285DDA9444AED exp=8A518285DDA9444AED + 15847.50ns INFO [00015849] Port=0 WR @05=20E785B0F30A95E438 + 15848.50ns INFO [00015850] * RD COMPARE * port=0 adr=00 act=CDBEF6E1C9B45845BF exp=CDBEF6E1C9B45845BF + 15848.50ns INFO [00015850] * RD COMPARE * port=1 adr=03 act=8A518285DDA9444AED exp=8A518285DDA9444AED + 15848.50ns INFO [00015850] Port=0 WR @07=BC0F8C00B7D480A6E6 + 15848.50ns INFO [00015850] Port=0 RD @04 + 15849.50ns INFO [00015851] Port=1 RD @06 + 15850.50ns INFO [00015852] * RD COMPARE * port=0 adr=04 act=503FA845DD5AD32C91 exp=503FA845DD5AD32C91 + 15850.50ns INFO [00015852] Port=1 RD @00 + 15851.50ns INFO [00015853] * RD COMPARE * port=1 adr=06 act=D607D5BAD53DB86D41 exp=D607D5BAD53DB86D41 + 15852.50ns INFO [00015854] * RD COMPARE * port=1 adr=00 act=CDBEF6E1C9B45845BF exp=CDBEF6E1C9B45845BF + 15853.50ns INFO [00015855] Port=0 WR @02=8B13C791EAA0FB42CC + 15853.50ns INFO [00015855] Port=1 RD @03 + 15854.50ns INFO [00015856] Port=0 RD @01 + 15855.50ns INFO [00015857] * RD COMPARE * port=1 adr=03 act=8A518285DDA9444AED exp=8A518285DDA9444AED + 15855.50ns INFO [00015857] Port=0 RD @03 + 15855.50ns INFO [00015857] Port=1 RD @05 + 15856.50ns INFO [00015858] * RD COMPARE * port=0 adr=01 act=308BBB3F07F3B32D45 exp=308BBB3F07F3B32D45 + 15856.50ns INFO [00015858] Port=0 WR @06=2CF86FEA0A887C54BD + 15856.50ns INFO [00015858] Port=0 RD @03 + 15857.50ns INFO [00015859] * RD COMPARE * port=0 adr=03 act=8A518285DDA9444AED exp=8A518285DDA9444AED + 15857.50ns INFO [00015859] * RD COMPARE * port=1 adr=05 act=20E785B0F30A95E438 exp=20E785B0F30A95E438 + 15858.50ns INFO [00015860] * RD COMPARE * port=0 adr=03 act=8A518285DDA9444AED exp=8A518285DDA9444AED + 15858.50ns INFO [00015860] Port=1 RD @03 + 15859.50ns INFO [00015861] Port=0 WR @00=5A9CB97DE1D1A39352 + 15860.50ns INFO [00015862] * RD COMPARE * port=1 adr=03 act=8A518285DDA9444AED exp=8A518285DDA9444AED + 15860.50ns INFO [00015862] Port=0 WR @06=DE5021544505D5CF36 + 15860.50ns INFO [00015862] Port=1 RD @03 + 15861.50ns INFO [00015863] Port=1 RD @01 + 15862.50ns INFO [00015864] * RD COMPARE * port=1 adr=03 act=8A518285DDA9444AED exp=8A518285DDA9444AED + 15863.50ns INFO [00015865] * RD COMPARE * port=1 adr=01 act=308BBB3F07F3B32D45 exp=308BBB3F07F3B32D45 + 15863.50ns INFO [00015865] Port=0 RD @05 + 15864.50ns INFO [00015866] Port=0 WR @07=06B010FB15E7652AC3 + 15865.50ns INFO [00015867] * RD COMPARE * port=0 adr=05 act=20E785B0F30A95E438 exp=20E785B0F30A95E438 + 15865.50ns INFO [00015867] Port=0 WR @02=BD3AF714DCA63A6702 + 15865.50ns INFO [00015867] Port=0 RD @01 + 15865.50ns INFO [00015867] Port=1 RD @06 + 15866.50ns INFO [00015868] Port=0 WR @07=C2631E49F4A7D2AA16 + 15866.50ns INFO [00015868] Port=0 RD @04 + 15866.50ns INFO [00015868] Port=1 RD @03 + 15867.50ns INFO [00015869] * RD COMPARE * port=0 adr=01 act=308BBB3F07F3B32D45 exp=308BBB3F07F3B32D45 + 15867.50ns INFO [00015869] * RD COMPARE * port=1 adr=06 act=DE5021544505D5CF36 exp=DE5021544505D5CF36 + 15867.50ns INFO [00015869] Port=0 RD @06 + 15867.50ns INFO [00015869] Port=1 RD @06 + 15868.50ns INFO [00015870] * RD COMPARE * port=0 adr=04 act=503FA845DD5AD32C91 exp=503FA845DD5AD32C91 + 15868.50ns INFO [00015870] * RD COMPARE * port=1 adr=03 act=8A518285DDA9444AED exp=8A518285DDA9444AED + 15869.50ns INFO [00015871] * RD COMPARE * port=0 adr=06 act=DE5021544505D5CF36 exp=DE5021544505D5CF36 + 15869.50ns INFO [00015871] * RD COMPARE * port=1 adr=06 act=DE5021544505D5CF36 exp=DE5021544505D5CF36 + 15869.50ns INFO [00015871] Port=0 WR @03=B8A66EECE7DCD8B4F0 + 15870.50ns INFO [00015872] Port=0 WR @01=A04B824E0BF53A86FC + 15870.50ns INFO [00015872] Port=0 RD @07 + 15871.50ns INFO [00015873] Port=0 RD @00 + 15872.50ns INFO [00015874] * RD COMPARE * port=0 adr=07 act=C2631E49F4A7D2AA16 exp=C2631E49F4A7D2AA16 + 15872.50ns INFO [00015874] Port=1 RD @02 + 15873.50ns INFO [00015875] * RD COMPARE * port=0 adr=00 act=5A9CB97DE1D1A39352 exp=5A9CB97DE1D1A39352 + 15873.50ns INFO [00015875] Port=0 WR @05=EEF4DA746F4F9EF116 + 15874.50ns INFO [00015876] * RD COMPARE * port=1 adr=02 act=BD3AF714DCA63A6702 exp=BD3AF714DCA63A6702 + 15874.50ns INFO [00015876] Port=0 WR @07=9EDBEB2B4443DF980D + 15874.50ns INFO [00015876] Port=0 RD @05 + 15874.50ns INFO [00015876] Port=1 RD @04 + 15875.50ns INFO [00015877] Port=0 RD @04 + 15876.50ns INFO [00015878] * RD COMPARE * port=0 adr=05 act=EEF4DA746F4F9EF116 exp=EEF4DA746F4F9EF116 + 15876.50ns INFO [00015878] * RD COMPARE * port=1 adr=04 act=503FA845DD5AD32C91 exp=503FA845DD5AD32C91 + 15877.50ns INFO [00015879] * RD COMPARE * port=0 adr=04 act=503FA845DD5AD32C91 exp=503FA845DD5AD32C91 + 15878.50ns INFO [00015880] Port=0 WR @00=2C6073C988BB07AA6F + 15878.50ns INFO [00015880] Port=0 RD @07 + 15878.50ns INFO [00015880] Port=1 RD @05 + 15879.50ns INFO [00015881] Port=0 RD @05 + 15879.50ns INFO [00015881] Port=1 RD @04 + 15880.50ns INFO [00015882] * RD COMPARE * port=0 adr=07 act=9EDBEB2B4443DF980D exp=9EDBEB2B4443DF980D + 15880.50ns INFO [00015882] * RD COMPARE * port=1 adr=05 act=EEF4DA746F4F9EF116 exp=EEF4DA746F4F9EF116 + 15880.50ns INFO [00015882] Port=0 WR @06=122E41826C996A6C25 + 15881.50ns INFO [00015883] * RD COMPARE * port=0 adr=05 act=EEF4DA746F4F9EF116 exp=EEF4DA746F4F9EF116 + 15881.50ns INFO [00015883] * RD COMPARE * port=1 adr=04 act=503FA845DD5AD32C91 exp=503FA845DD5AD32C91 + 15881.50ns INFO [00015883] Port=0 RD @00 + 15881.50ns INFO [00015883] Port=1 RD @02 + 15882.50ns INFO [00015884] Port=0 RD @05 + 15882.50ns INFO [00015884] Port=1 RD @00 + 15883.50ns INFO [00015885] * RD COMPARE * port=0 adr=00 act=2C6073C988BB07AA6F exp=2C6073C988BB07AA6F + 15883.50ns INFO [00015885] * RD COMPARE * port=1 adr=02 act=BD3AF714DCA63A6702 exp=BD3AF714DCA63A6702 + 15883.50ns INFO [00015885] Port=0 WR @01=5B1F96BF014D04D0B7 + 15883.50ns INFO [00015885] Port=1 RD @03 + 15884.50ns INFO [00015886] * RD COMPARE * port=0 adr=05 act=EEF4DA746F4F9EF116 exp=EEF4DA746F4F9EF116 + 15884.50ns INFO [00015886] * RD COMPARE * port=1 adr=00 act=2C6073C988BB07AA6F exp=2C6073C988BB07AA6F + 15885.50ns INFO [00015887] * RD COMPARE * port=1 adr=03 act=B8A66EECE7DCD8B4F0 exp=B8A66EECE7DCD8B4F0 + 15885.50ns INFO [00015887] Port=0 WR @03=27D834F88DCE327914 + 15885.50ns INFO [00015887] Port=1 RD @06 + 15886.50ns INFO [00015888] Port=0 RD @02 + 15887.50ns INFO [00015889] * RD COMPARE * port=1 adr=06 act=122E41826C996A6C25 exp=122E41826C996A6C25 + 15887.50ns INFO [00015889] Port=0 WR @03=98989C4C76E6F5C1AE + 15887.50ns INFO [00015889] Port=1 RD @05 + 15888.50ns INFO [00015890] * RD COMPARE * port=0 adr=02 act=BD3AF714DCA63A6702 exp=BD3AF714DCA63A6702 + 15888.50ns INFO [00015890] Port=0 WR @04=E8433FE736926107A1 + 15889.50ns INFO [00015891] * RD COMPARE * port=1 adr=05 act=EEF4DA746F4F9EF116 exp=EEF4DA746F4F9EF116 + 15890.50ns INFO [00015892] Port=0 WR @02=EFDB02B3FAC2DADEA6 + 15891.50ns INFO [00015893] Port=1 RD @01 + 15892.50ns INFO [00015894] Port=0 RD @03 + 15893.50ns INFO [00015895] * RD COMPARE * port=1 adr=01 act=5B1F96BF014D04D0B7 exp=5B1F96BF014D04D0B7 + 15894.50ns INFO [00015896] * RD COMPARE * port=0 adr=03 act=98989C4C76E6F5C1AE exp=98989C4C76E6F5C1AE + 15896.50ns INFO [00015898] Port=1 RD @06 + 15897.50ns INFO [00015899] Port=0 WR @04=EC474AE52DFE7D192C + 15897.50ns INFO [00015899] Port=0 RD @06 + 15897.50ns INFO [00015899] Port=1 RD @03 + 15898.00ns INFO [00015900] [00015900] ...tick... + 15898.50ns INFO [00015900] * RD COMPARE * port=1 adr=06 act=122E41826C996A6C25 exp=122E41826C996A6C25 + 15898.50ns INFO [00015900] Port=1 RD @03 + 15899.50ns INFO [00015901] * RD COMPARE * port=0 adr=06 act=122E41826C996A6C25 exp=122E41826C996A6C25 + 15899.50ns INFO [00015901] * RD COMPARE * port=1 adr=03 act=98989C4C76E6F5C1AE exp=98989C4C76E6F5C1AE + 15900.50ns INFO [00015902] * RD COMPARE * port=1 adr=03 act=98989C4C76E6F5C1AE exp=98989C4C76E6F5C1AE + 15900.50ns INFO [00015902] Port=0 WR @05=004D876D3E23363310 + 15900.50ns INFO [00015902] Port=1 RD @04 + 15901.50ns INFO [00015903] Port=1 RD @07 + 15902.50ns INFO [00015904] * RD COMPARE * port=1 adr=04 act=EC474AE52DFE7D192C exp=EC474AE52DFE7D192C + 15902.50ns INFO [00015904] Port=0 RD @03 + 15902.50ns INFO [00015904] Port=1 RD @01 + 15903.50ns INFO [00015905] * RD COMPARE * port=1 adr=07 act=9EDBEB2B4443DF980D exp=9EDBEB2B4443DF980D + 15903.50ns INFO [00015905] Port=0 WR @07=6CD98D990902488C4F + 15904.50ns INFO [00015906] * RD COMPARE * port=0 adr=03 act=98989C4C76E6F5C1AE exp=98989C4C76E6F5C1AE + 15904.50ns INFO [00015906] * RD COMPARE * port=1 adr=01 act=5B1F96BF014D04D0B7 exp=5B1F96BF014D04D0B7 + 15904.50ns INFO [00015906] Port=0 RD @00 + 15904.50ns INFO [00015906] Port=1 RD @07 + 15906.50ns INFO [00015908] * RD COMPARE * port=0 adr=00 act=2C6073C988BB07AA6F exp=2C6073C988BB07AA6F + 15906.50ns INFO [00015908] * RD COMPARE * port=1 adr=07 act=6CD98D990902488C4F exp=6CD98D990902488C4F + 15906.50ns INFO [00015908] Port=0 WR @07=23EAB98FDF8D8F8072 + 15906.50ns INFO [00015908] Port=0 RD @06 + 15908.50ns INFO [00015910] * RD COMPARE * port=0 adr=06 act=122E41826C996A6C25 exp=122E41826C996A6C25 + 15908.50ns INFO [00015910] Port=0 RD @06 + 15909.50ns INFO [00015911] Port=1 RD @03 + 15910.50ns INFO [00015912] * RD COMPARE * port=0 adr=06 act=122E41826C996A6C25 exp=122E41826C996A6C25 + 15910.50ns INFO [00015912] Port=0 WR @02=62DDCC73BB2613609B + 15910.50ns INFO [00015912] Port=1 RD @07 + 15911.50ns INFO [00015913] * RD COMPARE * port=1 adr=03 act=98989C4C76E6F5C1AE exp=98989C4C76E6F5C1AE + 15911.50ns INFO [00015913] Port=0 RD @04 + 15911.50ns INFO [00015913] Port=1 RD @06 + 15912.50ns INFO [00015914] * RD COMPARE * port=1 adr=07 act=23EAB98FDF8D8F8072 exp=23EAB98FDF8D8F8072 + 15912.50ns INFO [00015914] Port=0 WR @00=F51AB645378258CF90 + 15912.50ns INFO [00015914] Port=1 RD @05 + 15913.50ns INFO [00015915] * RD COMPARE * port=0 adr=04 act=EC474AE52DFE7D192C exp=EC474AE52DFE7D192C + 15913.50ns INFO [00015915] * RD COMPARE * port=1 adr=06 act=122E41826C996A6C25 exp=122E41826C996A6C25 + 15913.50ns INFO [00015915] Port=0 WR @06=989A7B73FA10369804 + 15913.50ns INFO [00015915] Port=0 RD @05 + 15914.50ns INFO [00015916] * RD COMPARE * port=1 adr=05 act=004D876D3E23363310 exp=004D876D3E23363310 + 15914.50ns INFO [00015916] Port=0 WR @01=38B989616660116B45 + 15914.50ns INFO [00015916] Port=0 RD @07 + 15915.50ns INFO [00015917] * RD COMPARE * port=0 adr=05 act=004D876D3E23363310 exp=004D876D3E23363310 + 15916.50ns INFO [00015918] * RD COMPARE * port=0 adr=07 act=23EAB98FDF8D8F8072 exp=23EAB98FDF8D8F8072 + 15916.50ns INFO [00015918] Port=0 WR @06=4AB690784040A78934 + 15916.50ns INFO [00015918] Port=0 RD @01 + 15917.50ns INFO [00015919] Port=0 RD @07 + 15917.50ns INFO [00015919] Port=1 RD @00 + 15918.50ns INFO [00015920] * RD COMPARE * port=0 adr=01 act=38B989616660116B45 exp=38B989616660116B45 + 15919.50ns INFO [00015921] * RD COMPARE * port=0 adr=07 act=23EAB98FDF8D8F8072 exp=23EAB98FDF8D8F8072 + 15919.50ns INFO [00015921] * RD COMPARE * port=1 adr=00 act=F51AB645378258CF90 exp=F51AB645378258CF90 + 15921.50ns INFO [00015923] Port=0 WR @01=3DC2C7EB16E3F6A8A3 + 15922.50ns INFO [00015924] Port=0 WR @03=D8BD1CB40F9F647D87 + 15922.50ns INFO [00015924] Port=1 RD @01 + 15923.50ns INFO [00015925] Port=0 RD @07 + 15924.50ns INFO [00015926] * RD COMPARE * port=1 adr=01 act=3DC2C7EB16E3F6A8A3 exp=3DC2C7EB16E3F6A8A3 + 15925.50ns INFO [00015927] * RD COMPARE * port=0 adr=07 act=23EAB98FDF8D8F8072 exp=23EAB98FDF8D8F8072 + 15925.50ns INFO [00015927] Port=1 RD @05 + 15926.50ns INFO [00015928] Port=0 WR @07=3C14026BB05928ABD6 + 15926.50ns INFO [00015928] Port=0 RD @06 + 15926.50ns INFO [00015928] Port=1 RD @05 + 15927.50ns INFO [00015929] * RD COMPARE * port=1 adr=05 act=004D876D3E23363310 exp=004D876D3E23363310 + 15928.50ns INFO [00015930] * RD COMPARE * port=0 adr=06 act=4AB690784040A78934 exp=4AB690784040A78934 + 15928.50ns INFO [00015930] * RD COMPARE * port=1 adr=05 act=004D876D3E23363310 exp=004D876D3E23363310 + 15928.50ns INFO [00015930] Port=0 WR @04=C5F7ADBCA24757B86B + 15929.50ns INFO [00015931] Port=0 RD @06 + 15929.50ns INFO [00015931] Port=1 RD @07 + 15930.50ns INFO [00015932] Port=0 WR @03=969C34262E3BC5A7B2 + 15930.50ns INFO [00015932] Port=1 RD @06 + 15931.50ns INFO [00015933] * RD COMPARE * port=0 adr=06 act=4AB690784040A78934 exp=4AB690784040A78934 + 15931.50ns INFO [00015933] * RD COMPARE * port=1 adr=07 act=3C14026BB05928ABD6 exp=3C14026BB05928ABD6 + 15931.50ns INFO [00015933] Port=0 RD @05 + 15932.50ns INFO [00015934] * RD COMPARE * port=1 adr=06 act=4AB690784040A78934 exp=4AB690784040A78934 + 15932.50ns INFO [00015934] Port=0 WR @07=95A84CDA928AD75E54 + 15932.50ns INFO [00015934] Port=0 RD @02 + 15933.50ns INFO [00015935] * RD COMPARE * port=0 adr=05 act=004D876D3E23363310 exp=004D876D3E23363310 + 15933.50ns INFO [00015935] Port=0 RD @00 + 15934.50ns INFO [00015936] * RD COMPARE * port=0 adr=02 act=62DDCC73BB2613609B exp=62DDCC73BB2613609B + 15934.50ns INFO [00015936] Port=0 WR @04=8C86C99D62EAC71450 + 15934.50ns INFO [00015936] Port=0 RD @07 + 15934.50ns INFO [00015936] Port=1 RD @05 + 15935.50ns INFO [00015937] * RD COMPARE * port=0 adr=00 act=F51AB645378258CF90 exp=F51AB645378258CF90 + 15935.50ns INFO [00015937] Port=0 WR @05=9CA69E8640CA9ACB72 + 15935.50ns INFO [00015937] Port=1 RD @02 + 15936.50ns INFO [00015938] * RD COMPARE * port=0 adr=07 act=95A84CDA928AD75E54 exp=95A84CDA928AD75E54 + 15936.50ns INFO [00015938] * RD COMPARE * port=1 adr=05 act=004D876D3E23363310 exp=004D876D3E23363310 + 15936.50ns INFO [00015938] Port=0 WR @05=83C96A55250BABBE0B + 15936.50ns INFO [00015938] Port=0 RD @03 + 15937.50ns INFO [00015939] * RD COMPARE * port=1 adr=02 act=62DDCC73BB2613609B exp=62DDCC73BB2613609B + 15937.50ns INFO [00015939] Port=0 RD @00 + 15938.50ns INFO [00015940] * RD COMPARE * port=0 adr=03 act=969C34262E3BC5A7B2 exp=969C34262E3BC5A7B2 + 15938.50ns INFO [00015940] Port=1 RD @02 + 15939.50ns INFO [00015941] * RD COMPARE * port=0 adr=00 act=F51AB645378258CF90 exp=F51AB645378258CF90 + 15939.50ns INFO [00015941] Port=0 RD @02 + 15940.50ns INFO [00015942] * RD COMPARE * port=1 adr=02 act=62DDCC73BB2613609B exp=62DDCC73BB2613609B + 15941.50ns INFO [00015943] * RD COMPARE * port=0 adr=02 act=62DDCC73BB2613609B exp=62DDCC73BB2613609B + 15941.50ns INFO [00015943] Port=1 RD @00 + 15942.50ns INFO [00015944] Port=0 RD @07 + 15943.50ns INFO [00015945] * RD COMPARE * port=1 adr=00 act=F51AB645378258CF90 exp=F51AB645378258CF90 + 15943.50ns INFO [00015945] Port=0 WR @03=2590D4F6B8B9A8AA02 + 15944.50ns INFO [00015946] * RD COMPARE * port=0 adr=07 act=95A84CDA928AD75E54 exp=95A84CDA928AD75E54 + 15944.50ns INFO [00015946] Port=0 RD @01 + 15945.50ns INFO [00015947] Port=0 WR @00=1212E1EFBE84170BF1 + 15946.50ns INFO [00015948] * RD COMPARE * port=0 adr=01 act=3DC2C7EB16E3F6A8A3 exp=3DC2C7EB16E3F6A8A3 + 15946.50ns INFO [00015948] Port=0 WR @04=84CB82271B7017B291 + 15946.50ns INFO [00015948] Port=1 RD @03 + 15947.50ns INFO [00015949] Port=0 WR @07=0374A2518FEF64E067 + 15947.50ns INFO [00015949] Port=0 RD @01 + 15948.50ns INFO [00015950] * RD COMPARE * port=1 adr=03 act=2590D4F6B8B9A8AA02 exp=2590D4F6B8B9A8AA02 + 15949.50ns INFO [00015951] * RD COMPARE * port=0 adr=01 act=3DC2C7EB16E3F6A8A3 exp=3DC2C7EB16E3F6A8A3 + 15949.50ns INFO [00015951] Port=0 WR @04=1245D3F1C17E8D3686 + 15950.50ns INFO [00015952] Port=1 RD @05 + 15951.50ns INFO [00015953] Port=0 RD @07 + 15952.50ns INFO [00015954] * RD COMPARE * port=1 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 15952.50ns INFO [00015954] Port=0 WR @01=0A695207AA28C0856A + 15953.50ns INFO [00015955] * RD COMPARE * port=0 adr=07 act=0374A2518FEF64E067 exp=0374A2518FEF64E067 + 15954.50ns INFO [00015956] Port=0 WR @06=5AA4332C6872064B93 + 15954.50ns INFO [00015956] Port=0 RD @04 + 15955.50ns INFO [00015957] Port=0 WR @01=33AD522CE3852E1624 + 15955.50ns INFO [00015957] Port=0 RD @04 + 15955.50ns INFO [00015957] Port=1 RD @05 + 15956.50ns INFO [00015958] * RD COMPARE * port=0 adr=04 act=1245D3F1C17E8D3686 exp=1245D3F1C17E8D3686 + 15956.50ns INFO [00015958] Port=0 WR @04=914E4634E3ADD4CE14 + 15957.50ns INFO [00015959] * RD COMPARE * port=0 adr=04 act=1245D3F1C17E8D3686 exp=1245D3F1C17E8D3686 + 15957.50ns INFO [00015959] * RD COMPARE * port=1 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 15957.50ns INFO [00015959] Port=0 WR @01=13E807018A52EFC919 + 15957.50ns INFO [00015959] Port=1 RD @05 + 15959.50ns INFO [00015961] * RD COMPARE * port=1 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 15959.50ns INFO [00015961] Port=0 WR @01=078FF74F45A8A8F34B + 15962.50ns INFO [00015964] Port=0 RD @02 + 15962.50ns INFO [00015964] Port=1 RD @04 + 15963.50ns INFO [00015965] Port=0 WR @03=B7F4900029450AAC0A + 15963.50ns INFO [00015965] Port=0 RD @02 + 15964.50ns INFO [00015966] * RD COMPARE * port=0 adr=02 act=62DDCC73BB2613609B exp=62DDCC73BB2613609B + 15964.50ns INFO [00015966] * RD COMPARE * port=1 adr=04 act=914E4634E3ADD4CE14 exp=914E4634E3ADD4CE14 + 15965.50ns INFO [00015967] * RD COMPARE * port=0 adr=02 act=62DDCC73BB2613609B exp=62DDCC73BB2613609B + 15965.50ns INFO [00015967] Port=0 WR @02=C9A7293096DA54D231 + 15968.50ns INFO [00015970] Port=1 RD @00 + 15970.50ns INFO [00015972] * RD COMPARE * port=1 adr=00 act=1212E1EFBE84170BF1 exp=1212E1EFBE84170BF1 + 15970.50ns INFO [00015972] Port=0 RD @07 + 15970.50ns INFO [00015972] Port=1 RD @06 + 15971.50ns INFO [00015973] Port=0 RD @05 + 15972.50ns INFO [00015974] * RD COMPARE * port=0 adr=07 act=0374A2518FEF64E067 exp=0374A2518FEF64E067 + 15972.50ns INFO [00015974] * RD COMPARE * port=1 adr=06 act=5AA4332C6872064B93 exp=5AA4332C6872064B93 + 15972.50ns INFO [00015974] Port=1 RD @07 + 15973.50ns INFO [00015975] * RD COMPARE * port=0 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 15973.50ns INFO [00015975] Port=0 RD @04 + 15974.50ns INFO [00015976] * RD COMPARE * port=1 adr=07 act=0374A2518FEF64E067 exp=0374A2518FEF64E067 + 15974.50ns INFO [00015976] Port=1 RD @03 + 15975.50ns INFO [00015977] * RD COMPARE * port=0 adr=04 act=914E4634E3ADD4CE14 exp=914E4634E3ADD4CE14 + 15975.50ns INFO [00015977] Port=0 RD @01 + 15976.50ns INFO [00015978] * RD COMPARE * port=1 adr=03 act=B7F4900029450AAC0A exp=B7F4900029450AAC0A + 15976.50ns INFO [00015978] Port=0 RD @03 + 15976.50ns INFO [00015978] Port=1 RD @04 + 15977.50ns INFO [00015979] * RD COMPARE * port=0 adr=01 act=078FF74F45A8A8F34B exp=078FF74F45A8A8F34B + 15978.50ns INFO [00015980] * RD COMPARE * port=0 adr=03 act=B7F4900029450AAC0A exp=B7F4900029450AAC0A + 15978.50ns INFO [00015980] * RD COMPARE * port=1 adr=04 act=914E4634E3ADD4CE14 exp=914E4634E3ADD4CE14 + 15978.50ns INFO [00015980] Port=0 RD @05 + 15978.50ns INFO [00015980] Port=1 RD @00 + 15980.50ns INFO [00015982] * RD COMPARE * port=0 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 15980.50ns INFO [00015982] * RD COMPARE * port=1 adr=00 act=1212E1EFBE84170BF1 exp=1212E1EFBE84170BF1 + 15980.50ns INFO [00015982] Port=1 RD @00 + 15981.50ns INFO [00015983] Port=0 WR @02=98032B44AC07C1898A + 15981.50ns INFO [00015983] Port=0 RD @04 + 15981.50ns INFO [00015983] Port=1 RD @05 + 15982.50ns INFO [00015984] * RD COMPARE * port=1 adr=00 act=1212E1EFBE84170BF1 exp=1212E1EFBE84170BF1 + 15982.50ns INFO [00015984] Port=0 WR @04=E6B1D0C7FFDCD41A05 + 15982.50ns INFO [00015984] Port=1 RD @05 + 15983.50ns INFO [00015985] * RD COMPARE * port=0 adr=04 act=914E4634E3ADD4CE14 exp=914E4634E3ADD4CE14 + 15983.50ns INFO [00015985] * RD COMPARE * port=1 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 15983.50ns INFO [00015985] Port=0 WR @06=2EE8BDEE06D2C46BF4 + 15983.50ns INFO [00015985] Port=0 RD @00 + 15983.50ns INFO [00015985] Port=1 RD @04 + 15984.50ns INFO [00015986] * RD COMPARE * port=1 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 15984.50ns INFO [00015986] Port=0 WR @02=F27DE9B759AA06CFF7 + 15984.50ns INFO [00015986] Port=1 RD @03 + 15985.50ns INFO [00015987] * RD COMPARE * port=0 adr=00 act=1212E1EFBE84170BF1 exp=1212E1EFBE84170BF1 + 15985.50ns INFO [00015987] * RD COMPARE * port=1 adr=04 act=E6B1D0C7FFDCD41A05 exp=E6B1D0C7FFDCD41A05 + 15985.50ns INFO [00015987] Port=0 WR @00=84338D07098E7921C3 + 15985.50ns INFO [00015987] Port=0 RD @02 + 15985.50ns INFO [00015987] Port=1 RD @05 + 15986.50ns INFO [00015988] * RD COMPARE * port=1 adr=03 act=B7F4900029450AAC0A exp=B7F4900029450AAC0A + 15986.50ns INFO [00015988] Port=1 RD @00 + 15987.50ns INFO [00015989] * RD COMPARE * port=0 adr=02 act=F27DE9B759AA06CFF7 exp=F27DE9B759AA06CFF7 + 15987.50ns INFO [00015989] * RD COMPARE * port=1 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 15987.50ns INFO [00015989] Port=0 WR @04=BBA0D2627201A703D6 + 15988.50ns INFO [00015990] * RD COMPARE * port=1 adr=00 act=84338D07098E7921C3 exp=84338D07098E7921C3 + 15988.50ns INFO [00015990] Port=0 WR @03=487194F11588C3BD85 + 15988.50ns INFO [00015990] Port=1 RD @07 + 15989.50ns INFO [00015991] Port=0 WR @02=1ACEEB60A0C21B6EB2 + 15990.50ns INFO [00015992] * RD COMPARE * port=1 adr=07 act=0374A2518FEF64E067 exp=0374A2518FEF64E067 + 15990.50ns INFO [00015992] Port=0 RD @05 + 15991.50ns INFO [00015993] Port=1 RD @00 + 15992.50ns INFO [00015994] * RD COMPARE * port=0 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 15992.50ns INFO [00015994] Port=1 RD @03 + 15993.50ns INFO [00015995] * RD COMPARE * port=1 adr=00 act=84338D07098E7921C3 exp=84338D07098E7921C3 + 15993.50ns INFO [00015995] Port=0 RD @03 + 15994.50ns INFO [00015996] * RD COMPARE * port=1 adr=03 act=487194F11588C3BD85 exp=487194F11588C3BD85 + 15994.50ns INFO [00015996] Port=0 WR @02=430879C4B821D9C713 + 15995.50ns INFO [00015997] * RD COMPARE * port=0 adr=03 act=487194F11588C3BD85 exp=487194F11588C3BD85 + 15995.50ns INFO [00015997] Port=0 WR @00=80D5B8C8D5536CB58B + 15995.50ns INFO [00015997] Port=1 RD @07 + 15996.50ns INFO [00015998] Port=0 WR @07=CA9F5339B57F421744 + 15996.50ns INFO [00015998] Port=0 RD @02 + 15997.50ns INFO [00015999] * RD COMPARE * port=1 adr=07 act=0374A2518FEF64E067 exp=0374A2518FEF64E067 + 15997.50ns INFO [00015999] Port=0 RD @06 + 15997.50ns INFO [00015999] Port=1 RD @03 + 15998.00ns INFO [00016000] [00016000] ...tick... + 15998.50ns INFO [00016000] * RD COMPARE * port=0 adr=02 act=430879C4B821D9C713 exp=430879C4B821D9C713 + 15998.50ns INFO [00016000] Port=0 RD @02 + 15999.50ns INFO [00016001] * RD COMPARE * port=0 adr=06 act=2EE8BDEE06D2C46BF4 exp=2EE8BDEE06D2C46BF4 + 15999.50ns INFO [00016001] * RD COMPARE * port=1 adr=03 act=487194F11588C3BD85 exp=487194F11588C3BD85 + 15999.50ns INFO [00016001] Port=0 WR @07=62E82C9F3DE6E4F700 + 16000.50ns INFO [00016002] * RD COMPARE * port=0 adr=02 act=430879C4B821D9C713 exp=430879C4B821D9C713 + 16000.50ns INFO [00016002] Port=0 WR @01=796F54B1876AB8B874 + 16001.50ns INFO [00016003] Port=1 RD @01 + 16002.50ns INFO [00016004] Port=0 RD @04 + 16002.50ns INFO [00016004] Port=1 RD @04 + 16003.50ns INFO [00016005] * RD COMPARE * port=1 adr=01 act=796F54B1876AB8B874 exp=796F54B1876AB8B874 + 16003.50ns INFO [00016005] Port=0 WR @03=CCF90C2D4FB3DFEF15 + 16003.50ns INFO [00016005] Port=1 RD @00 + 16004.50ns INFO [00016006] * RD COMPARE * port=0 adr=04 act=BBA0D2627201A703D6 exp=BBA0D2627201A703D6 + 16004.50ns INFO [00016006] * RD COMPARE * port=1 adr=04 act=BBA0D2627201A703D6 exp=BBA0D2627201A703D6 + 16004.50ns INFO [00016006] Port=1 RD @01 + 16005.50ns INFO [00016007] * RD COMPARE * port=1 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16005.50ns INFO [00016007] Port=1 RD @06 + 16006.50ns INFO [00016008] * RD COMPARE * port=1 adr=01 act=796F54B1876AB8B874 exp=796F54B1876AB8B874 + 16006.50ns INFO [00016008] Port=1 RD @05 + 16007.50ns INFO [00016009] * RD COMPARE * port=1 adr=06 act=2EE8BDEE06D2C46BF4 exp=2EE8BDEE06D2C46BF4 + 16007.50ns INFO [00016009] Port=0 WR @03=DF70D6D4C4C493ABFC + 16008.50ns INFO [00016010] * RD COMPARE * port=1 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 16010.50ns INFO [00016012] Port=0 WR @03=2E3CC0932111795A56 + 16010.50ns INFO [00016012] Port=0 RD @05 + 16011.50ns INFO [00016013] Port=0 RD @00 + 16011.50ns INFO [00016013] Port=1 RD @07 + 16012.50ns INFO [00016014] * RD COMPARE * port=0 adr=05 act=83C96A55250BABBE0B exp=83C96A55250BABBE0B + 16012.50ns INFO [00016014] Port=0 WR @02=7453781F8BC0B65E9F + 16012.50ns INFO [00016014] Port=0 RD @00 + 16012.50ns INFO [00016014] Port=1 RD @06 + 16013.50ns INFO [00016015] * RD COMPARE * port=0 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16013.50ns INFO [00016015] * RD COMPARE * port=1 adr=07 act=62E82C9F3DE6E4F700 exp=62E82C9F3DE6E4F700 + 16013.50ns INFO [00016015] Port=0 RD @00 + 16013.50ns INFO [00016015] Port=1 RD @06 + 16014.50ns INFO [00016016] * RD COMPARE * port=0 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16014.50ns INFO [00016016] * RD COMPARE * port=1 adr=06 act=2EE8BDEE06D2C46BF4 exp=2EE8BDEE06D2C46BF4 + 16014.50ns INFO [00016016] Port=0 WR @05=82A7161AF43E0125CF + 16014.50ns INFO [00016016] Port=0 RD @03 + 16015.50ns INFO [00016017] * RD COMPARE * port=0 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16015.50ns INFO [00016017] * RD COMPARE * port=1 adr=06 act=2EE8BDEE06D2C46BF4 exp=2EE8BDEE06D2C46BF4 + 16016.50ns INFO [00016018] * RD COMPARE * port=0 adr=03 act=2E3CC0932111795A56 exp=2E3CC0932111795A56 + 16016.50ns INFO [00016018] Port=0 WR @01=16B3218CF378E6B812 + 16017.50ns INFO [00016019] Port=0 WR @05=138D56ECCDDE57C735 + 16017.50ns INFO [00016019] Port=0 RD @01 + 16018.50ns INFO [00016020] Port=0 WR @03=CC7C2E70C0A5D2CBCA + 16019.50ns INFO [00016021] * RD COMPARE * port=0 adr=01 act=16B3218CF378E6B812 exp=16B3218CF378E6B812 + 16019.50ns INFO [00016021] Port=0 RD @02 + 16020.50ns INFO [00016022] Port=0 WR @02=FB83F548C92BDE6F45 + 16020.50ns INFO [00016022] Port=0 RD @04 + 16020.50ns INFO [00016022] Port=1 RD @05 + 16021.50ns INFO [00016023] * RD COMPARE * port=0 adr=02 act=7453781F8BC0B65E9F exp=7453781F8BC0B65E9F + 16021.50ns INFO [00016023] Port=0 WR @05=F9153A8D05829B40DC + 16022.50ns INFO [00016024] * RD COMPARE * port=0 adr=04 act=BBA0D2627201A703D6 exp=BBA0D2627201A703D6 + 16022.50ns INFO [00016024] * RD COMPARE * port=1 adr=05 act=138D56ECCDDE57C735 exp=138D56ECCDDE57C735 + 16022.50ns INFO [00016024] Port=0 WR @05=ED84DDC66D7F7D43D7 + 16022.50ns INFO [00016024] Port=0 RD @01 + 16022.50ns INFO [00016024] Port=1 RD @00 + 16024.50ns INFO [00016026] * RD COMPARE * port=0 adr=01 act=16B3218CF378E6B812 exp=16B3218CF378E6B812 + 16024.50ns INFO [00016026] * RD COMPARE * port=1 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16024.50ns INFO [00016026] Port=0 WR @06=D955E19F256CC64369 + 16025.50ns INFO [00016027] Port=0 WR @03=5EA4E954EF55734ED6 + 16025.50ns INFO [00016027] Port=0 RD @00 + 16025.50ns INFO [00016027] Port=1 RD @01 + 16026.50ns INFO [00016028] Port=1 RD @06 + 16027.50ns INFO [00016029] * RD COMPARE * port=0 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16027.50ns INFO [00016029] * RD COMPARE * port=1 adr=01 act=16B3218CF378E6B812 exp=16B3218CF378E6B812 + 16027.50ns INFO [00016029] Port=0 RD @01 + 16028.50ns INFO [00016030] * RD COMPARE * port=1 adr=06 act=D955E19F256CC64369 exp=D955E19F256CC64369 + 16028.50ns INFO [00016030] Port=0 RD @06 + 16029.50ns INFO [00016031] * RD COMPARE * port=0 adr=01 act=16B3218CF378E6B812 exp=16B3218CF378E6B812 + 16029.50ns INFO [00016031] Port=0 WR @07=480804F4782B42B1E8 + 16029.50ns INFO [00016031] Port=1 RD @01 + 16030.50ns INFO [00016032] * RD COMPARE * port=0 adr=06 act=D955E19F256CC64369 exp=D955E19F256CC64369 + 16030.50ns INFO [00016032] Port=1 RD @05 + 16031.50ns INFO [00016033] * RD COMPARE * port=1 adr=01 act=16B3218CF378E6B812 exp=16B3218CF378E6B812 + 16031.50ns INFO [00016033] Port=0 WR @03=C600E9E5B7E84AE016 + 16032.50ns INFO [00016034] * RD COMPARE * port=1 adr=05 act=ED84DDC66D7F7D43D7 exp=ED84DDC66D7F7D43D7 + 16034.50ns INFO [00016036] Port=0 WR @03=A0C0D65B37E0745454 + 16035.50ns INFO [00016037] Port=0 WR @03=1EB7A3413413AE7FAE + 16035.50ns INFO [00016037] Port=1 RD @05 + 16036.50ns INFO [00016038] Port=0 WR @02=2E09D82BB6282D87DE + 16037.50ns INFO [00016039] * RD COMPARE * port=1 adr=05 act=ED84DDC66D7F7D43D7 exp=ED84DDC66D7F7D43D7 + 16037.50ns INFO [00016039] Port=0 RD @02 + 16039.50ns INFO [00016041] * RD COMPARE * port=0 adr=02 act=2E09D82BB6282D87DE exp=2E09D82BB6282D87DE + 16040.50ns INFO [00016042] Port=0 RD @06 + 16040.50ns INFO [00016042] Port=1 RD @00 + 16042.50ns INFO [00016044] * RD COMPARE * port=0 adr=06 act=D955E19F256CC64369 exp=D955E19F256CC64369 + 16042.50ns INFO [00016044] * RD COMPARE * port=1 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16042.50ns INFO [00016044] Port=0 WR @06=A3243F018E94E86375 + 16042.50ns INFO [00016044] Port=1 RD @07 + 16043.50ns INFO [00016045] Port=0 WR @03=29D7A2E5DC23B20D90 + 16043.50ns INFO [00016045] Port=0 RD @00 + 16044.50ns INFO [00016046] * RD COMPARE * port=1 adr=07 act=480804F4782B42B1E8 exp=480804F4782B42B1E8 + 16045.50ns INFO [00016047] * RD COMPARE * port=0 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16046.50ns INFO [00016048] Port=0 WR @05=CAF9231B10BDFD6AB8 + 16046.50ns INFO [00016048] Port=0 RD @01 + 16047.50ns INFO [00016049] Port=0 RD @04 + 16048.50ns INFO [00016050] * RD COMPARE * port=0 adr=01 act=16B3218CF378E6B812 exp=16B3218CF378E6B812 + 16049.50ns INFO [00016051] * RD COMPARE * port=0 adr=04 act=BBA0D2627201A703D6 exp=BBA0D2627201A703D6 + 16049.50ns INFO [00016051] Port=0 WR @02=30CE64768B0F22F2A4 + 16049.50ns INFO [00016051] Port=1 RD @05 + 16050.50ns INFO [00016052] Port=0 WR @07=5B054BF98FD0254D31 + 16050.50ns INFO [00016052] Port=0 RD @01 + 16051.50ns INFO [00016053] * RD COMPARE * port=1 adr=05 act=CAF9231B10BDFD6AB8 exp=CAF9231B10BDFD6AB8 + 16051.50ns INFO [00016053] Port=0 RD @03 + 16052.50ns INFO [00016054] * RD COMPARE * port=0 adr=01 act=16B3218CF378E6B812 exp=16B3218CF378E6B812 + 16052.50ns INFO [00016054] Port=1 RD @00 + 16053.50ns INFO [00016055] * RD COMPARE * port=0 adr=03 act=29D7A2E5DC23B20D90 exp=29D7A2E5DC23B20D90 + 16053.50ns INFO [00016055] Port=0 RD @00 + 16053.50ns INFO [00016055] Port=1 RD @00 + 16054.50ns INFO [00016056] * RD COMPARE * port=1 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16054.50ns INFO [00016056] Port=0 WR @00=22D6144A9CD2B385A6 + 16054.50ns INFO [00016056] Port=0 RD @03 + 16055.50ns INFO [00016057] * RD COMPARE * port=0 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16055.50ns INFO [00016057] * RD COMPARE * port=1 adr=00 act=80D5B8C8D5536CB58B exp=80D5B8C8D5536CB58B + 16055.50ns INFO [00016057] Port=0 WR @04=C5127E12A1A5C3ECC1 + 16055.50ns INFO [00016057] Port=0 RD @06 + 16055.50ns INFO [00016057] Port=1 RD @02 + 16056.50ns INFO [00016058] * RD COMPARE * port=0 adr=03 act=29D7A2E5DC23B20D90 exp=29D7A2E5DC23B20D90 + 16057.50ns INFO [00016059] * RD COMPARE * port=0 adr=06 act=A3243F018E94E86375 exp=A3243F018E94E86375 + 16057.50ns INFO [00016059] * RD COMPARE * port=1 adr=02 act=30CE64768B0F22F2A4 exp=30CE64768B0F22F2A4 + 16057.50ns INFO [00016059] Port=1 RD @00 + 16058.50ns INFO [00016060] Port=0 WR @00=673D5F010CCC0C2F29 + 16059.50ns INFO [00016061] * RD COMPARE * port=1 adr=00 act=22D6144A9CD2B385A6 exp=22D6144A9CD2B385A6 + 16059.50ns INFO [00016061] Port=0 RD @06 + 16059.50ns INFO [00016061] Port=1 RD @07 + 16060.50ns INFO [00016062] Port=0 RD @03 + 16061.50ns INFO [00016063] * RD COMPARE * port=0 adr=06 act=A3243F018E94E86375 exp=A3243F018E94E86375 + 16061.50ns INFO [00016063] * RD COMPARE * port=1 adr=07 act=5B054BF98FD0254D31 exp=5B054BF98FD0254D31 + 16061.50ns INFO [00016063] Port=0 WR @03=F6707EE4ECB2CD4202 + 16061.50ns INFO [00016063] Port=1 RD @04 + 16062.50ns INFO [00016064] * RD COMPARE * port=0 adr=03 act=29D7A2E5DC23B20D90 exp=29D7A2E5DC23B20D90 + 16062.50ns INFO [00016064] Port=0 WR @07=88B160CDC023A43640 + 16062.50ns INFO [00016064] Port=1 RD @04 + 16063.50ns INFO [00016065] * RD COMPARE * port=1 adr=04 act=C5127E12A1A5C3ECC1 exp=C5127E12A1A5C3ECC1 + 16063.50ns INFO [00016065] Port=0 RD @03 + 16064.50ns INFO [00016066] * RD COMPARE * port=1 adr=04 act=C5127E12A1A5C3ECC1 exp=C5127E12A1A5C3ECC1 + 16064.50ns INFO [00016066] Port=1 RD @06 + 16065.50ns INFO [00016067] * RD COMPARE * port=0 adr=03 act=F6707EE4ECB2CD4202 exp=F6707EE4ECB2CD4202 + 16065.50ns INFO [00016067] Port=0 WR @02=48E58B2F312FB256A8 + 16066.50ns INFO [00016068] * RD COMPARE * port=1 adr=06 act=A3243F018E94E86375 exp=A3243F018E94E86375 + 16066.50ns INFO [00016068] Port=0 WR @05=12FA399264C29D83F1 + 16067.50ns INFO [00016069] Port=0 RD @02 + 16068.50ns INFO [00016070] Port=0 WR @00=2D19B78EB68C1EA114 + 16068.50ns INFO [00016070] Port=1 RD @01 + 16069.50ns INFO [00016071] * RD COMPARE * port=0 adr=02 act=48E58B2F312FB256A8 exp=48E58B2F312FB256A8 + 16069.50ns INFO [00016071] Port=0 WR @00=C5FBE8673E099E232E + 16069.50ns INFO [00016071] Port=0 RD @04 + 16070.50ns INFO [00016072] * RD COMPARE * port=1 adr=01 act=16B3218CF378E6B812 exp=16B3218CF378E6B812 + 16070.50ns INFO [00016072] Port=0 WR @07=F2FD2D25ABE674F36A + 16070.50ns INFO [00016072] Port=1 RD @02 + 16071.50ns INFO [00016073] * RD COMPARE * port=0 adr=04 act=C5127E12A1A5C3ECC1 exp=C5127E12A1A5C3ECC1 + 16071.50ns INFO [00016073] Port=0 RD @02 + 16071.50ns INFO [00016073] Port=1 RD @06 + 16072.50ns INFO [00016074] * RD COMPARE * port=1 adr=02 act=48E58B2F312FB256A8 exp=48E58B2F312FB256A8 + 16072.50ns INFO [00016074] Port=0 WR @03=AD3AA7BCC82A3C4B9B + 16072.50ns INFO [00016074] Port=1 RD @01 + 16073.50ns INFO [00016075] * RD COMPARE * port=0 adr=02 act=48E58B2F312FB256A8 exp=48E58B2F312FB256A8 + 16073.50ns INFO [00016075] * RD COMPARE * port=1 adr=06 act=A3243F018E94E86375 exp=A3243F018E94E86375 + 16073.50ns INFO [00016075] Port=0 RD @07 + 16073.50ns INFO [00016075] Port=1 RD @02 + 16074.50ns INFO [00016076] * RD COMPARE * port=1 adr=01 act=16B3218CF378E6B812 exp=16B3218CF378E6B812 + 16074.50ns INFO [00016076] Port=0 WR @01=B9E9206E8E46296955 + 16074.50ns INFO [00016076] Port=0 RD @00 + 16075.50ns INFO [00016077] * RD COMPARE * port=0 adr=07 act=F2FD2D25ABE674F36A exp=F2FD2D25ABE674F36A + 16075.50ns INFO [00016077] * RD COMPARE * port=1 adr=02 act=48E58B2F312FB256A8 exp=48E58B2F312FB256A8 + 16075.50ns INFO [00016077] Port=0 WR @04=34E6E4E0629C24BCC3 + 16075.50ns INFO [00016077] Port=0 RD @06 + 16076.50ns INFO [00016078] * RD COMPARE * port=0 adr=00 act=C5FBE8673E099E232E exp=C5FBE8673E099E232E + 16076.50ns INFO [00016078] Port=0 WR @04=97880D8A12D16B3828 + 16076.50ns INFO [00016078] Port=0 RD @06 + 16076.50ns INFO [00016078] Port=1 RD @05 + 16077.50ns INFO [00016079] * RD COMPARE * port=0 adr=06 act=A3243F018E94E86375 exp=A3243F018E94E86375 + 16077.50ns INFO [00016079] Port=1 RD @03 + 16078.50ns INFO [00016080] * RD COMPARE * port=0 adr=06 act=A3243F018E94E86375 exp=A3243F018E94E86375 + 16078.50ns INFO [00016080] * RD COMPARE * port=1 adr=05 act=12FA399264C29D83F1 exp=12FA399264C29D83F1 + 16078.50ns INFO [00016080] Port=0 WR @07=7EFFCFE7818994AF1B + 16079.50ns INFO [00016081] * RD COMPARE * port=1 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16079.50ns INFO [00016081] Port=0 RD @01 + 16080.50ns INFO [00016082] Port=0 WR @06=FA24BA8E2D3BFD974E + 16080.50ns INFO [00016082] Port=1 RD @00 + 16081.50ns INFO [00016083] * RD COMPARE * port=0 adr=01 act=B9E9206E8E46296955 exp=B9E9206E8E46296955 + 16081.50ns INFO [00016083] Port=0 WR @01=C6D95EB231B85A47EF + 16081.50ns INFO [00016083] Port=1 RD @05 + 16082.50ns INFO [00016084] * RD COMPARE * port=1 adr=00 act=C5FBE8673E099E232E exp=C5FBE8673E099E232E + 16083.50ns INFO [00016085] * RD COMPARE * port=1 adr=05 act=12FA399264C29D83F1 exp=12FA399264C29D83F1 + 16083.50ns INFO [00016085] Port=0 WR @01=9621B5B4E578905936 + 16084.50ns INFO [00016086] Port=1 RD @03 + 16085.50ns INFO [00016087] Port=0 RD @01 + 16086.50ns INFO [00016088] * RD COMPARE * port=1 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16086.50ns INFO [00016088] Port=0 RD @00 + 16087.50ns INFO [00016089] * RD COMPARE * port=0 adr=01 act=9621B5B4E578905936 exp=9621B5B4E578905936 + 16087.50ns INFO [00016089] Port=1 RD @06 + 16088.50ns INFO [00016090] * RD COMPARE * port=0 adr=00 act=C5FBE8673E099E232E exp=C5FBE8673E099E232E + 16089.50ns INFO [00016091] * RD COMPARE * port=1 adr=06 act=FA24BA8E2D3BFD974E exp=FA24BA8E2D3BFD974E + 16089.50ns INFO [00016091] Port=0 RD @06 + 16090.50ns INFO [00016092] Port=0 WR @07=689139FAD30847E02E + 16090.50ns INFO [00016092] Port=0 RD @03 + 16091.50ns INFO [00016093] * RD COMPARE * port=0 adr=06 act=FA24BA8E2D3BFD974E exp=FA24BA8E2D3BFD974E + 16091.50ns INFO [00016093] Port=0 WR @05=FB6B22E3F19882D903 + 16091.50ns INFO [00016093] Port=0 RD @06 + 16092.50ns INFO [00016094] * RD COMPARE * port=0 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16093.50ns INFO [00016095] * RD COMPARE * port=0 adr=06 act=FA24BA8E2D3BFD974E exp=FA24BA8E2D3BFD974E + 16093.50ns INFO [00016095] Port=0 WR @06=93C2CEA7FB1CEF53E1 + 16093.50ns INFO [00016095] Port=0 RD @05 + 16093.50ns INFO [00016095] Port=1 RD @05 + 16094.50ns INFO [00016096] Port=0 WR @04=F3F2439CF5D16F7AA1 + 16094.50ns INFO [00016096] Port=1 RD @07 + 16095.50ns INFO [00016097] * RD COMPARE * port=0 adr=05 act=FB6B22E3F19882D903 exp=FB6B22E3F19882D903 + 16095.50ns INFO [00016097] * RD COMPARE * port=1 adr=05 act=FB6B22E3F19882D903 exp=FB6B22E3F19882D903 + 16095.50ns INFO [00016097] Port=1 RD @04 + 16096.50ns INFO [00016098] * RD COMPARE * port=1 adr=07 act=689139FAD30847E02E exp=689139FAD30847E02E + 16096.50ns INFO [00016098] Port=0 WR @01=4CD8ABD8824F7FB961 + 16096.50ns INFO [00016098] Port=0 RD @03 + 16097.50ns INFO [00016099] * RD COMPARE * port=1 adr=04 act=F3F2439CF5D16F7AA1 exp=F3F2439CF5D16F7AA1 + 16097.50ns INFO [00016099] Port=0 WR @06=E60FD5B87E05829F06 + 16098.00ns INFO [00016100] [00016100] ...tick... + 16098.50ns INFO [00016100] * RD COMPARE * port=0 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16098.50ns INFO [00016100] Port=0 RD @04 + 16099.50ns INFO [00016101] Port=0 RD @02 + 16100.50ns INFO [00016102] * RD COMPARE * port=0 adr=04 act=F3F2439CF5D16F7AA1 exp=F3F2439CF5D16F7AA1 + 16100.50ns INFO [00016102] Port=0 RD @07 + 16100.50ns INFO [00016102] Port=1 RD @06 + 16101.50ns INFO [00016103] * RD COMPARE * port=0 adr=02 act=48E58B2F312FB256A8 exp=48E58B2F312FB256A8 + 16101.50ns INFO [00016103] Port=0 RD @03 + 16101.50ns INFO [00016103] Port=1 RD @07 + 16102.50ns INFO [00016104] * RD COMPARE * port=0 adr=07 act=689139FAD30847E02E exp=689139FAD30847E02E + 16102.50ns INFO [00016104] * RD COMPARE * port=1 adr=06 act=E60FD5B87E05829F06 exp=E60FD5B87E05829F06 + 16102.50ns INFO [00016104] Port=0 WR @04=6B340FC9EB9F0C3747 + 16103.50ns INFO [00016105] * RD COMPARE * port=0 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16103.50ns INFO [00016105] * RD COMPARE * port=1 adr=07 act=689139FAD30847E02E exp=689139FAD30847E02E + 16104.50ns INFO [00016106] Port=0 RD @01 + 16106.50ns INFO [00016108] * RD COMPARE * port=0 adr=01 act=4CD8ABD8824F7FB961 exp=4CD8ABD8824F7FB961 + 16106.50ns INFO [00016108] Port=1 RD @00 + 16107.50ns INFO [00016109] Port=0 RD @04 + 16108.50ns INFO [00016110] * RD COMPARE * port=1 adr=00 act=C5FBE8673E099E232E exp=C5FBE8673E099E232E + 16109.50ns INFO [00016111] * RD COMPARE * port=0 adr=04 act=6B340FC9EB9F0C3747 exp=6B340FC9EB9F0C3747 + 16110.50ns INFO [00016112] Port=0 WR @04=F6D50D2479721634F0 + 16110.50ns INFO [00016112] Port=0 RD @07 + 16111.50ns INFO [00016113] Port=0 WR @05=DC4638EFE775B09029 + 16112.50ns INFO [00016114] * RD COMPARE * port=0 adr=07 act=689139FAD30847E02E exp=689139FAD30847E02E + 16112.50ns INFO [00016114] Port=0 WR @05=3DE49A4EA3093E2A45 + 16113.50ns INFO [00016115] Port=0 WR @01=CE39B1ADC180933FDB + 16113.50ns INFO [00016115] Port=1 RD @00 + 16114.50ns INFO [00016116] Port=0 WR @04=468D2C8583B0ED3C57 + 16114.50ns INFO [00016116] Port=1 RD @02 + 16115.50ns INFO [00016117] * RD COMPARE * port=1 adr=00 act=C5FBE8673E099E232E exp=C5FBE8673E099E232E + 16115.50ns INFO [00016117] Port=0 WR @01=4B670AF40939E824A6 + 16115.50ns INFO [00016117] Port=1 RD @05 + 16116.50ns INFO [00016118] * RD COMPARE * port=1 adr=02 act=48E58B2F312FB256A8 exp=48E58B2F312FB256A8 + 16116.50ns INFO [00016118] Port=1 RD @05 + 16117.50ns INFO [00016119] * RD COMPARE * port=1 adr=05 act=3DE49A4EA3093E2A45 exp=3DE49A4EA3093E2A45 + 16118.50ns INFO [00016120] * RD COMPARE * port=1 adr=05 act=3DE49A4EA3093E2A45 exp=3DE49A4EA3093E2A45 + 16118.50ns INFO [00016120] Port=1 RD @00 + 16119.50ns INFO [00016121] Port=1 RD @00 + 16120.50ns INFO [00016122] * RD COMPARE * port=1 adr=00 act=C5FBE8673E099E232E exp=C5FBE8673E099E232E + 16121.50ns INFO [00016123] * RD COMPARE * port=1 adr=00 act=C5FBE8673E099E232E exp=C5FBE8673E099E232E + 16122.50ns INFO [00016124] Port=0 WR @01=79376CE5C06EF06E0D + 16122.50ns INFO [00016124] Port=1 RD @00 + 16123.50ns INFO [00016125] Port=0 WR @02=3D6C67DA50ADC6D549 + 16123.50ns INFO [00016125] Port=0 RD @03 + 16124.50ns INFO [00016126] * RD COMPARE * port=1 adr=00 act=C5FBE8673E099E232E exp=C5FBE8673E099E232E + 16124.50ns INFO [00016126] Port=0 WR @05=7DD7EAB26D1DF484C6 + 16124.50ns INFO [00016126] Port=0 RD @04 + 16124.50ns INFO [00016126] Port=1 RD @02 + 16125.50ns INFO [00016127] * RD COMPARE * port=0 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16125.50ns INFO [00016127] Port=0 WR @00=714F74FB935A7F515F + 16126.50ns INFO [00016128] * RD COMPARE * port=0 adr=04 act=468D2C8583B0ED3C57 exp=468D2C8583B0ED3C57 + 16126.50ns INFO [00016128] * RD COMPARE * port=1 adr=02 act=3D6C67DA50ADC6D549 exp=3D6C67DA50ADC6D549 + 16126.50ns INFO [00016128] Port=1 RD @03 + 16127.50ns INFO [00016129] Port=1 RD @02 + 16128.50ns INFO [00016130] * RD COMPARE * port=1 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16128.50ns INFO [00016130] Port=0 WR @04=E0D4A9B071439E7594 + 16129.50ns INFO [00016131] * RD COMPARE * port=1 adr=02 act=3D6C67DA50ADC6D549 exp=3D6C67DA50ADC6D549 + 16129.50ns INFO [00016131] Port=0 WR @00=ADD9E4DD64B39307F1 + 16131.50ns INFO [00016133] Port=0 WR @00=00F9FC254298685F79 + 16132.50ns INFO [00016134] Port=0 RD @03 + 16133.50ns INFO [00016135] Port=1 RD @02 + 16134.50ns INFO [00016136] * RD COMPARE * port=0 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16134.50ns INFO [00016136] Port=0 WR @02=8B3B8D89F44F284270 + 16135.50ns INFO [00016137] * RD COMPARE * port=1 adr=02 act=3D6C67DA50ADC6D549 exp=3D6C67DA50ADC6D549 + 16135.50ns INFO [00016137] Port=0 WR @06=2AEB19DB326476D7B9 + 16135.50ns INFO [00016137] Port=1 RD @03 + 16137.50ns INFO [00016139] * RD COMPARE * port=1 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16138.50ns INFO [00016140] Port=0 WR @05=87CCC7BB8693EE132F + 16139.50ns INFO [00016141] Port=0 WR @06=EB4DF1483BFEB98A8E + 16139.50ns INFO [00016141] Port=0 RD @02 + 16140.50ns INFO [00016142] Port=0 RD @03 + 16141.50ns INFO [00016143] * RD COMPARE * port=0 adr=02 act=8B3B8D89F44F284270 exp=8B3B8D89F44F284270 + 16142.50ns INFO [00016144] * RD COMPARE * port=0 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16142.50ns INFO [00016144] Port=0 RD @05 + 16144.50ns INFO [00016146] * RD COMPARE * port=0 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16145.50ns INFO [00016147] Port=0 RD @06 + 16146.50ns INFO [00016148] Port=1 RD @00 + 16147.50ns INFO [00016149] * RD COMPARE * port=0 adr=06 act=EB4DF1483BFEB98A8E exp=EB4DF1483BFEB98A8E + 16147.50ns INFO [00016149] Port=1 RD @05 + 16148.50ns INFO [00016150] * RD COMPARE * port=1 adr=00 act=00F9FC254298685F79 exp=00F9FC254298685F79 + 16148.50ns INFO [00016150] Port=0 WR @04=80273B2ACC3DAE0601 + 16148.50ns INFO [00016150] Port=0 RD @07 + 16149.50ns INFO [00016151] * RD COMPARE * port=1 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16149.50ns INFO [00016151] Port=0 WR @01=A09CBFE9C4ECC59996 + 16149.50ns INFO [00016151] Port=0 RD @06 + 16150.50ns INFO [00016152] * RD COMPARE * port=0 adr=07 act=689139FAD30847E02E exp=689139FAD30847E02E + 16150.50ns INFO [00016152] Port=0 RD @01 + 16150.50ns INFO [00016152] Port=1 RD @05 + 16151.50ns INFO [00016153] * RD COMPARE * port=0 adr=06 act=EB4DF1483BFEB98A8E exp=EB4DF1483BFEB98A8E + 16151.50ns INFO [00016153] Port=0 RD @01 + 16152.50ns INFO [00016154] * RD COMPARE * port=0 adr=01 act=A09CBFE9C4ECC59996 exp=A09CBFE9C4ECC59996 + 16152.50ns INFO [00016154] * RD COMPARE * port=1 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16152.50ns INFO [00016154] Port=0 WR @06=3DFA53C638A2D156D2 + 16152.50ns INFO [00016154] Port=0 RD @04 + 16153.50ns INFO [00016155] * RD COMPARE * port=0 adr=01 act=A09CBFE9C4ECC59996 exp=A09CBFE9C4ECC59996 + 16153.50ns INFO [00016155] Port=0 RD @02 + 16154.50ns INFO [00016156] * RD COMPARE * port=0 adr=04 act=80273B2ACC3DAE0601 exp=80273B2ACC3DAE0601 + 16154.50ns INFO [00016156] Port=0 RD @02 + 16154.50ns INFO [00016156] Port=1 RD @00 + 16155.50ns INFO [00016157] * RD COMPARE * port=0 adr=02 act=8B3B8D89F44F284270 exp=8B3B8D89F44F284270 + 16155.50ns INFO [00016157] Port=0 WR @04=EB85757B834C64241B + 16155.50ns INFO [00016157] Port=0 RD @07 + 16156.50ns INFO [00016158] * RD COMPARE * port=0 adr=02 act=8B3B8D89F44F284270 exp=8B3B8D89F44F284270 + 16156.50ns INFO [00016158] * RD COMPARE * port=1 adr=00 act=00F9FC254298685F79 exp=00F9FC254298685F79 + 16156.50ns INFO [00016158] Port=0 WR @06=75E0ACEAB903B6C813 + 16156.50ns INFO [00016158] Port=0 RD @04 + 16157.50ns INFO [00016159] * RD COMPARE * port=0 adr=07 act=689139FAD30847E02E exp=689139FAD30847E02E + 16157.50ns INFO [00016159] Port=0 WR @04=BF336ABEDFB057F67A + 16157.50ns INFO [00016159] Port=0 RD @01 + 16158.50ns INFO [00016160] * RD COMPARE * port=0 adr=04 act=EB85757B834C64241B exp=EB85757B834C64241B + 16158.50ns INFO [00016160] Port=0 WR @07=58D414FFBCB69F6C12 + 16158.50ns INFO [00016160] Port=1 RD @02 + 16159.50ns INFO [00016161] * RD COMPARE * port=0 adr=01 act=A09CBFE9C4ECC59996 exp=A09CBFE9C4ECC59996 + 16159.50ns INFO [00016161] Port=0 WR @02=50DF2F84A3C568AEEE + 16159.50ns INFO [00016161] Port=0 RD @05 + 16159.50ns INFO [00016161] Port=1 RD @00 + 16160.50ns INFO [00016162] * RD COMPARE * port=1 adr=02 act=8B3B8D89F44F284270 exp=8B3B8D89F44F284270 + 16160.50ns INFO [00016162] Port=0 RD @00 + 16161.50ns INFO [00016163] * RD COMPARE * port=0 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16161.50ns INFO [00016163] * RD COMPARE * port=1 adr=00 act=00F9FC254298685F79 exp=00F9FC254298685F79 + 16161.50ns INFO [00016163] Port=1 RD @00 + 16162.50ns INFO [00016164] * RD COMPARE * port=0 adr=00 act=00F9FC254298685F79 exp=00F9FC254298685F79 + 16162.50ns INFO [00016164] Port=0 WR @07=19E25D62DB1ECA73A7 + 16163.50ns INFO [00016165] * RD COMPARE * port=1 adr=00 act=00F9FC254298685F79 exp=00F9FC254298685F79 + 16163.50ns INFO [00016165] Port=1 RD @05 + 16165.50ns INFO [00016167] * RD COMPARE * port=1 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16165.50ns INFO [00016167] Port=0 RD @00 + 16165.50ns INFO [00016167] Port=1 RD @00 + 16166.50ns INFO [00016168] Port=0 RD @00 + 16166.50ns INFO [00016168] Port=1 RD @01 + 16167.50ns INFO [00016169] * RD COMPARE * port=0 adr=00 act=00F9FC254298685F79 exp=00F9FC254298685F79 + 16167.50ns INFO [00016169] * RD COMPARE * port=1 adr=00 act=00F9FC254298685F79 exp=00F9FC254298685F79 + 16168.50ns INFO [00016170] * RD COMPARE * port=0 adr=00 act=00F9FC254298685F79 exp=00F9FC254298685F79 + 16168.50ns INFO [00016170] * RD COMPARE * port=1 adr=01 act=A09CBFE9C4ECC59996 exp=A09CBFE9C4ECC59996 + 16168.50ns INFO [00016170] Port=0 WR @02=BE1B81A684F32B9C33 + 16168.50ns INFO [00016170] Port=0 RD @00 + 16169.50ns INFO [00016171] Port=0 RD @06 + 16170.50ns INFO [00016172] * RD COMPARE * port=0 adr=00 act=00F9FC254298685F79 exp=00F9FC254298685F79 + 16171.50ns INFO [00016173] * RD COMPARE * port=0 adr=06 act=75E0ACEAB903B6C813 exp=75E0ACEAB903B6C813 + 16171.50ns INFO [00016173] Port=0 RD @03 + 16172.50ns INFO [00016174] Port=0 RD @02 + 16173.50ns INFO [00016175] * RD COMPARE * port=0 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16173.50ns INFO [00016175] Port=1 RD @01 + 16174.50ns INFO [00016176] * RD COMPARE * port=0 adr=02 act=BE1B81A684F32B9C33 exp=BE1B81A684F32B9C33 + 16174.50ns INFO [00016176] Port=0 RD @06 + 16175.50ns INFO [00016177] * RD COMPARE * port=1 adr=01 act=A09CBFE9C4ECC59996 exp=A09CBFE9C4ECC59996 + 16176.50ns INFO [00016178] * RD COMPARE * port=0 adr=06 act=75E0ACEAB903B6C813 exp=75E0ACEAB903B6C813 + 16176.50ns INFO [00016178] Port=0 RD @06 + 16178.50ns INFO [00016180] * RD COMPARE * port=0 adr=06 act=75E0ACEAB903B6C813 exp=75E0ACEAB903B6C813 + 16178.50ns INFO [00016180] Port=0 WR @00=8D8FACBDDCC3503DF6 + 16178.50ns INFO [00016180] Port=0 RD @01 + 16178.50ns INFO [00016180] Port=1 RD @06 + 16180.50ns INFO [00016182] * RD COMPARE * port=0 adr=01 act=A09CBFE9C4ECC59996 exp=A09CBFE9C4ECC59996 + 16180.50ns INFO [00016182] * RD COMPARE * port=1 adr=06 act=75E0ACEAB903B6C813 exp=75E0ACEAB903B6C813 + 16180.50ns INFO [00016182] Port=0 RD @03 + 16182.50ns INFO [00016184] * RD COMPARE * port=0 adr=03 act=AD3AA7BCC82A3C4B9B exp=AD3AA7BCC82A3C4B9B + 16182.50ns INFO [00016184] Port=1 RD @04 + 16183.50ns INFO [00016185] Port=0 WR @02=670EEF2B62328375A2 + 16183.50ns INFO [00016185] Port=0 RD @07 + 16183.50ns INFO [00016185] Port=1 RD @01 + 16184.50ns INFO [00016186] * RD COMPARE * port=1 adr=04 act=BF336ABEDFB057F67A exp=BF336ABEDFB057F67A + 16184.50ns INFO [00016186] Port=1 RD @00 + 16185.50ns INFO [00016187] * RD COMPARE * port=0 adr=07 act=19E25D62DB1ECA73A7 exp=19E25D62DB1ECA73A7 + 16185.50ns INFO [00016187] * RD COMPARE * port=1 adr=01 act=A09CBFE9C4ECC59996 exp=A09CBFE9C4ECC59996 + 16186.50ns INFO [00016188] * RD COMPARE * port=1 adr=00 act=8D8FACBDDCC3503DF6 exp=8D8FACBDDCC3503DF6 + 16186.50ns INFO [00016188] Port=0 WR @06=CCC576DFAA97047989 + 16188.50ns INFO [00016190] Port=0 WR @03=8AFF9AA393D025F9C6 + 16189.50ns INFO [00016191] Port=0 WR @04=7AE3C7CFE6289062F2 + 16189.50ns INFO [00016191] Port=0 RD @02 + 16190.50ns INFO [00016192] Port=0 WR @06=83BB84981D1E38492B + 16190.50ns INFO [00016192] Port=0 RD @02 + 16190.50ns INFO [00016192] Port=1 RD @07 + 16191.50ns INFO [00016193] * RD COMPARE * port=0 adr=02 act=670EEF2B62328375A2 exp=670EEF2B62328375A2 + 16191.50ns INFO [00016193] Port=0 WR @01=017BB86189216F7EEA + 16191.50ns INFO [00016193] Port=0 RD @07 + 16192.50ns INFO [00016194] * RD COMPARE * port=0 adr=02 act=670EEF2B62328375A2 exp=670EEF2B62328375A2 + 16192.50ns INFO [00016194] * RD COMPARE * port=1 adr=07 act=19E25D62DB1ECA73A7 exp=19E25D62DB1ECA73A7 + 16192.50ns INFO [00016194] Port=0 WR @00=B38184426AF700EC4B + 16192.50ns INFO [00016194] Port=1 RD @05 + 16193.50ns INFO [00016195] * RD COMPARE * port=0 adr=07 act=19E25D62DB1ECA73A7 exp=19E25D62DB1ECA73A7 + 16193.50ns INFO [00016195] Port=0 WR @00=7F2143E08600AD58DE + 16194.50ns INFO [00016196] * RD COMPARE * port=1 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16194.50ns INFO [00016196] Port=0 WR @01=4252B99EC996C879AA + 16194.50ns INFO [00016196] Port=1 RD @02 + 16195.50ns INFO [00016197] Port=1 RD @06 + 16196.50ns INFO [00016198] * RD COMPARE * port=1 adr=02 act=670EEF2B62328375A2 exp=670EEF2B62328375A2 + 16196.50ns INFO [00016198] Port=1 RD @06 + 16197.50ns INFO [00016199] * RD COMPARE * port=1 adr=06 act=83BB84981D1E38492B exp=83BB84981D1E38492B + 16197.50ns INFO [00016199] Port=0 WR @03=C66926AA9204516480 + 16198.00ns INFO [00016200] [00016200] ...tick... + 16198.50ns INFO [00016200] * RD COMPARE * port=1 adr=06 act=83BB84981D1E38492B exp=83BB84981D1E38492B + 16198.50ns INFO [00016200] Port=0 WR @00=D8F2DAB152E9D7DA86 + 16198.50ns INFO [00016200] Port=0 RD @07 + 16199.50ns INFO [00016201] Port=0 WR @02=A69E4159D22FF91867 + 16200.50ns INFO [00016202] * RD COMPARE * port=0 adr=07 act=19E25D62DB1ECA73A7 exp=19E25D62DB1ECA73A7 + 16200.50ns INFO [00016202] Port=0 WR @04=71CBA3619D4FE3784F + 16200.50ns INFO [00016202] Port=1 RD @01 + 16201.50ns INFO [00016203] Port=1 RD @06 + 16202.50ns INFO [00016204] * RD COMPARE * port=1 adr=01 act=4252B99EC996C879AA exp=4252B99EC996C879AA + 16202.50ns INFO [00016204] Port=0 WR @07=37F5D24286B4B19F3F + 16203.50ns INFO [00016205] * RD COMPARE * port=1 adr=06 act=83BB84981D1E38492B exp=83BB84981D1E38492B + 16203.50ns INFO [00016205] Port=0 WR @00=332248495D7BC4963B + 16203.50ns INFO [00016205] Port=0 RD @01 + 16204.50ns INFO [00016206] Port=1 RD @02 + 16205.50ns INFO [00016207] * RD COMPARE * port=0 adr=01 act=4252B99EC996C879AA exp=4252B99EC996C879AA + 16205.50ns INFO [00016207] Port=0 WR @01=4065988D54AF098710 + 16206.50ns INFO [00016208] * RD COMPARE * port=1 adr=02 act=A69E4159D22FF91867 exp=A69E4159D22FF91867 + 16206.50ns INFO [00016208] Port=0 WR @00=4054F9E470992C470F + 16207.50ns INFO [00016209] Port=0 WR @01=10405BD97942927433 + 16207.50ns INFO [00016209] Port=0 RD @03 + 16208.50ns INFO [00016210] Port=0 WR @01=EFAF9CA6ABE4F95562 + 16208.50ns INFO [00016210] Port=0 RD @06 + 16208.50ns INFO [00016210] Port=1 RD @07 + 16209.50ns INFO [00016211] * RD COMPARE * port=0 adr=03 act=C66926AA9204516480 exp=C66926AA9204516480 + 16209.50ns INFO [00016211] Port=0 RD @05 + 16210.50ns INFO [00016212] * RD COMPARE * port=0 adr=06 act=83BB84981D1E38492B exp=83BB84981D1E38492B + 16210.50ns INFO [00016212] * RD COMPARE * port=1 adr=07 act=37F5D24286B4B19F3F exp=37F5D24286B4B19F3F + 16210.50ns INFO [00016212] Port=0 WR @04=843DE3DCB28274F34F + 16210.50ns INFO [00016212] Port=1 RD @00 + 16211.50ns INFO [00016213] * RD COMPARE * port=0 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16211.50ns INFO [00016213] Port=0 WR @04=B9EAE6345C091475EB + 16212.50ns INFO [00016214] * RD COMPARE * port=1 adr=00 act=4054F9E470992C470F exp=4054F9E470992C470F + 16212.50ns INFO [00016214] Port=0 WR @06=DAEBDD144FC997D8DA + 16213.50ns INFO [00016215] Port=0 WR @00=334A52CFC336D56F02 + 16214.50ns INFO [00016216] Port=0 WR @07=2EA4FB4877BC30A6C9 + 16214.50ns INFO [00016216] Port=0 RD @03 + 16215.50ns INFO [00016217] Port=1 RD @01 + 16216.50ns INFO [00016218] * RD COMPARE * port=0 adr=03 act=C66926AA9204516480 exp=C66926AA9204516480 + 16216.50ns INFO [00016218] Port=1 RD @00 + 16217.50ns INFO [00016219] * RD COMPARE * port=1 adr=01 act=EFAF9CA6ABE4F95562 exp=EFAF9CA6ABE4F95562 + 16217.50ns INFO [00016219] Port=1 RD @07 + 16218.50ns INFO [00016220] * RD COMPARE * port=1 adr=00 act=334A52CFC336D56F02 exp=334A52CFC336D56F02 + 16218.50ns INFO [00016220] Port=0 RD @06 + 16218.50ns INFO [00016220] Port=1 RD @01 + 16219.50ns INFO [00016221] * RD COMPARE * port=1 adr=07 act=2EA4FB4877BC30A6C9 exp=2EA4FB4877BC30A6C9 + 16219.50ns INFO [00016221] Port=0 RD @02 + 16219.50ns INFO [00016221] Port=1 RD @02 + 16220.50ns INFO [00016222] * RD COMPARE * port=0 adr=06 act=DAEBDD144FC997D8DA exp=DAEBDD144FC997D8DA + 16220.50ns INFO [00016222] * RD COMPARE * port=1 adr=01 act=EFAF9CA6ABE4F95562 exp=EFAF9CA6ABE4F95562 + 16220.50ns INFO [00016222] Port=0 RD @02 + 16221.50ns INFO [00016223] * RD COMPARE * port=0 adr=02 act=A69E4159D22FF91867 exp=A69E4159D22FF91867 + 16221.50ns INFO [00016223] * RD COMPARE * port=1 adr=02 act=A69E4159D22FF91867 exp=A69E4159D22FF91867 + 16221.50ns INFO [00016223] Port=1 RD @02 + 16222.50ns INFO [00016224] * RD COMPARE * port=0 adr=02 act=A69E4159D22FF91867 exp=A69E4159D22FF91867 + 16222.50ns INFO [00016224] Port=1 RD @05 + 16223.50ns INFO [00016225] * RD COMPARE * port=1 adr=02 act=A69E4159D22FF91867 exp=A69E4159D22FF91867 + 16223.50ns INFO [00016225] Port=0 WR @04=09E01C30A1AE6B1933 + 16223.50ns INFO [00016225] Port=1 RD @07 + 16224.50ns INFO [00016226] * RD COMPARE * port=1 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16224.50ns INFO [00016226] Port=0 RD @05 + 16224.50ns INFO [00016226] Port=1 RD @06 + 16225.50ns INFO [00016227] * RD COMPARE * port=1 adr=07 act=2EA4FB4877BC30A6C9 exp=2EA4FB4877BC30A6C9 + 16226.50ns INFO [00016228] * RD COMPARE * port=0 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16226.50ns INFO [00016228] * RD COMPARE * port=1 adr=06 act=DAEBDD144FC997D8DA exp=DAEBDD144FC997D8DA + 16226.50ns INFO [00016228] Port=0 WR @06=7AC99F8266BF248F69 + 16226.50ns INFO [00016228] Port=1 RD @02 + 16227.50ns INFO [00016229] Port=0 WR @04=8A6089D23C68830210 + 16227.50ns INFO [00016229] Port=0 RD @01 + 16228.50ns INFO [00016230] * RD COMPARE * port=1 adr=02 act=A69E4159D22FF91867 exp=A69E4159D22FF91867 + 16229.50ns INFO [00016231] * RD COMPARE * port=0 adr=01 act=EFAF9CA6ABE4F95562 exp=EFAF9CA6ABE4F95562 + 16231.50ns INFO [00016233] Port=1 RD @05 + 16232.50ns INFO [00016234] Port=0 WR @00=8A3771DB598008A60F + 16233.50ns INFO [00016235] * RD COMPARE * port=1 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16233.50ns INFO [00016235] Port=0 RD @01 + 16234.50ns INFO [00016236] Port=1 RD @02 + 16235.50ns INFO [00016237] * RD COMPARE * port=0 adr=01 act=EFAF9CA6ABE4F95562 exp=EFAF9CA6ABE4F95562 + 16235.50ns INFO [00016237] Port=0 WR @04=E3E667F381EDE47A4E + 16235.50ns INFO [00016237] Port=1 RD @02 + 16236.50ns INFO [00016238] * RD COMPARE * port=1 adr=02 act=A69E4159D22FF91867 exp=A69E4159D22FF91867 + 16236.50ns INFO [00016238] Port=1 RD @03 + 16237.50ns INFO [00016239] * RD COMPARE * port=1 adr=02 act=A69E4159D22FF91867 exp=A69E4159D22FF91867 + 16237.50ns INFO [00016239] Port=1 RD @04 + 16238.50ns INFO [00016240] * RD COMPARE * port=1 adr=03 act=C66926AA9204516480 exp=C66926AA9204516480 + 16238.50ns INFO [00016240] Port=0 WR @01=B58035B97F0560B241 + 16238.50ns INFO [00016240] Port=0 RD @04 + 16239.50ns INFO [00016241] * RD COMPARE * port=1 adr=04 act=E3E667F381EDE47A4E exp=E3E667F381EDE47A4E + 16239.50ns INFO [00016241] Port=0 WR @07=44E0592F4F254811C7 + 16240.50ns INFO [00016242] * RD COMPARE * port=0 adr=04 act=E3E667F381EDE47A4E exp=E3E667F381EDE47A4E + 16240.50ns INFO [00016242] Port=0 WR @03=8805F2F7E7EA681056 + 16240.50ns INFO [00016242] Port=0 RD @05 + 16241.50ns INFO [00016243] Port=0 RD @03 + 16241.50ns INFO [00016243] Port=1 RD @02 + 16242.50ns INFO [00016244] * RD COMPARE * port=0 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16242.50ns INFO [00016244] Port=0 WR @02=5C717291B7585B5ACA + 16242.50ns INFO [00016244] Port=1 RD @00 + 16243.50ns INFO [00016245] * RD COMPARE * port=0 adr=03 act=8805F2F7E7EA681056 exp=8805F2F7E7EA681056 + 16243.50ns INFO [00016245] * RD COMPARE * port=1 adr=02 act=A69E4159D22FF91867 exp=A69E4159D22FF91867 + 16243.50ns INFO [00016245] Port=0 WR @07=1B4056F79C737E94A4 + 16243.50ns INFO [00016245] Port=0 RD @00 + 16244.50ns INFO [00016246] * RD COMPARE * port=1 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16244.50ns INFO [00016246] Port=0 RD @06 + 16244.50ns INFO [00016246] Port=1 RD @05 + 16245.50ns INFO [00016247] * RD COMPARE * port=0 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16245.50ns INFO [00016247] Port=0 WR @07=8BFA8E7BC416447299 + 16245.50ns INFO [00016247] Port=1 RD @05 + 16246.50ns INFO [00016248] * RD COMPARE * port=0 adr=06 act=7AC99F8266BF248F69 exp=7AC99F8266BF248F69 + 16246.50ns INFO [00016248] * RD COMPARE * port=1 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16246.50ns INFO [00016248] Port=0 RD @00 + 16246.50ns INFO [00016248] Port=1 RD @00 + 16247.50ns INFO [00016249] * RD COMPARE * port=1 adr=05 act=87CCC7BB8693EE132F exp=87CCC7BB8693EE132F + 16247.50ns INFO [00016249] Port=0 WR @03=71ADE3A2F37173789D + 16247.50ns INFO [00016249] Port=0 RD @02 + 16248.50ns INFO [00016250] * RD COMPARE * port=0 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16248.50ns INFO [00016250] * RD COMPARE * port=1 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16249.50ns INFO [00016251] * RD COMPARE * port=0 adr=02 act=5C717291B7585B5ACA exp=5C717291B7585B5ACA + 16249.50ns INFO [00016251] Port=0 WR @02=9F314A90062A6B38B5 + 16249.50ns INFO [00016251] Port=0 RD @00 + 16251.50ns INFO [00016253] * RD COMPARE * port=0 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16251.50ns INFO [00016253] Port=1 RD @00 + 16252.50ns INFO [00016254] Port=1 RD @02 + 16253.50ns INFO [00016255] * RD COMPARE * port=1 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16254.50ns INFO [00016256] * RD COMPARE * port=1 adr=02 act=9F314A90062A6B38B5 exp=9F314A90062A6B38B5 + 16254.50ns INFO [00016256] Port=1 RD @00 + 16255.50ns INFO [00016257] Port=0 RD @06 + 16256.50ns INFO [00016258] * RD COMPARE * port=1 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16256.50ns INFO [00016258] Port=0 WR @07=5C270F5BFE00340B7F + 16257.50ns INFO [00016259] * RD COMPARE * port=0 adr=06 act=7AC99F8266BF248F69 exp=7AC99F8266BF248F69 + 16257.50ns INFO [00016259] Port=0 WR @02=ED3FA1291573084104 + 16260.50ns INFO [00016262] Port=0 WR @06=E1DBE5CFD7AF790B11 + 16260.50ns INFO [00016262] Port=1 RD @07 + 16261.50ns INFO [00016263] Port=1 RD @06 + 16262.50ns INFO [00016264] * RD COMPARE * port=1 adr=07 act=5C270F5BFE00340B7F exp=5C270F5BFE00340B7F + 16262.50ns INFO [00016264] Port=0 RD @00 + 16263.50ns INFO [00016265] * RD COMPARE * port=1 adr=06 act=E1DBE5CFD7AF790B11 exp=E1DBE5CFD7AF790B11 + 16263.50ns INFO [00016265] Port=1 RD @02 + 16264.50ns INFO [00016266] * RD COMPARE * port=0 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16265.50ns INFO [00016267] * RD COMPARE * port=1 adr=02 act=ED3FA1291573084104 exp=ED3FA1291573084104 + 16266.50ns INFO [00016268] Port=0 WR @05=C562C3D522BE3A73CD + 16266.50ns INFO [00016268] Port=0 RD @04 + 16266.50ns INFO [00016268] Port=1 RD @06 + 16268.50ns INFO [00016270] * RD COMPARE * port=0 adr=04 act=E3E667F381EDE47A4E exp=E3E667F381EDE47A4E + 16268.50ns INFO [00016270] * RD COMPARE * port=1 adr=06 act=E1DBE5CFD7AF790B11 exp=E1DBE5CFD7AF790B11 + 16268.50ns INFO [00016270] Port=0 WR @04=54FCB053DB2C238CCC + 16268.50ns INFO [00016270] Port=0 RD @06 + 16268.50ns INFO [00016270] Port=1 RD @01 + 16269.50ns INFO [00016271] Port=1 RD @01 + 16270.50ns INFO [00016272] * RD COMPARE * port=0 adr=06 act=E1DBE5CFD7AF790B11 exp=E1DBE5CFD7AF790B11 + 16270.50ns INFO [00016272] * RD COMPARE * port=1 adr=01 act=B58035B97F0560B241 exp=B58035B97F0560B241 + 16270.50ns INFO [00016272] Port=0 WR @06=4BC7E3830E74BD2D17 + 16271.50ns INFO [00016273] * RD COMPARE * port=1 adr=01 act=B58035B97F0560B241 exp=B58035B97F0560B241 + 16271.50ns INFO [00016273] Port=0 WR @01=CE47999179A9D2991E + 16272.50ns INFO [00016274] Port=0 WR @02=3E8EB1A3ED59FA4B74 + 16273.50ns INFO [00016275] Port=1 RD @00 + 16274.50ns INFO [00016276] Port=0 RD @07 + 16275.50ns INFO [00016277] * RD COMPARE * port=1 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16276.50ns INFO [00016278] * RD COMPARE * port=0 adr=07 act=5C270F5BFE00340B7F exp=5C270F5BFE00340B7F + 16276.50ns INFO [00016278] Port=1 RD @07 + 16277.50ns INFO [00016279] Port=0 WR @04=A542822609D52FA13E + 16278.50ns INFO [00016280] * RD COMPARE * port=1 adr=07 act=5C270F5BFE00340B7F exp=5C270F5BFE00340B7F + 16279.50ns INFO [00016281] Port=1 RD @00 + 16281.50ns INFO [00016283] * RD COMPARE * port=1 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16281.50ns INFO [00016283] Port=1 RD @07 + 16282.50ns INFO [00016284] Port=0 WR @01=2736448764DF11F8FE + 16282.50ns INFO [00016284] Port=1 RD @05 + 16283.50ns INFO [00016285] * RD COMPARE * port=1 adr=07 act=5C270F5BFE00340B7F exp=5C270F5BFE00340B7F + 16284.50ns INFO [00016286] * RD COMPARE * port=1 adr=05 act=C562C3D522BE3A73CD exp=C562C3D522BE3A73CD + 16285.50ns INFO [00016287] Port=0 RD @00 + 16286.50ns INFO [00016288] Port=0 WR @06=4E7E26372EA9D4B09E + 16286.50ns INFO [00016288] Port=1 RD @05 + 16287.50ns INFO [00016289] * RD COMPARE * port=0 adr=00 act=8A3771DB598008A60F exp=8A3771DB598008A60F + 16287.50ns INFO [00016289] Port=0 WR @07=2B205840CF8F044B52 + 16287.50ns INFO [00016289] Port=1 RD @01 + 16288.50ns INFO [00016290] * RD COMPARE * port=1 adr=05 act=C562C3D522BE3A73CD exp=C562C3D522BE3A73CD + 16288.50ns INFO [00016290] Port=1 RD @03 + 16289.50ns INFO [00016291] * RD COMPARE * port=1 adr=01 act=2736448764DF11F8FE exp=2736448764DF11F8FE + 16289.50ns INFO [00016291] Port=0 WR @04=7113833A2F7FA3DD80 + 16289.50ns INFO [00016291] Port=1 RD @06 + 16290.50ns INFO [00016292] * RD COMPARE * port=1 adr=03 act=71ADE3A2F37173789D exp=71ADE3A2F37173789D + 16290.50ns INFO [00016292] Port=1 RD @05 + 16291.50ns INFO [00016293] * RD COMPARE * port=1 adr=06 act=4E7E26372EA9D4B09E exp=4E7E26372EA9D4B09E + 16291.50ns INFO [00016293] Port=0 WR @07=77461055A6297F1C23 + 16292.50ns INFO [00016294] * RD COMPARE * port=1 adr=05 act=C562C3D522BE3A73CD exp=C562C3D522BE3A73CD + 16293.50ns INFO [00016295] Port=0 WR @07=00BA3EB80D1B852B7A + 16294.50ns INFO [00016296] Port=0 RD @02 + 16295.50ns INFO [00016297] Port=0 WR @03=3907779AB8B94DAD16 + 16296.50ns INFO [00016298] * RD COMPARE * port=0 adr=02 act=3E8EB1A3ED59FA4B74 exp=3E8EB1A3ED59FA4B74 + 16296.50ns INFO [00016298] Port=0 WR @00=3E6DAC027173960DD5 + 16296.50ns INFO [00016298] Port=0 RD @01 + 16297.50ns INFO [00016299] Port=0 RD @03 + 16298.00ns INFO [00016300] [00016300] ...tick... + 16298.50ns INFO [00016300] * RD COMPARE * port=0 adr=01 act=2736448764DF11F8FE exp=2736448764DF11F8FE + 16298.50ns INFO [00016300] Port=0 RD @04 + 16299.50ns INFO [00016301] * RD COMPARE * port=0 adr=03 act=3907779AB8B94DAD16 exp=3907779AB8B94DAD16 + 16299.50ns INFO [00016301] Port=0 WR @07=275E4842A126B28879 + 16300.50ns INFO [00016302] * RD COMPARE * port=0 adr=04 act=7113833A2F7FA3DD80 exp=7113833A2F7FA3DD80 + 16300.50ns INFO [00016302] Port=0 WR @00=8A8D6590C194374668 + 16301.50ns INFO [00016303] Port=0 RD @01 + 16302.50ns INFO [00016304] Port=0 RD @03 + 16303.50ns INFO [00016305] * RD COMPARE * port=0 adr=01 act=2736448764DF11F8FE exp=2736448764DF11F8FE + 16304.50ns INFO [00016306] * RD COMPARE * port=0 adr=03 act=3907779AB8B94DAD16 exp=3907779AB8B94DAD16 + 16306.50ns INFO [00016308] Port=0 RD @05 + 16306.50ns INFO [00016308] Port=1 RD @05 + 16307.50ns INFO [00016309] Port=0 WR @01=F01D4943FD05951C74 + 16307.50ns INFO [00016309] Port=0 RD @05 + 16307.50ns INFO [00016309] Port=1 RD @06 + 16308.50ns INFO [00016310] * RD COMPARE * port=0 adr=05 act=C562C3D522BE3A73CD exp=C562C3D522BE3A73CD + 16308.50ns INFO [00016310] * RD COMPARE * port=1 adr=05 act=C562C3D522BE3A73CD exp=C562C3D522BE3A73CD + 16308.50ns INFO [00016310] Port=0 WR @06=51E0F09E7ACD33EAD8 + 16309.50ns INFO [00016311] * RD COMPARE * port=0 adr=05 act=C562C3D522BE3A73CD exp=C562C3D522BE3A73CD + 16309.50ns INFO [00016311] * RD COMPARE * port=1 adr=06 act=4E7E26372EA9D4B09E exp=4E7E26372EA9D4B09E + 16309.50ns INFO [00016311] Port=0 WR @05=C927CFD72F975F2CD3 + 16309.50ns INFO [00016311] Port=0 RD @01 + 16310.50ns INFO [00016312] Port=0 RD @06 + 16311.50ns INFO [00016313] * RD COMPARE * port=0 adr=01 act=F01D4943FD05951C74 exp=F01D4943FD05951C74 + 16311.50ns INFO [00016313] Port=0 RD @03 + 16312.50ns INFO [00016314] * RD COMPARE * port=0 adr=06 act=51E0F09E7ACD33EAD8 exp=51E0F09E7ACD33EAD8 + 16312.50ns INFO [00016314] Port=0 RD @00 + 16313.50ns INFO [00016315] * RD COMPARE * port=0 adr=03 act=3907779AB8B94DAD16 exp=3907779AB8B94DAD16 + 16313.50ns INFO [00016315] Port=0 RD @04 + 16314.50ns INFO [00016316] * RD COMPARE * port=0 adr=00 act=8A8D6590C194374668 exp=8A8D6590C194374668 + 16314.50ns INFO [00016316] Port=1 RD @02 + 16315.50ns INFO [00016317] * RD COMPARE * port=0 adr=04 act=7113833A2F7FA3DD80 exp=7113833A2F7FA3DD80 + 16315.50ns INFO [00016317] Port=0 RD @02 + 16316.50ns INFO [00016318] * RD COMPARE * port=1 adr=02 act=3E8EB1A3ED59FA4B74 exp=3E8EB1A3ED59FA4B74 + 16316.50ns INFO [00016318] Port=0 RD @05 + 16317.50ns INFO [00016319] * RD COMPARE * port=0 adr=02 act=3E8EB1A3ED59FA4B74 exp=3E8EB1A3ED59FA4B74 + 16317.50ns INFO [00016319] Port=0 WR @05=B4F5F5905037F21DD5 + 16317.50ns INFO [00016319] Port=0 RD @04 + 16318.50ns INFO [00016320] * RD COMPARE * port=0 adr=05 act=C927CFD72F975F2CD3 exp=C927CFD72F975F2CD3 + 16319.50ns INFO [00016321] * RD COMPARE * port=0 adr=04 act=7113833A2F7FA3DD80 exp=7113833A2F7FA3DD80 + 16319.50ns INFO [00016321] Port=0 RD @06 + 16321.50ns INFO [00016323] * RD COMPARE * port=0 adr=06 act=51E0F09E7ACD33EAD8 exp=51E0F09E7ACD33EAD8 + 16321.50ns INFO [00016323] Port=0 WR @01=695821BE712CED1808 + 16322.50ns INFO [00016324] Port=0 WR @04=C517A39E84303ED0F7 + 16322.50ns INFO [00016324] Port=0 RD @02 + 16323.50ns INFO [00016325] Port=0 WR @02=7DD62ACAA92D70C26B + 16323.50ns INFO [00016325] Port=1 RD @07 + 16324.50ns INFO [00016326] * RD COMPARE * port=0 adr=02 act=3E8EB1A3ED59FA4B74 exp=3E8EB1A3ED59FA4B74 + 16324.50ns INFO [00016326] Port=0 WR @07=BEB611B8111035010F + 16324.50ns INFO [00016326] Port=0 RD @06 + 16325.50ns INFO [00016327] * RD COMPARE * port=1 adr=07 act=275E4842A126B28879 exp=275E4842A126B28879 + 16325.50ns INFO [00016327] Port=0 RD @00 + 16326.50ns INFO [00016328] * RD COMPARE * port=0 adr=06 act=51E0F09E7ACD33EAD8 exp=51E0F09E7ACD33EAD8 + 16326.50ns INFO [00016328] Port=0 WR @00=D964AA953BAA9F10B9 + 16326.50ns INFO [00016328] Port=0 RD @02 + 16327.50ns INFO [00016329] * RD COMPARE * port=0 adr=00 act=8A8D6590C194374668 exp=8A8D6590C194374668 + 16327.50ns INFO [00016329] Port=0 RD @03 + 16328.50ns INFO [00016330] * RD COMPARE * port=0 adr=02 act=7DD62ACAA92D70C26B exp=7DD62ACAA92D70C26B + 16328.50ns INFO [00016330] Port=0 RD @02 + 16328.50ns INFO [00016330] Port=1 RD @00 + 16329.50ns INFO [00016331] * RD COMPARE * port=0 adr=03 act=3907779AB8B94DAD16 exp=3907779AB8B94DAD16 + 16329.50ns INFO [00016331] Port=0 WR @05=445518CA5B170BF528 + 16329.50ns INFO [00016331] Port=1 RD @00 + 16330.50ns INFO [00016332] * RD COMPARE * port=0 adr=02 act=7DD62ACAA92D70C26B exp=7DD62ACAA92D70C26B + 16330.50ns INFO [00016332] * RD COMPARE * port=1 adr=00 act=D964AA953BAA9F10B9 exp=D964AA953BAA9F10B9 + 16330.50ns INFO [00016332] Port=0 RD @06 + 16331.50ns INFO [00016333] * RD COMPARE * port=1 adr=00 act=D964AA953BAA9F10B9 exp=D964AA953BAA9F10B9 + 16331.50ns INFO [00016333] Port=0 RD @05 + 16331.50ns INFO [00016333] Port=1 RD @01 + 16332.50ns INFO [00016334] * RD COMPARE * port=0 adr=06 act=51E0F09E7ACD33EAD8 exp=51E0F09E7ACD33EAD8 + 16332.50ns INFO [00016334] Port=1 RD @06 + 16333.50ns INFO [00016335] * RD COMPARE * port=0 adr=05 act=445518CA5B170BF528 exp=445518CA5B170BF528 + 16333.50ns INFO [00016335] * RD COMPARE * port=1 adr=01 act=695821BE712CED1808 exp=695821BE712CED1808 + 16333.50ns INFO [00016335] Port=1 RD @07 + 16334.50ns INFO [00016336] * RD COMPARE * port=1 adr=06 act=51E0F09E7ACD33EAD8 exp=51E0F09E7ACD33EAD8 + 16334.50ns INFO [00016336] Port=0 RD @01 + 16335.50ns INFO [00016337] * RD COMPARE * port=1 adr=07 act=BEB611B8111035010F exp=BEB611B8111035010F + 16336.50ns INFO [00016338] * RD COMPARE * port=0 adr=01 act=695821BE712CED1808 exp=695821BE712CED1808 + 16336.50ns INFO [00016338] Port=0 WR @04=73ABD68E8282C1126D + 16336.50ns INFO [00016338] Port=1 RD @00 + 16337.50ns INFO [00016339] Port=0 WR @03=5DD6DAF140522A72E0 + 16337.50ns INFO [00016339] Port=1 RD @06 + 16338.50ns INFO [00016340] * RD COMPARE * port=1 adr=00 act=D964AA953BAA9F10B9 exp=D964AA953BAA9F10B9 + 16339.50ns INFO [00016341] * RD COMPARE * port=1 adr=06 act=51E0F09E7ACD33EAD8 exp=51E0F09E7ACD33EAD8 + 16340.50ns INFO [00016342] Port=0 WR @05=68DF9FBDD82F20CAD5 + 16340.50ns INFO [00016342] Port=0 RD @02 + 16342.50ns INFO [00016344] * RD COMPARE * port=0 adr=02 act=7DD62ACAA92D70C26B exp=7DD62ACAA92D70C26B + 16342.50ns INFO [00016344] Port=0 WR @06=9DB8D4C43D53B37286 + 16342.50ns INFO [00016344] Port=1 RD @05 + 16344.50ns INFO [00016346] * RD COMPARE * port=1 adr=05 act=68DF9FBDD82F20CAD5 exp=68DF9FBDD82F20CAD5 + 16344.50ns INFO [00016346] Port=0 WR @01=7D5C1621155E8C3EFF + 16345.50ns INFO [00016347] Port=0 WR @04=C638231A4C54E6A315 + 16345.50ns INFO [00016347] Port=0 RD @01 + 16346.50ns INFO [00016348] Port=1 RD @04 + 16347.50ns INFO [00016349] * RD COMPARE * port=0 adr=01 act=7D5C1621155E8C3EFF exp=7D5C1621155E8C3EFF + 16347.50ns INFO [00016349] Port=0 WR @03=D1C1559325DB8F35A4 + 16347.50ns INFO [00016349] Port=0 RD @04 + 16348.50ns INFO [00016350] * RD COMPARE * port=1 adr=04 act=C638231A4C54E6A315 exp=C638231A4C54E6A315 + 16348.50ns INFO [00016350] Port=1 RD @00 + 16349.50ns INFO [00016351] * RD COMPARE * port=0 adr=04 act=C638231A4C54E6A315 exp=C638231A4C54E6A315 + 16349.50ns INFO [00016351] Port=0 RD @02 + 16349.50ns INFO [00016351] Port=1 RD @01 + 16350.50ns INFO [00016352] * RD COMPARE * port=1 adr=00 act=D964AA953BAA9F10B9 exp=D964AA953BAA9F10B9 + 16350.50ns INFO [00016352] Port=0 WR @05=47DA9C2D7E15416221 + 16350.50ns INFO [00016352] Port=0 RD @04 + 16350.50ns INFO [00016352] Port=1 RD @00 + 16351.50ns INFO [00016353] * RD COMPARE * port=0 adr=02 act=7DD62ACAA92D70C26B exp=7DD62ACAA92D70C26B + 16351.50ns INFO [00016353] * RD COMPARE * port=1 adr=01 act=7D5C1621155E8C3EFF exp=7D5C1621155E8C3EFF + 16351.50ns INFO [00016353] Port=0 WR @02=CFB68D7C00CD3D676B + 16351.50ns INFO [00016353] Port=0 RD @05 + 16352.50ns INFO [00016354] * RD COMPARE * port=0 adr=04 act=C638231A4C54E6A315 exp=C638231A4C54E6A315 + 16352.50ns INFO [00016354] * RD COMPARE * port=1 adr=00 act=D964AA953BAA9F10B9 exp=D964AA953BAA9F10B9 + 16352.50ns INFO [00016354] Port=0 WR @01=8CEAA5B04B54C2984B + 16353.50ns INFO [00016355] * RD COMPARE * port=0 adr=05 act=47DA9C2D7E15416221 exp=47DA9C2D7E15416221 + 16353.50ns INFO [00016355] Port=1 RD @05 + 16354.50ns INFO [00016356] Port=0 WR @07=9A07A4F8E9A12BACDA + 16355.50ns INFO [00016357] * RD COMPARE * port=1 adr=05 act=47DA9C2D7E15416221 exp=47DA9C2D7E15416221 + 16355.50ns INFO [00016357] Port=1 RD @01 + 16357.50ns INFO [00016359] * RD COMPARE * port=1 adr=01 act=8CEAA5B04B54C2984B exp=8CEAA5B04B54C2984B + 16357.50ns INFO [00016359] Port=1 RD @01 + 16358.50ns INFO [00016360] Port=0 WR @07=BC1FC0C983980EE3C5 + 16359.50ns INFO [00016361] * RD COMPARE * port=1 adr=01 act=8CEAA5B04B54C2984B exp=8CEAA5B04B54C2984B + 16359.50ns INFO [00016361] Port=1 RD @00 + 16360.50ns INFO [00016362] Port=0 RD @01 + 16361.50ns INFO [00016363] * RD COMPARE * port=1 adr=00 act=D964AA953BAA9F10B9 exp=D964AA953BAA9F10B9 + 16361.50ns INFO [00016363] Port=0 WR @00=C48E0F5ABC71B482A2 + 16361.50ns INFO [00016363] Port=0 RD @02 + 16361.50ns INFO [00016363] Port=1 RD @05 + 16362.50ns INFO [00016364] * RD COMPARE * port=0 adr=01 act=8CEAA5B04B54C2984B exp=8CEAA5B04B54C2984B + 16363.50ns INFO [00016365] * RD COMPARE * port=0 adr=02 act=CFB68D7C00CD3D676B exp=CFB68D7C00CD3D676B + 16363.50ns INFO [00016365] * RD COMPARE * port=1 adr=05 act=47DA9C2D7E15416221 exp=47DA9C2D7E15416221 + 16364.50ns INFO [00016366] Port=0 RD @00 + 16364.50ns INFO [00016366] Port=1 RD @00 + 16365.50ns INFO [00016367] Port=0 RD @06 + 16365.50ns INFO [00016367] Port=1 RD @00 + 16366.50ns INFO [00016368] * RD COMPARE * port=0 adr=00 act=C48E0F5ABC71B482A2 exp=C48E0F5ABC71B482A2 + 16366.50ns INFO [00016368] * RD COMPARE * port=1 adr=00 act=C48E0F5ABC71B482A2 exp=C48E0F5ABC71B482A2 + 16366.50ns INFO [00016368] Port=0 WR @07=91BE441B831AF094A2 + 16367.50ns INFO [00016369] * RD COMPARE * port=0 adr=06 act=9DB8D4C43D53B37286 exp=9DB8D4C43D53B37286 + 16367.50ns INFO [00016369] * RD COMPARE * port=1 adr=00 act=C48E0F5ABC71B482A2 exp=C48E0F5ABC71B482A2 + 16369.50ns INFO [00016371] Port=0 WR @05=B8EE36909A5A7B5B01 + 16369.50ns INFO [00016371] Port=1 RD @02 + 16370.50ns INFO [00016372] Port=0 WR @05=F763F8A9ACE8531965 + 16370.50ns INFO [00016372] Port=0 RD @07 + 16371.50ns INFO [00016373] * RD COMPARE * port=1 adr=02 act=CFB68D7C00CD3D676B exp=CFB68D7C00CD3D676B + 16372.50ns INFO [00016374] * RD COMPARE * port=0 adr=07 act=91BE441B831AF094A2 exp=91BE441B831AF094A2 + 16372.50ns INFO [00016374] Port=0 RD @06 + 16372.50ns INFO [00016374] Port=1 RD @06 + 16373.50ns INFO [00016375] Port=0 RD @04 + 16374.50ns INFO [00016376] * RD COMPARE * port=0 adr=06 act=9DB8D4C43D53B37286 exp=9DB8D4C43D53B37286 + 16374.50ns INFO [00016376] * RD COMPARE * port=1 adr=06 act=9DB8D4C43D53B37286 exp=9DB8D4C43D53B37286 + 16374.50ns INFO [00016376] Port=0 WR @04=942BB5C19E4B0CA884 + 16375.50ns INFO [00016377] * RD COMPARE * port=0 adr=04 act=C638231A4C54E6A315 exp=C638231A4C54E6A315 + 16376.50ns INFO [00016378] Port=0 WR @06=0E1738EFE61A00EB59 + 16377.50ns INFO [00016379] Port=0 WR @06=D0AB7FA24E572F3C67 + 16377.50ns INFO [00016379] Port=0 RD @02 + 16378.50ns INFO [00016380] Port=0 WR @07=2AB11DFA0999E44EA1 + 16379.50ns INFO [00016381] * RD COMPARE * port=0 adr=02 act=CFB68D7C00CD3D676B exp=CFB68D7C00CD3D676B + 16382.50ns INFO [00016384] Port=0 WR @05=C36E248C965A709FD1 + 16382.50ns INFO [00016384] Port=1 RD @04 + 16383.50ns INFO [00016385] Port=0 RD @03 + 16384.50ns INFO [00016386] * RD COMPARE * port=1 adr=04 act=942BB5C19E4B0CA884 exp=942BB5C19E4B0CA884 + 16384.50ns INFO [00016386] Port=0 WR @01=3C9C0F1604CC513876 + 16384.50ns INFO [00016386] Port=0 RD @04 + 16384.50ns INFO [00016386] Port=1 RD @02 + 16385.50ns INFO [00016387] * RD COMPARE * port=0 adr=03 act=D1C1559325DB8F35A4 exp=D1C1559325DB8F35A4 + 16386.50ns INFO [00016388] * RD COMPARE * port=0 adr=04 act=942BB5C19E4B0CA884 exp=942BB5C19E4B0CA884 + 16386.50ns INFO [00016388] * RD COMPARE * port=1 adr=02 act=CFB68D7C00CD3D676B exp=CFB68D7C00CD3D676B + 16386.50ns INFO [00016388] Port=0 RD @07 + 16387.50ns INFO [00016389] Port=0 WR @04=50FACFDA1C212ADB9D + 16387.50ns INFO [00016389] Port=1 RD @02 + 16388.50ns INFO [00016390] * RD COMPARE * port=0 adr=07 act=2AB11DFA0999E44EA1 exp=2AB11DFA0999E44EA1 + 16388.50ns INFO [00016390] Port=0 WR @00=B1A3CB7B8E3E22D3E8 + 16389.50ns INFO [00016391] * RD COMPARE * port=1 adr=02 act=CFB68D7C00CD3D676B exp=CFB68D7C00CD3D676B + 16390.50ns INFO [00016392] Port=0 RD @05 + 16391.50ns INFO [00016393] Port=0 WR @04=87713979F4FED80B08 + 16391.50ns INFO [00016393] Port=0 RD @00 + 16391.50ns INFO [00016393] Port=1 RD @01 + 16392.50ns INFO [00016394] * RD COMPARE * port=0 adr=05 act=C36E248C965A709FD1 exp=C36E248C965A709FD1 + 16392.50ns INFO [00016394] Port=0 RD @00 + 16393.50ns INFO [00016395] * RD COMPARE * port=0 adr=00 act=B1A3CB7B8E3E22D3E8 exp=B1A3CB7B8E3E22D3E8 + 16393.50ns INFO [00016395] * RD COMPARE * port=1 adr=01 act=3C9C0F1604CC513876 exp=3C9C0F1604CC513876 + 16393.50ns INFO [00016395] Port=0 WR @07=8A6E0AF63AE54EC03A + 16393.50ns INFO [00016395] Port=0 RD @01 + 16393.50ns INFO [00016395] Port=1 RD @01 + 16394.50ns INFO [00016396] * RD COMPARE * port=0 adr=00 act=B1A3CB7B8E3E22D3E8 exp=B1A3CB7B8E3E22D3E8 + 16395.50ns INFO [00016397] * RD COMPARE * port=0 adr=01 act=3C9C0F1604CC513876 exp=3C9C0F1604CC513876 + 16395.50ns INFO [00016397] * RD COMPARE * port=1 adr=01 act=3C9C0F1604CC513876 exp=3C9C0F1604CC513876 + 16395.50ns INFO [00016397] Port=1 RD @02 + 16396.50ns INFO [00016398] Port=0 WR @05=7B3EFEB2718280B3A0 + 16396.50ns INFO [00016398] Port=0 RD @00 + 16396.50ns INFO [00016398] Port=1 RD @06 + 16397.50ns INFO [00016399] * RD COMPARE * port=1 adr=02 act=CFB68D7C00CD3D676B exp=CFB68D7C00CD3D676B + 16397.50ns INFO [00016399] Port=0 WR @06=28426FC3584916EA6E + 16397.50ns INFO [00016399] Port=0 RD @01 + 16398.00ns INFO [00016400] [00016400] ...tick... + 16398.50ns INFO [00016400] * RD COMPARE * port=0 adr=00 act=B1A3CB7B8E3E22D3E8 exp=B1A3CB7B8E3E22D3E8 + 16398.50ns INFO [00016400] * RD COMPARE * port=1 adr=06 act=D0AB7FA24E572F3C67 exp=D0AB7FA24E572F3C67 + 16398.50ns INFO [00016400] Port=0 WR @02=A58005041D467B7FBB + 16398.50ns INFO [00016400] Port=1 RD @01 + 16399.50ns INFO [00016401] * RD COMPARE * port=0 adr=01 act=3C9C0F1604CC513876 exp=3C9C0F1604CC513876 + 16400.50ns INFO [00016402] * RD COMPARE * port=1 adr=01 act=3C9C0F1604CC513876 exp=3C9C0F1604CC513876 + 16401.50ns INFO [00016403] Port=0 WR @06=E0E248BD3A888D4B45 + 16401.50ns INFO [00016403] Port=0 RD @03 + 16401.50ns INFO [00016403] Port=1 RD @07 + 16402.50ns INFO [00016404] Port=0 RD @00 + 16403.50ns INFO [00016405] * RD COMPARE * port=0 adr=03 act=D1C1559325DB8F35A4 exp=D1C1559325DB8F35A4 + 16403.50ns INFO [00016405] * RD COMPARE * port=1 adr=07 act=8A6E0AF63AE54EC03A exp=8A6E0AF63AE54EC03A + 16403.50ns INFO [00016405] Port=0 WR @03=DBB24CF8487DD9F5C7 + 16403.50ns INFO [00016405] Port=1 RD @07 + 16404.50ns INFO [00016406] * RD COMPARE * port=0 adr=00 act=B1A3CB7B8E3E22D3E8 exp=B1A3CB7B8E3E22D3E8 + 16405.50ns INFO [00016407] * RD COMPARE * port=1 adr=07 act=8A6E0AF63AE54EC03A exp=8A6E0AF63AE54EC03A + 16405.50ns INFO [00016407] Port=0 WR @02=1078AAD522F2A8A708 + 16406.50ns INFO [00016408] Port=0 WR @06=4C706C8CB460F893B2 + 16406.50ns INFO [00016408] Port=0 RD @05 + 16407.50ns INFO [00016409] Port=0 WR @03=0A4862714E220A007A + 16408.50ns INFO [00016410] * RD COMPARE * port=0 adr=05 act=7B3EFEB2718280B3A0 exp=7B3EFEB2718280B3A0 + 16408.50ns INFO [00016410] Port=1 RD @05 + 16409.50ns INFO [00016411] Port=0 WR @06=561B2A303EBD8849D7 + 16409.50ns INFO [00016411] Port=1 RD @02 + 16410.50ns INFO [00016412] * RD COMPARE * port=1 adr=05 act=7B3EFEB2718280B3A0 exp=7B3EFEB2718280B3A0 + 16410.50ns INFO [00016412] Port=1 RD @03 + 16411.50ns INFO [00016413] * RD COMPARE * port=1 adr=02 act=1078AAD522F2A8A708 exp=1078AAD522F2A8A708 + 16411.50ns INFO [00016413] Port=0 WR @05=7A8BA43E0AB6FE6C74 + 16411.50ns INFO [00016413] Port=0 RD @04 + 16412.50ns INFO [00016414] * RD COMPARE * port=1 adr=03 act=0A4862714E220A007A exp=0A4862714E220A007A + 16412.50ns INFO [00016414] Port=0 RD @02 + 16412.50ns INFO [00016414] Port=1 RD @02 + 16413.50ns INFO [00016415] * RD COMPARE * port=0 adr=04 act=87713979F4FED80B08 exp=87713979F4FED80B08 + 16413.50ns INFO [00016415] Port=0 RD @05 + 16414.50ns INFO [00016416] * RD COMPARE * port=0 adr=02 act=1078AAD522F2A8A708 exp=1078AAD522F2A8A708 + 16414.50ns INFO [00016416] * RD COMPARE * port=1 adr=02 act=1078AAD522F2A8A708 exp=1078AAD522F2A8A708 + 16414.50ns INFO [00016416] Port=0 WR @04=6E28653DC918642CE0 + 16414.50ns INFO [00016416] Port=1 RD @02 + 16415.50ns INFO [00016417] * RD COMPARE * port=0 adr=05 act=7A8BA43E0AB6FE6C74 exp=7A8BA43E0AB6FE6C74 + 16415.50ns INFO [00016417] Port=0 RD @03 + 16416.50ns INFO [00016418] * RD COMPARE * port=1 adr=02 act=1078AAD522F2A8A708 exp=1078AAD522F2A8A708 + 16416.50ns INFO [00016418] Port=1 RD @07 + 16417.50ns INFO [00016419] * RD COMPARE * port=0 adr=03 act=0A4862714E220A007A exp=0A4862714E220A007A + 16417.50ns INFO [00016419] Port=0 WR @06=BF0A9023901F0F821B + 16418.50ns INFO [00016420] * RD COMPARE * port=1 adr=07 act=8A6E0AF63AE54EC03A exp=8A6E0AF63AE54EC03A + 16418.50ns INFO [00016420] Port=0 RD @03 + 16419.50ns INFO [00016421] Port=0 WR @06=7F7A9DFAE433A7FCA0 + 16419.50ns INFO [00016421] Port=1 RD @05 + 16420.50ns INFO [00016422] * RD COMPARE * port=0 adr=03 act=0A4862714E220A007A exp=0A4862714E220A007A + 16420.50ns INFO [00016422] Port=1 RD @04 + 16421.50ns INFO [00016423] * RD COMPARE * port=1 adr=05 act=7A8BA43E0AB6FE6C74 exp=7A8BA43E0AB6FE6C74 + 16421.50ns INFO [00016423] Port=0 RD @07 + 16422.50ns INFO [00016424] * RD COMPARE * port=1 adr=04 act=6E28653DC918642CE0 exp=6E28653DC918642CE0 + 16422.50ns INFO [00016424] Port=0 WR @07=51CDC230C142735E64 + 16423.50ns INFO [00016425] * RD COMPARE * port=0 adr=07 act=8A6E0AF63AE54EC03A exp=8A6E0AF63AE54EC03A + 16423.50ns INFO [00016425] Port=0 WR @06=0063190DF5951EFA80 + 16423.50ns INFO [00016425] Port=1 RD @04 + 16425.50ns INFO [00016427] * RD COMPARE * port=1 adr=04 act=6E28653DC918642CE0 exp=6E28653DC918642CE0 + 16425.50ns INFO [00016427] Port=1 RD @03 + 16427.50ns INFO [00016429] * RD COMPARE * port=1 adr=03 act=0A4862714E220A007A exp=0A4862714E220A007A + 16428.50ns INFO [00016430] Port=1 RD @07 + 16429.50ns INFO [00016431] Port=0 WR @05=C95644D2E893009850 + 16429.50ns INFO [00016431] Port=0 RD @07 + 16429.50ns INFO [00016431] Port=1 RD @02 + 16430.50ns INFO [00016432] * RD COMPARE * port=1 adr=07 act=51CDC230C142735E64 exp=51CDC230C142735E64 + 16430.50ns INFO [00016432] Port=0 RD @05 + 16430.50ns INFO [00016432] Port=1 RD @07 + 16431.50ns INFO [00016433] * RD COMPARE * port=0 adr=07 act=51CDC230C142735E64 exp=51CDC230C142735E64 + 16431.50ns INFO [00016433] * RD COMPARE * port=1 adr=02 act=1078AAD522F2A8A708 exp=1078AAD522F2A8A708 + 16431.50ns INFO [00016433] Port=1 RD @03 + 16432.50ns INFO [00016434] * RD COMPARE * port=0 adr=05 act=C95644D2E893009850 exp=C95644D2E893009850 + 16432.50ns INFO [00016434] * RD COMPARE * port=1 adr=07 act=51CDC230C142735E64 exp=51CDC230C142735E64 + 16432.50ns INFO [00016434] Port=0 RD @07 + 16432.50ns INFO [00016434] Port=1 RD @01 + 16433.50ns INFO [00016435] * RD COMPARE * port=1 adr=03 act=0A4862714E220A007A exp=0A4862714E220A007A + 16433.50ns INFO [00016435] Port=0 WR @00=6BB819F870343318BF + 16434.50ns INFO [00016436] * RD COMPARE * port=0 adr=07 act=51CDC230C142735E64 exp=51CDC230C142735E64 + 16434.50ns INFO [00016436] * RD COMPARE * port=1 adr=01 act=3C9C0F1604CC513876 exp=3C9C0F1604CC513876 + 16434.50ns INFO [00016436] Port=0 RD @03 + 16435.50ns INFO [00016437] Port=0 WR @07=AE47819DB8F11CCCFD + 16435.50ns INFO [00016437] Port=0 RD @04 + 16436.50ns INFO [00016438] * RD COMPARE * port=0 adr=03 act=0A4862714E220A007A exp=0A4862714E220A007A + 16436.50ns INFO [00016438] Port=0 WR @00=365FE490D9F93CD224 + 16436.50ns INFO [00016438] Port=0 RD @01 + 16436.50ns INFO [00016438] Port=1 RD @02 + 16437.50ns INFO [00016439] * RD COMPARE * port=0 adr=04 act=6E28653DC918642CE0 exp=6E28653DC918642CE0 + 16437.50ns INFO [00016439] Port=0 WR @03=F190EB6395D26B4790 + 16438.50ns INFO [00016440] * RD COMPARE * port=0 adr=01 act=3C9C0F1604CC513876 exp=3C9C0F1604CC513876 + 16438.50ns INFO [00016440] * RD COMPARE * port=1 adr=02 act=1078AAD522F2A8A708 exp=1078AAD522F2A8A708 + 16438.50ns INFO [00016440] Port=1 RD @05 + 16439.50ns INFO [00016441] Port=0 RD @05 + 16440.50ns INFO [00016442] * RD COMPARE * port=1 adr=05 act=C95644D2E893009850 exp=C95644D2E893009850 + 16441.50ns INFO [00016443] * RD COMPARE * port=0 adr=05 act=C95644D2E893009850 exp=C95644D2E893009850 + 16442.50ns INFO [00016444] Port=0 WR @04=A2B8A9EE650FB15D05 + 16442.50ns INFO [00016444] Port=1 RD @06 + 16443.50ns INFO [00016445] Port=0 WR @00=6202E71C989E2BC3BB + 16443.50ns INFO [00016445] Port=0 RD @03 + 16444.50ns INFO [00016446] * RD COMPARE * port=1 adr=06 act=0063190DF5951EFA80 exp=0063190DF5951EFA80 + 16444.50ns INFO [00016446] Port=0 WR @00=CFD6DBD143A73E0BB9 + 16444.50ns INFO [00016446] Port=0 RD @04 + 16445.50ns INFO [00016447] * RD COMPARE * port=0 adr=03 act=F190EB6395D26B4790 exp=F190EB6395D26B4790 + 16446.50ns INFO [00016448] * RD COMPARE * port=0 adr=04 act=A2B8A9EE650FB15D05 exp=A2B8A9EE650FB15D05 + 16446.50ns INFO [00016448] Port=0 RD @06 + 16446.50ns INFO [00016448] Port=1 RD @01 + 16447.50ns INFO [00016449] Port=0 WR @04=F3A8C983D89F829DD9 + 16447.50ns INFO [00016449] Port=1 RD @03 + 16448.50ns INFO [00016450] * RD COMPARE * port=0 adr=06 act=0063190DF5951EFA80 exp=0063190DF5951EFA80 + 16448.50ns INFO [00016450] * RD COMPARE * port=1 adr=01 act=3C9C0F1604CC513876 exp=3C9C0F1604CC513876 + 16448.50ns INFO [00016450] Port=0 WR @04=9673417B2D31E6075A + 16448.50ns INFO [00016450] Port=1 RD @00 + 16449.50ns INFO [00016451] * RD COMPARE * port=1 adr=03 act=F190EB6395D26B4790 exp=F190EB6395D26B4790 + 16449.50ns INFO [00016451] Port=1 RD @06 + 16450.50ns INFO [00016452] * RD COMPARE * port=1 adr=00 act=CFD6DBD143A73E0BB9 exp=CFD6DBD143A73E0BB9 + 16450.50ns INFO [00016452] Port=0 RD @02 + 16451.50ns INFO [00016453] * RD COMPARE * port=1 adr=06 act=0063190DF5951EFA80 exp=0063190DF5951EFA80 + 16451.50ns INFO [00016453] Port=0 WR @02=0149BE85FF38EB4888 + 16451.50ns INFO [00016453] Port=0 RD @00 + 16451.50ns INFO [00016453] Port=1 RD @03 + 16452.50ns INFO [00016454] * RD COMPARE * port=0 adr=02 act=1078AAD522F2A8A708 exp=1078AAD522F2A8A708 + 16452.50ns INFO [00016454] Port=0 WR @06=26A9C279E9AEAABA96 + 16452.50ns INFO [00016454] Port=0 RD @04 + 16453.50ns INFO [00016455] * RD COMPARE * port=0 adr=00 act=CFD6DBD143A73E0BB9 exp=CFD6DBD143A73E0BB9 + 16453.50ns INFO [00016455] * RD COMPARE * port=1 adr=03 act=F190EB6395D26B4790 exp=F190EB6395D26B4790 + 16454.50ns INFO [00016456] * RD COMPARE * port=0 adr=04 act=9673417B2D31E6075A exp=9673417B2D31E6075A + 16454.50ns INFO [00016456] Port=1 RD @02 + 16455.50ns INFO [00016457] Port=0 WR @03=4A1CB6FF39BE8FB978 + 16456.50ns INFO [00016458] * RD COMPARE * port=1 adr=02 act=0149BE85FF38EB4888 exp=0149BE85FF38EB4888 + 16456.50ns INFO [00016458] Port=0 WR @00=180D6385366EE69CF5 + 16457.50ns INFO [00016459] Port=0 WR @06=DC5416CDF0A18A709E + 16458.50ns INFO [00016460] Port=0 WR @04=2F94D875B52108270D + 16458.50ns INFO [00016460] Port=1 RD @05 + 16459.50ns INFO [00016461] Port=0 RD @05 + 16459.50ns INFO [00016461] Port=1 RD @03 + 16460.50ns INFO [00016462] * RD COMPARE * port=1 adr=05 act=C95644D2E893009850 exp=C95644D2E893009850 + 16460.50ns INFO [00016462] Port=0 WR @01=92B33D61CD78BADE91 + 16460.50ns INFO [00016462] Port=0 RD @06 + 16461.50ns INFO [00016463] * RD COMPARE * port=0 adr=05 act=C95644D2E893009850 exp=C95644D2E893009850 + 16461.50ns INFO [00016463] * RD COMPARE * port=1 adr=03 act=4A1CB6FF39BE8FB978 exp=4A1CB6FF39BE8FB978 + 16461.50ns INFO [00016463] Port=0 RD @07 + 16462.50ns INFO [00016464] * RD COMPARE * port=0 adr=06 act=DC5416CDF0A18A709E exp=DC5416CDF0A18A709E + 16462.50ns INFO [00016464] Port=0 WR @01=17DE24C922F61D9827 + 16462.50ns INFO [00016464] Port=1 RD @05 + 16463.50ns INFO [00016465] * RD COMPARE * port=0 adr=07 act=AE47819DB8F11CCCFD exp=AE47819DB8F11CCCFD + 16463.50ns INFO [00016465] Port=0 WR @01=C5259F1D563C8B1C29 + 16464.50ns INFO [00016466] * RD COMPARE * port=1 adr=05 act=C95644D2E893009850 exp=C95644D2E893009850 + 16464.50ns INFO [00016466] Port=0 RD @05 + 16464.50ns INFO [00016466] Port=1 RD @01 + 16465.50ns INFO [00016467] Port=0 RD @02 + 16466.50ns INFO [00016468] * RD COMPARE * port=0 adr=05 act=C95644D2E893009850 exp=C95644D2E893009850 + 16466.50ns INFO [00016468] * RD COMPARE * port=1 adr=01 act=C5259F1D563C8B1C29 exp=C5259F1D563C8B1C29 + 16466.50ns INFO [00016468] Port=0 RD @06 + 16467.50ns INFO [00016469] * RD COMPARE * port=0 adr=02 act=0149BE85FF38EB4888 exp=0149BE85FF38EB4888 + 16467.50ns INFO [00016469] Port=0 WR @04=1375CC6FE83D48C34A + 16468.50ns INFO [00016470] * RD COMPARE * port=0 adr=06 act=DC5416CDF0A18A709E exp=DC5416CDF0A18A709E + 16468.50ns INFO [00016470] Port=1 RD @00 + 16469.50ns INFO [00016471] Port=1 RD @03 + 16470.50ns INFO [00016472] * RD COMPARE * port=1 adr=00 act=180D6385366EE69CF5 exp=180D6385366EE69CF5 + 16470.50ns INFO [00016472] Port=0 RD @06 + 16471.50ns INFO [00016473] * RD COMPARE * port=1 adr=03 act=4A1CB6FF39BE8FB978 exp=4A1CB6FF39BE8FB978 + 16472.50ns INFO [00016474] * RD COMPARE * port=0 adr=06 act=DC5416CDF0A18A709E exp=DC5416CDF0A18A709E + 16472.50ns INFO [00016474] Port=0 WR @02=D6B8C230AE4E287618 + 16474.50ns INFO [00016476] Port=0 WR @07=E0E5DDB3E60F6D6CDC + 16474.50ns INFO [00016476] Port=0 RD @03 + 16474.50ns INFO [00016476] Port=1 RD @00 + 16475.50ns INFO [00016477] Port=0 WR @00=283E47E7BA5A6EBF65 + 16475.50ns INFO [00016477] Port=0 RD @03 + 16476.50ns INFO [00016478] * RD COMPARE * port=0 adr=03 act=4A1CB6FF39BE8FB978 exp=4A1CB6FF39BE8FB978 + 16476.50ns INFO [00016478] * RD COMPARE * port=1 adr=00 act=180D6385366EE69CF5 exp=180D6385366EE69CF5 + 16476.50ns INFO [00016478] Port=0 RD @03 + 16477.50ns INFO [00016479] * RD COMPARE * port=0 adr=03 act=4A1CB6FF39BE8FB978 exp=4A1CB6FF39BE8FB978 + 16478.50ns INFO [00016480] * RD COMPARE * port=0 adr=03 act=4A1CB6FF39BE8FB978 exp=4A1CB6FF39BE8FB978 + 16478.50ns INFO [00016480] Port=0 WR @01=1B1DBAD2955485BBE0 + 16479.50ns INFO [00016481] Port=0 RD @04 + 16480.50ns INFO [00016482] Port=0 RD @07 + 16480.50ns INFO [00016482] Port=1 RD @03 + 16481.50ns INFO [00016483] * RD COMPARE * port=0 adr=04 act=1375CC6FE83D48C34A exp=1375CC6FE83D48C34A + 16481.50ns INFO [00016483] Port=0 WR @00=1818E3D317B370020C + 16482.50ns INFO [00016484] * RD COMPARE * port=0 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16482.50ns INFO [00016484] * RD COMPARE * port=1 adr=03 act=4A1CB6FF39BE8FB978 exp=4A1CB6FF39BE8FB978 + 16482.50ns INFO [00016484] Port=1 RD @05 + 16483.50ns INFO [00016485] Port=0 WR @03=56E4A44BC671CAE8F7 + 16484.50ns INFO [00016486] * RD COMPARE * port=1 adr=05 act=C95644D2E893009850 exp=C95644D2E893009850 + 16484.50ns INFO [00016486] Port=0 RD @03 + 16485.50ns INFO [00016487] Port=0 RD @05 + 16486.50ns INFO [00016488] * RD COMPARE * port=0 adr=03 act=56E4A44BC671CAE8F7 exp=56E4A44BC671CAE8F7 + 16486.50ns INFO [00016488] Port=0 RD @00 + 16487.50ns INFO [00016489] * RD COMPARE * port=0 adr=05 act=C95644D2E893009850 exp=C95644D2E893009850 + 16487.50ns INFO [00016489] Port=1 RD @07 + 16488.50ns INFO [00016490] * RD COMPARE * port=0 adr=00 act=1818E3D317B370020C exp=1818E3D317B370020C + 16489.50ns INFO [00016491] * RD COMPARE * port=1 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16489.50ns INFO [00016491] Port=0 RD @03 + 16490.50ns INFO [00016492] Port=1 RD @07 + 16491.50ns INFO [00016493] * RD COMPARE * port=0 adr=03 act=56E4A44BC671CAE8F7 exp=56E4A44BC671CAE8F7 + 16492.50ns INFO [00016494] * RD COMPARE * port=1 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16492.50ns INFO [00016494] Port=0 RD @00 + 16492.50ns INFO [00016494] Port=1 RD @06 + 16493.50ns INFO [00016495] Port=0 WR @06=191EBE4B44CFD5D4EB + 16493.50ns INFO [00016495] Port=0 RD @03 + 16493.50ns INFO [00016495] Port=1 RD @00 + 16494.50ns INFO [00016496] * RD COMPARE * port=0 adr=00 act=1818E3D317B370020C exp=1818E3D317B370020C + 16494.50ns INFO [00016496] * RD COMPARE * port=1 adr=06 act=DC5416CDF0A18A709E exp=DC5416CDF0A18A709E + 16495.50ns INFO [00016497] * RD COMPARE * port=0 adr=03 act=56E4A44BC671CAE8F7 exp=56E4A44BC671CAE8F7 + 16495.50ns INFO [00016497] * RD COMPARE * port=1 adr=00 act=1818E3D317B370020C exp=1818E3D317B370020C + 16495.50ns INFO [00016497] Port=0 WR @03=2C679094A6963E5C15 + 16495.50ns INFO [00016497] Port=0 RD @07 + 16496.50ns INFO [00016498] Port=0 WR @02=2132FD036913B04C57 + 16496.50ns INFO [00016498] Port=0 RD @07 + 16497.50ns INFO [00016499] * RD COMPARE * port=0 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16497.50ns INFO [00016499] Port=0 WR @00=DB5B08709B41928BDD + 16497.50ns INFO [00016499] Port=0 RD @06 + 16498.00ns INFO [00016500] [00016500] ...tick... + 16498.50ns INFO [00016500] * RD COMPARE * port=0 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16499.50ns INFO [00016501] * RD COMPARE * port=0 adr=06 act=191EBE4B44CFD5D4EB exp=191EBE4B44CFD5D4EB + 16499.50ns INFO [00016501] Port=0 WR @01=11CFABE91BECFE4C2B + 16499.50ns INFO [00016501] Port=0 RD @06 + 16501.50ns INFO [00016503] * RD COMPARE * port=0 adr=06 act=191EBE4B44CFD5D4EB exp=191EBE4B44CFD5D4EB + 16501.50ns INFO [00016503] Port=0 WR @05=B806A6E51017490989 + 16502.50ns INFO [00016504] Port=1 RD @01 + 16503.50ns INFO [00016505] Port=1 RD @04 + 16504.50ns INFO [00016506] * RD COMPARE * port=1 adr=01 act=11CFABE91BECFE4C2B exp=11CFABE91BECFE4C2B + 16504.50ns INFO [00016506] Port=0 WR @05=DF92E7E9E177648A05 + 16504.50ns INFO [00016506] Port=0 RD @02 + 16505.50ns INFO [00016507] * RD COMPARE * port=1 adr=04 act=1375CC6FE83D48C34A exp=1375CC6FE83D48C34A + 16505.50ns INFO [00016507] Port=0 RD @03 + 16505.50ns INFO [00016507] Port=1 RD @00 + 16506.50ns INFO [00016508] * RD COMPARE * port=0 adr=02 act=2132FD036913B04C57 exp=2132FD036913B04C57 + 16506.50ns INFO [00016508] Port=0 WR @06=01AB22CC1D801AB9B6 + 16506.50ns INFO [00016508] Port=1 RD @03 + 16507.50ns INFO [00016509] * RD COMPARE * port=0 adr=03 act=2C679094A6963E5C15 exp=2C679094A6963E5C15 + 16507.50ns INFO [00016509] * RD COMPARE * port=1 adr=00 act=DB5B08709B41928BDD exp=DB5B08709B41928BDD + 16507.50ns INFO [00016509] Port=0 WR @03=0552B142EA2E0ED6EF + 16507.50ns INFO [00016509] Port=1 RD @07 + 16508.50ns INFO [00016510] * RD COMPARE * port=1 adr=03 act=2C679094A6963E5C15 exp=2C679094A6963E5C15 + 16508.50ns INFO [00016510] Port=0 WR @03=F79842C2E89127BD4E + 16508.50ns INFO [00016510] Port=1 RD @02 + 16509.50ns INFO [00016511] * RD COMPARE * port=1 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16509.50ns INFO [00016511] Port=0 WR @03=07786A3EEE82219EB6 + 16509.50ns INFO [00016511] Port=1 RD @02 + 16510.50ns INFO [00016512] * RD COMPARE * port=1 adr=02 act=2132FD036913B04C57 exp=2132FD036913B04C57 + 16510.50ns INFO [00016512] Port=0 WR @03=FA7944FBBC9ED81AFF + 16511.50ns INFO [00016513] * RD COMPARE * port=1 adr=02 act=2132FD036913B04C57 exp=2132FD036913B04C57 + 16511.50ns INFO [00016513] Port=0 RD @00 + 16513.50ns INFO [00016515] * RD COMPARE * port=0 adr=00 act=DB5B08709B41928BDD exp=DB5B08709B41928BDD + 16514.50ns INFO [00016516] Port=0 WR @06=B6BBCA3EDCD7F6DF7F + 16514.50ns INFO [00016516] Port=0 RD @04 + 16514.50ns INFO [00016516] Port=1 RD @01 + 16515.50ns INFO [00016517] Port=0 RD @02 + 16516.50ns INFO [00016518] * RD COMPARE * port=0 adr=04 act=1375CC6FE83D48C34A exp=1375CC6FE83D48C34A + 16516.50ns INFO [00016518] * RD COMPARE * port=1 adr=01 act=11CFABE91BECFE4C2B exp=11CFABE91BECFE4C2B + 16517.50ns INFO [00016519] * RD COMPARE * port=0 adr=02 act=2132FD036913B04C57 exp=2132FD036913B04C57 + 16517.50ns INFO [00016519] Port=0 RD @01 + 16517.50ns INFO [00016519] Port=1 RD @00 + 16518.50ns INFO [00016520] Port=0 RD @05 + 16518.50ns INFO [00016520] Port=1 RD @05 + 16519.50ns INFO [00016521] * RD COMPARE * port=0 adr=01 act=11CFABE91BECFE4C2B exp=11CFABE91BECFE4C2B + 16519.50ns INFO [00016521] * RD COMPARE * port=1 adr=00 act=DB5B08709B41928BDD exp=DB5B08709B41928BDD + 16519.50ns INFO [00016521] Port=1 RD @07 + 16520.50ns INFO [00016522] * RD COMPARE * port=0 adr=05 act=DF92E7E9E177648A05 exp=DF92E7E9E177648A05 + 16520.50ns INFO [00016522] * RD COMPARE * port=1 adr=05 act=DF92E7E9E177648A05 exp=DF92E7E9E177648A05 + 16520.50ns INFO [00016522] Port=1 RD @01 + 16521.50ns INFO [00016523] * RD COMPARE * port=1 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16521.50ns INFO [00016523] Port=0 WR @00=0E1EF3CC5E55114189 + 16522.50ns INFO [00016524] * RD COMPARE * port=1 adr=01 act=11CFABE91BECFE4C2B exp=11CFABE91BECFE4C2B + 16525.50ns INFO [00016527] Port=0 WR @06=CF4541CAE8DA1D3C39 + 16525.50ns INFO [00016527] Port=0 RD @03 + 16526.50ns INFO [00016528] Port=0 WR @03=891F519ED99CD3EC70 + 16527.50ns INFO [00016529] * RD COMPARE * port=0 adr=03 act=FA7944FBBC9ED81AFF exp=FA7944FBBC9ED81AFF + 16528.50ns INFO [00016530] Port=0 RD @05 + 16529.50ns INFO [00016531] Port=0 WR @00=BE1B8EF3B19A2E9551 + 16529.50ns INFO [00016531] Port=1 RD @06 + 16530.50ns INFO [00016532] * RD COMPARE * port=0 adr=05 act=DF92E7E9E177648A05 exp=DF92E7E9E177648A05 + 16531.50ns INFO [00016533] * RD COMPARE * port=1 adr=06 act=CF4541CAE8DA1D3C39 exp=CF4541CAE8DA1D3C39 + 16531.50ns INFO [00016533] Port=0 WR @02=D5EFA6F9FE7694BF7E + 16532.50ns INFO [00016534] Port=0 WR @02=9FC1057E03BB109527 + 16532.50ns INFO [00016534] Port=0 RD @07 + 16532.50ns INFO [00016534] Port=1 RD @01 + 16534.50ns INFO [00016536] * RD COMPARE * port=0 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16534.50ns INFO [00016536] * RD COMPARE * port=1 adr=01 act=11CFABE91BECFE4C2B exp=11CFABE91BECFE4C2B + 16534.50ns INFO [00016536] Port=0 RD @03 + 16534.50ns INFO [00016536] Port=1 RD @00 + 16536.50ns INFO [00016538] * RD COMPARE * port=0 adr=03 act=891F519ED99CD3EC70 exp=891F519ED99CD3EC70 + 16536.50ns INFO [00016538] * RD COMPARE * port=1 adr=00 act=BE1B8EF3B19A2E9551 exp=BE1B8EF3B19A2E9551 + 16536.50ns INFO [00016538] Port=0 RD @03 + 16537.50ns INFO [00016539] Port=0 RD @03 + 16537.50ns INFO [00016539] Port=1 RD @01 + 16538.50ns INFO [00016540] * RD COMPARE * port=0 adr=03 act=891F519ED99CD3EC70 exp=891F519ED99CD3EC70 + 16539.50ns INFO [00016541] * RD COMPARE * port=0 adr=03 act=891F519ED99CD3EC70 exp=891F519ED99CD3EC70 + 16539.50ns INFO [00016541] * RD COMPARE * port=1 adr=01 act=11CFABE91BECFE4C2B exp=11CFABE91BECFE4C2B + 16539.50ns INFO [00016541] Port=0 WR @00=61DA0A5B80ADB1D072 + 16540.50ns INFO [00016542] Port=0 RD @07 + 16542.50ns INFO [00016544] * RD COMPARE * port=0 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16542.50ns INFO [00016544] Port=1 RD @03 + 16543.50ns INFO [00016545] Port=0 WR @05=402CB3927B00494166 + 16543.50ns INFO [00016545] Port=1 RD @07 + 16544.50ns INFO [00016546] * RD COMPARE * port=1 adr=03 act=891F519ED99CD3EC70 exp=891F519ED99CD3EC70 + 16545.50ns INFO [00016547] * RD COMPARE * port=1 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16545.50ns INFO [00016547] Port=0 WR @06=FB0FCD00A435A03102 + 16545.50ns INFO [00016547] Port=0 RD @07 + 16547.50ns INFO [00016549] * RD COMPARE * port=0 adr=07 act=E0E5DDB3E60F6D6CDC exp=E0E5DDB3E60F6D6CDC + 16547.50ns INFO [00016549] Port=0 WR @04=95BBF7A42FBAAE1BA2 + 16547.50ns INFO [00016549] Port=1 RD @06 + 16548.50ns INFO [00016550] Port=0 RD @04 + 16549.50ns INFO [00016551] * RD COMPARE * port=1 adr=06 act=FB0FCD00A435A03102 exp=FB0FCD00A435A03102 + 16550.50ns INFO [00016552] * RD COMPARE * port=0 adr=04 act=95BBF7A42FBAAE1BA2 exp=95BBF7A42FBAAE1BA2 + 16550.50ns INFO [00016552] Port=0 WR @06=46900AD1D9EAA29A05 + 16550.50ns INFO [00016552] Port=0 RD @01 + 16550.50ns INFO [00016552] Port=1 RD @02 + 16551.50ns INFO [00016553] Port=0 RD @06 + 16552.50ns INFO [00016554] * RD COMPARE * port=0 adr=01 act=11CFABE91BECFE4C2B exp=11CFABE91BECFE4C2B + 16552.50ns INFO [00016554] * RD COMPARE * port=1 adr=02 act=9FC1057E03BB109527 exp=9FC1057E03BB109527 + 16553.50ns INFO [00016555] * RD COMPARE * port=0 adr=06 act=46900AD1D9EAA29A05 exp=46900AD1D9EAA29A05 + 16553.50ns INFO [00016555] Port=0 WR @07=E45CE88A83821A436C + 16553.50ns INFO [00016555] Port=1 RD @06 + 16554.50ns INFO [00016556] Port=0 WR @00=801DB5C28175867C5F + 16555.50ns INFO [00016557] * RD COMPARE * port=1 adr=06 act=46900AD1D9EAA29A05 exp=46900AD1D9EAA29A05 + 16555.50ns INFO [00016557] Port=0 RD @02 + 16556.50ns INFO [00016558] Port=0 RD @03 + 16557.50ns INFO [00016559] * RD COMPARE * port=0 adr=02 act=9FC1057E03BB109527 exp=9FC1057E03BB109527 + 16557.50ns INFO [00016559] Port=0 WR @06=7373BEA3BA5CF4F655 + 16558.50ns INFO [00016560] * RD COMPARE * port=0 adr=03 act=891F519ED99CD3EC70 exp=891F519ED99CD3EC70 + 16558.50ns INFO [00016560] Port=0 WR @01=3663C0D6424AD89699 + 16558.50ns INFO [00016560] Port=0 RD @02 + 16558.50ns INFO [00016560] Port=1 RD @04 + 16560.50ns INFO [00016562] * RD COMPARE * port=0 adr=02 act=9FC1057E03BB109527 exp=9FC1057E03BB109527 + 16560.50ns INFO [00016562] * RD COMPARE * port=1 adr=04 act=95BBF7A42FBAAE1BA2 exp=95BBF7A42FBAAE1BA2 + 16562.50ns INFO [00016564] Port=0 RD @02 + 16562.50ns INFO [00016564] Port=1 RD @07 + 16564.50ns INFO [00016566] * RD COMPARE * port=0 adr=02 act=9FC1057E03BB109527 exp=9FC1057E03BB109527 + 16564.50ns INFO [00016566] * RD COMPARE * port=1 adr=07 act=E45CE88A83821A436C exp=E45CE88A83821A436C + 16564.50ns INFO [00016566] Port=0 RD @05 + 16564.50ns INFO [00016566] Port=1 RD @03 + 16565.50ns INFO [00016567] Port=0 RD @06 + 16566.50ns INFO [00016568] * RD COMPARE * port=0 adr=05 act=402CB3927B00494166 exp=402CB3927B00494166 + 16566.50ns INFO [00016568] * RD COMPARE * port=1 adr=03 act=891F519ED99CD3EC70 exp=891F519ED99CD3EC70 + 16566.50ns INFO [00016568] Port=0 WR @05=EDF6B167E281681716 + 16567.50ns INFO [00016569] * RD COMPARE * port=0 adr=06 act=7373BEA3BA5CF4F655 exp=7373BEA3BA5CF4F655 + 16567.50ns INFO [00016569] Port=0 WR @04=3E4B3BEA5DA2C4F925 + 16567.50ns INFO [00016569] Port=0 RD @02 + 16568.50ns INFO [00016570] Port=0 WR @04=6D7F7E20BCB70AE002 + 16568.50ns INFO [00016570] Port=1 RD @07 + 16569.50ns INFO [00016571] * RD COMPARE * port=0 adr=02 act=9FC1057E03BB109527 exp=9FC1057E03BB109527 + 16570.50ns INFO [00016572] * RD COMPARE * port=1 adr=07 act=E45CE88A83821A436C exp=E45CE88A83821A436C + 16570.50ns INFO [00016572] Port=0 WR @04=9331BAA4FDE83137E7 + 16571.50ns INFO [00016573] Port=0 WR @00=77F531B91120D4018F + 16572.50ns INFO [00016574] Port=0 WR @00=DBE53C5AEBFA0999A2 + 16572.50ns INFO [00016574] Port=1 RD @05 + 16573.50ns INFO [00016575] Port=0 WR @01=61D8B85C691E1A2D25 + 16573.50ns INFO [00016575] Port=1 RD @03 + 16574.50ns INFO [00016576] * RD COMPARE * port=1 adr=05 act=EDF6B167E281681716 exp=EDF6B167E281681716 + 16574.50ns INFO [00016576] Port=0 WR @02=0632D342DD2CC0FE12 + 16574.50ns INFO [00016576] Port=1 RD @04 + 16575.50ns INFO [00016577] * RD COMPARE * port=1 adr=03 act=891F519ED99CD3EC70 exp=891F519ED99CD3EC70 + 16575.50ns INFO [00016577] Port=0 WR @04=2C56325CB589F275CD + 16575.50ns INFO [00016577] Port=0 RD @03 + 16575.50ns INFO [00016577] Port=1 RD @02 + 16576.50ns INFO [00016578] * RD COMPARE * port=1 adr=04 act=9331BAA4FDE83137E7 exp=9331BAA4FDE83137E7 + 16576.50ns INFO [00016578] Port=1 RD @06 + 16577.50ns INFO [00016579] * RD COMPARE * port=0 adr=03 act=891F519ED99CD3EC70 exp=891F519ED99CD3EC70 + 16577.50ns INFO [00016579] * RD COMPARE * port=1 adr=02 act=0632D342DD2CC0FE12 exp=0632D342DD2CC0FE12 + 16577.50ns INFO [00016579] Port=0 RD @05 + 16578.50ns INFO [00016580] * RD COMPARE * port=1 adr=06 act=7373BEA3BA5CF4F655 exp=7373BEA3BA5CF4F655 + 16578.50ns INFO [00016580] Port=0 WR @00=644D3603866F4F7DD5 + 16579.50ns INFO [00016581] * RD COMPARE * port=0 adr=05 act=EDF6B167E281681716 exp=EDF6B167E281681716 + 16579.50ns INFO [00016581] Port=0 WR @00=293F9BF731CA04D80B + 16579.50ns INFO [00016581] Port=0 RD @07 + 16579.50ns INFO [00016581] Port=1 RD @04 + 16580.50ns INFO [00016582] Port=0 RD @06 + 16581.50ns INFO [00016583] * RD COMPARE * port=0 adr=07 act=E45CE88A83821A436C exp=E45CE88A83821A436C + 16581.50ns INFO [00016583] * RD COMPARE * port=1 adr=04 act=2C56325CB589F275CD exp=2C56325CB589F275CD + 16581.50ns INFO [00016583] Port=0 WR @02=07A0FCFCFB16AAA0E7 + 16581.50ns INFO [00016583] Port=0 RD @05 + 16581.50ns INFO [00016583] Port=1 RD @04 + 16582.50ns INFO [00016584] * RD COMPARE * port=0 adr=06 act=7373BEA3BA5CF4F655 exp=7373BEA3BA5CF4F655 + 16582.50ns INFO [00016584] Port=0 WR @07=8D5D2126F2FCAE28DC + 16582.50ns INFO [00016584] Port=0 RD @05 + 16582.50ns INFO [00016584] Port=1 RD @04 + 16583.50ns INFO [00016585] * RD COMPARE * port=0 adr=05 act=EDF6B167E281681716 exp=EDF6B167E281681716 + 16583.50ns INFO [00016585] * RD COMPARE * port=1 adr=04 act=2C56325CB589F275CD exp=2C56325CB589F275CD + 16583.50ns INFO [00016585] Port=0 RD @01 + 16584.50ns INFO [00016586] * RD COMPARE * port=0 adr=05 act=EDF6B167E281681716 exp=EDF6B167E281681716 + 16584.50ns INFO [00016586] * RD COMPARE * port=1 adr=04 act=2C56325CB589F275CD exp=2C56325CB589F275CD + 16584.50ns INFO [00016586] Port=0 RD @05 + 16585.50ns INFO [00016587] * RD COMPARE * port=0 adr=01 act=61D8B85C691E1A2D25 exp=61D8B85C691E1A2D25 + 16585.50ns INFO [00016587] Port=0 WR @06=CD9DA3769C3C38A18F + 16586.50ns INFO [00016588] * RD COMPARE * port=0 adr=05 act=EDF6B167E281681716 exp=EDF6B167E281681716 + 16586.50ns INFO [00016588] Port=1 RD @02 + 16588.50ns INFO [00016590] * RD COMPARE * port=1 adr=02 act=07A0FCFCFB16AAA0E7 exp=07A0FCFCFB16AAA0E7 + 16588.50ns INFO [00016590] Port=0 RD @07 + 16588.50ns INFO [00016590] Port=1 RD @02 + 16589.50ns INFO [00016591] Port=0 WR @07=FC5D80643BCB6BFCFD + 16589.50ns INFO [00016591] Port=0 RD @01 + 16589.50ns INFO [00016591] Port=1 RD @04 + 16590.50ns INFO [00016592] * RD COMPARE * port=0 adr=07 act=8D5D2126F2FCAE28DC exp=8D5D2126F2FCAE28DC + 16590.50ns INFO [00016592] * RD COMPARE * port=1 adr=02 act=07A0FCFCFB16AAA0E7 exp=07A0FCFCFB16AAA0E7 + 16590.50ns INFO [00016592] Port=0 RD @01 + 16590.50ns INFO [00016592] Port=1 RD @03 + 16591.50ns INFO [00016593] * RD COMPARE * port=0 adr=01 act=61D8B85C691E1A2D25 exp=61D8B85C691E1A2D25 + 16591.50ns INFO [00016593] * RD COMPARE * port=1 adr=04 act=2C56325CB589F275CD exp=2C56325CB589F275CD + 16591.50ns INFO [00016593] Port=0 WR @00=99D1BAA9D23BEFD6B1 + 16591.50ns INFO [00016593] Port=1 RD @03 + 16592.50ns INFO [00016594] * RD COMPARE * port=0 adr=01 act=61D8B85C691E1A2D25 exp=61D8B85C691E1A2D25 + 16592.50ns INFO [00016594] * RD COMPARE * port=1 adr=03 act=891F519ED99CD3EC70 exp=891F519ED99CD3EC70 + 16592.50ns INFO [00016594] Port=0 WR @02=1E6A01133FF34F6803 + 16592.50ns INFO [00016594] Port=0 RD @07 + 16593.50ns INFO [00016595] * RD COMPARE * port=1 adr=03 act=891F519ED99CD3EC70 exp=891F519ED99CD3EC70 + 16594.50ns INFO [00016596] * RD COMPARE * port=0 adr=07 act=FC5D80643BCB6BFCFD exp=FC5D80643BCB6BFCFD + 16594.50ns INFO [00016596] Port=0 RD @00 + 16594.50ns INFO [00016596] Port=1 RD @05 + 16595.50ns INFO [00016597] Port=1 RD @02 + 16596.50ns INFO [00016598] * RD COMPARE * port=0 adr=00 act=99D1BAA9D23BEFD6B1 exp=99D1BAA9D23BEFD6B1 + 16596.50ns INFO [00016598] * RD COMPARE * port=1 adr=05 act=EDF6B167E281681716 exp=EDF6B167E281681716 + 16596.50ns INFO [00016598] Port=0 WR @06=4431EF50D719633A51 + 16596.50ns INFO [00016598] Port=0 RD @04 + 16596.50ns INFO [00016598] Port=1 RD @01 + 16597.50ns INFO [00016599] * RD COMPARE * port=1 adr=02 act=1E6A01133FF34F6803 exp=1E6A01133FF34F6803 + 16598.00ns INFO [00016600] [00016600] ...tick... + 16598.50ns INFO [00016600] * RD COMPARE * port=0 adr=04 act=2C56325CB589F275CD exp=2C56325CB589F275CD + 16598.50ns INFO [00016600] * RD COMPARE * port=1 adr=01 act=61D8B85C691E1A2D25 exp=61D8B85C691E1A2D25 + 16598.50ns INFO [00016600] Port=0 RD @05 + 16599.50ns INFO [00016601] Port=0 WR @03=C2C9EFABB328DADA07 + 16600.50ns INFO [00016602] * RD COMPARE * port=0 adr=05 act=EDF6B167E281681716 exp=EDF6B167E281681716 + 16600.50ns INFO [00016602] Port=0 WR @03=267C9B518D1ED1EC58 + 16601.50ns INFO [00016603] Port=0 WR @07=025B2C052C1D0C1CB8 + 16602.50ns INFO [00016604] Port=0 WR @05=6772919EAF0D94916A + 16602.50ns INFO [00016604] Port=1 RD @02 + 16603.50ns INFO [00016605] Port=0 RD @05 + 16603.50ns INFO [00016605] Port=1 RD @07 + 16604.50ns INFO [00016606] * RD COMPARE * port=1 adr=02 act=1E6A01133FF34F6803 exp=1E6A01133FF34F6803 + 16604.50ns INFO [00016606] Port=0 WR @01=F95C8D4CBDF451C4E2 + 16605.50ns INFO [00016607] * RD COMPARE * port=0 adr=05 act=6772919EAF0D94916A exp=6772919EAF0D94916A + 16605.50ns INFO [00016607] * RD COMPARE * port=1 adr=07 act=025B2C052C1D0C1CB8 exp=025B2C052C1D0C1CB8 + 16605.50ns INFO [00016607] Port=0 RD @04 + 16606.50ns INFO [00016608] Port=0 WR @00=8375CAC60976029D9E + 16606.50ns INFO [00016608] Port=0 RD @02 + 16606.50ns INFO [00016608] Port=1 RD @07 + 16607.50ns INFO [00016609] * RD COMPARE * port=0 adr=04 act=2C56325CB589F275CD exp=2C56325CB589F275CD + 16607.50ns INFO [00016609] Port=1 RD @06 + 16608.50ns INFO [00016610] * RD COMPARE * port=0 adr=02 act=1E6A01133FF34F6803 exp=1E6A01133FF34F6803 + 16608.50ns INFO [00016610] * RD COMPARE * port=1 adr=07 act=025B2C052C1D0C1CB8 exp=025B2C052C1D0C1CB8 + 16609.50ns INFO [00016611] * RD COMPARE * port=1 adr=06 act=4431EF50D719633A51 exp=4431EF50D719633A51 + 16609.50ns INFO [00016611] Port=0 WR @05=D2BBEE18EB1B0B397C + 16609.50ns INFO [00016611] Port=1 RD @00 + 16610.50ns INFO [00016612] Port=1 RD @04 + 16611.50ns INFO [00016613] * RD COMPARE * port=1 adr=00 act=8375CAC60976029D9E exp=8375CAC60976029D9E + 16612.50ns INFO [00016614] * RD COMPARE * port=1 adr=04 act=2C56325CB589F275CD exp=2C56325CB589F275CD + 16613.50ns INFO [00016615] Port=0 RD @07 + 16613.50ns INFO [00016615] Port=1 RD @05 + 16614.50ns INFO [00016616] Port=0 WR @01=6091E7E386DE87C74B + 16615.50ns INFO [00016617] * RD COMPARE * port=0 adr=07 act=025B2C052C1D0C1CB8 exp=025B2C052C1D0C1CB8 + 16615.50ns INFO [00016617] * RD COMPARE * port=1 adr=05 act=D2BBEE18EB1B0B397C exp=D2BBEE18EB1B0B397C + 16615.50ns INFO [00016617] Port=0 RD @04 + 16615.50ns INFO [00016617] Port=1 RD @00 + 16616.50ns INFO [00016618] Port=0 WR @07=C472606946C38D54C6 + 16616.50ns INFO [00016618] Port=0 RD @05 + 16616.50ns INFO [00016618] Port=1 RD @02 + 16617.50ns INFO [00016619] * RD COMPARE * port=0 adr=04 act=2C56325CB589F275CD exp=2C56325CB589F275CD + 16617.50ns INFO [00016619] * RD COMPARE * port=1 adr=00 act=8375CAC60976029D9E exp=8375CAC60976029D9E + 16617.50ns INFO [00016619] Port=0 WR @07=A45F3D8983C135D54F + 16617.50ns INFO [00016619] Port=0 RD @02 + 16618.50ns INFO [00016620] * RD COMPARE * port=0 adr=05 act=D2BBEE18EB1B0B397C exp=D2BBEE18EB1B0B397C + 16618.50ns INFO [00016620] * RD COMPARE * port=1 adr=02 act=1E6A01133FF34F6803 exp=1E6A01133FF34F6803 + 16618.50ns INFO [00016620] Port=1 RD @02 + 16619.50ns INFO [00016621] * RD COMPARE * port=0 adr=02 act=1E6A01133FF34F6803 exp=1E6A01133FF34F6803 + 16619.50ns INFO [00016621] Port=0 WR @03=B171CDF9D0EC926886 + 16619.50ns INFO [00016621] Port=0 RD @06 + 16619.50ns INFO [00016621] Port=1 RD @06 + 16620.50ns INFO [00016622] * RD COMPARE * port=1 adr=02 act=1E6A01133FF34F6803 exp=1E6A01133FF34F6803 + 16620.50ns INFO [00016622] Port=1 RD @03 + 16621.50ns INFO [00016623] * RD COMPARE * port=0 adr=06 act=4431EF50D719633A51 exp=4431EF50D719633A51 + 16621.50ns INFO [00016623] * RD COMPARE * port=1 adr=06 act=4431EF50D719633A51 exp=4431EF50D719633A51 + 16621.50ns INFO [00016623] Port=0 RD @06 + 16622.50ns INFO [00016624] * RD COMPARE * port=1 adr=03 act=B171CDF9D0EC926886 exp=B171CDF9D0EC926886 + 16622.50ns INFO [00016624] Port=0 WR @04=5A2201E0899D60AF11 + 16622.50ns INFO [00016624] Port=0 RD @01 + 16622.50ns INFO [00016624] Port=1 RD @02 + 16623.50ns INFO [00016625] * RD COMPARE * port=0 adr=06 act=4431EF50D719633A51 exp=4431EF50D719633A51 + 16623.50ns INFO [00016625] Port=0 WR @02=C239C3CAD54D3F9E4D + 16623.50ns INFO [00016625] Port=0 RD @03 + 16624.50ns INFO [00016626] * RD COMPARE * port=0 adr=01 act=6091E7E386DE87C74B exp=6091E7E386DE87C74B + 16624.50ns INFO [00016626] * RD COMPARE * port=1 adr=02 act=1E6A01133FF34F6803 exp=1E6A01133FF34F6803 + 16624.50ns INFO [00016626] Port=1 RD @06 + 16625.50ns INFO [00016627] * RD COMPARE * port=0 adr=03 act=B171CDF9D0EC926886 exp=B171CDF9D0EC926886 + 16625.50ns INFO [00016627] Port=0 RD @01 + 16626.50ns INFO [00016628] * RD COMPARE * port=1 adr=06 act=4431EF50D719633A51 exp=4431EF50D719633A51 + 16626.50ns INFO [00016628] Port=0 WR @06=34B869ADDC21091022 + 16626.50ns INFO [00016628] Port=1 RD @07 + 16627.50ns INFO [00016629] * RD COMPARE * port=0 adr=01 act=6091E7E386DE87C74B exp=6091E7E386DE87C74B + 16627.50ns INFO [00016629] Port=0 WR @06=3DE1F37D550DCC6092 + 16627.50ns INFO [00016629] Port=1 RD @01 + 16628.50ns INFO [00016630] * RD COMPARE * port=1 adr=07 act=A45F3D8983C135D54F exp=A45F3D8983C135D54F + 16628.50ns INFO [00016630] Port=0 WR @06=E207F3180DF5FEB60F + 16629.50ns INFO [00016631] * RD COMPARE * port=1 adr=01 act=6091E7E386DE87C74B exp=6091E7E386DE87C74B + 16629.50ns INFO [00016631] Port=0 RD @01 + 16631.50ns INFO [00016633] * RD COMPARE * port=0 adr=01 act=6091E7E386DE87C74B exp=6091E7E386DE87C74B + 16632.50ns INFO [00016634] Port=1 RD @03 + 16633.50ns INFO [00016635] Port=0 WR @05=3CC59D2D2FA1811395 + 16633.50ns INFO [00016635] Port=0 RD @02 + 16634.50ns INFO [00016636] * RD COMPARE * port=1 adr=03 act=B171CDF9D0EC926886 exp=B171CDF9D0EC926886 + 16634.50ns INFO [00016636] Port=0 RD @05 + 16635.50ns INFO [00016637] * RD COMPARE * port=0 adr=02 act=C239C3CAD54D3F9E4D exp=C239C3CAD54D3F9E4D + 16636.50ns INFO [00016638] * RD COMPARE * port=0 adr=05 act=3CC59D2D2FA1811395 exp=3CC59D2D2FA1811395 + 16636.50ns INFO [00016638] Port=0 RD @07 + 16637.50ns INFO [00016639] Port=0 RD @03 + 16638.50ns INFO [00016640] * RD COMPARE * port=0 adr=07 act=A45F3D8983C135D54F exp=A45F3D8983C135D54F + 16639.50ns INFO [00016641] * RD COMPARE * port=0 adr=03 act=B171CDF9D0EC926886 exp=B171CDF9D0EC926886 + 16639.50ns INFO [00016641] Port=1 RD @05 + 16640.50ns INFO [00016642] Port=0 RD @03 + 16640.50ns INFO [00016642] Port=1 RD @01 + 16641.50ns INFO [00016643] * RD COMPARE * port=1 adr=05 act=3CC59D2D2FA1811395 exp=3CC59D2D2FA1811395 + 16641.50ns INFO [00016643] Port=1 RD @06 + 16642.50ns INFO [00016644] * RD COMPARE * port=0 adr=03 act=B171CDF9D0EC926886 exp=B171CDF9D0EC926886 + 16642.50ns INFO [00016644] * RD COMPARE * port=1 adr=01 act=6091E7E386DE87C74B exp=6091E7E386DE87C74B + 16642.50ns INFO [00016644] Port=0 RD @06 + 16643.50ns INFO [00016645] * RD COMPARE * port=1 adr=06 act=E207F3180DF5FEB60F exp=E207F3180DF5FEB60F + 16643.50ns INFO [00016645] Port=0 WR @05=50E0576259B37CD786 + 16643.50ns INFO [00016645] Port=0 RD @07 + 16644.50ns INFO [00016646] * RD COMPARE * port=0 adr=06 act=E207F3180DF5FEB60F exp=E207F3180DF5FEB60F + 16645.50ns INFO [00016647] * RD COMPARE * port=0 adr=07 act=A45F3D8983C135D54F exp=A45F3D8983C135D54F + 16645.50ns INFO [00016647] Port=0 WR @01=BDC5446BCBC58B5ABD + 16646.50ns INFO [00016648] Port=0 RD @00 + 16648.50ns INFO [00016650] * RD COMPARE * port=0 adr=00 act=8375CAC60976029D9E exp=8375CAC60976029D9E + 16648.50ns INFO [00016650] Port=0 WR @01=A99335F71F6591B10A + 16648.50ns INFO [00016650] Port=0 RD @06 + 16649.50ns INFO [00016651] Port=0 WR @00=A4EF645F6EEA20D048 + 16649.50ns INFO [00016651] Port=1 RD @05 + 16650.50ns INFO [00016652] * RD COMPARE * port=0 adr=06 act=E207F3180DF5FEB60F exp=E207F3180DF5FEB60F + 16650.50ns INFO [00016652] Port=0 WR @02=96F21EE963FB71DAA7 + 16650.50ns INFO [00016652] Port=0 RD @00 + 16651.50ns INFO [00016653] * RD COMPARE * port=1 adr=05 act=50E0576259B37CD786 exp=50E0576259B37CD786 + 16651.50ns INFO [00016653] Port=0 RD @02 + 16652.50ns INFO [00016654] * RD COMPARE * port=0 adr=00 act=A4EF645F6EEA20D048 exp=A4EF645F6EEA20D048 + 16653.50ns INFO [00016655] * RD COMPARE * port=0 adr=02 act=96F21EE963FB71DAA7 exp=96F21EE963FB71DAA7 + 16653.50ns INFO [00016655] Port=0 WR @03=6B731E394E0B0BD9D5 + 16654.50ns INFO [00016656] Port=0 WR @07=1545DEC944C37A1864 + 16656.50ns INFO [00016658] Port=0 WR @04=2B97BFCA74037EEB9B + 16657.50ns INFO [00016659] Port=0 RD @04 + 16658.50ns INFO [00016660] Port=1 RD @02 + 16659.50ns INFO [00016661] * RD COMPARE * port=0 adr=04 act=2B97BFCA74037EEB9B exp=2B97BFCA74037EEB9B + 16659.50ns INFO [00016661] Port=0 RD @02 + 16660.50ns INFO [00016662] * RD COMPARE * port=1 adr=02 act=96F21EE963FB71DAA7 exp=96F21EE963FB71DAA7 + 16660.50ns INFO [00016662] Port=0 WR @01=9779D1EA4159F94489 + 16660.50ns INFO [00016662] Port=0 RD @04 + 16661.50ns INFO [00016663] * RD COMPARE * port=0 adr=02 act=96F21EE963FB71DAA7 exp=96F21EE963FB71DAA7 + 16661.50ns INFO [00016663] Port=0 RD @06 + 16662.50ns INFO [00016664] * RD COMPARE * port=0 adr=04 act=2B97BFCA74037EEB9B exp=2B97BFCA74037EEB9B + 16662.50ns INFO [00016664] Port=1 RD @03 + 16663.50ns INFO [00016665] * RD COMPARE * port=0 adr=06 act=E207F3180DF5FEB60F exp=E207F3180DF5FEB60F + 16663.50ns INFO [00016665] Port=0 WR @02=4EFCCAE4242A736812 + 16664.50ns INFO [00016666] * RD COMPARE * port=1 adr=03 act=6B731E394E0B0BD9D5 exp=6B731E394E0B0BD9D5 + 16664.50ns INFO [00016666] Port=0 RD @01 + 16664.50ns INFO [00016666] Port=1 RD @04 + 16665.50ns INFO [00016667] Port=0 RD @07 + 16665.50ns INFO [00016667] Port=1 RD @02 + 16666.50ns INFO [00016668] * RD COMPARE * port=0 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16666.50ns INFO [00016668] * RD COMPARE * port=1 adr=04 act=2B97BFCA74037EEB9B exp=2B97BFCA74037EEB9B + 16666.50ns INFO [00016668] Port=1 RD @04 + 16667.50ns INFO [00016669] * RD COMPARE * port=0 adr=07 act=1545DEC944C37A1864 exp=1545DEC944C37A1864 + 16667.50ns INFO [00016669] * RD COMPARE * port=1 adr=02 act=4EFCCAE4242A736812 exp=4EFCCAE4242A736812 + 16667.50ns INFO [00016669] Port=0 WR @06=7AF5E000DEFFE2AC7C + 16667.50ns INFO [00016669] Port=0 RD @04 + 16667.50ns INFO [00016669] Port=1 RD @01 + 16668.50ns INFO [00016670] * RD COMPARE * port=1 adr=04 act=2B97BFCA74037EEB9B exp=2B97BFCA74037EEB9B + 16668.50ns INFO [00016670] Port=0 RD @07 + 16669.50ns INFO [00016671] * RD COMPARE * port=0 adr=04 act=2B97BFCA74037EEB9B exp=2B97BFCA74037EEB9B + 16669.50ns INFO [00016671] * RD COMPARE * port=1 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16670.50ns INFO [00016672] * RD COMPARE * port=0 adr=07 act=1545DEC944C37A1864 exp=1545DEC944C37A1864 + 16670.50ns INFO [00016672] Port=0 WR @04=E03733F8A2B62C4EBD + 16670.50ns INFO [00016672] Port=0 RD @06 + 16671.50ns INFO [00016673] Port=0 WR @04=FFFF68D8CEA51AB087 + 16671.50ns INFO [00016673] Port=0 RD @01 + 16672.50ns INFO [00016674] * RD COMPARE * port=0 adr=06 act=7AF5E000DEFFE2AC7C exp=7AF5E000DEFFE2AC7C + 16672.50ns INFO [00016674] Port=0 WR @03=B5CAF330D73E9942C6 + 16673.50ns INFO [00016675] * RD COMPARE * port=0 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16673.50ns INFO [00016675] Port=0 RD @02 + 16674.50ns INFO [00016676] Port=0 RD @04 + 16674.50ns INFO [00016676] Port=1 RD @05 + 16675.50ns INFO [00016677] * RD COMPARE * port=0 adr=02 act=4EFCCAE4242A736812 exp=4EFCCAE4242A736812 + 16675.50ns INFO [00016677] Port=1 RD @00 + 16676.50ns INFO [00016678] * RD COMPARE * port=0 adr=04 act=FFFF68D8CEA51AB087 exp=FFFF68D8CEA51AB087 + 16676.50ns INFO [00016678] * RD COMPARE * port=1 adr=05 act=50E0576259B37CD786 exp=50E0576259B37CD786 + 16676.50ns INFO [00016678] Port=0 RD @04 + 16677.50ns INFO [00016679] * RD COMPARE * port=1 adr=00 act=A4EF645F6EEA20D048 exp=A4EF645F6EEA20D048 + 16677.50ns INFO [00016679] Port=1 RD @05 + 16678.50ns INFO [00016680] * RD COMPARE * port=0 adr=04 act=FFFF68D8CEA51AB087 exp=FFFF68D8CEA51AB087 + 16678.50ns INFO [00016680] Port=0 RD @05 + 16679.50ns INFO [00016681] * RD COMPARE * port=1 adr=05 act=50E0576259B37CD786 exp=50E0576259B37CD786 + 16679.50ns INFO [00016681] Port=1 RD @02 + 16680.50ns INFO [00016682] * RD COMPARE * port=0 adr=05 act=50E0576259B37CD786 exp=50E0576259B37CD786 + 16681.50ns INFO [00016683] * RD COMPARE * port=1 adr=02 act=4EFCCAE4242A736812 exp=4EFCCAE4242A736812 + 16681.50ns INFO [00016683] Port=0 RD @07 + 16683.50ns INFO [00016685] * RD COMPARE * port=0 adr=07 act=1545DEC944C37A1864 exp=1545DEC944C37A1864 + 16683.50ns INFO [00016685] Port=0 RD @01 + 16684.50ns INFO [00016686] Port=0 WR @03=8C38B8393146CF2708 + 16685.50ns INFO [00016687] * RD COMPARE * port=0 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16685.50ns INFO [00016687] Port=0 WR @02=CCC460B4A32DF350D0 + 16685.50ns INFO [00016687] Port=0 RD @00 + 16686.50ns INFO [00016688] Port=0 WR @00=CFB88F8055541DEB12 + 16687.50ns INFO [00016689] * RD COMPARE * port=0 adr=00 act=A4EF645F6EEA20D048 exp=A4EF645F6EEA20D048 + 16687.50ns INFO [00016689] Port=0 WR @00=2FA15D82AA487D24B2 + 16687.50ns INFO [00016689] Port=1 RD @02 + 16688.50ns INFO [00016690] Port=0 WR @06=057E2E7AD66A23B3F4 + 16688.50ns INFO [00016690] Port=0 RD @00 + 16689.50ns INFO [00016691] * RD COMPARE * port=1 adr=02 act=CCC460B4A32DF350D0 exp=CCC460B4A32DF350D0 + 16689.50ns INFO [00016691] Port=0 RD @02 + 16689.50ns INFO [00016691] Port=1 RD @00 + 16690.50ns INFO [00016692] * RD COMPARE * port=0 adr=00 act=2FA15D82AA487D24B2 exp=2FA15D82AA487D24B2 + 16690.50ns INFO [00016692] Port=1 RD @06 + 16691.50ns INFO [00016693] * RD COMPARE * port=0 adr=02 act=CCC460B4A32DF350D0 exp=CCC460B4A32DF350D0 + 16691.50ns INFO [00016693] * RD COMPARE * port=1 adr=00 act=2FA15D82AA487D24B2 exp=2FA15D82AA487D24B2 + 16692.50ns INFO [00016694] * RD COMPARE * port=1 adr=06 act=057E2E7AD66A23B3F4 exp=057E2E7AD66A23B3F4 + 16693.50ns INFO [00016695] Port=1 RD @06 + 16694.50ns INFO [00016696] Port=0 WR @03=5D8C3AE5662AFD3626 + 16694.50ns INFO [00016696] Port=0 RD @04 + 16694.50ns INFO [00016696] Port=1 RD @00 + 16695.50ns INFO [00016697] * RD COMPARE * port=1 adr=06 act=057E2E7AD66A23B3F4 exp=057E2E7AD66A23B3F4 + 16696.50ns INFO [00016698] * RD COMPARE * port=0 adr=04 act=FFFF68D8CEA51AB087 exp=FFFF68D8CEA51AB087 + 16696.50ns INFO [00016698] * RD COMPARE * port=1 adr=00 act=2FA15D82AA487D24B2 exp=2FA15D82AA487D24B2 + 16696.50ns INFO [00016698] Port=0 WR @07=F8246077707E5F0ECE + 16697.50ns INFO [00016699] Port=0 WR @05=361BC0E955DC5F2B21 + 16697.50ns INFO [00016699] Port=1 RD @04 + 16698.00ns INFO [00016700] [00016700] ...tick... + 16698.50ns INFO [00016700] Port=0 RD @05 + 16698.50ns INFO [00016700] Port=1 RD @07 + 16699.50ns INFO [00016701] * RD COMPARE * port=1 adr=04 act=FFFF68D8CEA51AB087 exp=FFFF68D8CEA51AB087 + 16699.50ns INFO [00016701] Port=0 RD @01 + 16699.50ns INFO [00016701] Port=1 RD @05 + 16700.50ns INFO [00016702] * RD COMPARE * port=0 adr=05 act=361BC0E955DC5F2B21 exp=361BC0E955DC5F2B21 + 16700.50ns INFO [00016702] * RD COMPARE * port=1 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16700.50ns INFO [00016702] Port=0 WR @03=EBF5BB770FD1447698 + 16701.50ns INFO [00016703] * RD COMPARE * port=0 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16701.50ns INFO [00016703] * RD COMPARE * port=1 adr=05 act=361BC0E955DC5F2B21 exp=361BC0E955DC5F2B21 + 16703.50ns INFO [00016705] Port=0 RD @03 + 16704.50ns INFO [00016706] Port=1 RD @05 + 16705.50ns INFO [00016707] * RD COMPARE * port=0 adr=03 act=EBF5BB770FD1447698 exp=EBF5BB770FD1447698 + 16705.50ns INFO [00016707] Port=0 RD @01 + 16706.50ns INFO [00016708] * RD COMPARE * port=1 adr=05 act=361BC0E955DC5F2B21 exp=361BC0E955DC5F2B21 + 16706.50ns INFO [00016708] Port=0 WR @05=A08460D84959B11990 + 16707.50ns INFO [00016709] * RD COMPARE * port=0 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16707.50ns INFO [00016709] Port=0 RD @04 + 16708.50ns INFO [00016710] Port=1 RD @03 + 16709.50ns INFO [00016711] * RD COMPARE * port=0 adr=04 act=FFFF68D8CEA51AB087 exp=FFFF68D8CEA51AB087 + 16710.50ns INFO [00016712] * RD COMPARE * port=1 adr=03 act=EBF5BB770FD1447698 exp=EBF5BB770FD1447698 + 16710.50ns INFO [00016712] Port=0 WR @06=2CF496F63C4CD5A059 + 16711.50ns INFO [00016713] Port=0 RD @05 + 16711.50ns INFO [00016713] Port=1 RD @07 + 16712.50ns INFO [00016714] Port=0 WR @02=2AE3DA4F4AD1A0DD54 + 16712.50ns INFO [00016714] Port=1 RD @06 + 16713.50ns INFO [00016715] * RD COMPARE * port=0 adr=05 act=A08460D84959B11990 exp=A08460D84959B11990 + 16713.50ns INFO [00016715] * RD COMPARE * port=1 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16713.50ns INFO [00016715] Port=0 WR @00=A7D43BB076400CAAF3 + 16713.50ns INFO [00016715] Port=1 RD @01 + 16714.50ns INFO [00016716] * RD COMPARE * port=1 adr=06 act=2CF496F63C4CD5A059 exp=2CF496F63C4CD5A059 + 16714.50ns INFO [00016716] Port=1 RD @05 + 16715.50ns INFO [00016717] * RD COMPARE * port=1 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16715.50ns INFO [00016717] Port=1 RD @07 + 16716.50ns INFO [00016718] * RD COMPARE * port=1 adr=05 act=A08460D84959B11990 exp=A08460D84959B11990 + 16716.50ns INFO [00016718] Port=0 WR @05=0A02C3188C8129E183 + 16716.50ns INFO [00016718] Port=0 RD @06 + 16716.50ns INFO [00016718] Port=1 RD @01 + 16717.50ns INFO [00016719] * RD COMPARE * port=1 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16717.50ns INFO [00016719] Port=1 RD @03 + 16718.50ns INFO [00016720] * RD COMPARE * port=0 adr=06 act=2CF496F63C4CD5A059 exp=2CF496F63C4CD5A059 + 16718.50ns INFO [00016720] * RD COMPARE * port=1 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16719.50ns INFO [00016721] * RD COMPARE * port=1 adr=03 act=EBF5BB770FD1447698 exp=EBF5BB770FD1447698 + 16719.50ns INFO [00016721] Port=0 WR @00=13F566B4D91E5B72D6 + 16719.50ns INFO [00016721] Port=0 RD @05 + 16719.50ns INFO [00016721] Port=1 RD @02 + 16720.50ns INFO [00016722] Port=0 WR @03=BE70BF1D2863A0C828 + 16720.50ns INFO [00016722] Port=0 RD @05 + 16721.50ns INFO [00016723] * RD COMPARE * port=0 adr=05 act=0A02C3188C8129E183 exp=0A02C3188C8129E183 + 16721.50ns INFO [00016723] * RD COMPARE * port=1 adr=02 act=2AE3DA4F4AD1A0DD54 exp=2AE3DA4F4AD1A0DD54 + 16721.50ns INFO [00016723] Port=0 RD @07 + 16722.50ns INFO [00016724] * RD COMPARE * port=0 adr=05 act=0A02C3188C8129E183 exp=0A02C3188C8129E183 + 16722.50ns INFO [00016724] Port=0 RD @03 + 16722.50ns INFO [00016724] Port=1 RD @01 + 16723.50ns INFO [00016725] * RD COMPARE * port=0 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16723.50ns INFO [00016725] Port=0 WR @05=8DD2D3054491F706FE + 16723.50ns INFO [00016725] Port=0 RD @01 + 16724.50ns INFO [00016726] * RD COMPARE * port=0 adr=03 act=BE70BF1D2863A0C828 exp=BE70BF1D2863A0C828 + 16724.50ns INFO [00016726] * RD COMPARE * port=1 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16724.50ns INFO [00016726] Port=0 WR @05=F72B1477FF9AF90180 + 16724.50ns INFO [00016726] Port=0 RD @04 + 16724.50ns INFO [00016726] Port=1 RD @02 + 16725.50ns INFO [00016727] * RD COMPARE * port=0 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16725.50ns INFO [00016727] Port=1 RD @04 + 16726.50ns INFO [00016728] * RD COMPARE * port=0 adr=04 act=FFFF68D8CEA51AB087 exp=FFFF68D8CEA51AB087 + 16726.50ns INFO [00016728] * RD COMPARE * port=1 adr=02 act=2AE3DA4F4AD1A0DD54 exp=2AE3DA4F4AD1A0DD54 + 16726.50ns INFO [00016728] Port=0 RD @01 + 16727.50ns INFO [00016729] * RD COMPARE * port=1 adr=04 act=FFFF68D8CEA51AB087 exp=FFFF68D8CEA51AB087 + 16727.50ns INFO [00016729] Port=1 RD @07 + 16728.50ns INFO [00016730] * RD COMPARE * port=0 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16728.50ns INFO [00016730] Port=0 RD @02 + 16728.50ns INFO [00016730] Port=1 RD @06 + 16729.50ns INFO [00016731] * RD COMPARE * port=1 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16729.50ns INFO [00016731] Port=0 RD @00 + 16730.50ns INFO [00016732] * RD COMPARE * port=0 adr=02 act=2AE3DA4F4AD1A0DD54 exp=2AE3DA4F4AD1A0DD54 + 16730.50ns INFO [00016732] * RD COMPARE * port=1 adr=06 act=2CF496F63C4CD5A059 exp=2CF496F63C4CD5A059 + 16730.50ns INFO [00016732] Port=0 RD @01 + 16731.50ns INFO [00016733] * RD COMPARE * port=0 adr=00 act=13F566B4D91E5B72D6 exp=13F566B4D91E5B72D6 + 16731.50ns INFO [00016733] Port=0 WR @05=21D22DFCFB2D4B1E05 + 16732.50ns INFO [00016734] * RD COMPARE * port=0 adr=01 act=9779D1EA4159F94489 exp=9779D1EA4159F94489 + 16734.50ns INFO [00016736] Port=0 WR @03=B86D071CCA5705D8C5 + 16734.50ns INFO [00016736] Port=1 RD @05 + 16736.50ns INFO [00016738] * RD COMPARE * port=1 adr=05 act=21D22DFCFB2D4B1E05 exp=21D22DFCFB2D4B1E05 + 16736.50ns INFO [00016738] Port=0 WR @01=1C0B3B0B960DB88044 + 16736.50ns INFO [00016738] Port=0 RD @05 + 16737.50ns INFO [00016739] Port=0 WR @02=23C0BEE721B958FB1C + 16737.50ns INFO [00016739] Port=1 RD @06 + 16738.50ns INFO [00016740] * RD COMPARE * port=0 adr=05 act=21D22DFCFB2D4B1E05 exp=21D22DFCFB2D4B1E05 + 16738.50ns INFO [00016740] Port=0 WR @00=61E91A01D756FAEA62 + 16738.50ns INFO [00016740] Port=0 RD @07 + 16739.50ns INFO [00016741] * RD COMPARE * port=1 adr=06 act=2CF496F63C4CD5A059 exp=2CF496F63C4CD5A059 + 16740.50ns INFO [00016742] * RD COMPARE * port=0 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16740.50ns INFO [00016742] Port=0 RD @00 + 16740.50ns INFO [00016742] Port=1 RD @06 + 16741.50ns INFO [00016743] Port=0 RD @07 + 16741.50ns INFO [00016743] Port=1 RD @06 + 16742.50ns INFO [00016744] * RD COMPARE * port=0 adr=00 act=61E91A01D756FAEA62 exp=61E91A01D756FAEA62 + 16742.50ns INFO [00016744] * RD COMPARE * port=1 adr=06 act=2CF496F63C4CD5A059 exp=2CF496F63C4CD5A059 + 16742.50ns INFO [00016744] Port=1 RD @01 + 16743.50ns INFO [00016745] * RD COMPARE * port=0 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16743.50ns INFO [00016745] * RD COMPARE * port=1 adr=06 act=2CF496F63C4CD5A059 exp=2CF496F63C4CD5A059 + 16743.50ns INFO [00016745] Port=0 WR @01=7E8DE622978FF96FE9 + 16744.50ns INFO [00016746] * RD COMPARE * port=1 adr=01 act=1C0B3B0B960DB88044 exp=1C0B3B0B960DB88044 + 16745.50ns INFO [00016747] Port=0 RD @01 + 16747.50ns INFO [00016749] * RD COMPARE * port=0 adr=01 act=7E8DE622978FF96FE9 exp=7E8DE622978FF96FE9 + 16748.50ns INFO [00016750] Port=0 WR @03=CB6F07325AC9BFB4B0 + 16748.50ns INFO [00016750] Port=0 RD @01 + 16749.50ns INFO [00016751] Port=0 WR @02=72D53982EB42BA1149 + 16749.50ns INFO [00016751] Port=1 RD @00 + 16750.50ns INFO [00016752] * RD COMPARE * port=0 adr=01 act=7E8DE622978FF96FE9 exp=7E8DE622978FF96FE9 + 16751.50ns INFO [00016753] * RD COMPARE * port=1 adr=00 act=61E91A01D756FAEA62 exp=61E91A01D756FAEA62 + 16752.50ns INFO [00016754] Port=0 WR @04=47687BDE7B9878A125 + 16752.50ns INFO [00016754] Port=1 RD @03 + 16753.50ns INFO [00016755] Port=1 RD @01 + 16754.50ns INFO [00016756] * RD COMPARE * port=1 adr=03 act=CB6F07325AC9BFB4B0 exp=CB6F07325AC9BFB4B0 + 16754.50ns INFO [00016756] Port=0 RD @07 + 16754.50ns INFO [00016756] Port=1 RD @07 + 16755.50ns INFO [00016757] * RD COMPARE * port=1 adr=01 act=7E8DE622978FF96FE9 exp=7E8DE622978FF96FE9 + 16755.50ns INFO [00016757] Port=0 RD @02 + 16756.50ns INFO [00016758] * RD COMPARE * port=0 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16756.50ns INFO [00016758] * RD COMPARE * port=1 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16757.50ns INFO [00016759] * RD COMPARE * port=0 adr=02 act=72D53982EB42BA1149 exp=72D53982EB42BA1149 + 16757.50ns INFO [00016759] Port=0 WR @00=5ADEC46C778857D528 + 16759.50ns INFO [00016761] Port=0 WR @02=3A6F90F0A3D2DF115F + 16759.50ns INFO [00016761] Port=1 RD @06 + 16760.50ns INFO [00016762] Port=0 RD @05 + 16761.50ns INFO [00016763] * RD COMPARE * port=1 adr=06 act=2CF496F63C4CD5A059 exp=2CF496F63C4CD5A059 + 16762.50ns INFO [00016764] * RD COMPARE * port=0 adr=05 act=21D22DFCFB2D4B1E05 exp=21D22DFCFB2D4B1E05 + 16762.50ns INFO [00016764] Port=0 WR @01=BE12570539061921E4 + 16762.50ns INFO [00016764] Port=0 RD @00 + 16762.50ns INFO [00016764] Port=1 RD @04 + 16763.50ns INFO [00016765] Port=1 RD @01 + 16764.50ns INFO [00016766] * RD COMPARE * port=0 adr=00 act=5ADEC46C778857D528 exp=5ADEC46C778857D528 + 16764.50ns INFO [00016766] * RD COMPARE * port=1 adr=04 act=47687BDE7B9878A125 exp=47687BDE7B9878A125 + 16764.50ns INFO [00016766] Port=0 RD @01 + 16764.50ns INFO [00016766] Port=1 RD @01 + 16765.50ns INFO [00016767] * RD COMPARE * port=1 adr=01 act=BE12570539061921E4 exp=BE12570539061921E4 + 16765.50ns INFO [00016767] Port=0 RD @02 + 16765.50ns INFO [00016767] Port=1 RD @05 + 16766.50ns INFO [00016768] * RD COMPARE * port=0 adr=01 act=BE12570539061921E4 exp=BE12570539061921E4 + 16766.50ns INFO [00016768] * RD COMPARE * port=1 adr=01 act=BE12570539061921E4 exp=BE12570539061921E4 + 16767.50ns INFO [00016769] * RD COMPARE * port=0 adr=02 act=3A6F90F0A3D2DF115F exp=3A6F90F0A3D2DF115F + 16767.50ns INFO [00016769] * RD COMPARE * port=1 adr=05 act=21D22DFCFB2D4B1E05 exp=21D22DFCFB2D4B1E05 + 16767.50ns INFO [00016769] Port=1 RD @01 + 16768.50ns INFO [00016770] Port=0 WR @03=7FB90CE3D78D8BC757 + 16769.50ns INFO [00016771] * RD COMPARE * port=1 adr=01 act=BE12570539061921E4 exp=BE12570539061921E4 + 16769.50ns INFO [00016771] Port=0 RD @01 + 16769.50ns INFO [00016771] Port=1 RD @03 + 16770.50ns INFO [00016772] Port=0 WR @00=1358C20D0A4739F43C + 16770.50ns INFO [00016772] Port=1 RD @05 + 16771.50ns INFO [00016773] * RD COMPARE * port=0 adr=01 act=BE12570539061921E4 exp=BE12570539061921E4 + 16771.50ns INFO [00016773] * RD COMPARE * port=1 adr=03 act=7FB90CE3D78D8BC757 exp=7FB90CE3D78D8BC757 + 16771.50ns INFO [00016773] Port=1 RD @07 + 16772.50ns INFO [00016774] * RD COMPARE * port=1 adr=05 act=21D22DFCFB2D4B1E05 exp=21D22DFCFB2D4B1E05 + 16772.50ns INFO [00016774] Port=1 RD @01 + 16773.50ns INFO [00016775] * RD COMPARE * port=1 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16774.50ns INFO [00016776] * RD COMPARE * port=1 adr=01 act=BE12570539061921E4 exp=BE12570539061921E4 + 16774.50ns INFO [00016776] Port=1 RD @07 + 16775.50ns INFO [00016777] Port=0 WR @01=E1C4B54E5EB3A3C678 + 16776.50ns INFO [00016778] * RD COMPARE * port=1 adr=07 act=F8246077707E5F0ECE exp=F8246077707E5F0ECE + 16776.50ns INFO [00016778] Port=0 WR @07=1E413943FD3811DEB4 + 16776.50ns INFO [00016778] Port=0 RD @00 + 16776.50ns INFO [00016778] Port=1 RD @05 + 16777.50ns INFO [00016779] Port=0 WR @03=3FD382BFD3A1A5C3F4 + 16778.50ns INFO [00016780] * RD COMPARE * port=0 adr=00 act=1358C20D0A4739F43C exp=1358C20D0A4739F43C + 16778.50ns INFO [00016780] * RD COMPARE * port=1 adr=05 act=21D22DFCFB2D4B1E05 exp=21D22DFCFB2D4B1E05 + 16778.50ns INFO [00016780] Port=1 RD @06 + 16779.50ns INFO [00016781] Port=0 WR @05=A2F4F0F984FA75698E + 16779.50ns INFO [00016781] Port=0 RD @07 + 16780.50ns INFO [00016782] * RD COMPARE * port=1 adr=06 act=2CF496F63C4CD5A059 exp=2CF496F63C4CD5A059 + 16781.50ns INFO [00016783] * RD COMPARE * port=0 adr=07 act=1E413943FD3811DEB4 exp=1E413943FD3811DEB4 + 16781.50ns INFO [00016783] Port=0 RD @00 + 16781.50ns INFO [00016783] Port=1 RD @03 + 16783.50ns INFO [00016785] * RD COMPARE * port=0 adr=00 act=1358C20D0A4739F43C exp=1358C20D0A4739F43C + 16783.50ns INFO [00016785] * RD COMPARE * port=1 adr=03 act=3FD382BFD3A1A5C3F4 exp=3FD382BFD3A1A5C3F4 + 16783.50ns INFO [00016785] Port=1 RD @06 + 16785.50ns INFO [00016787] * RD COMPARE * port=1 adr=06 act=2CF496F63C4CD5A059 exp=2CF496F63C4CD5A059 + 16786.50ns INFO [00016788] Port=0 WR @05=9CD9290564C7AD2A40 + 16786.50ns INFO [00016788] Port=0 RD @00 + 16786.50ns INFO [00016788] Port=1 RD @00 + 16787.50ns INFO [00016789] Port=0 RD @02 + 16788.50ns INFO [00016790] * RD COMPARE * port=0 adr=00 act=1358C20D0A4739F43C exp=1358C20D0A4739F43C + 16788.50ns INFO [00016790] * RD COMPARE * port=1 adr=00 act=1358C20D0A4739F43C exp=1358C20D0A4739F43C + 16788.50ns INFO [00016790] Port=0 WR @07=60842D0AC2579BB9DF + 16788.50ns INFO [00016790] Port=0 RD @01 + 16789.50ns INFO [00016791] * RD COMPARE * port=0 adr=02 act=3A6F90F0A3D2DF115F exp=3A6F90F0A3D2DF115F + 16790.50ns INFO [00016792] * RD COMPARE * port=0 adr=01 act=E1C4B54E5EB3A3C678 exp=E1C4B54E5EB3A3C678 + 16790.50ns INFO [00016792] Port=0 WR @07=7FD9CDFE770338175B + 16790.50ns INFO [00016792] Port=1 RD @00 + 16792.50ns INFO [00016794] * RD COMPARE * port=1 adr=00 act=1358C20D0A4739F43C exp=1358C20D0A4739F43C + 16792.50ns INFO [00016794] Port=0 WR @06=1125C7522DB1829CC7 + 16794.50ns INFO [00016796] Port=0 RD @03 + 16794.50ns INFO [00016796] Port=1 RD @02 + 16795.50ns INFO [00016797] Port=0 WR @01=CAED352EF12F5FAF29 + 16796.50ns INFO [00016798] * RD COMPARE * port=0 adr=03 act=3FD382BFD3A1A5C3F4 exp=3FD382BFD3A1A5C3F4 + 16796.50ns INFO [00016798] * RD COMPARE * port=1 adr=02 act=3A6F90F0A3D2DF115F exp=3A6F90F0A3D2DF115F + 16797.50ns INFO [00016799] Port=0 WR @03=9ED1022881932824DC + 16797.50ns INFO [00016799] Port=0 RD @04 + 16798.00ns INFO [00016800] [00016800] ...tick... + 16798.50ns INFO [00016800] Port=0 WR @04=46B0C9E445774289C2 + 16798.50ns INFO [00016800] Port=1 RD @07 + 16799.50ns INFO [00016801] * RD COMPARE * port=0 adr=04 act=47687BDE7B9878A125 exp=47687BDE7B9878A125 + 16799.50ns INFO [00016801] Port=0 RD @05 + 16800.50ns INFO [00016802] * RD COMPARE * port=1 adr=07 act=7FD9CDFE770338175B exp=7FD9CDFE770338175B + 16801.50ns INFO [00016803] * RD COMPARE * port=0 adr=05 act=9CD9290564C7AD2A40 exp=9CD9290564C7AD2A40 + 16801.50ns INFO [00016803] Port=0 WR @05=8A02447DFD1DD31E74 + 16801.50ns INFO [00016803] Port=1 RD @04 + 16802.50ns INFO [00016804] Port=0 RD @07 + 16803.50ns INFO [00016805] * RD COMPARE * port=1 adr=04 act=46B0C9E445774289C2 exp=46B0C9E445774289C2 + 16803.50ns INFO [00016805] Port=0 RD @00 + 16804.50ns INFO [00016806] * RD COMPARE * port=0 adr=07 act=7FD9CDFE770338175B exp=7FD9CDFE770338175B + 16804.50ns INFO [00016806] Port=0 WR @04=12C56D1EB1D338D5C7 + 16804.50ns INFO [00016806] Port=0 RD @00 + 16805.50ns INFO [00016807] * RD COMPARE * port=0 adr=00 act=1358C20D0A4739F43C exp=1358C20D0A4739F43C + 16805.50ns INFO [00016807] Port=0 RD @02 + 16806.50ns INFO [00016808] * RD COMPARE * port=0 adr=00 act=1358C20D0A4739F43C exp=1358C20D0A4739F43C + 16806.50ns INFO [00016808] Port=0 RD @07 + 16807.50ns INFO [00016809] * RD COMPARE * port=0 adr=02 act=3A6F90F0A3D2DF115F exp=3A6F90F0A3D2DF115F + 16807.50ns INFO [00016809] Port=1 RD @07 + 16808.50ns INFO [00016810] * RD COMPARE * port=0 adr=07 act=7FD9CDFE770338175B exp=7FD9CDFE770338175B + 16809.50ns INFO [00016811] * RD COMPARE * port=1 adr=07 act=7FD9CDFE770338175B exp=7FD9CDFE770338175B + 16809.50ns INFO [00016811] Port=1 RD @06 + 16810.50ns INFO [00016812] Port=0 RD @00 + 16811.50ns INFO [00016813] * RD COMPARE * port=1 adr=06 act=1125C7522DB1829CC7 exp=1125C7522DB1829CC7 + 16811.50ns INFO [00016813] Port=0 WR @00=DD93BFBE9E3E6EC537 + 16811.50ns INFO [00016813] Port=0 RD @01 + 16811.50ns INFO [00016813] Port=1 RD @05 + 16812.50ns INFO [00016814] * RD COMPARE * port=0 adr=00 act=1358C20D0A4739F43C exp=1358C20D0A4739F43C + 16812.50ns INFO [00016814] Port=1 RD @03 + 16813.50ns INFO [00016815] * RD COMPARE * port=0 adr=01 act=CAED352EF12F5FAF29 exp=CAED352EF12F5FAF29 + 16813.50ns INFO [00016815] * RD COMPARE * port=1 adr=05 act=8A02447DFD1DD31E74 exp=8A02447DFD1DD31E74 + 16813.50ns INFO [00016815] Port=0 WR @06=DD6114FC69A998EA0E + 16814.50ns INFO [00016816] * RD COMPARE * port=1 adr=03 act=9ED1022881932824DC exp=9ED1022881932824DC + 16815.50ns INFO [00016817] Port=0 RD @01 + 16815.50ns INFO [00016817] Port=1 RD @02 + 16817.50ns INFO [00016819] * RD COMPARE * port=0 adr=01 act=CAED352EF12F5FAF29 exp=CAED352EF12F5FAF29 + 16817.50ns INFO [00016819] * RD COMPARE * port=1 adr=02 act=3A6F90F0A3D2DF115F exp=3A6F90F0A3D2DF115F + 16817.50ns INFO [00016819] Port=0 WR @06=E8D9DD54831AA167A3 + 16817.50ns INFO [00016819] Port=0 RD @00 + 16817.50ns INFO [00016819] Port=1 RD @01 + 16818.50ns INFO [00016820] Port=1 RD @05 + 16819.50ns INFO [00016821] * RD COMPARE * port=0 adr=00 act=DD93BFBE9E3E6EC537 exp=DD93BFBE9E3E6EC537 + 16819.50ns INFO [00016821] * RD COMPARE * port=1 adr=01 act=CAED352EF12F5FAF29 exp=CAED352EF12F5FAF29 + 16819.50ns INFO [00016821] Port=0 RD @01 + 16820.50ns INFO [00016822] * RD COMPARE * port=1 adr=05 act=8A02447DFD1DD31E74 exp=8A02447DFD1DD31E74 + 16820.50ns INFO [00016822] Port=0 WR @05=2A0826FD0DC58F92E3 + 16821.50ns INFO [00016823] * RD COMPARE * port=0 adr=01 act=CAED352EF12F5FAF29 exp=CAED352EF12F5FAF29 + 16821.50ns INFO [00016823] Port=0 WR @02=8349F3F5B4B2C4C878 + 16821.50ns INFO [00016823] Port=1 RD @04 + 16822.50ns INFO [00016824] Port=0 RD @03 + 16822.50ns INFO [00016824] Port=1 RD @07 + 16823.50ns INFO [00016825] * RD COMPARE * port=1 adr=04 act=12C56D1EB1D338D5C7 exp=12C56D1EB1D338D5C7 + 16823.50ns INFO [00016825] Port=0 RD @05 + 16824.50ns INFO [00016826] * RD COMPARE * port=0 adr=03 act=9ED1022881932824DC exp=9ED1022881932824DC + 16824.50ns INFO [00016826] * RD COMPARE * port=1 adr=07 act=7FD9CDFE770338175B exp=7FD9CDFE770338175B + 16824.50ns INFO [00016826] Port=0 WR @07=7C8EAF1DFE5ABD6959 + 16824.50ns INFO [00016826] Port=1 RD @01 + 16825.50ns INFO [00016827] * RD COMPARE * port=0 adr=05 act=2A0826FD0DC58F92E3 exp=2A0826FD0DC58F92E3 + 16825.50ns INFO [00016827] Port=0 RD @03 + 16826.50ns INFO [00016828] * RD COMPARE * port=1 adr=01 act=CAED352EF12F5FAF29 exp=CAED352EF12F5FAF29 + 16826.50ns INFO [00016828] Port=0 WR @06=F503827D683DAC6865 + 16827.50ns INFO [00016829] * RD COMPARE * port=0 adr=03 act=9ED1022881932824DC exp=9ED1022881932824DC + 16827.50ns INFO [00016829] Port=0 WR @01=77FED099AAA69B1FFB + 16827.50ns INFO [00016829] Port=1 RD @07 + 16828.50ns INFO [00016830] Port=0 WR @01=9A20CC9892DECF0114 + 16829.50ns INFO [00016831] * RD COMPARE * port=1 adr=07 act=7C8EAF1DFE5ABD6959 exp=7C8EAF1DFE5ABD6959 + 16829.50ns INFO [00016831] Port=1 RD @05 + 16830.50ns INFO [00016832] Port=0 WR @03=8213A24654D5D152BE + 16831.50ns INFO [00016833] * RD COMPARE * port=1 adr=05 act=2A0826FD0DC58F92E3 exp=2A0826FD0DC58F92E3 + 16831.50ns INFO [00016833] Port=0 RD @01 + 16833.50ns INFO [00016835] * RD COMPARE * port=0 adr=01 act=9A20CC9892DECF0114 exp=9A20CC9892DECF0114 + 16833.50ns INFO [00016835] Port=0 WR @07=CC2926B6B3940AD8CD + 16833.50ns INFO [00016835] Port=1 RD @04 + 16835.50ns INFO [00016837] * RD COMPARE * port=1 adr=04 act=12C56D1EB1D338D5C7 exp=12C56D1EB1D338D5C7 + 16836.50ns INFO [00016838] Port=0 RD @06 + 16836.50ns INFO [00016838] Port=1 RD @07 + 16837.50ns INFO [00016839] Port=0 WR @05=AF685170FB26AEFD3D + 16837.50ns INFO [00016839] Port=0 RD @04 + 16837.50ns INFO [00016839] Port=1 RD @00 + 16838.50ns INFO [00016840] * RD COMPARE * port=0 adr=06 act=F503827D683DAC6865 exp=F503827D683DAC6865 + 16838.50ns INFO [00016840] * RD COMPARE * port=1 adr=07 act=CC2926B6B3940AD8CD exp=CC2926B6B3940AD8CD + 16839.50ns INFO [00016841] * RD COMPARE * port=0 adr=04 act=12C56D1EB1D338D5C7 exp=12C56D1EB1D338D5C7 + 16839.50ns INFO [00016841] * RD COMPARE * port=1 adr=00 act=DD93BFBE9E3E6EC537 exp=DD93BFBE9E3E6EC537 + 16839.50ns INFO [00016841] Port=0 WR @07=53B623E3F425DDBD3D + 16839.50ns INFO [00016841] Port=1 RD @06 + 16840.50ns INFO [00016842] Port=0 WR @03=0F10FE3C3A7D0A95A3 + 16840.50ns INFO [00016842] Port=1 RD @05 + 16841.50ns INFO [00016843] * RD COMPARE * port=1 adr=06 act=F503827D683DAC6865 exp=F503827D683DAC6865 + 16841.50ns INFO [00016843] Port=0 RD @05 + 16841.50ns INFO [00016843] Port=1 RD @06 + 16842.50ns INFO [00016844] * RD COMPARE * port=1 adr=05 act=AF685170FB26AEFD3D exp=AF685170FB26AEFD3D + 16843.50ns INFO [00016845] * RD COMPARE * port=0 adr=05 act=AF685170FB26AEFD3D exp=AF685170FB26AEFD3D + 16843.50ns INFO [00016845] * RD COMPARE * port=1 adr=06 act=F503827D683DAC6865 exp=F503827D683DAC6865 + 16843.50ns INFO [00016845] Port=0 RD @05 + 16844.50ns INFO [00016846] Port=1 RD @03 + 16845.50ns INFO [00016847] * RD COMPARE * port=0 adr=05 act=AF685170FB26AEFD3D exp=AF685170FB26AEFD3D + 16845.50ns INFO [00016847] Port=0 RD @06 + 16846.50ns INFO [00016848] * RD COMPARE * port=1 adr=03 act=0F10FE3C3A7D0A95A3 exp=0F10FE3C3A7D0A95A3 + 16846.50ns INFO [00016848] Port=0 WR @07=AD49BAE62F412DB88B + 16847.50ns INFO [00016849] * RD COMPARE * port=0 adr=06 act=F503827D683DAC6865 exp=F503827D683DAC6865 + 16849.50ns INFO [00016851] Port=0 WR @03=CA0181C222936DC70B + 16850.50ns INFO [00016852] Port=0 WR @03=3CBB48E227E206EBC7 + 16851.50ns INFO [00016853] Port=0 WR @02=8F3662DC014FFC482C + 16851.50ns INFO [00016853] Port=0 RD @01 + 16852.50ns INFO [00016854] Port=0 WR @01=2C5F6FD92396F33A9E + 16852.50ns INFO [00016854] Port=0 RD @00 + 16852.50ns INFO [00016854] Port=1 RD @05 + 16853.50ns INFO [00016855] * RD COMPARE * port=0 adr=01 act=9A20CC9892DECF0114 exp=9A20CC9892DECF0114 + 16853.50ns INFO [00016855] Port=0 RD @00 + 16853.50ns INFO [00016855] Port=1 RD @03 + 16854.50ns INFO [00016856] * RD COMPARE * port=0 adr=00 act=DD93BFBE9E3E6EC537 exp=DD93BFBE9E3E6EC537 + 16854.50ns INFO [00016856] * RD COMPARE * port=1 adr=05 act=AF685170FB26AEFD3D exp=AF685170FB26AEFD3D + 16854.50ns INFO [00016856] Port=0 WR @05=B7610D9BB30B2AF9C8 + 16854.50ns INFO [00016856] Port=0 RD @00 + 16855.50ns INFO [00016857] * RD COMPARE * port=0 adr=00 act=DD93BFBE9E3E6EC537 exp=DD93BFBE9E3E6EC537 + 16855.50ns INFO [00016857] * RD COMPARE * port=1 adr=03 act=3CBB48E227E206EBC7 exp=3CBB48E227E206EBC7 + 16855.50ns INFO [00016857] Port=0 RD @05 + 16856.50ns INFO [00016858] * RD COMPARE * port=0 adr=00 act=DD93BFBE9E3E6EC537 exp=DD93BFBE9E3E6EC537 + 16856.50ns INFO [00016858] Port=0 WR @05=E153DF392F12370988 + 16856.50ns INFO [00016858] Port=1 RD @04 + 16857.50ns INFO [00016859] * RD COMPARE * port=0 adr=05 act=B7610D9BB30B2AF9C8 exp=B7610D9BB30B2AF9C8 + 16857.50ns INFO [00016859] Port=0 WR @05=DA70AB6FFE71FF72C4 + 16858.50ns INFO [00016860] * RD COMPARE * port=1 adr=04 act=12C56D1EB1D338D5C7 exp=12C56D1EB1D338D5C7 + 16858.50ns INFO [00016860] Port=0 RD @00 + 16859.50ns INFO [00016861] Port=0 RD @03 + 16860.50ns INFO [00016862] * RD COMPARE * port=0 adr=00 act=DD93BFBE9E3E6EC537 exp=DD93BFBE9E3E6EC537 + 16861.50ns INFO [00016863] * RD COMPARE * port=0 adr=03 act=3CBB48E227E206EBC7 exp=3CBB48E227E206EBC7 + 16861.50ns INFO [00016863] Port=0 WR @03=6CFEA258A37153F1CD + 16862.50ns INFO [00016864] Port=0 WR @03=6C107C8D38588A2CCD + 16863.50ns INFO [00016865] Port=0 WR @00=CDB41EBD34BD448DBF + 16863.50ns INFO [00016865] Port=1 RD @01 + 16864.50ns INFO [00016866] Port=0 RD @00 + 16865.50ns INFO [00016867] * RD COMPARE * port=1 adr=01 act=2C5F6FD92396F33A9E exp=2C5F6FD92396F33A9E + 16865.50ns INFO [00016867] Port=1 RD @03 + 16866.50ns INFO [00016868] * RD COMPARE * port=0 adr=00 act=CDB41EBD34BD448DBF exp=CDB41EBD34BD448DBF + 16866.50ns INFO [00016868] Port=1 RD @01 + 16867.50ns INFO [00016869] * RD COMPARE * port=1 adr=03 act=6C107C8D38588A2CCD exp=6C107C8D38588A2CCD + 16867.50ns INFO [00016869] Port=0 WR @01=2DBDFA2723915DDFCD + 16867.50ns INFO [00016869] Port=1 RD @00 + 16868.50ns INFO [00016870] * RD COMPARE * port=1 adr=01 act=2C5F6FD92396F33A9E exp=2C5F6FD92396F33A9E + 16868.50ns INFO [00016870] Port=0 WR @06=702BC157178A0AAF1E + 16868.50ns INFO [00016870] Port=0 RD @01 + 16869.50ns INFO [00016871] * RD COMPARE * port=1 adr=00 act=CDB41EBD34BD448DBF exp=CDB41EBD34BD448DBF + 16870.50ns INFO [00016872] * RD COMPARE * port=0 adr=01 act=2DBDFA2723915DDFCD exp=2DBDFA2723915DDFCD + 16870.50ns INFO [00016872] Port=0 RD @04 + 16872.50ns INFO [00016874] * RD COMPARE * port=0 adr=04 act=12C56D1EB1D338D5C7 exp=12C56D1EB1D338D5C7 + 16872.50ns INFO [00016874] Port=0 RD @02 + 16873.50ns INFO [00016875] Port=0 WR @03=C2F8EDA5E2E72B8F40 + 16873.50ns INFO [00016875] Port=0 RD @05 + 16874.50ns INFO [00016876] * RD COMPARE * port=0 adr=02 act=8F3662DC014FFC482C exp=8F3662DC014FFC482C + 16874.50ns INFO [00016876] Port=0 RD @03 + 16875.50ns INFO [00016877] * RD COMPARE * port=0 adr=05 act=DA70AB6FFE71FF72C4 exp=DA70AB6FFE71FF72C4 + 16875.50ns INFO [00016877] Port=0 WR @05=B45346A4BD31E1E344 + 16875.50ns INFO [00016877] Port=0 RD @04 + 16875.50ns INFO [00016877] Port=1 RD @06 + 16876.50ns INFO [00016878] * RD COMPARE * port=0 adr=03 act=C2F8EDA5E2E72B8F40 exp=C2F8EDA5E2E72B8F40 + 16876.50ns INFO [00016878] Port=0 RD @04 + 16876.50ns INFO [00016878] Port=1 RD @03 + 16877.50ns INFO [00016879] * RD COMPARE * port=0 adr=04 act=12C56D1EB1D338D5C7 exp=12C56D1EB1D338D5C7 + 16877.50ns INFO [00016879] * RD COMPARE * port=1 adr=06 act=702BC157178A0AAF1E exp=702BC157178A0AAF1E + 16877.50ns INFO [00016879] Port=0 RD @01 + 16878.50ns INFO [00016880] * RD COMPARE * port=0 adr=04 act=12C56D1EB1D338D5C7 exp=12C56D1EB1D338D5C7 + 16878.50ns INFO [00016880] * RD COMPARE * port=1 adr=03 act=C2F8EDA5E2E72B8F40 exp=C2F8EDA5E2E72B8F40 + 16878.50ns INFO [00016880] Port=0 RD @02 + 16879.50ns INFO [00016881] * RD COMPARE * port=0 adr=01 act=2DBDFA2723915DDFCD exp=2DBDFA2723915DDFCD + 16880.50ns INFO [00016882] * RD COMPARE * port=0 adr=02 act=8F3662DC014FFC482C exp=8F3662DC014FFC482C + 16880.50ns INFO [00016882] Port=0 WR @03=5B9301C6F3772F85E3 + 16880.50ns INFO [00016882] Port=0 RD @07 + 16881.50ns INFO [00016883] Port=0 WR @01=7B946D477B9E8E4F1D + 16881.50ns INFO [00016883] Port=0 RD @03 + 16882.50ns INFO [00016884] * RD COMPARE * port=0 adr=07 act=AD49BAE62F412DB88B exp=AD49BAE62F412DB88B + 16882.50ns INFO [00016884] Port=0 WR @07=ED342116DE3BC5921A + 16883.50ns INFO [00016885] * RD COMPARE * port=0 adr=03 act=5B9301C6F3772F85E3 exp=5B9301C6F3772F85E3 + 16883.50ns INFO [00016885] Port=0 WR @02=2169D45709626EEFF6 + 16884.50ns INFO [00016886] Port=0 WR @07=EEA215DA6563D43622 + 16884.50ns INFO [00016886] Port=0 RD @05 + 16885.50ns INFO [00016887] Port=1 RD @01 + 16886.50ns INFO [00016888] * RD COMPARE * port=0 adr=05 act=B45346A4BD31E1E344 exp=B45346A4BD31E1E344 + 16886.50ns INFO [00016888] Port=1 RD @07 + 16887.50ns INFO [00016889] * RD COMPARE * port=1 adr=01 act=7B946D477B9E8E4F1D exp=7B946D477B9E8E4F1D + 16887.50ns INFO [00016889] Port=0 RD @01 + 16888.50ns INFO [00016890] * RD COMPARE * port=1 adr=07 act=EEA215DA6563D43622 exp=EEA215DA6563D43622 + 16888.50ns INFO [00016890] Port=0 WR @07=B27A842CF715ADE43D + 16888.50ns INFO [00016890] Port=0 RD @06 + 16889.50ns INFO [00016891] * RD COMPARE * port=0 adr=01 act=7B946D477B9E8E4F1D exp=7B946D477B9E8E4F1D + 16889.50ns INFO [00016891] Port=0 RD @03 + 16890.50ns INFO [00016892] * RD COMPARE * port=0 adr=06 act=702BC157178A0AAF1E exp=702BC157178A0AAF1E + 16890.50ns INFO [00016892] Port=1 RD @01 + 16891.50ns INFO [00016893] * RD COMPARE * port=0 adr=03 act=5B9301C6F3772F85E3 exp=5B9301C6F3772F85E3 + 16891.50ns INFO [00016893] Port=0 WR @01=23BAF2F6864CF61A30 + 16892.50ns INFO [00016894] * RD COMPARE * port=1 adr=01 act=7B946D477B9E8E4F1D exp=7B946D477B9E8E4F1D + 16893.50ns INFO [00016895] Port=0 WR @00=131671CFC45D133A6A + 16893.50ns INFO [00016895] Port=1 RD @01 + 16894.50ns INFO [00016896] Port=1 RD @04 + 16895.50ns INFO [00016897] * RD COMPARE * port=1 adr=01 act=23BAF2F6864CF61A30 exp=23BAF2F6864CF61A30 + 16895.50ns INFO [00016897] Port=0 WR @04=96B8AE8D69C2D0838C + 16895.50ns INFO [00016897] Port=0 RD @05 + 16895.50ns INFO [00016897] Port=1 RD @00 + 16896.50ns INFO [00016898] * RD COMPARE * port=1 adr=04 act=12C56D1EB1D338D5C7 exp=12C56D1EB1D338D5C7 + 16896.50ns INFO [00016898] Port=0 WR @05=7EA7BDAB419F1B452E + 16896.50ns INFO [00016898] Port=1 RD @06 + 16897.50ns INFO [00016899] * RD COMPARE * port=0 adr=05 act=B45346A4BD31E1E344 exp=B45346A4BD31E1E344 + 16897.50ns INFO [00016899] * RD COMPARE * port=1 adr=00 act=131671CFC45D133A6A exp=131671CFC45D133A6A + 16897.50ns INFO [00016899] Port=0 RD @03 + 16898.00ns INFO [00016900] [00016900] ...tick... + 16898.50ns INFO [00016900] * RD COMPARE * port=1 adr=06 act=702BC157178A0AAF1E exp=702BC157178A0AAF1E + 16898.50ns INFO [00016900] Port=0 WR @02=76602A43692A9C02F3 + 16898.50ns INFO [00016900] Port=0 RD @04 + 16899.50ns INFO [00016901] * RD COMPARE * port=0 adr=03 act=5B9301C6F3772F85E3 exp=5B9301C6F3772F85E3 + 16899.50ns INFO [00016901] Port=1 RD @04 + 16900.50ns INFO [00016902] * RD COMPARE * port=0 adr=04 act=96B8AE8D69C2D0838C exp=96B8AE8D69C2D0838C + 16900.50ns INFO [00016902] Port=0 WR @07=07716C0A8697F31152 + 16901.50ns INFO [00016903] * RD COMPARE * port=1 adr=04 act=96B8AE8D69C2D0838C exp=96B8AE8D69C2D0838C + 16901.50ns INFO [00016903] Port=0 RD @04 + 16902.50ns INFO [00016904] Port=0 WR @06=20691065F1751365BD + 16902.50ns INFO [00016904] Port=1 RD @00 + 16903.50ns INFO [00016905] * RD COMPARE * port=0 adr=04 act=96B8AE8D69C2D0838C exp=96B8AE8D69C2D0838C + 16904.50ns INFO [00016906] * RD COMPARE * port=1 adr=00 act=131671CFC45D133A6A exp=131671CFC45D133A6A + 16904.50ns INFO [00016906] Port=0 WR @07=34D67A82EE79299611 + 16905.50ns INFO [00016907] Port=0 WR @00=E2E30A4C34C4ADC0FA + 16905.50ns INFO [00016907] Port=1 RD @03 + 16906.50ns INFO [00016908] Port=1 RD @06 + 16907.50ns INFO [00016909] * RD COMPARE * port=1 adr=03 act=5B9301C6F3772F85E3 exp=5B9301C6F3772F85E3 + 16908.50ns INFO [00016910] * RD COMPARE * port=1 adr=06 act=20691065F1751365BD exp=20691065F1751365BD + 16909.50ns INFO [00016911] Port=0 WR @02=6FC553A7D591BE508F + 16909.50ns INFO [00016911] Port=0 RD @06 + 16909.50ns INFO [00016911] Port=1 RD @00 + 16910.50ns INFO [00016912] Port=0 WR @00=9CF30DE7363122ABE6 + 16911.50ns INFO [00016913] * RD COMPARE * port=0 adr=06 act=20691065F1751365BD exp=20691065F1751365BD + 16911.50ns INFO [00016913] * RD COMPARE * port=1 adr=00 act=E2E30A4C34C4ADC0FA exp=E2E30A4C34C4ADC0FA + 16911.50ns INFO [00016913] Port=1 RD @00 + 16912.50ns INFO [00016914] Port=1 RD @06 + 16913.50ns INFO [00016915] * RD COMPARE * port=1 adr=00 act=9CF30DE7363122ABE6 exp=9CF30DE7363122ABE6 + 16914.50ns INFO [00016916] * RD COMPARE * port=1 adr=06 act=20691065F1751365BD exp=20691065F1751365BD + 16915.50ns INFO [00016917] Port=0 WR @07=99E136FDCE2B27C8D6 + 16916.50ns INFO [00016918] Port=0 RD @05 + 16917.50ns INFO [00016919] Port=1 RD @00 + 16918.50ns INFO [00016920] * RD COMPARE * port=0 adr=05 act=7EA7BDAB419F1B452E exp=7EA7BDAB419F1B452E + 16918.50ns INFO [00016920] Port=0 WR @06=DC9210BF1A327BEA06 + 16919.50ns INFO [00016921] * RD COMPARE * port=1 adr=00 act=9CF30DE7363122ABE6 exp=9CF30DE7363122ABE6 + 16919.50ns INFO [00016921] Port=0 WR @03=EA2B49A4D50F7161AB + 16922.50ns INFO [00016924] Port=0 RD @07 + 16922.50ns INFO [00016924] Port=1 RD @07 + 16924.50ns INFO [00016926] * RD COMPARE * port=0 adr=07 act=99E136FDCE2B27C8D6 exp=99E136FDCE2B27C8D6 + 16924.50ns INFO [00016926] * RD COMPARE * port=1 adr=07 act=99E136FDCE2B27C8D6 exp=99E136FDCE2B27C8D6 + 16925.50ns INFO [00016927] Port=1 RD @05 + 16926.50ns INFO [00016928] Port=0 WR @06=AA95E3808FB26D2A29 + 16927.50ns INFO [00016929] * RD COMPARE * port=1 adr=05 act=7EA7BDAB419F1B452E exp=7EA7BDAB419F1B452E + 16928.50ns INFO [00016930] Port=0 WR @05=CA951C8110ED59C78D + 16929.50ns INFO [00016931] Port=0 WR @03=5605D108989CFD3A10 + 16929.50ns INFO [00016931] Port=0 RD @07 + 16930.50ns INFO [00016932] Port=0 RD @03 + 16931.50ns INFO [00016933] * RD COMPARE * port=0 adr=07 act=99E136FDCE2B27C8D6 exp=99E136FDCE2B27C8D6 + 16931.50ns INFO [00016933] Port=0 WR @05=73E8615C231FD64FE5 + 16932.50ns INFO [00016934] * RD COMPARE * port=0 adr=03 act=5605D108989CFD3A10 exp=5605D108989CFD3A10 + 16932.50ns INFO [00016934] Port=0 RD @00 + 16932.50ns INFO [00016934] Port=1 RD @04 + 16933.50ns INFO [00016935] Port=0 WR @01=57B2991499705674E9 + 16934.50ns INFO [00016936] * RD COMPARE * port=0 adr=00 act=9CF30DE7363122ABE6 exp=9CF30DE7363122ABE6 + 16934.50ns INFO [00016936] * RD COMPARE * port=1 adr=04 act=96B8AE8D69C2D0838C exp=96B8AE8D69C2D0838C + 16934.50ns INFO [00016936] Port=0 WR @05=0E6C4619923D6E98F5 + 16934.50ns INFO [00016936] Port=0 RD @03 + 16934.50ns INFO [00016936] Port=1 RD @02 + 16935.50ns INFO [00016937] Port=1 RD @03 + 16936.50ns INFO [00016938] * RD COMPARE * port=0 adr=03 act=5605D108989CFD3A10 exp=5605D108989CFD3A10 + 16936.50ns INFO [00016938] * RD COMPARE * port=1 adr=02 act=6FC553A7D591BE508F exp=6FC553A7D591BE508F + 16936.50ns INFO [00016938] Port=0 WR @05=7AEE2A56F9A5DB5943 + 16936.50ns INFO [00016938] Port=1 RD @02 + 16937.50ns INFO [00016939] * RD COMPARE * port=1 adr=03 act=5605D108989CFD3A10 exp=5605D108989CFD3A10 + 16937.50ns INFO [00016939] Port=1 RD @02 + 16938.50ns INFO [00016940] * RD COMPARE * port=1 adr=02 act=6FC553A7D591BE508F exp=6FC553A7D591BE508F + 16938.50ns INFO [00016940] Port=1 RD @07 + 16939.50ns INFO [00016941] * RD COMPARE * port=1 adr=02 act=6FC553A7D591BE508F exp=6FC553A7D591BE508F + 16939.50ns INFO [00016941] Port=0 WR @07=45955A10B131D86921 + 16939.50ns INFO [00016941] Port=0 RD @06 + 16939.50ns INFO [00016941] Port=1 RD @04 + 16940.50ns INFO [00016942] * RD COMPARE * port=1 adr=07 act=99E136FDCE2B27C8D6 exp=99E136FDCE2B27C8D6 + 16940.50ns INFO [00016942] Port=0 WR @06=AFBE46BBF274EF1423 + 16941.50ns INFO [00016943] * RD COMPARE * port=0 adr=06 act=AA95E3808FB26D2A29 exp=AA95E3808FB26D2A29 + 16941.50ns INFO [00016943] * RD COMPARE * port=1 adr=04 act=96B8AE8D69C2D0838C exp=96B8AE8D69C2D0838C + 16942.50ns INFO [00016944] Port=0 WR @00=9CC9FA2E9645E144C9 + 16943.50ns INFO [00016945] Port=1 RD @04 + 16944.50ns INFO [00016946] Port=0 RD @06 + 16945.50ns INFO [00016947] * RD COMPARE * port=1 adr=04 act=96B8AE8D69C2D0838C exp=96B8AE8D69C2D0838C + 16945.50ns INFO [00016947] Port=0 WR @02=16785DACD51B79A52B + 16945.50ns INFO [00016947] Port=0 RD @04 + 16945.50ns INFO [00016947] Port=1 RD @00 + 16946.50ns INFO [00016948] * RD COMPARE * port=0 adr=06 act=AFBE46BBF274EF1423 exp=AFBE46BBF274EF1423 + 16946.50ns INFO [00016948] Port=0 RD @00 + 16947.50ns INFO [00016949] * RD COMPARE * port=0 adr=04 act=96B8AE8D69C2D0838C exp=96B8AE8D69C2D0838C + 16947.50ns INFO [00016949] * RD COMPARE * port=1 adr=00 act=9CC9FA2E9645E144C9 exp=9CC9FA2E9645E144C9 + 16947.50ns INFO [00016949] Port=0 RD @07 + 16947.50ns INFO [00016949] Port=1 RD @00 + 16948.50ns INFO [00016950] * RD COMPARE * port=0 adr=00 act=9CC9FA2E9645E144C9 exp=9CC9FA2E9645E144C9 + 16949.50ns INFO [00016951] * RD COMPARE * port=0 adr=07 act=45955A10B131D86921 exp=45955A10B131D86921 + 16949.50ns INFO [00016951] * RD COMPARE * port=1 adr=00 act=9CC9FA2E9645E144C9 exp=9CC9FA2E9645E144C9 + 16949.50ns INFO [00016951] Port=0 WR @00=E339A9AB653B179B55 + 16950.50ns INFO [00016952] Port=0 WR @01=0C8DE17BF71691B4CF + 16950.50ns INFO [00016952] Port=0 RD @07 + 16950.50ns INFO [00016952] Port=1 RD @06 + 16951.50ns INFO [00016953] Port=0 WR @07=BC9B899F4E74EEE65E + 16951.50ns INFO [00016953] Port=1 RD @05 + 16952.50ns INFO [00016954] * RD COMPARE * port=0 adr=07 act=45955A10B131D86921 exp=45955A10B131D86921 + 16952.50ns INFO [00016954] * RD COMPARE * port=1 adr=06 act=AFBE46BBF274EF1423 exp=AFBE46BBF274EF1423 + 16952.50ns INFO [00016954] Port=0 RD @04 + 16952.50ns INFO [00016954] Port=1 RD @02 + 16953.50ns INFO [00016955] * RD COMPARE * port=1 adr=05 act=7AEE2A56F9A5DB5943 exp=7AEE2A56F9A5DB5943 + 16954.50ns INFO [00016956] * RD COMPARE * port=0 adr=04 act=96B8AE8D69C2D0838C exp=96B8AE8D69C2D0838C + 16954.50ns INFO [00016956] * RD COMPARE * port=1 adr=02 act=16785DACD51B79A52B exp=16785DACD51B79A52B + 16954.50ns INFO [00016956] Port=0 WR @06=09CC41C242490FF890 + 16954.50ns INFO [00016956] Port=1 RD @07 + 16955.50ns INFO [00016957] Port=0 RD @00 + 16956.50ns INFO [00016958] * RD COMPARE * port=1 adr=07 act=BC9B899F4E74EEE65E exp=BC9B899F4E74EEE65E + 16956.50ns INFO [00016958] Port=0 RD @03 + 16957.50ns INFO [00016959] * RD COMPARE * port=0 adr=00 act=E339A9AB653B179B55 exp=E339A9AB653B179B55 + 16958.50ns INFO [00016960] * RD COMPARE * port=0 adr=03 act=5605D108989CFD3A10 exp=5605D108989CFD3A10 + 16958.50ns INFO [00016960] Port=0 WR @05=62C53FA3B2E16AE8E1 + 16961.50ns INFO [00016963] Port=0 WR @07=D9AE7F2A66A9627271 + 16961.50ns INFO [00016963] Port=1 RD @03 + 16962.50ns INFO [00016964] Port=0 WR @07=FF7DD057320D65E4CA + 16963.50ns INFO [00016965] * RD COMPARE * port=1 adr=03 act=5605D108989CFD3A10 exp=5605D108989CFD3A10 + 16964.50ns INFO [00016966] Port=0 WR @03=9181B9EC336088B5DF + 16966.50ns INFO [00016968] Port=0 RD @06 + 16967.50ns INFO [00016969] Port=0 WR @03=8EF59CCDCDD9217FF3 + 16967.50ns INFO [00016969] Port=0 RD @07 + 16968.50ns INFO [00016970] * RD COMPARE * port=0 adr=06 act=09CC41C242490FF890 exp=09CC41C242490FF890 + 16968.50ns INFO [00016970] Port=0 RD @04 + 16968.50ns INFO [00016970] Port=1 RD @02 + 16969.50ns INFO [00016971] * RD COMPARE * port=0 adr=07 act=FF7DD057320D65E4CA exp=FF7DD057320D65E4CA + 16970.50ns INFO [00016972] * RD COMPARE * port=0 adr=04 act=96B8AE8D69C2D0838C exp=96B8AE8D69C2D0838C + 16970.50ns INFO [00016972] * RD COMPARE * port=1 adr=02 act=16785DACD51B79A52B exp=16785DACD51B79A52B + 16970.50ns INFO [00016972] Port=1 RD @02 + 16971.50ns INFO [00016973] Port=0 WR @07=B3A46D83E845F24ADF + 16972.50ns INFO [00016974] * RD COMPARE * port=1 adr=02 act=16785DACD51B79A52B exp=16785DACD51B79A52B + 16973.50ns INFO [00016975] Port=0 RD @02 + 16974.50ns INFO [00016976] Port=0 WR @07=23FEAA18256C357A59 + 16974.50ns INFO [00016976] Port=1 RD @00 + 16975.50ns INFO [00016977] * RD COMPARE * port=0 adr=02 act=16785DACD51B79A52B exp=16785DACD51B79A52B + 16975.50ns INFO [00016977] Port=0 WR @07=AD1A291FAC70CA7721 + 16975.50ns INFO [00016977] Port=0 RD @00 + 16975.50ns INFO [00016977] Port=1 RD @02 + 16976.50ns INFO [00016978] * RD COMPARE * port=1 adr=00 act=E339A9AB653B179B55 exp=E339A9AB653B179B55 + 16976.50ns INFO [00016978] Port=0 RD @00 + 16977.50ns INFO [00016979] * RD COMPARE * port=0 adr=00 act=E339A9AB653B179B55 exp=E339A9AB653B179B55 + 16977.50ns INFO [00016979] * RD COMPARE * port=1 adr=02 act=16785DACD51B79A52B exp=16785DACD51B79A52B + 16977.50ns INFO [00016979] Port=1 RD @05 + 16978.50ns INFO [00016980] * RD COMPARE * port=0 adr=00 act=E339A9AB653B179B55 exp=E339A9AB653B179B55 + 16978.50ns INFO [00016980] Port=0 WR @01=C5977129FA52CA1692 + 16978.50ns INFO [00016980] Port=1 RD @05 + 16979.50ns INFO [00016981] * RD COMPARE * port=1 adr=05 act=62C53FA3B2E16AE8E1 exp=62C53FA3B2E16AE8E1 + 16979.50ns INFO [00016981] Port=0 RD @07 + 16980.50ns INFO [00016982] * RD COMPARE * port=1 adr=05 act=62C53FA3B2E16AE8E1 exp=62C53FA3B2E16AE8E1 + 16980.50ns INFO [00016982] Port=0 RD @01 + 16980.50ns INFO [00016982] Port=1 RD @03 + 16981.50ns INFO [00016983] * RD COMPARE * port=0 adr=07 act=AD1A291FAC70CA7721 exp=AD1A291FAC70CA7721 + 16981.50ns INFO [00016983] Port=0 RD @00 + 16982.50ns INFO [00016984] * RD COMPARE * port=0 adr=01 act=C5977129FA52CA1692 exp=C5977129FA52CA1692 + 16982.50ns INFO [00016984] * RD COMPARE * port=1 adr=03 act=8EF59CCDCDD9217FF3 exp=8EF59CCDCDD9217FF3 + 16983.50ns INFO [00016985] * RD COMPARE * port=0 adr=00 act=E339A9AB653B179B55 exp=E339A9AB653B179B55 + 16983.50ns INFO [00016985] Port=1 RD @05 + 16984.50ns INFO [00016986] Port=0 WR @05=87585141F4E490401D + 16985.50ns INFO [00016987] * RD COMPARE * port=1 adr=05 act=62C53FA3B2E16AE8E1 exp=62C53FA3B2E16AE8E1 + 16985.50ns INFO [00016987] Port=0 WR @07=9AD92DD55703285449 + 16985.50ns INFO [00016987] Port=0 RD @03 + 16986.50ns INFO [00016988] Port=0 RD @02 + 16987.50ns INFO [00016989] * RD COMPARE * port=0 adr=03 act=8EF59CCDCDD9217FF3 exp=8EF59CCDCDD9217FF3 + 16987.50ns INFO [00016989] Port=0 WR @01=A097CAED548EFCA55F + 16988.50ns INFO [00016990] * RD COMPARE * port=0 adr=02 act=16785DACD51B79A52B exp=16785DACD51B79A52B + 16988.50ns INFO [00016990] Port=0 RD @06 + 16989.50ns INFO [00016991] Port=0 RD @04 + 16990.50ns INFO [00016992] * RD COMPARE * port=0 adr=06 act=09CC41C242490FF890 exp=09CC41C242490FF890 + 16990.50ns INFO [00016992] Port=0 WR @02=224E765AD319A38753 + 16991.50ns INFO [00016993] * RD COMPARE * port=0 adr=04 act=96B8AE8D69C2D0838C exp=96B8AE8D69C2D0838C + 16991.50ns INFO [00016993] Port=0 WR @04=4772F67EB5E0ECB8ED + 16991.50ns INFO [00016993] Port=1 RD @06 + 16993.50ns INFO [00016995] * RD COMPARE * port=1 adr=06 act=09CC41C242490FF890 exp=09CC41C242490FF890 + 16993.50ns INFO [00016995] Port=1 RD @03 + 16995.50ns INFO [00016997] * RD COMPARE * port=1 adr=03 act=8EF59CCDCDD9217FF3 exp=8EF59CCDCDD9217FF3 + 16995.50ns INFO [00016997] Port=0 RD @03 + 16996.50ns INFO [00016998] Port=0 RD @00 + 16997.50ns INFO [00016999] * RD COMPARE * port=0 adr=03 act=8EF59CCDCDD9217FF3 exp=8EF59CCDCDD9217FF3 + 16998.00ns INFO [00017000] [00017000] ...tick... + 16998.50ns INFO [00017000] * RD COMPARE * port=0 adr=00 act=E339A9AB653B179B55 exp=E339A9AB653B179B55 + 16998.50ns INFO [00017000] Port=0 RD @07 + 16999.50ns INFO [00017001] Port=0 WR @06=83AF72B72268D63901 + 17000.50ns INFO [00017002] * RD COMPARE * port=0 adr=07 act=9AD92DD55703285449 exp=9AD92DD55703285449 + 17000.50ns INFO [00017002] Port=0 RD @02 + 17001.50ns INFO [00017003] Port=0 WR @02=2156D8296836E34567 + 17002.50ns INFO [00017004] * RD COMPARE * port=0 adr=02 act=224E765AD319A38753 exp=224E765AD319A38753 + 17002.50ns INFO [00017004] Port=0 WR @04=85F310D3FFDB33F90C + 17003.50ns INFO [00017005] Port=0 RD @02 + 17004.50ns INFO [00017006] Port=0 WR @07=3B9B2DBAD9355BE38A + 17004.50ns INFO [00017006] Port=0 RD @06 + 17004.50ns INFO [00017006] Port=1 RD @00 + 17005.50ns INFO [00017007] * RD COMPARE * port=0 adr=02 act=2156D8296836E34567 exp=2156D8296836E34567 + 17006.50ns INFO [00017008] * RD COMPARE * port=0 adr=06 act=83AF72B72268D63901 exp=83AF72B72268D63901 + 17006.50ns INFO [00017008] * RD COMPARE * port=1 adr=00 act=E339A9AB653B179B55 exp=E339A9AB653B179B55 + 17006.50ns INFO [00017008] Port=0 RD @01 + 17007.50ns INFO [00017009] Port=0 WR @07=8C932FF245039317CF + 17007.50ns INFO [00017009] Port=1 RD @01 + 17008.50ns INFO [00017010] * RD COMPARE * port=0 adr=01 act=A097CAED548EFCA55F exp=A097CAED548EFCA55F + 17008.50ns INFO [00017010] Port=0 WR @07=FA35DEEC8E3323D7EA + 17009.50ns INFO [00017011] * RD COMPARE * port=1 adr=01 act=A097CAED548EFCA55F exp=A097CAED548EFCA55F + 17009.50ns INFO [00017011] Port=0 WR @04=2FBEBF771EAAE33646 + 17009.50ns INFO [00017011] Port=0 RD @00 + 17010.50ns INFO [00017012] Port=0 WR @06=1CB9C5563A5A4FC74D + 17010.50ns INFO [00017012] Port=1 RD @02 + 17011.50ns INFO [00017013] * RD COMPARE * port=0 adr=00 act=E339A9AB653B179B55 exp=E339A9AB653B179B55 + 17011.50ns INFO [00017013] Port=1 RD @03 + 17012.50ns INFO [00017014] * RD COMPARE * port=1 adr=02 act=2156D8296836E34567 exp=2156D8296836E34567 + 17012.50ns INFO [00017014] Port=0 WR @02=0549AFB5149AE89F81 + 17012.50ns INFO [00017014] Port=1 RD @00 + 17013.50ns INFO [00017015] * RD COMPARE * port=1 adr=03 act=8EF59CCDCDD9217FF3 exp=8EF59CCDCDD9217FF3 + 17013.50ns INFO [00017015] Port=0 WR @04=F248D528C01CC52666 + 17013.50ns INFO [00017015] Port=0 RD @06 + 17013.50ns INFO [00017015] Port=1 RD @01 + 17014.50ns INFO [00017016] * RD COMPARE * port=1 adr=00 act=E339A9AB653B179B55 exp=E339A9AB653B179B55 + 17014.50ns INFO [00017016] Port=0 WR @05=4492F566B24D83EA60 + 17015.50ns INFO [00017017] * RD COMPARE * port=0 adr=06 act=1CB9C5563A5A4FC74D exp=1CB9C5563A5A4FC74D + 17015.50ns INFO [00017017] * RD COMPARE * port=1 adr=01 act=A097CAED548EFCA55F exp=A097CAED548EFCA55F + 17017.50ns INFO [00017019] Port=0 WR @03=78D9B18F376F3D1026 + 17018.50ns INFO [00017020] Port=0 RD @07 + 17019.50ns INFO [00017021] Port=1 RD @04 + 17020.50ns INFO [00017022] * RD COMPARE * port=0 adr=07 act=FA35DEEC8E3323D7EA exp=FA35DEEC8E3323D7EA + 17020.50ns INFO [00017022] Port=0 WR @06=F52017A4AD53B24C2E + 17021.50ns INFO [00017023] * RD COMPARE * port=1 adr=04 act=F248D528C01CC52666 exp=F248D528C01CC52666 + 17022.50ns INFO [00017024] Port=0 RD @07 + 17022.50ns INFO [00017024] Port=1 RD @07 + 17023.50ns INFO [00017025] Port=1 RD @07 + 17024.50ns INFO [00017026] * RD COMPARE * port=0 adr=07 act=FA35DEEC8E3323D7EA exp=FA35DEEC8E3323D7EA + 17024.50ns INFO [00017026] * RD COMPARE * port=1 adr=07 act=FA35DEEC8E3323D7EA exp=FA35DEEC8E3323D7EA + 17024.50ns INFO [00017026] Port=0 WR @02=FC9F2BAB38B69C7C88 + 17024.50ns INFO [00017026] Port=0 RD @01 + 17025.50ns INFO [00017027] * RD COMPARE * port=1 adr=07 act=FA35DEEC8E3323D7EA exp=FA35DEEC8E3323D7EA + 17025.50ns INFO [00017027] Port=1 RD @04 + 17026.50ns INFO [00017028] * RD COMPARE * port=0 adr=01 act=A097CAED548EFCA55F exp=A097CAED548EFCA55F + 17027.50ns INFO [00017029] * RD COMPARE * port=1 adr=04 act=F248D528C01CC52666 exp=F248D528C01CC52666 + 17027.50ns INFO [00017029] Port=1 RD @07 + 17029.50ns INFO [00017031] * RD COMPARE * port=1 adr=07 act=FA35DEEC8E3323D7EA exp=FA35DEEC8E3323D7EA + 17029.50ns INFO [00017031] Port=0 WR @01=C8E4F7BC5EA1CF7DC1 + 17029.50ns INFO [00017031] Port=1 RD @02 + 17030.50ns INFO [00017032] Port=0 RD @05 + 17031.50ns INFO [00017033] * RD COMPARE * port=1 adr=02 act=FC9F2BAB38B69C7C88 exp=FC9F2BAB38B69C7C88 + 17031.50ns INFO [00017033] Port=0 WR @03=753A4777750E9F80CB + 17031.50ns INFO [00017033] Port=1 RD @06 + 17032.50ns INFO [00017034] * RD COMPARE * port=0 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17032.50ns INFO [00017034] Port=0 WR @00=501497C2799AD2F597 + 17033.50ns INFO [00017035] * RD COMPARE * port=1 adr=06 act=F52017A4AD53B24C2E exp=F52017A4AD53B24C2E + 17033.50ns INFO [00017035] Port=0 WR @07=F09D27DBE91FAC5462 + 17033.50ns INFO [00017035] Port=1 RD @01 + 17035.50ns INFO [00017037] * RD COMPARE * port=1 adr=01 act=C8E4F7BC5EA1CF7DC1 exp=C8E4F7BC5EA1CF7DC1 + 17035.50ns INFO [00017037] Port=0 WR @00=9B10918ADBF1FFAB25 + 17036.50ns INFO [00017038] Port=0 WR @04=8896EF476D4C2575F9 + 17036.50ns INFO [00017038] Port=0 RD @03 + 17037.50ns INFO [00017039] Port=0 RD @07 + 17037.50ns INFO [00017039] Port=1 RD @00 + 17038.50ns INFO [00017040] * RD COMPARE * port=0 adr=03 act=753A4777750E9F80CB exp=753A4777750E9F80CB + 17038.50ns INFO [00017040] Port=1 RD @05 + 17039.50ns INFO [00017041] * RD COMPARE * port=0 adr=07 act=F09D27DBE91FAC5462 exp=F09D27DBE91FAC5462 + 17039.50ns INFO [00017041] * RD COMPARE * port=1 adr=00 act=9B10918ADBF1FFAB25 exp=9B10918ADBF1FFAB25 + 17039.50ns INFO [00017041] Port=0 WR @06=2C2079EA493120DFFD + 17039.50ns INFO [00017041] Port=1 RD @02 + 17040.50ns INFO [00017042] * RD COMPARE * port=1 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17040.50ns INFO [00017042] Port=0 RD @03 + 17041.50ns INFO [00017043] * RD COMPARE * port=1 adr=02 act=FC9F2BAB38B69C7C88 exp=FC9F2BAB38B69C7C88 + 17041.50ns INFO [00017043] Port=0 RD @03 + 17041.50ns INFO [00017043] Port=1 RD @06 + 17042.50ns INFO [00017044] * RD COMPARE * port=0 adr=03 act=753A4777750E9F80CB exp=753A4777750E9F80CB + 17042.50ns INFO [00017044] Port=0 WR @00=CA15E41C9497A982C2 + 17043.50ns INFO [00017045] * RD COMPARE * port=0 adr=03 act=753A4777750E9F80CB exp=753A4777750E9F80CB + 17043.50ns INFO [00017045] * RD COMPARE * port=1 adr=06 act=2C2079EA493120DFFD exp=2C2079EA493120DFFD + 17043.50ns INFO [00017045] Port=0 WR @00=A51CC8A4C7F51B3EC9 + 17043.50ns INFO [00017045] Port=0 RD @03 + 17044.50ns INFO [00017046] Port=0 WR @00=BE350D9A11AE11B1BF + 17044.50ns INFO [00017046] Port=0 RD @06 + 17045.50ns INFO [00017047] * RD COMPARE * port=0 adr=03 act=753A4777750E9F80CB exp=753A4777750E9F80CB + 17046.50ns INFO [00017048] * RD COMPARE * port=0 adr=06 act=2C2079EA493120DFFD exp=2C2079EA493120DFFD + 17046.50ns INFO [00017048] Port=0 WR @06=4D0B5F615702389126 + 17047.50ns INFO [00017049] Port=0 RD @03 + 17047.50ns INFO [00017049] Port=1 RD @01 + 17048.50ns INFO [00017050] Port=0 WR @03=B4660C951AE874C0A7 + 17048.50ns INFO [00017050] Port=1 RD @07 + 17049.50ns INFO [00017051] * RD COMPARE * port=0 adr=03 act=753A4777750E9F80CB exp=753A4777750E9F80CB + 17049.50ns INFO [00017051] * RD COMPARE * port=1 adr=01 act=C8E4F7BC5EA1CF7DC1 exp=C8E4F7BC5EA1CF7DC1 + 17049.50ns INFO [00017051] Port=0 RD @03 + 17050.50ns INFO [00017052] * RD COMPARE * port=1 adr=07 act=F09D27DBE91FAC5462 exp=F09D27DBE91FAC5462 + 17050.50ns INFO [00017052] Port=0 WR @07=B657A1BAEA14FB0C87 + 17051.50ns INFO [00017053] * RD COMPARE * port=0 adr=03 act=B4660C951AE874C0A7 exp=B4660C951AE874C0A7 + 17052.50ns INFO [00017054] Port=0 RD @05 + 17052.50ns INFO [00017054] Port=1 RD @01 + 17053.50ns INFO [00017055] Port=0 WR @04=1EE3DB212B42F5999F + 17053.50ns INFO [00017055] Port=0 RD @02 + 17053.50ns INFO [00017055] Port=1 RD @07 + 17054.50ns INFO [00017056] * RD COMPARE * port=0 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17054.50ns INFO [00017056] * RD COMPARE * port=1 adr=01 act=C8E4F7BC5EA1CF7DC1 exp=C8E4F7BC5EA1CF7DC1 + 17054.50ns INFO [00017056] Port=0 WR @00=3EA812247F7BC0165F + 17054.50ns INFO [00017056] Port=1 RD @03 + 17055.50ns INFO [00017057] * RD COMPARE * port=0 adr=02 act=FC9F2BAB38B69C7C88 exp=FC9F2BAB38B69C7C88 + 17055.50ns INFO [00017057] * RD COMPARE * port=1 adr=07 act=B657A1BAEA14FB0C87 exp=B657A1BAEA14FB0C87 + 17055.50ns INFO [00017057] Port=0 WR @04=6E6D0C5CC211E8BFEF + 17055.50ns INFO [00017057] Port=0 RD @06 + 17056.50ns INFO [00017058] * RD COMPARE * port=1 adr=03 act=B4660C951AE874C0A7 exp=B4660C951AE874C0A7 + 17056.50ns INFO [00017058] Port=1 RD @07 + 17057.50ns INFO [00017059] * RD COMPARE * port=0 adr=06 act=4D0B5F615702389126 exp=4D0B5F615702389126 + 17057.50ns INFO [00017059] Port=0 RD @07 + 17058.50ns INFO [00017060] * RD COMPARE * port=1 adr=07 act=B657A1BAEA14FB0C87 exp=B657A1BAEA14FB0C87 + 17058.50ns INFO [00017060] Port=0 RD @05 + 17059.50ns INFO [00017061] * RD COMPARE * port=0 adr=07 act=B657A1BAEA14FB0C87 exp=B657A1BAEA14FB0C87 + 17059.50ns INFO [00017061] Port=0 WR @06=2244FEC3F49DA46631 + 17060.50ns INFO [00017062] * RD COMPARE * port=0 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17060.50ns INFO [00017062] Port=1 RD @05 + 17061.50ns INFO [00017063] Port=0 WR @07=60C79E5FF7BB922A47 + 17061.50ns INFO [00017063] Port=0 RD @05 + 17061.50ns INFO [00017063] Port=1 RD @04 + 17062.50ns INFO [00017064] * RD COMPARE * port=1 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17062.50ns INFO [00017064] Port=0 RD @03 + 17062.50ns INFO [00017064] Port=1 RD @00 + 17063.50ns INFO [00017065] * RD COMPARE * port=0 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17063.50ns INFO [00017065] * RD COMPARE * port=1 adr=04 act=6E6D0C5CC211E8BFEF exp=6E6D0C5CC211E8BFEF + 17063.50ns INFO [00017065] Port=0 RD @00 + 17064.50ns INFO [00017066] * RD COMPARE * port=0 adr=03 act=B4660C951AE874C0A7 exp=B4660C951AE874C0A7 + 17064.50ns INFO [00017066] * RD COMPARE * port=1 adr=00 act=3EA812247F7BC0165F exp=3EA812247F7BC0165F + 17064.50ns INFO [00017066] Port=0 WR @03=499E112E4EF1ABEE17 + 17064.50ns INFO [00017066] Port=1 RD @02 + 17065.50ns INFO [00017067] * RD COMPARE * port=0 adr=00 act=3EA812247F7BC0165F exp=3EA812247F7BC0165F + 17065.50ns INFO [00017067] Port=0 WR @01=68B775D5A29BA6128F + 17066.50ns INFO [00017068] * RD COMPARE * port=1 adr=02 act=FC9F2BAB38B69C7C88 exp=FC9F2BAB38B69C7C88 + 17066.50ns INFO [00017068] Port=0 RD @00 + 17066.50ns INFO [00017068] Port=1 RD @07 + 17067.50ns INFO [00017069] Port=0 WR @03=1AE1232D1571429761 + 17067.50ns INFO [00017069] Port=0 RD @05 + 17067.50ns INFO [00017069] Port=1 RD @05 + 17068.50ns INFO [00017070] * RD COMPARE * port=0 adr=00 act=3EA812247F7BC0165F exp=3EA812247F7BC0165F + 17068.50ns INFO [00017070] * RD COMPARE * port=1 adr=07 act=60C79E5FF7BB922A47 exp=60C79E5FF7BB922A47 + 17068.50ns INFO [00017070] Port=0 WR @01=B7F245AF44A1FAAB99 + 17069.50ns INFO [00017071] * RD COMPARE * port=0 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17069.50ns INFO [00017071] * RD COMPARE * port=1 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17069.50ns INFO [00017071] Port=1 RD @02 + 17070.50ns INFO [00017072] Port=1 RD @03 + 17071.50ns INFO [00017073] * RD COMPARE * port=1 adr=02 act=FC9F2BAB38B69C7C88 exp=FC9F2BAB38B69C7C88 + 17071.50ns INFO [00017073] Port=0 RD @01 + 17072.50ns INFO [00017074] * RD COMPARE * port=1 adr=03 act=1AE1232D1571429761 exp=1AE1232D1571429761 + 17072.50ns INFO [00017074] Port=0 RD @05 + 17073.50ns INFO [00017075] * RD COMPARE * port=0 adr=01 act=B7F245AF44A1FAAB99 exp=B7F245AF44A1FAAB99 + 17073.50ns INFO [00017075] Port=1 RD @05 + 17074.50ns INFO [00017076] * RD COMPARE * port=0 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17074.50ns INFO [00017076] Port=1 RD @04 + 17075.50ns INFO [00017077] * RD COMPARE * port=1 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17076.50ns INFO [00017078] * RD COMPARE * port=1 adr=04 act=6E6D0C5CC211E8BFEF exp=6E6D0C5CC211E8BFEF + 17076.50ns INFO [00017078] Port=1 RD @00 + 17077.50ns INFO [00017079] Port=0 RD @04 + 17078.50ns INFO [00017080] * RD COMPARE * port=1 adr=00 act=3EA812247F7BC0165F exp=3EA812247F7BC0165F + 17078.50ns INFO [00017080] Port=0 RD @03 + 17078.50ns INFO [00017080] Port=1 RD @00 + 17079.50ns INFO [00017081] * RD COMPARE * port=0 adr=04 act=6E6D0C5CC211E8BFEF exp=6E6D0C5CC211E8BFEF + 17079.50ns INFO [00017081] Port=0 WR @00=DE7F67EF046AE6D3BB + 17079.50ns INFO [00017081] Port=0 RD @04 + 17080.50ns INFO [00017082] * RD COMPARE * port=0 adr=03 act=1AE1232D1571429761 exp=1AE1232D1571429761 + 17080.50ns INFO [00017082] * RD COMPARE * port=1 adr=00 act=3EA812247F7BC0165F exp=3EA812247F7BC0165F + 17080.50ns INFO [00017082] Port=0 RD @01 + 17080.50ns INFO [00017082] Port=1 RD @06 + 17081.50ns INFO [00017083] * RD COMPARE * port=0 adr=04 act=6E6D0C5CC211E8BFEF exp=6E6D0C5CC211E8BFEF + 17081.50ns INFO [00017083] Port=0 RD @07 + 17082.50ns INFO [00017084] * RD COMPARE * port=0 adr=01 act=B7F245AF44A1FAAB99 exp=B7F245AF44A1FAAB99 + 17082.50ns INFO [00017084] * RD COMPARE * port=1 adr=06 act=2244FEC3F49DA46631 exp=2244FEC3F49DA46631 + 17082.50ns INFO [00017084] Port=1 RD @05 + 17083.50ns INFO [00017085] * RD COMPARE * port=0 adr=07 act=60C79E5FF7BB922A47 exp=60C79E5FF7BB922A47 + 17083.50ns INFO [00017085] Port=0 WR @07=0C02AEF3072941DD83 + 17083.50ns INFO [00017085] Port=1 RD @05 + 17084.50ns INFO [00017086] * RD COMPARE * port=1 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17084.50ns INFO [00017086] Port=0 WR @07=69F6E5C25D4A8694C5 + 17084.50ns INFO [00017086] Port=0 RD @02 + 17085.50ns INFO [00017087] * RD COMPARE * port=1 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17085.50ns INFO [00017087] Port=1 RD @07 + 17086.50ns INFO [00017088] * RD COMPARE * port=0 adr=02 act=FC9F2BAB38B69C7C88 exp=FC9F2BAB38B69C7C88 + 17086.50ns INFO [00017088] Port=0 RD @00 + 17086.50ns INFO [00017088] Port=1 RD @03 + 17087.50ns INFO [00017089] * RD COMPARE * port=1 adr=07 act=69F6E5C25D4A8694C5 exp=69F6E5C25D4A8694C5 + 17088.50ns INFO [00017090] * RD COMPARE * port=0 adr=00 act=DE7F67EF046AE6D3BB exp=DE7F67EF046AE6D3BB + 17088.50ns INFO [00017090] * RD COMPARE * port=1 adr=03 act=1AE1232D1571429761 exp=1AE1232D1571429761 + 17088.50ns INFO [00017090] Port=0 WR @06=3C9410D6862AFBC516 + 17088.50ns INFO [00017090] Port=0 RD @05 + 17089.50ns INFO [00017091] Port=0 WR @02=C0F74003C5744B6960 + 17090.50ns INFO [00017092] * RD COMPARE * port=0 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17091.50ns INFO [00017093] Port=1 RD @01 + 17093.50ns INFO [00017095] * RD COMPARE * port=1 adr=01 act=B7F245AF44A1FAAB99 exp=B7F245AF44A1FAAB99 + 17093.50ns INFO [00017095] Port=0 WR @07=3F1364AE32E18903A3 + 17095.50ns INFO [00017097] Port=0 WR @03=ACDC6486495D3EC901 + 17095.50ns INFO [00017097] Port=0 RD @01 + 17096.50ns INFO [00017098] Port=0 WR @07=0CC0B9823CF94A8E40 + 17097.50ns INFO [00017099] * RD COMPARE * port=0 adr=01 act=B7F245AF44A1FAAB99 exp=B7F245AF44A1FAAB99 + 17097.50ns INFO [00017099] Port=0 RD @00 + 17098.00ns INFO [00017100] [00017100] ...tick... + 17099.50ns INFO [00017101] * RD COMPARE * port=0 adr=00 act=DE7F67EF046AE6D3BB exp=DE7F67EF046AE6D3BB + 17099.50ns INFO [00017101] Port=0 RD @05 + 17099.50ns INFO [00017101] Port=1 RD @06 + 17100.50ns INFO [00017102] Port=0 WR @01=68D277DE1C27ADC5C4 + 17100.50ns INFO [00017102] Port=1 RD @04 + 17101.50ns INFO [00017103] * RD COMPARE * port=0 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17101.50ns INFO [00017103] * RD COMPARE * port=1 adr=06 act=3C9410D6862AFBC516 exp=3C9410D6862AFBC516 + 17101.50ns INFO [00017103] Port=0 WR @06=85E2DEAA3C8E8A3B49 + 17101.50ns INFO [00017103] Port=1 RD @01 + 17102.50ns INFO [00017104] * RD COMPARE * port=1 adr=04 act=6E6D0C5CC211E8BFEF exp=6E6D0C5CC211E8BFEF + 17102.50ns INFO [00017104] Port=0 WR @03=0847C72D850476BB36 + 17102.50ns INFO [00017104] Port=1 RD @05 + 17103.50ns INFO [00017105] * RD COMPARE * port=1 adr=01 act=68D277DE1C27ADC5C4 exp=68D277DE1C27ADC5C4 + 17104.50ns INFO [00017106] * RD COMPARE * port=1 adr=05 act=4492F566B24D83EA60 exp=4492F566B24D83EA60 + 17104.50ns INFO [00017106] Port=0 WR @00=17881B259958325CCD + 17104.50ns INFO [00017106] Port=0 RD @04 + 17105.50ns INFO [00017107] Port=0 WR @07=C5F46D1414D6F6A1E1 + 17105.50ns INFO [00017107] Port=1 RD @03 + 17106.50ns INFO [00017108] * RD COMPARE * port=0 adr=04 act=6E6D0C5CC211E8BFEF exp=6E6D0C5CC211E8BFEF + 17107.50ns INFO [00017109] * RD COMPARE * port=1 adr=03 act=0847C72D850476BB36 exp=0847C72D850476BB36 + 17108.50ns INFO [00017110] Port=1 RD @02 + 17109.50ns INFO [00017111] Port=0 RD @06 + 17110.50ns INFO [00017112] * RD COMPARE * port=1 adr=02 act=C0F74003C5744B6960 exp=C0F74003C5744B6960 + 17110.50ns INFO [00017112] Port=0 WR @05=4F2783BDA91D1DABA1 + 17110.50ns INFO [00017112] Port=0 RD @06 + 17110.50ns INFO [00017112] Port=1 RD @03 + 17111.50ns INFO [00017113] * RD COMPARE * port=0 adr=06 act=85E2DEAA3C8E8A3B49 exp=85E2DEAA3C8E8A3B49 + 17112.50ns INFO [00017114] * RD COMPARE * port=0 adr=06 act=85E2DEAA3C8E8A3B49 exp=85E2DEAA3C8E8A3B49 + 17112.50ns INFO [00017114] * RD COMPARE * port=1 adr=03 act=0847C72D850476BB36 exp=0847C72D850476BB36 + 17112.50ns INFO [00017114] Port=1 RD @01 + 17113.50ns INFO [00017115] Port=0 WR @06=D530C4E97EB4D722D2 + 17114.50ns INFO [00017116] * RD COMPARE * port=1 adr=01 act=68D277DE1C27ADC5C4 exp=68D277DE1C27ADC5C4 + 17114.50ns INFO [00017116] Port=1 RD @03 + 17116.50ns INFO [00017118] * RD COMPARE * port=1 adr=03 act=0847C72D850476BB36 exp=0847C72D850476BB36 + 17116.50ns INFO [00017118] Port=0 WR @00=446F3F7B117BCA5512 + 17117.50ns INFO [00017119] Port=0 WR @00=787397347DEF4478FE + 17118.50ns INFO [00017120] Port=0 RD @01 + 17119.50ns INFO [00017121] Port=0 WR @00=21AD8194A3B2E425BD + 17119.50ns INFO [00017121] Port=1 RD @03 + 17120.50ns INFO [00017122] * RD COMPARE * port=0 adr=01 act=68D277DE1C27ADC5C4 exp=68D277DE1C27ADC5C4 + 17120.50ns INFO [00017122] Port=0 WR @01=61CA15A1603D2A6045 + 17120.50ns INFO [00017122] Port=0 RD @03 + 17120.50ns INFO [00017122] Port=1 RD @00 + 17121.50ns INFO [00017123] * RD COMPARE * port=1 adr=03 act=0847C72D850476BB36 exp=0847C72D850476BB36 + 17121.50ns INFO [00017123] Port=0 WR @01=5E272A560E4DC05779 + 17122.50ns INFO [00017124] * RD COMPARE * port=0 adr=03 act=0847C72D850476BB36 exp=0847C72D850476BB36 + 17122.50ns INFO [00017124] * RD COMPARE * port=1 adr=00 act=21AD8194A3B2E425BD exp=21AD8194A3B2E425BD + 17123.50ns INFO [00017125] Port=0 WR @00=974D446A3E8E709E43 + 17123.50ns INFO [00017125] Port=1 RD @02 + 17124.50ns INFO [00017126] Port=0 WR @03=70E0E20E90A2E78C82 + 17125.50ns INFO [00017127] * RD COMPARE * port=1 adr=02 act=C0F74003C5744B6960 exp=C0F74003C5744B6960 + 17126.50ns INFO [00017128] Port=1 RD @02 + 17128.50ns INFO [00017130] * RD COMPARE * port=1 adr=02 act=C0F74003C5744B6960 exp=C0F74003C5744B6960 + 17128.50ns INFO [00017130] Port=0 RD @06 + 17128.50ns INFO [00017130] Port=1 RD @07 + 17129.50ns INFO [00017131] Port=0 WR @06=A1F8F4A09ACAD0BE63 + 17129.50ns INFO [00017131] Port=1 RD @00 + 17130.50ns INFO [00017132] * RD COMPARE * port=0 adr=06 act=D530C4E97EB4D722D2 exp=D530C4E97EB4D722D2 + 17130.50ns INFO [00017132] * RD COMPARE * port=1 adr=07 act=C5F46D1414D6F6A1E1 exp=C5F46D1414D6F6A1E1 + 17130.50ns INFO [00017132] Port=1 RD @00 + 17131.50ns INFO [00017133] * RD COMPARE * port=1 adr=00 act=974D446A3E8E709E43 exp=974D446A3E8E709E43 + 17131.50ns INFO [00017133] Port=0 WR @07=3353BCD36F63D5680B + 17131.50ns INFO [00017133] Port=0 RD @01 + 17132.50ns INFO [00017134] * RD COMPARE * port=1 adr=00 act=974D446A3E8E709E43 exp=974D446A3E8E709E43 + 17133.50ns INFO [00017135] * RD COMPARE * port=0 adr=01 act=5E272A560E4DC05779 exp=5E272A560E4DC05779 + 17133.50ns INFO [00017135] Port=0 RD @05 + 17133.50ns INFO [00017135] Port=1 RD @07 + 17135.50ns INFO [00017137] * RD COMPARE * port=0 adr=05 act=4F2783BDA91D1DABA1 exp=4F2783BDA91D1DABA1 + 17135.50ns INFO [00017137] * RD COMPARE * port=1 adr=07 act=3353BCD36F63D5680B exp=3353BCD36F63D5680B + 17135.50ns INFO [00017137] Port=0 RD @02 + 17135.50ns INFO [00017137] Port=1 RD @02 + 17136.50ns INFO [00017138] Port=1 RD @01 + 17137.50ns INFO [00017139] * RD COMPARE * port=0 adr=02 act=C0F74003C5744B6960 exp=C0F74003C5744B6960 + 17137.50ns INFO [00017139] * RD COMPARE * port=1 adr=02 act=C0F74003C5744B6960 exp=C0F74003C5744B6960 + 17137.50ns INFO [00017139] Port=0 WR @00=E442A532D4D05EF3AD + 17138.50ns INFO [00017140] * RD COMPARE * port=1 adr=01 act=5E272A560E4DC05779 exp=5E272A560E4DC05779 + 17138.50ns INFO [00017140] Port=0 WR @05=B7DB26F04E06A1EDAE + 17139.50ns INFO [00017141] Port=0 WR @03=B652F99C226ABC2832 + 17141.50ns INFO [00017143] Port=0 WR @02=AC6E2B8067BDD94286 + 17141.50ns INFO [00017143] Port=1 RD @05 + 17142.50ns INFO [00017144] Port=1 RD @07 + 17143.50ns INFO [00017145] * RD COMPARE * port=1 adr=05 act=B7DB26F04E06A1EDAE exp=B7DB26F04E06A1EDAE + 17143.50ns INFO [00017145] Port=0 RD @06 + 17143.50ns INFO [00017145] Port=1 RD @00 + 17144.50ns INFO [00017146] * RD COMPARE * port=1 adr=07 act=3353BCD36F63D5680B exp=3353BCD36F63D5680B + 17144.50ns INFO [00017146] Port=0 WR @07=7C62B9BE2598F04932 + 17145.50ns INFO [00017147] * RD COMPARE * port=0 adr=06 act=A1F8F4A09ACAD0BE63 exp=A1F8F4A09ACAD0BE63 + 17145.50ns INFO [00017147] * RD COMPARE * port=1 adr=00 act=E442A532D4D05EF3AD exp=E442A532D4D05EF3AD + 17145.50ns INFO [00017147] Port=0 RD @06 + 17146.50ns INFO [00017148] Port=0 WR @03=94ACF9BF2E2390E446 + 17146.50ns INFO [00017148] Port=0 RD @04 + 17146.50ns INFO [00017148] Port=1 RD @05 + 17147.50ns INFO [00017149] * RD COMPARE * port=0 adr=06 act=A1F8F4A09ACAD0BE63 exp=A1F8F4A09ACAD0BE63 + 17148.50ns INFO [00017150] * RD COMPARE * port=0 adr=04 act=6E6D0C5CC211E8BFEF exp=6E6D0C5CC211E8BFEF + 17148.50ns INFO [00017150] * RD COMPARE * port=1 adr=05 act=B7DB26F04E06A1EDAE exp=B7DB26F04E06A1EDAE + 17148.50ns INFO [00017150] Port=1 RD @01 + 17149.50ns INFO [00017151] Port=0 RD @02 + 17150.50ns INFO [00017152] * RD COMPARE * port=1 adr=01 act=5E272A560E4DC05779 exp=5E272A560E4DC05779 + 17150.50ns INFO [00017152] Port=1 RD @04 + 17151.50ns INFO [00017153] * RD COMPARE * port=0 adr=02 act=AC6E2B8067BDD94286 exp=AC6E2B8067BDD94286 + 17151.50ns INFO [00017153] Port=0 WR @04=5B35DA9D272B3AB5DD + 17152.50ns INFO [00017154] * RD COMPARE * port=1 adr=04 act=6E6D0C5CC211E8BFEF exp=6E6D0C5CC211E8BFEF + 17152.50ns INFO [00017154] Port=0 RD @02 + 17153.50ns INFO [00017155] Port=1 RD @01 + 17154.50ns INFO [00017156] * RD COMPARE * port=0 adr=02 act=AC6E2B8067BDD94286 exp=AC6E2B8067BDD94286 + 17154.50ns INFO [00017156] Port=1 RD @00 + 17155.50ns INFO [00017157] * RD COMPARE * port=1 adr=01 act=5E272A560E4DC05779 exp=5E272A560E4DC05779 + 17156.50ns INFO [00017158] * RD COMPARE * port=1 adr=00 act=E442A532D4D05EF3AD exp=E442A532D4D05EF3AD + 17156.50ns INFO [00017158] Port=1 RD @02 + 17157.50ns INFO [00017159] Port=1 RD @04 + 17158.50ns INFO [00017160] * RD COMPARE * port=1 adr=02 act=AC6E2B8067BDD94286 exp=AC6E2B8067BDD94286 + 17158.50ns INFO [00017160] Port=0 WR @03=930BE94B6B1F9C8105 + 17159.50ns INFO [00017161] * RD COMPARE * port=1 adr=04 act=5B35DA9D272B3AB5DD exp=5B35DA9D272B3AB5DD + 17163.50ns INFO [00017165] Port=0 RD @03 + 17163.50ns INFO [00017165] Port=1 RD @01 + 17164.50ns INFO [00017166] Port=1 RD @04 + 17165.50ns INFO [00017167] * RD COMPARE * port=0 adr=03 act=930BE94B6B1F9C8105 exp=930BE94B6B1F9C8105 + 17165.50ns INFO [00017167] * RD COMPARE * port=1 adr=01 act=5E272A560E4DC05779 exp=5E272A560E4DC05779 + 17165.50ns INFO [00017167] Port=0 RD @07 + 17166.50ns INFO [00017168] * RD COMPARE * port=1 adr=04 act=5B35DA9D272B3AB5DD exp=5B35DA9D272B3AB5DD + 17166.50ns INFO [00017168] Port=0 WR @05=5ED3B2EC7DED258050 + 17167.50ns INFO [00017169] * RD COMPARE * port=0 adr=07 act=7C62B9BE2598F04932 exp=7C62B9BE2598F04932 + 17167.50ns INFO [00017169] Port=1 RD @05 + 17168.50ns INFO [00017170] Port=0 WR @02=B550F6A54BAA79EF4B + 17169.50ns INFO [00017171] * RD COMPARE * port=1 adr=05 act=5ED3B2EC7DED258050 exp=5ED3B2EC7DED258050 + 17169.50ns INFO [00017171] Port=0 RD @05 + 17169.50ns INFO [00017171] Port=1 RD @02 + 17170.50ns INFO [00017172] Port=1 RD @02 + 17171.50ns INFO [00017173] * RD COMPARE * port=0 adr=05 act=5ED3B2EC7DED258050 exp=5ED3B2EC7DED258050 + 17171.50ns INFO [00017173] * RD COMPARE * port=1 adr=02 act=B550F6A54BAA79EF4B exp=B550F6A54BAA79EF4B + 17171.50ns INFO [00017173] Port=1 RD @04 + 17172.50ns INFO [00017174] * RD COMPARE * port=1 adr=02 act=B550F6A54BAA79EF4B exp=B550F6A54BAA79EF4B + 17172.50ns INFO [00017174] Port=1 RD @05 + 17173.50ns INFO [00017175] * RD COMPARE * port=1 adr=04 act=5B35DA9D272B3AB5DD exp=5B35DA9D272B3AB5DD + 17174.50ns INFO [00017176] * RD COMPARE * port=1 adr=05 act=5ED3B2EC7DED258050 exp=5ED3B2EC7DED258050 + 17174.50ns INFO [00017176] Port=1 RD @02 + 17175.50ns INFO [00017177] Port=0 RD @01 + 17176.50ns INFO [00017178] * RD COMPARE * port=1 adr=02 act=B550F6A54BAA79EF4B exp=B550F6A54BAA79EF4B + 17176.50ns INFO [00017178] Port=1 RD @03 + 17177.50ns INFO [00017179] * RD COMPARE * port=0 adr=01 act=5E272A560E4DC05779 exp=5E272A560E4DC05779 + 17178.50ns INFO [00017180] * RD COMPARE * port=1 adr=03 act=930BE94B6B1F9C8105 exp=930BE94B6B1F9C8105 + 17178.50ns INFO [00017180] Port=0 WR @02=96B9CCFF1C1E4B8BC9 + 17178.50ns INFO [00017180] Port=0 RD @06 + 17179.50ns INFO [00017181] Port=0 WR @07=8E3CFA8015C5ED0C4D + 17179.50ns INFO [00017181] Port=0 RD @01 + 17179.50ns INFO [00017181] Port=1 RD @02 + 17180.50ns INFO [00017182] * RD COMPARE * port=0 adr=06 act=A1F8F4A09ACAD0BE63 exp=A1F8F4A09ACAD0BE63 + 17180.50ns INFO [00017182] Port=0 WR @04=1A6A45D79449F89DA8 + 17181.50ns INFO [00017183] * RD COMPARE * port=0 adr=01 act=5E272A560E4DC05779 exp=5E272A560E4DC05779 + 17181.50ns INFO [00017183] * RD COMPARE * port=1 adr=02 act=96B9CCFF1C1E4B8BC9 exp=96B9CCFF1C1E4B8BC9 + 17181.50ns INFO [00017183] Port=0 WR @07=A04A1C7BA4F3BA53E0 + 17181.50ns INFO [00017183] Port=0 RD @00 + 17181.50ns INFO [00017183] Port=1 RD @06 + 17182.50ns INFO [00017184] Port=0 RD @06 + 17183.50ns INFO [00017185] * RD COMPARE * port=0 adr=00 act=E442A532D4D05EF3AD exp=E442A532D4D05EF3AD + 17183.50ns INFO [00017185] * RD COMPARE * port=1 adr=06 act=A1F8F4A09ACAD0BE63 exp=A1F8F4A09ACAD0BE63 + 17183.50ns INFO [00017185] Port=1 RD @07 + 17184.50ns INFO [00017186] * RD COMPARE * port=0 adr=06 act=A1F8F4A09ACAD0BE63 exp=A1F8F4A09ACAD0BE63 + 17185.50ns INFO [00017187] * RD COMPARE * port=1 adr=07 act=A04A1C7BA4F3BA53E0 exp=A04A1C7BA4F3BA53E0 + 17188.50ns INFO [00017190] Port=0 WR @07=7C29079FD9E5CEBD9D + 17188.50ns INFO [00017190] Port=0 RD @04 + 17190.50ns INFO [00017192] * RD COMPARE * port=0 adr=04 act=1A6A45D79449F89DA8 exp=1A6A45D79449F89DA8 + 17190.50ns INFO [00017192] Port=0 RD @01 + 17190.50ns INFO [00017192] Port=1 RD @01 + 17191.50ns INFO [00017193] Port=0 WR @07=283B0448D92511A7CF + 17191.50ns INFO [00017193] Port=0 RD @04 + 17191.50ns INFO [00017193] Port=1 RD @01 + 17192.50ns INFO [00017194] * RD COMPARE * port=0 adr=01 act=5E272A560E4DC05779 exp=5E272A560E4DC05779 + 17192.50ns INFO [00017194] * RD COMPARE * port=1 adr=01 act=5E272A560E4DC05779 exp=5E272A560E4DC05779 + 17192.50ns INFO [00017194] Port=0 WR @05=4E994DE56476B2E768 + 17193.50ns INFO [00017195] * RD COMPARE * port=0 adr=04 act=1A6A45D79449F89DA8 exp=1A6A45D79449F89DA8 + 17193.50ns INFO [00017195] * RD COMPARE * port=1 adr=01 act=5E272A560E4DC05779 exp=5E272A560E4DC05779 + 17193.50ns INFO [00017195] Port=0 WR @04=6823B09745FB3FC1FA + 17193.50ns INFO [00017195] Port=1 RD @02 + 17195.50ns INFO [00017197] * RD COMPARE * port=1 adr=02 act=96B9CCFF1C1E4B8BC9 exp=96B9CCFF1C1E4B8BC9 + 17196.50ns INFO [00017198] Port=0 WR @00=63B459A01CC0FC5EEC + 17196.50ns INFO [00017198] Port=1 RD @04 + 17197.50ns INFO [00017199] Port=0 WR @04=170F57E0D721700ED9 + 17198.00ns INFO [00017200] [00017200] ...tick... + 17198.50ns INFO [00017200] * RD COMPARE * port=1 adr=04 act=6823B09745FB3FC1FA exp=6823B09745FB3FC1FA + 17198.50ns INFO [00017200] Port=0 RD @00 + 17198.50ns INFO [00017200] Port=1 RD @03 + 17199.50ns INFO [00017201] Port=0 WR @01=136892EC389C9CB1D6 + 17199.50ns INFO [00017201] Port=0 RD @07 + 17199.50ns INFO [00017201] Port=1 RD @04 + 17200.50ns INFO [00017202] * RD COMPARE * port=0 adr=00 act=63B459A01CC0FC5EEC exp=63B459A01CC0FC5EEC + 17200.50ns INFO [00017202] * RD COMPARE * port=1 adr=03 act=930BE94B6B1F9C8105 exp=930BE94B6B1F9C8105 + 17200.50ns INFO [00017202] Port=0 WR @06=02DA47AE5ACAA20412 + 17201.50ns INFO [00017203] * RD COMPARE * port=0 adr=07 act=283B0448D92511A7CF exp=283B0448D92511A7CF + 17201.50ns INFO [00017203] * RD COMPARE * port=1 adr=04 act=170F57E0D721700ED9 exp=170F57E0D721700ED9 + 17201.50ns INFO [00017203] Port=0 RD @02 + 17201.50ns INFO [00017203] Port=1 RD @05 + 17203.50ns INFO [00017205] * RD COMPARE * port=0 adr=02 act=96B9CCFF1C1E4B8BC9 exp=96B9CCFF1C1E4B8BC9 + 17203.50ns INFO [00017205] * RD COMPARE * port=1 adr=05 act=4E994DE56476B2E768 exp=4E994DE56476B2E768 + 17203.50ns INFO [00017205] Port=0 WR @03=09F4095F0DDAE43A48 + 17203.50ns INFO [00017205] Port=1 RD @02 + 17204.50ns INFO [00017206] Port=0 RD @06 + 17205.50ns INFO [00017207] * RD COMPARE * port=1 adr=02 act=96B9CCFF1C1E4B8BC9 exp=96B9CCFF1C1E4B8BC9 + 17205.50ns INFO [00017207] Port=0 WR @01=ACBEC5E517B5110408 + 17206.50ns INFO [00017208] * RD COMPARE * port=0 adr=06 act=02DA47AE5ACAA20412 exp=02DA47AE5ACAA20412 + 17206.50ns INFO [00017208] Port=0 WR @03=882CD57E6A25C19E8C + 17206.50ns INFO [00017208] Port=1 RD @01 + 17207.50ns INFO [00017209] Port=0 WR @02=257F753C327E8A0342 + 17208.50ns INFO [00017210] * RD COMPARE * port=1 adr=01 act=ACBEC5E517B5110408 exp=ACBEC5E517B5110408 + 17208.50ns INFO [00017210] Port=0 RD @06 + 17209.50ns INFO [00017211] Port=0 RD @03 + 17209.50ns INFO [00017211] Port=1 RD @03 + 17210.50ns INFO [00017212] * RD COMPARE * port=0 adr=06 act=02DA47AE5ACAA20412 exp=02DA47AE5ACAA20412 + 17210.50ns INFO [00017212] Port=1 RD @05 + 17211.50ns INFO [00017213] * RD COMPARE * port=0 adr=03 act=882CD57E6A25C19E8C exp=882CD57E6A25C19E8C + 17211.50ns INFO [00017213] * RD COMPARE * port=1 adr=03 act=882CD57E6A25C19E8C exp=882CD57E6A25C19E8C + 17212.50ns INFO [00017214] * RD COMPARE * port=1 adr=05 act=4E994DE56476B2E768 exp=4E994DE56476B2E768 + 17212.50ns INFO [00017214] Port=0 WR @06=3BC168E54052B97C4D + 17212.50ns INFO [00017214] Port=1 RD @07 + 17213.50ns INFO [00017215] Port=0 RD @05 + 17214.50ns INFO [00017216] * RD COMPARE * port=1 adr=07 act=283B0448D92511A7CF exp=283B0448D92511A7CF + 17215.50ns INFO [00017217] * RD COMPARE * port=0 adr=05 act=4E994DE56476B2E768 exp=4E994DE56476B2E768 + 17216.50ns INFO [00017218] Port=0 WR @07=9D1C98FFE4E0FB2B18 + 17219.50ns INFO [00017221] Port=0 RD @02 + 17220.50ns INFO [00017222] Port=0 WR @04=038A7CE714191877FA + 17220.50ns INFO [00017222] Port=1 RD @02 + 17221.50ns INFO [00017223] * RD COMPARE * port=0 adr=02 act=257F753C327E8A0342 exp=257F753C327E8A0342 + 17221.50ns INFO [00017223] Port=0 WR @00=EB09EB0DAE2041BB37 + 17222.50ns INFO [00017224] * RD COMPARE * port=1 adr=02 act=257F753C327E8A0342 exp=257F753C327E8A0342 + 17222.50ns INFO [00017224] Port=0 WR @00=FD236FDA53EB126C57 + 17223.50ns INFO [00017225] Port=0 RD @01 + 17224.50ns INFO [00017226] Port=0 WR @02=225BC0F2DB66E247B7 + 17224.50ns INFO [00017226] Port=0 RD @01 + 17225.50ns INFO [00017227] * RD COMPARE * port=0 adr=01 act=ACBEC5E517B5110408 exp=ACBEC5E517B5110408 + 17225.50ns INFO [00017227] Port=0 RD @03 + 17225.50ns INFO [00017227] Port=1 RD @01 + 17226.50ns INFO [00017228] * RD COMPARE * port=0 adr=01 act=ACBEC5E517B5110408 exp=ACBEC5E517B5110408 + 17227.50ns INFO [00017229] * RD COMPARE * port=0 adr=03 act=882CD57E6A25C19E8C exp=882CD57E6A25C19E8C + 17227.50ns INFO [00017229] * RD COMPARE * port=1 adr=01 act=ACBEC5E517B5110408 exp=ACBEC5E517B5110408 + 17227.50ns INFO [00017229] Port=0 WR @02=5BD8F9C88F926609D9 + 17227.50ns INFO [00017229] Port=0 RD @04 + 17228.50ns INFO [00017230] Port=0 WR @04=AA3600804EE9761A97 + 17229.50ns INFO [00017231] * RD COMPARE * port=0 adr=04 act=038A7CE714191877FA exp=038A7CE714191877FA + 17230.50ns INFO [00017232] Port=0 RD @03 + 17230.50ns INFO [00017232] Port=1 RD @03 + 17231.50ns INFO [00017233] Port=0 WR @03=A9C16CD7C47802932C + 17232.50ns INFO [00017234] * RD COMPARE * port=0 adr=03 act=882CD57E6A25C19E8C exp=882CD57E6A25C19E8C + 17232.50ns INFO [00017234] * RD COMPARE * port=1 adr=03 act=882CD57E6A25C19E8C exp=882CD57E6A25C19E8C + 17233.50ns INFO [00017235] Port=0 WR @04=F58A7A45755092790A + 17233.50ns INFO [00017235] Port=0 RD @03 + 17233.50ns INFO [00017235] Port=1 RD @02 + 17234.50ns INFO [00017236] Port=1 RD @04 + 17235.50ns INFO [00017237] * RD COMPARE * port=0 adr=03 act=A9C16CD7C47802932C exp=A9C16CD7C47802932C + 17235.50ns INFO [00017237] * RD COMPARE * port=1 adr=02 act=5BD8F9C88F926609D9 exp=5BD8F9C88F926609D9 + 17235.50ns INFO [00017237] Port=0 WR @00=C6C1A2D82453E128C4 + 17235.50ns INFO [00017237] Port=1 RD @01 + 17236.50ns INFO [00017238] * RD COMPARE * port=1 adr=04 act=F58A7A45755092790A exp=F58A7A45755092790A + 17236.50ns INFO [00017238] Port=0 WR @04=32F1C1B7F0FB084C14 + 17236.50ns INFO [00017238] Port=1 RD @00 + 17237.50ns INFO [00017239] * RD COMPARE * port=1 adr=01 act=ACBEC5E517B5110408 exp=ACBEC5E517B5110408 + 17237.50ns INFO [00017239] Port=0 RD @05 + 17237.50ns INFO [00017239] Port=1 RD @06 + 17238.50ns INFO [00017240] * RD COMPARE * port=1 adr=00 act=C6C1A2D82453E128C4 exp=C6C1A2D82453E128C4 + 17238.50ns INFO [00017240] Port=0 WR @05=A7D7C3025480745D2F + 17238.50ns INFO [00017240] Port=0 RD @06 + 17239.50ns INFO [00017241] * RD COMPARE * port=0 adr=05 act=4E994DE56476B2E768 exp=4E994DE56476B2E768 + 17239.50ns INFO [00017241] * RD COMPARE * port=1 adr=06 act=3BC168E54052B97C4D exp=3BC168E54052B97C4D + 17240.50ns INFO [00017242] * RD COMPARE * port=0 adr=06 act=3BC168E54052B97C4D exp=3BC168E54052B97C4D + 17240.50ns INFO [00017242] Port=0 WR @05=5DF40639B240CD940F + 17242.50ns INFO [00017244] Port=0 RD @03 + 17242.50ns INFO [00017244] Port=1 RD @02 + 17243.50ns INFO [00017245] Port=1 RD @06 + 17244.50ns INFO [00017246] * RD COMPARE * port=0 adr=03 act=A9C16CD7C47802932C exp=A9C16CD7C47802932C + 17244.50ns INFO [00017246] * RD COMPARE * port=1 adr=02 act=5BD8F9C88F926609D9 exp=5BD8F9C88F926609D9 + 17245.50ns INFO [00017247] * RD COMPARE * port=1 adr=06 act=3BC168E54052B97C4D exp=3BC168E54052B97C4D + 17247.50ns INFO [00017249] Port=0 RD @01 + 17248.50ns INFO [00017250] Port=0 RD @07 + 17249.50ns INFO [00017251] * RD COMPARE * port=0 adr=01 act=ACBEC5E517B5110408 exp=ACBEC5E517B5110408 + 17249.50ns INFO [00017251] Port=1 RD @03 + 17250.50ns INFO [00017252] * RD COMPARE * port=0 adr=07 act=9D1C98FFE4E0FB2B18 exp=9D1C98FFE4E0FB2B18 + 17250.50ns INFO [00017252] Port=0 WR @03=BED14E7C7BB758DBD7 + 17251.50ns INFO [00017253] * RD COMPARE * port=1 adr=03 act=A9C16CD7C47802932C exp=A9C16CD7C47802932C + 17252.50ns INFO [00017254] Port=0 RD @05 + 17253.50ns INFO [00017255] Port=1 RD @03 + 17254.50ns INFO [00017256] * RD COMPARE * port=0 adr=05 act=5DF40639B240CD940F exp=5DF40639B240CD940F + 17254.50ns INFO [00017256] Port=0 WR @03=C609ECE6EF49DACDD0 + 17254.50ns INFO [00017256] Port=0 RD @01 + 17254.50ns INFO [00017256] Port=1 RD @07 + 17255.50ns INFO [00017257] * RD COMPARE * port=1 adr=03 act=BED14E7C7BB758DBD7 exp=BED14E7C7BB758DBD7 + 17255.50ns INFO [00017257] Port=0 RD @03 + 17255.50ns INFO [00017257] Port=1 RD @06 + 17256.50ns INFO [00017258] * RD COMPARE * port=0 adr=01 act=ACBEC5E517B5110408 exp=ACBEC5E517B5110408 + 17256.50ns INFO [00017258] * RD COMPARE * port=1 adr=07 act=9D1C98FFE4E0FB2B18 exp=9D1C98FFE4E0FB2B18 + 17257.50ns INFO [00017259] * RD COMPARE * port=0 adr=03 act=C609ECE6EF49DACDD0 exp=C609ECE6EF49DACDD0 + 17257.50ns INFO [00017259] * RD COMPARE * port=1 adr=06 act=3BC168E54052B97C4D exp=3BC168E54052B97C4D + 17259.50ns INFO [00017261] Port=0 WR @02=6B125425AC2D08ADE5 + 17259.50ns INFO [00017261] Port=0 RD @01 + 17259.50ns INFO [00017261] Port=1 RD @06 + 17260.50ns INFO [00017262] Port=0 RD @05 + 17261.50ns INFO [00017263] * RD COMPARE * port=0 adr=01 act=ACBEC5E517B5110408 exp=ACBEC5E517B5110408 + 17261.50ns INFO [00017263] * RD COMPARE * port=1 adr=06 act=3BC168E54052B97C4D exp=3BC168E54052B97C4D + 17262.50ns INFO [00017264] * RD COMPARE * port=0 adr=05 act=5DF40639B240CD940F exp=5DF40639B240CD940F + 17262.50ns INFO [00017264] Port=0 WR @00=8CC10472B981EBDFB1 + 17262.50ns INFO [00017264] Port=1 RD @02 + 17263.50ns INFO [00017265] Port=0 RD @04 + 17264.50ns INFO [00017266] * RD COMPARE * port=1 adr=02 act=6B125425AC2D08ADE5 exp=6B125425AC2D08ADE5 + 17264.50ns INFO [00017266] Port=0 WR @01=0283B0620B323EDBB3 + 17265.50ns INFO [00017267] * RD COMPARE * port=0 adr=04 act=32F1C1B7F0FB084C14 exp=32F1C1B7F0FB084C14 + 17265.50ns INFO [00017267] Port=0 WR @00=ABD05954902F87E7F0 + 17265.50ns INFO [00017267] Port=0 RD @03 + 17266.50ns INFO [00017268] Port=0 WR @05=2BBAF54300E4FE1C5E + 17266.50ns INFO [00017268] Port=0 RD @07 + 17267.50ns INFO [00017269] * RD COMPARE * port=0 adr=03 act=C609ECE6EF49DACDD0 exp=C609ECE6EF49DACDD0 + 17267.50ns INFO [00017269] Port=0 WR @06=B8AF44F9922DEC0FE6 + 17267.50ns INFO [00017269] Port=0 RD @01 + 17267.50ns INFO [00017269] Port=1 RD @00 + 17268.50ns INFO [00017270] * RD COMPARE * port=0 adr=07 act=9D1C98FFE4E0FB2B18 exp=9D1C98FFE4E0FB2B18 + 17268.50ns INFO [00017270] Port=1 RD @00 + 17269.50ns INFO [00017271] * RD COMPARE * port=0 adr=01 act=0283B0620B323EDBB3 exp=0283B0620B323EDBB3 + 17269.50ns INFO [00017271] * RD COMPARE * port=1 adr=00 act=ABD05954902F87E7F0 exp=ABD05954902F87E7F0 + 17269.50ns INFO [00017271] Port=0 RD @06 + 17269.50ns INFO [00017271] Port=1 RD @07 + 17270.50ns INFO [00017272] * RD COMPARE * port=1 adr=00 act=ABD05954902F87E7F0 exp=ABD05954902F87E7F0 + 17270.50ns INFO [00017272] Port=0 RD @00 + 17271.50ns INFO [00017273] * RD COMPARE * port=0 adr=06 act=B8AF44F9922DEC0FE6 exp=B8AF44F9922DEC0FE6 + 17271.50ns INFO [00017273] * RD COMPARE * port=1 adr=07 act=9D1C98FFE4E0FB2B18 exp=9D1C98FFE4E0FB2B18 + 17272.50ns INFO [00017274] * RD COMPARE * port=0 adr=00 act=ABD05954902F87E7F0 exp=ABD05954902F87E7F0 + 17272.50ns INFO [00017274] Port=0 RD @01 + 17272.50ns INFO [00017274] Port=1 RD @07 + 17274.50ns INFO [00017276] * RD COMPARE * port=0 adr=01 act=0283B0620B323EDBB3 exp=0283B0620B323EDBB3 + 17274.50ns INFO [00017276] * RD COMPARE * port=1 adr=07 act=9D1C98FFE4E0FB2B18 exp=9D1C98FFE4E0FB2B18 + 17274.50ns INFO [00017276] Port=0 WR @00=96C93C9134E3083FEB + 17274.50ns INFO [00017276] Port=0 RD @04 + 17274.50ns INFO [00017276] Port=1 RD @03 + 17275.50ns INFO [00017277] Port=0 RD @00 + 17276.50ns INFO [00017278] * RD COMPARE * port=0 adr=04 act=32F1C1B7F0FB084C14 exp=32F1C1B7F0FB084C14 + 17276.50ns INFO [00017278] * RD COMPARE * port=1 adr=03 act=C609ECE6EF49DACDD0 exp=C609ECE6EF49DACDD0 + 17276.50ns INFO [00017278] Port=0 WR @02=9B0DEB2191B5FB2C4C + 17276.50ns INFO [00017278] Port=0 RD @04 + 17276.50ns INFO [00017278] Port=1 RD @07 + 17277.50ns INFO [00017279] * RD COMPARE * port=0 adr=00 act=96C93C9134E3083FEB exp=96C93C9134E3083FEB + 17277.50ns INFO [00017279] Port=1 RD @00 + 17278.50ns INFO [00017280] * RD COMPARE * port=0 adr=04 act=32F1C1B7F0FB084C14 exp=32F1C1B7F0FB084C14 + 17278.50ns INFO [00017280] * RD COMPARE * port=1 adr=07 act=9D1C98FFE4E0FB2B18 exp=9D1C98FFE4E0FB2B18 + 17278.50ns INFO [00017280] Port=0 WR @02=D352437A2CEAB50147 + 17278.50ns INFO [00017280] Port=1 RD @03 + 17279.50ns INFO [00017281] * RD COMPARE * port=1 adr=00 act=96C93C9134E3083FEB exp=96C93C9134E3083FEB + 17280.50ns INFO [00017282] * RD COMPARE * port=1 adr=03 act=C609ECE6EF49DACDD0 exp=C609ECE6EF49DACDD0 + 17281.50ns INFO [00017283] Port=0 WR @00=FA00E71EABD5CCA11C + 17282.50ns INFO [00017284] Port=0 RD @05 + 17282.50ns INFO [00017284] Port=1 RD @05 + 17284.50ns INFO [00017286] * RD COMPARE * port=0 adr=05 act=2BBAF54300E4FE1C5E exp=2BBAF54300E4FE1C5E + 17284.50ns INFO [00017286] * RD COMPARE * port=1 adr=05 act=2BBAF54300E4FE1C5E exp=2BBAF54300E4FE1C5E + 17284.50ns INFO [00017286] Port=0 WR @00=FE1F2DC77BC57695C8 + 17285.50ns INFO [00017287] Port=0 WR @03=AAFD7436ECBAECA314 + 17285.50ns INFO [00017287] Port=1 RD @02 + 17286.50ns INFO [00017288] Port=0 WR @02=82A4E86A9EC798B1DB + 17286.50ns INFO [00017288] Port=0 RD @04 + 17286.50ns INFO [00017288] Port=1 RD @06 + 17287.50ns INFO [00017289] * RD COMPARE * port=1 adr=02 act=D352437A2CEAB50147 exp=D352437A2CEAB50147 + 17287.50ns INFO [00017289] Port=1 RD @07 + 17288.50ns INFO [00017290] * RD COMPARE * port=0 adr=04 act=32F1C1B7F0FB084C14 exp=32F1C1B7F0FB084C14 + 17288.50ns INFO [00017290] * RD COMPARE * port=1 adr=06 act=B8AF44F9922DEC0FE6 exp=B8AF44F9922DEC0FE6 + 17288.50ns INFO [00017290] Port=0 WR @05=0D0C8215AF7E826813 + 17288.50ns INFO [00017290] Port=0 RD @04 + 17289.50ns INFO [00017291] * RD COMPARE * port=1 adr=07 act=9D1C98FFE4E0FB2B18 exp=9D1C98FFE4E0FB2B18 + 17290.50ns INFO [00017292] * RD COMPARE * port=0 adr=04 act=32F1C1B7F0FB084C14 exp=32F1C1B7F0FB084C14 + 17291.50ns INFO [00017293] Port=0 RD @05 + 17292.50ns INFO [00017294] Port=0 RD @03 + 17293.50ns INFO [00017295] * RD COMPARE * port=0 adr=05 act=0D0C8215AF7E826813 exp=0D0C8215AF7E826813 + 17294.50ns INFO [00017296] * RD COMPARE * port=0 adr=03 act=AAFD7436ECBAECA314 exp=AAFD7436ECBAECA314 + 17294.50ns INFO [00017296] Port=0 WR @03=133CDA3EEA9EF95FD9 + 17295.50ns INFO [00017297] Port=0 WR @04=817DB1D1C382155589 + 17295.50ns INFO [00017297] Port=1 RD @00 + 17296.50ns INFO [00017298] Port=1 RD @01 + 17297.50ns INFO [00017299] * RD COMPARE * port=1 adr=00 act=FE1F2DC77BC57695C8 exp=FE1F2DC77BC57695C8 + 17297.50ns INFO [00017299] Port=0 RD @07 + 17298.00ns INFO [00017300] [00017300] ...tick... + 17298.50ns INFO [00017300] * RD COMPARE * port=1 adr=01 act=0283B0620B323EDBB3 exp=0283B0620B323EDBB3 + 17298.50ns INFO [00017300] Port=0 WR @07=1764FF06781D8F6C39 + 17298.50ns INFO [00017300] Port=0 RD @02 + 17299.50ns INFO [00017301] * RD COMPARE * port=0 adr=07 act=9D1C98FFE4E0FB2B18 exp=9D1C98FFE4E0FB2B18 + 17299.50ns INFO [00017301] Port=0 RD @00 + 17299.50ns INFO [00017301] Port=1 RD @03 + 17300.50ns INFO [00017302] * RD COMPARE * port=0 adr=02 act=82A4E86A9EC798B1DB exp=82A4E86A9EC798B1DB + 17301.50ns INFO [00017303] * RD COMPARE * port=0 adr=00 act=FE1F2DC77BC57695C8 exp=FE1F2DC77BC57695C8 + 17301.50ns INFO [00017303] * RD COMPARE * port=1 adr=03 act=133CDA3EEA9EF95FD9 exp=133CDA3EEA9EF95FD9 + 17301.50ns INFO [00017303] Port=0 WR @07=966383075D348DE8F8 + 17301.50ns INFO [00017303] Port=0 RD @02 + 17301.50ns INFO [00017303] Port=1 RD @00 + 17302.50ns INFO [00017304] Port=0 WR @07=A956A823FFD285D84E + 17302.50ns INFO [00017304] Port=1 RD @03 + 17303.50ns INFO [00017305] * RD COMPARE * port=0 adr=02 act=82A4E86A9EC798B1DB exp=82A4E86A9EC798B1DB + 17303.50ns INFO [00017305] * RD COMPARE * port=1 adr=00 act=FE1F2DC77BC57695C8 exp=FE1F2DC77BC57695C8 + 17303.50ns INFO [00017305] Port=0 WR @03=C531488CCBE5ED2C03 + 17304.50ns INFO [00017306] * RD COMPARE * port=1 adr=03 act=133CDA3EEA9EF95FD9 exp=133CDA3EEA9EF95FD9 + 17304.50ns INFO [00017306] Port=0 WR @02=1AD8A1D10B63BA729E + 17304.50ns INFO [00017306] Port=0 RD @04 + 17304.50ns INFO [00017306] Port=1 RD @07 + 17306.50ns INFO [00017308] * RD COMPARE * port=0 adr=04 act=817DB1D1C382155589 exp=817DB1D1C382155589 + 17306.50ns INFO [00017308] * RD COMPARE * port=1 adr=07 act=A956A823FFD285D84E exp=A956A823FFD285D84E + 17306.50ns INFO [00017308] Port=0 WR @02=262E4CDD7C2F5B5003 + 17307.50ns INFO [00017309] Port=0 WR @00=1A9B8FE52324A42AD5 + 17307.50ns INFO [00017309] Port=1 RD @06 + 17308.50ns INFO [00017310] Port=0 WR @02=68EA5CE971A7D90AD8 + 17309.50ns INFO [00017311] * RD COMPARE * port=1 adr=06 act=B8AF44F9922DEC0FE6 exp=B8AF44F9922DEC0FE6 + 17310.50ns INFO [00017312] Port=0 WR @00=C0F6925B085A913A65 + 17310.50ns INFO [00017312] Port=1 RD @03 + 17311.50ns INFO [00017313] Port=0 WR @05=95F8DD7E79B5C0501C + 17311.50ns INFO [00017313] Port=0 RD @04 + 17311.50ns INFO [00017313] Port=1 RD @03 + 17312.50ns INFO [00017314] * RD COMPARE * port=1 adr=03 act=C531488CCBE5ED2C03 exp=C531488CCBE5ED2C03 + 17312.50ns INFO [00017314] Port=0 WR @03=538D98BD472A078F6E + 17312.50ns INFO [00017314] Port=1 RD @07 + 17313.50ns INFO [00017315] * RD COMPARE * port=0 adr=04 act=817DB1D1C382155589 exp=817DB1D1C382155589 + 17313.50ns INFO [00017315] * RD COMPARE * port=1 adr=03 act=C531488CCBE5ED2C03 exp=C531488CCBE5ED2C03 + 17313.50ns INFO [00017315] Port=0 WR @04=BA804012ADB00E06C6 + 17313.50ns INFO [00017315] Port=1 RD @05 + 17314.50ns INFO [00017316] * RD COMPARE * port=1 adr=07 act=A956A823FFD285D84E exp=A956A823FFD285D84E + 17314.50ns INFO [00017316] Port=0 RD @07 + 17315.50ns INFO [00017317] * RD COMPARE * port=1 adr=05 act=95F8DD7E79B5C0501C exp=95F8DD7E79B5C0501C + 17315.50ns INFO [00017317] Port=0 RD @03 + 17316.50ns INFO [00017318] * RD COMPARE * port=0 adr=07 act=A956A823FFD285D84E exp=A956A823FFD285D84E + 17316.50ns INFO [00017318] Port=0 RD @04 + 17317.50ns INFO [00017319] * RD COMPARE * port=0 adr=03 act=538D98BD472A078F6E exp=538D98BD472A078F6E + 17317.50ns INFO [00017319] Port=0 WR @07=625EEEACCBD4500C4E + 17318.50ns INFO [00017320] * RD COMPARE * port=0 adr=04 act=BA804012ADB00E06C6 exp=BA804012ADB00E06C6 + 17318.50ns INFO [00017320] Port=1 RD @01 + 17319.50ns INFO [00017321] Port=0 RD @01 + 17320.50ns INFO [00017322] * RD COMPARE * port=1 adr=01 act=0283B0620B323EDBB3 exp=0283B0620B323EDBB3 + 17321.50ns INFO [00017323] * RD COMPARE * port=0 adr=01 act=0283B0620B323EDBB3 exp=0283B0620B323EDBB3 + 17322.50ns INFO [00017324] Port=0 WR @00=13D2FE78ACBBA47D48 + 17322.50ns INFO [00017324] Port=0 RD @01 + 17322.50ns INFO [00017324] Port=1 RD @01 + 17324.50ns INFO [00017326] * RD COMPARE * port=0 adr=01 act=0283B0620B323EDBB3 exp=0283B0620B323EDBB3 + 17324.50ns INFO [00017326] * RD COMPARE * port=1 adr=01 act=0283B0620B323EDBB3 exp=0283B0620B323EDBB3 + 17324.50ns INFO [00017326] Port=0 WR @04=0AC6988DF4310D451B + 17324.50ns INFO [00017326] Port=0 RD @01 + 17326.50ns INFO [00017328] * RD COMPARE * port=0 adr=01 act=0283B0620B323EDBB3 exp=0283B0620B323EDBB3 + 17326.50ns INFO [00017328] Port=0 RD @07 + 17328.50ns INFO [00017330] * RD COMPARE * port=0 adr=07 act=625EEEACCBD4500C4E exp=625EEEACCBD4500C4E + 17329.50ns INFO [00017331] Port=0 RD @01 + 17330.50ns INFO [00017332] Port=0 WR @03=1401B69134A6112CAE + 17331.50ns INFO [00017333] * RD COMPARE * port=0 adr=01 act=0283B0620B323EDBB3 exp=0283B0620B323EDBB3 + 17331.50ns INFO [00017333] Port=0 WR @05=2F858D06464261FB33 + 17331.50ns INFO [00017333] Port=1 RD @04 + 17332.50ns INFO [00017334] Port=0 WR @02=69D42DF3B2C2B0EA39 + 17332.50ns INFO [00017334] Port=1 RD @07 + 17333.50ns INFO [00017335] * RD COMPARE * port=1 adr=04 act=0AC6988DF4310D451B exp=0AC6988DF4310D451B + 17333.50ns INFO [00017335] Port=0 WR @01=B7060BC8AE9D169426 + 17333.50ns INFO [00017335] Port=1 RD @07 + 17334.50ns INFO [00017336] * RD COMPARE * port=1 adr=07 act=625EEEACCBD4500C4E exp=625EEEACCBD4500C4E + 17334.50ns INFO [00017336] Port=0 RD @06 + 17334.50ns INFO [00017336] Port=1 RD @02 + 17335.50ns INFO [00017337] * RD COMPARE * port=1 adr=07 act=625EEEACCBD4500C4E exp=625EEEACCBD4500C4E + 17335.50ns INFO [00017337] Port=0 WR @06=888A74B7B25C7673BF + 17335.50ns INFO [00017337] Port=1 RD @03 + 17336.50ns INFO [00017338] * RD COMPARE * port=0 adr=06 act=B8AF44F9922DEC0FE6 exp=B8AF44F9922DEC0FE6 + 17336.50ns INFO [00017338] * RD COMPARE * port=1 adr=02 act=69D42DF3B2C2B0EA39 exp=69D42DF3B2C2B0EA39 + 17337.50ns INFO [00017339] * RD COMPARE * port=1 adr=03 act=1401B69134A6112CAE exp=1401B69134A6112CAE + 17337.50ns INFO [00017339] Port=0 WR @07=C794299E0572CDEBDA + 17338.50ns INFO [00017340] Port=0 WR @05=3CF5A79036BE522AC6 + 17338.50ns INFO [00017340] Port=0 RD @01 + 17338.50ns INFO [00017340] Port=1 RD @04 + 17339.50ns INFO [00017341] Port=1 RD @00 + 17340.50ns INFO [00017342] * RD COMPARE * port=0 adr=01 act=B7060BC8AE9D169426 exp=B7060BC8AE9D169426 + 17340.50ns INFO [00017342] * RD COMPARE * port=1 adr=04 act=0AC6988DF4310D451B exp=0AC6988DF4310D451B + 17341.50ns INFO [00017343] * RD COMPARE * port=1 adr=00 act=13D2FE78ACBBA47D48 exp=13D2FE78ACBBA47D48 + 17341.50ns INFO [00017343] Port=0 WR @02=13ED2BA0921BDB204E + 17341.50ns INFO [00017343] Port=0 RD @03 + 17341.50ns INFO [00017343] Port=1 RD @01 + 17343.50ns INFO [00017345] * RD COMPARE * port=0 adr=03 act=1401B69134A6112CAE exp=1401B69134A6112CAE + 17343.50ns INFO [00017345] * RD COMPARE * port=1 adr=01 act=B7060BC8AE9D169426 exp=B7060BC8AE9D169426 + 17344.50ns INFO [00017346] Port=0 RD @04 + 17344.50ns INFO [00017346] Port=1 RD @00 + 17345.50ns INFO [00017347] Port=1 RD @06 + 17346.50ns INFO [00017348] * RD COMPARE * port=0 adr=04 act=0AC6988DF4310D451B exp=0AC6988DF4310D451B + 17346.50ns INFO [00017348] * RD COMPARE * port=1 adr=00 act=13D2FE78ACBBA47D48 exp=13D2FE78ACBBA47D48 + 17346.50ns INFO [00017348] Port=0 RD @05 + 17347.50ns INFO [00017349] * RD COMPARE * port=1 adr=06 act=888A74B7B25C7673BF exp=888A74B7B25C7673BF + 17347.50ns INFO [00017349] Port=0 WR @04=A01C2EFFED6F3AEEB9 + 17347.50ns INFO [00017349] Port=1 RD @07 + 17348.50ns INFO [00017350] * RD COMPARE * port=0 adr=05 act=3CF5A79036BE522AC6 exp=3CF5A79036BE522AC6 + 17349.50ns INFO [00017351] * RD COMPARE * port=1 adr=07 act=C794299E0572CDEBDA exp=C794299E0572CDEBDA + 17351.50ns INFO [00017353] Port=0 WR @03=9FEBCF19792B901E3A + 17352.50ns INFO [00017354] Port=0 RD @03 + 17354.50ns INFO [00017356] * RD COMPARE * port=0 adr=03 act=9FEBCF19792B901E3A exp=9FEBCF19792B901E3A + 17354.50ns INFO [00017356] Port=1 RD @03 + 17355.50ns INFO [00017357] Port=0 WR @05=D10E3DDB50D4F9C0E8 + 17356.50ns INFO [00017358] * RD COMPARE * port=1 adr=03 act=9FEBCF19792B901E3A exp=9FEBCF19792B901E3A + 17357.50ns INFO [00017359] Port=0 WR @01=5600D51F6F19451C7E + 17358.50ns INFO [00017360] Port=0 RD @03 + 17359.50ns INFO [00017361] Port=0 RD @04 + 17359.50ns INFO [00017361] Port=1 RD @03 + 17360.50ns INFO [00017362] * RD COMPARE * port=0 adr=03 act=9FEBCF19792B901E3A exp=9FEBCF19792B901E3A + 17360.50ns INFO [00017362] Port=0 WR @06=8C0293204FED0A9719 + 17360.50ns INFO [00017362] Port=0 RD @02 + 17361.50ns INFO [00017363] * RD COMPARE * port=0 adr=04 act=A01C2EFFED6F3AEEB9 exp=A01C2EFFED6F3AEEB9 + 17361.50ns INFO [00017363] * RD COMPARE * port=1 adr=03 act=9FEBCF19792B901E3A exp=9FEBCF19792B901E3A + 17361.50ns INFO [00017363] Port=0 WR @05=7B99812BAB4F18A9B1 + 17361.50ns INFO [00017363] Port=0 RD @06 + 17362.50ns INFO [00017364] * RD COMPARE * port=0 adr=02 act=13ED2BA0921BDB204E exp=13ED2BA0921BDB204E + 17362.50ns INFO [00017364] Port=0 RD @06 + 17362.50ns INFO [00017364] Port=1 RD @04 + 17363.50ns INFO [00017365] * RD COMPARE * port=0 adr=06 act=8C0293204FED0A9719 exp=8C0293204FED0A9719 + 17364.50ns INFO [00017366] * RD COMPARE * port=0 adr=06 act=8C0293204FED0A9719 exp=8C0293204FED0A9719 + 17364.50ns INFO [00017366] * RD COMPARE * port=1 adr=04 act=A01C2EFFED6F3AEEB9 exp=A01C2EFFED6F3AEEB9 + 17365.50ns INFO [00017367] Port=0 WR @01=CD76DC1DA3F3719C5E + 17365.50ns INFO [00017367] Port=0 RD @06 + 17367.50ns INFO [00017369] * RD COMPARE * port=0 adr=06 act=8C0293204FED0A9719 exp=8C0293204FED0A9719 + 17368.50ns INFO [00017370] Port=0 RD @06 + 17369.50ns INFO [00017371] Port=0 WR @05=4623B1F92C3EFEEF0E + 17369.50ns INFO [00017371] Port=1 RD @02 + 17370.50ns INFO [00017372] * RD COMPARE * port=0 adr=06 act=8C0293204FED0A9719 exp=8C0293204FED0A9719 + 17370.50ns INFO [00017372] Port=0 WR @07=1633F6A8574B2CF6BA + 17371.50ns INFO [00017373] * RD COMPARE * port=1 adr=02 act=13ED2BA0921BDB204E exp=13ED2BA0921BDB204E + 17371.50ns INFO [00017373] Port=0 WR @06=314F68345E6C4922EB + 17372.50ns INFO [00017374] Port=1 RD @01 + 17374.50ns INFO [00017376] * RD COMPARE * port=1 adr=01 act=CD76DC1DA3F3719C5E exp=CD76DC1DA3F3719C5E + 17374.50ns INFO [00017376] Port=0 RD @06 + 17374.50ns INFO [00017376] Port=1 RD @06 + 17375.50ns INFO [00017377] Port=0 RD @04 + 17376.50ns INFO [00017378] * RD COMPARE * port=0 adr=06 act=314F68345E6C4922EB exp=314F68345E6C4922EB + 17376.50ns INFO [00017378] * RD COMPARE * port=1 adr=06 act=314F68345E6C4922EB exp=314F68345E6C4922EB + 17376.50ns INFO [00017378] Port=0 WR @03=1EEE27D41378B8D21A + 17377.50ns INFO [00017379] * RD COMPARE * port=0 adr=04 act=A01C2EFFED6F3AEEB9 exp=A01C2EFFED6F3AEEB9 + 17377.50ns INFO [00017379] Port=0 RD @00 + 17377.50ns INFO [00017379] Port=1 RD @01 + 17378.50ns INFO [00017380] Port=0 WR @07=7C6FB7E2709435C2F2 + 17378.50ns INFO [00017380] Port=1 RD @00 + 17379.50ns INFO [00017381] * RD COMPARE * port=0 adr=00 act=13D2FE78ACBBA47D48 exp=13D2FE78ACBBA47D48 + 17379.50ns INFO [00017381] * RD COMPARE * port=1 adr=01 act=CD76DC1DA3F3719C5E exp=CD76DC1DA3F3719C5E + 17380.50ns INFO [00017382] * RD COMPARE * port=1 adr=00 act=13D2FE78ACBBA47D48 exp=13D2FE78ACBBA47D48 + 17380.50ns INFO [00017382] Port=0 WR @06=72AD4B1FA9086B55FF + 17381.50ns INFO [00017383] Port=0 RD @04 + 17382.50ns INFO [00017384] Port=0 WR @06=796C616B0F8FFE0A77 + 17382.50ns INFO [00017384] Port=1 RD @05 + 17383.50ns INFO [00017385] * RD COMPARE * port=0 adr=04 act=A01C2EFFED6F3AEEB9 exp=A01C2EFFED6F3AEEB9 + 17383.50ns INFO [00017385] Port=0 WR @02=78EC15495EC03EB7B4 + 17383.50ns INFO [00017385] Port=0 RD @01 + 17384.50ns INFO [00017386] * RD COMPARE * port=1 adr=05 act=4623B1F92C3EFEEF0E exp=4623B1F92C3EFEEF0E + 17384.50ns INFO [00017386] Port=0 WR @05=0878B0380AB259CD05 + 17384.50ns INFO [00017386] Port=0 RD @00 + 17384.50ns INFO [00017386] Port=1 RD @07 + 17385.50ns INFO [00017387] * RD COMPARE * port=0 adr=01 act=CD76DC1DA3F3719C5E exp=CD76DC1DA3F3719C5E + 17385.50ns INFO [00017387] Port=0 WR @07=3D2DD07B26B8D9F855 + 17385.50ns INFO [00017387] Port=0 RD @04 + 17386.50ns INFO [00017388] * RD COMPARE * port=0 adr=00 act=13D2FE78ACBBA47D48 exp=13D2FE78ACBBA47D48 + 17386.50ns INFO [00017388] * RD COMPARE * port=1 adr=07 act=7C6FB7E2709435C2F2 exp=7C6FB7E2709435C2F2 + 17387.50ns INFO [00017389] * RD COMPARE * port=0 adr=04 act=A01C2EFFED6F3AEEB9 exp=A01C2EFFED6F3AEEB9 + 17387.50ns INFO [00017389] Port=0 WR @01=1AA256E6F4E15EDE4E + 17388.50ns INFO [00017390] Port=0 WR @00=C942F1615760206606 + 17388.50ns INFO [00017390] Port=1 RD @07 + 17389.50ns INFO [00017391] Port=0 WR @02=0E6DBAC7CE8EA3BE0B + 17389.50ns INFO [00017391] Port=0 RD @06 + 17390.50ns INFO [00017392] * RD COMPARE * port=1 adr=07 act=3D2DD07B26B8D9F855 exp=3D2DD07B26B8D9F855 + 17390.50ns INFO [00017392] Port=0 WR @05=0F47EF8E54DD539674 + 17390.50ns INFO [00017392] Port=0 RD @03 + 17391.50ns INFO [00017393] * RD COMPARE * port=0 adr=06 act=796C616B0F8FFE0A77 exp=796C616B0F8FFE0A77 + 17391.50ns INFO [00017393] Port=0 WR @05=EDA5BA3D54CDA8881F + 17391.50ns INFO [00017393] Port=0 RD @03 + 17391.50ns INFO [00017393] Port=1 RD @02 + 17392.50ns INFO [00017394] * RD COMPARE * port=0 adr=03 act=1EEE27D41378B8D21A exp=1EEE27D41378B8D21A + 17392.50ns INFO [00017394] Port=0 WR @06=F02D9AF12B4417FE78 + 17393.50ns INFO [00017395] * RD COMPARE * port=0 adr=03 act=1EEE27D41378B8D21A exp=1EEE27D41378B8D21A + 17393.50ns INFO [00017395] * RD COMPARE * port=1 adr=02 act=0E6DBAC7CE8EA3BE0B exp=0E6DBAC7CE8EA3BE0B + 17394.50ns INFO [00017396] Port=1 RD @05 + 17395.50ns INFO [00017397] Port=0 WR @00=28E5ABE46BE3A51AC9 + 17396.50ns INFO [00017398] * RD COMPARE * port=1 adr=05 act=EDA5BA3D54CDA8881F exp=EDA5BA3D54CDA8881F + 17396.50ns INFO [00017398] Port=0 RD @00 + 17396.50ns INFO [00017398] Port=1 RD @03 + 17398.00ns INFO [00017400] [00017400] ...tick... + 17398.50ns INFO [00017400] * RD COMPARE * port=0 adr=00 act=28E5ABE46BE3A51AC9 exp=28E5ABE46BE3A51AC9 + 17398.50ns INFO [00017400] * RD COMPARE * port=1 adr=03 act=1EEE27D41378B8D21A exp=1EEE27D41378B8D21A + 17398.50ns INFO [00017400] Port=1 RD @03 + 17399.50ns INFO [00017401] Port=1 RD @04 + 17400.50ns INFO [00017402] * RD COMPARE * port=1 adr=03 act=1EEE27D41378B8D21A exp=1EEE27D41378B8D21A + 17401.50ns INFO [00017403] * RD COMPARE * port=1 adr=04 act=A01C2EFFED6F3AEEB9 exp=A01C2EFFED6F3AEEB9 + 17401.50ns INFO [00017403] Port=0 WR @02=665AF3B548817D57DD + 17401.50ns INFO [00017403] Port=0 RD @07 + 17402.50ns INFO [00017404] Port=0 WR @03=BF29AE66914689055C + 17402.50ns INFO [00017404] Port=0 RD @05 + 17403.50ns INFO [00017405] * RD COMPARE * port=0 adr=07 act=3D2DD07B26B8D9F855 exp=3D2DD07B26B8D9F855 + 17403.50ns INFO [00017405] Port=0 WR @03=885F2D6EF4E5013494 + 17403.50ns INFO [00017405] Port=1 RD @07 + 17404.50ns INFO [00017406] * RD COMPARE * port=0 adr=05 act=EDA5BA3D54CDA8881F exp=EDA5BA3D54CDA8881F + 17404.50ns INFO [00017406] Port=0 RD @04 + 17405.50ns INFO [00017407] * RD COMPARE * port=1 adr=07 act=3D2DD07B26B8D9F855 exp=3D2DD07B26B8D9F855 + 17406.50ns INFO [00017408] * RD COMPARE * port=0 adr=04 act=A01C2EFFED6F3AEEB9 exp=A01C2EFFED6F3AEEB9 + 17407.50ns INFO [00017409] Port=0 WR @03=081938167FC04CF5A6 + 17407.50ns INFO [00017409] Port=0 RD @00 + 17408.50ns INFO [00017410] Port=0 RD @00 + 17409.50ns INFO [00017411] * RD COMPARE * port=0 adr=00 act=28E5ABE46BE3A51AC9 exp=28E5ABE46BE3A51AC9 + 17410.50ns INFO [00017412] * RD COMPARE * port=0 adr=00 act=28E5ABE46BE3A51AC9 exp=28E5ABE46BE3A51AC9 + 17410.50ns INFO [00017412] Port=0 RD @02 + 17411.50ns INFO [00017413] Port=0 WR @01=259DACDC5F8555A39D + 17412.50ns INFO [00017414] * RD COMPARE * port=0 adr=02 act=665AF3B548817D57DD exp=665AF3B548817D57DD + 17412.50ns INFO [00017414] Port=1 RD @06 + 17413.50ns INFO [00017415] Port=1 RD @05 + 17414.50ns INFO [00017416] * RD COMPARE * port=1 adr=06 act=F02D9AF12B4417FE78 exp=F02D9AF12B4417FE78 + 17414.50ns INFO [00017416] Port=0 WR @00=3F7D48733E05B23A73 + 17414.50ns INFO [00017416] Port=0 RD @04 + 17414.50ns INFO [00017416] Port=1 RD @04 + 17415.50ns INFO [00017417] * RD COMPARE * port=1 adr=05 act=EDA5BA3D54CDA8881F exp=EDA5BA3D54CDA8881F + 17416.50ns INFO [00017418] * RD COMPARE * port=0 adr=04 act=A01C2EFFED6F3AEEB9 exp=A01C2EFFED6F3AEEB9 + 17416.50ns INFO [00017418] * RD COMPARE * port=1 adr=04 act=A01C2EFFED6F3AEEB9 exp=A01C2EFFED6F3AEEB9 + 17416.50ns INFO [00017418] Port=0 WR @01=E57AECBEEAEFCC3359 + 17418.50ns INFO [00017420] Port=0 WR @00=24FA2DD49CF048AFB4 + 17418.50ns INFO [00017420] Port=1 RD @01 + 17420.50ns INFO [00017422] * RD COMPARE * port=1 adr=01 act=E57AECBEEAEFCC3359 exp=E57AECBEEAEFCC3359 + 17420.50ns INFO [00017422] Port=0 WR @02=C59C0972B6E4333BCD + 17420.50ns INFO [00017422] Port=1 RD @07 + 17421.50ns INFO [00017423] Port=1 RD @00 + 17422.50ns INFO [00017424] * RD COMPARE * port=1 adr=07 act=3D2DD07B26B8D9F855 exp=3D2DD07B26B8D9F855 + 17423.50ns INFO [00017425] * RD COMPARE * port=1 adr=00 act=24FA2DD49CF048AFB4 exp=24FA2DD49CF048AFB4 + 17424.50ns INFO [00017426] Port=1 RD @02 + 17425.50ns INFO [00017427] Port=0 WR @03=D75878AD23CD770B6E + 17425.50ns INFO [00017427] Port=0 RD @04 + 17425.50ns INFO [00017427] Port=1 RD @02 + 17426.50ns INFO [00017428] * RD COMPARE * port=1 adr=02 act=C59C0972B6E4333BCD exp=C59C0972B6E4333BCD + 17427.50ns INFO [00017429] * RD COMPARE * port=0 adr=04 act=A01C2EFFED6F3AEEB9 exp=A01C2EFFED6F3AEEB9 + 17427.50ns INFO [00017429] * RD COMPARE * port=1 adr=02 act=C59C0972B6E4333BCD exp=C59C0972B6E4333BCD + 17427.50ns INFO [00017429] Port=0 RD @01 + 17428.50ns INFO [00017430] Port=0 WR @05=4AA895ED956BF98940 + 17429.50ns INFO [00017431] * RD COMPARE * port=0 adr=01 act=E57AECBEEAEFCC3359 exp=E57AECBEEAEFCC3359 + 17429.50ns INFO [00017431] Port=0 WR @06=956D0B538DD02205C7 + 17430.50ns INFO [00017432] Port=0 WR @00=6B380236B2828D0077 + 17430.50ns INFO [00017432] Port=1 RD @02 + 17431.50ns INFO [00017433] Port=0 RD @00 + 17432.50ns INFO [00017434] * RD COMPARE * port=1 adr=02 act=C59C0972B6E4333BCD exp=C59C0972B6E4333BCD + 17433.50ns INFO [00017435] * RD COMPARE * port=0 adr=00 act=6B380236B2828D0077 exp=6B380236B2828D0077 + 17433.50ns INFO [00017435] Port=0 RD @03 + 17434.50ns INFO [00017436] Port=1 RD @05 + 17435.50ns INFO [00017437] * RD COMPARE * port=0 adr=03 act=D75878AD23CD770B6E exp=D75878AD23CD770B6E + 17435.50ns INFO [00017437] Port=1 RD @02 + 17436.50ns INFO [00017438] * RD COMPARE * port=1 adr=05 act=4AA895ED956BF98940 exp=4AA895ED956BF98940 + 17436.50ns INFO [00017438] Port=0 WR @00=19A4FE2F36DCF2229D + 17437.50ns INFO [00017439] * RD COMPARE * port=1 adr=02 act=C59C0972B6E4333BCD exp=C59C0972B6E4333BCD + 17438.50ns INFO [00017440] Port=0 RD @03 + 17438.50ns INFO [00017440] Port=1 RD @05 + 17439.50ns INFO [00017441] Port=0 WR @01=6D2126D14D88292AB1 + 17439.50ns INFO [00017441] Port=0 RD @07 + 17440.50ns INFO [00017442] * RD COMPARE * port=0 adr=03 act=D75878AD23CD770B6E exp=D75878AD23CD770B6E + 17440.50ns INFO [00017442] * RD COMPARE * port=1 adr=05 act=4AA895ED956BF98940 exp=4AA895ED956BF98940 + 17440.50ns INFO [00017442] Port=0 WR @01=BA8291FB45136D06C5 + 17440.50ns INFO [00017442] Port=0 RD @00 + 17440.50ns INFO [00017442] Port=1 RD @07 + 17441.50ns INFO [00017443] * RD COMPARE * port=0 adr=07 act=3D2DD07B26B8D9F855 exp=3D2DD07B26B8D9F855 + 17441.50ns INFO [00017443] Port=1 RD @05 + 17442.50ns INFO [00017444] * RD COMPARE * port=0 adr=00 act=19A4FE2F36DCF2229D exp=19A4FE2F36DCF2229D + 17442.50ns INFO [00017444] * RD COMPARE * port=1 adr=07 act=3D2DD07B26B8D9F855 exp=3D2DD07B26B8D9F855 + 17443.50ns INFO [00017445] * RD COMPARE * port=1 adr=05 act=4AA895ED956BF98940 exp=4AA895ED956BF98940 + 17443.50ns INFO [00017445] Port=0 WR @07=B291A5A08D7DB6BFDE + 17443.50ns INFO [00017445] Port=0 RD @00 + 17443.50ns INFO [00017445] Port=1 RD @00 + 17444.50ns INFO [00017446] Port=0 WR @06=CF97747859EF0E3326 + 17444.50ns INFO [00017446] Port=1 RD @02 + 17445.50ns INFO [00017447] * RD COMPARE * port=0 adr=00 act=19A4FE2F36DCF2229D exp=19A4FE2F36DCF2229D + 17445.50ns INFO [00017447] * RD COMPARE * port=1 adr=00 act=19A4FE2F36DCF2229D exp=19A4FE2F36DCF2229D + 17445.50ns INFO [00017447] Port=0 RD @06 + 17446.50ns INFO [00017448] * RD COMPARE * port=1 adr=02 act=C59C0972B6E4333BCD exp=C59C0972B6E4333BCD + 17447.50ns INFO [00017449] * RD COMPARE * port=0 adr=06 act=CF97747859EF0E3326 exp=CF97747859EF0E3326 + 17448.50ns INFO [00017450] Port=0 WR @03=B0072F4A626E9C38BE + 17448.50ns INFO [00017450] Port=0 RD @01 + 17449.50ns INFO [00017451] Port=1 RD @02 + 17450.50ns INFO [00017452] * RD COMPARE * port=0 adr=01 act=BA8291FB45136D06C5 exp=BA8291FB45136D06C5 + 17450.50ns INFO [00017452] Port=1 RD @01 + 17451.50ns INFO [00017453] * RD COMPARE * port=1 adr=02 act=C59C0972B6E4333BCD exp=C59C0972B6E4333BCD + 17452.50ns INFO [00017454] * RD COMPARE * port=1 adr=01 act=BA8291FB45136D06C5 exp=BA8291FB45136D06C5 + 17452.50ns INFO [00017454] Port=0 WR @05=B33292CA5801F7BCE2 + 17452.50ns INFO [00017454] Port=0 RD @01 + 17453.50ns INFO [00017455] Port=0 RD @01 + 17454.50ns INFO [00017456] * RD COMPARE * port=0 adr=01 act=BA8291FB45136D06C5 exp=BA8291FB45136D06C5 + 17455.50ns INFO [00017457] * RD COMPARE * port=0 adr=01 act=BA8291FB45136D06C5 exp=BA8291FB45136D06C5 + 17455.50ns INFO [00017457] Port=0 RD @06 + 17456.50ns INFO [00017458] Port=0 WR @05=2F2142CFD60DBEEF7D + 17456.50ns INFO [00017458] Port=0 RD @02 + 17456.50ns INFO [00017458] Port=1 RD @00 + 17457.50ns INFO [00017459] * RD COMPARE * port=0 adr=06 act=CF97747859EF0E3326 exp=CF97747859EF0E3326 + 17457.50ns INFO [00017459] Port=0 RD @07 + 17458.50ns INFO [00017460] * RD COMPARE * port=0 adr=02 act=C59C0972B6E4333BCD exp=C59C0972B6E4333BCD + 17458.50ns INFO [00017460] * RD COMPARE * port=1 adr=00 act=19A4FE2F36DCF2229D exp=19A4FE2F36DCF2229D + 17458.50ns INFO [00017460] Port=0 WR @00=DB12D379AEF5622706 + 17459.50ns INFO [00017461] * RD COMPARE * port=0 adr=07 act=B291A5A08D7DB6BFDE exp=B291A5A08D7DB6BFDE + 17459.50ns INFO [00017461] Port=0 WR @04=55CAA0064AC914F3F7 + 17459.50ns INFO [00017461] Port=0 RD @00 + 17461.50ns INFO [00017463] * RD COMPARE * port=0 adr=00 act=DB12D379AEF5622706 exp=DB12D379AEF5622706 + 17461.50ns INFO [00017463] Port=0 RD @06 + 17463.50ns INFO [00017465] * RD COMPARE * port=0 adr=06 act=CF97747859EF0E3326 exp=CF97747859EF0E3326 + 17463.50ns INFO [00017465] Port=0 WR @03=8D066BB47140171D4A + 17464.50ns INFO [00017466] Port=0 WR @07=C8A21033D41027967D + 17464.50ns INFO [00017466] Port=0 RD @02 + 17465.50ns INFO [00017467] Port=0 RD @06 + 17466.50ns INFO [00017468] * RD COMPARE * port=0 adr=02 act=C59C0972B6E4333BCD exp=C59C0972B6E4333BCD + 17466.50ns INFO [00017468] Port=0 RD @07 + 17467.50ns INFO [00017469] * RD COMPARE * port=0 adr=06 act=CF97747859EF0E3326 exp=CF97747859EF0E3326 + 17467.50ns INFO [00017469] Port=0 RD @01 + 17468.50ns INFO [00017470] * RD COMPARE * port=0 adr=07 act=C8A21033D41027967D exp=C8A21033D41027967D + 17468.50ns INFO [00017470] Port=0 WR @07=551CE23A18BEE94100 + 17469.50ns INFO [00017471] * RD COMPARE * port=0 adr=01 act=BA8291FB45136D06C5 exp=BA8291FB45136D06C5 + 17470.50ns INFO [00017472] Port=0 WR @02=804E507CF92FDFC000 + 17470.50ns INFO [00017472] Port=0 RD @03 + 17471.50ns INFO [00017473] Port=0 WR @07=B9CD6F2550E10EBC15 + 17471.50ns INFO [00017473] Port=1 RD @03 + 17472.50ns INFO [00017474] * RD COMPARE * port=0 adr=03 act=8D066BB47140171D4A exp=8D066BB47140171D4A + 17473.50ns INFO [00017475] * RD COMPARE * port=1 adr=03 act=8D066BB47140171D4A exp=8D066BB47140171D4A + 17473.50ns INFO [00017475] Port=0 WR @01=F7477CA5B62968B862 + 17473.50ns INFO [00017475] Port=0 RD @05 + 17473.50ns INFO [00017475] Port=1 RD @05 + 17474.50ns INFO [00017476] Port=1 RD @04 + 17475.50ns INFO [00017477] * RD COMPARE * port=0 adr=05 act=2F2142CFD60DBEEF7D exp=2F2142CFD60DBEEF7D + 17475.50ns INFO [00017477] * RD COMPARE * port=1 adr=05 act=2F2142CFD60DBEEF7D exp=2F2142CFD60DBEEF7D + 17475.50ns INFO [00017477] Port=0 WR @04=2460E01EF6146941C6 + 17475.50ns INFO [00017477] Port=0 RD @07 + 17476.50ns INFO [00017478] * RD COMPARE * port=1 adr=04 act=55CAA0064AC914F3F7 exp=55CAA0064AC914F3F7 + 17477.50ns INFO [00017479] * RD COMPARE * port=0 adr=07 act=B9CD6F2550E10EBC15 exp=B9CD6F2550E10EBC15 + 17477.50ns INFO [00017479] Port=0 WR @04=1D7E9F61B2D0F13329 + 17478.50ns INFO [00017480] Port=0 RD @03 + 17480.50ns INFO [00017482] * RD COMPARE * port=0 adr=03 act=8D066BB47140171D4A exp=8D066BB47140171D4A + 17480.50ns INFO [00017482] Port=0 WR @01=3A7FFD05164BDBB54F + 17480.50ns INFO [00017482] Port=0 RD @07 + 17482.50ns INFO [00017484] * RD COMPARE * port=0 adr=07 act=B9CD6F2550E10EBC15 exp=B9CD6F2550E10EBC15 + 17482.50ns INFO [00017484] Port=0 RD @01 + 17482.50ns INFO [00017484] Port=1 RD @03 + 17483.50ns INFO [00017485] Port=0 RD @06 + 17484.50ns INFO [00017486] * RD COMPARE * port=0 adr=01 act=3A7FFD05164BDBB54F exp=3A7FFD05164BDBB54F + 17484.50ns INFO [00017486] * RD COMPARE * port=1 adr=03 act=8D066BB47140171D4A exp=8D066BB47140171D4A + 17484.50ns INFO [00017486] Port=0 WR @01=D18F874600569EBC58 + 17484.50ns INFO [00017486] Port=0 RD @00 + 17485.50ns INFO [00017487] * RD COMPARE * port=0 adr=06 act=CF97747859EF0E3326 exp=CF97747859EF0E3326 + 17486.50ns INFO [00017488] * RD COMPARE * port=0 adr=00 act=DB12D379AEF5622706 exp=DB12D379AEF5622706 + 17486.50ns INFO [00017488] Port=1 RD @05 + 17487.50ns INFO [00017489] Port=0 WR @03=BEE64A7A0580AD7E53 + 17487.50ns INFO [00017489] Port=0 RD @05 + 17487.50ns INFO [00017489] Port=1 RD @06 + 17488.50ns INFO [00017490] * RD COMPARE * port=1 adr=05 act=2F2142CFD60DBEEF7D exp=2F2142CFD60DBEEF7D + 17488.50ns INFO [00017490] Port=0 RD @01 + 17488.50ns INFO [00017490] Port=1 RD @03 + 17489.50ns INFO [00017491] * RD COMPARE * port=0 adr=05 act=2F2142CFD60DBEEF7D exp=2F2142CFD60DBEEF7D + 17489.50ns INFO [00017491] * RD COMPARE * port=1 adr=06 act=CF97747859EF0E3326 exp=CF97747859EF0E3326 + 17489.50ns INFO [00017491] Port=0 WR @00=1FE62144E439BAF17C + 17490.50ns INFO [00017492] * RD COMPARE * port=0 adr=01 act=D18F874600569EBC58 exp=D18F874600569EBC58 + 17490.50ns INFO [00017492] * RD COMPARE * port=1 adr=03 act=BEE64A7A0580AD7E53 exp=BEE64A7A0580AD7E53 + 17490.50ns INFO [00017492] Port=0 WR @05=C0492959214874293B + 17490.50ns INFO [00017492] Port=0 RD @02 + 17491.50ns INFO [00017493] Port=0 RD @01 + 17492.50ns INFO [00017494] * RD COMPARE * port=0 adr=02 act=804E507CF92FDFC000 exp=804E507CF92FDFC000 + 17492.50ns INFO [00017494] Port=0 WR @02=A45B4F399AB1094C81 + 17492.50ns INFO [00017494] Port=1 RD @07 + 17493.50ns INFO [00017495] * RD COMPARE * port=0 adr=01 act=D18F874600569EBC58 exp=D18F874600569EBC58 + 17493.50ns INFO [00017495] Port=0 RD @00 + 17494.50ns INFO [00017496] * RD COMPARE * port=1 adr=07 act=B9CD6F2550E10EBC15 exp=B9CD6F2550E10EBC15 + 17494.50ns INFO [00017496] Port=0 WR @04=6C8F367C02B4D12B9A + 17495.50ns INFO [00017497] * RD COMPARE * port=0 adr=00 act=1FE62144E439BAF17C exp=1FE62144E439BAF17C + 17495.50ns INFO [00017497] Port=0 WR @07=C25B465FEA2043B3D5 + 17496.50ns INFO [00017498] Port=1 RD @07 + 17497.50ns INFO [00017499] Port=0 WR @05=813B0E34379667AD3C + 17497.50ns INFO [00017499] Port=1 RD @02 + 17498.00ns INFO [00017500] [00017500] ...tick... + 17498.50ns INFO [00017500] * RD COMPARE * port=1 adr=07 act=C25B465FEA2043B3D5 exp=C25B465FEA2043B3D5 + 17498.50ns INFO [00017500] Port=0 WR @00=96862D40F5E91C5E4A + 17499.50ns INFO [00017501] * RD COMPARE * port=1 adr=02 act=A45B4F399AB1094C81 exp=A45B4F399AB1094C81 + 17499.50ns INFO [00017501] Port=0 RD @01 + 17499.50ns INFO [00017501] Port=1 RD @00 + 17500.50ns INFO [00017502] Port=0 WR @03=3D1A53C3D37D2BA811 + 17500.50ns INFO [00017502] Port=1 RD @07 + 17501.50ns INFO [00017503] * RD COMPARE * port=0 adr=01 act=D18F874600569EBC58 exp=D18F874600569EBC58 + 17501.50ns INFO [00017503] * RD COMPARE * port=1 adr=00 act=96862D40F5E91C5E4A exp=96862D40F5E91C5E4A + 17501.50ns INFO [00017503] Port=0 RD @00 + 17501.50ns INFO [00017503] Port=1 RD @06 + 17502.50ns INFO [00017504] * RD COMPARE * port=1 adr=07 act=C25B465FEA2043B3D5 exp=C25B465FEA2043B3D5 + 17502.50ns INFO [00017504] Port=1 RD @06 + 17503.50ns INFO [00017505] * RD COMPARE * port=0 adr=00 act=96862D40F5E91C5E4A exp=96862D40F5E91C5E4A + 17503.50ns INFO [00017505] * RD COMPARE * port=1 adr=06 act=CF97747859EF0E3326 exp=CF97747859EF0E3326 + 17503.50ns INFO [00017505] Port=0 WR @07=9090486FCD39A23D51 + 17504.50ns INFO [00017506] * RD COMPARE * port=1 adr=06 act=CF97747859EF0E3326 exp=CF97747859EF0E3326 + 17504.50ns INFO [00017506] Port=0 RD @01 + 17504.50ns INFO [00017506] Port=1 RD @03 + 17505.50ns INFO [00017507] Port=0 WR @06=00C3CBCAF702079199 + 17505.50ns INFO [00017507] Port=0 RD @04 + 17505.50ns INFO [00017507] Port=1 RD @04 + 17506.50ns INFO [00017508] * RD COMPARE * port=0 adr=01 act=D18F874600569EBC58 exp=D18F874600569EBC58 + 17506.50ns INFO [00017508] * RD COMPARE * port=1 adr=03 act=3D1A53C3D37D2BA811 exp=3D1A53C3D37D2BA811 + 17506.50ns INFO [00017508] Port=0 WR @06=65A8BA9FEAD3EB5DF0 + 17506.50ns INFO [00017508] Port=0 RD @00 + 17506.50ns INFO [00017508] Port=1 RD @00 + 17507.50ns INFO [00017509] * RD COMPARE * port=0 adr=04 act=6C8F367C02B4D12B9A exp=6C8F367C02B4D12B9A + 17507.50ns INFO [00017509] * RD COMPARE * port=1 adr=04 act=6C8F367C02B4D12B9A exp=6C8F367C02B4D12B9A + 17508.50ns INFO [00017510] * RD COMPARE * port=0 adr=00 act=96862D40F5E91C5E4A exp=96862D40F5E91C5E4A + 17508.50ns INFO [00017510] * RD COMPARE * port=1 adr=00 act=96862D40F5E91C5E4A exp=96862D40F5E91C5E4A + 17508.50ns INFO [00017510] Port=0 WR @06=B3FFC366DDDD1F7C8D + 17509.50ns INFO [00017511] Port=0 WR @07=974ECBF568B86A4CAC + 17509.50ns INFO [00017511] Port=1 RD @02 + 17510.50ns INFO [00017512] Port=0 WR @06=594FA16A0B070BDD93 + 17510.50ns INFO [00017512] Port=1 RD @02 + 17511.50ns INFO [00017513] * RD COMPARE * port=1 adr=02 act=A45B4F399AB1094C81 exp=A45B4F399AB1094C81 + 17511.50ns INFO [00017513] Port=1 RD @05 + 17512.50ns INFO [00017514] * RD COMPARE * port=1 adr=02 act=A45B4F399AB1094C81 exp=A45B4F399AB1094C81 + 17512.50ns INFO [00017514] Port=0 WR @00=5EDC7089D3C2140836 + 17512.50ns INFO [00017514] Port=0 RD @05 + 17512.50ns INFO [00017514] Port=1 RD @06 + 17513.50ns INFO [00017515] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17513.50ns INFO [00017515] Port=0 RD @05 + 17513.50ns INFO [00017515] Port=1 RD @02 + 17514.50ns INFO [00017516] * RD COMPARE * port=0 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17514.50ns INFO [00017516] * RD COMPARE * port=1 adr=06 act=594FA16A0B070BDD93 exp=594FA16A0B070BDD93 + 17514.50ns INFO [00017516] Port=0 RD @01 + 17515.50ns INFO [00017517] * RD COMPARE * port=0 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17515.50ns INFO [00017517] * RD COMPARE * port=1 adr=02 act=A45B4F399AB1094C81 exp=A45B4F399AB1094C81 + 17515.50ns INFO [00017517] Port=0 RD @05 + 17515.50ns INFO [00017517] Port=1 RD @07 + 17516.50ns INFO [00017518] * RD COMPARE * port=0 adr=01 act=D18F874600569EBC58 exp=D18F874600569EBC58 + 17516.50ns INFO [00017518] Port=1 RD @00 + 17517.50ns INFO [00017519] * RD COMPARE * port=0 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17517.50ns INFO [00017519] * RD COMPARE * port=1 adr=07 act=974ECBF568B86A4CAC exp=974ECBF568B86A4CAC + 17517.50ns INFO [00017519] Port=0 RD @04 + 17518.50ns INFO [00017520] * RD COMPARE * port=1 adr=00 act=5EDC7089D3C2140836 exp=5EDC7089D3C2140836 + 17518.50ns INFO [00017520] Port=1 RD @05 + 17519.50ns INFO [00017521] * RD COMPARE * port=0 adr=04 act=6C8F367C02B4D12B9A exp=6C8F367C02B4D12B9A + 17519.50ns INFO [00017521] Port=0 WR @02=7BECF6F195889C87D8 + 17519.50ns INFO [00017521] Port=0 RD @00 + 17520.50ns INFO [00017522] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17521.50ns INFO [00017523] * RD COMPARE * port=0 adr=00 act=5EDC7089D3C2140836 exp=5EDC7089D3C2140836 + 17521.50ns INFO [00017523] Port=0 RD @04 + 17521.50ns INFO [00017523] Port=1 RD @07 + 17522.50ns INFO [00017524] Port=1 RD @00 + 17523.50ns INFO [00017525] * RD COMPARE * port=0 adr=04 act=6C8F367C02B4D12B9A exp=6C8F367C02B4D12B9A + 17523.50ns INFO [00017525] * RD COMPARE * port=1 adr=07 act=974ECBF568B86A4CAC exp=974ECBF568B86A4CAC + 17523.50ns INFO [00017525] Port=0 WR @00=0CD375EAB051172D01 + 17523.50ns INFO [00017525] Port=1 RD @01 + 17524.50ns INFO [00017526] * RD COMPARE * port=1 adr=00 act=5EDC7089D3C2140836 exp=5EDC7089D3C2140836 + 17524.50ns INFO [00017526] Port=1 RD @04 + 17525.50ns INFO [00017527] * RD COMPARE * port=1 adr=01 act=D18F874600569EBC58 exp=D18F874600569EBC58 + 17525.50ns INFO [00017527] Port=0 WR @06=9F191CA1C561D33A41 + 17526.50ns INFO [00017528] * RD COMPARE * port=1 adr=04 act=6C8F367C02B4D12B9A exp=6C8F367C02B4D12B9A + 17526.50ns INFO [00017528] Port=1 RD @06 + 17527.50ns INFO [00017529] Port=0 WR @00=E72AC361E37926FA6B + 17527.50ns INFO [00017529] Port=0 RD @01 + 17528.50ns INFO [00017530] * RD COMPARE * port=1 adr=06 act=9F191CA1C561D33A41 exp=9F191CA1C561D33A41 + 17528.50ns INFO [00017530] Port=0 WR @00=08B956665D8BB9B73A + 17529.50ns INFO [00017531] * RD COMPARE * port=0 adr=01 act=D18F874600569EBC58 exp=D18F874600569EBC58 + 17529.50ns INFO [00017531] Port=1 RD @06 + 17530.50ns INFO [00017532] Port=0 RD @06 + 17531.50ns INFO [00017533] * RD COMPARE * port=1 adr=06 act=9F191CA1C561D33A41 exp=9F191CA1C561D33A41 + 17532.50ns INFO [00017534] * RD COMPARE * port=0 adr=06 act=9F191CA1C561D33A41 exp=9F191CA1C561D33A41 + 17533.50ns INFO [00017535] Port=1 RD @02 + 17534.50ns INFO [00017536] Port=1 RD @01 + 17535.50ns INFO [00017537] * RD COMPARE * port=1 adr=02 act=7BECF6F195889C87D8 exp=7BECF6F195889C87D8 + 17535.50ns INFO [00017537] Port=0 WR @07=B8ED3283BF1517F20D + 17536.50ns INFO [00017538] * RD COMPARE * port=1 adr=01 act=D18F874600569EBC58 exp=D18F874600569EBC58 + 17536.50ns INFO [00017538] Port=0 RD @02 + 17536.50ns INFO [00017538] Port=1 RD @01 + 17538.50ns INFO [00017540] * RD COMPARE * port=0 adr=02 act=7BECF6F195889C87D8 exp=7BECF6F195889C87D8 + 17538.50ns INFO [00017540] * RD COMPARE * port=1 adr=01 act=D18F874600569EBC58 exp=D18F874600569EBC58 + 17538.50ns INFO [00017540] Port=0 WR @01=3ED07CDDE94D2B510C + 17538.50ns INFO [00017540] Port=0 RD @03 + 17539.50ns INFO [00017541] Port=1 RD @02 + 17540.50ns INFO [00017542] * RD COMPARE * port=0 adr=03 act=3D1A53C3D37D2BA811 exp=3D1A53C3D37D2BA811 + 17541.50ns INFO [00017543] * RD COMPARE * port=1 adr=02 act=7BECF6F195889C87D8 exp=7BECF6F195889C87D8 + 17541.50ns INFO [00017543] Port=0 RD @07 + 17542.50ns INFO [00017544] Port=0 WR @00=7E63F57B8D70FD499C + 17542.50ns INFO [00017544] Port=1 RD @05 + 17543.50ns INFO [00017545] * RD COMPARE * port=0 adr=07 act=B8ED3283BF1517F20D exp=B8ED3283BF1517F20D + 17543.50ns INFO [00017545] Port=0 WR @04=DA6CEBE6A8341A58B8 + 17543.50ns INFO [00017545] Port=0 RD @02 + 17544.50ns INFO [00017546] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17544.50ns INFO [00017546] Port=0 WR @04=E9FD2117A8E626C531 + 17545.50ns INFO [00017547] * RD COMPARE * port=0 adr=02 act=7BECF6F195889C87D8 exp=7BECF6F195889C87D8 + 17545.50ns INFO [00017547] Port=0 WR @01=AEC0B510CD3B6402F4 + 17545.50ns INFO [00017547] Port=0 RD @04 + 17547.50ns INFO [00017549] * RD COMPARE * port=0 adr=04 act=E9FD2117A8E626C531 exp=E9FD2117A8E626C531 + 17547.50ns INFO [00017549] Port=0 WR @03=A5EAC3E75F8FCACB9A + 17548.50ns INFO [00017550] Port=0 WR @04=3BE04511B3CCEB42D8 + 17549.50ns INFO [00017551] Port=0 WR @07=706227BEF72654F024 + 17550.50ns INFO [00017552] Port=0 RD @00 + 17552.50ns INFO [00017554] * RD COMPARE * port=0 adr=00 act=7E63F57B8D70FD499C exp=7E63F57B8D70FD499C + 17554.50ns INFO [00017556] Port=0 WR @00=3840AED0B3B5EBEB68 + 17554.50ns INFO [00017556] Port=0 RD @02 + 17555.50ns INFO [00017557] Port=0 RD @01 + 17556.50ns INFO [00017558] * RD COMPARE * port=0 adr=02 act=7BECF6F195889C87D8 exp=7BECF6F195889C87D8 + 17557.50ns INFO [00017559] * RD COMPARE * port=0 adr=01 act=AEC0B510CD3B6402F4 exp=AEC0B510CD3B6402F4 + 17557.50ns INFO [00017559] Port=1 RD @07 + 17558.50ns INFO [00017560] Port=0 RD @07 + 17558.50ns INFO [00017560] Port=1 RD @04 + 17559.50ns INFO [00017561] * RD COMPARE * port=1 adr=07 act=706227BEF72654F024 exp=706227BEF72654F024 + 17559.50ns INFO [00017561] Port=0 RD @02 + 17560.50ns INFO [00017562] * RD COMPARE * port=0 adr=07 act=706227BEF72654F024 exp=706227BEF72654F024 + 17560.50ns INFO [00017562] * RD COMPARE * port=1 adr=04 act=3BE04511B3CCEB42D8 exp=3BE04511B3CCEB42D8 + 17560.50ns INFO [00017562] Port=0 WR @03=2D257F2BCF10D1E832 + 17561.50ns INFO [00017563] * RD COMPARE * port=0 adr=02 act=7BECF6F195889C87D8 exp=7BECF6F195889C87D8 + 17561.50ns INFO [00017563] Port=0 WR @00=922FAD86C1921A775E + 17561.50ns INFO [00017563] Port=0 RD @07 + 17562.50ns INFO [00017564] Port=0 WR @02=DCC771498136BB907A + 17562.50ns INFO [00017564] Port=0 RD @00 + 17562.50ns INFO [00017564] Port=1 RD @00 + 17563.50ns INFO [00017565] * RD COMPARE * port=0 adr=07 act=706227BEF72654F024 exp=706227BEF72654F024 + 17563.50ns INFO [00017565] Port=0 WR @03=705F2FCF33C9C8BEB6 + 17564.50ns INFO [00017566] * RD COMPARE * port=0 adr=00 act=922FAD86C1921A775E exp=922FAD86C1921A775E + 17564.50ns INFO [00017566] * RD COMPARE * port=1 adr=00 act=922FAD86C1921A775E exp=922FAD86C1921A775E + 17564.50ns INFO [00017566] Port=0 WR @02=3F6C9312B506CAB453 + 17564.50ns INFO [00017566] Port=0 RD @03 + 17565.50ns INFO [00017567] Port=1 RD @04 + 17566.50ns INFO [00017568] * RD COMPARE * port=0 adr=03 act=705F2FCF33C9C8BEB6 exp=705F2FCF33C9C8BEB6 + 17566.50ns INFO [00017568] Port=0 WR @06=EBD434B029CF6FCC9C + 17566.50ns INFO [00017568] Port=1 RD @02 + 17567.50ns INFO [00017569] * RD COMPARE * port=1 adr=04 act=3BE04511B3CCEB42D8 exp=3BE04511B3CCEB42D8 + 17567.50ns INFO [00017569] Port=0 WR @07=7DDECB2AF66090EE91 + 17568.50ns INFO [00017570] * RD COMPARE * port=1 adr=02 act=3F6C9312B506CAB453 exp=3F6C9312B506CAB453 + 17568.50ns INFO [00017570] Port=1 RD @00 + 17570.50ns INFO [00017572] * RD COMPARE * port=1 adr=00 act=922FAD86C1921A775E exp=922FAD86C1921A775E + 17570.50ns INFO [00017572] Port=1 RD @04 + 17571.50ns INFO [00017573] Port=0 RD @04 + 17572.50ns INFO [00017574] * RD COMPARE * port=1 adr=04 act=3BE04511B3CCEB42D8 exp=3BE04511B3CCEB42D8 + 17572.50ns INFO [00017574] Port=0 RD @05 + 17573.50ns INFO [00017575] * RD COMPARE * port=0 adr=04 act=3BE04511B3CCEB42D8 exp=3BE04511B3CCEB42D8 + 17574.50ns INFO [00017576] * RD COMPARE * port=0 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17574.50ns INFO [00017576] Port=1 RD @05 + 17575.50ns INFO [00017577] Port=0 RD @01 + 17576.50ns INFO [00017578] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17576.50ns INFO [00017578] Port=0 WR @00=D5A5CFACA0B467311F + 17577.50ns INFO [00017579] * RD COMPARE * port=0 adr=01 act=AEC0B510CD3B6402F4 exp=AEC0B510CD3B6402F4 + 17577.50ns INFO [00017579] Port=0 RD @00 + 17578.50ns INFO [00017580] Port=1 RD @05 + 17579.50ns INFO [00017581] * RD COMPARE * port=0 adr=00 act=D5A5CFACA0B467311F exp=D5A5CFACA0B467311F + 17579.50ns INFO [00017581] Port=0 WR @00=C72535699C36E655EA + 17579.50ns INFO [00017581] Port=0 RD @07 + 17579.50ns INFO [00017581] Port=1 RD @07 + 17580.50ns INFO [00017582] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17580.50ns INFO [00017582] Port=0 RD @04 + 17581.50ns INFO [00017583] * RD COMPARE * port=0 adr=07 act=7DDECB2AF66090EE91 exp=7DDECB2AF66090EE91 + 17581.50ns INFO [00017583] * RD COMPARE * port=1 adr=07 act=7DDECB2AF66090EE91 exp=7DDECB2AF66090EE91 + 17581.50ns INFO [00017583] Port=0 WR @04=F83FFF301542E58843 + 17582.50ns INFO [00017584] * RD COMPARE * port=0 adr=04 act=3BE04511B3CCEB42D8 exp=3BE04511B3CCEB42D8 + 17582.50ns INFO [00017584] Port=0 WR @07=65CDC9C9B4348141B9 + 17582.50ns INFO [00017584] Port=1 RD @02 + 17583.50ns INFO [00017585] Port=0 WR @06=C9021D78AC997BD995 + 17584.50ns INFO [00017586] * RD COMPARE * port=1 adr=02 act=3F6C9312B506CAB453 exp=3F6C9312B506CAB453 + 17584.50ns INFO [00017586] Port=0 WR @02=9496ED7EFF386F3864 + 17586.50ns INFO [00017588] Port=0 RD @01 + 17587.50ns INFO [00017589] Port=0 RD @00 + 17588.50ns INFO [00017590] * RD COMPARE * port=0 adr=01 act=AEC0B510CD3B6402F4 exp=AEC0B510CD3B6402F4 + 17588.50ns INFO [00017590] Port=0 WR @00=2C8A6757EB8CEBBA18 + 17588.50ns INFO [00017590] Port=0 RD @07 + 17588.50ns INFO [00017590] Port=1 RD @06 + 17589.50ns INFO [00017591] * RD COMPARE * port=0 adr=00 act=C72535699C36E655EA exp=C72535699C36E655EA + 17589.50ns INFO [00017591] Port=0 WR @07=519CCEE7424AE7FB57 + 17589.50ns INFO [00017591] Port=1 RD @00 + 17590.50ns INFO [00017592] * RD COMPARE * port=0 adr=07 act=65CDC9C9B4348141B9 exp=65CDC9C9B4348141B9 + 17590.50ns INFO [00017592] * RD COMPARE * port=1 adr=06 act=C9021D78AC997BD995 exp=C9021D78AC997BD995 + 17590.50ns INFO [00017592] Port=1 RD @02 + 17591.50ns INFO [00017593] * RD COMPARE * port=1 adr=00 act=2C8A6757EB8CEBBA18 exp=2C8A6757EB8CEBBA18 + 17591.50ns INFO [00017593] Port=0 RD @06 + 17591.50ns INFO [00017593] Port=1 RD @00 + 17592.50ns INFO [00017594] * RD COMPARE * port=1 adr=02 act=9496ED7EFF386F3864 exp=9496ED7EFF386F3864 + 17593.50ns INFO [00017595] * RD COMPARE * port=0 adr=06 act=C9021D78AC997BD995 exp=C9021D78AC997BD995 + 17593.50ns INFO [00017595] * RD COMPARE * port=1 adr=00 act=2C8A6757EB8CEBBA18 exp=2C8A6757EB8CEBBA18 + 17594.50ns INFO [00017596] Port=0 RD @07 + 17595.50ns INFO [00017597] Port=1 RD @00 + 17596.50ns INFO [00017598] * RD COMPARE * port=0 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17596.50ns INFO [00017598] Port=1 RD @07 + 17597.50ns INFO [00017599] * RD COMPARE * port=1 adr=00 act=2C8A6757EB8CEBBA18 exp=2C8A6757EB8CEBBA18 + 17597.50ns INFO [00017599] Port=0 RD @02 + 17597.50ns INFO [00017599] Port=1 RD @06 + 17598.00ns INFO [00017600] [00017600] ...tick... + 17598.50ns INFO [00017600] * RD COMPARE * port=1 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17598.50ns INFO [00017600] Port=1 RD @03 + 17599.50ns INFO [00017601] * RD COMPARE * port=0 adr=02 act=9496ED7EFF386F3864 exp=9496ED7EFF386F3864 + 17599.50ns INFO [00017601] * RD COMPARE * port=1 adr=06 act=C9021D78AC997BD995 exp=C9021D78AC997BD995 + 17599.50ns INFO [00017601] Port=0 WR @03=F81386426ABE650550 + 17600.50ns INFO [00017602] * RD COMPARE * port=1 adr=03 act=705F2FCF33C9C8BEB6 exp=705F2FCF33C9C8BEB6 + 17600.50ns INFO [00017602] Port=1 RD @00 + 17601.50ns INFO [00017603] Port=0 RD @02 + 17601.50ns INFO [00017603] Port=1 RD @02 + 17602.50ns INFO [00017604] * RD COMPARE * port=1 adr=00 act=2C8A6757EB8CEBBA18 exp=2C8A6757EB8CEBBA18 + 17603.50ns INFO [00017605] * RD COMPARE * port=0 adr=02 act=9496ED7EFF386F3864 exp=9496ED7EFF386F3864 + 17603.50ns INFO [00017605] * RD COMPARE * port=1 adr=02 act=9496ED7EFF386F3864 exp=9496ED7EFF386F3864 + 17604.50ns INFO [00017606] Port=0 RD @07 + 17605.50ns INFO [00017607] Port=0 RD @01 + 17606.50ns INFO [00017608] * RD COMPARE * port=0 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17607.50ns INFO [00017609] * RD COMPARE * port=0 adr=01 act=AEC0B510CD3B6402F4 exp=AEC0B510CD3B6402F4 + 17608.50ns INFO [00017610] Port=0 RD @02 + 17609.50ns INFO [00017611] Port=0 RD @03 + 17609.50ns INFO [00017611] Port=1 RD @05 + 17610.50ns INFO [00017612] * RD COMPARE * port=0 adr=02 act=9496ED7EFF386F3864 exp=9496ED7EFF386F3864 + 17610.50ns INFO [00017612] Port=1 RD @00 + 17611.50ns INFO [00017613] * RD COMPARE * port=0 adr=03 act=F81386426ABE650550 exp=F81386426ABE650550 + 17611.50ns INFO [00017613] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17611.50ns INFO [00017613] Port=0 WR @03=6680B58F98980BB52F + 17611.50ns INFO [00017613] Port=1 RD @05 + 17612.50ns INFO [00017614] * RD COMPARE * port=1 adr=00 act=2C8A6757EB8CEBBA18 exp=2C8A6757EB8CEBBA18 + 17612.50ns INFO [00017614] Port=0 WR @00=0F460AC755C27087FC + 17613.50ns INFO [00017615] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17613.50ns INFO [00017615] Port=0 RD @05 + 17613.50ns INFO [00017615] Port=1 RD @05 + 17614.50ns INFO [00017616] Port=0 RD @07 + 17615.50ns INFO [00017617] * RD COMPARE * port=0 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17615.50ns INFO [00017617] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17615.50ns INFO [00017617] Port=0 WR @06=0C3AF1FADF8474868B + 17615.50ns INFO [00017617] Port=0 RD @00 + 17616.50ns INFO [00017618] * RD COMPARE * port=0 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17616.50ns INFO [00017618] Port=0 RD @04 + 17616.50ns INFO [00017618] Port=1 RD @00 + 17617.50ns INFO [00017619] * RD COMPARE * port=0 adr=00 act=0F460AC755C27087FC exp=0F460AC755C27087FC + 17617.50ns INFO [00017619] Port=0 WR @04=467D8E9EDA72FED2C8 + 17617.50ns INFO [00017619] Port=1 RD @05 + 17618.50ns INFO [00017620] * RD COMPARE * port=0 adr=04 act=F83FFF301542E58843 exp=F83FFF301542E58843 + 17618.50ns INFO [00017620] * RD COMPARE * port=1 adr=00 act=0F460AC755C27087FC exp=0F460AC755C27087FC + 17619.50ns INFO [00017621] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17619.50ns INFO [00017621] Port=0 WR @00=56D21B5DE21BCE5F61 + 17620.50ns INFO [00017622] Port=1 RD @00 + 17621.50ns INFO [00017623] Port=0 RD @03 + 17622.50ns INFO [00017624] * RD COMPARE * port=1 adr=00 act=56D21B5DE21BCE5F61 exp=56D21B5DE21BCE5F61 + 17623.50ns INFO [00017625] * RD COMPARE * port=0 adr=03 act=6680B58F98980BB52F exp=6680B58F98980BB52F + 17623.50ns INFO [00017625] Port=0 WR @06=3E2564674E092975CA + 17623.50ns INFO [00017625] Port=1 RD @00 + 17624.50ns INFO [00017626] Port=0 WR @04=BA4119F79E0D7EBB4C + 17624.50ns INFO [00017626] Port=0 RD @03 + 17624.50ns INFO [00017626] Port=1 RD @05 + 17625.50ns INFO [00017627] * RD COMPARE * port=1 adr=00 act=56D21B5DE21BCE5F61 exp=56D21B5DE21BCE5F61 + 17625.50ns INFO [00017627] Port=0 WR @00=84E39754ABE827C6A7 + 17626.50ns INFO [00017628] * RD COMPARE * port=0 adr=03 act=6680B58F98980BB52F exp=6680B58F98980BB52F + 17626.50ns INFO [00017628] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17626.50ns INFO [00017628] Port=0 RD @02 + 17626.50ns INFO [00017628] Port=1 RD @06 + 17628.50ns INFO [00017630] * RD COMPARE * port=0 adr=02 act=9496ED7EFF386F3864 exp=9496ED7EFF386F3864 + 17628.50ns INFO [00017630] * RD COMPARE * port=1 adr=06 act=3E2564674E092975CA exp=3E2564674E092975CA + 17628.50ns INFO [00017630] Port=0 RD @03 + 17630.50ns INFO [00017632] * RD COMPARE * port=0 adr=03 act=6680B58F98980BB52F exp=6680B58F98980BB52F + 17630.50ns INFO [00017632] Port=0 RD @05 + 17630.50ns INFO [00017632] Port=1 RD @01 + 17632.50ns INFO [00017634] * RD COMPARE * port=0 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17632.50ns INFO [00017634] * RD COMPARE * port=1 adr=01 act=AEC0B510CD3B6402F4 exp=AEC0B510CD3B6402F4 + 17632.50ns INFO [00017634] Port=1 RD @01 + 17633.50ns INFO [00017635] Port=1 RD @04 + 17634.50ns INFO [00017636] * RD COMPARE * port=1 adr=01 act=AEC0B510CD3B6402F4 exp=AEC0B510CD3B6402F4 + 17635.50ns INFO [00017637] * RD COMPARE * port=1 adr=04 act=BA4119F79E0D7EBB4C exp=BA4119F79E0D7EBB4C + 17636.50ns INFO [00017638] Port=0 WR @00=6868A6BEE9741F2663 + 17636.50ns INFO [00017638] Port=1 RD @07 + 17637.50ns INFO [00017639] Port=0 WR @02=6D454AF9C084A1FE5A + 17637.50ns INFO [00017639] Port=1 RD @00 + 17638.50ns INFO [00017640] * RD COMPARE * port=1 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17638.50ns INFO [00017640] Port=0 WR @01=2C5C628F1EB0A73C2C + 17638.50ns INFO [00017640] Port=0 RD @07 + 17639.50ns INFO [00017641] * RD COMPARE * port=1 adr=00 act=6868A6BEE9741F2663 exp=6868A6BEE9741F2663 + 17639.50ns INFO [00017641] Port=0 WR @02=90FB378B0D5A4A1D80 + 17639.50ns INFO [00017641] Port=1 RD @05 + 17640.50ns INFO [00017642] * RD COMPARE * port=0 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17640.50ns INFO [00017642] Port=1 RD @07 + 17641.50ns INFO [00017643] * RD COMPARE * port=1 adr=05 act=813B0E34379667AD3C exp=813B0E34379667AD3C + 17641.50ns INFO [00017643] Port=0 RD @04 + 17642.50ns INFO [00017644] * RD COMPARE * port=1 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17642.50ns INFO [00017644] Port=0 RD @01 + 17643.50ns INFO [00017645] * RD COMPARE * port=0 adr=04 act=BA4119F79E0D7EBB4C exp=BA4119F79E0D7EBB4C + 17643.50ns INFO [00017645] Port=1 RD @07 + 17644.50ns INFO [00017646] * RD COMPARE * port=0 adr=01 act=2C5C628F1EB0A73C2C exp=2C5C628F1EB0A73C2C + 17644.50ns INFO [00017646] Port=0 WR @04=2E43F30150DC8F2065 + 17644.50ns INFO [00017646] Port=1 RD @02 + 17645.50ns INFO [00017647] * RD COMPARE * port=1 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17645.50ns INFO [00017647] Port=0 WR @02=72560AFEBF0F0A4453 + 17645.50ns INFO [00017647] Port=1 RD @06 + 17646.50ns INFO [00017648] * RD COMPARE * port=1 adr=02 act=90FB378B0D5A4A1D80 exp=90FB378B0D5A4A1D80 + 17646.50ns INFO [00017648] Port=0 WR @05=499FA97DD846D8ED5F + 17647.50ns INFO [00017649] * RD COMPARE * port=1 adr=06 act=3E2564674E092975CA exp=3E2564674E092975CA + 17647.50ns INFO [00017649] Port=0 WR @02=B3EFE0173C9C4DC847 + 17647.50ns INFO [00017649] Port=0 RD @03 + 17648.50ns INFO [00017650] Port=0 WR @04=20BE3BD397FF7DF4D9 + 17649.50ns INFO [00017651] * RD COMPARE * port=0 adr=03 act=6680B58F98980BB52F exp=6680B58F98980BB52F + 17650.50ns INFO [00017652] Port=0 WR @05=853F4C0389A514D0A0 + 17650.50ns INFO [00017652] Port=0 RD @06 + 17652.50ns INFO [00017654] * RD COMPARE * port=0 adr=06 act=3E2564674E092975CA exp=3E2564674E092975CA + 17652.50ns INFO [00017654] Port=0 RD @06 + 17653.50ns INFO [00017655] Port=0 RD @00 + 17653.50ns INFO [00017655] Port=1 RD @00 + 17654.50ns INFO [00017656] * RD COMPARE * port=0 adr=06 act=3E2564674E092975CA exp=3E2564674E092975CA + 17654.50ns INFO [00017656] Port=0 RD @03 + 17655.50ns INFO [00017657] * RD COMPARE * port=0 adr=00 act=6868A6BEE9741F2663 exp=6868A6BEE9741F2663 + 17655.50ns INFO [00017657] * RD COMPARE * port=1 adr=00 act=6868A6BEE9741F2663 exp=6868A6BEE9741F2663 + 17656.50ns INFO [00017658] * RD COMPARE * port=0 adr=03 act=6680B58F98980BB52F exp=6680B58F98980BB52F + 17656.50ns INFO [00017658] Port=0 RD @01 + 17656.50ns INFO [00017658] Port=1 RD @04 + 17658.50ns INFO [00017660] * RD COMPARE * port=0 adr=01 act=2C5C628F1EB0A73C2C exp=2C5C628F1EB0A73C2C + 17658.50ns INFO [00017660] * RD COMPARE * port=1 adr=04 act=20BE3BD397FF7DF4D9 exp=20BE3BD397FF7DF4D9 + 17658.50ns INFO [00017660] Port=1 RD @04 + 17659.50ns INFO [00017661] Port=0 WR @06=BFD8A7C3F1B374BCA3 + 17660.50ns INFO [00017662] * RD COMPARE * port=1 adr=04 act=20BE3BD397FF7DF4D9 exp=20BE3BD397FF7DF4D9 + 17660.50ns INFO [00017662] Port=0 RD @06 + 17660.50ns INFO [00017662] Port=1 RD @07 + 17661.50ns INFO [00017663] Port=0 RD @07 + 17662.50ns INFO [00017664] * RD COMPARE * port=0 adr=06 act=BFD8A7C3F1B374BCA3 exp=BFD8A7C3F1B374BCA3 + 17662.50ns INFO [00017664] * RD COMPARE * port=1 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17663.50ns INFO [00017665] * RD COMPARE * port=0 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17663.50ns INFO [00017665] Port=0 WR @04=9ABAC14DD15C1742CD + 17664.50ns INFO [00017666] Port=0 WR @06=FD1701B71D765A0CA9 + 17667.50ns INFO [00017669] Port=0 WR @00=5804B58684D7058246 + 17667.50ns INFO [00017669] Port=1 RD @03 + 17668.50ns INFO [00017670] Port=0 WR @01=C4616AF8C16E80D8F1 + 17668.50ns INFO [00017670] Port=0 RD @04 + 17669.50ns INFO [00017671] * RD COMPARE * port=1 adr=03 act=6680B58F98980BB52F exp=6680B58F98980BB52F + 17670.50ns INFO [00017672] * RD COMPARE * port=0 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17670.50ns INFO [00017672] Port=0 WR @00=C8DCD763984CBC2202 + 17670.50ns INFO [00017672] Port=1 RD @02 + 17671.50ns INFO [00017673] Port=1 RD @03 + 17672.50ns INFO [00017674] * RD COMPARE * port=1 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17672.50ns INFO [00017674] Port=0 WR @03=4E3290B883DDB38362 + 17672.50ns INFO [00017674] Port=1 RD @07 + 17673.50ns INFO [00017675] * RD COMPARE * port=1 adr=03 act=6680B58F98980BB52F exp=6680B58F98980BB52F + 17673.50ns INFO [00017675] Port=0 RD @00 + 17673.50ns INFO [00017675] Port=1 RD @04 + 17674.50ns INFO [00017676] * RD COMPARE * port=1 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17674.50ns INFO [00017676] Port=1 RD @04 + 17675.50ns INFO [00017677] * RD COMPARE * port=0 adr=00 act=C8DCD763984CBC2202 exp=C8DCD763984CBC2202 + 17675.50ns INFO [00017677] * RD COMPARE * port=1 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17676.50ns INFO [00017678] * RD COMPARE * port=1 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17676.50ns INFO [00017678] Port=0 RD @04 + 17677.50ns INFO [00017679] Port=1 RD @03 + 17678.50ns INFO [00017680] * RD COMPARE * port=0 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17678.50ns INFO [00017680] Port=0 RD @01 + 17679.50ns INFO [00017681] * RD COMPARE * port=1 adr=03 act=4E3290B883DDB38362 exp=4E3290B883DDB38362 + 17679.50ns INFO [00017681] Port=0 RD @02 + 17680.50ns INFO [00017682] * RD COMPARE * port=0 adr=01 act=C4616AF8C16E80D8F1 exp=C4616AF8C16E80D8F1 + 17680.50ns INFO [00017682] Port=0 RD @06 + 17680.50ns INFO [00017682] Port=1 RD @02 + 17681.50ns INFO [00017683] * RD COMPARE * port=0 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17681.50ns INFO [00017683] Port=0 RD @03 + 17682.50ns INFO [00017684] * RD COMPARE * port=0 adr=06 act=FD1701B71D765A0CA9 exp=FD1701B71D765A0CA9 + 17682.50ns INFO [00017684] * RD COMPARE * port=1 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17682.50ns INFO [00017684] Port=0 RD @04 + 17682.50ns INFO [00017684] Port=1 RD @04 + 17683.50ns INFO [00017685] * RD COMPARE * port=0 adr=03 act=4E3290B883DDB38362 exp=4E3290B883DDB38362 + 17683.50ns INFO [00017685] Port=0 WR @00=140B8B553BAB15F3E2 + 17683.50ns INFO [00017685] Port=0 RD @02 + 17684.50ns INFO [00017686] * RD COMPARE * port=0 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17684.50ns INFO [00017686] * RD COMPARE * port=1 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17685.50ns INFO [00017687] * RD COMPARE * port=0 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17685.50ns INFO [00017687] Port=0 WR @00=7FB210303B922B90F4 + 17685.50ns INFO [00017687] Port=0 RD @01 + 17686.50ns INFO [00017688] Port=0 WR @03=833AB4B95B19615A83 + 17686.50ns INFO [00017688] Port=0 RD @06 + 17687.50ns INFO [00017689] * RD COMPARE * port=0 adr=01 act=C4616AF8C16E80D8F1 exp=C4616AF8C16E80D8F1 + 17688.50ns INFO [00017690] * RD COMPARE * port=0 adr=06 act=FD1701B71D765A0CA9 exp=FD1701B71D765A0CA9 + 17689.50ns INFO [00017691] Port=0 RD @04 + 17689.50ns INFO [00017691] Port=1 RD @06 + 17690.50ns INFO [00017692] Port=0 WR @05=0136056A32E01D9046 + 17690.50ns INFO [00017692] Port=0 RD @07 + 17690.50ns INFO [00017692] Port=1 RD @02 + 17691.50ns INFO [00017693] * RD COMPARE * port=0 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17691.50ns INFO [00017693] * RD COMPARE * port=1 adr=06 act=FD1701B71D765A0CA9 exp=FD1701B71D765A0CA9 + 17692.50ns INFO [00017694] * RD COMPARE * port=0 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17692.50ns INFO [00017694] * RD COMPARE * port=1 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17693.50ns INFO [00017695] Port=1 RD @01 + 17694.50ns INFO [00017696] Port=0 RD @05 + 17694.50ns INFO [00017696] Port=1 RD @02 + 17695.50ns INFO [00017697] * RD COMPARE * port=1 adr=01 act=C4616AF8C16E80D8F1 exp=C4616AF8C16E80D8F1 + 17696.50ns INFO [00017698] * RD COMPARE * port=0 adr=05 act=0136056A32E01D9046 exp=0136056A32E01D9046 + 17696.50ns INFO [00017698] * RD COMPARE * port=1 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17697.50ns INFO [00017699] Port=1 RD @03 + 17698.00ns INFO [00017700] [00017700] ...tick... + 17698.50ns INFO [00017700] Port=0 WR @03=E416C4BCDE4F8AFF61 + 17699.50ns INFO [00017701] * RD COMPARE * port=1 adr=03 act=833AB4B95B19615A83 exp=833AB4B95B19615A83 + 17700.50ns INFO [00017702] Port=1 RD @00 + 17701.50ns INFO [00017703] Port=0 RD @04 + 17701.50ns INFO [00017703] Port=1 RD @02 + 17702.50ns INFO [00017704] * RD COMPARE * port=1 adr=00 act=7FB210303B922B90F4 exp=7FB210303B922B90F4 + 17702.50ns INFO [00017704] Port=0 RD @06 + 17702.50ns INFO [00017704] Port=1 RD @02 + 17703.50ns INFO [00017705] * RD COMPARE * port=0 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17703.50ns INFO [00017705] * RD COMPARE * port=1 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17703.50ns INFO [00017705] Port=0 RD @00 + 17704.50ns INFO [00017706] * RD COMPARE * port=0 adr=06 act=FD1701B71D765A0CA9 exp=FD1701B71D765A0CA9 + 17704.50ns INFO [00017706] * RD COMPARE * port=1 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17704.50ns INFO [00017706] Port=0 WR @06=146016D34D6047DF37 + 17704.50ns INFO [00017706] Port=1 RD @02 + 17705.50ns INFO [00017707] * RD COMPARE * port=0 adr=00 act=7FB210303B922B90F4 exp=7FB210303B922B90F4 + 17705.50ns INFO [00017707] Port=0 WR @00=2DBE7058D9CCA8B17A + 17705.50ns INFO [00017707] Port=1 RD @04 + 17706.50ns INFO [00017708] * RD COMPARE * port=1 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17706.50ns INFO [00017708] Port=1 RD @02 + 17707.50ns INFO [00017709] * RD COMPARE * port=1 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17708.50ns INFO [00017710] * RD COMPARE * port=1 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17708.50ns INFO [00017710] Port=0 RD @07 + 17709.50ns INFO [00017711] Port=0 WR @05=B0435F354F9A742351 + 17709.50ns INFO [00017711] Port=0 RD @04 + 17709.50ns INFO [00017711] Port=1 RD @06 + 17710.50ns INFO [00017712] * RD COMPARE * port=0 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17711.50ns INFO [00017713] * RD COMPARE * port=0 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17711.50ns INFO [00017713] * RD COMPARE * port=1 adr=06 act=146016D34D6047DF37 exp=146016D34D6047DF37 + 17711.50ns INFO [00017713] Port=0 RD @04 + 17711.50ns INFO [00017713] Port=1 RD @01 + 17712.50ns INFO [00017714] Port=1 RD @07 + 17713.50ns INFO [00017715] * RD COMPARE * port=0 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17713.50ns INFO [00017715] * RD COMPARE * port=1 adr=01 act=C4616AF8C16E80D8F1 exp=C4616AF8C16E80D8F1 + 17714.50ns INFO [00017716] * RD COMPARE * port=1 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17714.50ns INFO [00017716] Port=0 RD @00 + 17714.50ns INFO [00017716] Port=1 RD @07 + 17715.50ns INFO [00017717] Port=0 RD @04 + 17715.50ns INFO [00017717] Port=1 RD @00 + 17716.50ns INFO [00017718] * RD COMPARE * port=0 adr=00 act=2DBE7058D9CCA8B17A exp=2DBE7058D9CCA8B17A + 17716.50ns INFO [00017718] * RD COMPARE * port=1 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17716.50ns INFO [00017718] Port=0 RD @02 + 17716.50ns INFO [00017718] Port=1 RD @06 + 17717.50ns INFO [00017719] * RD COMPARE * port=0 adr=04 act=9ABAC14DD15C1742CD exp=9ABAC14DD15C1742CD + 17717.50ns INFO [00017719] * RD COMPARE * port=1 adr=00 act=2DBE7058D9CCA8B17A exp=2DBE7058D9CCA8B17A + 17717.50ns INFO [00017719] Port=0 WR @04=34EF73DDFC48FBEA1E + 17717.50ns INFO [00017719] Port=1 RD @05 + 17718.50ns INFO [00017720] * RD COMPARE * port=0 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17718.50ns INFO [00017720] * RD COMPARE * port=1 adr=06 act=146016D34D6047DF37 exp=146016D34D6047DF37 + 17719.50ns INFO [00017721] * RD COMPARE * port=1 adr=05 act=B0435F354F9A742351 exp=B0435F354F9A742351 + 17720.50ns INFO [00017722] Port=0 WR @06=5B60F06B89D65E3C7C + 17720.50ns INFO [00017722] Port=0 RD @02 + 17721.50ns INFO [00017723] Port=0 RD @03 + 17721.50ns INFO [00017723] Port=1 RD @02 + 17722.50ns INFO [00017724] * RD COMPARE * port=0 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17722.50ns INFO [00017724] Port=1 RD @06 + 17723.50ns INFO [00017725] * RD COMPARE * port=0 adr=03 act=E416C4BCDE4F8AFF61 exp=E416C4BCDE4F8AFF61 + 17723.50ns INFO [00017725] * RD COMPARE * port=1 adr=02 act=B3EFE0173C9C4DC847 exp=B3EFE0173C9C4DC847 + 17723.50ns INFO [00017725] Port=0 WR @05=0E86B06682E9506C53 + 17724.50ns INFO [00017726] * RD COMPARE * port=1 adr=06 act=5B60F06B89D65E3C7C exp=5B60F06B89D65E3C7C + 17724.50ns INFO [00017726] Port=0 WR @05=53BC4FB70219A49558 + 17725.50ns INFO [00017727] Port=0 RD @05 + 17725.50ns INFO [00017727] Port=1 RD @00 + 17727.50ns INFO [00017729] * RD COMPARE * port=0 adr=05 act=53BC4FB70219A49558 exp=53BC4FB70219A49558 + 17727.50ns INFO [00017729] * RD COMPARE * port=1 adr=00 act=2DBE7058D9CCA8B17A exp=2DBE7058D9CCA8B17A + 17727.50ns INFO [00017729] Port=0 WR @02=F0C4E56A07992FAD0E + 17727.50ns INFO [00017729] Port=0 RD @03 + 17728.50ns INFO [00017730] Port=0 WR @04=E92BECD7FED956E656 + 17729.50ns INFO [00017731] * RD COMPARE * port=0 adr=03 act=E416C4BCDE4F8AFF61 exp=E416C4BCDE4F8AFF61 + 17729.50ns INFO [00017731] Port=0 RD @05 + 17730.50ns INFO [00017732] Port=1 RD @07 + 17731.50ns INFO [00017733] * RD COMPARE * port=0 adr=05 act=53BC4FB70219A49558 exp=53BC4FB70219A49558 + 17731.50ns INFO [00017733] Port=1 RD @00 + 17732.50ns INFO [00017734] * RD COMPARE * port=1 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17732.50ns INFO [00017734] Port=0 WR @01=3998C75ABC74A00FC7 + 17732.50ns INFO [00017734] Port=0 RD @02 + 17733.50ns INFO [00017735] * RD COMPARE * port=1 adr=00 act=2DBE7058D9CCA8B17A exp=2DBE7058D9CCA8B17A + 17733.50ns INFO [00017735] Port=1 RD @04 + 17734.50ns INFO [00017736] * RD COMPARE * port=0 adr=02 act=F0C4E56A07992FAD0E exp=F0C4E56A07992FAD0E + 17734.50ns INFO [00017736] Port=0 RD @00 + 17734.50ns INFO [00017736] Port=1 RD @03 + 17735.50ns INFO [00017737] * RD COMPARE * port=1 adr=04 act=E92BECD7FED956E656 exp=E92BECD7FED956E656 + 17735.50ns INFO [00017737] Port=0 RD @07 + 17736.50ns INFO [00017738] * RD COMPARE * port=0 adr=00 act=2DBE7058D9CCA8B17A exp=2DBE7058D9CCA8B17A + 17736.50ns INFO [00017738] * RD COMPARE * port=1 adr=03 act=E416C4BCDE4F8AFF61 exp=E416C4BCDE4F8AFF61 + 17736.50ns INFO [00017738] Port=0 WR @07=1487A20C434CE45B7B + 17736.50ns INFO [00017738] Port=0 RD @05 + 17736.50ns INFO [00017738] Port=1 RD @01 + 17737.50ns INFO [00017739] * RD COMPARE * port=0 adr=07 act=519CCEE7424AE7FB57 exp=519CCEE7424AE7FB57 + 17738.50ns INFO [00017740] * RD COMPARE * port=0 adr=05 act=53BC4FB70219A49558 exp=53BC4FB70219A49558 + 17738.50ns INFO [00017740] * RD COMPARE * port=1 adr=01 act=3998C75ABC74A00FC7 exp=3998C75ABC74A00FC7 + 17738.50ns INFO [00017740] Port=0 WR @04=D4E6B42E4979EAF784 + 17738.50ns INFO [00017740] Port=0 RD @02 + 17740.50ns INFO [00017742] * RD COMPARE * port=0 adr=02 act=F0C4E56A07992FAD0E exp=F0C4E56A07992FAD0E + 17740.50ns INFO [00017742] Port=0 WR @04=66BF74294CCBBF1C4B + 17741.50ns INFO [00017743] Port=0 WR @06=6DFD77CA9A65BCD4C3 + 17741.50ns INFO [00017743] Port=1 RD @03 + 17742.50ns INFO [00017744] Port=0 RD @02 + 17743.50ns INFO [00017745] * RD COMPARE * port=1 adr=03 act=E416C4BCDE4F8AFF61 exp=E416C4BCDE4F8AFF61 + 17743.50ns INFO [00017745] Port=0 RD @03 + 17744.50ns INFO [00017746] * RD COMPARE * port=0 adr=02 act=F0C4E56A07992FAD0E exp=F0C4E56A07992FAD0E + 17744.50ns INFO [00017746] Port=0 WR @00=E72FC1A8AA2592DBE1 + 17744.50ns INFO [00017746] Port=0 RD @02 + 17745.50ns INFO [00017747] * RD COMPARE * port=0 adr=03 act=E416C4BCDE4F8AFF61 exp=E416C4BCDE4F8AFF61 + 17745.50ns INFO [00017747] Port=0 RD @06 + 17745.50ns INFO [00017747] Port=1 RD @00 + 17746.50ns INFO [00017748] * RD COMPARE * port=0 adr=02 act=F0C4E56A07992FAD0E exp=F0C4E56A07992FAD0E + 17747.50ns INFO [00017749] * RD COMPARE * port=0 adr=06 act=6DFD77CA9A65BCD4C3 exp=6DFD77CA9A65BCD4C3 + 17747.50ns INFO [00017749] * RD COMPARE * port=1 adr=00 act=E72FC1A8AA2592DBE1 exp=E72FC1A8AA2592DBE1 + 17748.50ns INFO [00017750] Port=0 WR @01=05C187CC04DE077602 + 17748.50ns INFO [00017750] Port=0 RD @04 + 17749.50ns INFO [00017751] Port=0 WR @02=2C6065A445B91B8075 + 17750.50ns INFO [00017752] * RD COMPARE * port=0 adr=04 act=66BF74294CCBBF1C4B exp=66BF74294CCBBF1C4B + 17750.50ns INFO [00017752] Port=0 RD @05 + 17751.50ns INFO [00017753] Port=0 WR @03=1DAB5A7C8A31F84BA2 + 17752.50ns INFO [00017754] * RD COMPARE * port=0 adr=05 act=53BC4FB70219A49558 exp=53BC4FB70219A49558 + 17752.50ns INFO [00017754] Port=0 WR @04=761E0995002851F114 + 17752.50ns INFO [00017754] Port=0 RD @07 + 17752.50ns INFO [00017754] Port=1 RD @01 + 17753.50ns INFO [00017755] Port=1 RD @00 + 17754.50ns INFO [00017756] * RD COMPARE * port=0 adr=07 act=1487A20C434CE45B7B exp=1487A20C434CE45B7B + 17754.50ns INFO [00017756] * RD COMPARE * port=1 adr=01 act=05C187CC04DE077602 exp=05C187CC04DE077602 + 17754.50ns INFO [00017756] Port=1 RD @03 + 17755.50ns INFO [00017757] * RD COMPARE * port=1 adr=00 act=E72FC1A8AA2592DBE1 exp=E72FC1A8AA2592DBE1 + 17755.50ns INFO [00017757] Port=0 WR @00=F02996CD79A8989C04 + 17755.50ns INFO [00017757] Port=1 RD @06 + 17756.50ns INFO [00017758] * RD COMPARE * port=1 adr=03 act=1DAB5A7C8A31F84BA2 exp=1DAB5A7C8A31F84BA2 + 17756.50ns INFO [00017758] Port=0 WR @01=7B9B2A707D5A65275B + 17756.50ns INFO [00017758] Port=1 RD @03 + 17757.50ns INFO [00017759] * RD COMPARE * port=1 adr=06 act=6DFD77CA9A65BCD4C3 exp=6DFD77CA9A65BCD4C3 + 17757.50ns INFO [00017759] Port=0 RD @04 + 17757.50ns INFO [00017759] Port=1 RD @02 + 17758.50ns INFO [00017760] * RD COMPARE * port=1 adr=03 act=1DAB5A7C8A31F84BA2 exp=1DAB5A7C8A31F84BA2 + 17759.50ns INFO [00017761] * RD COMPARE * port=0 adr=04 act=761E0995002851F114 exp=761E0995002851F114 + 17759.50ns INFO [00017761] * RD COMPARE * port=1 adr=02 act=2C6065A445B91B8075 exp=2C6065A445B91B8075 + 17759.50ns INFO [00017761] Port=0 RD @04 + 17759.50ns INFO [00017761] Port=1 RD @01 + 17761.50ns INFO [00017763] * RD COMPARE * port=0 adr=04 act=761E0995002851F114 exp=761E0995002851F114 + 17761.50ns INFO [00017763] * RD COMPARE * port=1 adr=01 act=7B9B2A707D5A65275B exp=7B9B2A707D5A65275B + 17761.50ns INFO [00017763] Port=1 RD @04 + 17762.50ns INFO [00017764] Port=0 WR @04=A0EADB82A6E16D944A + 17762.50ns INFO [00017764] Port=0 RD @02 + 17762.50ns INFO [00017764] Port=1 RD @07 + 17763.50ns INFO [00017765] * RD COMPARE * port=1 adr=04 act=761E0995002851F114 exp=761E0995002851F114 + 17763.50ns INFO [00017765] Port=0 WR @06=F1ADC85674451F287E + 17763.50ns INFO [00017765] Port=1 RD @03 + 17764.50ns INFO [00017766] * RD COMPARE * port=0 adr=02 act=2C6065A445B91B8075 exp=2C6065A445B91B8075 + 17764.50ns INFO [00017766] * RD COMPARE * port=1 adr=07 act=1487A20C434CE45B7B exp=1487A20C434CE45B7B + 17765.50ns INFO [00017767] * RD COMPARE * port=1 adr=03 act=1DAB5A7C8A31F84BA2 exp=1DAB5A7C8A31F84BA2 + 17765.50ns INFO [00017767] Port=0 RD @01 + 17765.50ns INFO [00017767] Port=1 RD @00 + 17767.50ns INFO [00017769] * RD COMPARE * port=0 adr=01 act=7B9B2A707D5A65275B exp=7B9B2A707D5A65275B + 17767.50ns INFO [00017769] * RD COMPARE * port=1 adr=00 act=F02996CD79A8989C04 exp=F02996CD79A8989C04 + 17768.50ns INFO [00017770] Port=0 WR @06=C7E425F14EB06B75FF + 17768.50ns INFO [00017770] Port=1 RD @01 + 17769.50ns INFO [00017771] Port=0 RD @05 + 17769.50ns INFO [00017771] Port=1 RD @05 + 17770.50ns INFO [00017772] * RD COMPARE * port=1 adr=01 act=7B9B2A707D5A65275B exp=7B9B2A707D5A65275B + 17771.50ns INFO [00017773] * RD COMPARE * port=0 adr=05 act=53BC4FB70219A49558 exp=53BC4FB70219A49558 + 17771.50ns INFO [00017773] * RD COMPARE * port=1 adr=05 act=53BC4FB70219A49558 exp=53BC4FB70219A49558 + 17772.50ns INFO [00017774] Port=0 WR @01=8A63A7B50836442A84 + 17772.50ns INFO [00017774] Port=0 RD @00 + 17773.50ns INFO [00017775] Port=0 WR @04=F528970ADE1B053806 + 17773.50ns INFO [00017775] Port=0 RD @05 + 17773.50ns INFO [00017775] Port=1 RD @00 + 17774.50ns INFO [00017776] * RD COMPARE * port=0 adr=00 act=F02996CD79A8989C04 exp=F02996CD79A8989C04 + 17774.50ns INFO [00017776] Port=0 WR @03=2ECDBDF09C3D9E3169 + 17774.50ns INFO [00017776] Port=0 RD @06 + 17774.50ns INFO [00017776] Port=1 RD @04 + 17775.50ns INFO [00017777] * RD COMPARE * port=0 adr=05 act=53BC4FB70219A49558 exp=53BC4FB70219A49558 + 17775.50ns INFO [00017777] * RD COMPARE * port=1 adr=00 act=F02996CD79A8989C04 exp=F02996CD79A8989C04 + 17775.50ns INFO [00017777] Port=0 WR @00=33E551BBD4A5453AD7 + 17775.50ns INFO [00017777] Port=1 RD @05 + 17776.50ns INFO [00017778] * RD COMPARE * port=0 adr=06 act=C7E425F14EB06B75FF exp=C7E425F14EB06B75FF + 17776.50ns INFO [00017778] * RD COMPARE * port=1 adr=04 act=F528970ADE1B053806 exp=F528970ADE1B053806 + 17776.50ns INFO [00017778] Port=0 RD @06 + 17776.50ns INFO [00017778] Port=1 RD @02 + 17777.50ns INFO [00017779] * RD COMPARE * port=1 adr=05 act=53BC4FB70219A49558 exp=53BC4FB70219A49558 + 17777.50ns INFO [00017779] Port=0 RD @07 + 17777.50ns INFO [00017779] Port=1 RD @03 + 17778.50ns INFO [00017780] * RD COMPARE * port=0 adr=06 act=C7E425F14EB06B75FF exp=C7E425F14EB06B75FF + 17778.50ns INFO [00017780] * RD COMPARE * port=1 adr=02 act=2C6065A445B91B8075 exp=2C6065A445B91B8075 + 17778.50ns INFO [00017780] Port=0 WR @07=E2EFB00BA7C7361CC1 + 17778.50ns INFO [00017780] Port=1 RD @01 + 17779.50ns INFO [00017781] * RD COMPARE * port=0 adr=07 act=1487A20C434CE45B7B exp=1487A20C434CE45B7B + 17779.50ns INFO [00017781] * RD COMPARE * port=1 adr=03 act=2ECDBDF09C3D9E3169 exp=2ECDBDF09C3D9E3169 + 17779.50ns INFO [00017781] Port=0 RD @02 + 17780.50ns INFO [00017782] * RD COMPARE * port=1 adr=01 act=8A63A7B50836442A84 exp=8A63A7B50836442A84 + 17780.50ns INFO [00017782] Port=0 RD @06 + 17780.50ns INFO [00017782] Port=1 RD @05 + 17781.50ns INFO [00017783] * RD COMPARE * port=0 adr=02 act=2C6065A445B91B8075 exp=2C6065A445B91B8075 + 17782.50ns INFO [00017784] * RD COMPARE * port=0 adr=06 act=C7E425F14EB06B75FF exp=C7E425F14EB06B75FF + 17782.50ns INFO [00017784] * RD COMPARE * port=1 adr=05 act=53BC4FB70219A49558 exp=53BC4FB70219A49558 + 17782.50ns INFO [00017784] Port=0 WR @00=CDD43780A505694780 + 17783.50ns INFO [00017785] Port=0 WR @00=64A52F3C685E493BFA + 17783.50ns INFO [00017785] Port=1 RD @03 + 17784.50ns INFO [00017786] Port=0 WR @02=173EB5135152F0CFE0 + 17784.50ns INFO [00017786] Port=1 RD @06 + 17785.50ns INFO [00017787] * RD COMPARE * port=1 adr=03 act=2ECDBDF09C3D9E3169 exp=2ECDBDF09C3D9E3169 + 17785.50ns INFO [00017787] Port=0 WR @02=B909A8177C4B7D78FC + 17786.50ns INFO [00017788] * RD COMPARE * port=1 adr=06 act=C7E425F14EB06B75FF exp=C7E425F14EB06B75FF + 17786.50ns INFO [00017788] Port=0 RD @05 + 17788.50ns INFO [00017790] * RD COMPARE * port=0 adr=05 act=53BC4FB70219A49558 exp=53BC4FB70219A49558 + 17788.50ns INFO [00017790] Port=0 RD @00 + 17788.50ns INFO [00017790] Port=1 RD @02 + 17789.50ns INFO [00017791] Port=0 WR @03=FFBFA190352A35CB91 + 17789.50ns INFO [00017791] Port=0 RD @06 + 17790.50ns INFO [00017792] * RD COMPARE * port=0 adr=00 act=64A52F3C685E493BFA exp=64A52F3C685E493BFA + 17790.50ns INFO [00017792] * RD COMPARE * port=1 adr=02 act=B909A8177C4B7D78FC exp=B909A8177C4B7D78FC + 17790.50ns INFO [00017792] Port=1 RD @04 + 17791.50ns INFO [00017793] * RD COMPARE * port=0 adr=06 act=C7E425F14EB06B75FF exp=C7E425F14EB06B75FF + 17791.50ns INFO [00017793] Port=1 RD @02 + 17792.50ns INFO [00017794] * RD COMPARE * port=1 adr=04 act=F528970ADE1B053806 exp=F528970ADE1B053806 + 17793.50ns INFO [00017795] * RD COMPARE * port=1 adr=02 act=B909A8177C4B7D78FC exp=B909A8177C4B7D78FC + 17793.50ns INFO [00017795] Port=0 WR @05=B9CA8139BE53177BAE + 17793.50ns INFO [00017795] Port=0 RD @06 + 17794.50ns INFO [00017796] Port=1 RD @01 + 17795.50ns INFO [00017797] * RD COMPARE * port=0 adr=06 act=C7E425F14EB06B75FF exp=C7E425F14EB06B75FF + 17795.50ns INFO [00017797] Port=0 RD @04 + 17795.50ns INFO [00017797] Port=1 RD @02 + 17796.50ns INFO [00017798] * RD COMPARE * port=1 adr=01 act=8A63A7B50836442A84 exp=8A63A7B50836442A84 + 17796.50ns INFO [00017798] Port=1 RD @07 + 17797.50ns INFO [00017799] * RD COMPARE * port=0 adr=04 act=F528970ADE1B053806 exp=F528970ADE1B053806 + 17797.50ns INFO [00017799] * RD COMPARE * port=1 adr=02 act=B909A8177C4B7D78FC exp=B909A8177C4B7D78FC + 17797.50ns INFO [00017799] Port=0 WR @00=10050B414B68B9AB22 + 17797.50ns INFO [00017799] Port=1 RD @07 + 17798.00ns INFO [00017800] [00017800] ...tick... + 17798.50ns INFO [00017800] * RD COMPARE * port=1 adr=07 act=E2EFB00BA7C7361CC1 exp=E2EFB00BA7C7361CC1 + 17798.50ns INFO [00017800] Port=1 RD @00 + 17799.50ns INFO [00017801] * RD COMPARE * port=1 adr=07 act=E2EFB00BA7C7361CC1 exp=E2EFB00BA7C7361CC1 + 17799.50ns INFO [00017801] Port=0 WR @06=CE36DE223BBCD85721 + 17799.50ns INFO [00017801] Port=0 RD @05 + 17799.50ns INFO [00017801] Port=1 RD @05 + 17800.50ns INFO [00017802] * RD COMPARE * port=1 adr=00 act=10050B414B68B9AB22 exp=10050B414B68B9AB22 + 17800.50ns INFO [00017802] Port=0 WR @01=21DFB49EF42D64A8F0 + 17801.50ns INFO [00017803] * RD COMPARE * port=0 adr=05 act=B9CA8139BE53177BAE exp=B9CA8139BE53177BAE + 17801.50ns INFO [00017803] * RD COMPARE * port=1 adr=05 act=B9CA8139BE53177BAE exp=B9CA8139BE53177BAE + 17801.50ns INFO [00017803] Port=0 WR @01=162D233769936B917F + 17801.50ns INFO [00017803] Port=1 RD @00 + 17802.50ns INFO [00017804] Port=0 WR @07=CE8C1D89DF3732B78A + 17802.50ns INFO [00017804] Port=1 RD @05 + 17803.50ns INFO [00017805] * RD COMPARE * port=1 adr=00 act=10050B414B68B9AB22 exp=10050B414B68B9AB22 + 17803.50ns INFO [00017805] Port=0 WR @05=164FBA294C39E99A57 + 17804.50ns INFO [00017806] * RD COMPARE * port=1 adr=05 act=B9CA8139BE53177BAE exp=B9CA8139BE53177BAE + 17805.50ns INFO [00017807] Port=1 RD @00 + 17806.50ns INFO [00017808] Port=0 WR @03=646657597803392A94 + 17806.50ns INFO [00017808] Port=1 RD @07 + 17807.50ns INFO [00017809] * RD COMPARE * port=1 adr=00 act=10050B414B68B9AB22 exp=10050B414B68B9AB22 + 17808.50ns INFO [00017810] * RD COMPARE * port=1 adr=07 act=CE8C1D89DF3732B78A exp=CE8C1D89DF3732B78A + 17808.50ns INFO [00017810] Port=0 RD @07 + 17809.50ns INFO [00017811] Port=0 WR @03=4D21939E741AB73BE1 + 17809.50ns INFO [00017811] Port=0 RD @02 + 17810.50ns INFO [00017812] * RD COMPARE * port=0 adr=07 act=CE8C1D89DF3732B78A exp=CE8C1D89DF3732B78A + 17811.50ns INFO [00017813] * RD COMPARE * port=0 adr=02 act=B909A8177C4B7D78FC exp=B909A8177C4B7D78FC + 17811.50ns INFO [00017813] Port=0 WR @05=1D860BAD7E5471C6FA + 17812.50ns INFO [00017814] Port=0 WR @00=5DBDC9EB19264E2F21 + 17813.50ns INFO [00017815] Port=0 WR @06=33E5264AB1BB9B880A + 17814.50ns INFO [00017816] Port=0 WR @02=4A76BC5C8952E7D9D7 + 17814.50ns INFO [00017816] Port=0 RD @03 + 17815.50ns INFO [00017817] Port=0 WR @05=2A5A3CE90F761F924B + 17815.50ns INFO [00017817] Port=0 RD @02 + 17816.50ns INFO [00017818] * RD COMPARE * port=0 adr=03 act=4D21939E741AB73BE1 exp=4D21939E741AB73BE1 + 17817.50ns INFO [00017819] * RD COMPARE * port=0 adr=02 act=4A76BC5C8952E7D9D7 exp=4A76BC5C8952E7D9D7 + 17818.50ns INFO [00017820] Port=0 WR @01=BA13BA9A9CD2140835 + 17818.50ns INFO [00017820] Port=0 RD @00 + 17818.50ns INFO [00017820] Port=1 RD @04 + 17819.50ns INFO [00017821] Port=1 RD @01 + 17820.50ns INFO [00017822] * RD COMPARE * port=0 adr=00 act=5DBDC9EB19264E2F21 exp=5DBDC9EB19264E2F21 + 17820.50ns INFO [00017822] * RD COMPARE * port=1 adr=04 act=F528970ADE1B053806 exp=F528970ADE1B053806 + 17820.50ns INFO [00017822] Port=1 RD @02 + 17821.50ns INFO [00017823] * RD COMPARE * port=1 adr=01 act=BA13BA9A9CD2140835 exp=BA13BA9A9CD2140835 + 17821.50ns INFO [00017823] Port=1 RD @06 + 17822.50ns INFO [00017824] * RD COMPARE * port=1 adr=02 act=4A76BC5C8952E7D9D7 exp=4A76BC5C8952E7D9D7 + 17822.50ns INFO [00017824] Port=0 WR @02=DFEFE29063DABA91BE + 17822.50ns INFO [00017824] Port=0 RD @03 + 17823.50ns INFO [00017825] * RD COMPARE * port=1 adr=06 act=33E5264AB1BB9B880A exp=33E5264AB1BB9B880A + 17823.50ns INFO [00017825] Port=0 WR @07=BFE1C2AFFA242A1DD8 + 17824.50ns INFO [00017826] * RD COMPARE * port=0 adr=03 act=4D21939E741AB73BE1 exp=4D21939E741AB73BE1 + 17825.50ns INFO [00017827] Port=0 WR @00=F57AA8020438A3D347 + 17825.50ns INFO [00017827] Port=0 RD @01 + 17826.50ns INFO [00017828] Port=0 WR @07=278830D67BA81C0CF9 + 17826.50ns INFO [00017828] Port=0 RD @05 + 17827.50ns INFO [00017829] * RD COMPARE * port=0 adr=01 act=BA13BA9A9CD2140835 exp=BA13BA9A9CD2140835 + 17827.50ns INFO [00017829] Port=0 WR @05=8B2D5FC9DA1ADE22BF + 17828.50ns INFO [00017830] * RD COMPARE * port=0 adr=05 act=2A5A3CE90F761F924B exp=2A5A3CE90F761F924B + 17828.50ns INFO [00017830] Port=0 RD @05 + 17829.50ns INFO [00017831] Port=1 RD @01 + 17830.50ns INFO [00017832] * RD COMPARE * port=0 adr=05 act=8B2D5FC9DA1ADE22BF exp=8B2D5FC9DA1ADE22BF + 17830.50ns INFO [00017832] Port=1 RD @03 + 17831.50ns INFO [00017833] * RD COMPARE * port=1 adr=01 act=BA13BA9A9CD2140835 exp=BA13BA9A9CD2140835 + 17832.50ns INFO [00017834] * RD COMPARE * port=1 adr=03 act=4D21939E741AB73BE1 exp=4D21939E741AB73BE1 + 17833.50ns INFO [00017835] Port=1 RD @04 + 17834.50ns INFO [00017836] Port=0 WR @05=E95398EA689700AFB1 + 17834.50ns INFO [00017836] Port=1 RD @07 + 17835.50ns INFO [00017837] * RD COMPARE * port=1 adr=04 act=F528970ADE1B053806 exp=F528970ADE1B053806 + 17835.50ns INFO [00017837] Port=1 RD @01 + 17836.50ns INFO [00017838] * RD COMPARE * port=1 adr=07 act=278830D67BA81C0CF9 exp=278830D67BA81C0CF9 + 17836.50ns INFO [00017838] Port=1 RD @01 + 17837.50ns INFO [00017839] * RD COMPARE * port=1 adr=01 act=BA13BA9A9CD2140835 exp=BA13BA9A9CD2140835 + 17837.50ns INFO [00017839] Port=0 WR @02=CD1E736F3213133A21 + 17838.50ns INFO [00017840] * RD COMPARE * port=1 adr=01 act=BA13BA9A9CD2140835 exp=BA13BA9A9CD2140835 + 17838.50ns INFO [00017840] Port=0 RD @05 + 17839.50ns INFO [00017841] Port=0 WR @06=ED5399BD3BCCD87581 + 17840.50ns INFO [00017842] * RD COMPARE * port=0 adr=05 act=E95398EA689700AFB1 exp=E95398EA689700AFB1 + 17840.50ns INFO [00017842] Port=0 RD @03 + 17842.50ns INFO [00017844] * RD COMPARE * port=0 adr=03 act=4D21939E741AB73BE1 exp=4D21939E741AB73BE1 + 17843.50ns INFO [00017845] Port=0 WR @01=098E14E2A36CF692E5 + 17843.50ns INFO [00017845] Port=0 RD @02 + 17843.50ns INFO [00017845] Port=1 RD @00 + 17844.50ns INFO [00017846] Port=0 RD @07 + 17845.50ns INFO [00017847] * RD COMPARE * port=0 adr=02 act=CD1E736F3213133A21 exp=CD1E736F3213133A21 + 17845.50ns INFO [00017847] * RD COMPARE * port=1 adr=00 act=F57AA8020438A3D347 exp=F57AA8020438A3D347 + 17845.50ns INFO [00017847] Port=0 WR @01=33B2F55DBDF7E0476A + 17845.50ns INFO [00017847] Port=0 RD @05 + 17846.50ns INFO [00017848] * RD COMPARE * port=0 adr=07 act=278830D67BA81C0CF9 exp=278830D67BA81C0CF9 + 17846.50ns INFO [00017848] Port=0 WR @07=FB7065F1AF2155D67B + 17847.50ns INFO [00017849] * RD COMPARE * port=0 adr=05 act=E95398EA689700AFB1 exp=E95398EA689700AFB1 + 17847.50ns INFO [00017849] Port=1 RD @01 + 17848.50ns INFO [00017850] Port=1 RD @06 + 17849.50ns INFO [00017851] * RD COMPARE * port=1 adr=01 act=33B2F55DBDF7E0476A exp=33B2F55DBDF7E0476A + 17850.50ns INFO [00017852] * RD COMPARE * port=1 adr=06 act=ED5399BD3BCCD87581 exp=ED5399BD3BCCD87581 + 17850.50ns INFO [00017852] Port=0 WR @02=9604799F1FA80961C8 + 17851.50ns INFO [00017853] Port=0 WR @03=768A9380AF0F6555B8 + 17852.50ns INFO [00017854] Port=0 WR @04=F4B05E6C34AC8D6A0B + 17852.50ns INFO [00017854] Port=0 RD @00 + 17852.50ns INFO [00017854] Port=1 RD @03 + 17853.50ns INFO [00017855] Port=0 WR @03=E8E6BB0F5648535EFF + 17854.50ns INFO [00017856] * RD COMPARE * port=0 adr=00 act=F57AA8020438A3D347 exp=F57AA8020438A3D347 + 17854.50ns INFO [00017856] * RD COMPARE * port=1 adr=03 act=768A9380AF0F6555B8 exp=768A9380AF0F6555B8 + 17854.50ns INFO [00017856] Port=0 WR @00=BB8C4361E984E23277 + 17854.50ns INFO [00017856] Port=0 RD @03 + 17854.50ns INFO [00017856] Port=1 RD @07 + 17855.50ns INFO [00017857] Port=1 RD @01 + 17856.50ns INFO [00017858] * RD COMPARE * port=0 adr=03 act=E8E6BB0F5648535EFF exp=E8E6BB0F5648535EFF + 17856.50ns INFO [00017858] * RD COMPARE * port=1 adr=07 act=FB7065F1AF2155D67B exp=FB7065F1AF2155D67B + 17856.50ns INFO [00017858] Port=1 RD @00 + 17857.50ns INFO [00017859] * RD COMPARE * port=1 adr=01 act=33B2F55DBDF7E0476A exp=33B2F55DBDF7E0476A + 17857.50ns INFO [00017859] Port=0 WR @05=9BCA900FAB66A6C3BE + 17857.50ns INFO [00017859] Port=1 RD @00 + 17858.50ns INFO [00017860] * RD COMPARE * port=1 adr=00 act=BB8C4361E984E23277 exp=BB8C4361E984E23277 + 17858.50ns INFO [00017860] Port=0 RD @05 + 17858.50ns INFO [00017860] Port=1 RD @05 + 17859.50ns INFO [00017861] * RD COMPARE * port=1 adr=00 act=BB8C4361E984E23277 exp=BB8C4361E984E23277 + 17859.50ns INFO [00017861] Port=0 WR @03=436E4C0389B0FA2C91 + 17860.50ns INFO [00017862] * RD COMPARE * port=0 adr=05 act=9BCA900FAB66A6C3BE exp=9BCA900FAB66A6C3BE + 17860.50ns INFO [00017862] * RD COMPARE * port=1 adr=05 act=9BCA900FAB66A6C3BE exp=9BCA900FAB66A6C3BE + 17860.50ns INFO [00017862] Port=0 RD @03 + 17861.50ns INFO [00017863] Port=0 RD @01 + 17862.50ns INFO [00017864] * RD COMPARE * port=0 adr=03 act=436E4C0389B0FA2C91 exp=436E4C0389B0FA2C91 + 17862.50ns INFO [00017864] Port=0 WR @03=39283495931DC9DFC0 + 17862.50ns INFO [00017864] Port=0 RD @01 + 17863.50ns INFO [00017865] * RD COMPARE * port=0 adr=01 act=33B2F55DBDF7E0476A exp=33B2F55DBDF7E0476A + 17864.50ns INFO [00017866] * RD COMPARE * port=0 adr=01 act=33B2F55DBDF7E0476A exp=33B2F55DBDF7E0476A + 17865.50ns INFO [00017867] Port=0 RD @03 + 17865.50ns INFO [00017867] Port=1 RD @05 + 17866.50ns INFO [00017868] Port=0 WR @01=F73B45A17832F9DA59 + 17866.50ns INFO [00017868] Port=0 RD @05 + 17867.50ns INFO [00017869] * RD COMPARE * port=0 adr=03 act=39283495931DC9DFC0 exp=39283495931DC9DFC0 + 17867.50ns INFO [00017869] * RD COMPARE * port=1 adr=05 act=9BCA900FAB66A6C3BE exp=9BCA900FAB66A6C3BE + 17867.50ns INFO [00017869] Port=0 WR @07=B7476CCD0ECCCBD280 + 17867.50ns INFO [00017869] Port=0 RD @04 + 17868.50ns INFO [00017870] * RD COMPARE * port=0 adr=05 act=9BCA900FAB66A6C3BE exp=9BCA900FAB66A6C3BE + 17868.50ns INFO [00017870] Port=0 RD @05 + 17869.50ns INFO [00017871] * RD COMPARE * port=0 adr=04 act=F4B05E6C34AC8D6A0B exp=F4B05E6C34AC8D6A0B + 17869.50ns INFO [00017871] Port=0 RD @06 + 17870.50ns INFO [00017872] * RD COMPARE * port=0 adr=05 act=9BCA900FAB66A6C3BE exp=9BCA900FAB66A6C3BE + 17871.50ns INFO [00017873] * RD COMPARE * port=0 adr=06 act=ED5399BD3BCCD87581 exp=ED5399BD3BCCD87581 + 17872.50ns INFO [00017874] Port=0 WR @03=4CC82E5AB07E5D55E3 + 17873.50ns INFO [00017875] Port=0 WR @06=81A6FF27D38C1199E7 + 17874.50ns INFO [00017876] Port=0 WR @06=E67A481BD9AF012EBF + 17875.50ns INFO [00017877] Port=0 RD @06 + 17876.50ns INFO [00017878] Port=0 WR @02=312F931F88C5069ACE + 17876.50ns INFO [00017878] Port=0 RD @00 + 17877.50ns INFO [00017879] * RD COMPARE * port=0 adr=06 act=E67A481BD9AF012EBF exp=E67A481BD9AF012EBF + 17877.50ns INFO [00017879] Port=0 WR @05=7D19123374E32E15C5 + 17877.50ns INFO [00017879] Port=0 RD @07 + 17878.50ns INFO [00017880] * RD COMPARE * port=0 adr=00 act=BB8C4361E984E23277 exp=BB8C4361E984E23277 + 17879.50ns INFO [00017881] * RD COMPARE * port=0 adr=07 act=B7476CCD0ECCCBD280 exp=B7476CCD0ECCCBD280 + 17880.50ns INFO [00017882] Port=1 RD @06 + 17881.50ns INFO [00017883] Port=0 WR @02=2DD6ADDEB115B4A607 + 17881.50ns INFO [00017883] Port=0 RD @07 + 17881.50ns INFO [00017883] Port=1 RD @07 + 17882.50ns INFO [00017884] * RD COMPARE * port=1 adr=06 act=E67A481BD9AF012EBF exp=E67A481BD9AF012EBF + 17882.50ns INFO [00017884] Port=0 WR @02=CC851BC10DB187DE42 + 17882.50ns INFO [00017884] Port=0 RD @07 + 17882.50ns INFO [00017884] Port=1 RD @05 + 17883.50ns INFO [00017885] * RD COMPARE * port=0 adr=07 act=B7476CCD0ECCCBD280 exp=B7476CCD0ECCCBD280 + 17883.50ns INFO [00017885] * RD COMPARE * port=1 adr=07 act=B7476CCD0ECCCBD280 exp=B7476CCD0ECCCBD280 + 17883.50ns INFO [00017885] Port=0 WR @07=D32D132C7E8C6D10FF + 17883.50ns INFO [00017885] Port=0 RD @06 + 17884.50ns INFO [00017886] * RD COMPARE * port=0 adr=07 act=B7476CCD0ECCCBD280 exp=B7476CCD0ECCCBD280 + 17884.50ns INFO [00017886] * RD COMPARE * port=1 adr=05 act=7D19123374E32E15C5 exp=7D19123374E32E15C5 + 17885.50ns INFO [00017887] * RD COMPARE * port=0 adr=06 act=E67A481BD9AF012EBF exp=E67A481BD9AF012EBF + 17885.50ns INFO [00017887] Port=0 RD @07 + 17887.50ns INFO [00017889] * RD COMPARE * port=0 adr=07 act=D32D132C7E8C6D10FF exp=D32D132C7E8C6D10FF + 17889.50ns INFO [00017891] Port=0 RD @07 + 17890.50ns INFO [00017892] Port=0 WR @02=8CF52D7FD1FB936FF6 + 17891.50ns INFO [00017893] * RD COMPARE * port=0 adr=07 act=D32D132C7E8C6D10FF exp=D32D132C7E8C6D10FF + 17891.50ns INFO [00017893] Port=0 WR @06=8630510E27E98E0305 + 17891.50ns INFO [00017893] Port=0 RD @00 + 17891.50ns INFO [00017893] Port=1 RD @05 + 17892.50ns INFO [00017894] Port=0 WR @00=E023E53DCDBF145AD3 + 17892.50ns INFO [00017894] Port=0 RD @06 + 17893.50ns INFO [00017895] * RD COMPARE * port=0 adr=00 act=BB8C4361E984E23277 exp=BB8C4361E984E23277 + 17893.50ns INFO [00017895] * RD COMPARE * port=1 adr=05 act=7D19123374E32E15C5 exp=7D19123374E32E15C5 + 17893.50ns INFO [00017895] Port=1 RD @07 + 17894.50ns INFO [00017896] * RD COMPARE * port=0 adr=06 act=8630510E27E98E0305 exp=8630510E27E98E0305 + 17894.50ns INFO [00017896] Port=0 WR @05=5CB68B490DAB648A6B + 17894.50ns INFO [00017896] Port=1 RD @00 + 17895.50ns INFO [00017897] * RD COMPARE * port=1 adr=07 act=D32D132C7E8C6D10FF exp=D32D132C7E8C6D10FF + 17896.50ns INFO [00017898] * RD COMPARE * port=1 adr=00 act=E023E53DCDBF145AD3 exp=E023E53DCDBF145AD3 + 17896.50ns INFO [00017898] Port=0 RD @00 + 17897.50ns INFO [00017899] Port=0 WR @03=EC68E497854A4702D9 + 17897.50ns INFO [00017899] Port=0 RD @02 + 17897.50ns INFO [00017899] Port=1 RD @01 + 17898.00ns INFO [00017900] [00017900] ...tick... + 17898.50ns INFO [00017900] * RD COMPARE * port=0 adr=00 act=E023E53DCDBF145AD3 exp=E023E53DCDBF145AD3 + 17898.50ns INFO [00017900] Port=0 WR @03=85ECFFB6A5424FE20C + 17898.50ns INFO [00017900] Port=0 RD @05 + 17898.50ns INFO [00017900] Port=1 RD @01 + 17899.50ns INFO [00017901] * RD COMPARE * port=0 adr=02 act=8CF52D7FD1FB936FF6 exp=8CF52D7FD1FB936FF6 + 17899.50ns INFO [00017901] * RD COMPARE * port=1 adr=01 act=F73B45A17832F9DA59 exp=F73B45A17832F9DA59 + 17900.50ns INFO [00017902] * RD COMPARE * port=0 adr=05 act=5CB68B490DAB648A6B exp=5CB68B490DAB648A6B + 17900.50ns INFO [00017902] * RD COMPARE * port=1 adr=01 act=F73B45A17832F9DA59 exp=F73B45A17832F9DA59 + 17900.50ns INFO [00017902] Port=1 RD @04 + 17901.50ns INFO [00017903] Port=1 RD @01 + 17902.50ns INFO [00017904] * RD COMPARE * port=1 adr=04 act=F4B05E6C34AC8D6A0B exp=F4B05E6C34AC8D6A0B + 17903.50ns INFO [00017905] * RD COMPARE * port=1 adr=01 act=F73B45A17832F9DA59 exp=F73B45A17832F9DA59 + 17903.50ns INFO [00017905] Port=1 RD @01 + 17904.50ns INFO [00017906] Port=0 WR @07=9EE42828A04A6EA638 + 17904.50ns INFO [00017906] Port=0 RD @06 + 17904.50ns INFO [00017906] Port=1 RD @02 + 17905.50ns INFO [00017907] * RD COMPARE * port=1 adr=01 act=F73B45A17832F9DA59 exp=F73B45A17832F9DA59 + 17905.50ns INFO [00017907] Port=0 RD @07 + 17906.50ns INFO [00017908] * RD COMPARE * port=0 adr=06 act=8630510E27E98E0305 exp=8630510E27E98E0305 + 17906.50ns INFO [00017908] * RD COMPARE * port=1 adr=02 act=8CF52D7FD1FB936FF6 exp=8CF52D7FD1FB936FF6 + 17906.50ns INFO [00017908] Port=1 RD @04 + 17907.50ns INFO [00017909] * RD COMPARE * port=0 adr=07 act=9EE42828A04A6EA638 exp=9EE42828A04A6EA638 + 17907.50ns INFO [00017909] Port=0 WR @02=98F6A64F48F2C6B140 + 17908.50ns INFO [00017910] * RD COMPARE * port=1 adr=04 act=F4B05E6C34AC8D6A0B exp=F4B05E6C34AC8D6A0B + 17908.50ns INFO [00017910] Port=0 WR @02=163BF9A576E26A2574 + 17908.50ns INFO [00017910] Port=1 RD @05 + 17910.50ns INFO [00017912] * RD COMPARE * port=1 adr=05 act=5CB68B490DAB648A6B exp=5CB68B490DAB648A6B + 17910.50ns INFO [00017912] Port=1 RD @03 + 17911.50ns INFO [00017913] Port=0 WR @07=F31F80BF2BE31E60EF + 17912.50ns INFO [00017914] * RD COMPARE * port=1 adr=03 act=85ECFFB6A5424FE20C exp=85ECFFB6A5424FE20C + 17913.50ns INFO [00017915] Port=0 WR @01=EE49D813A7CA139017 + 17914.50ns INFO [00017916] Port=0 WR @07=060232FCB4634EC009 + 17914.50ns INFO [00017916] Port=0 RD @02 + 17914.50ns INFO [00017916] Port=1 RD @04 + 17916.50ns INFO [00017918] * RD COMPARE * port=0 adr=02 act=163BF9A576E26A2574 exp=163BF9A576E26A2574 + 17916.50ns INFO [00017918] * RD COMPARE * port=1 adr=04 act=F4B05E6C34AC8D6A0B exp=F4B05E6C34AC8D6A0B + 17916.50ns INFO [00017918] Port=0 RD @02 + 17916.50ns INFO [00017918] Port=1 RD @05 + 17917.50ns INFO [00017919] Port=0 WR @04=962DEE0E212719E441 + 17917.50ns INFO [00017919] Port=1 RD @03 + 17918.50ns INFO [00017920] * RD COMPARE * port=0 adr=02 act=163BF9A576E26A2574 exp=163BF9A576E26A2574 + 17918.50ns INFO [00017920] * RD COMPARE * port=1 adr=05 act=5CB68B490DAB648A6B exp=5CB68B490DAB648A6B + 17918.50ns INFO [00017920] Port=0 WR @02=F89E00902F521EC24D + 17919.50ns INFO [00017921] * RD COMPARE * port=1 adr=03 act=85ECFFB6A5424FE20C exp=85ECFFB6A5424FE20C + 17919.50ns INFO [00017921] Port=0 WR @02=6D5D926260077ABC7C + 17919.50ns INFO [00017921] Port=1 RD @07 + 17921.50ns INFO [00017923] * RD COMPARE * port=1 adr=07 act=060232FCB4634EC009 exp=060232FCB4634EC009 + 17921.50ns INFO [00017923] Port=0 WR @00=D5F98BA68733E05E39 + 17921.50ns INFO [00017923] Port=1 RD @01 + 17923.50ns INFO [00017925] * RD COMPARE * port=1 adr=01 act=EE49D813A7CA139017 exp=EE49D813A7CA139017 + 17923.50ns INFO [00017925] Port=0 WR @02=9F349051A8D42C3140 + 17925.50ns INFO [00017927] Port=0 RD @05 + 17925.50ns INFO [00017927] Port=1 RD @05 + 17927.50ns INFO [00017929] * RD COMPARE * port=0 adr=05 act=5CB68B490DAB648A6B exp=5CB68B490DAB648A6B + 17927.50ns INFO [00017929] * RD COMPARE * port=1 adr=05 act=5CB68B490DAB648A6B exp=5CB68B490DAB648A6B + 17927.50ns INFO [00017929] Port=0 RD @07 + 17927.50ns INFO [00017929] Port=1 RD @03 + 17929.50ns INFO [00017931] * RD COMPARE * port=0 adr=07 act=060232FCB4634EC009 exp=060232FCB4634EC009 + 17929.50ns INFO [00017931] * RD COMPARE * port=1 adr=03 act=85ECFFB6A5424FE20C exp=85ECFFB6A5424FE20C + 17929.50ns INFO [00017931] Port=0 RD @07 + 17930.50ns INFO [00017932] Port=0 WR @06=DF97F7E19624D20AE7 + 17930.50ns INFO [00017932] Port=1 RD @05 + 17931.50ns INFO [00017933] * RD COMPARE * port=0 adr=07 act=060232FCB4634EC009 exp=060232FCB4634EC009 + 17931.50ns INFO [00017933] Port=0 WR @07=8A6E3ED8C4EC0281FC + 17931.50ns INFO [00017933] Port=0 RD @01 + 17932.50ns INFO [00017934] * RD COMPARE * port=1 adr=05 act=5CB68B490DAB648A6B exp=5CB68B490DAB648A6B + 17932.50ns INFO [00017934] Port=0 RD @04 + 17932.50ns INFO [00017934] Port=1 RD @00 + 17933.50ns INFO [00017935] * RD COMPARE * port=0 adr=01 act=EE49D813A7CA139017 exp=EE49D813A7CA139017 + 17933.50ns INFO [00017935] Port=0 WR @04=FCEBF13EC0834D7202 + 17933.50ns INFO [00017935] Port=1 RD @07 + 17934.50ns INFO [00017936] * RD COMPARE * port=0 adr=04 act=962DEE0E212719E441 exp=962DEE0E212719E441 + 17934.50ns INFO [00017936] * RD COMPARE * port=1 adr=00 act=D5F98BA68733E05E39 exp=D5F98BA68733E05E39 + 17934.50ns INFO [00017936] Port=0 WR @03=DE88E439382E5922C1 + 17934.50ns INFO [00017936] Port=1 RD @02 + 17935.50ns INFO [00017937] * RD COMPARE * port=1 adr=07 act=8A6E3ED8C4EC0281FC exp=8A6E3ED8C4EC0281FC + 17935.50ns INFO [00017937] Port=0 RD @04 + 17935.50ns INFO [00017937] Port=1 RD @03 + 17936.50ns INFO [00017938] * RD COMPARE * port=1 adr=02 act=9F349051A8D42C3140 exp=9F349051A8D42C3140 + 17936.50ns INFO [00017938] Port=0 RD @07 + 17936.50ns INFO [00017938] Port=1 RD @03 + 17937.50ns INFO [00017939] * RD COMPARE * port=0 adr=04 act=FCEBF13EC0834D7202 exp=FCEBF13EC0834D7202 + 17937.50ns INFO [00017939] * RD COMPARE * port=1 adr=03 act=DE88E439382E5922C1 exp=DE88E439382E5922C1 + 17937.50ns INFO [00017939] Port=0 WR @04=8CEC88DB2A2DCCD323 + 17937.50ns INFO [00017939] Port=1 RD @01 + 17938.50ns INFO [00017940] * RD COMPARE * port=0 adr=07 act=8A6E3ED8C4EC0281FC exp=8A6E3ED8C4EC0281FC + 17938.50ns INFO [00017940] * RD COMPARE * port=1 adr=03 act=DE88E439382E5922C1 exp=DE88E439382E5922C1 + 17939.50ns INFO [00017941] * RD COMPARE * port=1 adr=01 act=EE49D813A7CA139017 exp=EE49D813A7CA139017 + 17939.50ns INFO [00017941] Port=0 WR @01=CCA81EA3B9B31AED70 + 17939.50ns INFO [00017941] Port=0 RD @03 + 17940.50ns INFO [00017942] Port=0 WR @06=7BA341C364F70DE7B5 + 17940.50ns INFO [00017942] Port=0 RD @00 + 17941.50ns INFO [00017943] * RD COMPARE * port=0 adr=03 act=DE88E439382E5922C1 exp=DE88E439382E5922C1 + 17941.50ns INFO [00017943] Port=0 WR @06=924FC326946FE22B85 + 17941.50ns INFO [00017943] Port=0 RD @02 + 17941.50ns INFO [00017943] Port=1 RD @07 + 17942.50ns INFO [00017944] * RD COMPARE * port=0 adr=00 act=D5F98BA68733E05E39 exp=D5F98BA68733E05E39 + 17943.50ns INFO [00017945] * RD COMPARE * port=0 adr=02 act=9F349051A8D42C3140 exp=9F349051A8D42C3140 + 17943.50ns INFO [00017945] * RD COMPARE * port=1 adr=07 act=8A6E3ED8C4EC0281FC exp=8A6E3ED8C4EC0281FC + 17944.50ns INFO [00017946] Port=0 RD @07 + 17944.50ns INFO [00017946] Port=1 RD @07 + 17946.50ns INFO [00017948] * RD COMPARE * port=0 adr=07 act=8A6E3ED8C4EC0281FC exp=8A6E3ED8C4EC0281FC + 17946.50ns INFO [00017948] * RD COMPARE * port=1 adr=07 act=8A6E3ED8C4EC0281FC exp=8A6E3ED8C4EC0281FC + 17946.50ns INFO [00017948] Port=1 RD @05 + 17947.50ns INFO [00017949] Port=1 RD @07 + 17948.50ns INFO [00017950] * RD COMPARE * port=1 adr=05 act=5CB68B490DAB648A6B exp=5CB68B490DAB648A6B + 17949.50ns INFO [00017951] * RD COMPARE * port=1 adr=07 act=8A6E3ED8C4EC0281FC exp=8A6E3ED8C4EC0281FC + 17949.50ns INFO [00017951] Port=0 RD @04 + 17951.50ns INFO [00017953] * RD COMPARE * port=0 adr=04 act=8CEC88DB2A2DCCD323 exp=8CEC88DB2A2DCCD323 + 17951.50ns INFO [00017953] Port=1 RD @00 + 17952.50ns INFO [00017954] Port=1 RD @02 + 17953.50ns INFO [00017955] * RD COMPARE * port=1 adr=00 act=D5F98BA68733E05E39 exp=D5F98BA68733E05E39 + 17953.50ns INFO [00017955] Port=0 WR @00=16C609A92267DA6426 + 17953.50ns INFO [00017955] Port=0 RD @02 + 17953.50ns INFO [00017955] Port=1 RD @03 + 17954.50ns INFO [00017956] * RD COMPARE * port=1 adr=02 act=9F349051A8D42C3140 exp=9F349051A8D42C3140 + 17954.50ns INFO [00017956] Port=0 WR @03=4104F1938A75408B09 + 17955.50ns INFO [00017957] * RD COMPARE * port=0 adr=02 act=9F349051A8D42C3140 exp=9F349051A8D42C3140 + 17955.50ns INFO [00017957] * RD COMPARE * port=1 adr=03 act=DE88E439382E5922C1 exp=DE88E439382E5922C1 + 17955.50ns INFO [00017957] Port=1 RD @05 + 17956.50ns INFO [00017958] Port=0 WR @06=829C052B01532AEBC1 + 17956.50ns INFO [00017958] Port=0 RD @04 + 17957.50ns INFO [00017959] * RD COMPARE * port=1 adr=05 act=5CB68B490DAB648A6B exp=5CB68B490DAB648A6B + 17957.50ns INFO [00017959] Port=0 RD @03 + 17958.50ns INFO [00017960] * RD COMPARE * port=0 adr=04 act=8CEC88DB2A2DCCD323 exp=8CEC88DB2A2DCCD323 + 17958.50ns INFO [00017960] Port=1 RD @00 + 17959.50ns INFO [00017961] * RD COMPARE * port=0 adr=03 act=4104F1938A75408B09 exp=4104F1938A75408B09 + 17959.50ns INFO [00017961] Port=0 WR @05=E6EFAD15C37A0019FB + 17959.50ns INFO [00017961] Port=1 RD @04 + 17960.50ns INFO [00017962] * RD COMPARE * port=1 adr=00 act=16C609A92267DA6426 exp=16C609A92267DA6426 + 17960.50ns INFO [00017962] Port=0 WR @01=1C53CB37ED06E7E6AB + 17961.50ns INFO [00017963] * RD COMPARE * port=1 adr=04 act=8CEC88DB2A2DCCD323 exp=8CEC88DB2A2DCCD323 + 17961.50ns INFO [00017963] Port=0 RD @03 + 17962.50ns INFO [00017964] Port=0 RD @07 + 17962.50ns INFO [00017964] Port=1 RD @02 + 17963.50ns INFO [00017965] * RD COMPARE * port=0 adr=03 act=4104F1938A75408B09 exp=4104F1938A75408B09 + 17963.50ns INFO [00017965] Port=0 WR @05=6E183350AF82D09F6A + 17964.50ns INFO [00017966] * RD COMPARE * port=0 adr=07 act=8A6E3ED8C4EC0281FC exp=8A6E3ED8C4EC0281FC + 17964.50ns INFO [00017966] * RD COMPARE * port=1 adr=02 act=9F349051A8D42C3140 exp=9F349051A8D42C3140 + 17964.50ns INFO [00017966] Port=0 WR @07=4379FA81DFEA82554A + 17964.50ns INFO [00017966] Port=1 RD @03 + 17965.50ns INFO [00017967] Port=0 WR @06=49BFD408B454918EB5 + 17966.50ns INFO [00017968] * RD COMPARE * port=1 adr=03 act=4104F1938A75408B09 exp=4104F1938A75408B09 + 17967.50ns INFO [00017969] Port=1 RD @03 + 17968.50ns INFO [00017970] Port=0 RD @07 + 17969.50ns INFO [00017971] * RD COMPARE * port=1 adr=03 act=4104F1938A75408B09 exp=4104F1938A75408B09 + 17970.50ns INFO [00017972] * RD COMPARE * port=0 adr=07 act=4379FA81DFEA82554A exp=4379FA81DFEA82554A + 17970.50ns INFO [00017972] Port=1 RD @07 + 17971.50ns INFO [00017973] Port=0 WR @01=C44B4358F5075466AF + 17971.50ns INFO [00017973] Port=0 RD @05 + 17971.50ns INFO [00017973] Port=1 RD @03 + 17972.50ns INFO [00017974] * RD COMPARE * port=1 adr=07 act=4379FA81DFEA82554A exp=4379FA81DFEA82554A + 17972.50ns INFO [00017974] Port=0 RD @07 + 17973.50ns INFO [00017975] * RD COMPARE * port=0 adr=05 act=6E183350AF82D09F6A exp=6E183350AF82D09F6A + 17973.50ns INFO [00017975] * RD COMPARE * port=1 adr=03 act=4104F1938A75408B09 exp=4104F1938A75408B09 + 17973.50ns INFO [00017975] Port=1 RD @04 + 17974.50ns INFO [00017976] * RD COMPARE * port=0 adr=07 act=4379FA81DFEA82554A exp=4379FA81DFEA82554A + 17974.50ns INFO [00017976] Port=1 RD @00 + 17975.50ns INFO [00017977] * RD COMPARE * port=1 adr=04 act=8CEC88DB2A2DCCD323 exp=8CEC88DB2A2DCCD323 + 17975.50ns INFO [00017977] Port=0 RD @04 + 17976.50ns INFO [00017978] * RD COMPARE * port=1 adr=00 act=16C609A92267DA6426 exp=16C609A92267DA6426 + 17977.50ns INFO [00017979] * RD COMPARE * port=0 adr=04 act=8CEC88DB2A2DCCD323 exp=8CEC88DB2A2DCCD323 + 17978.50ns INFO [00017980] Port=0 WR @03=C64EFC59F56CADEF50 + 17978.50ns INFO [00017980] Port=0 RD @06 + 17978.50ns INFO [00017980] Port=1 RD @05 + 17979.50ns INFO [00017981] Port=0 RD @02 + 17980.50ns INFO [00017982] * RD COMPARE * port=0 adr=06 act=49BFD408B454918EB5 exp=49BFD408B454918EB5 + 17980.50ns INFO [00017982] * RD COMPARE * port=1 adr=05 act=6E183350AF82D09F6A exp=6E183350AF82D09F6A + 17980.50ns INFO [00017982] Port=0 WR @07=AA7508E1A67DFA32C2 + 17980.50ns INFO [00017982] Port=1 RD @00 + 17981.50ns INFO [00017983] * RD COMPARE * port=0 adr=02 act=9F349051A8D42C3140 exp=9F349051A8D42C3140 + 17982.50ns INFO [00017984] * RD COMPARE * port=1 adr=00 act=16C609A92267DA6426 exp=16C609A92267DA6426 + 17984.50ns INFO [00017986] Port=0 RD @00 + 17984.50ns INFO [00017986] Port=1 RD @05 + 17986.50ns INFO [00017988] * RD COMPARE * port=0 adr=00 act=16C609A92267DA6426 exp=16C609A92267DA6426 + 17986.50ns INFO [00017988] * RD COMPARE * port=1 adr=05 act=6E183350AF82D09F6A exp=6E183350AF82D09F6A + 17988.50ns INFO [00017990] Port=0 WR @04=CECAC5939B9A1E0AEE + 17988.50ns INFO [00017990] Port=0 RD @02 + 17990.50ns INFO [00017992] * RD COMPARE * port=0 adr=02 act=9F349051A8D42C3140 exp=9F349051A8D42C3140 + 17991.50ns INFO [00017993] Port=0 WR @04=A72D3BA69D718537BB + 17992.50ns INFO [00017994] Port=0 RD @06 + 17992.50ns INFO [00017994] Port=1 RD @06 + 17993.50ns INFO [00017995] Port=0 RD @01 + 17994.50ns INFO [00017996] * RD COMPARE * port=0 adr=06 act=49BFD408B454918EB5 exp=49BFD408B454918EB5 + 17994.50ns INFO [00017996] * RD COMPARE * port=1 adr=06 act=49BFD408B454918EB5 exp=49BFD408B454918EB5 + 17994.50ns INFO [00017996] Port=0 WR @04=DE0415B4E24CB5298C + 17995.50ns INFO [00017997] * RD COMPARE * port=0 adr=01 act=C44B4358F5075466AF exp=C44B4358F5075466AF + 17995.50ns INFO [00017997] Port=1 RD @05 + 17996.50ns INFO [00017998] Port=0 WR @03=019967EF8E3A9B71F6 + 17996.50ns INFO [00017998] Port=0 RD @04 + 17996.50ns INFO [00017998] Port=1 RD @01 + 17997.50ns INFO [00017999] * RD COMPARE * port=1 adr=05 act=6E183350AF82D09F6A exp=6E183350AF82D09F6A + 17997.50ns INFO [00017999] Port=0 WR @00=19420394FC3EAC33B2 + 17997.50ns INFO [00017999] Port=1 RD @02 + 17998.00ns INFO [00018000] [00018000] ...tick... + 17998.50ns INFO [00018000] * RD COMPARE * port=0 adr=04 act=DE0415B4E24CB5298C exp=DE0415B4E24CB5298C + 17998.50ns INFO [00018000] * RD COMPARE * port=1 adr=01 act=C44B4358F5075466AF exp=C44B4358F5075466AF + 17998.50ns INFO [00018000] Port=0 WR @02=7188CFCABEA53D025D + 17998.50ns INFO [00018000] Port=1 RD @03 + 17999.50ns INFO [00018001] * RD COMPARE * port=1 adr=02 act=9F349051A8D42C3140 exp=9F349051A8D42C3140 + 17999.50ns INFO [00018001] Port=0 RD @00 + 17999.50ns INFO [00018001] Port=1 RD @01 + 18000.50ns INFO [00018002] * RD COMPARE * port=1 adr=03 act=019967EF8E3A9B71F6 exp=019967EF8E3A9B71F6 + 18001.50ns INFO [00018003] * RD COMPARE * port=0 adr=00 act=19420394FC3EAC33B2 exp=19420394FC3EAC33B2 + 18001.50ns INFO [00018003] * RD COMPARE * port=1 adr=01 act=C44B4358F5075466AF exp=C44B4358F5075466AF + 18001.50ns INFO [00018003] Port=0 RD @04 + 18001.50ns INFO [00018003] Port=1 RD @00 + 18003.50ns INFO [00018005] * RD COMPARE * port=0 adr=04 act=DE0415B4E24CB5298C exp=DE0415B4E24CB5298C + 18003.50ns INFO [00018005] * RD COMPARE * port=1 adr=00 act=19420394FC3EAC33B2 exp=19420394FC3EAC33B2 + 18004.50ns INFO [00018006] Port=0 WR @04=B5A12F6BFC017BE2A8 + 18004.50ns INFO [00018006] Port=1 RD @01 + 18005.50ns INFO [00018007] Port=1 RD @02 + 18006.50ns INFO [00018008] * RD COMPARE * port=1 adr=01 act=C44B4358F5075466AF exp=C44B4358F5075466AF + 18007.50ns INFO [00018009] * RD COMPARE * port=1 adr=02 act=7188CFCABEA53D025D exp=7188CFCABEA53D025D + 18007.50ns INFO [00018009] Port=1 RD @01 + 18008.50ns INFO [00018010] Port=0 WR @01=CE79E86168C6719725 + 18009.50ns INFO [00018011] * RD COMPARE * port=1 adr=01 act=C44B4358F5075466AF exp=C44B4358F5075466AF + 18009.50ns INFO [00018011] Port=1 RD @00 + 18010.50ns INFO [00018012] Port=1 RD @04 + 18011.50ns INFO [00018013] * RD COMPARE * port=1 adr=00 act=19420394FC3EAC33B2 exp=19420394FC3EAC33B2 + 18012.50ns INFO [00018014] * RD COMPARE * port=1 adr=04 act=B5A12F6BFC017BE2A8 exp=B5A12F6BFC017BE2A8 + 18013.50ns INFO [00018015] Port=1 RD @06 + 18015.50ns INFO [00018017] * RD COMPARE * port=1 adr=06 act=49BFD408B454918EB5 exp=49BFD408B454918EB5 + 18015.50ns INFO [00018017] Port=0 WR @05=5B204213B062931856 + 18015.50ns INFO [00018017] Port=1 RD @04 + 18016.50ns INFO [00018018] Port=0 WR @03=1F521042B7D4688B2A + 18017.50ns INFO [00018019] * RD COMPARE * port=1 adr=04 act=B5A12F6BFC017BE2A8 exp=B5A12F6BFC017BE2A8 + 18017.50ns INFO [00018019] Port=1 RD @03 + 18018.50ns INFO [00018020] Port=0 WR @03=D68262F5C13BDF39E5 + 18019.50ns INFO [00018021] * RD COMPARE * port=1 adr=03 act=1F521042B7D4688B2A exp=1F521042B7D4688B2A + 18019.50ns INFO [00018021] Port=1 RD @03 + 18020.50ns INFO [00018022] Port=0 WR @06=370F1FAFCBC5EFF023 + 18020.50ns INFO [00018022] Port=0 RD @01 + 18021.50ns INFO [00018023] * RD COMPARE * port=1 adr=03 act=D68262F5C13BDF39E5 exp=D68262F5C13BDF39E5 + 18022.50ns INFO [00018024] * RD COMPARE * port=0 adr=01 act=CE79E86168C6719725 exp=CE79E86168C6719725 + 18022.50ns INFO [00018024] Port=0 WR @04=F81EF5A7D9A341E101 + 18022.50ns INFO [00018024] Port=1 RD @01 + 18023.50ns INFO [00018025] Port=0 WR @01=CE7D0031B5A148801F + 18024.50ns INFO [00018026] * RD COMPARE * port=1 adr=01 act=CE79E86168C6719725 exp=CE79E86168C6719725 + 18024.50ns INFO [00018026] Port=0 WR @06=D10D43A657640874E9 + 18026.50ns INFO [00018028] Port=0 WR @00=4E6FFE0EC24A8ABEA7 + 18027.50ns INFO [00018029] Port=0 RD @03 + 18028.50ns INFO [00018030] Port=0 RD @07 + 18028.50ns INFO [00018030] Port=1 RD @00 + 18029.50ns INFO [00018031] * RD COMPARE * port=0 adr=03 act=D68262F5C13BDF39E5 exp=D68262F5C13BDF39E5 + 18029.50ns INFO [00018031] Port=0 WR @00=5EACD8BFF575B805C3 + 18029.50ns INFO [00018031] Port=1 RD @04 + 18030.50ns INFO [00018032] * RD COMPARE * port=0 adr=07 act=AA7508E1A67DFA32C2 exp=AA7508E1A67DFA32C2 + 18030.50ns INFO [00018032] * RD COMPARE * port=1 adr=00 act=4E6FFE0EC24A8ABEA7 exp=4E6FFE0EC24A8ABEA7 + 18030.50ns INFO [00018032] Port=0 RD @03 + 18030.50ns INFO [00018032] Port=1 RD @04 + 18031.50ns INFO [00018033] * RD COMPARE * port=1 adr=04 act=F81EF5A7D9A341E101 exp=F81EF5A7D9A341E101 + 18032.50ns INFO [00018034] * RD COMPARE * port=0 adr=03 act=D68262F5C13BDF39E5 exp=D68262F5C13BDF39E5 + 18032.50ns INFO [00018034] * RD COMPARE * port=1 adr=04 act=F81EF5A7D9A341E101 exp=F81EF5A7D9A341E101 + 18033.50ns INFO [00018035] Port=1 RD @04 + 18034.50ns INFO [00018036] Port=0 WR @00=1F9ED46A64DBD6D8B8 + 18035.50ns INFO [00018037] * RD COMPARE * port=1 adr=04 act=F81EF5A7D9A341E101 exp=F81EF5A7D9A341E101 + 18036.50ns INFO [00018038] Port=0 RD @03 + 18037.50ns INFO [00018039] Port=0 WR @01=6DCD6DBE1211742BE3 + 18038.50ns INFO [00018040] * RD COMPARE * port=0 adr=03 act=D68262F5C13BDF39E5 exp=D68262F5C13BDF39E5 + 18039.50ns INFO [00018041] Port=0 WR @01=0C1E880C8909738A65 + 18039.50ns INFO [00018041] Port=1 RD @00 + 18040.50ns INFO [00018042] Port=0 WR @04=777C70C63323B97B4D + 18041.50ns INFO [00018043] * RD COMPARE * port=1 adr=00 act=1F9ED46A64DBD6D8B8 exp=1F9ED46A64DBD6D8B8 + 18041.50ns INFO [00018043] Port=0 RD @05 + 18041.50ns INFO [00018043] Port=1 RD @05 + 18042.50ns INFO [00018044] Port=0 RD @05 + 18042.50ns INFO [00018044] Port=1 RD @03 + 18043.50ns INFO [00018045] * RD COMPARE * port=0 adr=05 act=5B204213B062931856 exp=5B204213B062931856 + 18043.50ns INFO [00018045] * RD COMPARE * port=1 adr=05 act=5B204213B062931856 exp=5B204213B062931856 + 18043.50ns INFO [00018045] Port=0 RD @05 + 18044.50ns INFO [00018046] * RD COMPARE * port=0 adr=05 act=5B204213B062931856 exp=5B204213B062931856 + 18044.50ns INFO [00018046] * RD COMPARE * port=1 adr=03 act=D68262F5C13BDF39E5 exp=D68262F5C13BDF39E5 + 18044.50ns INFO [00018046] Port=0 RD @05 + 18045.50ns INFO [00018047] * RD COMPARE * port=0 adr=05 act=5B204213B062931856 exp=5B204213B062931856 + 18045.50ns INFO [00018047] Port=0 WR @03=9453CD7F343FE2EB7E + 18046.50ns INFO [00018048] * RD COMPARE * port=0 adr=05 act=5B204213B062931856 exp=5B204213B062931856 + 18046.50ns INFO [00018048] Port=0 WR @07=5F850BAEAF7014D34F + 18046.50ns INFO [00018048] Port=0 RD @04 + 18047.50ns INFO [00018049] Port=0 WR @00=AFEED219EE40D1FCBD + 18047.50ns INFO [00018049] Port=1 RD @02 + 18048.50ns INFO [00018050] * RD COMPARE * port=0 adr=04 act=777C70C63323B97B4D exp=777C70C63323B97B4D + 18048.50ns INFO [00018050] Port=0 RD @07 + 18048.50ns INFO [00018050] Port=1 RD @06 + 18049.50ns INFO [00018051] * RD COMPARE * port=1 adr=02 act=7188CFCABEA53D025D exp=7188CFCABEA53D025D + 18049.50ns INFO [00018051] Port=0 WR @02=B2DFC1E303B89729D1 + 18049.50ns INFO [00018051] Port=0 RD @07 + 18050.50ns INFO [00018052] * RD COMPARE * port=0 adr=07 act=5F850BAEAF7014D34F exp=5F850BAEAF7014D34F + 18050.50ns INFO [00018052] * RD COMPARE * port=1 adr=06 act=D10D43A657640874E9 exp=D10D43A657640874E9 + 18050.50ns INFO [00018052] Port=0 RD @05 + 18051.50ns INFO [00018053] * RD COMPARE * port=0 adr=07 act=5F850BAEAF7014D34F exp=5F850BAEAF7014D34F + 18051.50ns INFO [00018053] Port=0 RD @04 + 18052.50ns INFO [00018054] * RD COMPARE * port=0 adr=05 act=5B204213B062931856 exp=5B204213B062931856 + 18052.50ns INFO [00018054] Port=0 WR @05=55CAF3F98A2318D2CC + 18052.50ns INFO [00018054] Port=1 RD @02 + 18053.50ns INFO [00018055] * RD COMPARE * port=0 adr=04 act=777C70C63323B97B4D exp=777C70C63323B97B4D + 18053.50ns INFO [00018055] Port=0 WR @01=2A06C736435B30B4A7 + 18053.50ns INFO [00018055] Port=0 RD @02 + 18054.50ns INFO [00018056] * RD COMPARE * port=1 adr=02 act=B2DFC1E303B89729D1 exp=B2DFC1E303B89729D1 + 18055.50ns INFO [00018057] * RD COMPARE * port=0 adr=02 act=B2DFC1E303B89729D1 exp=B2DFC1E303B89729D1 + 18055.50ns INFO [00018057] Port=0 WR @05=C85A852B9F331E82B8 + 18055.50ns INFO [00018057] Port=0 RD @06 + 18057.50ns INFO [00018059] * RD COMPARE * port=0 adr=06 act=D10D43A657640874E9 exp=D10D43A657640874E9 + 18057.50ns INFO [00018059] Port=0 WR @00=3ABDEE7C246519576B + 18058.50ns INFO [00018060] Port=0 WR @04=834172D7F471BBFC06 + 18058.50ns INFO [00018060] Port=0 RD @07 + 18059.50ns INFO [00018061] Port=0 WR @00=60B25742E99C6290E2 + 18060.50ns INFO [00018062] * RD COMPARE * port=0 adr=07 act=5F850BAEAF7014D34F exp=5F850BAEAF7014D34F + 18060.50ns INFO [00018062] Port=1 RD @04 + 18061.50ns INFO [00018063] Port=0 RD @03 + 18062.50ns INFO [00018064] * RD COMPARE * port=1 adr=04 act=834172D7F471BBFC06 exp=834172D7F471BBFC06 + 18062.50ns INFO [00018064] Port=1 RD @04 + 18063.50ns INFO [00018065] * RD COMPARE * port=0 adr=03 act=9453CD7F343FE2EB7E exp=9453CD7F343FE2EB7E + 18063.50ns INFO [00018065] Port=1 RD @00 + 18064.50ns INFO [00018066] * RD COMPARE * port=1 adr=04 act=834172D7F471BBFC06 exp=834172D7F471BBFC06 + 18064.50ns INFO [00018066] Port=0 WR @06=FE2295B757896C53CE + 18065.50ns INFO [00018067] * RD COMPARE * port=1 adr=00 act=60B25742E99C6290E2 exp=60B25742E99C6290E2 + 18065.50ns INFO [00018067] Port=0 WR @00=D33AFCC3156E036071 + 18065.50ns INFO [00018067] Port=0 RD @05 + 18065.50ns INFO [00018067] Port=1 RD @05 + 18066.50ns INFO [00018068] Port=0 RD @04 + 18067.50ns INFO [00018069] * RD COMPARE * port=0 adr=05 act=C85A852B9F331E82B8 exp=C85A852B9F331E82B8 + 18067.50ns INFO [00018069] * RD COMPARE * port=1 adr=05 act=C85A852B9F331E82B8 exp=C85A852B9F331E82B8 + 18067.50ns INFO [00018069] Port=0 WR @07=E3D40827DE57F96AF3 + 18067.50ns INFO [00018069] Port=0 RD @05 + 18067.50ns INFO [00018069] Port=1 RD @01 + 18068.50ns INFO [00018070] * RD COMPARE * port=0 adr=04 act=834172D7F471BBFC06 exp=834172D7F471BBFC06 + 18069.50ns INFO [00018071] * RD COMPARE * port=0 adr=05 act=C85A852B9F331E82B8 exp=C85A852B9F331E82B8 + 18069.50ns INFO [00018071] * RD COMPARE * port=1 adr=01 act=2A06C736435B30B4A7 exp=2A06C736435B30B4A7 + 18069.50ns INFO [00018071] Port=1 RD @04 + 18070.50ns INFO [00018072] Port=0 WR @04=22AA97DD8BC9E0F56D + 18071.50ns INFO [00018073] * RD COMPARE * port=1 adr=04 act=834172D7F471BBFC06 exp=834172D7F471BBFC06 + 18071.50ns INFO [00018073] Port=0 WR @05=C7A2C6C179C37139AE + 18071.50ns INFO [00018073] Port=0 RD @01 + 18071.50ns INFO [00018073] Port=1 RD @01 + 18072.50ns INFO [00018074] Port=0 WR @01=CF5597A4E7056AE35D + 18072.50ns INFO [00018074] Port=0 RD @05 + 18073.50ns INFO [00018075] * RD COMPARE * port=0 adr=01 act=2A06C736435B30B4A7 exp=2A06C736435B30B4A7 + 18073.50ns INFO [00018075] * RD COMPARE * port=1 adr=01 act=2A06C736435B30B4A7 exp=2A06C736435B30B4A7 + 18074.50ns INFO [00018076] * RD COMPARE * port=0 adr=05 act=C7A2C6C179C37139AE exp=C7A2C6C179C37139AE + 18074.50ns INFO [00018076] Port=0 WR @02=D598E99908862290B1 + 18074.50ns INFO [00018076] Port=0 RD @05 + 18075.50ns INFO [00018077] Port=0 WR @05=7F81369F91C01A3C55 + 18075.50ns INFO [00018077] Port=1 RD @06 + 18076.50ns INFO [00018078] * RD COMPARE * port=0 adr=05 act=C7A2C6C179C37139AE exp=C7A2C6C179C37139AE + 18076.50ns INFO [00018078] Port=0 WR @06=F1FF0E2736AD04D3E5 + 18076.50ns INFO [00018078] Port=0 RD @01 + 18076.50ns INFO [00018078] Port=1 RD @05 + 18077.50ns INFO [00018079] * RD COMPARE * port=1 adr=06 act=FE2295B757896C53CE exp=FE2295B757896C53CE + 18077.50ns INFO [00018079] Port=0 WR @05=89D9511B3F58C32A03 + 18077.50ns INFO [00018079] Port=0 RD @03 + 18077.50ns INFO [00018079] Port=1 RD @07 + 18078.50ns INFO [00018080] * RD COMPARE * port=0 adr=01 act=CF5597A4E7056AE35D exp=CF5597A4E7056AE35D + 18078.50ns INFO [00018080] * RD COMPARE * port=1 adr=05 act=7F81369F91C01A3C55 exp=7F81369F91C01A3C55 + 18078.50ns INFO [00018080] Port=1 RD @03 + 18079.50ns INFO [00018081] * RD COMPARE * port=0 adr=03 act=9453CD7F343FE2EB7E exp=9453CD7F343FE2EB7E + 18079.50ns INFO [00018081] * RD COMPARE * port=1 adr=07 act=E3D40827DE57F96AF3 exp=E3D40827DE57F96AF3 + 18079.50ns INFO [00018081] Port=0 WR @06=7B1FB19B9BFE819495 + 18079.50ns INFO [00018081] Port=1 RD @03 + 18080.50ns INFO [00018082] * RD COMPARE * port=1 adr=03 act=9453CD7F343FE2EB7E exp=9453CD7F343FE2EB7E + 18080.50ns INFO [00018082] Port=1 RD @07 + 18081.50ns INFO [00018083] * RD COMPARE * port=1 adr=03 act=9453CD7F343FE2EB7E exp=9453CD7F343FE2EB7E + 18082.50ns INFO [00018084] * RD COMPARE * port=1 adr=07 act=E3D40827DE57F96AF3 exp=E3D40827DE57F96AF3 + 18084.50ns INFO [00018086] Port=0 WR @04=BB33947C0D843E1A3B + 18087.50ns INFO [00018089] Port=0 WR @05=AC2E253FB24B8C9E03 + 18088.50ns INFO [00018090] Port=0 RD @07 + 18089.50ns INFO [00018091] Port=1 RD @01 + 18090.50ns INFO [00018092] * RD COMPARE * port=0 adr=07 act=E3D40827DE57F96AF3 exp=E3D40827DE57F96AF3 + 18091.50ns INFO [00018093] * RD COMPARE * port=1 adr=01 act=CF5597A4E7056AE35D exp=CF5597A4E7056AE35D + 18095.50ns INFO [00018097] Port=0 WR @01=958EF661A94D0FA1F0 + 18096.50ns INFO [00018098] Port=0 RD @00 + 18096.50ns INFO [00018098] Port=1 RD @04 + 18097.50ns INFO [00018099] Port=0 WR @00=1CFF89D416D837233F + 18098.00ns INFO [00018100] [00018100] ...tick... + 18098.50ns INFO [00018100] * RD COMPARE * port=0 adr=00 act=D33AFCC3156E036071 exp=D33AFCC3156E036071 + 18098.50ns INFO [00018100] * RD COMPARE * port=1 adr=04 act=BB33947C0D843E1A3B exp=BB33947C0D843E1A3B + 18098.50ns INFO [00018100] Port=0 RD @05 + 18098.50ns INFO [00018100] Port=1 RD @03 + 18099.50ns INFO [00018101] Port=0 WR @00=CC05EB861E32247BF5 + 18099.50ns INFO [00018101] Port=0 RD @02 + 18100.50ns INFO [00018102] * RD COMPARE * port=0 adr=05 act=AC2E253FB24B8C9E03 exp=AC2E253FB24B8C9E03 + 18100.50ns INFO [00018102] * RD COMPARE * port=1 adr=03 act=9453CD7F343FE2EB7E exp=9453CD7F343FE2EB7E + 18100.50ns INFO [00018102] Port=0 WR @01=AACCA865162B5164AA + 18100.50ns INFO [00018102] Port=1 RD @02 + 18101.50ns INFO [00018103] * RD COMPARE * port=0 adr=02 act=D598E99908862290B1 exp=D598E99908862290B1 + 18101.50ns INFO [00018103] Port=0 WR @00=613DE8E5903B34C78B + 18101.50ns INFO [00018103] Port=1 RD @03 + 18102.50ns INFO [00018104] * RD COMPARE * port=1 adr=02 act=D598E99908862290B1 exp=D598E99908862290B1 + 18102.50ns INFO [00018104] Port=0 WR @01=EEEA8D9092AABCAD09 + 18103.50ns INFO [00018105] * RD COMPARE * port=1 adr=03 act=9453CD7F343FE2EB7E exp=9453CD7F343FE2EB7E + 18104.50ns INFO [00018106] Port=0 WR @00=FC0DC8D8D9D933E1E3 + 18104.50ns INFO [00018106] Port=0 RD @02 + 18104.50ns INFO [00018106] Port=1 RD @06 + 18105.50ns INFO [00018107] Port=0 RD @07 + 18106.50ns INFO [00018108] * RD COMPARE * port=0 adr=02 act=D598E99908862290B1 exp=D598E99908862290B1 + 18106.50ns INFO [00018108] * RD COMPARE * port=1 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18106.50ns INFO [00018108] Port=1 RD @01 + 18107.50ns INFO [00018109] * RD COMPARE * port=0 adr=07 act=E3D40827DE57F96AF3 exp=E3D40827DE57F96AF3 + 18107.50ns INFO [00018109] Port=0 WR @03=25BBF3829A66EBE6EB + 18108.50ns INFO [00018110] * RD COMPARE * port=1 adr=01 act=EEEA8D9092AABCAD09 exp=EEEA8D9092AABCAD09 + 18108.50ns INFO [00018110] Port=0 WR @03=E7CEB9F7025DF98E83 + 18108.50ns INFO [00018110] Port=1 RD @06 + 18109.50ns INFO [00018111] Port=1 RD @05 + 18110.50ns INFO [00018112] * RD COMPARE * port=1 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18110.50ns INFO [00018112] Port=0 RD @01 + 18111.50ns INFO [00018113] * RD COMPARE * port=1 adr=05 act=AC2E253FB24B8C9E03 exp=AC2E253FB24B8C9E03 + 18111.50ns INFO [00018113] Port=0 WR @07=E77F1FFD0A8B8E3C3F + 18112.50ns INFO [00018114] * RD COMPARE * port=0 adr=01 act=EEEA8D9092AABCAD09 exp=EEEA8D9092AABCAD09 + 18112.50ns INFO [00018114] Port=0 RD @07 + 18114.50ns INFO [00018116] * RD COMPARE * port=0 adr=07 act=E77F1FFD0A8B8E3C3F exp=E77F1FFD0A8B8E3C3F + 18114.50ns INFO [00018116] Port=0 WR @03=F110BBBFA0D65F92C9 + 18114.50ns INFO [00018116] Port=0 RD @05 + 18114.50ns INFO [00018116] Port=1 RD @07 + 18115.50ns INFO [00018117] Port=0 RD @06 + 18116.50ns INFO [00018118] * RD COMPARE * port=0 adr=05 act=AC2E253FB24B8C9E03 exp=AC2E253FB24B8C9E03 + 18116.50ns INFO [00018118] * RD COMPARE * port=1 adr=07 act=E77F1FFD0A8B8E3C3F exp=E77F1FFD0A8B8E3C3F + 18116.50ns INFO [00018118] Port=0 WR @05=0E9C846FCB905819BA + 18116.50ns INFO [00018118] Port=1 RD @02 + 18117.50ns INFO [00018119] * RD COMPARE * port=0 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18118.50ns INFO [00018120] * RD COMPARE * port=1 adr=02 act=D598E99908862290B1 exp=D598E99908862290B1 + 18118.50ns INFO [00018120] Port=0 RD @00 + 18118.50ns INFO [00018120] Port=1 RD @02 + 18119.50ns INFO [00018121] Port=0 WR @00=677E4A5A042AD195FF + 18120.50ns INFO [00018122] * RD COMPARE * port=0 adr=00 act=FC0DC8D8D9D933E1E3 exp=FC0DC8D8D9D933E1E3 + 18120.50ns INFO [00018122] * RD COMPARE * port=1 adr=02 act=D598E99908862290B1 exp=D598E99908862290B1 + 18120.50ns INFO [00018122] Port=0 WR @01=274245255B692AD3CB + 18120.50ns INFO [00018122] Port=1 RD @06 + 18121.50ns INFO [00018123] Port=0 RD @02 + 18122.50ns INFO [00018124] * RD COMPARE * port=1 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18122.50ns INFO [00018124] Port=0 WR @04=8BB4FA5FADFEDDF367 + 18122.50ns INFO [00018124] Port=0 RD @01 + 18123.50ns INFO [00018125] * RD COMPARE * port=0 adr=02 act=D598E99908862290B1 exp=D598E99908862290B1 + 18123.50ns INFO [00018125] Port=0 WR @07=32269DE6C9433C822D + 18123.50ns INFO [00018125] Port=1 RD @04 + 18124.50ns INFO [00018126] * RD COMPARE * port=0 adr=01 act=274245255B692AD3CB exp=274245255B692AD3CB + 18125.50ns INFO [00018127] * RD COMPARE * port=1 adr=04 act=8BB4FA5FADFEDDF367 exp=8BB4FA5FADFEDDF367 + 18126.50ns INFO [00018128] Port=0 RD @00 + 18127.50ns INFO [00018129] Port=0 WR @05=41B3035C7686CE89E3 + 18127.50ns INFO [00018129] Port=0 RD @04 + 18128.50ns INFO [00018130] * RD COMPARE * port=0 adr=00 act=677E4A5A042AD195FF exp=677E4A5A042AD195FF + 18129.50ns INFO [00018131] * RD COMPARE * port=0 adr=04 act=8BB4FA5FADFEDDF367 exp=8BB4FA5FADFEDDF367 + 18129.50ns INFO [00018131] Port=0 RD @00 + 18129.50ns INFO [00018131] Port=1 RD @04 + 18130.50ns INFO [00018132] Port=0 RD @05 + 18130.50ns INFO [00018132] Port=1 RD @02 + 18131.50ns INFO [00018133] * RD COMPARE * port=0 adr=00 act=677E4A5A042AD195FF exp=677E4A5A042AD195FF + 18131.50ns INFO [00018133] * RD COMPARE * port=1 adr=04 act=8BB4FA5FADFEDDF367 exp=8BB4FA5FADFEDDF367 + 18131.50ns INFO [00018133] Port=0 WR @05=2EA96900BD56D23947 + 18131.50ns INFO [00018133] Port=0 RD @00 + 18131.50ns INFO [00018133] Port=1 RD @07 + 18132.50ns INFO [00018134] * RD COMPARE * port=0 adr=05 act=41B3035C7686CE89E3 exp=41B3035C7686CE89E3 + 18132.50ns INFO [00018134] * RD COMPARE * port=1 adr=02 act=D598E99908862290B1 exp=D598E99908862290B1 + 18133.50ns INFO [00018135] * RD COMPARE * port=0 adr=00 act=677E4A5A042AD195FF exp=677E4A5A042AD195FF + 18133.50ns INFO [00018135] * RD COMPARE * port=1 adr=07 act=32269DE6C9433C822D exp=32269DE6C9433C822D + 18133.50ns INFO [00018135] Port=0 RD @00 + 18134.50ns INFO [00018136] Port=1 RD @05 + 18135.50ns INFO [00018137] * RD COMPARE * port=0 adr=00 act=677E4A5A042AD195FF exp=677E4A5A042AD195FF + 18135.50ns INFO [00018137] Port=0 RD @05 + 18136.50ns INFO [00018138] * RD COMPARE * port=1 adr=05 act=2EA96900BD56D23947 exp=2EA96900BD56D23947 + 18136.50ns INFO [00018138] Port=1 RD @02 + 18137.50ns INFO [00018139] * RD COMPARE * port=0 adr=05 act=2EA96900BD56D23947 exp=2EA96900BD56D23947 + 18137.50ns INFO [00018139] Port=0 WR @07=467BC7A66D939C656E + 18138.50ns INFO [00018140] * RD COMPARE * port=1 adr=02 act=D598E99908862290B1 exp=D598E99908862290B1 + 18138.50ns INFO [00018140] Port=0 RD @07 + 18138.50ns INFO [00018140] Port=1 RD @07 + 18139.50ns INFO [00018141] Port=0 WR @01=81C0E59FF0F6EE0A78 + 18139.50ns INFO [00018141] Port=0 RD @07 + 18139.50ns INFO [00018141] Port=1 RD @04 + 18140.50ns INFO [00018142] * RD COMPARE * port=0 adr=07 act=467BC7A66D939C656E exp=467BC7A66D939C656E + 18140.50ns INFO [00018142] * RD COMPARE * port=1 adr=07 act=467BC7A66D939C656E exp=467BC7A66D939C656E + 18140.50ns INFO [00018142] Port=0 RD @07 + 18140.50ns INFO [00018142] Port=1 RD @05 + 18141.50ns INFO [00018143] * RD COMPARE * port=0 adr=07 act=467BC7A66D939C656E exp=467BC7A66D939C656E + 18141.50ns INFO [00018143] * RD COMPARE * port=1 adr=04 act=8BB4FA5FADFEDDF367 exp=8BB4FA5FADFEDDF367 + 18141.50ns INFO [00018143] Port=0 WR @05=DB7612F87404788A44 + 18141.50ns INFO [00018143] Port=1 RD @07 + 18142.50ns INFO [00018144] * RD COMPARE * port=0 adr=07 act=467BC7A66D939C656E exp=467BC7A66D939C656E + 18142.50ns INFO [00018144] * RD COMPARE * port=1 adr=05 act=2EA96900BD56D23947 exp=2EA96900BD56D23947 + 18142.50ns INFO [00018144] Port=0 WR @00=E66FED8B95323C40F5 + 18142.50ns INFO [00018144] Port=0 RD @06 + 18142.50ns INFO [00018144] Port=1 RD @06 + 18143.50ns INFO [00018145] * RD COMPARE * port=1 adr=07 act=467BC7A66D939C656E exp=467BC7A66D939C656E + 18144.50ns INFO [00018146] * RD COMPARE * port=0 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18144.50ns INFO [00018146] * RD COMPARE * port=1 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18144.50ns INFO [00018146] Port=0 RD @07 + 18145.50ns INFO [00018147] Port=1 RD @05 + 18146.50ns INFO [00018148] * RD COMPARE * port=0 adr=07 act=467BC7A66D939C656E exp=467BC7A66D939C656E + 18146.50ns INFO [00018148] Port=0 WR @04=24DDC25F4646CAE959 + 18147.50ns INFO [00018149] * RD COMPARE * port=1 adr=05 act=DB7612F87404788A44 exp=DB7612F87404788A44 + 18147.50ns INFO [00018149] Port=0 RD @00 + 18147.50ns INFO [00018149] Port=1 RD @07 + 18148.50ns INFO [00018150] Port=0 WR @02=52E675585EE8A09BF0 + 18148.50ns INFO [00018150] Port=1 RD @01 + 18149.50ns INFO [00018151] * RD COMPARE * port=0 adr=00 act=E66FED8B95323C40F5 exp=E66FED8B95323C40F5 + 18149.50ns INFO [00018151] * RD COMPARE * port=1 adr=07 act=467BC7A66D939C656E exp=467BC7A66D939C656E + 18149.50ns INFO [00018151] Port=0 WR @02=D707E77C2F06693864 + 18149.50ns INFO [00018151] Port=1 RD @00 + 18150.50ns INFO [00018152] * RD COMPARE * port=1 adr=01 act=81C0E59FF0F6EE0A78 exp=81C0E59FF0F6EE0A78 + 18150.50ns INFO [00018152] Port=0 WR @02=62D4B3F6489358E39B + 18150.50ns INFO [00018152] Port=0 RD @05 + 18151.50ns INFO [00018153] * RD COMPARE * port=1 adr=00 act=E66FED8B95323C40F5 exp=E66FED8B95323C40F5 + 18152.50ns INFO [00018154] * RD COMPARE * port=0 adr=05 act=DB7612F87404788A44 exp=DB7612F87404788A44 + 18153.50ns INFO [00018155] Port=0 RD @06 + 18155.50ns INFO [00018157] * RD COMPARE * port=0 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18155.50ns INFO [00018157] Port=0 RD @06 + 18156.50ns INFO [00018158] Port=0 RD @04 + 18157.50ns INFO [00018159] * RD COMPARE * port=0 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18157.50ns INFO [00018159] Port=0 RD @01 + 18158.50ns INFO [00018160] * RD COMPARE * port=0 adr=04 act=24DDC25F4646CAE959 exp=24DDC25F4646CAE959 + 18158.50ns INFO [00018160] Port=1 RD @04 + 18159.50ns INFO [00018161] * RD COMPARE * port=0 adr=01 act=81C0E59FF0F6EE0A78 exp=81C0E59FF0F6EE0A78 + 18160.50ns INFO [00018162] * RD COMPARE * port=1 adr=04 act=24DDC25F4646CAE959 exp=24DDC25F4646CAE959 + 18161.50ns INFO [00018163] Port=0 WR @05=0C37D61F1D96122DD4 + 18162.50ns INFO [00018164] Port=0 RD @05 + 18163.50ns INFO [00018165] Port=0 RD @05 + 18163.50ns INFO [00018165] Port=1 RD @01 + 18164.50ns INFO [00018166] * RD COMPARE * port=0 adr=05 act=0C37D61F1D96122DD4 exp=0C37D61F1D96122DD4 + 18164.50ns INFO [00018166] Port=0 RD @04 + 18165.50ns INFO [00018167] * RD COMPARE * port=0 adr=05 act=0C37D61F1D96122DD4 exp=0C37D61F1D96122DD4 + 18165.50ns INFO [00018167] * RD COMPARE * port=1 adr=01 act=81C0E59FF0F6EE0A78 exp=81C0E59FF0F6EE0A78 + 18165.50ns INFO [00018167] Port=0 WR @04=FD3F4166A09734F2F3 + 18166.50ns INFO [00018168] * RD COMPARE * port=0 adr=04 act=24DDC25F4646CAE959 exp=24DDC25F4646CAE959 + 18166.50ns INFO [00018168] Port=1 RD @03 + 18167.50ns INFO [00018169] Port=0 WR @04=7A5E09B35E17F7FF13 + 18167.50ns INFO [00018169] Port=0 RD @01 + 18168.50ns INFO [00018170] * RD COMPARE * port=1 adr=03 act=F110BBBFA0D65F92C9 exp=F110BBBFA0D65F92C9 + 18168.50ns INFO [00018170] Port=1 RD @04 + 18169.50ns INFO [00018171] * RD COMPARE * port=0 adr=01 act=81C0E59FF0F6EE0A78 exp=81C0E59FF0F6EE0A78 + 18170.50ns INFO [00018172] * RD COMPARE * port=1 adr=04 act=7A5E09B35E17F7FF13 exp=7A5E09B35E17F7FF13 + 18170.50ns INFO [00018172] Port=1 RD @02 + 18172.50ns INFO [00018174] * RD COMPARE * port=1 adr=02 act=62D4B3F6489358E39B exp=62D4B3F6489358E39B + 18172.50ns INFO [00018174] Port=1 RD @01 + 18173.50ns INFO [00018175] Port=0 RD @04 + 18173.50ns INFO [00018175] Port=1 RD @02 + 18174.50ns INFO [00018176] * RD COMPARE * port=1 adr=01 act=81C0E59FF0F6EE0A78 exp=81C0E59FF0F6EE0A78 + 18174.50ns INFO [00018176] Port=1 RD @00 + 18175.50ns INFO [00018177] * RD COMPARE * port=0 adr=04 act=7A5E09B35E17F7FF13 exp=7A5E09B35E17F7FF13 + 18175.50ns INFO [00018177] * RD COMPARE * port=1 adr=02 act=62D4B3F6489358E39B exp=62D4B3F6489358E39B + 18175.50ns INFO [00018177] Port=0 WR @00=3EC498EF9180F8E9B1 + 18175.50ns INFO [00018177] Port=0 RD @06 + 18176.50ns INFO [00018178] * RD COMPARE * port=1 adr=00 act=E66FED8B95323C40F5 exp=E66FED8B95323C40F5 + 18176.50ns INFO [00018178] Port=0 WR @00=64BE9247AAFD059C86 + 18176.50ns INFO [00018178] Port=0 RD @07 + 18176.50ns INFO [00018178] Port=1 RD @06 + 18177.50ns INFO [00018179] * RD COMPARE * port=0 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18177.50ns INFO [00018179] Port=0 RD @07 + 18177.50ns INFO [00018179] Port=1 RD @04 + 18178.50ns INFO [00018180] * RD COMPARE * port=0 adr=07 act=467BC7A66D939C656E exp=467BC7A66D939C656E + 18178.50ns INFO [00018180] * RD COMPARE * port=1 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18178.50ns INFO [00018180] Port=0 WR @04=445921DB1897FBA710 + 18178.50ns INFO [00018180] Port=0 RD @06 + 18178.50ns INFO [00018180] Port=1 RD @00 + 18179.50ns INFO [00018181] * RD COMPARE * port=0 adr=07 act=467BC7A66D939C656E exp=467BC7A66D939C656E + 18179.50ns INFO [00018181] * RD COMPARE * port=1 adr=04 act=7A5E09B35E17F7FF13 exp=7A5E09B35E17F7FF13 + 18179.50ns INFO [00018181] Port=0 RD @04 + 18179.50ns INFO [00018181] Port=1 RD @00 + 18180.50ns INFO [00018182] * RD COMPARE * port=0 adr=06 act=7B1FB19B9BFE819495 exp=7B1FB19B9BFE819495 + 18180.50ns INFO [00018182] * RD COMPARE * port=1 adr=00 act=64BE9247AAFD059C86 exp=64BE9247AAFD059C86 + 18181.50ns INFO [00018183] * RD COMPARE * port=0 adr=04 act=445921DB1897FBA710 exp=445921DB1897FBA710 + 18181.50ns INFO [00018183] * RD COMPARE * port=1 adr=00 act=64BE9247AAFD059C86 exp=64BE9247AAFD059C86 + 18181.50ns INFO [00018183] Port=0 WR @05=9DB12A4A2E279FAAEB + 18182.50ns INFO [00018184] Port=1 RD @03 + 18183.50ns INFO [00018185] Port=0 RD @00 + 18183.50ns INFO [00018185] Port=1 RD @04 + 18184.50ns INFO [00018186] * RD COMPARE * port=1 adr=03 act=F110BBBFA0D65F92C9 exp=F110BBBFA0D65F92C9 + 18184.50ns INFO [00018186] Port=0 WR @00=E7F62C5A911CFBE4FA + 18185.50ns INFO [00018187] * RD COMPARE * port=0 adr=00 act=64BE9247AAFD059C86 exp=64BE9247AAFD059C86 + 18185.50ns INFO [00018187] * RD COMPARE * port=1 adr=04 act=445921DB1897FBA710 exp=445921DB1897FBA710 + 18185.50ns INFO [00018187] Port=0 RD @04 + 18186.50ns INFO [00018188] Port=0 WR @03=14805F9BEB22855B67 + 18186.50ns INFO [00018188] Port=1 RD @01 + 18187.50ns INFO [00018189] * RD COMPARE * port=0 adr=04 act=445921DB1897FBA710 exp=445921DB1897FBA710 + 18187.50ns INFO [00018189] Port=0 WR @00=5688DA91F79BCAD398 + 18188.50ns INFO [00018190] * RD COMPARE * port=1 adr=01 act=81C0E59FF0F6EE0A78 exp=81C0E59FF0F6EE0A78 + 18189.50ns INFO [00018191] Port=0 RD @03 + 18190.50ns INFO [00018192] Port=1 RD @03 + 18191.50ns INFO [00018193] * RD COMPARE * port=0 adr=03 act=14805F9BEB22855B67 exp=14805F9BEB22855B67 + 18191.50ns INFO [00018193] Port=0 WR @00=39DAC67137DB5E24E4 + 18191.50ns INFO [00018193] Port=0 RD @01 + 18191.50ns INFO [00018193] Port=1 RD @05 + 18192.50ns INFO [00018194] * RD COMPARE * port=1 adr=03 act=14805F9BEB22855B67 exp=14805F9BEB22855B67 + 18193.50ns INFO [00018195] * RD COMPARE * port=0 adr=01 act=81C0E59FF0F6EE0A78 exp=81C0E59FF0F6EE0A78 + 18193.50ns INFO [00018195] * RD COMPARE * port=1 adr=05 act=9DB12A4A2E279FAAEB exp=9DB12A4A2E279FAAEB + 18195.50ns INFO [00018197] Port=0 WR @07=DF2491987C2646CC3D + 18195.50ns INFO [00018197] Port=0 RD @05 + 18197.50ns INFO [00018199] * RD COMPARE * port=0 adr=05 act=9DB12A4A2E279FAAEB exp=9DB12A4A2E279FAAEB + 18197.50ns INFO [00018199] Port=0 WR @03=62B70E18C1BBA594F5 + 18198.00ns INFO [00018200] [00018200] ...tick... + 18198.50ns INFO [00018200] Port=0 WR @06=E94B99F4748461D494 + 18198.50ns INFO [00018200] Port=0 RD @03 + 18198.50ns INFO [00018200] Port=1 RD @00 + 18199.50ns INFO [00018201] Port=0 WR @02=68F89411A550DF20C8 + 18199.50ns INFO [00018201] Port=1 RD @07 + 18200.50ns INFO [00018202] * RD COMPARE * port=0 adr=03 act=62B70E18C1BBA594F5 exp=62B70E18C1BBA594F5 + 18200.50ns INFO [00018202] * RD COMPARE * port=1 adr=00 act=39DAC67137DB5E24E4 exp=39DAC67137DB5E24E4 + 18200.50ns INFO [00018202] Port=0 WR @05=7E7CFC0523EDE96D52 + 18200.50ns INFO [00018202] Port=0 RD @00 + 18201.50ns INFO [00018203] * RD COMPARE * port=1 adr=07 act=DF2491987C2646CC3D exp=DF2491987C2646CC3D + 18202.50ns INFO [00018204] * RD COMPARE * port=0 adr=00 act=39DAC67137DB5E24E4 exp=39DAC67137DB5E24E4 + 18202.50ns INFO [00018204] Port=0 RD @01 + 18203.50ns INFO [00018205] Port=0 WR @00=FAAD29D1326BDD25F9 + 18203.50ns INFO [00018205] Port=1 RD @07 + 18204.50ns INFO [00018206] * RD COMPARE * port=0 adr=01 act=81C0E59FF0F6EE0A78 exp=81C0E59FF0F6EE0A78 + 18204.50ns INFO [00018206] Port=0 WR @06=1284C935A54CA635C1 + 18204.50ns INFO [00018206] Port=0 RD @04 + 18205.50ns INFO [00018207] * RD COMPARE * port=1 adr=07 act=DF2491987C2646CC3D exp=DF2491987C2646CC3D + 18205.50ns INFO [00018207] Port=1 RD @06 + 18206.50ns INFO [00018208] * RD COMPARE * port=0 adr=04 act=445921DB1897FBA710 exp=445921DB1897FBA710 + 18206.50ns INFO [00018208] Port=0 WR @00=1EC5F951E31AE1E498 + 18206.50ns INFO [00018208] Port=0 RD @03 + 18206.50ns INFO [00018208] Port=1 RD @07 + 18207.50ns INFO [00018209] * RD COMPARE * port=1 adr=06 act=1284C935A54CA635C1 exp=1284C935A54CA635C1 + 18208.50ns INFO [00018210] * RD COMPARE * port=0 adr=03 act=62B70E18C1BBA594F5 exp=62B70E18C1BBA594F5 + 18208.50ns INFO [00018210] * RD COMPARE * port=1 adr=07 act=DF2491987C2646CC3D exp=DF2491987C2646CC3D + 18208.50ns INFO [00018210] Port=0 RD @02 + 18209.50ns INFO [00018211] Port=0 WR @01=1FC470B0D08B4A1454 + 18209.50ns INFO [00018211] Port=1 RD @05 + 18210.50ns INFO [00018212] * RD COMPARE * port=0 adr=02 act=68F89411A550DF20C8 exp=68F89411A550DF20C8 + 18210.50ns INFO [00018212] Port=0 WR @03=494DB3810F6D9DE374 + 18210.50ns INFO [00018212] Port=0 RD @04 + 18211.50ns INFO [00018213] * RD COMPARE * port=1 adr=05 act=7E7CFC0523EDE96D52 exp=7E7CFC0523EDE96D52 + 18211.50ns INFO [00018213] Port=0 WR @02=CA8706F152D8BBA4B7 + 18211.50ns INFO [00018213] Port=1 RD @03 + 18212.50ns INFO [00018214] * RD COMPARE * port=0 adr=04 act=445921DB1897FBA710 exp=445921DB1897FBA710 + 18213.50ns INFO [00018215] * RD COMPARE * port=1 adr=03 act=494DB3810F6D9DE374 exp=494DB3810F6D9DE374 + 18213.50ns INFO [00018215] Port=1 RD @07 + 18214.50ns INFO [00018216] Port=0 WR @01=6165A9668C7F636077 + 18214.50ns INFO [00018216] Port=1 RD @07 + 18215.50ns INFO [00018217] * RD COMPARE * port=1 adr=07 act=DF2491987C2646CC3D exp=DF2491987C2646CC3D + 18216.50ns INFO [00018218] * RD COMPARE * port=1 adr=07 act=DF2491987C2646CC3D exp=DF2491987C2646CC3D + 18216.50ns INFO [00018218] Port=0 WR @06=963381057BF5C4A947 + 18216.50ns INFO [00018218] Port=1 RD @07 + 18217.50ns INFO [00018219] Port=1 RD @05 + 18218.50ns INFO [00018220] * RD COMPARE * port=1 adr=07 act=DF2491987C2646CC3D exp=DF2491987C2646CC3D + 18218.50ns INFO [00018220] Port=0 RD @00 + 18218.50ns INFO [00018220] Port=1 RD @05 + 18219.50ns INFO [00018221] * RD COMPARE * port=1 adr=05 act=7E7CFC0523EDE96D52 exp=7E7CFC0523EDE96D52 + 18219.50ns INFO [00018221] Port=0 WR @01=BF4BB49B1F13761427 + 18220.50ns INFO [00018222] * RD COMPARE * port=0 adr=00 act=1EC5F951E31AE1E498 exp=1EC5F951E31AE1E498 + 18220.50ns INFO [00018222] * RD COMPARE * port=1 adr=05 act=7E7CFC0523EDE96D52 exp=7E7CFC0523EDE96D52 + 18220.50ns INFO [00018222] Port=1 RD @07 + 18221.50ns INFO [00018223] Port=0 RD @01 + 18222.50ns INFO [00018224] * RD COMPARE * port=1 adr=07 act=DF2491987C2646CC3D exp=DF2491987C2646CC3D + 18223.50ns INFO [00018225] * RD COMPARE * port=0 adr=01 act=BF4BB49B1F13761427 exp=BF4BB49B1F13761427 + 18223.50ns INFO [00018225] Port=0 WR @02=618D5E7DC6F66B9CD2 + 18225.50ns INFO [00018227] Port=0 RD @04 + 18225.50ns INFO [00018227] Port=1 RD @02 + 18226.50ns INFO [00018228] Port=1 RD @00 + 18227.50ns INFO [00018229] * RD COMPARE * port=0 adr=04 act=445921DB1897FBA710 exp=445921DB1897FBA710 + 18227.50ns INFO [00018229] * RD COMPARE * port=1 adr=02 act=618D5E7DC6F66B9CD2 exp=618D5E7DC6F66B9CD2 + 18228.50ns INFO [00018230] * RD COMPARE * port=1 adr=00 act=1EC5F951E31AE1E498 exp=1EC5F951E31AE1E498 + 18228.50ns INFO [00018230] Port=1 RD @01 + 18229.50ns INFO [00018231] Port=1 RD @04 + 18230.50ns INFO [00018232] * RD COMPARE * port=1 adr=01 act=BF4BB49B1F13761427 exp=BF4BB49B1F13761427 + 18230.50ns INFO [00018232] Port=0 WR @00=60F41EC231263F2321 + 18230.50ns INFO [00018232] Port=1 RD @05 + 18231.50ns INFO [00018233] * RD COMPARE * port=1 adr=04 act=445921DB1897FBA710 exp=445921DB1897FBA710 + 18231.50ns INFO [00018233] Port=0 WR @05=DA2BACE0028BBEDEC8 + 18231.50ns INFO [00018233] Port=1 RD @01 + 18232.50ns INFO [00018234] * RD COMPARE * port=1 adr=05 act=7E7CFC0523EDE96D52 exp=7E7CFC0523EDE96D52 + 18232.50ns INFO [00018234] Port=0 RD @02 + 18232.50ns INFO [00018234] Port=1 RD @07 + 18233.50ns INFO [00018235] * RD COMPARE * port=1 adr=01 act=BF4BB49B1F13761427 exp=BF4BB49B1F13761427 + 18233.50ns INFO [00018235] Port=0 RD @04 + 18234.50ns INFO [00018236] * RD COMPARE * port=0 adr=02 act=618D5E7DC6F66B9CD2 exp=618D5E7DC6F66B9CD2 + 18234.50ns INFO [00018236] * RD COMPARE * port=1 adr=07 act=DF2491987C2646CC3D exp=DF2491987C2646CC3D + 18235.50ns INFO [00018237] * RD COMPARE * port=0 adr=04 act=445921DB1897FBA710 exp=445921DB1897FBA710 + 18236.50ns INFO [00018238] Port=1 RD @07 + 18237.50ns INFO [00018239] Port=0 RD @05 + 18238.50ns INFO [00018240] * RD COMPARE * port=1 adr=07 act=DF2491987C2646CC3D exp=DF2491987C2646CC3D + 18238.50ns INFO [00018240] Port=0 WR @06=45238EA1695C7B8C00 + 18239.50ns INFO [00018241] * RD COMPARE * port=0 adr=05 act=DA2BACE0028BBEDEC8 exp=DA2BACE0028BBEDEC8 + 18239.50ns INFO [00018241] Port=0 RD @01 + 18239.50ns INFO [00018241] Port=1 RD @02 + 18240.50ns INFO [00018242] Port=0 RD @02 + 18240.50ns INFO [00018242] Port=1 RD @01 + 18241.50ns INFO [00018243] * RD COMPARE * port=0 adr=01 act=BF4BB49B1F13761427 exp=BF4BB49B1F13761427 + 18241.50ns INFO [00018243] * RD COMPARE * port=1 adr=02 act=618D5E7DC6F66B9CD2 exp=618D5E7DC6F66B9CD2 + 18241.50ns INFO [00018243] Port=0 WR @01=9C5554F8CB4B230DB8 + 18242.50ns INFO [00018244] * RD COMPARE * port=0 adr=02 act=618D5E7DC6F66B9CD2 exp=618D5E7DC6F66B9CD2 + 18242.50ns INFO [00018244] * RD COMPARE * port=1 adr=01 act=BF4BB49B1F13761427 exp=BF4BB49B1F13761427 + 18242.50ns INFO [00018244] Port=0 WR @00=51341095A311A86784 + 18242.50ns INFO [00018244] Port=1 RD @01 + 18244.50ns INFO [00018246] * RD COMPARE * port=1 adr=01 act=9C5554F8CB4B230DB8 exp=9C5554F8CB4B230DB8 + 18245.50ns INFO [00018247] Port=0 WR @04=89495226203AD30299 + 18245.50ns INFO [00018247] Port=0 RD @00 + 18246.50ns INFO [00018248] Port=0 RD @05 + 18246.50ns INFO [00018248] Port=1 RD @04 + 18247.50ns INFO [00018249] * RD COMPARE * port=0 adr=00 act=51341095A311A86784 exp=51341095A311A86784 + 18247.50ns INFO [00018249] Port=0 RD @06 + 18248.50ns INFO [00018250] * RD COMPARE * port=0 adr=05 act=DA2BACE0028BBEDEC8 exp=DA2BACE0028BBEDEC8 + 18248.50ns INFO [00018250] * RD COMPARE * port=1 adr=04 act=89495226203AD30299 exp=89495226203AD30299 + 18249.50ns INFO [00018251] * RD COMPARE * port=0 adr=06 act=45238EA1695C7B8C00 exp=45238EA1695C7B8C00 + 18249.50ns INFO [00018251] Port=0 WR @04=A2E347C49A06AE625E + 18249.50ns INFO [00018251] Port=1 RD @06 + 18251.50ns INFO [00018253] * RD COMPARE * port=1 adr=06 act=45238EA1695C7B8C00 exp=45238EA1695C7B8C00 + 18251.50ns INFO [00018253] Port=0 WR @06=5FB68D217C9BF91003 + 18251.50ns INFO [00018253] Port=1 RD @04 + 18252.50ns INFO [00018254] Port=0 WR @02=675C0B8E3FC7309746 + 18252.50ns INFO [00018254] Port=0 RD @03 + 18253.50ns INFO [00018255] * RD COMPARE * port=1 adr=04 act=A2E347C49A06AE625E exp=A2E347C49A06AE625E + 18254.50ns INFO [00018256] * RD COMPARE * port=0 adr=03 act=494DB3810F6D9DE374 exp=494DB3810F6D9DE374 + 18255.50ns INFO [00018257] Port=0 RD @02 + 18255.50ns INFO [00018257] Port=1 RD @06 + 18257.50ns INFO [00018259] * RD COMPARE * port=0 adr=02 act=675C0B8E3FC7309746 exp=675C0B8E3FC7309746 + 18257.50ns INFO [00018259] * RD COMPARE * port=1 adr=06 act=5FB68D217C9BF91003 exp=5FB68D217C9BF91003 + 18257.50ns INFO [00018259] Port=0 WR @06=F47004B09711E34CB3 + 18258.50ns INFO [00018260] Port=1 RD @04 + 18260.50ns INFO [00018262] * RD COMPARE * port=1 adr=04 act=A2E347C49A06AE625E exp=A2E347C49A06AE625E + 18260.50ns INFO [00018262] Port=0 RD @02 + 18262.50ns INFO [00018264] * RD COMPARE * port=0 adr=02 act=675C0B8E3FC7309746 exp=675C0B8E3FC7309746 + 18262.50ns INFO [00018264] Port=0 RD @01 + 18262.50ns INFO [00018264] Port=1 RD @06 + 18263.50ns INFO [00018265] Port=0 WR @07=702FD4CD52351304FC + 18263.50ns INFO [00018265] Port=0 RD @06 + 18263.50ns INFO [00018265] Port=1 RD @06 + 18264.50ns INFO [00018266] * RD COMPARE * port=0 adr=01 act=9C5554F8CB4B230DB8 exp=9C5554F8CB4B230DB8 + 18264.50ns INFO [00018266] * RD COMPARE * port=1 adr=06 act=F47004B09711E34CB3 exp=F47004B09711E34CB3 + 18264.50ns INFO [00018266] Port=0 RD @00 + 18264.50ns INFO [00018266] Port=1 RD @01 + 18265.50ns INFO [00018267] * RD COMPARE * port=0 adr=06 act=F47004B09711E34CB3 exp=F47004B09711E34CB3 + 18265.50ns INFO [00018267] * RD COMPARE * port=1 adr=06 act=F47004B09711E34CB3 exp=F47004B09711E34CB3 + 18265.50ns INFO [00018267] Port=0 WR @02=8539BE4685193011FE + 18265.50ns INFO [00018267] Port=1 RD @05 + 18266.50ns INFO [00018268] * RD COMPARE * port=0 adr=00 act=51341095A311A86784 exp=51341095A311A86784 + 18266.50ns INFO [00018268] * RD COMPARE * port=1 adr=01 act=9C5554F8CB4B230DB8 exp=9C5554F8CB4B230DB8 + 18267.50ns INFO [00018269] * RD COMPARE * port=1 adr=05 act=DA2BACE0028BBEDEC8 exp=DA2BACE0028BBEDEC8 + 18267.50ns INFO [00018269] Port=0 WR @04=7A372B00CD5BB3EFD6 + 18268.50ns INFO [00018270] Port=1 RD @06 + 18269.50ns INFO [00018271] Port=0 WR @00=09ACF0E9DDD03FE265 + 18270.50ns INFO [00018272] * RD COMPARE * port=1 adr=06 act=F47004B09711E34CB3 exp=F47004B09711E34CB3 + 18270.50ns INFO [00018272] Port=1 RD @03 + 18271.50ns INFO [00018273] Port=0 WR @00=78666080F8A7A0C106 + 18271.50ns INFO [00018273] Port=0 RD @02 + 18271.50ns INFO [00018273] Port=1 RD @07 + 18272.50ns INFO [00018274] * RD COMPARE * port=1 adr=03 act=494DB3810F6D9DE374 exp=494DB3810F6D9DE374 + 18272.50ns INFO [00018274] Port=1 RD @06 + 18273.50ns INFO [00018275] * RD COMPARE * port=0 adr=02 act=8539BE4685193011FE exp=8539BE4685193011FE + 18273.50ns INFO [00018275] * RD COMPARE * port=1 adr=07 act=702FD4CD52351304FC exp=702FD4CD52351304FC + 18273.50ns INFO [00018275] Port=0 WR @04=F4C6755F4BC77FC481 + 18273.50ns INFO [00018275] Port=0 RD @05 + 18274.50ns INFO [00018276] * RD COMPARE * port=1 adr=06 act=F47004B09711E34CB3 exp=F47004B09711E34CB3 + 18274.50ns INFO [00018276] Port=0 WR @00=1D69312F57490C9AD9 + 18274.50ns INFO [00018276] Port=0 RD @05 + 18275.50ns INFO [00018277] * RD COMPARE * port=0 adr=05 act=DA2BACE0028BBEDEC8 exp=DA2BACE0028BBEDEC8 + 18275.50ns INFO [00018277] Port=0 RD @01 + 18275.50ns INFO [00018277] Port=1 RD @00 + 18276.50ns INFO [00018278] * RD COMPARE * port=0 adr=05 act=DA2BACE0028BBEDEC8 exp=DA2BACE0028BBEDEC8 + 18276.50ns INFO [00018278] Port=1 RD @06 + 18277.50ns INFO [00018279] * RD COMPARE * port=0 adr=01 act=9C5554F8CB4B230DB8 exp=9C5554F8CB4B230DB8 + 18277.50ns INFO [00018279] * RD COMPARE * port=1 adr=00 act=1D69312F57490C9AD9 exp=1D69312F57490C9AD9 + 18277.50ns INFO [00018279] Port=0 WR @02=C361BF23FB12608404 + 18278.50ns INFO [00018280] * RD COMPARE * port=1 adr=06 act=F47004B09711E34CB3 exp=F47004B09711E34CB3 + 18278.50ns INFO [00018280] Port=0 WR @04=917F503CFA7428CC69 + 18278.50ns INFO [00018280] Port=0 RD @06 + 18279.50ns INFO [00018281] Port=0 WR @01=3D21BEE4BDB69F0C71 + 18279.50ns INFO [00018281] Port=1 RD @02 + 18280.50ns INFO [00018282] * RD COMPARE * port=0 adr=06 act=F47004B09711E34CB3 exp=F47004B09711E34CB3 + 18281.50ns INFO [00018283] * RD COMPARE * port=1 adr=02 act=C361BF23FB12608404 exp=C361BF23FB12608404 + 18281.50ns INFO [00018283] Port=0 WR @00=C8D56FDD562C9ABBCC + 18281.50ns INFO [00018283] Port=0 RD @01 + 18282.50ns INFO [00018284] Port=0 WR @01=A13D3140DF68625071 + 18283.50ns INFO [00018285] * RD COMPARE * port=0 adr=01 act=3D21BEE4BDB69F0C71 exp=3D21BEE4BDB69F0C71 + 18283.50ns INFO [00018285] Port=0 RD @02 + 18284.50ns INFO [00018286] Port=0 WR @01=2792BC3568038DCE35 + 18285.50ns INFO [00018287] * RD COMPARE * port=0 adr=02 act=C361BF23FB12608404 exp=C361BF23FB12608404 + 18285.50ns INFO [00018287] Port=0 WR @01=E99990B292DFE22A0B + 18285.50ns INFO [00018287] Port=1 RD @00 + 18287.50ns INFO [00018289] * RD COMPARE * port=1 adr=00 act=C8D56FDD562C9ABBCC exp=C8D56FDD562C9ABBCC + 18287.50ns INFO [00018289] Port=0 RD @06 + 18289.50ns INFO [00018291] * RD COMPARE * port=0 adr=06 act=F47004B09711E34CB3 exp=F47004B09711E34CB3 + 18290.50ns INFO [00018292] Port=0 WR @07=C149A9A1EBC7315A84 + 18290.50ns INFO [00018292] Port=0 RD @05 + 18291.50ns INFO [00018293] Port=0 WR @07=2C3B7E596239841C58 + 18291.50ns INFO [00018293] Port=0 RD @06 + 18292.50ns INFO [00018294] * RD COMPARE * port=0 adr=05 act=DA2BACE0028BBEDEC8 exp=DA2BACE0028BBEDEC8 + 18292.50ns INFO [00018294] Port=0 WR @00=DCB7C95892AE3315CD + 18292.50ns INFO [00018294] Port=0 RD @07 + 18293.50ns INFO [00018295] * RD COMPARE * port=0 adr=06 act=F47004B09711E34CB3 exp=F47004B09711E34CB3 + 18293.50ns INFO [00018295] Port=1 RD @01 + 18294.50ns INFO [00018296] * RD COMPARE * port=0 adr=07 act=2C3B7E596239841C58 exp=2C3B7E596239841C58 + 18294.50ns INFO [00018296] Port=0 WR @06=FBA84D618227D663CB + 18294.50ns INFO [00018296] Port=0 RD @01 + 18295.50ns INFO [00018297] * RD COMPARE * port=1 adr=01 act=E99990B292DFE22A0B exp=E99990B292DFE22A0B + 18295.50ns INFO [00018297] Port=1 RD @01 + 18296.50ns INFO [00018298] * RD COMPARE * port=0 adr=01 act=E99990B292DFE22A0B exp=E99990B292DFE22A0B + 18296.50ns INFO [00018298] Port=1 RD @04 + 18297.50ns INFO [00018299] * RD COMPARE * port=1 adr=01 act=E99990B292DFE22A0B exp=E99990B292DFE22A0B + 18298.00ns INFO [00018300] [00018300] ...tick... + 18298.50ns INFO [00018300] * RD COMPARE * port=1 adr=04 act=917F503CFA7428CC69 exp=917F503CFA7428CC69 + 18298.50ns INFO [00018300] Port=0 WR @01=B97AFAA8731556D2E6 + 18298.50ns INFO [00018300] Port=0 RD @03 + 18299.50ns INFO [00018301] Port=0 WR @00=1F2C79FF7045A47C08 + 18300.50ns INFO [00018302] * RD COMPARE * port=0 adr=03 act=494DB3810F6D9DE374 exp=494DB3810F6D9DE374 + 18303.50ns INFO [00018305] Port=0 WR @07=B7E57E68BD56EEA5E0 + 18304.50ns INFO [00018306] Port=0 WR @05=C7D7D9273565DEB58B + 18305.50ns INFO [00018307] Port=1 RD @07 + 18306.50ns INFO [00018308] Port=0 RD @03 + 18307.50ns INFO [00018309] * RD COMPARE * port=1 adr=07 act=B7E57E68BD56EEA5E0 exp=B7E57E68BD56EEA5E0 + 18307.50ns INFO [00018309] Port=0 RD @07 + 18308.50ns INFO [00018310] * RD COMPARE * port=0 adr=03 act=494DB3810F6D9DE374 exp=494DB3810F6D9DE374 + 18308.50ns INFO [00018310] Port=0 RD @06 + 18308.50ns INFO [00018310] Port=1 RD @06 + 18309.50ns INFO [00018311] * RD COMPARE * port=0 adr=07 act=B7E57E68BD56EEA5E0 exp=B7E57E68BD56EEA5E0 + 18310.50ns INFO [00018312] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18310.50ns INFO [00018312] * RD COMPARE * port=1 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18310.50ns INFO [00018312] Port=0 WR @03=EA9A61A4763638CB30 + 18310.50ns INFO [00018312] Port=0 RD @00 + 18311.50ns INFO [00018313] Port=1 RD @00 + 18312.50ns INFO [00018314] * RD COMPARE * port=0 adr=00 act=1F2C79FF7045A47C08 exp=1F2C79FF7045A47C08 + 18312.50ns INFO [00018314] Port=0 WR @00=4B486A5CD8022E49DC + 18313.50ns INFO [00018315] * RD COMPARE * port=1 adr=00 act=1F2C79FF7045A47C08 exp=1F2C79FF7045A47C08 + 18313.50ns INFO [00018315] Port=1 RD @04 + 18315.50ns INFO [00018317] * RD COMPARE * port=1 adr=04 act=917F503CFA7428CC69 exp=917F503CFA7428CC69 + 18315.50ns INFO [00018317] Port=0 WR @02=82CDAE426143B47329 + 18315.50ns INFO [00018317] Port=1 RD @00 + 18316.50ns INFO [00018318] Port=1 RD @05 + 18317.50ns INFO [00018319] * RD COMPARE * port=1 adr=00 act=4B486A5CD8022E49DC exp=4B486A5CD8022E49DC + 18317.50ns INFO [00018319] Port=0 WR @02=9A90B5FDC6C0DFFA94 + 18318.50ns INFO [00018320] * RD COMPARE * port=1 adr=05 act=C7D7D9273565DEB58B exp=C7D7D9273565DEB58B + 18318.50ns INFO [00018320] Port=0 WR @03=2932C208CB31DED0D0 + 18318.50ns INFO [00018320] Port=0 RD @05 + 18318.50ns INFO [00018320] Port=1 RD @05 + 18319.50ns INFO [00018321] Port=0 RD @05 + 18320.50ns INFO [00018322] * RD COMPARE * port=0 adr=05 act=C7D7D9273565DEB58B exp=C7D7D9273565DEB58B + 18320.50ns INFO [00018322] * RD COMPARE * port=1 adr=05 act=C7D7D9273565DEB58B exp=C7D7D9273565DEB58B + 18320.50ns INFO [00018322] Port=0 WR @07=0EAF725370153884BE + 18321.50ns INFO [00018323] * RD COMPARE * port=0 adr=05 act=C7D7D9273565DEB58B exp=C7D7D9273565DEB58B + 18321.50ns INFO [00018323] Port=0 WR @00=D7EC8A7831C9700AB6 + 18321.50ns INFO [00018323] Port=0 RD @02 + 18322.50ns INFO [00018324] Port=0 WR @00=D95762AE7F3C3E66DF + 18323.50ns INFO [00018325] * RD COMPARE * port=0 adr=02 act=9A90B5FDC6C0DFFA94 exp=9A90B5FDC6C0DFFA94 + 18323.50ns INFO [00018325] Port=0 RD @07 + 18324.50ns INFO [00018326] Port=0 WR @05=FC349D967C4C936828 + 18324.50ns INFO [00018326] Port=1 RD @06 + 18325.50ns INFO [00018327] * RD COMPARE * port=0 adr=07 act=0EAF725370153884BE exp=0EAF725370153884BE + 18325.50ns INFO [00018327] Port=1 RD @02 + 18326.50ns INFO [00018328] * RD COMPARE * port=1 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18326.50ns INFO [00018328] Port=0 RD @00 + 18327.50ns INFO [00018329] * RD COMPARE * port=1 adr=02 act=9A90B5FDC6C0DFFA94 exp=9A90B5FDC6C0DFFA94 + 18327.50ns INFO [00018329] Port=0 WR @05=63008D78B1DB7493D0 + 18327.50ns INFO [00018329] Port=0 RD @03 + 18328.50ns INFO [00018330] * RD COMPARE * port=0 adr=00 act=D95762AE7F3C3E66DF exp=D95762AE7F3C3E66DF + 18329.50ns INFO [00018331] * RD COMPARE * port=0 adr=03 act=2932C208CB31DED0D0 exp=2932C208CB31DED0D0 + 18330.50ns INFO [00018332] Port=0 RD @07 + 18331.50ns INFO [00018333] Port=0 WR @05=C62A24D7A146D26A6F + 18331.50ns INFO [00018333] Port=0 RD @06 + 18331.50ns INFO [00018333] Port=1 RD @06 + 18332.50ns INFO [00018334] * RD COMPARE * port=0 adr=07 act=0EAF725370153884BE exp=0EAF725370153884BE + 18332.50ns INFO [00018334] Port=0 WR @04=0A42DA7CA41E4C4037 + 18333.50ns INFO [00018335] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18333.50ns INFO [00018335] * RD COMPARE * port=1 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18334.50ns INFO [00018336] Port=0 RD @04 + 18334.50ns INFO [00018336] Port=1 RD @04 + 18336.50ns INFO [00018338] * RD COMPARE * port=0 adr=04 act=0A42DA7CA41E4C4037 exp=0A42DA7CA41E4C4037 + 18336.50ns INFO [00018338] * RD COMPARE * port=1 adr=04 act=0A42DA7CA41E4C4037 exp=0A42DA7CA41E4C4037 + 18336.50ns INFO [00018338] Port=0 WR @07=3428EE724EDD3FC3AF + 18336.50ns INFO [00018338] Port=0 RD @06 + 18337.50ns INFO [00018339] Port=0 WR @04=04C48E74F64139025F + 18338.50ns INFO [00018340] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18338.50ns INFO [00018340] Port=1 RD @03 + 18340.50ns INFO [00018342] * RD COMPARE * port=1 adr=03 act=2932C208CB31DED0D0 exp=2932C208CB31DED0D0 + 18344.50ns INFO [00018346] Port=0 WR @04=36141C2BA918F30F82 + 18345.50ns INFO [00018347] Port=0 WR @07=4D3D5CAB59592AF807 + 18346.50ns INFO [00018348] Port=0 WR @05=6DE163D80EAE5728E7 + 18346.50ns INFO [00018348] Port=1 RD @02 + 18347.50ns INFO [00018349] Port=1 RD @04 + 18348.50ns INFO [00018350] * RD COMPARE * port=1 adr=02 act=9A90B5FDC6C0DFFA94 exp=9A90B5FDC6C0DFFA94 + 18348.50ns INFO [00018350] Port=0 WR @01=342DE7127BE3EB55D3 + 18348.50ns INFO [00018350] Port=0 RD @02 + 18348.50ns INFO [00018350] Port=1 RD @07 + 18349.50ns INFO [00018351] * RD COMPARE * port=1 adr=04 act=36141C2BA918F30F82 exp=36141C2BA918F30F82 + 18349.50ns INFO [00018351] Port=0 WR @03=B0020FEE0D55771408 + 18349.50ns INFO [00018351] Port=1 RD @05 + 18350.50ns INFO [00018352] * RD COMPARE * port=0 adr=02 act=9A90B5FDC6C0DFFA94 exp=9A90B5FDC6C0DFFA94 + 18350.50ns INFO [00018352] * RD COMPARE * port=1 adr=07 act=4D3D5CAB59592AF807 exp=4D3D5CAB59592AF807 + 18350.50ns INFO [00018352] Port=0 RD @03 + 18351.50ns INFO [00018353] * RD COMPARE * port=1 adr=05 act=6DE163D80EAE5728E7 exp=6DE163D80EAE5728E7 + 18352.50ns INFO [00018354] * RD COMPARE * port=0 adr=03 act=B0020FEE0D55771408 exp=B0020FEE0D55771408 + 18352.50ns INFO [00018354] Port=0 RD @03 + 18352.50ns INFO [00018354] Port=1 RD @00 + 18353.50ns INFO [00018355] Port=1 RD @01 + 18354.50ns INFO [00018356] * RD COMPARE * port=0 adr=03 act=B0020FEE0D55771408 exp=B0020FEE0D55771408 + 18354.50ns INFO [00018356] * RD COMPARE * port=1 adr=00 act=D95762AE7F3C3E66DF exp=D95762AE7F3C3E66DF + 18354.50ns INFO [00018356] Port=1 RD @05 + 18355.50ns INFO [00018357] * RD COMPARE * port=1 adr=01 act=342DE7127BE3EB55D3 exp=342DE7127BE3EB55D3 + 18355.50ns INFO [00018357] Port=0 WR @04=9A5D97FF245EAE5A61 + 18355.50ns INFO [00018357] Port=0 RD @00 + 18355.50ns INFO [00018357] Port=1 RD @00 + 18356.50ns INFO [00018358] * RD COMPARE * port=1 adr=05 act=6DE163D80EAE5728E7 exp=6DE163D80EAE5728E7 + 18356.50ns INFO [00018358] Port=0 RD @05 + 18357.50ns INFO [00018359] * RD COMPARE * port=0 adr=00 act=D95762AE7F3C3E66DF exp=D95762AE7F3C3E66DF + 18357.50ns INFO [00018359] * RD COMPARE * port=1 adr=00 act=D95762AE7F3C3E66DF exp=D95762AE7F3C3E66DF + 18357.50ns INFO [00018359] Port=1 RD @02 + 18358.50ns INFO [00018360] * RD COMPARE * port=0 adr=05 act=6DE163D80EAE5728E7 exp=6DE163D80EAE5728E7 + 18358.50ns INFO [00018360] Port=0 RD @04 + 18359.50ns INFO [00018361] * RD COMPARE * port=1 adr=02 act=9A90B5FDC6C0DFFA94 exp=9A90B5FDC6C0DFFA94 + 18359.50ns INFO [00018361] Port=0 WR @05=D506B6066362E24ECD + 18359.50ns INFO [00018361] Port=0 RD @06 + 18360.50ns INFO [00018362] * RD COMPARE * port=0 adr=04 act=9A5D97FF245EAE5A61 exp=9A5D97FF245EAE5A61 + 18360.50ns INFO [00018362] Port=0 WR @05=FBC43CE6DBE7324AF8 + 18361.50ns INFO [00018363] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18362.50ns INFO [00018364] Port=1 RD @04 + 18363.50ns INFO [00018365] Port=0 RD @00 + 18363.50ns INFO [00018365] Port=1 RD @03 + 18364.50ns INFO [00018366] * RD COMPARE * port=1 adr=04 act=9A5D97FF245EAE5A61 exp=9A5D97FF245EAE5A61 + 18364.50ns INFO [00018366] Port=0 WR @02=DC88282B1A9C18D8BA + 18365.50ns INFO [00018367] * RD COMPARE * port=0 adr=00 act=D95762AE7F3C3E66DF exp=D95762AE7F3C3E66DF + 18365.50ns INFO [00018367] * RD COMPARE * port=1 adr=03 act=B0020FEE0D55771408 exp=B0020FEE0D55771408 + 18365.50ns INFO [00018367] Port=0 WR @03=363AAEDD2310B09F4D + 18365.50ns INFO [00018367] Port=0 RD @02 + 18367.50ns INFO [00018369] * RD COMPARE * port=0 adr=02 act=DC88282B1A9C18D8BA exp=DC88282B1A9C18D8BA + 18367.50ns INFO [00018369] Port=0 WR @07=675B40223145E168D6 + 18367.50ns INFO [00018369] Port=0 RD @04 + 18367.50ns INFO [00018369] Port=1 RD @03 + 18368.50ns INFO [00018370] Port=0 WR @02=567DC598AA8F418EA5 + 18368.50ns INFO [00018370] Port=1 RD @06 + 18369.50ns INFO [00018371] * RD COMPARE * port=0 adr=04 act=9A5D97FF245EAE5A61 exp=9A5D97FF245EAE5A61 + 18369.50ns INFO [00018371] * RD COMPARE * port=1 adr=03 act=363AAEDD2310B09F4D exp=363AAEDD2310B09F4D + 18369.50ns INFO [00018371] Port=0 RD @06 + 18369.50ns INFO [00018371] Port=1 RD @03 + 18370.50ns INFO [00018372] * RD COMPARE * port=1 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18370.50ns INFO [00018372] Port=0 WR @07=EE88B2E1422778B926 + 18371.50ns INFO [00018373] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18371.50ns INFO [00018373] * RD COMPARE * port=1 adr=03 act=363AAEDD2310B09F4D exp=363AAEDD2310B09F4D + 18373.50ns INFO [00018375] Port=0 WR @02=E88180FAEF05E72AC8 + 18373.50ns INFO [00018375] Port=0 RD @06 + 18374.50ns INFO [00018376] Port=0 WR @05=0F9E3CDEA85B45B011 + 18374.50ns INFO [00018376] Port=1 RD @06 + 18375.50ns INFO [00018377] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18375.50ns INFO [00018377] Port=0 WR @03=014F32FAB04991F928 + 18376.50ns INFO [00018378] * RD COMPARE * port=1 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18376.50ns INFO [00018378] Port=0 RD @02 + 18376.50ns INFO [00018378] Port=1 RD @00 + 18377.50ns INFO [00018379] Port=0 WR @00=A2E79B79DAD5D3125A + 18378.50ns INFO [00018380] * RD COMPARE * port=0 adr=02 act=E88180FAEF05E72AC8 exp=E88180FAEF05E72AC8 + 18378.50ns INFO [00018380] * RD COMPARE * port=1 adr=00 act=D95762AE7F3C3E66DF exp=D95762AE7F3C3E66DF + 18378.50ns INFO [00018380] Port=1 RD @01 + 18380.50ns INFO [00018382] * RD COMPARE * port=1 adr=01 act=342DE7127BE3EB55D3 exp=342DE7127BE3EB55D3 + 18380.50ns INFO [00018382] Port=1 RD @07 + 18382.50ns INFO [00018384] * RD COMPARE * port=1 adr=07 act=EE88B2E1422778B926 exp=EE88B2E1422778B926 + 18382.50ns INFO [00018384] Port=0 WR @05=8FCF7BB55C05372363 + 18382.50ns INFO [00018384] Port=0 RD @06 + 18384.50ns INFO [00018386] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18384.50ns INFO [00018386] Port=0 WR @02=642E37D06540E76F37 + 18385.50ns INFO [00018387] Port=0 RD @03 + 18386.50ns INFO [00018388] Port=0 RD @06 + 18386.50ns INFO [00018388] Port=1 RD @05 + 18387.50ns INFO [00018389] * RD COMPARE * port=0 adr=03 act=014F32FAB04991F928 exp=014F32FAB04991F928 + 18387.50ns INFO [00018389] Port=1 RD @05 + 18388.50ns INFO [00018390] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18388.50ns INFO [00018390] * RD COMPARE * port=1 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18388.50ns INFO [00018390] Port=0 RD @07 + 18389.50ns INFO [00018391] * RD COMPARE * port=1 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18389.50ns INFO [00018391] Port=0 WR @04=2D29E8C9331D1CA721 + 18389.50ns INFO [00018391] Port=1 RD @01 + 18390.50ns INFO [00018392] * RD COMPARE * port=0 adr=07 act=EE88B2E1422778B926 exp=EE88B2E1422778B926 + 18390.50ns INFO [00018392] Port=0 WR @07=61A47C28B01186285D + 18390.50ns INFO [00018392] Port=1 RD @05 + 18391.50ns INFO [00018393] * RD COMPARE * port=1 adr=01 act=342DE7127BE3EB55D3 exp=342DE7127BE3EB55D3 + 18391.50ns INFO [00018393] Port=0 RD @03 + 18391.50ns INFO [00018393] Port=1 RD @07 + 18392.50ns INFO [00018394] * RD COMPARE * port=1 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18392.50ns INFO [00018394] Port=0 WR @01=96EFAB1BDB01DB17AD + 18393.50ns INFO [00018395] * RD COMPARE * port=0 adr=03 act=014F32FAB04991F928 exp=014F32FAB04991F928 + 18393.50ns INFO [00018395] * RD COMPARE * port=1 adr=07 act=61A47C28B01186285D exp=61A47C28B01186285D + 18393.50ns INFO [00018395] Port=0 RD @05 + 18393.50ns INFO [00018395] Port=1 RD @06 + 18395.50ns INFO [00018397] * RD COMPARE * port=0 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18395.50ns INFO [00018397] * RD COMPARE * port=1 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18395.50ns INFO [00018397] Port=0 WR @01=F4817FE4ACC7BEE8C3 + 18395.50ns INFO [00018397] Port=0 RD @06 + 18396.50ns INFO [00018398] Port=0 RD @06 + 18397.50ns INFO [00018399] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18397.50ns INFO [00018399] Port=0 RD @06 + 18397.50ns INFO [00018399] Port=1 RD @05 + 18398.00ns INFO [00018400] [00018400] ...tick... + 18398.50ns INFO [00018400] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18398.50ns INFO [00018400] Port=0 WR @07=2EAD409FB2CDEF8279 + 18398.50ns INFO [00018400] Port=0 RD @00 + 18398.50ns INFO [00018400] Port=1 RD @05 + 18399.50ns INFO [00018401] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18399.50ns INFO [00018401] * RD COMPARE * port=1 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18400.50ns INFO [00018402] * RD COMPARE * port=0 adr=00 act=A2E79B79DAD5D3125A exp=A2E79B79DAD5D3125A + 18400.50ns INFO [00018402] * RD COMPARE * port=1 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18400.50ns INFO [00018402] Port=0 RD @06 + 18400.50ns INFO [00018402] Port=1 RD @02 + 18402.50ns INFO [00018404] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18402.50ns INFO [00018404] * RD COMPARE * port=1 adr=02 act=642E37D06540E76F37 exp=642E37D06540E76F37 + 18403.50ns INFO [00018405] Port=0 RD @03 + 18404.50ns INFO [00018406] Port=0 WR @02=5735AF830B437576F0 + 18404.50ns INFO [00018406] Port=1 RD @07 + 18405.50ns INFO [00018407] * RD COMPARE * port=0 adr=03 act=014F32FAB04991F928 exp=014F32FAB04991F928 + 18406.50ns INFO [00018408] * RD COMPARE * port=1 adr=07 act=2EAD409FB2CDEF8279 exp=2EAD409FB2CDEF8279 + 18406.50ns INFO [00018408] Port=0 WR @02=6DCEA6F8D1CB556D87 + 18406.50ns INFO [00018408] Port=1 RD @07 + 18408.50ns INFO [00018410] * RD COMPARE * port=1 adr=07 act=2EAD409FB2CDEF8279 exp=2EAD409FB2CDEF8279 + 18408.50ns INFO [00018410] Port=1 RD @01 + 18409.50ns INFO [00018411] Port=0 WR @00=FCBD3C87C955874940 + 18410.50ns INFO [00018412] * RD COMPARE * port=1 adr=01 act=F4817FE4ACC7BEE8C3 exp=F4817FE4ACC7BEE8C3 + 18410.50ns INFO [00018412] Port=0 RD @07 + 18410.50ns INFO [00018412] Port=1 RD @05 + 18411.50ns INFO [00018413] Port=1 RD @05 + 18412.50ns INFO [00018414] * RD COMPARE * port=0 adr=07 act=2EAD409FB2CDEF8279 exp=2EAD409FB2CDEF8279 + 18412.50ns INFO [00018414] * RD COMPARE * port=1 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18412.50ns INFO [00018414] Port=0 RD @06 + 18413.50ns INFO [00018415] * RD COMPARE * port=1 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18413.50ns INFO [00018415] Port=1 RD @06 + 18414.50ns INFO [00018416] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18414.50ns INFO [00018416] Port=0 RD @05 + 18415.50ns INFO [00018417] * RD COMPARE * port=1 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18416.50ns INFO [00018418] * RD COMPARE * port=0 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18417.50ns INFO [00018419] Port=0 WR @04=7BFED00282C90CC66E + 18418.50ns INFO [00018420] Port=0 WR @07=0A9B1552F7DBD7EA6B + 18418.50ns INFO [00018420] Port=0 RD @03 + 18418.50ns INFO [00018420] Port=1 RD @06 + 18419.50ns INFO [00018421] Port=0 RD @06 + 18419.50ns INFO [00018421] Port=1 RD @03 + 18420.50ns INFO [00018422] * RD COMPARE * port=0 adr=03 act=014F32FAB04991F928 exp=014F32FAB04991F928 + 18420.50ns INFO [00018422] * RD COMPARE * port=1 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18420.50ns INFO [00018422] Port=0 RD @01 + 18420.50ns INFO [00018422] Port=1 RD @01 + 18421.50ns INFO [00018423] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18421.50ns INFO [00018423] * RD COMPARE * port=1 adr=03 act=014F32FAB04991F928 exp=014F32FAB04991F928 + 18421.50ns INFO [00018423] Port=0 WR @04=CFBC2A3627B1BA8211 + 18421.50ns INFO [00018423] Port=1 RD @05 + 18422.50ns INFO [00018424] * RD COMPARE * port=0 adr=01 act=F4817FE4ACC7BEE8C3 exp=F4817FE4ACC7BEE8C3 + 18422.50ns INFO [00018424] * RD COMPARE * port=1 adr=01 act=F4817FE4ACC7BEE8C3 exp=F4817FE4ACC7BEE8C3 + 18422.50ns INFO [00018424] Port=0 RD @06 + 18423.50ns INFO [00018425] * RD COMPARE * port=1 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18423.50ns INFO [00018425] Port=0 WR @06=3076A5D84B6174B863 + 18423.50ns INFO [00018425] Port=1 RD @02 + 18424.50ns INFO [00018426] * RD COMPARE * port=0 adr=06 act=FBA84D618227D663CB exp=FBA84D618227D663CB + 18424.50ns INFO [00018426] Port=1 RD @02 + 18425.50ns INFO [00018427] * RD COMPARE * port=1 adr=02 act=6DCEA6F8D1CB556D87 exp=6DCEA6F8D1CB556D87 + 18425.50ns INFO [00018427] Port=0 RD @01 + 18426.50ns INFO [00018428] * RD COMPARE * port=1 adr=02 act=6DCEA6F8D1CB556D87 exp=6DCEA6F8D1CB556D87 + 18426.50ns INFO [00018428] Port=0 WR @03=F998A80DE7A82EB37F + 18427.50ns INFO [00018429] * RD COMPARE * port=0 adr=01 act=F4817FE4ACC7BEE8C3 exp=F4817FE4ACC7BEE8C3 + 18427.50ns INFO [00018429] Port=0 WR @02=C20780628F60085FDE + 18427.50ns INFO [00018429] Port=0 RD @05 + 18428.50ns INFO [00018430] Port=0 WR @01=4456A73CA6EA31782E + 18428.50ns INFO [00018430] Port=0 RD @04 + 18429.50ns INFO [00018431] * RD COMPARE * port=0 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18430.50ns INFO [00018432] * RD COMPARE * port=0 adr=04 act=CFBC2A3627B1BA8211 exp=CFBC2A3627B1BA8211 + 18430.50ns INFO [00018432] Port=0 RD @04 + 18430.50ns INFO [00018432] Port=1 RD @04 + 18431.50ns INFO [00018433] Port=1 RD @01 + 18432.50ns INFO [00018434] * RD COMPARE * port=0 adr=04 act=CFBC2A3627B1BA8211 exp=CFBC2A3627B1BA8211 + 18432.50ns INFO [00018434] * RD COMPARE * port=1 adr=04 act=CFBC2A3627B1BA8211 exp=CFBC2A3627B1BA8211 + 18432.50ns INFO [00018434] Port=1 RD @06 + 18433.50ns INFO [00018435] * RD COMPARE * port=1 adr=01 act=4456A73CA6EA31782E exp=4456A73CA6EA31782E + 18433.50ns INFO [00018435] Port=0 WR @00=FA0DD6773D7FACC822 + 18433.50ns INFO [00018435] Port=0 RD @05 + 18434.50ns INFO [00018436] * RD COMPARE * port=1 adr=06 act=3076A5D84B6174B863 exp=3076A5D84B6174B863 + 18435.50ns INFO [00018437] * RD COMPARE * port=0 adr=05 act=8FCF7BB55C05372363 exp=8FCF7BB55C05372363 + 18435.50ns INFO [00018437] Port=0 WR @02=2A083372A8060531FB + 18436.50ns INFO [00018438] Port=0 RD @06 + 18436.50ns INFO [00018438] Port=1 RD @07 + 18437.50ns INFO [00018439] Port=0 WR @04=C7B1693B928AFF4180 + 18437.50ns INFO [00018439] Port=1 RD @01 + 18438.50ns INFO [00018440] * RD COMPARE * port=0 adr=06 act=3076A5D84B6174B863 exp=3076A5D84B6174B863 + 18438.50ns INFO [00018440] * RD COMPARE * port=1 adr=07 act=0A9B1552F7DBD7EA6B exp=0A9B1552F7DBD7EA6B + 18439.50ns INFO [00018441] * RD COMPARE * port=1 adr=01 act=4456A73CA6EA31782E exp=4456A73CA6EA31782E + 18439.50ns INFO [00018441] Port=0 RD @03 + 18440.50ns INFO [00018442] Port=0 RD @01 + 18441.50ns INFO [00018443] * RD COMPARE * port=0 adr=03 act=F998A80DE7A82EB37F exp=F998A80DE7A82EB37F + 18441.50ns INFO [00018443] Port=0 WR @04=D0A889F4757A939CA8 + 18441.50ns INFO [00018443] Port=1 RD @01 + 18442.50ns INFO [00018444] * RD COMPARE * port=0 adr=01 act=4456A73CA6EA31782E exp=4456A73CA6EA31782E + 18443.50ns INFO [00018445] * RD COMPARE * port=1 adr=01 act=4456A73CA6EA31782E exp=4456A73CA6EA31782E + 18443.50ns INFO [00018445] Port=0 WR @00=4490CE53AB6DC95939 + 18443.50ns INFO [00018445] Port=0 RD @01 + 18444.50ns INFO [00018446] Port=0 WR @05=2436AF3D0E7E09ABA4 + 18445.50ns INFO [00018447] * RD COMPARE * port=0 adr=01 act=4456A73CA6EA31782E exp=4456A73CA6EA31782E + 18446.50ns INFO [00018448] Port=0 WR @03=7BEA90BB91503414DF + 18447.50ns INFO [00018449] Port=0 RD @06 + 18448.50ns INFO [00018450] Port=0 WR @03=F0B1C63169B2CFE9F4 + 18449.50ns INFO [00018451] * RD COMPARE * port=0 adr=06 act=3076A5D84B6174B863 exp=3076A5D84B6174B863 + 18449.50ns INFO [00018451] Port=0 RD @06 + 18450.50ns INFO [00018452] Port=0 WR @03=170F5E0277E8DB5CD3 + 18450.50ns INFO [00018452] Port=1 RD @06 + 18451.50ns INFO [00018453] * RD COMPARE * port=0 adr=06 act=3076A5D84B6174B863 exp=3076A5D84B6174B863 + 18451.50ns INFO [00018453] Port=1 RD @04 + 18452.50ns INFO [00018454] * RD COMPARE * port=1 adr=06 act=3076A5D84B6174B863 exp=3076A5D84B6174B863 + 18452.50ns INFO [00018454] Port=0 RD @01 + 18453.50ns INFO [00018455] * RD COMPARE * port=1 adr=04 act=D0A889F4757A939CA8 exp=D0A889F4757A939CA8 + 18453.50ns INFO [00018455] Port=0 WR @06=295DBAB5E6D5793762 + 18453.50ns INFO [00018455] Port=1 RD @07 + 18454.50ns INFO [00018456] * RD COMPARE * port=0 adr=01 act=4456A73CA6EA31782E exp=4456A73CA6EA31782E + 18454.50ns INFO [00018456] Port=0 RD @05 + 18455.50ns INFO [00018457] * RD COMPARE * port=1 adr=07 act=0A9B1552F7DBD7EA6B exp=0A9B1552F7DBD7EA6B + 18455.50ns INFO [00018457] Port=0 RD @03 + 18456.50ns INFO [00018458] * RD COMPARE * port=0 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18456.50ns INFO [00018458] Port=1 RD @05 + 18457.50ns INFO [00018459] * RD COMPARE * port=0 adr=03 act=170F5E0277E8DB5CD3 exp=170F5E0277E8DB5CD3 + 18458.50ns INFO [00018460] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18458.50ns INFO [00018460] Port=0 WR @07=217B2ECD26E681AF9A + 18458.50ns INFO [00018460] Port=0 RD @01 + 18460.50ns INFO [00018462] * RD COMPARE * port=0 adr=01 act=4456A73CA6EA31782E exp=4456A73CA6EA31782E + 18460.50ns INFO [00018462] Port=0 RD @04 + 18461.50ns INFO [00018463] Port=0 RD @07 + 18462.50ns INFO [00018464] * RD COMPARE * port=0 adr=04 act=D0A889F4757A939CA8 exp=D0A889F4757A939CA8 + 18462.50ns INFO [00018464] Port=0 WR @06=99666F7759F119806D + 18462.50ns INFO [00018464] Port=0 RD @00 + 18463.50ns INFO [00018465] * RD COMPARE * port=0 adr=07 act=217B2ECD26E681AF9A exp=217B2ECD26E681AF9A + 18463.50ns INFO [00018465] Port=0 RD @01 + 18464.50ns INFO [00018466] * RD COMPARE * port=0 adr=00 act=4490CE53AB6DC95939 exp=4490CE53AB6DC95939 + 18464.50ns INFO [00018466] Port=0 WR @00=332F6B38E2744533E0 + 18465.50ns INFO [00018467] * RD COMPARE * port=0 adr=01 act=4456A73CA6EA31782E exp=4456A73CA6EA31782E + 18465.50ns INFO [00018467] Port=0 RD @01 + 18465.50ns INFO [00018467] Port=1 RD @05 + 18466.50ns INFO [00018468] Port=0 WR @06=6344AE9B06F5ABD361 + 18467.50ns INFO [00018469] * RD COMPARE * port=0 adr=01 act=4456A73CA6EA31782E exp=4456A73CA6EA31782E + 18467.50ns INFO [00018469] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18468.50ns INFO [00018470] Port=0 WR @03=883704F90D8A1D0D7E + 18468.50ns INFO [00018470] Port=0 RD @04 + 18469.50ns INFO [00018471] Port=0 RD @05 + 18470.50ns INFO [00018472] * RD COMPARE * port=0 adr=04 act=D0A889F4757A939CA8 exp=D0A889F4757A939CA8 + 18470.50ns INFO [00018472] Port=0 RD @03 + 18470.50ns INFO [00018472] Port=1 RD @04 + 18471.50ns INFO [00018473] * RD COMPARE * port=0 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18471.50ns INFO [00018473] Port=1 RD @05 + 18472.50ns INFO [00018474] * RD COMPARE * port=0 adr=03 act=883704F90D8A1D0D7E exp=883704F90D8A1D0D7E + 18472.50ns INFO [00018474] * RD COMPARE * port=1 adr=04 act=D0A889F4757A939CA8 exp=D0A889F4757A939CA8 + 18472.50ns INFO [00018474] Port=0 WR @02=348B41204236427205 + 18472.50ns INFO [00018474] Port=0 RD @03 + 18472.50ns INFO [00018474] Port=1 RD @07 + 18473.50ns INFO [00018475] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18473.50ns INFO [00018475] Port=1 RD @00 + 18474.50ns INFO [00018476] * RD COMPARE * port=0 adr=03 act=883704F90D8A1D0D7E exp=883704F90D8A1D0D7E + 18474.50ns INFO [00018476] * RD COMPARE * port=1 adr=07 act=217B2ECD26E681AF9A exp=217B2ECD26E681AF9A + 18474.50ns INFO [00018476] Port=0 WR @04=2048B10F2AF22E3214 + 18475.50ns INFO [00018477] * RD COMPARE * port=1 adr=00 act=332F6B38E2744533E0 exp=332F6B38E2744533E0 + 18475.50ns INFO [00018477] Port=0 RD @04 + 18475.50ns INFO [00018477] Port=1 RD @05 + 18476.50ns INFO [00018478] Port=1 RD @04 + 18477.50ns INFO [00018479] * RD COMPARE * port=0 adr=04 act=2048B10F2AF22E3214 exp=2048B10F2AF22E3214 + 18477.50ns INFO [00018479] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18477.50ns INFO [00018479] Port=0 RD @00 + 18477.50ns INFO [00018479] Port=1 RD @04 + 18478.50ns INFO [00018480] * RD COMPARE * port=1 adr=04 act=2048B10F2AF22E3214 exp=2048B10F2AF22E3214 + 18478.50ns INFO [00018480] Port=0 RD @01 + 18478.50ns INFO [00018480] Port=1 RD @07 + 18479.50ns INFO [00018481] * RD COMPARE * port=0 adr=00 act=332F6B38E2744533E0 exp=332F6B38E2744533E0 + 18479.50ns INFO [00018481] * RD COMPARE * port=1 adr=04 act=2048B10F2AF22E3214 exp=2048B10F2AF22E3214 + 18479.50ns INFO [00018481] Port=0 RD @02 + 18480.50ns INFO [00018482] * RD COMPARE * port=0 adr=01 act=4456A73CA6EA31782E exp=4456A73CA6EA31782E + 18480.50ns INFO [00018482] * RD COMPARE * port=1 adr=07 act=217B2ECD26E681AF9A exp=217B2ECD26E681AF9A + 18480.50ns INFO [00018482] Port=1 RD @05 + 18481.50ns INFO [00018483] * RD COMPARE * port=0 adr=02 act=348B41204236427205 exp=348B41204236427205 + 18481.50ns INFO [00018483] Port=0 WR @02=8651786C6A3B6B3C15 + 18481.50ns INFO [00018483] Port=1 RD @05 + 18482.50ns INFO [00018484] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18482.50ns INFO [00018484] Port=0 RD @07 + 18482.50ns INFO [00018484] Port=1 RD @04 + 18483.50ns INFO [00018485] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18483.50ns INFO [00018485] Port=0 RD @06 + 18483.50ns INFO [00018485] Port=1 RD @07 + 18484.50ns INFO [00018486] * RD COMPARE * port=0 adr=07 act=217B2ECD26E681AF9A exp=217B2ECD26E681AF9A + 18484.50ns INFO [00018486] * RD COMPARE * port=1 adr=04 act=2048B10F2AF22E3214 exp=2048B10F2AF22E3214 + 18484.50ns INFO [00018486] Port=0 WR @01=147374F3EF304A49F9 + 18484.50ns INFO [00018486] Port=1 RD @07 + 18485.50ns INFO [00018487] * RD COMPARE * port=0 adr=06 act=6344AE9B06F5ABD361 exp=6344AE9B06F5ABD361 + 18485.50ns INFO [00018487] * RD COMPARE * port=1 adr=07 act=217B2ECD26E681AF9A exp=217B2ECD26E681AF9A + 18485.50ns INFO [00018487] Port=0 WR @02=6E6C5506D9E1172866 + 18486.50ns INFO [00018488] * RD COMPARE * port=1 adr=07 act=217B2ECD26E681AF9A exp=217B2ECD26E681AF9A + 18486.50ns INFO [00018488] Port=1 RD @05 + 18487.50ns INFO [00018489] Port=0 RD @00 + 18488.50ns INFO [00018490] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18488.50ns INFO [00018490] Port=0 RD @07 + 18489.50ns INFO [00018491] * RD COMPARE * port=0 adr=00 act=332F6B38E2744533E0 exp=332F6B38E2744533E0 + 18489.50ns INFO [00018491] Port=1 RD @00 + 18490.50ns INFO [00018492] * RD COMPARE * port=0 adr=07 act=217B2ECD26E681AF9A exp=217B2ECD26E681AF9A + 18491.50ns INFO [00018493] * RD COMPARE * port=1 adr=00 act=332F6B38E2744533E0 exp=332F6B38E2744533E0 + 18491.50ns INFO [00018493] Port=0 WR @04=4FEFAE771B05B0A5ED + 18491.50ns INFO [00018493] Port=1 RD @06 + 18492.50ns INFO [00018494] Port=0 RD @02 + 18493.50ns INFO [00018495] * RD COMPARE * port=1 adr=06 act=6344AE9B06F5ABD361 exp=6344AE9B06F5ABD361 + 18493.50ns INFO [00018495] Port=0 WR @00=C084F4A0819E831B70 + 18494.50ns INFO [00018496] * RD COMPARE * port=0 adr=02 act=6E6C5506D9E1172866 exp=6E6C5506D9E1172866 + 18494.50ns INFO [00018496] Port=0 RD @02 + 18494.50ns INFO [00018496] Port=1 RD @03 + 18495.50ns INFO [00018497] Port=0 RD @02 + 18496.50ns INFO [00018498] * RD COMPARE * port=0 adr=02 act=6E6C5506D9E1172866 exp=6E6C5506D9E1172866 + 18496.50ns INFO [00018498] * RD COMPARE * port=1 adr=03 act=883704F90D8A1D0D7E exp=883704F90D8A1D0D7E + 18496.50ns INFO [00018498] Port=0 RD @01 + 18496.50ns INFO [00018498] Port=1 RD @01 + 18497.50ns INFO [00018499] * RD COMPARE * port=0 adr=02 act=6E6C5506D9E1172866 exp=6E6C5506D9E1172866 + 18497.50ns INFO [00018499] Port=1 RD @02 + 18498.00ns INFO [00018500] [00018500] ...tick... + 18498.50ns INFO [00018500] * RD COMPARE * port=0 adr=01 act=147374F3EF304A49F9 exp=147374F3EF304A49F9 + 18498.50ns INFO [00018500] * RD COMPARE * port=1 adr=01 act=147374F3EF304A49F9 exp=147374F3EF304A49F9 + 18498.50ns INFO [00018500] Port=0 RD @03 + 18499.50ns INFO [00018501] * RD COMPARE * port=1 adr=02 act=6E6C5506D9E1172866 exp=6E6C5506D9E1172866 + 18500.50ns INFO [00018502] * RD COMPARE * port=0 adr=03 act=883704F90D8A1D0D7E exp=883704F90D8A1D0D7E + 18500.50ns INFO [00018502] Port=0 WR @06=59E48532EF882F28E7 + 18500.50ns INFO [00018502] Port=0 RD @07 + 18500.50ns INFO [00018502] Port=1 RD @00 + 18501.50ns INFO [00018503] Port=0 RD @00 + 18502.50ns INFO [00018504] * RD COMPARE * port=0 adr=07 act=217B2ECD26E681AF9A exp=217B2ECD26E681AF9A + 18502.50ns INFO [00018504] * RD COMPARE * port=1 adr=00 act=C084F4A0819E831B70 exp=C084F4A0819E831B70 + 18503.50ns INFO [00018505] * RD COMPARE * port=0 adr=00 act=C084F4A0819E831B70 exp=C084F4A0819E831B70 + 18504.50ns INFO [00018506] Port=1 RD @01 + 18505.50ns INFO [00018507] Port=0 WR @03=A2F4AE892C9461CE7D + 18506.50ns INFO [00018508] * RD COMPARE * port=1 adr=01 act=147374F3EF304A49F9 exp=147374F3EF304A49F9 + 18506.50ns INFO [00018508] Port=0 WR @00=AB8666C09EE8931BAB + 18507.50ns INFO [00018509] Port=0 RD @05 + 18508.50ns INFO [00018510] Port=0 WR @02=5E76AF6DF582015B35 + 18509.50ns INFO [00018511] * RD COMPARE * port=0 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18509.50ns INFO [00018511] Port=1 RD @00 + 18510.50ns INFO [00018512] Port=0 WR @06=F3BDE2C794355A43E7 + 18510.50ns INFO [00018512] Port=0 RD @04 + 18511.50ns INFO [00018513] * RD COMPARE * port=1 adr=00 act=AB8666C09EE8931BAB exp=AB8666C09EE8931BAB + 18511.50ns INFO [00018513] Port=0 WR @06=566C490DE02DC7627D + 18511.50ns INFO [00018513] Port=1 RD @05 + 18512.50ns INFO [00018514] * RD COMPARE * port=0 adr=04 act=4FEFAE771B05B0A5ED exp=4FEFAE771B05B0A5ED + 18512.50ns INFO [00018514] Port=0 RD @00 + 18513.50ns INFO [00018515] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18513.50ns INFO [00018515] Port=0 RD @06 + 18514.50ns INFO [00018516] * RD COMPARE * port=0 adr=00 act=AB8666C09EE8931BAB exp=AB8666C09EE8931BAB + 18514.50ns INFO [00018516] Port=0 WR @00=9108CADB0F14453B2A + 18514.50ns INFO [00018516] Port=0 RD @02 + 18515.50ns INFO [00018517] * RD COMPARE * port=0 adr=06 act=566C490DE02DC7627D exp=566C490DE02DC7627D + 18515.50ns INFO [00018517] Port=0 WR @00=CA60AF969261E47809 + 18516.50ns INFO [00018518] * RD COMPARE * port=0 adr=02 act=5E76AF6DF582015B35 exp=5E76AF6DF582015B35 + 18516.50ns INFO [00018518] Port=1 RD @07 + 18517.50ns INFO [00018519] Port=0 WR @00=50F98D8F28FEF391C0 + 18518.50ns INFO [00018520] * RD COMPARE * port=1 adr=07 act=217B2ECD26E681AF9A exp=217B2ECD26E681AF9A + 18518.50ns INFO [00018520] Port=1 RD @03 + 18519.50ns INFO [00018521] Port=1 RD @04 + 18520.50ns INFO [00018522] * RD COMPARE * port=1 adr=03 act=A2F4AE892C9461CE7D exp=A2F4AE892C9461CE7D + 18521.50ns INFO [00018523] * RD COMPARE * port=1 adr=04 act=4FEFAE771B05B0A5ED exp=4FEFAE771B05B0A5ED + 18521.50ns INFO [00018523] Port=0 WR @06=DAE0D41A59419443A4 + 18521.50ns INFO [00018523] Port=0 RD @05 + 18522.50ns INFO [00018524] Port=0 WR @04=59BC120C063CBEDA2A + 18522.50ns INFO [00018524] Port=1 RD @06 + 18523.50ns INFO [00018525] * RD COMPARE * port=0 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18523.50ns INFO [00018525] Port=1 RD @03 + 18524.50ns INFO [00018526] * RD COMPARE * port=1 adr=06 act=DAE0D41A59419443A4 exp=DAE0D41A59419443A4 + 18524.50ns INFO [00018526] Port=0 RD @04 + 18525.50ns INFO [00018527] * RD COMPARE * port=1 adr=03 act=A2F4AE892C9461CE7D exp=A2F4AE892C9461CE7D + 18525.50ns INFO [00018527] Port=1 RD @01 + 18526.50ns INFO [00018528] * RD COMPARE * port=0 adr=04 act=59BC120C063CBEDA2A exp=59BC120C063CBEDA2A + 18526.50ns INFO [00018528] Port=0 RD @03 + 18526.50ns INFO [00018528] Port=1 RD @05 + 18527.50ns INFO [00018529] * RD COMPARE * port=1 adr=01 act=147374F3EF304A49F9 exp=147374F3EF304A49F9 + 18528.50ns INFO [00018530] * RD COMPARE * port=0 adr=03 act=A2F4AE892C9461CE7D exp=A2F4AE892C9461CE7D + 18528.50ns INFO [00018530] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18528.50ns INFO [00018530] Port=1 RD @00 + 18529.50ns INFO [00018531] Port=0 RD @06 + 18529.50ns INFO [00018531] Port=1 RD @03 + 18530.50ns INFO [00018532] * RD COMPARE * port=1 adr=00 act=50F98D8F28FEF391C0 exp=50F98D8F28FEF391C0 + 18531.50ns INFO [00018533] * RD COMPARE * port=0 adr=06 act=DAE0D41A59419443A4 exp=DAE0D41A59419443A4 + 18531.50ns INFO [00018533] * RD COMPARE * port=1 adr=03 act=A2F4AE892C9461CE7D exp=A2F4AE892C9461CE7D + 18531.50ns INFO [00018533] Port=0 WR @03=D4C80A9F82D87AD038 + 18531.50ns INFO [00018533] Port=0 RD @06 + 18532.50ns INFO [00018534] Port=1 RD @02 + 18533.50ns INFO [00018535] * RD COMPARE * port=0 adr=06 act=DAE0D41A59419443A4 exp=DAE0D41A59419443A4 + 18533.50ns INFO [00018535] Port=0 WR @03=D80EC5806522155009 + 18534.50ns INFO [00018536] * RD COMPARE * port=1 adr=02 act=5E76AF6DF582015B35 exp=5E76AF6DF582015B35 + 18536.50ns INFO [00018538] Port=0 WR @00=9A8D098F25CE8C5A33 + 18536.50ns INFO [00018538] Port=1 RD @03 + 18537.50ns INFO [00018539] Port=1 RD @03 + 18538.50ns INFO [00018540] * RD COMPARE * port=1 adr=03 act=D80EC5806522155009 exp=D80EC5806522155009 + 18539.50ns INFO [00018541] * RD COMPARE * port=1 adr=03 act=D80EC5806522155009 exp=D80EC5806522155009 + 18539.50ns INFO [00018541] Port=0 RD @00 + 18540.50ns INFO [00018542] Port=0 WR @06=6B3277615ECF7488B2 + 18541.50ns INFO [00018543] * RD COMPARE * port=0 adr=00 act=9A8D098F25CE8C5A33 exp=9A8D098F25CE8C5A33 + 18541.50ns INFO [00018543] Port=0 RD @00 + 18541.50ns INFO [00018543] Port=1 RD @06 + 18542.50ns INFO [00018544] Port=0 RD @05 + 18543.50ns INFO [00018545] * RD COMPARE * port=0 adr=00 act=9A8D098F25CE8C5A33 exp=9A8D098F25CE8C5A33 + 18543.50ns INFO [00018545] * RD COMPARE * port=1 adr=06 act=6B3277615ECF7488B2 exp=6B3277615ECF7488B2 + 18543.50ns INFO [00018545] Port=0 WR @06=0AE0459780D47557EA + 18544.50ns INFO [00018546] * RD COMPARE * port=0 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18544.50ns INFO [00018546] Port=0 WR @03=0217E363284ED1E527 + 18544.50ns INFO [00018546] Port=0 RD @00 + 18544.50ns INFO [00018546] Port=1 RD @05 + 18545.50ns INFO [00018547] Port=1 RD @00 + 18546.50ns INFO [00018548] * RD COMPARE * port=0 adr=00 act=9A8D098F25CE8C5A33 exp=9A8D098F25CE8C5A33 + 18546.50ns INFO [00018548] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18546.50ns INFO [00018548] Port=0 WR @03=221A7A79F551B10745 + 18546.50ns INFO [00018548] Port=0 RD @00 + 18546.50ns INFO [00018548] Port=1 RD @05 + 18547.50ns INFO [00018549] * RD COMPARE * port=1 adr=00 act=9A8D098F25CE8C5A33 exp=9A8D098F25CE8C5A33 + 18547.50ns INFO [00018549] Port=0 WR @02=98C0A65F5B45FFA381 + 18547.50ns INFO [00018549] Port=0 RD @06 + 18548.50ns INFO [00018550] * RD COMPARE * port=0 adr=00 act=9A8D098F25CE8C5A33 exp=9A8D098F25CE8C5A33 + 18548.50ns INFO [00018550] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18548.50ns INFO [00018550] Port=0 WR @07=EBF009CD7C389465F4 + 18548.50ns INFO [00018550] Port=0 RD @03 + 18549.50ns INFO [00018551] * RD COMPARE * port=0 adr=06 act=0AE0459780D47557EA exp=0AE0459780D47557EA + 18549.50ns INFO [00018551] Port=0 WR @07=45093CEE0579FAC177 + 18549.50ns INFO [00018551] Port=0 RD @06 + 18549.50ns INFO [00018551] Port=1 RD @05 + 18550.50ns INFO [00018552] * RD COMPARE * port=0 adr=03 act=221A7A79F551B10745 exp=221A7A79F551B10745 + 18551.50ns INFO [00018553] * RD COMPARE * port=0 adr=06 act=0AE0459780D47557EA exp=0AE0459780D47557EA + 18551.50ns INFO [00018553] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18552.50ns INFO [00018554] Port=0 WR @01=ED27B3E458DEA2040E + 18552.50ns INFO [00018554] Port=1 RD @07 + 18553.50ns INFO [00018555] Port=0 WR @07=7B3237330AE470A46D + 18554.50ns INFO [00018556] * RD COMPARE * port=1 adr=07 act=45093CEE0579FAC177 exp=45093CEE0579FAC177 + 18555.50ns INFO [00018557] Port=0 WR @06=4A4AE3C8C84AE20855 + 18556.50ns INFO [00018558] Port=0 WR @06=8321A4F5B3E105B293 + 18556.50ns INFO [00018558] Port=0 RD @07 + 18557.50ns INFO [00018559] Port=0 RD @03 + 18557.50ns INFO [00018559] Port=1 RD @06 + 18558.50ns INFO [00018560] * RD COMPARE * port=0 adr=07 act=7B3237330AE470A46D exp=7B3237330AE470A46D + 18558.50ns INFO [00018560] Port=0 WR @06=D1BAC3F6C86F567239 + 18559.50ns INFO [00018561] * RD COMPARE * port=0 adr=03 act=221A7A79F551B10745 exp=221A7A79F551B10745 + 18559.50ns INFO [00018561] * RD COMPARE * port=1 adr=06 act=8321A4F5B3E105B293 exp=8321A4F5B3E105B293 + 18559.50ns INFO [00018561] Port=0 WR @06=0C2C4A326938E7D287 + 18559.50ns INFO [00018561] Port=0 RD @02 + 18560.50ns INFO [00018562] Port=1 RD @00 + 18561.50ns INFO [00018563] * RD COMPARE * port=0 adr=02 act=98C0A65F5B45FFA381 exp=98C0A65F5B45FFA381 + 18562.50ns INFO [00018564] * RD COMPARE * port=1 adr=00 act=9A8D098F25CE8C5A33 exp=9A8D098F25CE8C5A33 + 18562.50ns INFO [00018564] Port=0 RD @00 + 18562.50ns INFO [00018564] Port=1 RD @06 + 18563.50ns INFO [00018565] Port=0 RD @05 + 18563.50ns INFO [00018565] Port=1 RD @01 + 18564.50ns INFO [00018566] * RD COMPARE * port=0 adr=00 act=9A8D098F25CE8C5A33 exp=9A8D098F25CE8C5A33 + 18564.50ns INFO [00018566] * RD COMPARE * port=1 adr=06 act=0C2C4A326938E7D287 exp=0C2C4A326938E7D287 + 18565.50ns INFO [00018567] * RD COMPARE * port=0 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18565.50ns INFO [00018567] * RD COMPARE * port=1 adr=01 act=ED27B3E458DEA2040E exp=ED27B3E458DEA2040E + 18567.50ns INFO [00018569] Port=0 RD @00 + 18568.50ns INFO [00018570] Port=0 WR @03=DF01FF3CAB49CBCC84 + 18569.50ns INFO [00018571] * RD COMPARE * port=0 adr=00 act=9A8D098F25CE8C5A33 exp=9A8D098F25CE8C5A33 + 18569.50ns INFO [00018571] Port=0 RD @07 + 18569.50ns INFO [00018571] Port=1 RD @00 + 18570.50ns INFO [00018572] Port=1 RD @03 + 18571.50ns INFO [00018573] * RD COMPARE * port=0 adr=07 act=7B3237330AE470A46D exp=7B3237330AE470A46D + 18571.50ns INFO [00018573] * RD COMPARE * port=1 adr=00 act=9A8D098F25CE8C5A33 exp=9A8D098F25CE8C5A33 + 18572.50ns INFO [00018574] * RD COMPARE * port=1 adr=03 act=DF01FF3CAB49CBCC84 exp=DF01FF3CAB49CBCC84 + 18572.50ns INFO [00018574] Port=1 RD @06 + 18573.50ns INFO [00018575] Port=0 WR @04=98C7E641E7B2F8C179 + 18573.50ns INFO [00018575] Port=0 RD @02 + 18573.50ns INFO [00018575] Port=1 RD @03 + 18574.50ns INFO [00018576] * RD COMPARE * port=1 adr=06 act=0C2C4A326938E7D287 exp=0C2C4A326938E7D287 + 18575.50ns INFO [00018577] * RD COMPARE * port=0 adr=02 act=98C0A65F5B45FFA381 exp=98C0A65F5B45FFA381 + 18575.50ns INFO [00018577] * RD COMPARE * port=1 adr=03 act=DF01FF3CAB49CBCC84 exp=DF01FF3CAB49CBCC84 + 18577.50ns INFO [00018579] Port=0 WR @06=FB396122DC27BE8BDC + 18578.50ns INFO [00018580] Port=0 RD @06 + 18580.50ns INFO [00018582] * RD COMPARE * port=0 adr=06 act=FB396122DC27BE8BDC exp=FB396122DC27BE8BDC + 18581.50ns INFO [00018583] Port=0 RD @00 + 18581.50ns INFO [00018583] Port=1 RD @04 + 18582.50ns INFO [00018584] Port=0 WR @06=2A4D767B82B9572EB5 + 18582.50ns INFO [00018584] Port=1 RD @02 + 18583.50ns INFO [00018585] * RD COMPARE * port=0 adr=00 act=9A8D098F25CE8C5A33 exp=9A8D098F25CE8C5A33 + 18583.50ns INFO [00018585] * RD COMPARE * port=1 adr=04 act=98C7E641E7B2F8C179 exp=98C7E641E7B2F8C179 + 18583.50ns INFO [00018585] Port=0 RD @07 + 18583.50ns INFO [00018585] Port=1 RD @06 + 18584.50ns INFO [00018586] * RD COMPARE * port=1 adr=02 act=98C0A65F5B45FFA381 exp=98C0A65F5B45FFA381 + 18584.50ns INFO [00018586] Port=0 RD @06 + 18585.50ns INFO [00018587] * RD COMPARE * port=0 adr=07 act=7B3237330AE470A46D exp=7B3237330AE470A46D + 18585.50ns INFO [00018587] * RD COMPARE * port=1 adr=06 act=2A4D767B82B9572EB5 exp=2A4D767B82B9572EB5 + 18585.50ns INFO [00018587] Port=0 RD @02 + 18585.50ns INFO [00018587] Port=1 RD @05 + 18586.50ns INFO [00018588] * RD COMPARE * port=0 adr=06 act=2A4D767B82B9572EB5 exp=2A4D767B82B9572EB5 + 18586.50ns INFO [00018588] Port=0 WR @03=5391DF3B7E30273FE6 + 18586.50ns INFO [00018588] Port=0 RD @02 + 18586.50ns INFO [00018588] Port=1 RD @07 + 18587.50ns INFO [00018589] * RD COMPARE * port=0 adr=02 act=98C0A65F5B45FFA381 exp=98C0A65F5B45FFA381 + 18587.50ns INFO [00018589] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18587.50ns INFO [00018589] Port=1 RD @05 + 18588.50ns INFO [00018590] * RD COMPARE * port=0 adr=02 act=98C0A65F5B45FFA381 exp=98C0A65F5B45FFA381 + 18588.50ns INFO [00018590] * RD COMPARE * port=1 adr=07 act=7B3237330AE470A46D exp=7B3237330AE470A46D + 18589.50ns INFO [00018591] * RD COMPARE * port=1 adr=05 act=2436AF3D0E7E09ABA4 exp=2436AF3D0E7E09ABA4 + 18589.50ns INFO [00018591] Port=0 WR @01=1C8E361E4E43E4680E + 18591.50ns INFO [00018593] Port=0 WR @04=74E69F720A17A048A7 + 18593.50ns INFO [00018595] Port=0 WR @06=8C39969354E53118F1 + 18594.50ns INFO [00018596] Port=0 WR @06=B8007BE9C88F4D5502 + 18596.50ns INFO [00018598] Port=0 WR @05=D86A6225C9AA9C77F0 + 18597.50ns INFO [00018599] Port=1 RD @04 + 18598.00ns INFO [00018600] [00018600] ...tick... + 18598.50ns INFO [00018600] Port=0 WR @00=27A6FE87D1E19D1C37 + 18599.50ns INFO [00018601] * RD COMPARE * port=1 adr=04 act=74E69F720A17A048A7 exp=74E69F720A17A048A7 + 18599.50ns INFO [00018601] Port=0 WR @05=BAE41F761D788FBC9B + 18599.50ns INFO [00018601] Port=1 RD @00 + 18600.50ns INFO [00018602] Port=0 WR @04=E838A7177CB6232C21 + 18600.50ns INFO [00018602] Port=0 RD @05 + 18601.50ns INFO [00018603] * RD COMPARE * port=1 adr=00 act=27A6FE87D1E19D1C37 exp=27A6FE87D1E19D1C37 + 18601.50ns INFO [00018603] Port=0 WR @06=06B146FA617467433C + 18601.50ns INFO [00018603] Port=0 RD @02 + 18601.50ns INFO [00018603] Port=1 RD @02 + 18602.50ns INFO [00018604] * RD COMPARE * port=0 adr=05 act=BAE41F761D788FBC9B exp=BAE41F761D788FBC9B + 18602.50ns INFO [00018604] Port=0 WR @04=91796FE2055D3AF50E + 18603.50ns INFO [00018605] * RD COMPARE * port=0 adr=02 act=98C0A65F5B45FFA381 exp=98C0A65F5B45FFA381 + 18603.50ns INFO [00018605] * RD COMPARE * port=1 adr=02 act=98C0A65F5B45FFA381 exp=98C0A65F5B45FFA381 + 18603.50ns INFO [00018605] Port=0 RD @02 + 18603.50ns INFO [00018605] Port=1 RD @02 + 18604.50ns INFO [00018606] Port=0 RD @07 + 18605.50ns INFO [00018607] * RD COMPARE * port=0 adr=02 act=98C0A65F5B45FFA381 exp=98C0A65F5B45FFA381 + 18605.50ns INFO [00018607] * RD COMPARE * port=1 adr=02 act=98C0A65F5B45FFA381 exp=98C0A65F5B45FFA381 + 18605.50ns INFO [00018607] Port=0 RD @04 + 18606.50ns INFO [00018608] * RD COMPARE * port=0 adr=07 act=7B3237330AE470A46D exp=7B3237330AE470A46D + 18607.50ns INFO [00018609] * RD COMPARE * port=0 adr=04 act=91796FE2055D3AF50E exp=91796FE2055D3AF50E + 18607.50ns INFO [00018609] Port=0 RD @07 + 18608.50ns INFO [00018610] Port=1 RD @04 + 18609.50ns INFO [00018611] * RD COMPARE * port=0 adr=07 act=7B3237330AE470A46D exp=7B3237330AE470A46D + 18609.50ns INFO [00018611] Port=1 RD @07 + 18610.50ns INFO [00018612] * RD COMPARE * port=1 adr=04 act=91796FE2055D3AF50E exp=91796FE2055D3AF50E + 18610.50ns INFO [00018612] Port=1 RD @00 + 18611.50ns INFO [00018613] * RD COMPARE * port=1 adr=07 act=7B3237330AE470A46D exp=7B3237330AE470A46D + 18611.50ns INFO [00018613] Port=1 RD @01 + 18612.50ns INFO [00018614] * RD COMPARE * port=1 adr=00 act=27A6FE87D1E19D1C37 exp=27A6FE87D1E19D1C37 + 18613.50ns INFO [00018615] * RD COMPARE * port=1 adr=01 act=1C8E361E4E43E4680E exp=1C8E361E4E43E4680E + 18613.50ns INFO [00018615] Port=0 RD @05 + 18614.50ns INFO [00018616] Port=0 RD @02 + 18614.50ns INFO [00018616] Port=1 RD @00 + 18615.50ns INFO [00018617] * RD COMPARE * port=0 adr=05 act=BAE41F761D788FBC9B exp=BAE41F761D788FBC9B + 18615.50ns INFO [00018617] Port=0 RD @05 + 18615.50ns INFO [00018617] Port=1 RD @06 + 18616.50ns INFO [00018618] * RD COMPARE * port=0 adr=02 act=98C0A65F5B45FFA381 exp=98C0A65F5B45FFA381 + 18616.50ns INFO [00018618] * RD COMPARE * port=1 adr=00 act=27A6FE87D1E19D1C37 exp=27A6FE87D1E19D1C37 + 18616.50ns INFO [00018618] Port=0 WR @07=DB1D6A1757870B9234 + 18617.50ns INFO [00018619] * RD COMPARE * port=0 adr=05 act=BAE41F761D788FBC9B exp=BAE41F761D788FBC9B + 18617.50ns INFO [00018619] * RD COMPARE * port=1 adr=06 act=06B146FA617467433C exp=06B146FA617467433C + 18617.50ns INFO [00018619] Port=1 RD @07 + 18619.50ns INFO [00018621] * RD COMPARE * port=1 adr=07 act=DB1D6A1757870B9234 exp=DB1D6A1757870B9234 + 18619.50ns INFO [00018621] Port=0 WR @06=1F7C94CAAB6F9894B4 + 18620.50ns INFO [00018622] Port=0 WR @00=F6AF773DD4CCC2F9F3 + 18621.50ns INFO [00018623] Port=1 RD @03 + 18622.50ns INFO [00018624] Port=0 WR @02=9A7644FC3900A296EB + 18622.50ns INFO [00018624] Port=0 RD @04 + 18622.50ns INFO [00018624] Port=1 RD @03 + 18623.50ns INFO [00018625] * RD COMPARE * port=1 adr=03 act=5391DF3B7E30273FE6 exp=5391DF3B7E30273FE6 + 18623.50ns INFO [00018625] Port=1 RD @06 + 18624.50ns INFO [00018626] * RD COMPARE * port=0 adr=04 act=91796FE2055D3AF50E exp=91796FE2055D3AF50E + 18624.50ns INFO [00018626] * RD COMPARE * port=1 adr=03 act=5391DF3B7E30273FE6 exp=5391DF3B7E30273FE6 + 18624.50ns INFO [00018626] Port=0 WR @02=ED7BB84E69703BFFB4 + 18624.50ns INFO [00018626] Port=0 RD @06 + 18625.50ns INFO [00018627] * RD COMPARE * port=1 adr=06 act=1F7C94CAAB6F9894B4 exp=1F7C94CAAB6F9894B4 + 18626.50ns INFO [00018628] * RD COMPARE * port=0 adr=06 act=1F7C94CAAB6F9894B4 exp=1F7C94CAAB6F9894B4 + 18626.50ns INFO [00018628] Port=0 WR @06=B5A6B6304FF21E9F89 + 18627.50ns INFO [00018629] Port=0 RD @02 + 18627.50ns INFO [00018629] Port=1 RD @00 + 18629.50ns INFO [00018631] * RD COMPARE * port=0 adr=02 act=ED7BB84E69703BFFB4 exp=ED7BB84E69703BFFB4 + 18629.50ns INFO [00018631] * RD COMPARE * port=1 adr=00 act=F6AF773DD4CCC2F9F3 exp=F6AF773DD4CCC2F9F3 + 18630.50ns INFO [00018632] Port=0 RD @07 + 18631.50ns INFO [00018633] Port=0 WR @01=F3C172E4F0982A2728 + 18631.50ns INFO [00018633] Port=0 RD @05 + 18631.50ns INFO [00018633] Port=1 RD @00 + 18632.50ns INFO [00018634] * RD COMPARE * port=0 adr=07 act=DB1D6A1757870B9234 exp=DB1D6A1757870B9234 + 18632.50ns INFO [00018634] Port=0 WR @01=C8484B723B5FD10814 + 18633.50ns INFO [00018635] * RD COMPARE * port=0 adr=05 act=BAE41F761D788FBC9B exp=BAE41F761D788FBC9B + 18633.50ns INFO [00018635] * RD COMPARE * port=1 adr=00 act=F6AF773DD4CCC2F9F3 exp=F6AF773DD4CCC2F9F3 + 18633.50ns INFO [00018635] Port=0 WR @01=46D6B4BB162D3AAA67 + 18633.50ns INFO [00018635] Port=0 RD @06 + 18633.50ns INFO [00018635] Port=1 RD @07 + 18634.50ns INFO [00018636] Port=0 WR @05=B0E6C566DB9C528D04 + 18634.50ns INFO [00018636] Port=0 RD @06 + 18634.50ns INFO [00018636] Port=1 RD @06 + 18635.50ns INFO [00018637] * RD COMPARE * port=0 adr=06 act=B5A6B6304FF21E9F89 exp=B5A6B6304FF21E9F89 + 18635.50ns INFO [00018637] * RD COMPARE * port=1 adr=07 act=DB1D6A1757870B9234 exp=DB1D6A1757870B9234 + 18635.50ns INFO [00018637] Port=0 WR @06=FE13E8007B1F153AE7 + 18635.50ns INFO [00018637] Port=0 RD @02 + 18635.50ns INFO [00018637] Port=1 RD @05 + 18636.50ns INFO [00018638] * RD COMPARE * port=0 adr=06 act=B5A6B6304FF21E9F89 exp=B5A6B6304FF21E9F89 + 18636.50ns INFO [00018638] * RD COMPARE * port=1 adr=06 act=B5A6B6304FF21E9F89 exp=B5A6B6304FF21E9F89 + 18636.50ns INFO [00018638] Port=0 WR @02=54D3EFC3BF0055E225 + 18636.50ns INFO [00018638] Port=0 RD @03 + 18637.50ns INFO [00018639] * RD COMPARE * port=0 adr=02 act=ED7BB84E69703BFFB4 exp=ED7BB84E69703BFFB4 + 18637.50ns INFO [00018639] * RD COMPARE * port=1 adr=05 act=B0E6C566DB9C528D04 exp=B0E6C566DB9C528D04 + 18637.50ns INFO [00018639] Port=0 WR @06=18D3ACD9BD64229817 + 18637.50ns INFO [00018639] Port=1 RD @05 + 18638.50ns INFO [00018640] * RD COMPARE * port=0 adr=03 act=5391DF3B7E30273FE6 exp=5391DF3B7E30273FE6 + 18638.50ns INFO [00018640] Port=0 RD @04 + 18638.50ns INFO [00018640] Port=1 RD @04 + 18639.50ns INFO [00018641] * RD COMPARE * port=1 adr=05 act=B0E6C566DB9C528D04 exp=B0E6C566DB9C528D04 + 18639.50ns INFO [00018641] Port=0 WR @00=EC0AAFE3BDA38AD423 + 18639.50ns INFO [00018641] Port=0 RD @03 + 18640.50ns INFO [00018642] * RD COMPARE * port=0 adr=04 act=91796FE2055D3AF50E exp=91796FE2055D3AF50E + 18640.50ns INFO [00018642] * RD COMPARE * port=1 adr=04 act=91796FE2055D3AF50E exp=91796FE2055D3AF50E + 18640.50ns INFO [00018642] Port=0 WR @06=4EE98D37027BDBCF77 + 18641.50ns INFO [00018643] * RD COMPARE * port=0 adr=03 act=5391DF3B7E30273FE6 exp=5391DF3B7E30273FE6 + 18641.50ns INFO [00018643] Port=0 WR @00=347D90CE6CAE114133 + 18641.50ns INFO [00018643] Port=1 RD @05 + 18643.50ns INFO [00018645] * RD COMPARE * port=1 adr=05 act=B0E6C566DB9C528D04 exp=B0E6C566DB9C528D04 + 18645.50ns INFO [00018647] Port=0 WR @03=A997B12B1E3AC26FC7 + 18646.50ns INFO [00018648] Port=0 RD @03 + 18646.50ns INFO [00018648] Port=1 RD @01 + 18647.50ns INFO [00018649] Port=0 RD @05 + 18647.50ns INFO [00018649] Port=1 RD @02 + 18648.50ns INFO [00018650] * RD COMPARE * port=0 adr=03 act=A997B12B1E3AC26FC7 exp=A997B12B1E3AC26FC7 + 18648.50ns INFO [00018650] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18648.50ns INFO [00018650] Port=0 WR @07=64BDB3375499CA6566 + 18648.50ns INFO [00018650] Port=0 RD @04 + 18648.50ns INFO [00018650] Port=1 RD @03 + 18649.50ns INFO [00018651] * RD COMPARE * port=0 adr=05 act=B0E6C566DB9C528D04 exp=B0E6C566DB9C528D04 + 18649.50ns INFO [00018651] * RD COMPARE * port=1 adr=02 act=54D3EFC3BF0055E225 exp=54D3EFC3BF0055E225 + 18650.50ns INFO [00018652] * RD COMPARE * port=0 adr=04 act=91796FE2055D3AF50E exp=91796FE2055D3AF50E + 18650.50ns INFO [00018652] * RD COMPARE * port=1 adr=03 act=A997B12B1E3AC26FC7 exp=A997B12B1E3AC26FC7 + 18651.50ns INFO [00018653] Port=0 RD @04 + 18652.50ns INFO [00018654] Port=0 WR @06=75FE9AD8BBBD48209E + 18652.50ns INFO [00018654] Port=0 RD @04 + 18653.50ns INFO [00018655] * RD COMPARE * port=0 adr=04 act=91796FE2055D3AF50E exp=91796FE2055D3AF50E + 18653.50ns INFO [00018655] Port=0 WR @07=ABB567EB18CECC7D32 + 18653.50ns INFO [00018655] Port=1 RD @06 + 18654.50ns INFO [00018656] * RD COMPARE * port=0 adr=04 act=91796FE2055D3AF50E exp=91796FE2055D3AF50E + 18654.50ns INFO [00018656] Port=1 RD @03 + 18655.50ns INFO [00018657] * RD COMPARE * port=1 adr=06 act=75FE9AD8BBBD48209E exp=75FE9AD8BBBD48209E + 18655.50ns INFO [00018657] Port=0 WR @05=AAFCF0FF195E941130 + 18656.50ns INFO [00018658] * RD COMPARE * port=1 adr=03 act=A997B12B1E3AC26FC7 exp=A997B12B1E3AC26FC7 + 18656.50ns INFO [00018658] Port=1 RD @04 + 18657.50ns INFO [00018659] Port=0 RD @03 + 18657.50ns INFO [00018659] Port=1 RD @02 + 18658.50ns INFO [00018660] * RD COMPARE * port=1 adr=04 act=91796FE2055D3AF50E exp=91796FE2055D3AF50E + 18659.50ns INFO [00018661] * RD COMPARE * port=0 adr=03 act=A997B12B1E3AC26FC7 exp=A997B12B1E3AC26FC7 + 18659.50ns INFO [00018661] * RD COMPARE * port=1 adr=02 act=54D3EFC3BF0055E225 exp=54D3EFC3BF0055E225 + 18660.50ns INFO [00018662] Port=0 WR @06=43BC04AE598E00B7F9 + 18660.50ns INFO [00018662] Port=1 RD @00 + 18661.50ns INFO [00018663] Port=0 WR @04=AA0ED4A0E0FE07A877 + 18662.50ns INFO [00018664] * RD COMPARE * port=1 adr=00 act=347D90CE6CAE114133 exp=347D90CE6CAE114133 + 18662.50ns INFO [00018664] Port=0 WR @06=9F3C360C9150E5ED3B + 18662.50ns INFO [00018664] Port=1 RD @01 + 18663.50ns INFO [00018665] Port=0 WR @04=64E232678C4A8A4AA4 + 18663.50ns INFO [00018665] Port=0 RD @07 + 18663.50ns INFO [00018665] Port=1 RD @07 + 18664.50ns INFO [00018666] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18664.50ns INFO [00018666] Port=0 RD @06 + 18665.50ns INFO [00018667] * RD COMPARE * port=0 adr=07 act=ABB567EB18CECC7D32 exp=ABB567EB18CECC7D32 + 18665.50ns INFO [00018667] * RD COMPARE * port=1 adr=07 act=ABB567EB18CECC7D32 exp=ABB567EB18CECC7D32 + 18665.50ns INFO [00018667] Port=0 WR @05=7670608067C1C228E5 + 18665.50ns INFO [00018667] Port=1 RD @01 + 18666.50ns INFO [00018668] * RD COMPARE * port=0 adr=06 act=9F3C360C9150E5ED3B exp=9F3C360C9150E5ED3B + 18666.50ns INFO [00018668] Port=0 WR @02=163E0F679CCBF813FC + 18666.50ns INFO [00018668] Port=0 RD @04 + 18667.50ns INFO [00018669] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18667.50ns INFO [00018669] Port=0 WR @00=1B3E672D6B3D3C7D4B + 18667.50ns INFO [00018669] Port=0 RD @06 + 18667.50ns INFO [00018669] Port=1 RD @06 + 18668.50ns INFO [00018670] * RD COMPARE * port=0 adr=04 act=64E232678C4A8A4AA4 exp=64E232678C4A8A4AA4 + 18668.50ns INFO [00018670] Port=0 RD @05 + 18669.50ns INFO [00018671] * RD COMPARE * port=0 adr=06 act=9F3C360C9150E5ED3B exp=9F3C360C9150E5ED3B + 18669.50ns INFO [00018671] * RD COMPARE * port=1 adr=06 act=9F3C360C9150E5ED3B exp=9F3C360C9150E5ED3B + 18670.50ns INFO [00018672] * RD COMPARE * port=0 adr=05 act=7670608067C1C228E5 exp=7670608067C1C228E5 + 18671.50ns INFO [00018673] Port=0 RD @00 + 18672.50ns INFO [00018674] Port=0 RD @00 + 18673.50ns INFO [00018675] * RD COMPARE * port=0 adr=00 act=1B3E672D6B3D3C7D4B exp=1B3E672D6B3D3C7D4B + 18673.50ns INFO [00018675] Port=0 RD @04 + 18674.50ns INFO [00018676] * RD COMPARE * port=0 adr=00 act=1B3E672D6B3D3C7D4B exp=1B3E672D6B3D3C7D4B + 18675.50ns INFO [00018677] * RD COMPARE * port=0 adr=04 act=64E232678C4A8A4AA4 exp=64E232678C4A8A4AA4 + 18675.50ns INFO [00018677] Port=1 RD @03 + 18677.50ns INFO [00018679] * RD COMPARE * port=1 adr=03 act=A997B12B1E3AC26FC7 exp=A997B12B1E3AC26FC7 + 18677.50ns INFO [00018679] Port=0 WR @03=01EB768AB03051F53E + 18678.50ns INFO [00018680] Port=0 RD @06 + 18678.50ns INFO [00018680] Port=1 RD @01 + 18679.50ns INFO [00018681] Port=1 RD @02 + 18680.50ns INFO [00018682] * RD COMPARE * port=0 adr=06 act=9F3C360C9150E5ED3B exp=9F3C360C9150E5ED3B + 18680.50ns INFO [00018682] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18681.50ns INFO [00018683] * RD COMPARE * port=1 adr=02 act=163E0F679CCBF813FC exp=163E0F679CCBF813FC + 18683.50ns INFO [00018685] Port=0 WR @03=269CB062A8C3731D9C + 18683.50ns INFO [00018685] Port=0 RD @04 + 18684.50ns INFO [00018686] Port=1 RD @00 + 18685.50ns INFO [00018687] * RD COMPARE * port=0 adr=04 act=64E232678C4A8A4AA4 exp=64E232678C4A8A4AA4 + 18685.50ns INFO [00018687] Port=0 RD @03 + 18686.50ns INFO [00018688] * RD COMPARE * port=1 adr=00 act=1B3E672D6B3D3C7D4B exp=1B3E672D6B3D3C7D4B + 18687.50ns INFO [00018689] * RD COMPARE * port=0 adr=03 act=269CB062A8C3731D9C exp=269CB062A8C3731D9C + 18687.50ns INFO [00018689] Port=1 RD @01 + 18688.50ns INFO [00018690] Port=0 RD @05 + 18689.50ns INFO [00018691] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18689.50ns INFO [00018691] Port=0 WR @03=40330A722418DD49C4 + 18689.50ns INFO [00018691] Port=0 RD @07 + 18689.50ns INFO [00018691] Port=1 RD @07 + 18690.50ns INFO [00018692] * RD COMPARE * port=0 adr=05 act=7670608067C1C228E5 exp=7670608067C1C228E5 + 18690.50ns INFO [00018692] Port=0 RD @01 + 18690.50ns INFO [00018692] Port=1 RD @06 + 18691.50ns INFO [00018693] * RD COMPARE * port=0 adr=07 act=ABB567EB18CECC7D32 exp=ABB567EB18CECC7D32 + 18691.50ns INFO [00018693] * RD COMPARE * port=1 adr=07 act=ABB567EB18CECC7D32 exp=ABB567EB18CECC7D32 + 18691.50ns INFO [00018693] Port=0 RD @00 + 18691.50ns INFO [00018693] Port=1 RD @07 + 18692.50ns INFO [00018694] * RD COMPARE * port=0 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18692.50ns INFO [00018694] * RD COMPARE * port=1 adr=06 act=9F3C360C9150E5ED3B exp=9F3C360C9150E5ED3B + 18692.50ns INFO [00018694] Port=0 WR @07=B33D3E31FE64171B97 + 18693.50ns INFO [00018695] * RD COMPARE * port=0 adr=00 act=1B3E672D6B3D3C7D4B exp=1B3E672D6B3D3C7D4B + 18693.50ns INFO [00018695] * RD COMPARE * port=1 adr=07 act=ABB567EB18CECC7D32 exp=ABB567EB18CECC7D32 + 18693.50ns INFO [00018695] Port=0 RD @06 + 18694.50ns INFO [00018696] Port=1 RD @02 + 18695.50ns INFO [00018697] * RD COMPARE * port=0 adr=06 act=9F3C360C9150E5ED3B exp=9F3C360C9150E5ED3B + 18695.50ns INFO [00018697] Port=0 WR @02=6A27F2A5E9DAFEACF8 + 18696.50ns INFO [00018698] * RD COMPARE * port=1 adr=02 act=163E0F679CCBF813FC exp=163E0F679CCBF813FC + 18696.50ns INFO [00018698] Port=0 RD @04 + 18696.50ns INFO [00018698] Port=1 RD @02 + 18698.00ns INFO [00018700] [00018700] ...tick... + 18698.50ns INFO [00018700] * RD COMPARE * port=0 adr=04 act=64E232678C4A8A4AA4 exp=64E232678C4A8A4AA4 + 18698.50ns INFO [00018700] * RD COMPARE * port=1 adr=02 act=6A27F2A5E9DAFEACF8 exp=6A27F2A5E9DAFEACF8 + 18699.50ns INFO [00018701] Port=0 WR @06=660D6E1DB1F679D241 + 18699.50ns INFO [00018701] Port=1 RD @03 + 18700.50ns INFO [00018702] Port=0 WR @06=A283CBBCCF9F14C65F + 18700.50ns INFO [00018702] Port=0 RD @02 + 18701.50ns INFO [00018703] * RD COMPARE * port=1 adr=03 act=40330A722418DD49C4 exp=40330A722418DD49C4 + 18701.50ns INFO [00018703] Port=0 RD @04 + 18702.50ns INFO [00018704] * RD COMPARE * port=0 adr=02 act=6A27F2A5E9DAFEACF8 exp=6A27F2A5E9DAFEACF8 + 18702.50ns INFO [00018704] Port=0 RD @06 + 18703.50ns INFO [00018705] * RD COMPARE * port=0 adr=04 act=64E232678C4A8A4AA4 exp=64E232678C4A8A4AA4 + 18703.50ns INFO [00018705] Port=0 WR @06=777786F092B67AC2EB + 18704.50ns INFO [00018706] * RD COMPARE * port=0 adr=06 act=A283CBBCCF9F14C65F exp=A283CBBCCF9F14C65F + 18704.50ns INFO [00018706] Port=1 RD @04 + 18705.50ns INFO [00018707] Port=0 WR @05=C36AC1FEF2977CFE5E + 18706.50ns INFO [00018708] * RD COMPARE * port=1 adr=04 act=64E232678C4A8A4AA4 exp=64E232678C4A8A4AA4 + 18707.50ns INFO [00018709] Port=1 RD @04 + 18708.50ns INFO [00018710] Port=1 RD @05 + 18709.50ns INFO [00018711] * RD COMPARE * port=1 adr=04 act=64E232678C4A8A4AA4 exp=64E232678C4A8A4AA4 + 18709.50ns INFO [00018711] Port=0 WR @06=F0BA3C653FF61AC9C1 + 18709.50ns INFO [00018711] Port=0 RD @05 + 18709.50ns INFO [00018711] Port=1 RD @01 + 18710.50ns INFO [00018712] * RD COMPARE * port=1 adr=05 act=C36AC1FEF2977CFE5E exp=C36AC1FEF2977CFE5E + 18710.50ns INFO [00018712] Port=0 WR @05=A7DFCF4DA1028ADB17 + 18711.50ns INFO [00018713] * RD COMPARE * port=0 adr=05 act=C36AC1FEF2977CFE5E exp=C36AC1FEF2977CFE5E + 18711.50ns INFO [00018713] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18711.50ns INFO [00018713] Port=0 WR @06=4A8591B13197CF8F1B + 18711.50ns INFO [00018713] Port=1 RD @02 + 18712.50ns INFO [00018714] Port=0 WR @02=FE40CC94B3AB8E893A + 18712.50ns INFO [00018714] Port=0 RD @05 + 18712.50ns INFO [00018714] Port=1 RD @06 + 18713.50ns INFO [00018715] * RD COMPARE * port=1 adr=02 act=6A27F2A5E9DAFEACF8 exp=6A27F2A5E9DAFEACF8 + 18713.50ns INFO [00018715] Port=0 RD @02 + 18713.50ns INFO [00018715] Port=1 RD @02 + 18714.50ns INFO [00018716] * RD COMPARE * port=0 adr=05 act=A7DFCF4DA1028ADB17 exp=A7DFCF4DA1028ADB17 + 18714.50ns INFO [00018716] * RD COMPARE * port=1 adr=06 act=4A8591B13197CF8F1B exp=4A8591B13197CF8F1B + 18714.50ns INFO [00018716] Port=0 WR @02=AD166F94EF0F651257 + 18714.50ns INFO [00018716] Port=0 RD @01 + 18715.50ns INFO [00018717] * RD COMPARE * port=0 adr=02 act=FE40CC94B3AB8E893A exp=FE40CC94B3AB8E893A + 18715.50ns INFO [00018717] * RD COMPARE * port=1 adr=02 act=FE40CC94B3AB8E893A exp=FE40CC94B3AB8E893A + 18715.50ns INFO [00018717] Port=0 RD @02 + 18715.50ns INFO [00018717] Port=1 RD @01 + 18716.50ns INFO [00018718] * RD COMPARE * port=0 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18716.50ns INFO [00018718] Port=0 WR @02=038954E8F23DF7966D + 18716.50ns INFO [00018718] Port=1 RD @00 + 18717.50ns INFO [00018719] * RD COMPARE * port=0 adr=02 act=AD166F94EF0F651257 exp=AD166F94EF0F651257 + 18717.50ns INFO [00018719] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18717.50ns INFO [00018719] Port=0 RD @01 + 18717.50ns INFO [00018719] Port=1 RD @03 + 18718.50ns INFO [00018720] * RD COMPARE * port=1 adr=00 act=1B3E672D6B3D3C7D4B exp=1B3E672D6B3D3C7D4B + 18718.50ns INFO [00018720] Port=0 WR @02=8E5FD097F968FA8440 + 18719.50ns INFO [00018721] * RD COMPARE * port=0 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18719.50ns INFO [00018721] * RD COMPARE * port=1 adr=03 act=40330A722418DD49C4 exp=40330A722418DD49C4 + 18720.50ns INFO [00018722] Port=0 WR @04=2111423F5330F7EE14 + 18720.50ns INFO [00018722] Port=1 RD @01 + 18721.50ns INFO [00018723] Port=0 RD @04 + 18722.50ns INFO [00018724] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18723.50ns INFO [00018725] * RD COMPARE * port=0 adr=04 act=2111423F5330F7EE14 exp=2111423F5330F7EE14 + 18723.50ns INFO [00018725] Port=1 RD @03 + 18724.50ns INFO [00018726] Port=1 RD @05 + 18725.50ns INFO [00018727] * RD COMPARE * port=1 adr=03 act=40330A722418DD49C4 exp=40330A722418DD49C4 + 18725.50ns INFO [00018727] Port=0 WR @02=97293A80BA437EDD13 + 18726.50ns INFO [00018728] * RD COMPARE * port=1 adr=05 act=A7DFCF4DA1028ADB17 exp=A7DFCF4DA1028ADB17 + 18726.50ns INFO [00018728] Port=0 WR @03=C61382CE6C0A0E13DA + 18726.50ns INFO [00018728] Port=0 RD @02 + 18726.50ns INFO [00018728] Port=1 RD @02 + 18727.50ns INFO [00018729] Port=0 WR @00=2C17E4E4361E8DECF5 + 18727.50ns INFO [00018729] Port=1 RD @07 + 18728.50ns INFO [00018730] * RD COMPARE * port=0 adr=02 act=97293A80BA437EDD13 exp=97293A80BA437EDD13 + 18728.50ns INFO [00018730] * RD COMPARE * port=1 adr=02 act=97293A80BA437EDD13 exp=97293A80BA437EDD13 + 18728.50ns INFO [00018730] Port=1 RD @00 + 18729.50ns INFO [00018731] * RD COMPARE * port=1 adr=07 act=B33D3E31FE64171B97 exp=B33D3E31FE64171B97 + 18730.50ns INFO [00018732] * RD COMPARE * port=1 adr=00 act=2C17E4E4361E8DECF5 exp=2C17E4E4361E8DECF5 + 18730.50ns INFO [00018732] Port=0 RD @02 + 18731.50ns INFO [00018733] Port=1 RD @07 + 18732.50ns INFO [00018734] * RD COMPARE * port=0 adr=02 act=97293A80BA437EDD13 exp=97293A80BA437EDD13 + 18732.50ns INFO [00018734] Port=0 WR @00=24EF0E706B748A4462 + 18733.50ns INFO [00018735] * RD COMPARE * port=1 adr=07 act=B33D3E31FE64171B97 exp=B33D3E31FE64171B97 + 18735.50ns INFO [00018737] Port=0 WR @00=1C554EE40C4FBDF966 + 18735.50ns INFO [00018737] Port=0 RD @03 + 18735.50ns INFO [00018737] Port=1 RD @04 + 18737.50ns INFO [00018739] * RD COMPARE * port=0 adr=03 act=C61382CE6C0A0E13DA exp=C61382CE6C0A0E13DA + 18737.50ns INFO [00018739] * RD COMPARE * port=1 adr=04 act=2111423F5330F7EE14 exp=2111423F5330F7EE14 + 18737.50ns INFO [00018739] Port=1 RD @07 + 18738.50ns INFO [00018740] Port=0 WR @05=C5B73072745868F2CC + 18738.50ns INFO [00018740] Port=1 RD @01 + 18739.50ns INFO [00018741] * RD COMPARE * port=1 adr=07 act=B33D3E31FE64171B97 exp=B33D3E31FE64171B97 + 18739.50ns INFO [00018741] Port=1 RD @02 + 18740.50ns INFO [00018742] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18741.50ns INFO [00018743] * RD COMPARE * port=1 adr=02 act=97293A80BA437EDD13 exp=97293A80BA437EDD13 + 18741.50ns INFO [00018743] Port=0 WR @02=5A9D7E44F9571C0BB0 + 18741.50ns INFO [00018743] Port=0 RD @06 + 18741.50ns INFO [00018743] Port=1 RD @00 + 18743.50ns INFO [00018745] * RD COMPARE * port=0 adr=06 act=4A8591B13197CF8F1B exp=4A8591B13197CF8F1B + 18743.50ns INFO [00018745] * RD COMPARE * port=1 adr=00 act=1C554EE40C4FBDF966 exp=1C554EE40C4FBDF966 + 18744.50ns INFO [00018746] Port=0 RD @03 + 18745.50ns INFO [00018747] Port=0 WR @05=C5FA9C97A391D19E1D + 18745.50ns INFO [00018747] Port=0 RD @03 + 18745.50ns INFO [00018747] Port=1 RD @02 + 18746.50ns INFO [00018748] * RD COMPARE * port=0 adr=03 act=C61382CE6C0A0E13DA exp=C61382CE6C0A0E13DA + 18747.50ns INFO [00018749] * RD COMPARE * port=0 adr=03 act=C61382CE6C0A0E13DA exp=C61382CE6C0A0E13DA + 18747.50ns INFO [00018749] * RD COMPARE * port=1 adr=02 act=5A9D7E44F9571C0BB0 exp=5A9D7E44F9571C0BB0 + 18747.50ns INFO [00018749] Port=0 RD @03 + 18747.50ns INFO [00018749] Port=1 RD @06 + 18748.50ns INFO [00018750] Port=0 WR @03=AAF0D77E5D9B8AFB42 + 18749.50ns INFO [00018751] * RD COMPARE * port=0 adr=03 act=C61382CE6C0A0E13DA exp=C61382CE6C0A0E13DA + 18749.50ns INFO [00018751] * RD COMPARE * port=1 adr=06 act=4A8591B13197CF8F1B exp=4A8591B13197CF8F1B + 18749.50ns INFO [00018751] Port=0 RD @07 + 18750.50ns INFO [00018752] Port=0 WR @00=1303CB4426A72A52C5 + 18750.50ns INFO [00018752] Port=1 RD @01 + 18751.50ns INFO [00018753] * RD COMPARE * port=0 adr=07 act=B33D3E31FE64171B97 exp=B33D3E31FE64171B97 + 18751.50ns INFO [00018753] Port=0 RD @04 + 18752.50ns INFO [00018754] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18753.50ns INFO [00018755] * RD COMPARE * port=0 adr=04 act=2111423F5330F7EE14 exp=2111423F5330F7EE14 + 18753.50ns INFO [00018755] Port=0 WR @00=B806CA11469FF938B3 + 18753.50ns INFO [00018755] Port=0 RD @05 + 18753.50ns INFO [00018755] Port=1 RD @05 + 18754.50ns INFO [00018756] Port=1 RD @00 + 18755.50ns INFO [00018757] * RD COMPARE * port=0 adr=05 act=C5FA9C97A391D19E1D exp=C5FA9C97A391D19E1D + 18755.50ns INFO [00018757] * RD COMPARE * port=1 adr=05 act=C5FA9C97A391D19E1D exp=C5FA9C97A391D19E1D + 18755.50ns INFO [00018757] Port=0 WR @06=A2C0148EEF11C3B56A + 18756.50ns INFO [00018758] * RD COMPARE * port=1 adr=00 act=B806CA11469FF938B3 exp=B806CA11469FF938B3 + 18756.50ns INFO [00018758] Port=1 RD @04 + 18757.50ns INFO [00018759] Port=0 WR @02=9E8729F982247C8603 + 18757.50ns INFO [00018759] Port=0 RD @07 + 18757.50ns INFO [00018759] Port=1 RD @05 + 18758.50ns INFO [00018760] * RD COMPARE * port=1 adr=04 act=2111423F5330F7EE14 exp=2111423F5330F7EE14 + 18758.50ns INFO [00018760] Port=1 RD @04 + 18759.50ns INFO [00018761] * RD COMPARE * port=0 adr=07 act=B33D3E31FE64171B97 exp=B33D3E31FE64171B97 + 18759.50ns INFO [00018761] * RD COMPARE * port=1 adr=05 act=C5FA9C97A391D19E1D exp=C5FA9C97A391D19E1D + 18759.50ns INFO [00018761] Port=0 WR @02=98B36822A6F2A2FA36 + 18759.50ns INFO [00018761] Port=1 RD @07 + 18760.50ns INFO [00018762] * RD COMPARE * port=1 adr=04 act=2111423F5330F7EE14 exp=2111423F5330F7EE14 + 18760.50ns INFO [00018762] Port=1 RD @06 + 18761.50ns INFO [00018763] * RD COMPARE * port=1 adr=07 act=B33D3E31FE64171B97 exp=B33D3E31FE64171B97 + 18762.50ns INFO [00018764] * RD COMPARE * port=1 adr=06 act=A2C0148EEF11C3B56A exp=A2C0148EEF11C3B56A + 18762.50ns INFO [00018764] Port=0 WR @02=6A21D5794E64323C04 + 18762.50ns INFO [00018764] Port=0 RD @07 + 18763.50ns INFO [00018765] Port=0 WR @06=01DBADCB95B0FD449F + 18764.50ns INFO [00018766] * RD COMPARE * port=0 adr=07 act=B33D3E31FE64171B97 exp=B33D3E31FE64171B97 + 18764.50ns INFO [00018766] Port=0 WR @02=CDDD43110F7873BFF3 + 18764.50ns INFO [00018766] Port=1 RD @01 + 18765.50ns INFO [00018767] Port=0 RD @02 + 18766.50ns INFO [00018768] * RD COMPARE * port=1 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18766.50ns INFO [00018768] Port=0 WR @04=DE7C181FC8733649F6 + 18766.50ns INFO [00018768] Port=1 RD @02 + 18767.50ns INFO [00018769] * RD COMPARE * port=0 adr=02 act=CDDD43110F7873BFF3 exp=CDDD43110F7873BFF3 + 18767.50ns INFO [00018769] Port=0 WR @06=DAC14240200DF58C2D + 18767.50ns INFO [00018769] Port=0 RD @03 + 18767.50ns INFO [00018769] Port=1 RD @02 + 18768.50ns INFO [00018770] * RD COMPARE * port=1 adr=02 act=CDDD43110F7873BFF3 exp=CDDD43110F7873BFF3 + 18768.50ns INFO [00018770] Port=0 WR @03=99C1DD8636558023E2 + 18769.50ns INFO [00018771] * RD COMPARE * port=0 adr=03 act=AAF0D77E5D9B8AFB42 exp=AAF0D77E5D9B8AFB42 + 18769.50ns INFO [00018771] * RD COMPARE * port=1 adr=02 act=CDDD43110F7873BFF3 exp=CDDD43110F7873BFF3 + 18770.50ns INFO [00018772] Port=0 WR @06=5E8CA82987028AA490 + 18772.50ns INFO [00018774] Port=0 RD @00 + 18773.50ns INFO [00018775] Port=0 WR @04=ABE024C7EC5BCBC60A + 18774.50ns INFO [00018776] * RD COMPARE * port=0 adr=00 act=B806CA11469FF938B3 exp=B806CA11469FF938B3 + 18774.50ns INFO [00018776] Port=0 WR @05=44D71DFA4F7601BD34 + 18774.50ns INFO [00018776] Port=0 RD @03 + 18774.50ns INFO [00018776] Port=1 RD @06 + 18776.50ns INFO [00018778] * RD COMPARE * port=0 adr=03 act=99C1DD8636558023E2 exp=99C1DD8636558023E2 + 18776.50ns INFO [00018778] * RD COMPARE * port=1 adr=06 act=5E8CA82987028AA490 exp=5E8CA82987028AA490 + 18776.50ns INFO [00018778] Port=1 RD @02 + 18777.50ns INFO [00018779] Port=1 RD @05 + 18778.50ns INFO [00018780] * RD COMPARE * port=1 adr=02 act=CDDD43110F7873BFF3 exp=CDDD43110F7873BFF3 + 18779.50ns INFO [00018781] * RD COMPARE * port=1 adr=05 act=44D71DFA4F7601BD34 exp=44D71DFA4F7601BD34 + 18780.50ns INFO [00018782] Port=0 WR @07=8B4DB6EC6A962BBF01 + 18781.50ns INFO [00018783] Port=0 WR @03=2FD03FEB11C4225B53 + 18782.50ns INFO [00018784] Port=0 RD @06 + 18783.50ns INFO [00018785] Port=0 WR @05=DAEDBAAD184E60E35A + 18783.50ns INFO [00018785] Port=0 RD @00 + 18783.50ns INFO [00018785] Port=1 RD @00 + 18784.50ns INFO [00018786] * RD COMPARE * port=0 adr=06 act=5E8CA82987028AA490 exp=5E8CA82987028AA490 + 18784.50ns INFO [00018786] Port=0 RD @00 + 18784.50ns INFO [00018786] Port=1 RD @05 + 18785.50ns INFO [00018787] * RD COMPARE * port=0 adr=00 act=B806CA11469FF938B3 exp=B806CA11469FF938B3 + 18785.50ns INFO [00018787] * RD COMPARE * port=1 adr=00 act=B806CA11469FF938B3 exp=B806CA11469FF938B3 + 18785.50ns INFO [00018787] Port=0 RD @04 + 18786.50ns INFO [00018788] * RD COMPARE * port=0 adr=00 act=B806CA11469FF938B3 exp=B806CA11469FF938B3 + 18786.50ns INFO [00018788] * RD COMPARE * port=1 adr=05 act=DAEDBAAD184E60E35A exp=DAEDBAAD184E60E35A + 18786.50ns INFO [00018788] Port=0 RD @03 + 18787.50ns INFO [00018789] * RD COMPARE * port=0 adr=04 act=ABE024C7EC5BCBC60A exp=ABE024C7EC5BCBC60A + 18787.50ns INFO [00018789] Port=0 RD @01 + 18788.50ns INFO [00018790] * RD COMPARE * port=0 adr=03 act=2FD03FEB11C4225B53 exp=2FD03FEB11C4225B53 + 18788.50ns INFO [00018790] Port=1 RD @06 + 18789.50ns INFO [00018791] * RD COMPARE * port=0 adr=01 act=46D6B4BB162D3AAA67 exp=46D6B4BB162D3AAA67 + 18789.50ns INFO [00018791] Port=0 WR @02=BDD6F95C0807DB0F83 + 18790.50ns INFO [00018792] * RD COMPARE * port=1 adr=06 act=5E8CA82987028AA490 exp=5E8CA82987028AA490 + 18790.50ns INFO [00018792] Port=0 WR @00=062706D99713DD2094 + 18790.50ns INFO [00018792] Port=0 RD @04 + 18791.50ns INFO [00018793] Port=0 RD @00 + 18792.50ns INFO [00018794] * RD COMPARE * port=0 adr=04 act=ABE024C7EC5BCBC60A exp=ABE024C7EC5BCBC60A + 18792.50ns INFO [00018794] Port=0 WR @01=2C8F5F77D5FC6AEF4B + 18792.50ns INFO [00018794] Port=0 RD @02 + 18793.50ns INFO [00018795] * RD COMPARE * port=0 adr=00 act=062706D99713DD2094 exp=062706D99713DD2094 + 18793.50ns INFO [00018795] Port=0 RD @07 + 18794.50ns INFO [00018796] * RD COMPARE * port=0 adr=02 act=BDD6F95C0807DB0F83 exp=BDD6F95C0807DB0F83 + 18795.50ns INFO [00018797] * RD COMPARE * port=0 adr=07 act=8B4DB6EC6A962BBF01 exp=8B4DB6EC6A962BBF01 + 18795.50ns INFO [00018797] Port=1 RD @06 + 18797.50ns INFO [00018799] * RD COMPARE * port=1 adr=06 act=5E8CA82987028AA490 exp=5E8CA82987028AA490 + 18797.50ns INFO [00018799] Port=0 RD @05 + 18798.00ns INFO [00018800] [00018800] ...tick... + 18798.50ns INFO [00018800] Port=0 WR @03=5892ABE0540A2A1FB6 + 18798.50ns INFO [00018800] Port=0 RD @04 + 18799.50ns INFO [00018801] * RD COMPARE * port=0 adr=05 act=DAEDBAAD184E60E35A exp=DAEDBAAD184E60E35A + 18799.50ns INFO [00018801] Port=0 WR @02=68075D23FCFABF278A + 18800.50ns INFO [00018802] * RD COMPARE * port=0 adr=04 act=ABE024C7EC5BCBC60A exp=ABE024C7EC5BCBC60A + 18800.50ns INFO [00018802] Port=1 RD @04 + 18802.50ns INFO [00018804] * RD COMPARE * port=1 adr=04 act=ABE024C7EC5BCBC60A exp=ABE024C7EC5BCBC60A + 18803.50ns INFO [00018805] Port=0 WR @06=103520179EAB28492E + 18803.50ns INFO [00018805] Port=0 RD @00 + 18805.50ns INFO [00018807] * RD COMPARE * port=0 adr=00 act=062706D99713DD2094 exp=062706D99713DD2094 + 18805.50ns INFO [00018807] Port=0 WR @04=92CDC7AB45F0E7A076 + 18805.50ns INFO [00018807] Port=0 RD @06 + 18805.50ns INFO [00018807] Port=1 RD @03 + 18806.50ns INFO [00018808] Port=0 WR @04=73DE882247DDC69A4C + 18806.50ns INFO [00018808] Port=0 RD @05 + 18806.50ns INFO [00018808] Port=1 RD @05 + 18807.50ns INFO [00018809] * RD COMPARE * port=0 adr=06 act=103520179EAB28492E exp=103520179EAB28492E + 18807.50ns INFO [00018809] * RD COMPARE * port=1 adr=03 act=5892ABE0540A2A1FB6 exp=5892ABE0540A2A1FB6 + 18807.50ns INFO [00018809] Port=1 RD @05 + 18808.50ns INFO [00018810] * RD COMPARE * port=0 adr=05 act=DAEDBAAD184E60E35A exp=DAEDBAAD184E60E35A + 18808.50ns INFO [00018810] * RD COMPARE * port=1 adr=05 act=DAEDBAAD184E60E35A exp=DAEDBAAD184E60E35A + 18809.50ns INFO [00018811] * RD COMPARE * port=1 adr=05 act=DAEDBAAD184E60E35A exp=DAEDBAAD184E60E35A + 18809.50ns INFO [00018811] Port=0 WR @01=0206BFD9B758FB3408 + 18809.50ns INFO [00018811] Port=0 RD @04 + 18811.50ns INFO [00018813] * RD COMPARE * port=0 adr=04 act=73DE882247DDC69A4C exp=73DE882247DDC69A4C + 18811.50ns INFO [00018813] Port=1 RD @04 + 18813.50ns INFO [00018815] * RD COMPARE * port=1 adr=04 act=73DE882247DDC69A4C exp=73DE882247DDC69A4C + 18813.50ns INFO [00018815] Port=0 WR @02=B405C07F83EF681FA1 + 18813.50ns INFO [00018815] Port=0 RD @00 + 18814.50ns INFO [00018816] Port=1 RD @04 + 18815.50ns INFO [00018817] * RD COMPARE * port=0 adr=00 act=062706D99713DD2094 exp=062706D99713DD2094 + 18815.50ns INFO [00018817] Port=0 WR @03=43CE7765C5FDA43E44 + 18815.50ns INFO [00018817] Port=0 RD @01 + 18816.50ns INFO [00018818] * RD COMPARE * port=1 adr=04 act=73DE882247DDC69A4C exp=73DE882247DDC69A4C + 18816.50ns INFO [00018818] Port=0 WR @03=6582BBCCFA5BC015A1 + 18816.50ns INFO [00018818] Port=1 RD @00 + 18817.50ns INFO [00018819] * RD COMPARE * port=0 adr=01 act=0206BFD9B758FB3408 exp=0206BFD9B758FB3408 + 18817.50ns INFO [00018819] Port=0 WR @03=7159E4B6D264048266 + 18817.50ns INFO [00018819] Port=0 RD @00 + 18817.50ns INFO [00018819] Port=1 RD @06 + 18818.50ns INFO [00018820] * RD COMPARE * port=1 adr=00 act=062706D99713DD2094 exp=062706D99713DD2094 + 18818.50ns INFO [00018820] Port=0 WR @04=D32DEB2A7C8F5B773B + 18818.50ns INFO [00018820] Port=1 RD @06 + 18819.50ns INFO [00018821] * RD COMPARE * port=0 adr=00 act=062706D99713DD2094 exp=062706D99713DD2094 + 18819.50ns INFO [00018821] * RD COMPARE * port=1 adr=06 act=103520179EAB28492E exp=103520179EAB28492E + 18819.50ns INFO [00018821] Port=0 RD @04 + 18819.50ns INFO [00018821] Port=1 RD @07 + 18820.50ns INFO [00018822] * RD COMPARE * port=1 adr=06 act=103520179EAB28492E exp=103520179EAB28492E + 18820.50ns INFO [00018822] Port=0 WR @01=FD9A261302CA6A290F + 18821.50ns INFO [00018823] * RD COMPARE * port=0 adr=04 act=D32DEB2A7C8F5B773B exp=D32DEB2A7C8F5B773B + 18821.50ns INFO [00018823] * RD COMPARE * port=1 adr=07 act=8B4DB6EC6A962BBF01 exp=8B4DB6EC6A962BBF01 + 18822.50ns INFO [00018824] Port=0 WR @07=C01D6D1F0BACDEA8E8 + 18823.50ns INFO [00018825] Port=0 WR @04=6F90245B82C8377418 + 18824.50ns INFO [00018826] Port=0 WR @03=27CC852470B3C71E7B + 18824.50ns INFO [00018826] Port=0 RD @01 + 18826.50ns INFO [00018828] * RD COMPARE * port=0 adr=01 act=FD9A261302CA6A290F exp=FD9A261302CA6A290F + 18826.50ns INFO [00018828] Port=0 WR @03=FDB54D77813F06B1DA + 18827.50ns INFO [00018829] Port=0 RD @01 + 18827.50ns INFO [00018829] Port=1 RD @01 + 18828.50ns INFO [00018830] Port=0 RD @01 + 18829.50ns INFO [00018831] * RD COMPARE * port=0 adr=01 act=FD9A261302CA6A290F exp=FD9A261302CA6A290F + 18829.50ns INFO [00018831] * RD COMPARE * port=1 adr=01 act=FD9A261302CA6A290F exp=FD9A261302CA6A290F + 18830.50ns INFO [00018832] * RD COMPARE * port=0 adr=01 act=FD9A261302CA6A290F exp=FD9A261302CA6A290F + 18831.50ns INFO [00018833] Port=0 RD @01 + 18832.50ns INFO [00018834] Port=1 RD @07 + 18833.50ns INFO [00018835] * RD COMPARE * port=0 adr=01 act=FD9A261302CA6A290F exp=FD9A261302CA6A290F + 18833.50ns INFO [00018835] Port=0 WR @02=10AD00FC239B89CC10 + 18834.50ns INFO [00018836] * RD COMPARE * port=1 adr=07 act=C01D6D1F0BACDEA8E8 exp=C01D6D1F0BACDEA8E8 + 18835.50ns INFO [00018837] Port=0 WR @07=556C203DE5D44277C0 + 18835.50ns INFO [00018837] Port=0 RD @02 + 18835.50ns INFO [00018837] Port=1 RD @00 + 18837.50ns INFO [00018839] * RD COMPARE * port=0 adr=02 act=10AD00FC239B89CC10 exp=10AD00FC239B89CC10 + 18837.50ns INFO [00018839] * RD COMPARE * port=1 adr=00 act=062706D99713DD2094 exp=062706D99713DD2094 + 18837.50ns INFO [00018839] Port=0 RD @02 + 18837.50ns INFO [00018839] Port=1 RD @00 + 18838.50ns INFO [00018840] Port=0 WR @04=AA3D44DE06D3D2F500 + 18838.50ns INFO [00018840] Port=0 RD @00 + 18839.50ns INFO [00018841] * RD COMPARE * port=0 adr=02 act=10AD00FC239B89CC10 exp=10AD00FC239B89CC10 + 18839.50ns INFO [00018841] * RD COMPARE * port=1 adr=00 act=062706D99713DD2094 exp=062706D99713DD2094 + 18839.50ns INFO [00018841] Port=1 RD @05 + 18840.50ns INFO [00018842] * RD COMPARE * port=0 adr=00 act=062706D99713DD2094 exp=062706D99713DD2094 + 18841.50ns INFO [00018843] * RD COMPARE * port=1 adr=05 act=DAEDBAAD184E60E35A exp=DAEDBAAD184E60E35A + 18841.50ns INFO [00018843] Port=0 RD @00 + 18842.50ns INFO [00018844] Port=0 RD @03 + 18843.50ns INFO [00018845] * RD COMPARE * port=0 adr=00 act=062706D99713DD2094 exp=062706D99713DD2094 + 18843.50ns INFO [00018845] Port=0 WR @05=09C120CAE0D014D3ED + 18843.50ns INFO [00018845] Port=1 RD @06 + 18844.50ns INFO [00018846] * RD COMPARE * port=0 adr=03 act=FDB54D77813F06B1DA exp=FDB54D77813F06B1DA + 18844.50ns INFO [00018846] Port=0 WR @05=A2E78435DF35CDA0D5 + 18844.50ns INFO [00018846] Port=1 RD @06 + 18845.50ns INFO [00018847] * RD COMPARE * port=1 adr=06 act=103520179EAB28492E exp=103520179EAB28492E + 18846.50ns INFO [00018848] * RD COMPARE * port=1 adr=06 act=103520179EAB28492E exp=103520179EAB28492E + 18847.50ns INFO [00018849] Port=0 WR @05=D881D18162AF5BC143 + 18848.50ns INFO [00018850] Port=0 WR @04=8F3DD2A811F4A8E08E + 18853.50ns INFO [00018855] Port=0 WR @06=3A5061B6A782FCDBA2 + 18854.50ns INFO [00018856] Port=0 WR @07=DC5E23E6411D51862B + 18854.50ns INFO [00018856] Port=0 RD @02 + 18855.50ns INFO [00018857] Port=0 RD @06 + 18856.50ns INFO [00018858] * RD COMPARE * port=0 adr=02 act=10AD00FC239B89CC10 exp=10AD00FC239B89CC10 + 18856.50ns INFO [00018858] Port=0 WR @05=C38ACF4986EC227FA6 + 18857.50ns INFO [00018859] * RD COMPARE * port=0 adr=06 act=3A5061B6A782FCDBA2 exp=3A5061B6A782FCDBA2 + 18857.50ns INFO [00018859] Port=1 RD @05 + 18858.50ns INFO [00018860] Port=0 RD @04 + 18858.50ns INFO [00018860] Port=1 RD @06 + 18859.50ns INFO [00018861] * RD COMPARE * port=1 adr=05 act=C38ACF4986EC227FA6 exp=C38ACF4986EC227FA6 + 18859.50ns INFO [00018861] Port=0 WR @07=16A012A37B6A30E1F0 + 18859.50ns INFO [00018861] Port=0 RD @02 + 18859.50ns INFO [00018861] Port=1 RD @04 + 18860.50ns INFO [00018862] * RD COMPARE * port=0 adr=04 act=8F3DD2A811F4A8E08E exp=8F3DD2A811F4A8E08E + 18860.50ns INFO [00018862] * RD COMPARE * port=1 adr=06 act=3A5061B6A782FCDBA2 exp=3A5061B6A782FCDBA2 + 18860.50ns INFO [00018862] Port=0 RD @06 + 18860.50ns INFO [00018862] Port=1 RD @00 + 18861.50ns INFO [00018863] * RD COMPARE * port=0 adr=02 act=10AD00FC239B89CC10 exp=10AD00FC239B89CC10 + 18861.50ns INFO [00018863] * RD COMPARE * port=1 adr=04 act=8F3DD2A811F4A8E08E exp=8F3DD2A811F4A8E08E + 18862.50ns INFO [00018864] * RD COMPARE * port=0 adr=06 act=3A5061B6A782FCDBA2 exp=3A5061B6A782FCDBA2 + 18862.50ns INFO [00018864] * RD COMPARE * port=1 adr=00 act=062706D99713DD2094 exp=062706D99713DD2094 + 18862.50ns INFO [00018864] Port=0 WR @03=2D7D7B44FBF3C4BFAD + 18862.50ns INFO [00018864] Port=0 RD @07 + 18863.50ns INFO [00018865] Port=0 RD @03 + 18864.50ns INFO [00018866] * RD COMPARE * port=0 adr=07 act=16A012A37B6A30E1F0 exp=16A012A37B6A30E1F0 + 18864.50ns INFO [00018866] Port=0 WR @03=E5C09FC5CEB4444622 + 18865.50ns INFO [00018867] * RD COMPARE * port=0 adr=03 act=2D7D7B44FBF3C4BFAD exp=2D7D7B44FBF3C4BFAD + 18865.50ns INFO [00018867] Port=0 RD @02 + 18865.50ns INFO [00018867] Port=1 RD @04 + 18867.50ns INFO [00018869] * RD COMPARE * port=0 adr=02 act=10AD00FC239B89CC10 exp=10AD00FC239B89CC10 + 18867.50ns INFO [00018869] * RD COMPARE * port=1 adr=04 act=8F3DD2A811F4A8E08E exp=8F3DD2A811F4A8E08E + 18867.50ns INFO [00018869] Port=0 WR @03=F10B65C74FF91BB748 + 18867.50ns INFO [00018869] Port=0 RD @07 + 18868.50ns INFO [00018870] Port=0 WR @07=516BA0A1BA02114FB3 + 18868.50ns INFO [00018870] Port=1 RD @05 + 18869.50ns INFO [00018871] * RD COMPARE * port=0 adr=07 act=16A012A37B6A30E1F0 exp=16A012A37B6A30E1F0 + 18869.50ns INFO [00018871] Port=0 WR @01=9034FCA4F8276E5045 + 18870.50ns INFO [00018872] * RD COMPARE * port=1 adr=05 act=C38ACF4986EC227FA6 exp=C38ACF4986EC227FA6 + 18870.50ns INFO [00018872] Port=0 WR @06=598DCA84628CA0C224 + 18870.50ns INFO [00018872] Port=0 RD @01 + 18870.50ns INFO [00018872] Port=1 RD @01 + 18871.50ns INFO [00018873] Port=1 RD @03 + 18872.50ns INFO [00018874] * RD COMPARE * port=0 adr=01 act=9034FCA4F8276E5045 exp=9034FCA4F8276E5045 + 18872.50ns INFO [00018874] * RD COMPARE * port=1 adr=01 act=9034FCA4F8276E5045 exp=9034FCA4F8276E5045 + 18872.50ns INFO [00018874] Port=0 WR @00=5AC5E37F2C3D66FFFD + 18873.50ns INFO [00018875] * RD COMPARE * port=1 adr=03 act=F10B65C74FF91BB748 exp=F10B65C74FF91BB748 + 18873.50ns INFO [00018875] Port=1 RD @05 + 18874.50ns INFO [00018876] Port=0 WR @07=72203365F4FC5D9490 + 18874.50ns INFO [00018876] Port=0 RD @04 + 18874.50ns INFO [00018876] Port=1 RD @06 + 18875.50ns INFO [00018877] * RD COMPARE * port=1 adr=05 act=C38ACF4986EC227FA6 exp=C38ACF4986EC227FA6 + 18875.50ns INFO [00018877] Port=0 WR @05=C224CB22B5BC554DE5 + 18875.50ns INFO [00018877] Port=1 RD @07 + 18876.50ns INFO [00018878] * RD COMPARE * port=0 adr=04 act=8F3DD2A811F4A8E08E exp=8F3DD2A811F4A8E08E + 18876.50ns INFO [00018878] * RD COMPARE * port=1 adr=06 act=598DCA84628CA0C224 exp=598DCA84628CA0C224 + 18876.50ns INFO [00018878] Port=0 RD @03 + 18877.50ns INFO [00018879] * RD COMPARE * port=1 adr=07 act=72203365F4FC5D9490 exp=72203365F4FC5D9490 + 18877.50ns INFO [00018879] Port=0 RD @01 + 18878.50ns INFO [00018880] * RD COMPARE * port=0 adr=03 act=F10B65C74FF91BB748 exp=F10B65C74FF91BB748 + 18878.50ns INFO [00018880] Port=0 WR @01=939252FA7B0C1AFFC6 + 18878.50ns INFO [00018880] Port=0 RD @02 + 18879.50ns INFO [00018881] * RD COMPARE * port=0 adr=01 act=9034FCA4F8276E5045 exp=9034FCA4F8276E5045 + 18879.50ns INFO [00018881] Port=0 WR @02=E1813DB9DB5819FD81 + 18879.50ns INFO [00018881] Port=1 RD @05 + 18880.50ns INFO [00018882] * RD COMPARE * port=0 adr=02 act=10AD00FC239B89CC10 exp=10AD00FC239B89CC10 + 18881.50ns INFO [00018883] * RD COMPARE * port=1 adr=05 act=C224CB22B5BC554DE5 exp=C224CB22B5BC554DE5 + 18881.50ns INFO [00018883] Port=1 RD @07 + 18882.50ns INFO [00018884] Port=0 WR @00=E1F3EF398A91142DB6 + 18883.50ns INFO [00018885] * RD COMPARE * port=1 adr=07 act=72203365F4FC5D9490 exp=72203365F4FC5D9490 + 18885.50ns INFO [00018887] Port=1 RD @03 + 18886.50ns INFO [00018888] Port=0 WR @07=8B28736D0934545F3D + 18886.50ns INFO [00018888] Port=0 RD @02 + 18887.50ns INFO [00018889] * RD COMPARE * port=1 adr=03 act=F10B65C74FF91BB748 exp=F10B65C74FF91BB748 + 18887.50ns INFO [00018889] Port=0 WR @02=9FFDE87AC2155A33A6 + 18887.50ns INFO [00018889] Port=1 RD @01 + 18888.50ns INFO [00018890] * RD COMPARE * port=0 adr=02 act=E1813DB9DB5819FD81 exp=E1813DB9DB5819FD81 + 18888.50ns INFO [00018890] Port=0 RD @05 + 18889.50ns INFO [00018891] * RD COMPARE * port=1 adr=01 act=939252FA7B0C1AFFC6 exp=939252FA7B0C1AFFC6 + 18889.50ns INFO [00018891] Port=0 WR @03=1A4CC9B9BB416AE141 + 18889.50ns INFO [00018891] Port=0 RD @06 + 18890.50ns INFO [00018892] * RD COMPARE * port=0 adr=05 act=C224CB22B5BC554DE5 exp=C224CB22B5BC554DE5 + 18890.50ns INFO [00018892] Port=1 RD @01 + 18891.50ns INFO [00018893] * RD COMPARE * port=0 adr=06 act=598DCA84628CA0C224 exp=598DCA84628CA0C224 + 18891.50ns INFO [00018893] Port=0 RD @07 + 18891.50ns INFO [00018893] Port=1 RD @06 + 18892.50ns INFO [00018894] * RD COMPARE * port=1 adr=01 act=939252FA7B0C1AFFC6 exp=939252FA7B0C1AFFC6 + 18892.50ns INFO [00018894] Port=0 WR @06=816452332DEFFC7FC6 + 18893.50ns INFO [00018895] * RD COMPARE * port=0 adr=07 act=8B28736D0934545F3D exp=8B28736D0934545F3D + 18893.50ns INFO [00018895] * RD COMPARE * port=1 adr=06 act=598DCA84628CA0C224 exp=598DCA84628CA0C224 + 18894.50ns INFO [00018896] Port=0 RD @02 + 18895.50ns INFO [00018897] Port=0 WR @06=61748039032ABB1F48 + 18895.50ns INFO [00018897] Port=0 RD @00 + 18896.50ns INFO [00018898] * RD COMPARE * port=0 adr=02 act=9FFDE87AC2155A33A6 exp=9FFDE87AC2155A33A6 + 18896.50ns INFO [00018898] Port=0 RD @06 + 18896.50ns INFO [00018898] Port=1 RD @06 + 18897.50ns INFO [00018899] * RD COMPARE * port=0 adr=00 act=E1F3EF398A91142DB6 exp=E1F3EF398A91142DB6 + 18898.00ns INFO [00018900] [00018900] ...tick... + 18898.50ns INFO [00018900] * RD COMPARE * port=0 adr=06 act=61748039032ABB1F48 exp=61748039032ABB1F48 + 18898.50ns INFO [00018900] * RD COMPARE * port=1 adr=06 act=61748039032ABB1F48 exp=61748039032ABB1F48 + 18898.50ns INFO [00018900] Port=0 WR @06=F19AC7C2D6464F9922 + 18898.50ns INFO [00018900] Port=0 RD @00 + 18899.50ns INFO [00018901] Port=0 WR @03=AC2BB33575D48517EE + 18899.50ns INFO [00018901] Port=0 RD @01 + 18900.50ns INFO [00018902] * RD COMPARE * port=0 adr=00 act=E1F3EF398A91142DB6 exp=E1F3EF398A91142DB6 + 18900.50ns INFO [00018902] Port=0 WR @06=334CA7C92C552B74F7 + 18900.50ns INFO [00018902] Port=0 RD @02 + 18900.50ns INFO [00018902] Port=1 RD @01 + 18901.50ns INFO [00018903] * RD COMPARE * port=0 adr=01 act=939252FA7B0C1AFFC6 exp=939252FA7B0C1AFFC6 + 18902.50ns INFO [00018904] * RD COMPARE * port=0 adr=02 act=9FFDE87AC2155A33A6 exp=9FFDE87AC2155A33A6 + 18902.50ns INFO [00018904] * RD COMPARE * port=1 adr=01 act=939252FA7B0C1AFFC6 exp=939252FA7B0C1AFFC6 + 18902.50ns INFO [00018904] Port=0 WR @06=1EBC3A83AE67E0465C + 18903.50ns INFO [00018905] Port=1 RD @03 + 18904.50ns INFO [00018906] Port=0 WR @05=2183BB5DA481400C84 + 18904.50ns INFO [00018906] Port=0 RD @03 + 18904.50ns INFO [00018906] Port=1 RD @00 + 18905.50ns INFO [00018907] * RD COMPARE * port=1 adr=03 act=AC2BB33575D48517EE exp=AC2BB33575D48517EE + 18905.50ns INFO [00018907] Port=0 WR @00=0486F6212A76794F8F + 18906.50ns INFO [00018908] * RD COMPARE * port=0 adr=03 act=AC2BB33575D48517EE exp=AC2BB33575D48517EE + 18906.50ns INFO [00018908] * RD COMPARE * port=1 adr=00 act=E1F3EF398A91142DB6 exp=E1F3EF398A91142DB6 + 18906.50ns INFO [00018908] Port=0 WR @00=7A32441F298EF4D3E3 + 18907.50ns INFO [00018909] Port=0 RD @07 + 18908.50ns INFO [00018910] Port=0 WR @04=1CAF56F6B06D2B444A + 18909.50ns INFO [00018911] * RD COMPARE * port=0 adr=07 act=8B28736D0934545F3D exp=8B28736D0934545F3D + 18909.50ns INFO [00018911] Port=0 WR @04=BCDBF0630A3521FFB5 + 18911.50ns INFO [00018913] Port=0 WR @00=833F360B10FF3387C5 + 18913.50ns INFO [00018915] Port=0 WR @02=06D349229092B0230B + 18914.50ns INFO [00018916] Port=0 WR @02=EDD7B073C3AF9930C9 + 18915.50ns INFO [00018917] Port=0 WR @03=AEAAC4A744D3F78FCD + 18915.50ns INFO [00018917] Port=1 RD @04 + 18916.50ns INFO [00018918] Port=1 RD @00 + 18917.50ns INFO [00018919] * RD COMPARE * port=1 adr=04 act=BCDBF0630A3521FFB5 exp=BCDBF0630A3521FFB5 + 18917.50ns INFO [00018919] Port=0 WR @00=B34416F0D23F082068 + 18917.50ns INFO [00018919] Port=1 RD @05 + 18918.50ns INFO [00018920] * RD COMPARE * port=1 adr=00 act=833F360B10FF3387C5 exp=833F360B10FF3387C5 + 18919.50ns INFO [00018921] * RD COMPARE * port=1 adr=05 act=2183BB5DA481400C84 exp=2183BB5DA481400C84 + 18919.50ns INFO [00018921] Port=0 WR @07=23D38978E38FD61488 + 18920.50ns INFO [00018922] Port=1 RD @02 + 18922.50ns INFO [00018924] * RD COMPARE * port=1 adr=02 act=EDD7B073C3AF9930C9 exp=EDD7B073C3AF9930C9 + 18922.50ns INFO [00018924] Port=0 WR @01=199199E7E97CDD9D37 + 18923.50ns INFO [00018925] Port=0 WR @03=456604D2728932F00D + 18923.50ns INFO [00018925] Port=0 RD @01 + 18924.50ns INFO [00018926] Port=1 RD @03 + 18925.50ns INFO [00018927] * RD COMPARE * port=0 adr=01 act=199199E7E97CDD9D37 exp=199199E7E97CDD9D37 + 18926.50ns INFO [00018928] * RD COMPARE * port=1 adr=03 act=456604D2728932F00D exp=456604D2728932F00D + 18926.50ns INFO [00018928] Port=0 WR @02=C7140AC270AA43B005 + 18927.50ns INFO [00018929] Port=0 RD @03 + 18927.50ns INFO [00018929] Port=1 RD @02 + 18928.50ns INFO [00018930] Port=0 WR @07=2FE65E6C07A711C672 + 18928.50ns INFO [00018930] Port=0 RD @00 + 18928.50ns INFO [00018930] Port=1 RD @06 + 18929.50ns INFO [00018931] * RD COMPARE * port=0 adr=03 act=456604D2728932F00D exp=456604D2728932F00D + 18929.50ns INFO [00018931] * RD COMPARE * port=1 adr=02 act=C7140AC270AA43B005 exp=C7140AC270AA43B005 + 18930.50ns INFO [00018932] * RD COMPARE * port=0 adr=00 act=B34416F0D23F082068 exp=B34416F0D23F082068 + 18930.50ns INFO [00018932] * RD COMPARE * port=1 adr=06 act=1EBC3A83AE67E0465C exp=1EBC3A83AE67E0465C + 18930.50ns INFO [00018932] Port=0 WR @04=58AFBB97068276108A + 18931.50ns INFO [00018933] Port=0 WR @04=B771ECA175B563F44E + 18931.50ns INFO [00018933] Port=0 RD @05 + 18932.50ns INFO [00018934] Port=0 WR @03=04656EE4F474899A92 + 18932.50ns INFO [00018934] Port=1 RD @05 + 18933.50ns INFO [00018935] * RD COMPARE * port=0 adr=05 act=2183BB5DA481400C84 exp=2183BB5DA481400C84 + 18934.50ns INFO [00018936] * RD COMPARE * port=1 adr=05 act=2183BB5DA481400C84 exp=2183BB5DA481400C84 + 18934.50ns INFO [00018936] Port=0 WR @07=C1B6E3CFB132CEB30A + 18934.50ns INFO [00018936] Port=0 RD @00 + 18934.50ns INFO [00018936] Port=1 RD @00 + 18935.50ns INFO [00018937] Port=0 RD @07 + 18936.50ns INFO [00018938] * RD COMPARE * port=0 adr=00 act=B34416F0D23F082068 exp=B34416F0D23F082068 + 18936.50ns INFO [00018938] * RD COMPARE * port=1 adr=00 act=B34416F0D23F082068 exp=B34416F0D23F082068 + 18936.50ns INFO [00018938] Port=0 WR @07=FC9B5501853A48883F + 18936.50ns INFO [00018938] Port=0 RD @04 + 18936.50ns INFO [00018938] Port=1 RD @02 + 18937.50ns INFO [00018939] * RD COMPARE * port=0 adr=07 act=C1B6E3CFB132CEB30A exp=C1B6E3CFB132CEB30A + 18937.50ns INFO [00018939] Port=0 RD @02 + 18938.50ns INFO [00018940] * RD COMPARE * port=0 adr=04 act=B771ECA175B563F44E exp=B771ECA175B563F44E + 18938.50ns INFO [00018940] * RD COMPARE * port=1 adr=02 act=C7140AC270AA43B005 exp=C7140AC270AA43B005 + 18938.50ns INFO [00018940] Port=0 WR @02=8655542CC896291641 + 18938.50ns INFO [00018940] Port=0 RD @07 + 18938.50ns INFO [00018940] Port=1 RD @05 + 18939.50ns INFO [00018941] * RD COMPARE * port=0 adr=02 act=C7140AC270AA43B005 exp=C7140AC270AA43B005 + 18939.50ns INFO [00018941] Port=0 WR @03=B2CFC73626762556BB + 18940.50ns INFO [00018942] * RD COMPARE * port=0 adr=07 act=FC9B5501853A48883F exp=FC9B5501853A48883F + 18940.50ns INFO [00018942] * RD COMPARE * port=1 adr=05 act=2183BB5DA481400C84 exp=2183BB5DA481400C84 + 18940.50ns INFO [00018942] Port=0 RD @03 + 18941.50ns INFO [00018943] Port=0 RD @04 + 18941.50ns INFO [00018943] Port=1 RD @05 + 18942.50ns INFO [00018944] * RD COMPARE * port=0 adr=03 act=B2CFC73626762556BB exp=B2CFC73626762556BB + 18943.50ns INFO [00018945] * RD COMPARE * port=0 adr=04 act=B771ECA175B563F44E exp=B771ECA175B563F44E + 18943.50ns INFO [00018945] * RD COMPARE * port=1 adr=05 act=2183BB5DA481400C84 exp=2183BB5DA481400C84 + 18943.50ns INFO [00018945] Port=0 RD @02 + 18943.50ns INFO [00018945] Port=1 RD @00 + 18944.50ns INFO [00018946] Port=1 RD @05 + 18945.50ns INFO [00018947] * RD COMPARE * port=0 adr=02 act=8655542CC896291641 exp=8655542CC896291641 + 18945.50ns INFO [00018947] * RD COMPARE * port=1 adr=00 act=B34416F0D23F082068 exp=B34416F0D23F082068 + 18945.50ns INFO [00018947] Port=0 WR @02=C9B48D65841F2892E3 + 18946.50ns INFO [00018948] * RD COMPARE * port=1 adr=05 act=2183BB5DA481400C84 exp=2183BB5DA481400C84 + 18946.50ns INFO [00018948] Port=0 RD @01 + 18947.50ns INFO [00018949] Port=1 RD @03 + 18948.50ns INFO [00018950] * RD COMPARE * port=0 adr=01 act=199199E7E97CDD9D37 exp=199199E7E97CDD9D37 + 18948.50ns INFO [00018950] Port=0 WR @06=5BE58441BC7EAFA7E4 + 18948.50ns INFO [00018950] Port=0 RD @01 + 18949.50ns INFO [00018951] * RD COMPARE * port=1 adr=03 act=B2CFC73626762556BB exp=B2CFC73626762556BB + 18949.50ns INFO [00018951] Port=1 RD @07 + 18950.50ns INFO [00018952] * RD COMPARE * port=0 adr=01 act=199199E7E97CDD9D37 exp=199199E7E97CDD9D37 + 18950.50ns INFO [00018952] Port=0 RD @03 + 18951.50ns INFO [00018953] * RD COMPARE * port=1 adr=07 act=FC9B5501853A48883F exp=FC9B5501853A48883F + 18951.50ns INFO [00018953] Port=0 RD @04 + 18951.50ns INFO [00018953] Port=1 RD @02 + 18952.50ns INFO [00018954] * RD COMPARE * port=0 adr=03 act=B2CFC73626762556BB exp=B2CFC73626762556BB + 18952.50ns INFO [00018954] Port=1 RD @00 + 18953.50ns INFO [00018955] * RD COMPARE * port=0 adr=04 act=B771ECA175B563F44E exp=B771ECA175B563F44E + 18953.50ns INFO [00018955] * RD COMPARE * port=1 adr=02 act=C9B48D65841F2892E3 exp=C9B48D65841F2892E3 + 18953.50ns INFO [00018955] Port=0 WR @07=83126FA79560858ED5 + 18954.50ns INFO [00018956] * RD COMPARE * port=1 adr=00 act=B34416F0D23F082068 exp=B34416F0D23F082068 + 18954.50ns INFO [00018956] Port=1 RD @03 + 18955.50ns INFO [00018957] Port=0 WR @00=B8FE61442CCFC34647 + 18955.50ns INFO [00018957] Port=0 RD @03 + 18956.50ns INFO [00018958] * RD COMPARE * port=1 adr=03 act=B2CFC73626762556BB exp=B2CFC73626762556BB + 18957.50ns INFO [00018959] * RD COMPARE * port=0 adr=03 act=B2CFC73626762556BB exp=B2CFC73626762556BB + 18957.50ns INFO [00018959] Port=0 RD @03 + 18957.50ns INFO [00018959] Port=1 RD @00 + 18958.50ns INFO [00018960] Port=0 RD @05 + 18959.50ns INFO [00018961] * RD COMPARE * port=0 adr=03 act=B2CFC73626762556BB exp=B2CFC73626762556BB + 18959.50ns INFO [00018961] * RD COMPARE * port=1 adr=00 act=B8FE61442CCFC34647 exp=B8FE61442CCFC34647 + 18959.50ns INFO [00018961] Port=0 RD @00 + 18960.50ns INFO [00018962] * RD COMPARE * port=0 adr=05 act=2183BB5DA481400C84 exp=2183BB5DA481400C84 + 18960.50ns INFO [00018962] Port=1 RD @03 + 18961.50ns INFO [00018963] * RD COMPARE * port=0 adr=00 act=B8FE61442CCFC34647 exp=B8FE61442CCFC34647 + 18961.50ns INFO [00018963] Port=0 RD @06 + 18962.50ns INFO [00018964] * RD COMPARE * port=1 adr=03 act=B2CFC73626762556BB exp=B2CFC73626762556BB + 18963.50ns INFO [00018965] * RD COMPARE * port=0 adr=06 act=5BE58441BC7EAFA7E4 exp=5BE58441BC7EAFA7E4 + 18963.50ns INFO [00018965] Port=1 RD @04 + 18964.50ns INFO [00018966] Port=0 WR @06=32752AA0120F32A68E + 18964.50ns INFO [00018966] Port=0 RD @04 + 18965.50ns INFO [00018967] * RD COMPARE * port=1 adr=04 act=B771ECA175B563F44E exp=B771ECA175B563F44E + 18965.50ns INFO [00018967] Port=0 WR @04=206513F75E4F83736D + 18965.50ns INFO [00018967] Port=0 RD @05 + 18966.50ns INFO [00018968] * RD COMPARE * port=0 adr=04 act=B771ECA175B563F44E exp=B771ECA175B563F44E + 18966.50ns INFO [00018968] Port=0 WR @05=31D1B798652ADA0A0E + 18966.50ns INFO [00018968] Port=1 RD @01 + 18967.50ns INFO [00018969] * RD COMPARE * port=0 adr=05 act=2183BB5DA481400C84 exp=2183BB5DA481400C84 + 18967.50ns INFO [00018969] Port=0 WR @06=23A42836D9295247A0 + 18968.50ns INFO [00018970] * RD COMPARE * port=1 adr=01 act=199199E7E97CDD9D37 exp=199199E7E97CDD9D37 + 18969.50ns INFO [00018971] Port=1 RD @06 + 18970.50ns INFO [00018972] Port=0 WR @03=27A8C22D4140EF8562 + 18970.50ns INFO [00018972] Port=0 RD @04 + 18970.50ns INFO [00018972] Port=1 RD @00 + 18971.50ns INFO [00018973] * RD COMPARE * port=1 adr=06 act=23A42836D9295247A0 exp=23A42836D9295247A0 + 18971.50ns INFO [00018973] Port=0 RD @05 + 18971.50ns INFO [00018973] Port=1 RD @05 + 18972.50ns INFO [00018974] * RD COMPARE * port=0 adr=04 act=206513F75E4F83736D exp=206513F75E4F83736D + 18972.50ns INFO [00018974] * RD COMPARE * port=1 adr=00 act=B8FE61442CCFC34647 exp=B8FE61442CCFC34647 + 18972.50ns INFO [00018974] Port=0 WR @00=0F6AD29817BDB3131D + 18973.50ns INFO [00018975] * RD COMPARE * port=0 adr=05 act=31D1B798652ADA0A0E exp=31D1B798652ADA0A0E + 18973.50ns INFO [00018975] * RD COMPARE * port=1 adr=05 act=31D1B798652ADA0A0E exp=31D1B798652ADA0A0E + 18973.50ns INFO [00018975] Port=0 WR @03=93F92F365BFE7E686A + 18973.50ns INFO [00018975] Port=0 RD @02 + 18975.50ns INFO [00018977] * RD COMPARE * port=0 adr=02 act=C9B48D65841F2892E3 exp=C9B48D65841F2892E3 + 18975.50ns INFO [00018977] Port=1 RD @05 + 18977.50ns INFO [00018979] * RD COMPARE * port=1 adr=05 act=31D1B798652ADA0A0E exp=31D1B798652ADA0A0E + 18977.50ns INFO [00018979] Port=0 WR @02=46E0D736CDC31A7DD8 + 18978.50ns INFO [00018980] Port=0 WR @01=C59B98A5AE9203ED22 + 18979.50ns INFO [00018981] Port=1 RD @04 + 18981.50ns INFO [00018983] * RD COMPARE * port=1 adr=04 act=206513F75E4F83736D exp=206513F75E4F83736D + 18981.50ns INFO [00018983] Port=1 RD @05 + 18982.50ns INFO [00018984] Port=0 WR @03=4FFACA6FAE32FD8378 + 18983.50ns INFO [00018985] * RD COMPARE * port=1 adr=05 act=31D1B798652ADA0A0E exp=31D1B798652ADA0A0E + 18983.50ns INFO [00018985] Port=1 RD @06 + 18984.50ns INFO [00018986] Port=1 RD @00 + 18985.50ns INFO [00018987] * RD COMPARE * port=1 adr=06 act=23A42836D9295247A0 exp=23A42836D9295247A0 + 18985.50ns INFO [00018987] Port=0 RD @05 + 18986.50ns INFO [00018988] * RD COMPARE * port=1 adr=00 act=0F6AD29817BDB3131D exp=0F6AD29817BDB3131D + 18987.50ns INFO [00018989] * RD COMPARE * port=0 adr=05 act=31D1B798652ADA0A0E exp=31D1B798652ADA0A0E + 18988.50ns INFO [00018990] Port=0 WR @04=884C70A0EABB9A356D + 18990.50ns INFO [00018992] Port=0 WR @04=ADBA0550652774B9BF + 18991.50ns INFO [00018993] Port=0 WR @04=343D13E7E1C248ED8D + 18992.50ns INFO [00018994] Port=0 WR @07=4D66A88205F25F826F + 18993.50ns INFO [00018995] Port=0 RD @03 + 18993.50ns INFO [00018995] Port=1 RD @00 + 18994.50ns INFO [00018996] Port=0 WR @06=46CEB32FA90E4E416E + 18995.50ns INFO [00018997] * RD COMPARE * port=0 adr=03 act=4FFACA6FAE32FD8378 exp=4FFACA6FAE32FD8378 + 18995.50ns INFO [00018997] * RD COMPARE * port=1 adr=00 act=0F6AD29817BDB3131D exp=0F6AD29817BDB3131D + 18995.50ns INFO [00018997] Port=0 WR @07=5D98CE868F11FEF996 + 18995.50ns INFO [00018997] Port=1 RD @01 + 18996.50ns INFO [00018998] Port=0 WR @01=CB02D7FD2850FCA78A + 18997.50ns INFO [00018999] * RD COMPARE * port=1 adr=01 act=C59B98A5AE9203ED22 exp=C59B98A5AE9203ED22 + 18997.50ns INFO [00018999] Port=0 RD @07 + 18998.00ns INFO [00019000] [00019000] ...tick... + 18998.50ns INFO [00019000] Port=1 RD @00 + 18999.50ns INFO [00019001] * RD COMPARE * port=0 adr=07 act=5D98CE868F11FEF996 exp=5D98CE868F11FEF996 + 18999.50ns INFO [00019001] Port=0 RD @01 + 19000.50ns INFO [00019002] * RD COMPARE * port=1 adr=00 act=0F6AD29817BDB3131D exp=0F6AD29817BDB3131D + 19000.50ns INFO [00019002] Port=1 RD @06 + 19001.50ns INFO [00019003] * RD COMPARE * port=0 adr=01 act=CB02D7FD2850FCA78A exp=CB02D7FD2850FCA78A + 19001.50ns INFO [00019003] Port=0 WR @02=9040ED3F17188386CF + 19001.50ns INFO [00019003] Port=0 RD @04 + 19001.50ns INFO [00019003] Port=1 RD @03 + 19002.50ns INFO [00019004] * RD COMPARE * port=1 adr=06 act=46CEB32FA90E4E416E exp=46CEB32FA90E4E416E + 19002.50ns INFO [00019004] Port=0 RD @03 + 19003.50ns INFO [00019005] * RD COMPARE * port=0 adr=04 act=343D13E7E1C248ED8D exp=343D13E7E1C248ED8D + 19003.50ns INFO [00019005] * RD COMPARE * port=1 adr=03 act=4FFACA6FAE32FD8378 exp=4FFACA6FAE32FD8378 + 19003.50ns INFO [00019005] Port=0 WR @01=C0743D77B45AA7D777 + 19003.50ns INFO [00019005] Port=1 RD @04 + 19004.50ns INFO [00019006] * RD COMPARE * port=0 adr=03 act=4FFACA6FAE32FD8378 exp=4FFACA6FAE32FD8378 + 19004.50ns INFO [00019006] Port=0 WR @06=2E727C4DEE7A4AB9B1 + 19005.50ns INFO [00019007] * RD COMPARE * port=1 adr=04 act=343D13E7E1C248ED8D exp=343D13E7E1C248ED8D + 19005.50ns INFO [00019007] Port=0 RD @02 + 19006.50ns INFO [00019008] Port=0 WR @04=B62C9EEA79AA760457 + 19007.50ns INFO [00019009] * RD COMPARE * port=0 adr=02 act=9040ED3F17188386CF exp=9040ED3F17188386CF + 19007.50ns INFO [00019009] Port=1 RD @05 + 19008.50ns INFO [00019010] Port=0 WR @04=80044C1A4A2FE575AE + 19008.50ns INFO [00019010] Port=0 RD @02 + 19009.50ns INFO [00019011] * RD COMPARE * port=1 adr=05 act=31D1B798652ADA0A0E exp=31D1B798652ADA0A0E + 19009.50ns INFO [00019011] Port=0 WR @07=311E82FAB806B7EFB3 + 19009.50ns INFO [00019011] Port=0 RD @02 + 19010.50ns INFO [00019012] * RD COMPARE * port=0 adr=02 act=9040ED3F17188386CF exp=9040ED3F17188386CF + 19011.50ns INFO [00019013] * RD COMPARE * port=0 adr=02 act=9040ED3F17188386CF exp=9040ED3F17188386CF + 19011.50ns INFO [00019013] Port=0 RD @04 + 19011.50ns INFO [00019013] Port=1 RD @02 + 19012.50ns INFO [00019014] Port=0 RD @01 + 19013.50ns INFO [00019015] * RD COMPARE * port=0 adr=04 act=80044C1A4A2FE575AE exp=80044C1A4A2FE575AE + 19013.50ns INFO [00019015] * RD COMPARE * port=1 adr=02 act=9040ED3F17188386CF exp=9040ED3F17188386CF + 19013.50ns INFO [00019015] Port=1 RD @00 + 19014.50ns INFO [00019016] * RD COMPARE * port=0 adr=01 act=C0743D77B45AA7D777 exp=C0743D77B45AA7D777 + 19015.50ns INFO [00019017] * RD COMPARE * port=1 adr=00 act=0F6AD29817BDB3131D exp=0F6AD29817BDB3131D + 19015.50ns INFO [00019017] Port=0 RD @00 + 19015.50ns INFO [00019017] Port=1 RD @00 + 19016.50ns INFO [00019018] Port=0 WR @03=344CA0ED5245EBC63A + 19016.50ns INFO [00019018] Port=0 RD @04 + 19017.50ns INFO [00019019] * RD COMPARE * port=0 adr=00 act=0F6AD29817BDB3131D exp=0F6AD29817BDB3131D + 19017.50ns INFO [00019019] * RD COMPARE * port=1 adr=00 act=0F6AD29817BDB3131D exp=0F6AD29817BDB3131D + 19017.50ns INFO [00019019] Port=0 RD @07 + 19018.50ns INFO [00019020] * RD COMPARE * port=0 adr=04 act=80044C1A4A2FE575AE exp=80044C1A4A2FE575AE + 19018.50ns INFO [00019020] Port=0 RD @00 + 19018.50ns INFO [00019020] Port=1 RD @01 + 19019.50ns INFO [00019021] * RD COMPARE * port=0 adr=07 act=311E82FAB806B7EFB3 exp=311E82FAB806B7EFB3 + 19020.50ns INFO [00019022] * RD COMPARE * port=0 adr=00 act=0F6AD29817BDB3131D exp=0F6AD29817BDB3131D + 19020.50ns INFO [00019022] * RD COMPARE * port=1 adr=01 act=C0743D77B45AA7D777 exp=C0743D77B45AA7D777 + 19020.50ns INFO [00019022] Port=0 RD @02 + 19022.50ns INFO [00019024] * RD COMPARE * port=0 adr=02 act=9040ED3F17188386CF exp=9040ED3F17188386CF + 19022.50ns INFO [00019024] Port=1 RD @05 + 19023.50ns INFO [00019025] Port=0 RD @02 + 19024.50ns INFO [00019026] * RD COMPARE * port=1 adr=05 act=31D1B798652ADA0A0E exp=31D1B798652ADA0A0E + 19024.50ns INFO [00019026] Port=0 WR @02=278287DC08F5A2699B + 19025.50ns INFO [00019027] * RD COMPARE * port=0 adr=02 act=9040ED3F17188386CF exp=9040ED3F17188386CF + 19025.50ns INFO [00019027] Port=0 WR @05=810EE0B78C11A8F805 + 19025.50ns INFO [00019027] Port=0 RD @04 + 19026.50ns INFO [00019028] Port=0 WR @05=1FE765721602409113 + 19026.50ns INFO [00019028] Port=0 RD @02 + 19027.50ns INFO [00019029] * RD COMPARE * port=0 adr=04 act=80044C1A4A2FE575AE exp=80044C1A4A2FE575AE + 19027.50ns INFO [00019029] Port=1 RD @04 + 19028.50ns INFO [00019030] * RD COMPARE * port=0 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19028.50ns INFO [00019030] Port=0 RD @02 + 19029.50ns INFO [00019031] * RD COMPARE * port=1 adr=04 act=80044C1A4A2FE575AE exp=80044C1A4A2FE575AE + 19030.50ns INFO [00019032] * RD COMPARE * port=0 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19030.50ns INFO [00019032] Port=1 RD @06 + 19031.50ns INFO [00019033] Port=0 RD @01 + 19031.50ns INFO [00019033] Port=1 RD @00 + 19032.50ns INFO [00019034] * RD COMPARE * port=1 adr=06 act=2E727C4DEE7A4AB9B1 exp=2E727C4DEE7A4AB9B1 + 19033.50ns INFO [00019035] * RD COMPARE * port=0 adr=01 act=C0743D77B45AA7D777 exp=C0743D77B45AA7D777 + 19033.50ns INFO [00019035] * RD COMPARE * port=1 adr=00 act=0F6AD29817BDB3131D exp=0F6AD29817BDB3131D + 19033.50ns INFO [00019035] Port=1 RD @02 + 19034.50ns INFO [00019036] Port=0 RD @05 + 19034.50ns INFO [00019036] Port=1 RD @07 + 19035.50ns INFO [00019037] * RD COMPARE * port=1 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19035.50ns INFO [00019037] Port=0 RD @05 + 19036.50ns INFO [00019038] * RD COMPARE * port=0 adr=05 act=1FE765721602409113 exp=1FE765721602409113 + 19036.50ns INFO [00019038] * RD COMPARE * port=1 adr=07 act=311E82FAB806B7EFB3 exp=311E82FAB806B7EFB3 + 19037.50ns INFO [00019039] * RD COMPARE * port=0 adr=05 act=1FE765721602409113 exp=1FE765721602409113 + 19037.50ns INFO [00019039] Port=0 WR @05=59D3B20DA6F1E118E2 + 19037.50ns INFO [00019039] Port=0 RD @04 + 19038.50ns INFO [00019040] Port=0 RD @01 + 19038.50ns INFO [00019040] Port=1 RD @04 + 19039.50ns INFO [00019041] * RD COMPARE * port=0 adr=04 act=80044C1A4A2FE575AE exp=80044C1A4A2FE575AE + 19040.50ns INFO [00019042] * RD COMPARE * port=0 adr=01 act=C0743D77B45AA7D777 exp=C0743D77B45AA7D777 + 19040.50ns INFO [00019042] * RD COMPARE * port=1 adr=04 act=80044C1A4A2FE575AE exp=80044C1A4A2FE575AE + 19041.50ns INFO [00019043] Port=0 WR @00=6C74286C8842DCFF5F + 19043.50ns INFO [00019045] Port=0 RD @00 + 19045.50ns INFO [00019047] * RD COMPARE * port=0 adr=00 act=6C74286C8842DCFF5F exp=6C74286C8842DCFF5F + 19045.50ns INFO [00019047] Port=0 WR @00=D66E8555724FDE2C7F + 19045.50ns INFO [00019047] Port=1 RD @04 + 19046.50ns INFO [00019048] Port=0 RD @06 + 19047.50ns INFO [00019049] * RD COMPARE * port=1 adr=04 act=80044C1A4A2FE575AE exp=80044C1A4A2FE575AE + 19047.50ns INFO [00019049] Port=0 WR @06=E696CFEA98F4AC92C8 + 19047.50ns INFO [00019049] Port=1 RD @07 + 19048.50ns INFO [00019050] * RD COMPARE * port=0 adr=06 act=2E727C4DEE7A4AB9B1 exp=2E727C4DEE7A4AB9B1 + 19048.50ns INFO [00019050] Port=1 RD @07 + 19049.50ns INFO [00019051] * RD COMPARE * port=1 adr=07 act=311E82FAB806B7EFB3 exp=311E82FAB806B7EFB3 + 19050.50ns INFO [00019052] * RD COMPARE * port=1 adr=07 act=311E82FAB806B7EFB3 exp=311E82FAB806B7EFB3 + 19050.50ns INFO [00019052] Port=0 WR @07=6DE61A1246DB8156E4 + 19050.50ns INFO [00019052] Port=0 RD @01 + 19051.50ns INFO [00019053] Port=0 WR @05=474BCA7FD8354FF064 + 19051.50ns INFO [00019053] Port=0 RD @03 + 19051.50ns INFO [00019053] Port=1 RD @03 + 19052.50ns INFO [00019054] * RD COMPARE * port=0 adr=01 act=C0743D77B45AA7D777 exp=C0743D77B45AA7D777 + 19053.50ns INFO [00019055] * RD COMPARE * port=0 adr=03 act=344CA0ED5245EBC63A exp=344CA0ED5245EBC63A + 19053.50ns INFO [00019055] * RD COMPARE * port=1 adr=03 act=344CA0ED5245EBC63A exp=344CA0ED5245EBC63A + 19053.50ns INFO [00019055] Port=0 RD @06 + 19053.50ns INFO [00019055] Port=1 RD @05 + 19054.50ns INFO [00019056] Port=0 WR @05=03515589836B8F3022 + 19054.50ns INFO [00019056] Port=0 RD @07 + 19055.50ns INFO [00019057] * RD COMPARE * port=0 adr=06 act=E696CFEA98F4AC92C8 exp=E696CFEA98F4AC92C8 + 19055.50ns INFO [00019057] * RD COMPARE * port=1 adr=05 act=474BCA7FD8354FF064 exp=474BCA7FD8354FF064 + 19055.50ns INFO [00019057] Port=1 RD @00 + 19056.50ns INFO [00019058] * RD COMPARE * port=0 adr=07 act=6DE61A1246DB8156E4 exp=6DE61A1246DB8156E4 + 19056.50ns INFO [00019058] Port=0 RD @06 + 19057.50ns INFO [00019059] * RD COMPARE * port=1 adr=00 act=D66E8555724FDE2C7F exp=D66E8555724FDE2C7F + 19058.50ns INFO [00019060] * RD COMPARE * port=0 adr=06 act=E696CFEA98F4AC92C8 exp=E696CFEA98F4AC92C8 + 19058.50ns INFO [00019060] Port=0 WR @06=A90B1C15E7E7A858A9 + 19058.50ns INFO [00019060] Port=0 RD @05 + 19058.50ns INFO [00019060] Port=1 RD @03 + 19059.50ns INFO [00019061] Port=0 RD @06 + 19060.50ns INFO [00019062] * RD COMPARE * port=0 adr=05 act=03515589836B8F3022 exp=03515589836B8F3022 + 19060.50ns INFO [00019062] * RD COMPARE * port=1 adr=03 act=344CA0ED5245EBC63A exp=344CA0ED5245EBC63A + 19060.50ns INFO [00019062] Port=0 WR @03=65ECA8075CDE41D21F + 19061.50ns INFO [00019063] * RD COMPARE * port=0 adr=06 act=A90B1C15E7E7A858A9 exp=A90B1C15E7E7A858A9 + 19061.50ns INFO [00019063] Port=0 RD @01 + 19061.50ns INFO [00019063] Port=1 RD @06 + 19062.50ns INFO [00019064] Port=0 WR @07=CDCE7AB8B46D63FA92 + 19062.50ns INFO [00019064] Port=0 RD @03 + 19063.50ns INFO [00019065] * RD COMPARE * port=0 adr=01 act=C0743D77B45AA7D777 exp=C0743D77B45AA7D777 + 19063.50ns INFO [00019065] * RD COMPARE * port=1 adr=06 act=A90B1C15E7E7A858A9 exp=A90B1C15E7E7A858A9 + 19063.50ns INFO [00019065] Port=0 RD @05 + 19064.50ns INFO [00019066] * RD COMPARE * port=0 adr=03 act=65ECA8075CDE41D21F exp=65ECA8075CDE41D21F + 19064.50ns INFO [00019066] Port=0 RD @07 + 19064.50ns INFO [00019066] Port=1 RD @02 + 19065.50ns INFO [00019067] * RD COMPARE * port=0 adr=05 act=03515589836B8F3022 exp=03515589836B8F3022 + 19065.50ns INFO [00019067] Port=0 WR @03=8CC054D2B2FB11BDC4 + 19066.50ns INFO [00019068] * RD COMPARE * port=0 adr=07 act=CDCE7AB8B46D63FA92 exp=CDCE7AB8B46D63FA92 + 19066.50ns INFO [00019068] * RD COMPARE * port=1 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19066.50ns INFO [00019068] Port=0 WR @04=50E22D9519F02F27D8 + 19066.50ns INFO [00019068] Port=0 RD @03 + 19067.50ns INFO [00019069] Port=0 WR @00=C6383C9F8300B48658 + 19068.50ns INFO [00019070] * RD COMPARE * port=0 adr=03 act=8CC054D2B2FB11BDC4 exp=8CC054D2B2FB11BDC4 + 19068.50ns INFO [00019070] Port=0 WR @05=092548ED6615C56B83 + 19068.50ns INFO [00019070] Port=0 RD @01 + 19068.50ns INFO [00019070] Port=1 RD @06 + 19069.50ns INFO [00019071] Port=1 RD @02 + 19070.50ns INFO [00019072] * RD COMPARE * port=0 adr=01 act=C0743D77B45AA7D777 exp=C0743D77B45AA7D777 + 19070.50ns INFO [00019072] * RD COMPARE * port=1 adr=06 act=A90B1C15E7E7A858A9 exp=A90B1C15E7E7A858A9 + 19070.50ns INFO [00019072] Port=1 RD @00 + 19071.50ns INFO [00019073] * RD COMPARE * port=1 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19071.50ns INFO [00019073] Port=0 RD @01 + 19071.50ns INFO [00019073] Port=1 RD @05 + 19072.50ns INFO [00019074] * RD COMPARE * port=1 adr=00 act=C6383C9F8300B48658 exp=C6383C9F8300B48658 + 19072.50ns INFO [00019074] Port=1 RD @02 + 19073.50ns INFO [00019075] * RD COMPARE * port=0 adr=01 act=C0743D77B45AA7D777 exp=C0743D77B45AA7D777 + 19073.50ns INFO [00019075] * RD COMPARE * port=1 adr=05 act=092548ED6615C56B83 exp=092548ED6615C56B83 + 19073.50ns INFO [00019075] Port=0 WR @00=404D30E23C5E89C7AF + 19073.50ns INFO [00019075] Port=0 RD @03 + 19074.50ns INFO [00019076] * RD COMPARE * port=1 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19074.50ns INFO [00019076] Port=0 WR @06=C38EC99A7D7D633324 + 19074.50ns INFO [00019076] Port=1 RD @00 + 19075.50ns INFO [00019077] * RD COMPARE * port=0 adr=03 act=8CC054D2B2FB11BDC4 exp=8CC054D2B2FB11BDC4 + 19075.50ns INFO [00019077] Port=0 WR @06=418D0DD19EDFF4CF20 + 19075.50ns INFO [00019077] Port=0 RD @05 + 19076.50ns INFO [00019078] * RD COMPARE * port=1 adr=00 act=404D30E23C5E89C7AF exp=404D30E23C5E89C7AF + 19076.50ns INFO [00019078] Port=0 WR @01=86D028B221A3CF69C4 + 19076.50ns INFO [00019078] Port=0 RD @04 + 19077.50ns INFO [00019079] * RD COMPARE * port=0 adr=05 act=092548ED6615C56B83 exp=092548ED6615C56B83 + 19077.50ns INFO [00019079] Port=1 RD @00 + 19078.50ns INFO [00019080] * RD COMPARE * port=0 adr=04 act=50E22D9519F02F27D8 exp=50E22D9519F02F27D8 + 19078.50ns INFO [00019080] Port=0 RD @02 + 19078.50ns INFO [00019080] Port=1 RD @06 + 19079.50ns INFO [00019081] * RD COMPARE * port=1 adr=00 act=404D30E23C5E89C7AF exp=404D30E23C5E89C7AF + 19079.50ns INFO [00019081] Port=0 RD @02 + 19080.50ns INFO [00019082] * RD COMPARE * port=0 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19080.50ns INFO [00019082] * RD COMPARE * port=1 adr=06 act=418D0DD19EDFF4CF20 exp=418D0DD19EDFF4CF20 + 19080.50ns INFO [00019082] Port=0 WR @01=6EC6930961627F79F7 + 19080.50ns INFO [00019082] Port=1 RD @07 + 19081.50ns INFO [00019083] * RD COMPARE * port=0 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19081.50ns INFO [00019083] Port=0 RD @01 + 19082.50ns INFO [00019084] * RD COMPARE * port=1 adr=07 act=CDCE7AB8B46D63FA92 exp=CDCE7AB8B46D63FA92 + 19082.50ns INFO [00019084] Port=0 WR @05=839F15F6AC077344C1 + 19082.50ns INFO [00019084] Port=0 RD @00 + 19082.50ns INFO [00019084] Port=1 RD @02 + 19083.50ns INFO [00019085] * RD COMPARE * port=0 adr=01 act=6EC6930961627F79F7 exp=6EC6930961627F79F7 + 19083.50ns INFO [00019085] Port=0 WR @01=0051197798AF9CAA96 + 19084.50ns INFO [00019086] * RD COMPARE * port=0 adr=00 act=404D30E23C5E89C7AF exp=404D30E23C5E89C7AF + 19084.50ns INFO [00019086] * RD COMPARE * port=1 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19084.50ns INFO [00019086] Port=0 RD @04 + 19084.50ns INFO [00019086] Port=1 RD @02 + 19085.50ns INFO [00019087] Port=1 RD @04 + 19086.50ns INFO [00019088] * RD COMPARE * port=0 adr=04 act=50E22D9519F02F27D8 exp=50E22D9519F02F27D8 + 19086.50ns INFO [00019088] * RD COMPARE * port=1 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19086.50ns INFO [00019088] Port=0 WR @00=6EA9331D02A332B86E + 19086.50ns INFO [00019088] Port=1 RD @01 + 19087.50ns INFO [00019089] * RD COMPARE * port=1 adr=04 act=50E22D9519F02F27D8 exp=50E22D9519F02F27D8 + 19088.50ns INFO [00019090] * RD COMPARE * port=1 adr=01 act=0051197798AF9CAA96 exp=0051197798AF9CAA96 + 19088.50ns INFO [00019090] Port=0 WR @06=6F50B4AA2C6C236EE1 + 19088.50ns INFO [00019090] Port=0 RD @04 + 19088.50ns INFO [00019090] Port=1 RD @00 + 19089.50ns INFO [00019091] Port=0 RD @02 + 19090.50ns INFO [00019092] * RD COMPARE * port=0 adr=04 act=50E22D9519F02F27D8 exp=50E22D9519F02F27D8 + 19090.50ns INFO [00019092] * RD COMPARE * port=1 adr=00 act=6EA9331D02A332B86E exp=6EA9331D02A332B86E + 19090.50ns INFO [00019092] Port=0 RD @03 + 19090.50ns INFO [00019092] Port=1 RD @07 + 19091.50ns INFO [00019093] * RD COMPARE * port=0 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19091.50ns INFO [00019093] Port=0 WR @01=4E70265C0DAA33C8BB + 19092.50ns INFO [00019094] * RD COMPARE * port=0 adr=03 act=8CC054D2B2FB11BDC4 exp=8CC054D2B2FB11BDC4 + 19092.50ns INFO [00019094] * RD COMPARE * port=1 adr=07 act=CDCE7AB8B46D63FA92 exp=CDCE7AB8B46D63FA92 + 19093.50ns INFO [00019095] Port=0 WR @03=2595222C4DE6ACBC59 + 19093.50ns INFO [00019095] Port=0 RD @04 + 19094.50ns INFO [00019096] Port=0 WR @05=AC6AD265E7D635E135 + 19094.50ns INFO [00019096] Port=1 RD @02 + 19095.50ns INFO [00019097] * RD COMPARE * port=0 adr=04 act=50E22D9519F02F27D8 exp=50E22D9519F02F27D8 + 19095.50ns INFO [00019097] Port=0 WR @07=DE5F2B92C27EBD63AC + 19095.50ns INFO [00019097] Port=0 RD @00 + 19095.50ns INFO [00019097] Port=1 RD @05 + 19096.50ns INFO [00019098] * RD COMPARE * port=1 adr=02 act=278287DC08F5A2699B exp=278287DC08F5A2699B + 19096.50ns INFO [00019098] Port=0 WR @04=DA921BDE3C3AAA1ED5 + 19096.50ns INFO [00019098] Port=0 RD @07 + 19097.50ns INFO [00019099] * RD COMPARE * port=0 adr=00 act=6EA9331D02A332B86E exp=6EA9331D02A332B86E + 19097.50ns INFO [00019099] * RD COMPARE * port=1 adr=05 act=AC6AD265E7D635E135 exp=AC6AD265E7D635E135 + 19097.50ns INFO [00019099] Port=0 WR @01=606643062D5691B2AB + 19097.50ns INFO [00019099] Port=0 RD @03 + 19098.00ns INFO [00019100] [00019100] ...tick... + 19098.50ns INFO [00019100] * RD COMPARE * port=0 adr=07 act=DE5F2B92C27EBD63AC exp=DE5F2B92C27EBD63AC + 19098.50ns INFO [00019100] Port=0 WR @05=FC474CD53D8F9B6C6B + 19099.50ns INFO [00019101] * RD COMPARE * port=0 adr=03 act=2595222C4DE6ACBC59 exp=2595222C4DE6ACBC59 + 19099.50ns INFO [00019101] Port=1 RD @07 + 19100.50ns INFO [00019102] Port=0 WR @04=450611BAD0D13912B9 + 19100.50ns INFO [00019102] Port=0 RD @03 + 19101.50ns INFO [00019103] * RD COMPARE * port=1 adr=07 act=DE5F2B92C27EBD63AC exp=DE5F2B92C27EBD63AC + 19101.50ns INFO [00019103] Port=0 RD @01 + 19102.50ns INFO [00019104] * RD COMPARE * port=0 adr=03 act=2595222C4DE6ACBC59 exp=2595222C4DE6ACBC59 + 19103.50ns INFO [00019105] * RD COMPARE * port=0 adr=01 act=606643062D5691B2AB exp=606643062D5691B2AB + 19103.50ns INFO [00019105] Port=0 WR @07=54D8401493F0AD296E + 19104.50ns INFO [00019106] Port=0 WR @02=427892C2BDD11690A9 + 19105.50ns INFO [00019107] Port=0 WR @02=3BBFEFEC21B9E2DA78 + 19106.50ns INFO [00019108] Port=0 WR @05=02A888137C0CE444F5 + 19106.50ns INFO [00019108] Port=0 RD @00 + 19106.50ns INFO [00019108] Port=1 RD @03 + 19107.50ns INFO [00019109] Port=0 WR @01=929233166A6EF759AC + 19108.50ns INFO [00019110] * RD COMPARE * port=0 adr=00 act=6EA9331D02A332B86E exp=6EA9331D02A332B86E + 19108.50ns INFO [00019110] * RD COMPARE * port=1 adr=03 act=2595222C4DE6ACBC59 exp=2595222C4DE6ACBC59 + 19108.50ns INFO [00019110] Port=0 WR @02=7B60E23A8038EB9B66 + 19109.50ns INFO [00019111] Port=0 WR @04=228008A2B5C46F99F7 + 19109.50ns INFO [00019111] Port=0 RD @01 + 19110.50ns INFO [00019112] Port=1 RD @02 + 19111.50ns INFO [00019113] * RD COMPARE * port=0 adr=01 act=929233166A6EF759AC exp=929233166A6EF759AC + 19111.50ns INFO [00019113] Port=0 RD @06 + 19112.50ns INFO [00019114] * RD COMPARE * port=1 adr=02 act=7B60E23A8038EB9B66 exp=7B60E23A8038EB9B66 + 19112.50ns INFO [00019114] Port=0 WR @07=C59DF9B433D0694F5A + 19112.50ns INFO [00019114] Port=0 RD @05 + 19112.50ns INFO [00019114] Port=1 RD @04 + 19113.50ns INFO [00019115] * RD COMPARE * port=0 adr=06 act=6F50B4AA2C6C236EE1 exp=6F50B4AA2C6C236EE1 + 19113.50ns INFO [00019115] Port=0 WR @03=BEA25EDFC13DC17AB6 + 19113.50ns INFO [00019115] Port=0 RD @07 + 19113.50ns INFO [00019115] Port=1 RD @04 + 19114.50ns INFO [00019116] * RD COMPARE * port=0 adr=05 act=02A888137C0CE444F5 exp=02A888137C0CE444F5 + 19114.50ns INFO [00019116] * RD COMPARE * port=1 adr=04 act=228008A2B5C46F99F7 exp=228008A2B5C46F99F7 + 19115.50ns INFO [00019117] * RD COMPARE * port=0 adr=07 act=C59DF9B433D0694F5A exp=C59DF9B433D0694F5A + 19115.50ns INFO [00019117] * RD COMPARE * port=1 adr=04 act=228008A2B5C46F99F7 exp=228008A2B5C46F99F7 + 19115.50ns INFO [00019117] Port=0 RD @02 + 19115.50ns INFO [00019117] Port=1 RD @05 + 19116.50ns INFO [00019118] Port=0 RD @06 + 19117.50ns INFO [00019119] * RD COMPARE * port=0 adr=02 act=7B60E23A8038EB9B66 exp=7B60E23A8038EB9B66 + 19117.50ns INFO [00019119] * RD COMPARE * port=1 adr=05 act=02A888137C0CE444F5 exp=02A888137C0CE444F5 + 19117.50ns INFO [00019119] Port=0 RD @04 + 19118.50ns INFO [00019120] * RD COMPARE * port=0 adr=06 act=6F50B4AA2C6C236EE1 exp=6F50B4AA2C6C236EE1 + 19118.50ns INFO [00019120] Port=0 RD @02 + 19118.50ns INFO [00019120] Port=1 RD @02 + 19119.50ns INFO [00019121] * RD COMPARE * port=0 adr=04 act=228008A2B5C46F99F7 exp=228008A2B5C46F99F7 + 19120.50ns INFO [00019122] * RD COMPARE * port=0 adr=02 act=7B60E23A8038EB9B66 exp=7B60E23A8038EB9B66 + 19120.50ns INFO [00019122] * RD COMPARE * port=1 adr=02 act=7B60E23A8038EB9B66 exp=7B60E23A8038EB9B66 + 19120.50ns INFO [00019122] Port=0 RD @05 + 19120.50ns INFO [00019122] Port=1 RD @00 + 19121.50ns INFO [00019123] Port=0 WR @07=C6AA318700E0EC897E + 19121.50ns INFO [00019123] Port=1 RD @05 + 19122.50ns INFO [00019124] * RD COMPARE * port=0 adr=05 act=02A888137C0CE444F5 exp=02A888137C0CE444F5 + 19122.50ns INFO [00019124] * RD COMPARE * port=1 adr=00 act=6EA9331D02A332B86E exp=6EA9331D02A332B86E + 19122.50ns INFO [00019124] Port=0 WR @06=A98457AAB9CC2BE81B + 19122.50ns INFO [00019124] Port=0 RD @05 + 19123.50ns INFO [00019125] * RD COMPARE * port=1 adr=05 act=02A888137C0CE444F5 exp=02A888137C0CE444F5 + 19123.50ns INFO [00019125] Port=1 RD @05 + 19124.50ns INFO [00019126] * RD COMPARE * port=0 adr=05 act=02A888137C0CE444F5 exp=02A888137C0CE444F5 + 19124.50ns INFO [00019126] Port=0 RD @01 + 19124.50ns INFO [00019126] Port=1 RD @06 + 19125.50ns INFO [00019127] * RD COMPARE * port=1 adr=05 act=02A888137C0CE444F5 exp=02A888137C0CE444F5 + 19126.50ns INFO [00019128] * RD COMPARE * port=0 adr=01 act=929233166A6EF759AC exp=929233166A6EF759AC + 19126.50ns INFO [00019128] * RD COMPARE * port=1 adr=06 act=A98457AAB9CC2BE81B exp=A98457AAB9CC2BE81B + 19126.50ns INFO [00019128] Port=0 RD @07 + 19126.50ns INFO [00019128] Port=1 RD @06 + 19127.50ns INFO [00019129] Port=1 RD @07 + 19128.50ns INFO [00019130] * RD COMPARE * port=0 adr=07 act=C6AA318700E0EC897E exp=C6AA318700E0EC897E + 19128.50ns INFO [00019130] * RD COMPARE * port=1 adr=06 act=A98457AAB9CC2BE81B exp=A98457AAB9CC2BE81B + 19128.50ns INFO [00019130] Port=0 RD @02 + 19129.50ns INFO [00019131] * RD COMPARE * port=1 adr=07 act=C6AA318700E0EC897E exp=C6AA318700E0EC897E + 19129.50ns INFO [00019131] Port=0 WR @06=86BB131CC351EE0FE3 + 19130.50ns INFO [00019132] * RD COMPARE * port=0 adr=02 act=7B60E23A8038EB9B66 exp=7B60E23A8038EB9B66 + 19130.50ns INFO [00019132] Port=0 WR @04=10037F90C1F19C8C81 + 19130.50ns INFO [00019132] Port=1 RD @00 + 19132.50ns INFO [00019134] * RD COMPARE * port=1 adr=00 act=6EA9331D02A332B86E exp=6EA9331D02A332B86E + 19132.50ns INFO [00019134] Port=1 RD @04 + 19133.50ns INFO [00019135] Port=0 RD @03 + 19133.50ns INFO [00019135] Port=1 RD @01 + 19134.50ns INFO [00019136] * RD COMPARE * port=1 adr=04 act=10037F90C1F19C8C81 exp=10037F90C1F19C8C81 + 19134.50ns INFO [00019136] Port=0 WR @02=96374C9D6E5BFC717A + 19134.50ns INFO [00019136] Port=1 RD @06 + 19135.50ns INFO [00019137] * RD COMPARE * port=0 adr=03 act=BEA25EDFC13DC17AB6 exp=BEA25EDFC13DC17AB6 + 19135.50ns INFO [00019137] * RD COMPARE * port=1 adr=01 act=929233166A6EF759AC exp=929233166A6EF759AC + 19135.50ns INFO [00019137] Port=0 WR @00=1087B8C3ADB8D41EAB + 19136.50ns INFO [00019138] * RD COMPARE * port=1 adr=06 act=86BB131CC351EE0FE3 exp=86BB131CC351EE0FE3 + 19136.50ns INFO [00019138] Port=0 RD @05 + 19136.50ns INFO [00019138] Port=1 RD @05 + 19137.50ns INFO [00019139] Port=0 WR @05=886D2C6857A8C9D71A + 19138.50ns INFO [00019140] * RD COMPARE * port=0 adr=05 act=02A888137C0CE444F5 exp=02A888137C0CE444F5 + 19138.50ns INFO [00019140] * RD COMPARE * port=1 adr=05 act=02A888137C0CE444F5 exp=02A888137C0CE444F5 + 19138.50ns INFO [00019140] Port=0 RD @00 + 19139.50ns INFO [00019141] Port=0 WR @05=4ECC9B7C172AECD47B + 19139.50ns INFO [00019141] Port=0 RD @00 + 19139.50ns INFO [00019141] Port=1 RD @04 + 19140.50ns INFO [00019142] * RD COMPARE * port=0 adr=00 act=1087B8C3ADB8D41EAB exp=1087B8C3ADB8D41EAB + 19140.50ns INFO [00019142] Port=0 RD @05 + 19140.50ns INFO [00019142] Port=1 RD @07 + 19141.50ns INFO [00019143] * RD COMPARE * port=0 adr=00 act=1087B8C3ADB8D41EAB exp=1087B8C3ADB8D41EAB + 19141.50ns INFO [00019143] * RD COMPARE * port=1 adr=04 act=10037F90C1F19C8C81 exp=10037F90C1F19C8C81 + 19141.50ns INFO [00019143] Port=0 WR @05=BD0D841C4E19B2F992 + 19142.50ns INFO [00019144] * RD COMPARE * port=0 adr=05 act=4ECC9B7C172AECD47B exp=4ECC9B7C172AECD47B + 19142.50ns INFO [00019144] * RD COMPARE * port=1 adr=07 act=C6AA318700E0EC897E exp=C6AA318700E0EC897E + 19142.50ns INFO [00019144] Port=0 WR @06=E8056899C6FA529733 + 19143.50ns INFO [00019145] Port=0 RD @07 + 19143.50ns INFO [00019145] Port=1 RD @05 + 19145.50ns INFO [00019147] * RD COMPARE * port=0 adr=07 act=C6AA318700E0EC897E exp=C6AA318700E0EC897E + 19145.50ns INFO [00019147] * RD COMPARE * port=1 adr=05 act=BD0D841C4E19B2F992 exp=BD0D841C4E19B2F992 + 19147.50ns INFO [00019149] Port=1 RD @04 + 19148.50ns INFO [00019150] Port=0 WR @01=D1755BBD5A2EE18618 + 19148.50ns INFO [00019150] Port=0 RD @06 + 19149.50ns INFO [00019151] * RD COMPARE * port=1 adr=04 act=10037F90C1F19C8C81 exp=10037F90C1F19C8C81 + 19150.50ns INFO [00019152] * RD COMPARE * port=0 adr=06 act=E8056899C6FA529733 exp=E8056899C6FA529733 + 19150.50ns INFO [00019152] Port=0 WR @01=C6BAD1767F2C8F96D2 + 19150.50ns INFO [00019152] Port=1 RD @00 + 19151.50ns INFO [00019153] Port=0 RD @00 + 19151.50ns INFO [00019153] Port=1 RD @06 + 19152.50ns INFO [00019154] * RD COMPARE * port=1 adr=00 act=1087B8C3ADB8D41EAB exp=1087B8C3ADB8D41EAB + 19152.50ns INFO [00019154] Port=1 RD @04 + 19153.50ns INFO [00019155] * RD COMPARE * port=0 adr=00 act=1087B8C3ADB8D41EAB exp=1087B8C3ADB8D41EAB + 19153.50ns INFO [00019155] * RD COMPARE * port=1 adr=06 act=E8056899C6FA529733 exp=E8056899C6FA529733 + 19154.50ns INFO [00019156] * RD COMPARE * port=1 adr=04 act=10037F90C1F19C8C81 exp=10037F90C1F19C8C81 + 19155.50ns INFO [00019157] Port=0 WR @02=E92EAA0178C22D1AEF + 19156.50ns INFO [00019158] Port=0 RD @00 + 19156.50ns INFO [00019158] Port=1 RD @02 + 19157.50ns INFO [00019159] Port=0 WR @00=A14260F6529E0A4E08 + 19157.50ns INFO [00019159] Port=0 RD @03 + 19158.50ns INFO [00019160] * RD COMPARE * port=0 adr=00 act=1087B8C3ADB8D41EAB exp=1087B8C3ADB8D41EAB + 19158.50ns INFO [00019160] * RD COMPARE * port=1 adr=02 act=E92EAA0178C22D1AEF exp=E92EAA0178C22D1AEF + 19158.50ns INFO [00019160] Port=0 RD @03 + 19158.50ns INFO [00019160] Port=1 RD @05 + 19159.50ns INFO [00019161] * RD COMPARE * port=0 adr=03 act=BEA25EDFC13DC17AB6 exp=BEA25EDFC13DC17AB6 + 19159.50ns INFO [00019161] Port=0 WR @04=45DD27510FF295DAD5 + 19160.50ns INFO [00019162] * RD COMPARE * port=0 adr=03 act=BEA25EDFC13DC17AB6 exp=BEA25EDFC13DC17AB6 + 19160.50ns INFO [00019162] * RD COMPARE * port=1 adr=05 act=BD0D841C4E19B2F992 exp=BD0D841C4E19B2F992 + 19160.50ns INFO [00019162] Port=0 WR @06=00C164F452B63CD253 + 19160.50ns INFO [00019162] Port=0 RD @00 + 19161.50ns INFO [00019163] Port=1 RD @07 + 19162.50ns INFO [00019164] * RD COMPARE * port=0 adr=00 act=A14260F6529E0A4E08 exp=A14260F6529E0A4E08 + 19162.50ns INFO [00019164] Port=0 WR @04=7D4F0E63A304543C6A + 19163.50ns INFO [00019165] * RD COMPARE * port=1 adr=07 act=C6AA318700E0EC897E exp=C6AA318700E0EC897E + 19163.50ns INFO [00019165] Port=0 RD @06 + 19163.50ns INFO [00019165] Port=1 RD @00 + 19164.50ns INFO [00019166] Port=0 RD @05 + 19165.50ns INFO [00019167] * RD COMPARE * port=0 adr=06 act=00C164F452B63CD253 exp=00C164F452B63CD253 + 19165.50ns INFO [00019167] * RD COMPARE * port=1 adr=00 act=A14260F6529E0A4E08 exp=A14260F6529E0A4E08 + 19165.50ns INFO [00019167] Port=0 WR @05=0F566776A172A37656 + 19166.50ns INFO [00019168] * RD COMPARE * port=0 adr=05 act=BD0D841C4E19B2F992 exp=BD0D841C4E19B2F992 + 19166.50ns INFO [00019168] Port=0 RD @02 + 19167.50ns INFO [00019169] Port=0 WR @00=E448851F14733CDC27 + 19168.50ns INFO [00019170] * RD COMPARE * port=0 adr=02 act=E92EAA0178C22D1AEF exp=E92EAA0178C22D1AEF + 19168.50ns INFO [00019170] Port=0 WR @05=5DFDAE691BF38DEF82 + 19168.50ns INFO [00019170] Port=0 RD @03 + 19168.50ns INFO [00019170] Port=1 RD @07 + 19169.50ns INFO [00019171] Port=0 RD @04 + 19170.50ns INFO [00019172] * RD COMPARE * port=0 adr=03 act=BEA25EDFC13DC17AB6 exp=BEA25EDFC13DC17AB6 + 19170.50ns INFO [00019172] * RD COMPARE * port=1 adr=07 act=C6AA318700E0EC897E exp=C6AA318700E0EC897E + 19170.50ns INFO [00019172] Port=0 WR @00=2377ABD3876D1BEAC0 + 19170.50ns INFO [00019172] Port=1 RD @06 + 19171.50ns INFO [00019173] * RD COMPARE * port=0 adr=04 act=7D4F0E63A304543C6A exp=7D4F0E63A304543C6A + 19171.50ns INFO [00019173] Port=1 RD @05 + 19172.50ns INFO [00019174] * RD COMPARE * port=1 adr=06 act=00C164F452B63CD253 exp=00C164F452B63CD253 + 19172.50ns INFO [00019174] Port=0 WR @01=1C99B39C463C2ABBDC + 19173.50ns INFO [00019175] * RD COMPARE * port=1 adr=05 act=5DFDAE691BF38DEF82 exp=5DFDAE691BF38DEF82 + 19174.50ns INFO [00019176] Port=0 RD @00 + 19174.50ns INFO [00019176] Port=1 RD @00 + 19175.50ns INFO [00019177] Port=0 RD @01 + 19175.50ns INFO [00019177] Port=1 RD @02 + 19176.50ns INFO [00019178] * RD COMPARE * port=0 adr=00 act=2377ABD3876D1BEAC0 exp=2377ABD3876D1BEAC0 + 19176.50ns INFO [00019178] * RD COMPARE * port=1 adr=00 act=2377ABD3876D1BEAC0 exp=2377ABD3876D1BEAC0 + 19176.50ns INFO [00019178] Port=0 RD @01 + 19177.50ns INFO [00019179] * RD COMPARE * port=0 adr=01 act=1C99B39C463C2ABBDC exp=1C99B39C463C2ABBDC + 19177.50ns INFO [00019179] * RD COMPARE * port=1 adr=02 act=E92EAA0178C22D1AEF exp=E92EAA0178C22D1AEF + 19177.50ns INFO [00019179] Port=0 WR @04=1284576D5A95F784AD + 19178.50ns INFO [00019180] * RD COMPARE * port=0 adr=01 act=1C99B39C463C2ABBDC exp=1C99B39C463C2ABBDC + 19178.50ns INFO [00019180] Port=0 RD @07 + 19179.50ns INFO [00019181] Port=0 WR @04=BD21ABB439DDFCF844 + 19180.50ns INFO [00019182] * RD COMPARE * port=0 adr=07 act=C6AA318700E0EC897E exp=C6AA318700E0EC897E + 19180.50ns INFO [00019182] Port=0 WR @01=7889227CD3A9A088F2 + 19180.50ns INFO [00019182] Port=1 RD @03 + 19182.50ns INFO [00019184] * RD COMPARE * port=1 adr=03 act=BEA25EDFC13DC17AB6 exp=BEA25EDFC13DC17AB6 + 19182.50ns INFO [00019184] Port=0 WR @02=4FA5764404ABF8BE6D + 19182.50ns INFO [00019184] Port=1 RD @03 + 19183.50ns INFO [00019185] Port=0 WR @01=EDBCA261840BA0A608 + 19184.50ns INFO [00019186] * RD COMPARE * port=1 adr=03 act=BEA25EDFC13DC17AB6 exp=BEA25EDFC13DC17AB6 + 19184.50ns INFO [00019186] Port=0 RD @00 + 19185.50ns INFO [00019187] Port=0 WR @00=A737ECAD6B599D4F4B + 19185.50ns INFO [00019187] Port=1 RD @02 + 19186.50ns INFO [00019188] * RD COMPARE * port=0 adr=00 act=2377ABD3876D1BEAC0 exp=2377ABD3876D1BEAC0 + 19186.50ns INFO [00019188] Port=0 WR @04=C1F69CDA382E47F4DE + 19186.50ns INFO [00019188] Port=1 RD @00 + 19187.50ns INFO [00019189] * RD COMPARE * port=1 adr=02 act=4FA5764404ABF8BE6D exp=4FA5764404ABF8BE6D + 19188.50ns INFO [00019190] * RD COMPARE * port=1 adr=00 act=A737ECAD6B599D4F4B exp=A737ECAD6B599D4F4B + 19191.50ns INFO [00019193] Port=0 WR @00=E6D920E5C774F22852 + 19192.50ns INFO [00019194] Port=0 RD @00 + 19193.50ns INFO [00019195] Port=0 RD @04 + 19193.50ns INFO [00019195] Port=1 RD @03 + 19194.50ns INFO [00019196] * RD COMPARE * port=0 adr=00 act=E6D920E5C774F22852 exp=E6D920E5C774F22852 + 19195.50ns INFO [00019197] * RD COMPARE * port=0 adr=04 act=C1F69CDA382E47F4DE exp=C1F69CDA382E47F4DE + 19195.50ns INFO [00019197] * RD COMPARE * port=1 adr=03 act=BEA25EDFC13DC17AB6 exp=BEA25EDFC13DC17AB6 + 19195.50ns INFO [00019197] Port=0 RD @05 + 19197.50ns INFO [00019199] * RD COMPARE * port=0 adr=05 act=5DFDAE691BF38DEF82 exp=5DFDAE691BF38DEF82 + 19197.50ns INFO [00019199] Port=0 WR @06=14EC0E2A8EC294460B + 19197.50ns INFO [00019199] Port=0 RD @02 + 19198.00ns INFO [00019200] [00019200] ...tick... + 19198.50ns INFO [00019200] Port=0 WR @03=FD8831E810CC63607D + 19198.50ns INFO [00019200] Port=0 RD @06 + 19198.50ns INFO [00019200] Port=1 RD @00 + 19199.50ns INFO [00019201] * RD COMPARE * port=0 adr=02 act=4FA5764404ABF8BE6D exp=4FA5764404ABF8BE6D + 19199.50ns INFO [00019201] Port=0 WR @06=4ACC86A1DD2640793C + 19199.50ns INFO [00019201] Port=0 RD @04 + 19200.50ns INFO [00019202] * RD COMPARE * port=0 adr=06 act=14EC0E2A8EC294460B exp=14EC0E2A8EC294460B + 19200.50ns INFO [00019202] * RD COMPARE * port=1 adr=00 act=E6D920E5C774F22852 exp=E6D920E5C774F22852 + 19200.50ns INFO [00019202] Port=0 WR @01=A214D489AB3B6B45C0 + 19201.50ns INFO [00019203] * RD COMPARE * port=0 adr=04 act=C1F69CDA382E47F4DE exp=C1F69CDA382E47F4DE + 19201.50ns INFO [00019203] Port=0 WR @07=612F3B5D8239F55955 + 19202.50ns INFO [00019204] Port=0 RD @07 + 19202.50ns INFO [00019204] Port=1 RD @05 + 19203.50ns INFO [00019205] Port=0 WR @02=DDBE2D117CFF6F6A85 + 19203.50ns INFO [00019205] Port=0 RD @04 + 19203.50ns INFO [00019205] Port=1 RD @04 + 19204.50ns INFO [00019206] * RD COMPARE * port=0 adr=07 act=612F3B5D8239F55955 exp=612F3B5D8239F55955 + 19204.50ns INFO [00019206] * RD COMPARE * port=1 adr=05 act=5DFDAE691BF38DEF82 exp=5DFDAE691BF38DEF82 + 19204.50ns INFO [00019206] Port=0 WR @06=E9AA0BF9F9140E5D88 + 19204.50ns INFO [00019206] Port=0 RD @01 + 19205.50ns INFO [00019207] * RD COMPARE * port=0 adr=04 act=C1F69CDA382E47F4DE exp=C1F69CDA382E47F4DE + 19205.50ns INFO [00019207] * RD COMPARE * port=1 adr=04 act=C1F69CDA382E47F4DE exp=C1F69CDA382E47F4DE + 19205.50ns INFO [00019207] Port=0 WR @03=FEEF828ED46117F0AE + 19205.50ns INFO [00019207] Port=0 RD @02 + 19206.50ns INFO [00019208] * RD COMPARE * port=0 adr=01 act=A214D489AB3B6B45C0 exp=A214D489AB3B6B45C0 + 19206.50ns INFO [00019208] Port=0 WR @06=7F91D0271EF9E9A434 + 19207.50ns INFO [00019209] * RD COMPARE * port=0 adr=02 act=DDBE2D117CFF6F6A85 exp=DDBE2D117CFF6F6A85 + 19207.50ns INFO [00019209] Port=1 RD @05 + 19208.50ns INFO [00019210] Port=0 RD @00 + 19209.50ns INFO [00019211] * RD COMPARE * port=1 adr=05 act=5DFDAE691BF38DEF82 exp=5DFDAE691BF38DEF82 + 19209.50ns INFO [00019211] Port=0 WR @03=9C7DC383F748CDD573 + 19210.50ns INFO [00019212] * RD COMPARE * port=0 adr=00 act=E6D920E5C774F22852 exp=E6D920E5C774F22852 + 19210.50ns INFO [00019212] Port=0 WR @05=A5A9DBAD35F58237A0 + 19210.50ns INFO [00019212] Port=0 RD @02 + 19210.50ns INFO [00019212] Port=1 RD @04 + 19211.50ns INFO [00019213] Port=0 RD @04 + 19211.50ns INFO [00019213] Port=1 RD @03 + 19212.50ns INFO [00019214] * RD COMPARE * port=0 adr=02 act=DDBE2D117CFF6F6A85 exp=DDBE2D117CFF6F6A85 + 19212.50ns INFO [00019214] * RD COMPARE * port=1 adr=04 act=C1F69CDA382E47F4DE exp=C1F69CDA382E47F4DE + 19212.50ns INFO [00019214] Port=0 RD @00 + 19212.50ns INFO [00019214] Port=1 RD @02 + 19213.50ns INFO [00019215] * RD COMPARE * port=0 adr=04 act=C1F69CDA382E47F4DE exp=C1F69CDA382E47F4DE + 19213.50ns INFO [00019215] * RD COMPARE * port=1 adr=03 act=9C7DC383F748CDD573 exp=9C7DC383F748CDD573 + 19214.50ns INFO [00019216] * RD COMPARE * port=0 adr=00 act=E6D920E5C774F22852 exp=E6D920E5C774F22852 + 19214.50ns INFO [00019216] * RD COMPARE * port=1 adr=02 act=DDBE2D117CFF6F6A85 exp=DDBE2D117CFF6F6A85 + 19215.50ns INFO [00019217] Port=0 RD @02 + 19217.50ns INFO [00019219] * RD COMPARE * port=0 adr=02 act=DDBE2D117CFF6F6A85 exp=DDBE2D117CFF6F6A85 + 19217.50ns INFO [00019219] Port=0 RD @05 + 19219.50ns INFO [00019221] * RD COMPARE * port=0 adr=05 act=A5A9DBAD35F58237A0 exp=A5A9DBAD35F58237A0 + 19220.50ns INFO [00019222] Port=0 RD @06 + 19221.50ns INFO [00019223] Port=0 WR @05=FEFFA032597C33288E + 19222.50ns INFO [00019224] * RD COMPARE * port=0 adr=06 act=7F91D0271EF9E9A434 exp=7F91D0271EF9E9A434 + 19222.50ns INFO [00019224] Port=0 WR @00=276C3946AB9B7BE3FC + 19222.50ns INFO [00019224] Port=1 RD @01 + 19223.50ns INFO [00019225] Port=0 RD @04 + 19223.50ns INFO [00019225] Port=1 RD @07 + 19224.50ns INFO [00019226] * RD COMPARE * port=1 adr=01 act=A214D489AB3B6B45C0 exp=A214D489AB3B6B45C0 + 19224.50ns INFO [00019226] Port=0 RD @01 + 19225.50ns INFO [00019227] * RD COMPARE * port=0 adr=04 act=C1F69CDA382E47F4DE exp=C1F69CDA382E47F4DE + 19225.50ns INFO [00019227] * RD COMPARE * port=1 adr=07 act=612F3B5D8239F55955 exp=612F3B5D8239F55955 + 19225.50ns INFO [00019227] Port=0 RD @04 + 19225.50ns INFO [00019227] Port=1 RD @00 + 19226.50ns INFO [00019228] * RD COMPARE * port=0 adr=01 act=A214D489AB3B6B45C0 exp=A214D489AB3B6B45C0 + 19227.50ns INFO [00019229] * RD COMPARE * port=0 adr=04 act=C1F69CDA382E47F4DE exp=C1F69CDA382E47F4DE + 19227.50ns INFO [00019229] * RD COMPARE * port=1 adr=00 act=276C3946AB9B7BE3FC exp=276C3946AB9B7BE3FC + 19227.50ns INFO [00019229] Port=0 WR @04=417950A46EEF6AABE8 + 19227.50ns INFO [00019229] Port=0 RD @03 + 19227.50ns INFO [00019229] Port=1 RD @00 + 19229.50ns INFO [00019231] * RD COMPARE * port=0 adr=03 act=9C7DC383F748CDD573 exp=9C7DC383F748CDD573 + 19229.50ns INFO [00019231] * RD COMPARE * port=1 adr=00 act=276C3946AB9B7BE3FC exp=276C3946AB9B7BE3FC + 19232.50ns INFO [00019234] Port=1 RD @03 + 19233.50ns INFO [00019235] Port=0 RD @06 + 19234.50ns INFO [00019236] * RD COMPARE * port=1 adr=03 act=9C7DC383F748CDD573 exp=9C7DC383F748CDD573 + 19234.50ns INFO [00019236] Port=1 RD @07 + 19235.50ns INFO [00019237] * RD COMPARE * port=0 adr=06 act=7F91D0271EF9E9A434 exp=7F91D0271EF9E9A434 + 19235.50ns INFO [00019237] Port=0 RD @00 + 19235.50ns INFO [00019237] Port=1 RD @00 + 19236.50ns INFO [00019238] * RD COMPARE * port=1 adr=07 act=612F3B5D8239F55955 exp=612F3B5D8239F55955 + 19236.50ns INFO [00019238] Port=1 RD @03 + 19237.50ns INFO [00019239] * RD COMPARE * port=0 adr=00 act=276C3946AB9B7BE3FC exp=276C3946AB9B7BE3FC + 19237.50ns INFO [00019239] * RD COMPARE * port=1 adr=00 act=276C3946AB9B7BE3FC exp=276C3946AB9B7BE3FC + 19237.50ns INFO [00019239] Port=0 WR @02=C4F9AED2397F24E5F2 + 19237.50ns INFO [00019239] Port=0 RD @05 + 19238.50ns INFO [00019240] * RD COMPARE * port=1 adr=03 act=9C7DC383F748CDD573 exp=9C7DC383F748CDD573 + 19239.50ns INFO [00019241] * RD COMPARE * port=0 adr=05 act=FEFFA032597C33288E exp=FEFFA032597C33288E + 19239.50ns INFO [00019241] Port=0 WR @00=FE9D9272F6ECDDD9CB + 19239.50ns INFO [00019241] Port=0 RD @04 + 19240.50ns INFO [00019242] Port=0 WR @05=78594E1C9A48F65EF5 + 19240.50ns INFO [00019242] Port=0 RD @04 + 19240.50ns INFO [00019242] Port=1 RD @04 + 19241.50ns INFO [00019243] * RD COMPARE * port=0 adr=04 act=417950A46EEF6AABE8 exp=417950A46EEF6AABE8 + 19241.50ns INFO [00019243] Port=0 WR @02=2AD5953B210D35CDAD + 19241.50ns INFO [00019243] Port=0 RD @01 + 19242.50ns INFO [00019244] * RD COMPARE * port=0 adr=04 act=417950A46EEF6AABE8 exp=417950A46EEF6AABE8 + 19242.50ns INFO [00019244] * RD COMPARE * port=1 adr=04 act=417950A46EEF6AABE8 exp=417950A46EEF6AABE8 + 19242.50ns INFO [00019244] Port=0 WR @06=737EE508CE682D1402 + 19242.50ns INFO [00019244] Port=1 RD @04 + 19243.50ns INFO [00019245] * RD COMPARE * port=0 adr=01 act=A214D489AB3B6B45C0 exp=A214D489AB3B6B45C0 + 19243.50ns INFO [00019245] Port=0 WR @01=9AA046741DABDF2ECB + 19244.50ns INFO [00019246] * RD COMPARE * port=1 adr=04 act=417950A46EEF6AABE8 exp=417950A46EEF6AABE8 + 19244.50ns INFO [00019246] Port=0 WR @02=64173CD3D53915010E + 19244.50ns INFO [00019246] Port=0 RD @01 + 19245.50ns INFO [00019247] Port=1 RD @06 + 19246.50ns INFO [00019248] * RD COMPARE * port=0 adr=01 act=9AA046741DABDF2ECB exp=9AA046741DABDF2ECB + 19246.50ns INFO [00019248] Port=0 WR @02=B4F9E70A0B8C0138CE + 19246.50ns INFO [00019248] Port=0 RD @06 + 19246.50ns INFO [00019248] Port=1 RD @06 + 19247.50ns INFO [00019249] * RD COMPARE * port=1 adr=06 act=737EE508CE682D1402 exp=737EE508CE682D1402 + 19247.50ns INFO [00019249] Port=0 RD @04 + 19248.50ns INFO [00019250] * RD COMPARE * port=0 adr=06 act=737EE508CE682D1402 exp=737EE508CE682D1402 + 19248.50ns INFO [00019250] * RD COMPARE * port=1 adr=06 act=737EE508CE682D1402 exp=737EE508CE682D1402 + 19249.50ns INFO [00019251] * RD COMPARE * port=0 adr=04 act=417950A46EEF6AABE8 exp=417950A46EEF6AABE8 + 19249.50ns INFO [00019251] Port=0 WR @03=6D65982C9D36198B0C + 19249.50ns INFO [00019251] Port=1 RD @07 + 19250.50ns INFO [00019252] Port=0 RD @06 + 19250.50ns INFO [00019252] Port=1 RD @00 + 19251.50ns INFO [00019253] * RD COMPARE * port=1 adr=07 act=612F3B5D8239F55955 exp=612F3B5D8239F55955 + 19251.50ns INFO [00019253] Port=0 WR @07=ADE66709DEC8058F9E + 19252.50ns INFO [00019254] * RD COMPARE * port=0 adr=06 act=737EE508CE682D1402 exp=737EE508CE682D1402 + 19252.50ns INFO [00019254] * RD COMPARE * port=1 adr=00 act=FE9D9272F6ECDDD9CB exp=FE9D9272F6ECDDD9CB + 19252.50ns INFO [00019254] Port=0 WR @07=163EC6083193216CA4 + 19256.50ns INFO [00019258] Port=1 RD @02 + 19258.50ns INFO [00019260] * RD COMPARE * port=1 adr=02 act=B4F9E70A0B8C0138CE exp=B4F9E70A0B8C0138CE + 19258.50ns INFO [00019260] Port=0 RD @06 + 19260.50ns INFO [00019262] * RD COMPARE * port=0 adr=06 act=737EE508CE682D1402 exp=737EE508CE682D1402 + 19260.50ns INFO [00019262] Port=0 WR @04=21B62F1A4FFDBB6653 + 19261.50ns INFO [00019263] Port=0 WR @05=FCAD577470A3312DE5 + 19262.50ns INFO [00019264] Port=0 RD @02 + 19263.50ns INFO [00019265] Port=0 RD @04 + 19264.50ns INFO [00019266] * RD COMPARE * port=0 adr=02 act=B4F9E70A0B8C0138CE exp=B4F9E70A0B8C0138CE + 19264.50ns INFO [00019266] Port=0 WR @02=ED03D815B794DEFB14 + 19264.50ns INFO [00019266] Port=0 RD @00 + 19265.50ns INFO [00019267] * RD COMPARE * port=0 adr=04 act=21B62F1A4FFDBB6653 exp=21B62F1A4FFDBB6653 + 19265.50ns INFO [00019267] Port=0 WR @00=026F1F57460BC08178 + 19266.50ns INFO [00019268] * RD COMPARE * port=0 adr=00 act=FE9D9272F6ECDDD9CB exp=FE9D9272F6ECDDD9CB + 19266.50ns INFO [00019268] Port=0 WR @03=237BE3B651625E1E00 + 19266.50ns INFO [00019268] Port=1 RD @00 + 19267.50ns INFO [00019269] Port=1 RD @05 + 19268.50ns INFO [00019270] * RD COMPARE * port=1 adr=00 act=026F1F57460BC08178 exp=026F1F57460BC08178 + 19268.50ns INFO [00019270] Port=0 WR @07=2C87854C19FEDF7636 + 19269.50ns INFO [00019271] * RD COMPARE * port=1 adr=05 act=FCAD577470A3312DE5 exp=FCAD577470A3312DE5 + 19269.50ns INFO [00019271] Port=0 RD @02 + 19271.50ns INFO [00019273] * RD COMPARE * port=0 adr=02 act=ED03D815B794DEFB14 exp=ED03D815B794DEFB14 + 19272.50ns INFO [00019274] Port=0 WR @04=F5A05C80966CFB134C + 19272.50ns INFO [00019274] Port=0 RD @07 + 19273.50ns INFO [00019275] Port=0 WR @00=EA37C0317984925793 + 19273.50ns INFO [00019275] Port=0 RD @02 + 19274.50ns INFO [00019276] * RD COMPARE * port=0 adr=07 act=2C87854C19FEDF7636 exp=2C87854C19FEDF7636 + 19274.50ns INFO [00019276] Port=0 WR @05=885EBE7FF6FA2845F9 + 19275.50ns INFO [00019277] * RD COMPARE * port=0 adr=02 act=ED03D815B794DEFB14 exp=ED03D815B794DEFB14 + 19275.50ns INFO [00019277] Port=0 RD @07 + 19277.50ns INFO [00019279] * RD COMPARE * port=0 adr=07 act=2C87854C19FEDF7636 exp=2C87854C19FEDF7636 + 19277.50ns INFO [00019279] Port=0 WR @02=C1CDE4D88F9E38031B + 19278.50ns INFO [00019280] Port=1 RD @04 + 19280.50ns INFO [00019282] * RD COMPARE * port=1 adr=04 act=F5A05C80966CFB134C exp=F5A05C80966CFB134C + 19280.50ns INFO [00019282] Port=1 RD @07 + 19281.50ns INFO [00019283] Port=0 WR @06=F643BCB0CCFCADCCEA + 19281.50ns INFO [00019283] Port=0 RD @00 + 19281.50ns INFO [00019283] Port=1 RD @00 + 19282.50ns INFO [00019284] * RD COMPARE * port=1 adr=07 act=2C87854C19FEDF7636 exp=2C87854C19FEDF7636 + 19282.50ns INFO [00019284] Port=0 WR @03=3D7C0CB4AC2F793A21 + 19283.50ns INFO [00019285] * RD COMPARE * port=0 adr=00 act=EA37C0317984925793 exp=EA37C0317984925793 + 19283.50ns INFO [00019285] * RD COMPARE * port=1 adr=00 act=EA37C0317984925793 exp=EA37C0317984925793 + 19283.50ns INFO [00019285] Port=0 RD @06 + 19284.50ns INFO [00019286] Port=0 WR @01=6B218C9B610CDE9DA7 + 19285.50ns INFO [00019287] * RD COMPARE * port=0 adr=06 act=F643BCB0CCFCADCCEA exp=F643BCB0CCFCADCCEA + 19285.50ns INFO [00019287] Port=0 WR @04=389120B45F12D289FE + 19285.50ns INFO [00019287] Port=0 RD @02 + 19285.50ns INFO [00019287] Port=1 RD @00 + 19286.50ns INFO [00019288] Port=1 RD @02 + 19287.50ns INFO [00019289] * RD COMPARE * port=0 adr=02 act=C1CDE4D88F9E38031B exp=C1CDE4D88F9E38031B + 19287.50ns INFO [00019289] * RD COMPARE * port=1 adr=00 act=EA37C0317984925793 exp=EA37C0317984925793 + 19287.50ns INFO [00019289] Port=1 RD @02 + 19288.50ns INFO [00019290] * RD COMPARE * port=1 adr=02 act=C1CDE4D88F9E38031B exp=C1CDE4D88F9E38031B + 19289.50ns INFO [00019291] * RD COMPARE * port=1 adr=02 act=C1CDE4D88F9E38031B exp=C1CDE4D88F9E38031B + 19290.50ns INFO [00019292] Port=1 RD @04 + 19291.50ns INFO [00019293] Port=1 RD @07 + 19292.50ns INFO [00019294] * RD COMPARE * port=1 adr=04 act=389120B45F12D289FE exp=389120B45F12D289FE + 19293.50ns INFO [00019295] * RD COMPARE * port=1 adr=07 act=2C87854C19FEDF7636 exp=2C87854C19FEDF7636 + 19293.50ns INFO [00019295] Port=1 RD @06 + 19294.50ns INFO [00019296] Port=0 WR @05=2A2C14DA9DA8CF21F4 + 19294.50ns INFO [00019296] Port=0 RD @04 + 19294.50ns INFO [00019296] Port=1 RD @06 + 19295.50ns INFO [00019297] * RD COMPARE * port=1 adr=06 act=F643BCB0CCFCADCCEA exp=F643BCB0CCFCADCCEA + 19295.50ns INFO [00019297] Port=0 WR @03=E52A0E981E577F457B + 19295.50ns INFO [00019297] Port=1 RD @00 + 19296.50ns INFO [00019298] * RD COMPARE * port=0 adr=04 act=389120B45F12D289FE exp=389120B45F12D289FE + 19296.50ns INFO [00019298] * RD COMPARE * port=1 adr=06 act=F643BCB0CCFCADCCEA exp=F643BCB0CCFCADCCEA + 19296.50ns INFO [00019298] Port=1 RD @06 + 19297.50ns INFO [00019299] * RD COMPARE * port=1 adr=00 act=EA37C0317984925793 exp=EA37C0317984925793 + 19297.50ns INFO [00019299] Port=0 WR @07=82F439C2DEADB430AA + 19297.50ns INFO [00019299] Port=1 RD @01 + 19298.00ns INFO [00019300] [00019300] ...tick... + 19298.50ns INFO [00019300] * RD COMPARE * port=1 adr=06 act=F643BCB0CCFCADCCEA exp=F643BCB0CCFCADCCEA + 19298.50ns INFO [00019300] Port=0 WR @00=ECF9F4B68C5E09DECB + 19298.50ns INFO [00019300] Port=0 RD @02 + 19299.50ns INFO [00019301] * RD COMPARE * port=1 adr=01 act=6B218C9B610CDE9DA7 exp=6B218C9B610CDE9DA7 + 19300.50ns INFO [00019302] * RD COMPARE * port=0 adr=02 act=C1CDE4D88F9E38031B exp=C1CDE4D88F9E38031B + 19300.50ns INFO [00019302] Port=0 WR @02=E00A7D2E6A0DF52FC2 + 19301.50ns INFO [00019303] Port=1 RD @06 + 19303.50ns INFO [00019305] * RD COMPARE * port=1 adr=06 act=F643BCB0CCFCADCCEA exp=F643BCB0CCFCADCCEA + 19303.50ns INFO [00019305] Port=0 RD @07 + 19304.50ns INFO [00019306] Port=0 WR @05=B5A487C4CC33610BC2 + 19305.50ns INFO [00019307] * RD COMPARE * port=0 adr=07 act=82F439C2DEADB430AA exp=82F439C2DEADB430AA + 19305.50ns INFO [00019307] Port=0 WR @00=2B87FC78C501BD16FC + 19305.50ns INFO [00019307] Port=0 RD @05 + 19305.50ns INFO [00019307] Port=1 RD @04 + 19307.50ns INFO [00019309] * RD COMPARE * port=0 adr=05 act=B5A487C4CC33610BC2 exp=B5A487C4CC33610BC2 + 19307.50ns INFO [00019309] * RD COMPARE * port=1 adr=04 act=389120B45F12D289FE exp=389120B45F12D289FE + 19308.50ns INFO [00019310] Port=0 WR @04=1B3689D3E7AEC0B6D1 + 19308.50ns INFO [00019310] Port=0 RD @00 + 19308.50ns INFO [00019310] Port=1 RD @07 + 19309.50ns INFO [00019311] Port=0 WR @04=8142AA54638017D6DA + 19309.50ns INFO [00019311] Port=1 RD @07 + 19310.50ns INFO [00019312] * RD COMPARE * port=0 adr=00 act=2B87FC78C501BD16FC exp=2B87FC78C501BD16FC + 19310.50ns INFO [00019312] * RD COMPARE * port=1 adr=07 act=82F439C2DEADB430AA exp=82F439C2DEADB430AA + 19310.50ns INFO [00019312] Port=0 RD @04 + 19311.50ns INFO [00019313] * RD COMPARE * port=1 adr=07 act=82F439C2DEADB430AA exp=82F439C2DEADB430AA + 19311.50ns INFO [00019313] Port=0 WR @07=CF10BE9D1EF1481C56 + 19311.50ns INFO [00019313] Port=0 RD @02 + 19311.50ns INFO [00019313] Port=1 RD @05 + 19312.50ns INFO [00019314] * RD COMPARE * port=0 adr=04 act=8142AA54638017D6DA exp=8142AA54638017D6DA + 19313.50ns INFO [00019315] * RD COMPARE * port=0 adr=02 act=E00A7D2E6A0DF52FC2 exp=E00A7D2E6A0DF52FC2 + 19313.50ns INFO [00019315] * RD COMPARE * port=1 adr=05 act=B5A487C4CC33610BC2 exp=B5A487C4CC33610BC2 + 19313.50ns INFO [00019315] Port=0 RD @02 + 19314.50ns INFO [00019316] Port=0 WR @05=63D17E00D3DB8F08FF + 19314.50ns INFO [00019316] Port=0 RD @04 + 19314.50ns INFO [00019316] Port=1 RD @02 + 19315.50ns INFO [00019317] * RD COMPARE * port=0 adr=02 act=E00A7D2E6A0DF52FC2 exp=E00A7D2E6A0DF52FC2 + 19316.50ns INFO [00019318] * RD COMPARE * port=0 adr=04 act=8142AA54638017D6DA exp=8142AA54638017D6DA + 19316.50ns INFO [00019318] * RD COMPARE * port=1 adr=02 act=E00A7D2E6A0DF52FC2 exp=E00A7D2E6A0DF52FC2 + 19316.50ns INFO [00019318] Port=0 WR @06=9FA6E0311DCC7F1B49 + 19316.50ns INFO [00019318] Port=1 RD @03 + 19317.50ns INFO [00019319] Port=0 WR @06=DE0406281768E9C549 + 19317.50ns INFO [00019319] Port=0 RD @03 + 19317.50ns INFO [00019319] Port=1 RD @02 + 19318.50ns INFO [00019320] * RD COMPARE * port=1 adr=03 act=E52A0E981E577F457B exp=E52A0E981E577F457B + 19318.50ns INFO [00019320] Port=0 WR @00=DDCE8782C633DFBDE9 + 19319.50ns INFO [00019321] * RD COMPARE * port=0 adr=03 act=E52A0E981E577F457B exp=E52A0E981E577F457B + 19319.50ns INFO [00019321] * RD COMPARE * port=1 adr=02 act=E00A7D2E6A0DF52FC2 exp=E00A7D2E6A0DF52FC2 + 19319.50ns INFO [00019321] Port=0 WR @03=00D63F55DE130CFB7D + 19319.50ns INFO [00019321] Port=0 RD @07 + 19319.50ns INFO [00019321] Port=1 RD @06 + 19321.50ns INFO [00019323] * RD COMPARE * port=0 adr=07 act=CF10BE9D1EF1481C56 exp=CF10BE9D1EF1481C56 + 19321.50ns INFO [00019323] * RD COMPARE * port=1 adr=06 act=DE0406281768E9C549 exp=DE0406281768E9C549 + 19322.50ns INFO [00019324] Port=0 WR @02=9131AD7BA35DDEC8B4 + 19322.50ns INFO [00019324] Port=1 RD @07 + 19323.50ns INFO [00019325] Port=0 WR @06=A6EB8426D85CD05684 + 19323.50ns INFO [00019325] Port=0 RD @05 + 19324.50ns INFO [00019326] * RD COMPARE * port=1 adr=07 act=CF10BE9D1EF1481C56 exp=CF10BE9D1EF1481C56 + 19324.50ns INFO [00019326] Port=1 RD @00 + 19325.50ns INFO [00019327] * RD COMPARE * port=0 adr=05 act=63D17E00D3DB8F08FF exp=63D17E00D3DB8F08FF + 19325.50ns INFO [00019327] Port=1 RD @04 + 19326.50ns INFO [00019328] * RD COMPARE * port=1 adr=00 act=DDCE8782C633DFBDE9 exp=DDCE8782C633DFBDE9 + 19326.50ns INFO [00019328] Port=0 RD @07 + 19327.50ns INFO [00019329] * RD COMPARE * port=1 adr=04 act=8142AA54638017D6DA exp=8142AA54638017D6DA + 19327.50ns INFO [00019329] Port=0 WR @03=3FB05A839E02C055A2 + 19327.50ns INFO [00019329] Port=0 RD @04 + 19328.50ns INFO [00019330] * RD COMPARE * port=0 adr=07 act=CF10BE9D1EF1481C56 exp=CF10BE9D1EF1481C56 + 19329.50ns INFO [00019331] * RD COMPARE * port=0 adr=04 act=8142AA54638017D6DA exp=8142AA54638017D6DA + 19329.50ns INFO [00019331] Port=0 WR @07=9C1C253DCA3B46FA08 + 19329.50ns INFO [00019331] Port=0 RD @06 + 19329.50ns INFO [00019331] Port=1 RD @05 + 19331.50ns INFO [00019333] * RD COMPARE * port=0 adr=06 act=A6EB8426D85CD05684 exp=A6EB8426D85CD05684 + 19331.50ns INFO [00019333] * RD COMPARE * port=1 adr=05 act=63D17E00D3DB8F08FF exp=63D17E00D3DB8F08FF + 19331.50ns INFO [00019333] Port=0 WR @05=1D81517FCD3490CE44 + 19331.50ns INFO [00019333] Port=1 RD @01 + 19333.50ns INFO [00019335] * RD COMPARE * port=1 adr=01 act=6B218C9B610CDE9DA7 exp=6B218C9B610CDE9DA7 + 19333.50ns INFO [00019335] Port=0 RD @01 + 19334.50ns INFO [00019336] Port=0 WR @01=8E3FA1AB574F75B778 + 19334.50ns INFO [00019336] Port=0 RD @03 + 19335.50ns INFO [00019337] * RD COMPARE * port=0 adr=01 act=6B218C9B610CDE9DA7 exp=6B218C9B610CDE9DA7 + 19335.50ns INFO [00019337] Port=0 WR @07=AA864B40043179B508 + 19335.50ns INFO [00019337] Port=1 RD @05 + 19336.50ns INFO [00019338] * RD COMPARE * port=0 adr=03 act=3FB05A839E02C055A2 exp=3FB05A839E02C055A2 + 19336.50ns INFO [00019338] Port=0 RD @04 + 19336.50ns INFO [00019338] Port=1 RD @06 + 19337.50ns INFO [00019339] * RD COMPARE * port=1 adr=05 act=1D81517FCD3490CE44 exp=1D81517FCD3490CE44 + 19337.50ns INFO [00019339] Port=0 WR @05=5A92E69C0629A6143D + 19337.50ns INFO [00019339] Port=1 RD @00 + 19338.50ns INFO [00019340] * RD COMPARE * port=0 adr=04 act=8142AA54638017D6DA exp=8142AA54638017D6DA + 19338.50ns INFO [00019340] * RD COMPARE * port=1 adr=06 act=A6EB8426D85CD05684 exp=A6EB8426D85CD05684 + 19338.50ns INFO [00019340] Port=0 WR @00=E1A65E05DEF057C8E0 + 19338.50ns INFO [00019340] Port=0 RD @03 + 19338.50ns INFO [00019340] Port=1 RD @07 + 19339.50ns INFO [00019341] * RD COMPARE * port=1 adr=00 act=DDCE8782C633DFBDE9 exp=DDCE8782C633DFBDE9 + 19340.50ns INFO [00019342] * RD COMPARE * port=0 adr=03 act=3FB05A839E02C055A2 exp=3FB05A839E02C055A2 + 19340.50ns INFO [00019342] * RD COMPARE * port=1 adr=07 act=AA864B40043179B508 exp=AA864B40043179B508 + 19340.50ns INFO [00019342] Port=0 WR @03=6872D674DAEBC2ABDD + 19340.50ns INFO [00019342] Port=0 RD @04 + 19341.50ns INFO [00019343] Port=0 WR @04=3AFD7D7406F9BF6277 + 19341.50ns INFO [00019343] Port=0 RD @00 + 19341.50ns INFO [00019343] Port=1 RD @01 + 19342.50ns INFO [00019344] * RD COMPARE * port=0 adr=04 act=8142AA54638017D6DA exp=8142AA54638017D6DA + 19342.50ns INFO [00019344] Port=1 RD @02 + 19343.50ns INFO [00019345] * RD COMPARE * port=0 adr=00 act=E1A65E05DEF057C8E0 exp=E1A65E05DEF057C8E0 + 19343.50ns INFO [00019345] * RD COMPARE * port=1 adr=01 act=8E3FA1AB574F75B778 exp=8E3FA1AB574F75B778 + 19343.50ns INFO [00019345] Port=0 RD @07 + 19344.50ns INFO [00019346] * RD COMPARE * port=1 adr=02 act=9131AD7BA35DDEC8B4 exp=9131AD7BA35DDEC8B4 + 19344.50ns INFO [00019346] Port=0 RD @00 + 19344.50ns INFO [00019346] Port=1 RD @03 + 19345.50ns INFO [00019347] * RD COMPARE * port=0 adr=07 act=AA864B40043179B508 exp=AA864B40043179B508 + 19346.50ns INFO [00019348] * RD COMPARE * port=0 adr=00 act=E1A65E05DEF057C8E0 exp=E1A65E05DEF057C8E0 + 19346.50ns INFO [00019348] * RD COMPARE * port=1 adr=03 act=6872D674DAEBC2ABDD exp=6872D674DAEBC2ABDD + 19346.50ns INFO [00019348] Port=0 WR @04=C3ABBE65478EE8DC94 + 19346.50ns INFO [00019348] Port=1 RD @06 + 19347.50ns INFO [00019349] Port=0 WR @06=B87937280DCF5EF2A9 + 19348.50ns INFO [00019350] * RD COMPARE * port=1 adr=06 act=A6EB8426D85CD05684 exp=A6EB8426D85CD05684 + 19348.50ns INFO [00019350] Port=0 RD @02 + 19348.50ns INFO [00019350] Port=1 RD @00 + 19349.50ns INFO [00019351] Port=0 RD @02 + 19350.50ns INFO [00019352] * RD COMPARE * port=0 adr=02 act=9131AD7BA35DDEC8B4 exp=9131AD7BA35DDEC8B4 + 19350.50ns INFO [00019352] * RD COMPARE * port=1 adr=00 act=E1A65E05DEF057C8E0 exp=E1A65E05DEF057C8E0 + 19350.50ns INFO [00019352] Port=0 RD @02 + 19351.50ns INFO [00019353] * RD COMPARE * port=0 adr=02 act=9131AD7BA35DDEC8B4 exp=9131AD7BA35DDEC8B4 + 19352.50ns INFO [00019354] * RD COMPARE * port=0 adr=02 act=9131AD7BA35DDEC8B4 exp=9131AD7BA35DDEC8B4 + 19353.50ns INFO [00019355] Port=0 WR @05=0781FC86F6F8AED538 + 19353.50ns INFO [00019355] Port=0 RD @03 + 19354.50ns INFO [00019356] Port=0 RD @00 + 19355.50ns INFO [00019357] * RD COMPARE * port=0 adr=03 act=6872D674DAEBC2ABDD exp=6872D674DAEBC2ABDD + 19355.50ns INFO [00019357] Port=0 WR @06=F39C7468B1375B7251 + 19356.50ns INFO [00019358] * RD COMPARE * port=0 adr=00 act=E1A65E05DEF057C8E0 exp=E1A65E05DEF057C8E0 + 19357.50ns INFO [00019359] Port=0 WR @04=43B5E88A10F604F71D + 19358.50ns INFO [00019360] Port=0 WR @00=0AE5B9C21169FFA228 + 19358.50ns INFO [00019360] Port=0 RD @01 + 19358.50ns INFO [00019360] Port=1 RD @07 + 19359.50ns INFO [00019361] Port=0 WR @02=578CE0363709383897 + 19360.50ns INFO [00019362] * RD COMPARE * port=0 adr=01 act=8E3FA1AB574F75B778 exp=8E3FA1AB574F75B778 + 19360.50ns INFO [00019362] * RD COMPARE * port=1 adr=07 act=AA864B40043179B508 exp=AA864B40043179B508 + 19361.50ns INFO [00019363] Port=0 WR @01=A595F6D6050A06C762 + 19361.50ns INFO [00019363] Port=0 RD @02 + 19363.50ns INFO [00019365] * RD COMPARE * port=0 adr=02 act=578CE0363709383897 exp=578CE0363709383897 + 19363.50ns INFO [00019365] Port=0 WR @07=5FB1BF0D571E518F83 + 19367.50ns INFO [00019369] Port=1 RD @07 + 19369.50ns INFO [00019371] * RD COMPARE * port=1 adr=07 act=5FB1BF0D571E518F83 exp=5FB1BF0D571E518F83 + 19369.50ns INFO [00019371] Port=0 WR @04=93F547AE27D4CD749A + 19369.50ns INFO [00019371] Port=0 RD @03 + 19370.50ns INFO [00019372] Port=0 RD @07 + 19370.50ns INFO [00019372] Port=1 RD @07 + 19371.50ns INFO [00019373] * RD COMPARE * port=0 adr=03 act=6872D674DAEBC2ABDD exp=6872D674DAEBC2ABDD + 19371.50ns INFO [00019373] Port=0 WR @05=74EEE0448B67C15D4D + 19372.50ns INFO [00019374] * RD COMPARE * port=0 adr=07 act=5FB1BF0D571E518F83 exp=5FB1BF0D571E518F83 + 19372.50ns INFO [00019374] * RD COMPARE * port=1 adr=07 act=5FB1BF0D571E518F83 exp=5FB1BF0D571E518F83 + 19372.50ns INFO [00019374] Port=0 RD @00 + 19373.50ns INFO [00019375] Port=0 RD @03 + 19373.50ns INFO [00019375] Port=1 RD @07 + 19374.50ns INFO [00019376] * RD COMPARE * port=0 adr=00 act=0AE5B9C21169FFA228 exp=0AE5B9C21169FFA228 + 19375.50ns INFO [00019377] * RD COMPARE * port=0 adr=03 act=6872D674DAEBC2ABDD exp=6872D674DAEBC2ABDD + 19375.50ns INFO [00019377] * RD COMPARE * port=1 adr=07 act=5FB1BF0D571E518F83 exp=5FB1BF0D571E518F83 + 19375.50ns INFO [00019377] Port=0 RD @03 + 19375.50ns INFO [00019377] Port=1 RD @01 + 19377.50ns INFO [00019379] * RD COMPARE * port=0 adr=03 act=6872D674DAEBC2ABDD exp=6872D674DAEBC2ABDD + 19377.50ns INFO [00019379] * RD COMPARE * port=1 adr=01 act=A595F6D6050A06C762 exp=A595F6D6050A06C762 + 19377.50ns INFO [00019379] Port=0 RD @06 + 19377.50ns INFO [00019379] Port=1 RD @05 + 19378.50ns INFO [00019380] Port=0 WR @01=35300BE6956DD29E6C + 19379.50ns INFO [00019381] * RD COMPARE * port=0 adr=06 act=F39C7468B1375B7251 exp=F39C7468B1375B7251 + 19379.50ns INFO [00019381] * RD COMPARE * port=1 adr=05 act=74EEE0448B67C15D4D exp=74EEE0448B67C15D4D + 19379.50ns INFO [00019381] Port=0 WR @06=46D8373AADF7F8A93A + 19379.50ns INFO [00019381] Port=1 RD @03 + 19380.50ns INFO [00019382] Port=0 RD @06 + 19380.50ns INFO [00019382] Port=1 RD @04 + 19381.50ns INFO [00019383] * RD COMPARE * port=1 adr=03 act=6872D674DAEBC2ABDD exp=6872D674DAEBC2ABDD + 19381.50ns INFO [00019383] Port=1 RD @03 + 19382.50ns INFO [00019384] * RD COMPARE * port=0 adr=06 act=46D8373AADF7F8A93A exp=46D8373AADF7F8A93A + 19382.50ns INFO [00019384] * RD COMPARE * port=1 adr=04 act=93F547AE27D4CD749A exp=93F547AE27D4CD749A + 19382.50ns INFO [00019384] Port=0 WR @03=A6AA625CFAAE7CDD0F + 19383.50ns INFO [00019385] * RD COMPARE * port=1 adr=03 act=6872D674DAEBC2ABDD exp=6872D674DAEBC2ABDD + 19383.50ns INFO [00019385] Port=0 WR @07=ACBD9591484F5535E9 + 19383.50ns INFO [00019385] Port=0 RD @00 + 19383.50ns INFO [00019385] Port=1 RD @00 + 19384.50ns INFO [00019386] Port=0 WR @07=95D1E151ECBBB5DE48 + 19384.50ns INFO [00019386] Port=0 RD @04 + 19384.50ns INFO [00019386] Port=1 RD @00 + 19385.50ns INFO [00019387] * RD COMPARE * port=0 adr=00 act=0AE5B9C21169FFA228 exp=0AE5B9C21169FFA228 + 19385.50ns INFO [00019387] * RD COMPARE * port=1 adr=00 act=0AE5B9C21169FFA228 exp=0AE5B9C21169FFA228 + 19385.50ns INFO [00019387] Port=0 WR @00=43B0E0A8F44E8B9C74 + 19386.50ns INFO [00019388] * RD COMPARE * port=0 adr=04 act=93F547AE27D4CD749A exp=93F547AE27D4CD749A + 19386.50ns INFO [00019388] * RD COMPARE * port=1 adr=00 act=0AE5B9C21169FFA228 exp=0AE5B9C21169FFA228 + 19386.50ns INFO [00019388] Port=0 RD @01 + 19386.50ns INFO [00019388] Port=1 RD @06 + 19387.50ns INFO [00019389] Port=0 RD @04 + 19388.50ns INFO [00019390] * RD COMPARE * port=0 adr=01 act=35300BE6956DD29E6C exp=35300BE6956DD29E6C + 19388.50ns INFO [00019390] * RD COMPARE * port=1 adr=06 act=46D8373AADF7F8A93A exp=46D8373AADF7F8A93A + 19388.50ns INFO [00019390] Port=0 WR @07=120710E8A1B4A6AACE + 19388.50ns INFO [00019390] Port=0 RD @03 + 19389.50ns INFO [00019391] * RD COMPARE * port=0 adr=04 act=93F547AE27D4CD749A exp=93F547AE27D4CD749A + 19389.50ns INFO [00019391] Port=0 RD @07 + 19390.50ns INFO [00019392] * RD COMPARE * port=0 adr=03 act=A6AA625CFAAE7CDD0F exp=A6AA625CFAAE7CDD0F + 19390.50ns INFO [00019392] Port=0 WR @07=563D648DB12CDE510A + 19391.50ns INFO [00019393] * RD COMPARE * port=0 adr=07 act=120710E8A1B4A6AACE exp=120710E8A1B4A6AACE + 19391.50ns INFO [00019393] Port=0 RD @02 + 19392.50ns INFO [00019394] Port=0 WR @07=0BB8133865AABD1FF4 + 19393.50ns INFO [00019395] * RD COMPARE * port=0 adr=02 act=578CE0363709383897 exp=578CE0363709383897 + 19393.50ns INFO [00019395] Port=0 WR @06=1692737850BC2E4585 + 19393.50ns INFO [00019395] Port=0 RD @04 + 19393.50ns INFO [00019395] Port=1 RD @01 + 19394.50ns INFO [00019396] Port=0 WR @02=1A8B8DAAE20F8B640D + 19394.50ns INFO [00019396] Port=0 RD @00 + 19395.50ns INFO [00019397] * RD COMPARE * port=0 adr=04 act=93F547AE27D4CD749A exp=93F547AE27D4CD749A + 19395.50ns INFO [00019397] * RD COMPARE * port=1 adr=01 act=35300BE6956DD29E6C exp=35300BE6956DD29E6C + 19395.50ns INFO [00019397] Port=0 RD @04 + 19396.50ns INFO [00019398] * RD COMPARE * port=0 adr=00 act=43B0E0A8F44E8B9C74 exp=43B0E0A8F44E8B9C74 + 19396.50ns INFO [00019398] Port=0 RD @04 + 19397.50ns INFO [00019399] * RD COMPARE * port=0 adr=04 act=93F547AE27D4CD749A exp=93F547AE27D4CD749A + 19398.00ns INFO [00019400] [00019400] ...tick... + 19398.50ns INFO [00019400] * RD COMPARE * port=0 adr=04 act=93F547AE27D4CD749A exp=93F547AE27D4CD749A + 19398.50ns INFO [00019400] Port=0 WR @04=C5FA53403B497A1C90 + 19400.50ns INFO [00019402] Port=0 WR @02=90D00854D6D82653C8 + 19400.50ns INFO [00019402] Port=1 RD @06 + 19401.50ns INFO [00019403] Port=0 WR @03=BAE104CC5DC9CBA059 + 19402.50ns INFO [00019404] * RD COMPARE * port=1 adr=06 act=1692737850BC2E4585 exp=1692737850BC2E4585 + 19403.50ns INFO [00019405] Port=0 WR @02=62505EFB0F77A5BF2F + 19405.50ns INFO [00019407] Port=1 RD @02 + 19406.50ns INFO [00019408] Port=1 RD @07 + 19407.50ns INFO [00019409] * RD COMPARE * port=1 adr=02 act=62505EFB0F77A5BF2F exp=62505EFB0F77A5BF2F + 19407.50ns INFO [00019409] Port=0 WR @00=D5E8C6EAF74155C8A1 + 19407.50ns INFO [00019409] Port=1 RD @01 + 19408.50ns INFO [00019410] * RD COMPARE * port=1 adr=07 act=0BB8133865AABD1FF4 exp=0BB8133865AABD1FF4 + 19408.50ns INFO [00019410] Port=0 WR @03=77B1F6C2DAA4FE0C72 + 19408.50ns INFO [00019410] Port=1 RD @01 + 19409.50ns INFO [00019411] * RD COMPARE * port=1 adr=01 act=35300BE6956DD29E6C exp=35300BE6956DD29E6C + 19409.50ns INFO [00019411] Port=0 WR @00=409B0FA7736D6B5001 + 19409.50ns INFO [00019411] Port=0 RD @04 + 19409.50ns INFO [00019411] Port=1 RD @04 + 19410.50ns INFO [00019412] * RD COMPARE * port=1 adr=01 act=35300BE6956DD29E6C exp=35300BE6956DD29E6C + 19410.50ns INFO [00019412] Port=0 RD @03 + 19411.50ns INFO [00019413] * RD COMPARE * port=0 adr=04 act=C5FA53403B497A1C90 exp=C5FA53403B497A1C90 + 19411.50ns INFO [00019413] * RD COMPARE * port=1 adr=04 act=C5FA53403B497A1C90 exp=C5FA53403B497A1C90 + 19412.50ns INFO [00019414] * RD COMPARE * port=0 adr=03 act=77B1F6C2DAA4FE0C72 exp=77B1F6C2DAA4FE0C72 + 19412.50ns INFO [00019414] Port=0 WR @00=7E73AA90CDF1DF9E95 + 19413.50ns INFO [00019415] Port=0 WR @05=9440A3D9F3CB573652 + 19414.50ns INFO [00019416] Port=0 RD @06 + 19416.50ns INFO [00019418] * RD COMPARE * port=0 adr=06 act=1692737850BC2E4585 exp=1692737850BC2E4585 + 19417.50ns INFO [00019419] Port=1 RD @06 + 19419.50ns INFO [00019421] * RD COMPARE * port=1 adr=06 act=1692737850BC2E4585 exp=1692737850BC2E4585 + 19419.50ns INFO [00019421] Port=0 RD @01 + 19420.50ns INFO [00019422] Port=1 RD @05 + 19421.50ns INFO [00019423] * RD COMPARE * port=0 adr=01 act=35300BE6956DD29E6C exp=35300BE6956DD29E6C + 19421.50ns INFO [00019423] Port=0 RD @04 + 19422.50ns INFO [00019424] * RD COMPARE * port=1 adr=05 act=9440A3D9F3CB573652 exp=9440A3D9F3CB573652 + 19422.50ns INFO [00019424] Port=0 RD @00 + 19422.50ns INFO [00019424] Port=1 RD @02 + 19423.50ns INFO [00019425] * RD COMPARE * port=0 adr=04 act=C5FA53403B497A1C90 exp=C5FA53403B497A1C90 + 19423.50ns INFO [00019425] Port=0 RD @03 + 19423.50ns INFO [00019425] Port=1 RD @07 + 19424.50ns INFO [00019426] * RD COMPARE * port=0 adr=00 act=7E73AA90CDF1DF9E95 exp=7E73AA90CDF1DF9E95 + 19424.50ns INFO [00019426] * RD COMPARE * port=1 adr=02 act=62505EFB0F77A5BF2F exp=62505EFB0F77A5BF2F + 19424.50ns INFO [00019426] Port=0 RD @06 + 19425.50ns INFO [00019427] * RD COMPARE * port=0 adr=03 act=77B1F6C2DAA4FE0C72 exp=77B1F6C2DAA4FE0C72 + 19425.50ns INFO [00019427] * RD COMPARE * port=1 adr=07 act=0BB8133865AABD1FF4 exp=0BB8133865AABD1FF4 + 19425.50ns INFO [00019427] Port=0 WR @04=C0670DF1540998C2F3 + 19426.50ns INFO [00019428] * RD COMPARE * port=0 adr=06 act=1692737850BC2E4585 exp=1692737850BC2E4585 + 19428.50ns INFO [00019430] Port=0 RD @07 + 19430.50ns INFO [00019432] * RD COMPARE * port=0 adr=07 act=0BB8133865AABD1FF4 exp=0BB8133865AABD1FF4 + 19430.50ns INFO [00019432] Port=0 WR @04=D6AF260A1BEECDFA30 + 19430.50ns INFO [00019432] Port=1 RD @06 + 19431.50ns INFO [00019433] Port=0 RD @02 + 19432.50ns INFO [00019434] * RD COMPARE * port=1 adr=06 act=1692737850BC2E4585 exp=1692737850BC2E4585 + 19432.50ns INFO [00019434] Port=1 RD @00 + 19433.50ns INFO [00019435] * RD COMPARE * port=0 adr=02 act=62505EFB0F77A5BF2F exp=62505EFB0F77A5BF2F + 19434.50ns INFO [00019436] * RD COMPARE * port=1 adr=00 act=7E73AA90CDF1DF9E95 exp=7E73AA90CDF1DF9E95 + 19434.50ns INFO [00019436] Port=1 RD @04 + 19436.50ns INFO [00019438] * RD COMPARE * port=1 adr=04 act=D6AF260A1BEECDFA30 exp=D6AF260A1BEECDFA30 + 19436.50ns INFO [00019438] Port=0 RD @02 + 19437.50ns INFO [00019439] Port=0 RD @04 + 19438.50ns INFO [00019440] * RD COMPARE * port=0 adr=02 act=62505EFB0F77A5BF2F exp=62505EFB0F77A5BF2F + 19438.50ns INFO [00019440] Port=0 WR @00=3E13080205459411A4 + 19439.50ns INFO [00019441] * RD COMPARE * port=0 adr=04 act=D6AF260A1BEECDFA30 exp=D6AF260A1BEECDFA30 + 19439.50ns INFO [00019441] Port=0 RD @01 + 19440.50ns INFO [00019442] Port=1 RD @01 + 19441.50ns INFO [00019443] * RD COMPARE * port=0 adr=01 act=35300BE6956DD29E6C exp=35300BE6956DD29E6C + 19441.50ns INFO [00019443] Port=0 WR @01=3F05B51F337F6E7899 + 19442.50ns INFO [00019444] * RD COMPARE * port=1 adr=01 act=35300BE6956DD29E6C exp=35300BE6956DD29E6C + 19442.50ns INFO [00019444] Port=0 RD @00 + 19443.50ns INFO [00019445] Port=0 RD @00 + 19444.50ns INFO [00019446] * RD COMPARE * port=0 adr=00 act=3E13080205459411A4 exp=3E13080205459411A4 + 19444.50ns INFO [00019446] Port=0 RD @04 + 19445.50ns INFO [00019447] * RD COMPARE * port=0 adr=00 act=3E13080205459411A4 exp=3E13080205459411A4 + 19445.50ns INFO [00019447] Port=0 WR @04=890AC959ACEE4A5CD7 + 19445.50ns INFO [00019447] Port=1 RD @05 + 19446.50ns INFO [00019448] * RD COMPARE * port=0 adr=04 act=D6AF260A1BEECDFA30 exp=D6AF260A1BEECDFA30 + 19447.50ns INFO [00019449] * RD COMPARE * port=1 adr=05 act=9440A3D9F3CB573652 exp=9440A3D9F3CB573652 + 19448.50ns INFO [00019450] Port=0 RD @00 + 19449.50ns INFO [00019451] Port=0 RD @01 + 19449.50ns INFO [00019451] Port=1 RD @05 + 19450.50ns INFO [00019452] * RD COMPARE * port=0 adr=00 act=3E13080205459411A4 exp=3E13080205459411A4 + 19450.50ns INFO [00019452] Port=0 WR @01=6C04B5E278D496D29A + 19450.50ns INFO [00019452] Port=0 RD @04 + 19450.50ns INFO [00019452] Port=1 RD @04 + 19451.50ns INFO [00019453] * RD COMPARE * port=0 adr=01 act=3F05B51F337F6E7899 exp=3F05B51F337F6E7899 + 19451.50ns INFO [00019453] * RD COMPARE * port=1 adr=05 act=9440A3D9F3CB573652 exp=9440A3D9F3CB573652 + 19451.50ns INFO [00019453] Port=0 RD @01 + 19451.50ns INFO [00019453] Port=1 RD @03 + 19452.50ns INFO [00019454] * RD COMPARE * port=0 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19452.50ns INFO [00019454] * RD COMPARE * port=1 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19452.50ns INFO [00019454] Port=0 WR @01=6F6A2A9CD5B875F5BE + 19452.50ns INFO [00019454] Port=1 RD @02 + 19453.50ns INFO [00019455] * RD COMPARE * port=0 adr=01 act=6C04B5E278D496D29A exp=6C04B5E278D496D29A + 19453.50ns INFO [00019455] * RD COMPARE * port=1 adr=03 act=77B1F6C2DAA4FE0C72 exp=77B1F6C2DAA4FE0C72 + 19454.50ns INFO [00019456] * RD COMPARE * port=1 adr=02 act=62505EFB0F77A5BF2F exp=62505EFB0F77A5BF2F + 19454.50ns INFO [00019456] Port=0 RD @04 + 19455.50ns INFO [00019457] Port=1 RD @07 + 19456.50ns INFO [00019458] * RD COMPARE * port=0 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19456.50ns INFO [00019458] Port=0 RD @05 + 19456.50ns INFO [00019458] Port=1 RD @02 + 19457.50ns INFO [00019459] * RD COMPARE * port=1 adr=07 act=0BB8133865AABD1FF4 exp=0BB8133865AABD1FF4 + 19457.50ns INFO [00019459] Port=0 RD @07 + 19457.50ns INFO [00019459] Port=1 RD @07 + 19458.50ns INFO [00019460] * RD COMPARE * port=0 adr=05 act=9440A3D9F3CB573652 exp=9440A3D9F3CB573652 + 19458.50ns INFO [00019460] * RD COMPARE * port=1 adr=02 act=62505EFB0F77A5BF2F exp=62505EFB0F77A5BF2F + 19458.50ns INFO [00019460] Port=0 WR @06=989BB9BD87FF2EF13F + 19458.50ns INFO [00019460] Port=0 RD @04 + 19459.50ns INFO [00019461] * RD COMPARE * port=0 adr=07 act=0BB8133865AABD1FF4 exp=0BB8133865AABD1FF4 + 19459.50ns INFO [00019461] * RD COMPARE * port=1 adr=07 act=0BB8133865AABD1FF4 exp=0BB8133865AABD1FF4 + 19459.50ns INFO [00019461] Port=0 WR @01=97B587308FEC42A117 + 19459.50ns INFO [00019461] Port=1 RD @00 + 19460.50ns INFO [00019462] * RD COMPARE * port=0 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19460.50ns INFO [00019462] Port=0 RD @05 + 19461.50ns INFO [00019463] * RD COMPARE * port=1 adr=00 act=3E13080205459411A4 exp=3E13080205459411A4 + 19461.50ns INFO [00019463] Port=0 WR @01=B534E49B819295C9F1 + 19461.50ns INFO [00019463] Port=1 RD @04 + 19462.50ns INFO [00019464] * RD COMPARE * port=0 adr=05 act=9440A3D9F3CB573652 exp=9440A3D9F3CB573652 + 19463.50ns INFO [00019465] * RD COMPARE * port=1 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19464.50ns INFO [00019466] Port=0 RD @00 + 19465.50ns INFO [00019467] Port=1 RD @01 + 19466.50ns INFO [00019468] * RD COMPARE * port=0 adr=00 act=3E13080205459411A4 exp=3E13080205459411A4 + 19466.50ns INFO [00019468] Port=0 RD @03 + 19467.50ns INFO [00019469] * RD COMPARE * port=1 adr=01 act=B534E49B819295C9F1 exp=B534E49B819295C9F1 + 19467.50ns INFO [00019469] Port=0 WR @03=01D6AB17574B2B9135 + 19467.50ns INFO [00019469] Port=1 RD @02 + 19468.50ns INFO [00019470] * RD COMPARE * port=0 adr=03 act=77B1F6C2DAA4FE0C72 exp=77B1F6C2DAA4FE0C72 + 19469.50ns INFO [00019471] * RD COMPARE * port=1 adr=02 act=62505EFB0F77A5BF2F exp=62505EFB0F77A5BF2F + 19472.50ns INFO [00019474] Port=0 WR @02=D4360B751052AE81A0 + 19473.50ns INFO [00019475] Port=0 RD @05 + 19473.50ns INFO [00019475] Port=1 RD @04 + 19474.50ns INFO [00019476] Port=0 WR @00=88D761811B4638F4D3 + 19474.50ns INFO [00019476] Port=0 RD @01 + 19475.50ns INFO [00019477] * RD COMPARE * port=0 adr=05 act=9440A3D9F3CB573652 exp=9440A3D9F3CB573652 + 19475.50ns INFO [00019477] * RD COMPARE * port=1 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19475.50ns INFO [00019477] Port=0 WR @02=35C1694BF7E109D86C + 19475.50ns INFO [00019477] Port=0 RD @05 + 19476.50ns INFO [00019478] * RD COMPARE * port=0 adr=01 act=B534E49B819295C9F1 exp=B534E49B819295C9F1 + 19477.50ns INFO [00019479] * RD COMPARE * port=0 adr=05 act=9440A3D9F3CB573652 exp=9440A3D9F3CB573652 + 19478.50ns INFO [00019480] Port=0 RD @04 + 19479.50ns INFO [00019481] Port=0 WR @06=0A99FA380608972BDF + 19480.50ns INFO [00019482] * RD COMPARE * port=0 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19480.50ns INFO [00019482] Port=0 RD @04 + 19480.50ns INFO [00019482] Port=1 RD @00 + 19481.50ns INFO [00019483] Port=0 WR @07=361062DFDD8F963061 + 19481.50ns INFO [00019483] Port=1 RD @03 + 19482.50ns INFO [00019484] * RD COMPARE * port=0 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19482.50ns INFO [00019484] * RD COMPARE * port=1 adr=00 act=88D761811B4638F4D3 exp=88D761811B4638F4D3 + 19482.50ns INFO [00019484] Port=1 RD @00 + 19483.50ns INFO [00019485] * RD COMPARE * port=1 adr=03 act=01D6AB17574B2B9135 exp=01D6AB17574B2B9135 + 19483.50ns INFO [00019485] Port=0 WR @02=DDFD21D7EB9E556D21 + 19483.50ns INFO [00019485] Port=1 RD @07 + 19484.50ns INFO [00019486] * RD COMPARE * port=1 adr=00 act=88D761811B4638F4D3 exp=88D761811B4638F4D3 + 19484.50ns INFO [00019486] Port=0 WR @06=F0185A2F9F631ACAE6 + 19484.50ns INFO [00019486] Port=1 RD @02 + 19485.50ns INFO [00019487] * RD COMPARE * port=1 adr=07 act=361062DFDD8F963061 exp=361062DFDD8F963061 + 19485.50ns INFO [00019487] Port=0 WR @00=D2CFAFFBD334ADC4C8 + 19485.50ns INFO [00019487] Port=1 RD @07 + 19486.50ns INFO [00019488] * RD COMPARE * port=1 adr=02 act=DDFD21D7EB9E556D21 exp=DDFD21D7EB9E556D21 + 19487.50ns INFO [00019489] * RD COMPARE * port=1 adr=07 act=361062DFDD8F963061 exp=361062DFDD8F963061 + 19488.50ns INFO [00019490] Port=0 WR @01=311EBC0F902B393F04 + 19489.50ns INFO [00019491] Port=0 RD @03 + 19489.50ns INFO [00019491] Port=1 RD @06 + 19490.50ns INFO [00019492] Port=0 WR @03=83432769B3586E19AA + 19490.50ns INFO [00019492] Port=0 RD @02 + 19490.50ns INFO [00019492] Port=1 RD @07 + 19491.50ns INFO [00019493] * RD COMPARE * port=0 adr=03 act=01D6AB17574B2B9135 exp=01D6AB17574B2B9135 + 19491.50ns INFO [00019493] * RD COMPARE * port=1 adr=06 act=F0185A2F9F631ACAE6 exp=F0185A2F9F631ACAE6 + 19492.50ns INFO [00019494] * RD COMPARE * port=0 adr=02 act=DDFD21D7EB9E556D21 exp=DDFD21D7EB9E556D21 + 19492.50ns INFO [00019494] * RD COMPARE * port=1 adr=07 act=361062DFDD8F963061 exp=361062DFDD8F963061 + 19492.50ns INFO [00019494] Port=1 RD @03 + 19493.50ns INFO [00019495] Port=0 RD @04 + 19493.50ns INFO [00019495] Port=1 RD @02 + 19494.50ns INFO [00019496] * RD COMPARE * port=1 adr=03 act=83432769B3586E19AA exp=83432769B3586E19AA + 19494.50ns INFO [00019496] Port=0 RD @01 + 19494.50ns INFO [00019496] Port=1 RD @05 + 19495.50ns INFO [00019497] * RD COMPARE * port=0 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19495.50ns INFO [00019497] * RD COMPARE * port=1 adr=02 act=DDFD21D7EB9E556D21 exp=DDFD21D7EB9E556D21 + 19495.50ns INFO [00019497] Port=0 RD @00 + 19495.50ns INFO [00019497] Port=1 RD @05 + 19496.50ns INFO [00019498] * RD COMPARE * port=0 adr=01 act=311EBC0F902B393F04 exp=311EBC0F902B393F04 + 19496.50ns INFO [00019498] * RD COMPARE * port=1 adr=05 act=9440A3D9F3CB573652 exp=9440A3D9F3CB573652 + 19496.50ns INFO [00019498] Port=0 WR @07=D84AC7825C4B6A49BF + 19497.50ns INFO [00019499] * RD COMPARE * port=0 adr=00 act=D2CFAFFBD334ADC4C8 exp=D2CFAFFBD334ADC4C8 + 19497.50ns INFO [00019499] * RD COMPARE * port=1 adr=05 act=9440A3D9F3CB573652 exp=9440A3D9F3CB573652 + 19497.50ns INFO [00019499] Port=0 RD @06 + 19498.00ns INFO [00019500] [00019500] ...tick... + 19498.50ns INFO [00019500] Port=1 RD @01 + 19499.50ns INFO [00019501] * RD COMPARE * port=0 adr=06 act=F0185A2F9F631ACAE6 exp=F0185A2F9F631ACAE6 + 19499.50ns INFO [00019501] Port=0 WR @00=928900220707209FFB + 19500.50ns INFO [00019502] * RD COMPARE * port=1 adr=01 act=311EBC0F902B393F04 exp=311EBC0F902B393F04 + 19501.50ns INFO [00019503] Port=1 RD @06 + 19502.50ns INFO [00019504] Port=0 WR @02=936C57350015082E8C + 19502.50ns INFO [00019504] Port=0 RD @01 + 19503.50ns INFO [00019505] * RD COMPARE * port=1 adr=06 act=F0185A2F9F631ACAE6 exp=F0185A2F9F631ACAE6 + 19503.50ns INFO [00019505] Port=0 WR @05=BAB157A068BD950121 + 19504.50ns INFO [00019506] * RD COMPARE * port=0 adr=01 act=311EBC0F902B393F04 exp=311EBC0F902B393F04 + 19505.50ns INFO [00019507] Port=0 RD @03 + 19506.50ns INFO [00019508] Port=0 RD @06 + 19507.50ns INFO [00019509] * RD COMPARE * port=0 adr=03 act=83432769B3586E19AA exp=83432769B3586E19AA + 19507.50ns INFO [00019509] Port=1 RD @00 + 19508.50ns INFO [00019510] * RD COMPARE * port=0 adr=06 act=F0185A2F9F631ACAE6 exp=F0185A2F9F631ACAE6 + 19508.50ns INFO [00019510] Port=1 RD @02 + 19509.50ns INFO [00019511] * RD COMPARE * port=1 adr=00 act=928900220707209FFB exp=928900220707209FFB + 19509.50ns INFO [00019511] Port=0 RD @06 + 19509.50ns INFO [00019511] Port=1 RD @07 + 19510.50ns INFO [00019512] * RD COMPARE * port=1 adr=02 act=936C57350015082E8C exp=936C57350015082E8C + 19510.50ns INFO [00019512] Port=1 RD @06 + 19511.50ns INFO [00019513] * RD COMPARE * port=0 adr=06 act=F0185A2F9F631ACAE6 exp=F0185A2F9F631ACAE6 + 19511.50ns INFO [00019513] * RD COMPARE * port=1 adr=07 act=D84AC7825C4B6A49BF exp=D84AC7825C4B6A49BF + 19511.50ns INFO [00019513] Port=0 WR @07=F7BCCE7A668AC4C855 + 19511.50ns INFO [00019513] Port=0 RD @01 + 19512.50ns INFO [00019514] * RD COMPARE * port=1 adr=06 act=F0185A2F9F631ACAE6 exp=F0185A2F9F631ACAE6 + 19512.50ns INFO [00019514] Port=1 RD @06 + 19513.50ns INFO [00019515] * RD COMPARE * port=0 adr=01 act=311EBC0F902B393F04 exp=311EBC0F902B393F04 + 19513.50ns INFO [00019515] Port=1 RD @00 + 19514.50ns INFO [00019516] * RD COMPARE * port=1 adr=06 act=F0185A2F9F631ACAE6 exp=F0185A2F9F631ACAE6 + 19515.50ns INFO [00019517] * RD COMPARE * port=1 adr=00 act=928900220707209FFB exp=928900220707209FFB + 19516.50ns INFO [00019518] Port=0 WR @05=3123B05C1322F0115D + 19516.50ns INFO [00019518] Port=0 RD @01 + 19516.50ns INFO [00019518] Port=1 RD @04 + 19517.50ns INFO [00019519] Port=0 WR @07=0CC8EC986C3BFB516E + 19517.50ns INFO [00019519] Port=0 RD @05 + 19517.50ns INFO [00019519] Port=1 RD @04 + 19518.50ns INFO [00019520] * RD COMPARE * port=0 adr=01 act=311EBC0F902B393F04 exp=311EBC0F902B393F04 + 19518.50ns INFO [00019520] * RD COMPARE * port=1 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19518.50ns INFO [00019520] Port=1 RD @06 + 19519.50ns INFO [00019521] * RD COMPARE * port=0 adr=05 act=3123B05C1322F0115D exp=3123B05C1322F0115D + 19519.50ns INFO [00019521] * RD COMPARE * port=1 adr=04 act=890AC959ACEE4A5CD7 exp=890AC959ACEE4A5CD7 + 19520.50ns INFO [00019522] * RD COMPARE * port=1 adr=06 act=F0185A2F9F631ACAE6 exp=F0185A2F9F631ACAE6 + 19520.50ns INFO [00019522] Port=0 WR @07=E5F8A809E901046A3A + 19522.50ns INFO [00019524] Port=0 WR @06=B7C0C6BFFAAA1FADF2 + 19522.50ns INFO [00019524] Port=0 RD @07 + 19523.50ns INFO [00019525] Port=0 RD @02 + 19524.50ns INFO [00019526] * RD COMPARE * port=0 adr=07 act=E5F8A809E901046A3A exp=E5F8A809E901046A3A + 19524.50ns INFO [00019526] Port=0 WR @07=5331C7A84CF5546E17 + 19524.50ns INFO [00019526] Port=1 RD @03 + 19525.50ns INFO [00019527] * RD COMPARE * port=0 adr=02 act=936C57350015082E8C exp=936C57350015082E8C + 19526.50ns INFO [00019528] * RD COMPARE * port=1 adr=03 act=83432769B3586E19AA exp=83432769B3586E19AA + 19526.50ns INFO [00019528] Port=0 WR @01=A4B41131378DA3EC49 + 19526.50ns INFO [00019528] Port=1 RD @00 + 19527.50ns INFO [00019529] Port=0 WR @01=FA8E8D6083F4FFFD91 + 19528.50ns INFO [00019530] * RD COMPARE * port=1 adr=00 act=928900220707209FFB exp=928900220707209FFB + 19528.50ns INFO [00019530] Port=0 WR @03=E55E44C9EE4136D8B2 + 19528.50ns INFO [00019530] Port=0 RD @02 + 19529.50ns INFO [00019531] Port=0 WR @00=F292492BAEA0A4A27B + 19529.50ns INFO [00019531] Port=1 RD @02 + 19530.50ns INFO [00019532] * RD COMPARE * port=0 adr=02 act=936C57350015082E8C exp=936C57350015082E8C + 19531.50ns INFO [00019533] * RD COMPARE * port=1 adr=02 act=936C57350015082E8C exp=936C57350015082E8C + 19531.50ns INFO [00019533] Port=0 WR @04=37D756A21FFA9A3505 + 19531.50ns INFO [00019533] Port=1 RD @01 + 19533.50ns INFO [00019535] * RD COMPARE * port=1 adr=01 act=FA8E8D6083F4FFFD91 exp=FA8E8D6083F4FFFD91 + 19533.50ns INFO [00019535] Port=1 RD @03 + 19535.50ns INFO [00019537] * RD COMPARE * port=1 adr=03 act=E55E44C9EE4136D8B2 exp=E55E44C9EE4136D8B2 + 19536.50ns INFO [00019538] Port=0 WR @05=8F18DA119F48AE0B82 + 19537.50ns INFO [00019539] Port=0 WR @02=2413AFFBE111DC76C4 + 19540.50ns INFO [00019542] Port=0 WR @06=7E980F393FDA808F3C + 19540.50ns INFO [00019542] Port=0 RD @02 + 19541.50ns INFO [00019543] Port=0 RD @03 + 19542.50ns INFO [00019544] * RD COMPARE * port=0 adr=02 act=2413AFFBE111DC76C4 exp=2413AFFBE111DC76C4 + 19542.50ns INFO [00019544] Port=0 WR @05=EA4597BC042DB36DC6 + 19543.50ns INFO [00019545] * RD COMPARE * port=0 adr=03 act=E55E44C9EE4136D8B2 exp=E55E44C9EE4136D8B2 + 19547.50ns INFO [00019549] Port=0 RD @04 + 19549.50ns INFO [00019551] * RD COMPARE * port=0 adr=04 act=37D756A21FFA9A3505 exp=37D756A21FFA9A3505 + 19550.50ns INFO [00019552] Port=1 RD @03 + 19551.50ns INFO [00019553] Port=0 RD @06 + 19551.50ns INFO [00019553] Port=1 RD @01 + 19552.50ns INFO [00019554] * RD COMPARE * port=1 adr=03 act=E55E44C9EE4136D8B2 exp=E55E44C9EE4136D8B2 + 19553.50ns INFO [00019555] * RD COMPARE * port=0 adr=06 act=7E980F393FDA808F3C exp=7E980F393FDA808F3C + 19553.50ns INFO [00019555] * RD COMPARE * port=1 adr=01 act=FA8E8D6083F4FFFD91 exp=FA8E8D6083F4FFFD91 + 19554.50ns INFO [00019556] Port=0 RD @04 + 19555.50ns INFO [00019557] Port=0 WR @05=6DBD3418AAF3087311 + 19555.50ns INFO [00019557] Port=1 RD @02 + 19556.50ns INFO [00019558] * RD COMPARE * port=0 adr=04 act=37D756A21FFA9A3505 exp=37D756A21FFA9A3505 + 19556.50ns INFO [00019558] Port=0 RD @04 + 19556.50ns INFO [00019558] Port=1 RD @03 + 19557.50ns INFO [00019559] * RD COMPARE * port=1 adr=02 act=2413AFFBE111DC76C4 exp=2413AFFBE111DC76C4 + 19557.50ns INFO [00019559] Port=0 WR @00=2482F9C46BEB8C86EF + 19557.50ns INFO [00019559] Port=0 RD @04 + 19558.50ns INFO [00019560] * RD COMPARE * port=0 adr=04 act=37D756A21FFA9A3505 exp=37D756A21FFA9A3505 + 19558.50ns INFO [00019560] * RD COMPARE * port=1 adr=03 act=E55E44C9EE4136D8B2 exp=E55E44C9EE4136D8B2 + 19558.50ns INFO [00019560] Port=0 RD @07 + 19559.50ns INFO [00019561] * RD COMPARE * port=0 adr=04 act=37D756A21FFA9A3505 exp=37D756A21FFA9A3505 + 19559.50ns INFO [00019561] Port=0 WR @02=F8A6211F7D7120199B + 19560.50ns INFO [00019562] * RD COMPARE * port=0 adr=07 act=5331C7A84CF5546E17 exp=5331C7A84CF5546E17 + 19560.50ns INFO [00019562] Port=0 WR @04=99DCA12E517D13C168 + 19561.50ns INFO [00019563] Port=0 WR @04=442C2537F438859716 + 19562.50ns INFO [00019564] Port=0 WR @00=30DE841AF806F5D0E4 + 19562.50ns INFO [00019564] Port=0 RD @05 + 19563.50ns INFO [00019565] Port=0 WR @00=72056E09B2EDE5785D + 19563.50ns INFO [00019565] Port=0 RD @01 + 19564.50ns INFO [00019566] * RD COMPARE * port=0 adr=05 act=6DBD3418AAF3087311 exp=6DBD3418AAF3087311 + 19564.50ns INFO [00019566] Port=0 WR @03=A9276E5D22DA9BD39B + 19565.50ns INFO [00019567] * RD COMPARE * port=0 adr=01 act=FA8E8D6083F4FFFD91 exp=FA8E8D6083F4FFFD91 + 19565.50ns INFO [00019567] Port=1 RD @01 + 19566.50ns INFO [00019568] Port=1 RD @00 + 19567.50ns INFO [00019569] * RD COMPARE * port=1 adr=01 act=FA8E8D6083F4FFFD91 exp=FA8E8D6083F4FFFD91 + 19567.50ns INFO [00019569] Port=0 WR @00=102825F29D572C2174 + 19567.50ns INFO [00019569] Port=0 RD @02 + 19567.50ns INFO [00019569] Port=1 RD @01 + 19568.50ns INFO [00019570] * RD COMPARE * port=1 adr=00 act=72056E09B2EDE5785D exp=72056E09B2EDE5785D + 19568.50ns INFO [00019570] Port=0 WR @06=4B1E071F4218F9ACE0 + 19568.50ns INFO [00019570] Port=1 RD @04 + 19569.50ns INFO [00019571] * RD COMPARE * port=0 adr=02 act=F8A6211F7D7120199B exp=F8A6211F7D7120199B + 19569.50ns INFO [00019571] * RD COMPARE * port=1 adr=01 act=FA8E8D6083F4FFFD91 exp=FA8E8D6083F4FFFD91 + 19570.50ns INFO [00019572] * RD COMPARE * port=1 adr=04 act=442C2537F438859716 exp=442C2537F438859716 + 19571.50ns INFO [00019573] Port=1 RD @06 + 19572.50ns INFO [00019574] Port=0 RD @07 + 19573.50ns INFO [00019575] * RD COMPARE * port=1 adr=06 act=4B1E071F4218F9ACE0 exp=4B1E071F4218F9ACE0 + 19573.50ns INFO [00019575] Port=0 RD @06 + 19574.50ns INFO [00019576] * RD COMPARE * port=0 adr=07 act=5331C7A84CF5546E17 exp=5331C7A84CF5546E17 + 19574.50ns INFO [00019576] Port=0 RD @06 + 19575.50ns INFO [00019577] * RD COMPARE * port=0 adr=06 act=4B1E071F4218F9ACE0 exp=4B1E071F4218F9ACE0 + 19575.50ns INFO [00019577] Port=1 RD @02 + 19576.50ns INFO [00019578] * RD COMPARE * port=0 adr=06 act=4B1E071F4218F9ACE0 exp=4B1E071F4218F9ACE0 + 19576.50ns INFO [00019578] Port=0 RD @06 + 19577.50ns INFO [00019579] * RD COMPARE * port=1 adr=02 act=F8A6211F7D7120199B exp=F8A6211F7D7120199B + 19578.50ns INFO [00019580] * RD COMPARE * port=0 adr=06 act=4B1E071F4218F9ACE0 exp=4B1E071F4218F9ACE0 + 19578.50ns INFO [00019580] Port=1 RD @04 + 19579.50ns INFO [00019581] Port=0 WR @02=8CFA5042B4EA1CDBA5 + 19580.50ns INFO [00019582] * RD COMPARE * port=1 adr=04 act=442C2537F438859716 exp=442C2537F438859716 + 19581.50ns INFO [00019583] Port=0 WR @05=9E35F52130B2D5D8FD + 19581.50ns INFO [00019583] Port=0 RD @03 + 19582.50ns INFO [00019584] Port=0 WR @05=9E45F9CADC757C6DCD + 19583.50ns INFO [00019585] * RD COMPARE * port=0 adr=03 act=A9276E5D22DA9BD39B exp=A9276E5D22DA9BD39B + 19584.50ns INFO [00019586] Port=0 WR @03=B7B5779363AE264E69 + 19585.50ns INFO [00019587] Port=0 WR @00=1339A88FA5118700E3 + 19585.50ns INFO [00019587] Port=1 RD @03 + 19587.50ns INFO [00019589] * RD COMPARE * port=1 adr=03 act=B7B5779363AE264E69 exp=B7B5779363AE264E69 + 19587.50ns INFO [00019589] Port=1 RD @04 + 19588.50ns INFO [00019590] Port=1 RD @01 + 19589.50ns INFO [00019591] * RD COMPARE * port=1 adr=04 act=442C2537F438859716 exp=442C2537F438859716 + 19590.50ns INFO [00019592] * RD COMPARE * port=1 adr=01 act=FA8E8D6083F4FFFD91 exp=FA8E8D6083F4FFFD91 + 19590.50ns INFO [00019592] Port=0 RD @03 + 19591.50ns INFO [00019593] Port=0 RD @02 + 19591.50ns INFO [00019593] Port=1 RD @05 + 19592.50ns INFO [00019594] * RD COMPARE * port=0 adr=03 act=B7B5779363AE264E69 exp=B7B5779363AE264E69 + 19593.50ns INFO [00019595] * RD COMPARE * port=0 adr=02 act=8CFA5042B4EA1CDBA5 exp=8CFA5042B4EA1CDBA5 + 19593.50ns INFO [00019595] * RD COMPARE * port=1 adr=05 act=9E45F9CADC757C6DCD exp=9E45F9CADC757C6DCD + 19593.50ns INFO [00019595] Port=0 WR @00=123F6E398A40825A46 + 19594.50ns INFO [00019596] Port=1 RD @04 + 19595.50ns INFO [00019597] Port=0 WR @01=21B98C1EA4E43296F2 + 19596.50ns INFO [00019598] * RD COMPARE * port=1 adr=04 act=442C2537F438859716 exp=442C2537F438859716 + 19596.50ns INFO [00019598] Port=1 RD @03 + 19597.50ns INFO [00019599] Port=0 WR @05=65BA75719B9CBC2302 + 19597.50ns INFO [00019599] Port=0 RD @03 + 19597.50ns INFO [00019599] Port=1 RD @00 + 19598.00ns INFO [00019600] [00019600] ...tick... + 19598.50ns INFO [00019600] * RD COMPARE * port=1 adr=03 act=B7B5779363AE264E69 exp=B7B5779363AE264E69 + 19598.50ns INFO [00019600] Port=0 WR @01=C0B08C4A0A5C8C6422 + 19598.50ns INFO [00019600] Port=1 RD @07 + 19599.50ns INFO [00019601] * RD COMPARE * port=0 adr=03 act=B7B5779363AE264E69 exp=B7B5779363AE264E69 + 19599.50ns INFO [00019601] * RD COMPARE * port=1 adr=00 act=123F6E398A40825A46 exp=123F6E398A40825A46 + 19599.50ns INFO [00019601] Port=0 RD @00 + 19600.50ns INFO [00019602] * RD COMPARE * port=1 adr=07 act=5331C7A84CF5546E17 exp=5331C7A84CF5546E17 + 19600.50ns INFO [00019602] Port=1 RD @05 + 19601.50ns INFO [00019603] * RD COMPARE * port=0 adr=00 act=123F6E398A40825A46 exp=123F6E398A40825A46 + 19601.50ns INFO [00019603] Port=0 RD @02 + 19602.50ns INFO [00019604] * RD COMPARE * port=1 adr=05 act=65BA75719B9CBC2302 exp=65BA75719B9CBC2302 + 19602.50ns INFO [00019604] Port=0 WR @05=5142F8C4C1D2F83230 + 19602.50ns INFO [00019604] Port=0 RD @02 + 19603.50ns INFO [00019605] * RD COMPARE * port=0 adr=02 act=8CFA5042B4EA1CDBA5 exp=8CFA5042B4EA1CDBA5 + 19604.50ns INFO [00019606] * RD COMPARE * port=0 adr=02 act=8CFA5042B4EA1CDBA5 exp=8CFA5042B4EA1CDBA5 + 19605.50ns INFO [00019607] Port=1 RD @05 + 19607.50ns INFO [00019609] * RD COMPARE * port=1 adr=05 act=5142F8C4C1D2F83230 exp=5142F8C4C1D2F83230 + 19608.50ns INFO [00019610] Port=0 WR @01=F7CFCF6CF2734A5F29 + 19608.50ns INFO [00019610] Port=1 RD @07 + 19609.50ns INFO [00019611] Port=0 WR @06=55E297C7412C18A08A + 19610.50ns INFO [00019612] * RD COMPARE * port=1 adr=07 act=5331C7A84CF5546E17 exp=5331C7A84CF5546E17 + 19610.50ns INFO [00019612] Port=0 WR @06=37334C194994FCDA94 + 19611.50ns INFO [00019613] Port=1 RD @03 + 19612.50ns INFO [00019614] Port=1 RD @06 + 19613.50ns INFO [00019615] * RD COMPARE * port=1 adr=03 act=B7B5779363AE264E69 exp=B7B5779363AE264E69 + 19614.50ns INFO [00019616] * RD COMPARE * port=1 adr=06 act=37334C194994FCDA94 exp=37334C194994FCDA94 + 19614.50ns INFO [00019616] Port=0 RD @07 + 19614.50ns INFO [00019616] Port=1 RD @05 + 19615.50ns INFO [00019617] Port=0 WR @00=085A8D30C84D60ACBF + 19616.50ns INFO [00019618] * RD COMPARE * port=0 adr=07 act=5331C7A84CF5546E17 exp=5331C7A84CF5546E17 + 19616.50ns INFO [00019618] * RD COMPARE * port=1 adr=05 act=5142F8C4C1D2F83230 exp=5142F8C4C1D2F83230 + 19616.50ns INFO [00019618] Port=0 RD @02 + 19616.50ns INFO [00019618] Port=1 RD @02 + 19617.50ns INFO [00019619] Port=1 RD @04 + 19618.50ns INFO [00019620] * RD COMPARE * port=0 adr=02 act=8CFA5042B4EA1CDBA5 exp=8CFA5042B4EA1CDBA5 + 19618.50ns INFO [00019620] * RD COMPARE * port=1 adr=02 act=8CFA5042B4EA1CDBA5 exp=8CFA5042B4EA1CDBA5 + 19618.50ns INFO [00019620] Port=0 RD @07 + 19618.50ns INFO [00019620] Port=1 RD @05 + 19619.50ns INFO [00019621] * RD COMPARE * port=1 adr=04 act=442C2537F438859716 exp=442C2537F438859716 + 19619.50ns INFO [00019621] Port=0 WR @03=2BFA231E0AAE22F3AC + 19619.50ns INFO [00019621] Port=0 RD @02 + 19620.50ns INFO [00019622] * RD COMPARE * port=0 adr=07 act=5331C7A84CF5546E17 exp=5331C7A84CF5546E17 + 19620.50ns INFO [00019622] * RD COMPARE * port=1 adr=05 act=5142F8C4C1D2F83230 exp=5142F8C4C1D2F83230 + 19620.50ns INFO [00019622] Port=0 WR @03=CB8844F7E8C07D203E + 19620.50ns INFO [00019622] Port=1 RD @05 + 19621.50ns INFO [00019623] * RD COMPARE * port=0 adr=02 act=8CFA5042B4EA1CDBA5 exp=8CFA5042B4EA1CDBA5 + 19621.50ns INFO [00019623] Port=0 WR @07=84DF56AB5B5545614F + 19621.50ns INFO [00019623] Port=1 RD @05 + 19622.50ns INFO [00019624] * RD COMPARE * port=1 adr=05 act=5142F8C4C1D2F83230 exp=5142F8C4C1D2F83230 + 19622.50ns INFO [00019624] Port=0 RD @00 + 19623.50ns INFO [00019625] * RD COMPARE * port=1 adr=05 act=5142F8C4C1D2F83230 exp=5142F8C4C1D2F83230 + 19623.50ns INFO [00019625] Port=0 WR @00=1E393B88419829851B + 19624.50ns INFO [00019626] * RD COMPARE * port=0 adr=00 act=085A8D30C84D60ACBF exp=085A8D30C84D60ACBF + 19625.50ns INFO [00019627] Port=0 RD @01 + 19625.50ns INFO [00019627] Port=1 RD @06 + 19626.50ns INFO [00019628] Port=0 RD @00 + 19627.50ns INFO [00019629] * RD COMPARE * port=0 adr=01 act=F7CFCF6CF2734A5F29 exp=F7CFCF6CF2734A5F29 + 19627.50ns INFO [00019629] * RD COMPARE * port=1 adr=06 act=37334C194994FCDA94 exp=37334C194994FCDA94 + 19627.50ns INFO [00019629] Port=0 RD @06 + 19628.50ns INFO [00019630] * RD COMPARE * port=0 adr=00 act=1E393B88419829851B exp=1E393B88419829851B + 19628.50ns INFO [00019630] Port=0 WR @06=03BCAE600B344A6C5F + 19628.50ns INFO [00019630] Port=0 RD @00 + 19629.50ns INFO [00019631] * RD COMPARE * port=0 adr=06 act=37334C194994FCDA94 exp=37334C194994FCDA94 + 19629.50ns INFO [00019631] Port=0 WR @07=26B73DAB45F6C398F2 + 19630.50ns INFO [00019632] * RD COMPARE * port=0 adr=00 act=1E393B88419829851B exp=1E393B88419829851B + 19630.50ns INFO [00019632] Port=0 WR @03=BFB02644B5EBF337A9 + 19631.50ns INFO [00019633] Port=0 WR @02=A60A264B081A0FB00B + 19631.50ns INFO [00019633] Port=0 RD @01 + 19631.50ns INFO [00019633] Port=1 RD @06 + 19633.50ns INFO [00019635] * RD COMPARE * port=0 adr=01 act=F7CFCF6CF2734A5F29 exp=F7CFCF6CF2734A5F29 + 19633.50ns INFO [00019635] * RD COMPARE * port=1 adr=06 act=03BCAE600B344A6C5F exp=03BCAE600B344A6C5F + 19634.50ns INFO [00019636] Port=0 WR @04=2513C260ECDCDAF545 + 19635.50ns INFO [00019637] Port=0 WR @04=C87CD85B769F2F9387 + 19635.50ns INFO [00019637] Port=0 RD @05 + 19636.50ns INFO [00019638] Port=0 WR @04=06191B6BD560FF077E + 19637.50ns INFO [00019639] * RD COMPARE * port=0 adr=05 act=5142F8C4C1D2F83230 exp=5142F8C4C1D2F83230 + 19637.50ns INFO [00019639] Port=0 WR @06=45144D09EB5A97CC60 + 19637.50ns INFO [00019639] Port=0 RD @03 + 19639.50ns INFO [00019641] * RD COMPARE * port=0 adr=03 act=BFB02644B5EBF337A9 exp=BFB02644B5EBF337A9 + 19639.50ns INFO [00019641] Port=0 RD @06 + 19639.50ns INFO [00019641] Port=1 RD @04 + 19640.50ns INFO [00019642] Port=0 WR @05=6F6A2F9036DC2E5D55 + 19640.50ns INFO [00019642] Port=1 RD @07 + 19641.50ns INFO [00019643] * RD COMPARE * port=0 adr=06 act=45144D09EB5A97CC60 exp=45144D09EB5A97CC60 + 19641.50ns INFO [00019643] * RD COMPARE * port=1 adr=04 act=06191B6BD560FF077E exp=06191B6BD560FF077E + 19641.50ns INFO [00019643] Port=1 RD @07 + 19642.50ns INFO [00019644] * RD COMPARE * port=1 adr=07 act=26B73DAB45F6C398F2 exp=26B73DAB45F6C398F2 + 19642.50ns INFO [00019644] Port=0 WR @02=9991A32327ADFA9C34 + 19642.50ns INFO [00019644] Port=0 RD @01 + 19643.50ns INFO [00019645] * RD COMPARE * port=1 adr=07 act=26B73DAB45F6C398F2 exp=26B73DAB45F6C398F2 + 19643.50ns INFO [00019645] Port=0 WR @05=4F6E426F52D32E6AD6 + 19643.50ns INFO [00019645] Port=0 RD @03 + 19644.50ns INFO [00019646] * RD COMPARE * port=0 adr=01 act=F7CFCF6CF2734A5F29 exp=F7CFCF6CF2734A5F29 + 19644.50ns INFO [00019646] Port=0 WR @00=BC678566443C405B00 + 19644.50ns INFO [00019646] Port=0 RD @06 + 19644.50ns INFO [00019646] Port=1 RD @04 + 19645.50ns INFO [00019647] * RD COMPARE * port=0 adr=03 act=BFB02644B5EBF337A9 exp=BFB02644B5EBF337A9 + 19645.50ns INFO [00019647] Port=0 WR @04=CE88F4AF75A0499D8C + 19646.50ns INFO [00019648] * RD COMPARE * port=0 adr=06 act=45144D09EB5A97CC60 exp=45144D09EB5A97CC60 + 19646.50ns INFO [00019648] * RD COMPARE * port=1 adr=04 act=06191B6BD560FF077E exp=06191B6BD560FF077E + 19646.50ns INFO [00019648] Port=1 RD @02 + 19647.50ns INFO [00019649] Port=0 WR @00=1FB2C2F9582114CFE3 + 19647.50ns INFO [00019649] Port=0 RD @06 + 19648.50ns INFO [00019650] * RD COMPARE * port=1 adr=02 act=9991A32327ADFA9C34 exp=9991A32327ADFA9C34 + 19648.50ns INFO [00019650] Port=0 WR @03=1D84A65F4F008075D9 + 19649.50ns INFO [00019651] * RD COMPARE * port=0 adr=06 act=45144D09EB5A97CC60 exp=45144D09EB5A97CC60 + 19649.50ns INFO [00019651] Port=0 RD @01 + 19650.50ns INFO [00019652] Port=0 WR @05=8633DF0E409AD93E05 + 19650.50ns INFO [00019652] Port=0 RD @06 + 19650.50ns INFO [00019652] Port=1 RD @02 + 19651.50ns INFO [00019653] * RD COMPARE * port=0 adr=01 act=F7CFCF6CF2734A5F29 exp=F7CFCF6CF2734A5F29 + 19651.50ns INFO [00019653] Port=0 RD @05 + 19652.50ns INFO [00019654] * RD COMPARE * port=0 adr=06 act=45144D09EB5A97CC60 exp=45144D09EB5A97CC60 + 19652.50ns INFO [00019654] * RD COMPARE * port=1 adr=02 act=9991A32327ADFA9C34 exp=9991A32327ADFA9C34 + 19653.50ns INFO [00019655] * RD COMPARE * port=0 adr=05 act=8633DF0E409AD93E05 exp=8633DF0E409AD93E05 + 19655.50ns INFO [00019657] Port=0 RD @06 + 19656.50ns INFO [00019658] Port=0 WR @01=F040F7E438F02698CC + 19656.50ns INFO [00019658] Port=0 RD @06 + 19656.50ns INFO [00019658] Port=1 RD @03 + 19657.50ns INFO [00019659] * RD COMPARE * port=0 adr=06 act=45144D09EB5A97CC60 exp=45144D09EB5A97CC60 + 19657.50ns INFO [00019659] Port=1 RD @01 + 19658.50ns INFO [00019660] * RD COMPARE * port=0 adr=06 act=45144D09EB5A97CC60 exp=45144D09EB5A97CC60 + 19658.50ns INFO [00019660] * RD COMPARE * port=1 adr=03 act=1D84A65F4F008075D9 exp=1D84A65F4F008075D9 + 19658.50ns INFO [00019660] Port=0 WR @06=4AF1A02D2BAEC06453 + 19658.50ns INFO [00019660] Port=0 RD @03 + 19658.50ns INFO [00019660] Port=1 RD @07 + 19659.50ns INFO [00019661] * RD COMPARE * port=1 adr=01 act=F040F7E438F02698CC exp=F040F7E438F02698CC + 19659.50ns INFO [00019661] Port=0 WR @02=DE23EEE11FA0BC6F2D + 19659.50ns INFO [00019661] Port=1 RD @01 + 19660.50ns INFO [00019662] * RD COMPARE * port=0 adr=03 act=1D84A65F4F008075D9 exp=1D84A65F4F008075D9 + 19660.50ns INFO [00019662] * RD COMPARE * port=1 adr=07 act=26B73DAB45F6C398F2 exp=26B73DAB45F6C398F2 + 19660.50ns INFO [00019662] Port=0 RD @05 + 19661.50ns INFO [00019663] * RD COMPARE * port=1 adr=01 act=F040F7E438F02698CC exp=F040F7E438F02698CC + 19661.50ns INFO [00019663] Port=0 RD @00 + 19662.50ns INFO [00019664] * RD COMPARE * port=0 adr=05 act=8633DF0E409AD93E05 exp=8633DF0E409AD93E05 + 19662.50ns INFO [00019664] Port=0 RD @05 + 19662.50ns INFO [00019664] Port=1 RD @00 + 19663.50ns INFO [00019665] * RD COMPARE * port=0 adr=00 act=1FB2C2F9582114CFE3 exp=1FB2C2F9582114CFE3 + 19663.50ns INFO [00019665] Port=0 RD @05 + 19664.50ns INFO [00019666] * RD COMPARE * port=0 adr=05 act=8633DF0E409AD93E05 exp=8633DF0E409AD93E05 + 19664.50ns INFO [00019666] * RD COMPARE * port=1 adr=00 act=1FB2C2F9582114CFE3 exp=1FB2C2F9582114CFE3 + 19664.50ns INFO [00019666] Port=0 WR @00=DC132E46858DD3D9CF + 19665.50ns INFO [00019667] * RD COMPARE * port=0 adr=05 act=8633DF0E409AD93E05 exp=8633DF0E409AD93E05 + 19666.50ns INFO [00019668] Port=1 RD @03 + 19667.50ns INFO [00019669] Port=0 RD @02 + 19668.50ns INFO [00019670] * RD COMPARE * port=1 adr=03 act=1D84A65F4F008075D9 exp=1D84A65F4F008075D9 + 19668.50ns INFO [00019670] Port=0 WR @03=948E89E56EF4384470 + 19668.50ns INFO [00019670] Port=1 RD @05 + 19669.50ns INFO [00019671] * RD COMPARE * port=0 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19669.50ns INFO [00019671] Port=1 RD @01 + 19670.50ns INFO [00019672] * RD COMPARE * port=1 adr=05 act=8633DF0E409AD93E05 exp=8633DF0E409AD93E05 + 19670.50ns INFO [00019672] Port=0 RD @00 + 19671.50ns INFO [00019673] * RD COMPARE * port=1 adr=01 act=F040F7E438F02698CC exp=F040F7E438F02698CC + 19671.50ns INFO [00019673] Port=0 WR @07=216452144BA1D5A7D2 + 19671.50ns INFO [00019673] Port=0 RD @05 + 19672.50ns INFO [00019674] * RD COMPARE * port=0 adr=00 act=DC132E46858DD3D9CF exp=DC132E46858DD3D9CF + 19672.50ns INFO [00019674] Port=0 WR @07=41E3F2DE79D7BC8090 + 19672.50ns INFO [00019674] Port=1 RD @06 + 19673.50ns INFO [00019675] * RD COMPARE * port=0 adr=05 act=8633DF0E409AD93E05 exp=8633DF0E409AD93E05 + 19673.50ns INFO [00019675] Port=0 RD @07 + 19673.50ns INFO [00019675] Port=1 RD @02 + 19674.50ns INFO [00019676] * RD COMPARE * port=1 adr=06 act=4AF1A02D2BAEC06453 exp=4AF1A02D2BAEC06453 + 19674.50ns INFO [00019676] Port=0 WR @04=E6EC781263FD872182 + 19674.50ns INFO [00019676] Port=1 RD @05 + 19675.50ns INFO [00019677] * RD COMPARE * port=0 adr=07 act=41E3F2DE79D7BC8090 exp=41E3F2DE79D7BC8090 + 19675.50ns INFO [00019677] * RD COMPARE * port=1 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19675.50ns INFO [00019677] Port=0 WR @06=37E6C95049D03FA2A7 + 19675.50ns INFO [00019677] Port=0 RD @04 + 19675.50ns INFO [00019677] Port=1 RD @05 + 19676.50ns INFO [00019678] * RD COMPARE * port=1 adr=05 act=8633DF0E409AD93E05 exp=8633DF0E409AD93E05 + 19676.50ns INFO [00019678] Port=0 RD @06 + 19676.50ns INFO [00019678] Port=1 RD @05 + 19677.50ns INFO [00019679] * RD COMPARE * port=0 adr=04 act=E6EC781263FD872182 exp=E6EC781263FD872182 + 19677.50ns INFO [00019679] * RD COMPARE * port=1 adr=05 act=8633DF0E409AD93E05 exp=8633DF0E409AD93E05 + 19677.50ns INFO [00019679] Port=0 WR @06=C671837F095889117D + 19677.50ns INFO [00019679] Port=1 RD @03 + 19678.50ns INFO [00019680] * RD COMPARE * port=0 adr=06 act=37E6C95049D03FA2A7 exp=37E6C95049D03FA2A7 + 19678.50ns INFO [00019680] * RD COMPARE * port=1 adr=05 act=8633DF0E409AD93E05 exp=8633DF0E409AD93E05 + 19679.50ns INFO [00019681] * RD COMPARE * port=1 adr=03 act=948E89E56EF4384470 exp=948E89E56EF4384470 + 19679.50ns INFO [00019681] Port=0 WR @05=3F804B031CE0CF44E5 + 19679.50ns INFO [00019681] Port=0 RD @00 + 19681.50ns INFO [00019683] * RD COMPARE * port=0 adr=00 act=DC132E46858DD3D9CF exp=DC132E46858DD3D9CF + 19682.50ns INFO [00019684] Port=0 WR @05=67235B0479B223C096 + 19683.50ns INFO [00019685] Port=0 RD @07 + 19684.50ns INFO [00019686] Port=0 WR @03=40F7F0A215C1AC0117 + 19685.50ns INFO [00019687] * RD COMPARE * port=0 adr=07 act=41E3F2DE79D7BC8090 exp=41E3F2DE79D7BC8090 + 19685.50ns INFO [00019687] Port=0 WR @07=7BCD5774C5D5554182 + 19685.50ns INFO [00019687] Port=1 RD @02 + 19686.50ns INFO [00019688] Port=0 WR @06=BB91DE6FEF600AC33B + 19687.50ns INFO [00019689] * RD COMPARE * port=1 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19687.50ns INFO [00019689] Port=1 RD @02 + 19688.50ns INFO [00019690] Port=0 RD @05 + 19688.50ns INFO [00019690] Port=1 RD @05 + 19689.50ns INFO [00019691] * RD COMPARE * port=1 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19689.50ns INFO [00019691] Port=0 RD @00 + 19690.50ns INFO [00019692] * RD COMPARE * port=0 adr=05 act=67235B0479B223C096 exp=67235B0479B223C096 + 19690.50ns INFO [00019692] * RD COMPARE * port=1 adr=05 act=67235B0479B223C096 exp=67235B0479B223C096 + 19690.50ns INFO [00019692] Port=0 WR @07=623D2374415AA87ACD + 19691.50ns INFO [00019693] * RD COMPARE * port=0 adr=00 act=DC132E46858DD3D9CF exp=DC132E46858DD3D9CF + 19691.50ns INFO [00019693] Port=0 RD @03 + 19691.50ns INFO [00019693] Port=1 RD @06 + 19692.50ns INFO [00019694] Port=0 RD @01 + 19693.50ns INFO [00019695] * RD COMPARE * port=0 adr=03 act=40F7F0A215C1AC0117 exp=40F7F0A215C1AC0117 + 19693.50ns INFO [00019695] * RD COMPARE * port=1 adr=06 act=BB91DE6FEF600AC33B exp=BB91DE6FEF600AC33B + 19693.50ns INFO [00019695] Port=0 WR @03=E0E0A281539EB7F6B6 + 19693.50ns INFO [00019695] Port=1 RD @04 + 19694.50ns INFO [00019696] * RD COMPARE * port=0 adr=01 act=F040F7E438F02698CC exp=F040F7E438F02698CC + 19694.50ns INFO [00019696] Port=1 RD @07 + 19695.50ns INFO [00019697] * RD COMPARE * port=1 adr=04 act=E6EC781263FD872182 exp=E6EC781263FD872182 + 19696.50ns INFO [00019698] * RD COMPARE * port=1 adr=07 act=623D2374415AA87ACD exp=623D2374415AA87ACD + 19696.50ns INFO [00019698] Port=0 WR @06=1FC1B5E4065A953B6F + 19698.00ns INFO [00019700] [00019700] ...tick... + 19698.50ns INFO [00019700] Port=0 WR @04=E6547644A472C96094 + 19698.50ns INFO [00019700] Port=0 RD @01 + 19699.50ns INFO [00019701] Port=0 WR @05=FD6D7CD56B3D09F149 + 19700.50ns INFO [00019702] * RD COMPARE * port=0 adr=01 act=F040F7E438F02698CC exp=F040F7E438F02698CC + 19701.50ns INFO [00019703] Port=0 RD @06 + 19702.50ns INFO [00019704] Port=0 WR @06=032CD43E3EE4CA1A4D + 19703.50ns INFO [00019705] * RD COMPARE * port=0 adr=06 act=1FC1B5E4065A953B6F exp=1FC1B5E4065A953B6F + 19704.50ns INFO [00019706] Port=0 RD @07 + 19705.50ns INFO [00019707] Port=0 WR @06=C438E5FA8980F9D4AD + 19705.50ns INFO [00019707] Port=0 RD @02 + 19705.50ns INFO [00019707] Port=1 RD @04 + 19706.50ns INFO [00019708] * RD COMPARE * port=0 adr=07 act=623D2374415AA87ACD exp=623D2374415AA87ACD + 19706.50ns INFO [00019708] Port=0 RD @00 + 19707.50ns INFO [00019709] * RD COMPARE * port=0 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19707.50ns INFO [00019709] * RD COMPARE * port=1 adr=04 act=E6547644A472C96094 exp=E6547644A472C96094 + 19707.50ns INFO [00019709] Port=1 RD @02 + 19708.50ns INFO [00019710] * RD COMPARE * port=0 adr=00 act=DC132E46858DD3D9CF exp=DC132E46858DD3D9CF + 19708.50ns INFO [00019710] Port=0 WR @07=87373972D546267FBB + 19708.50ns INFO [00019710] Port=0 RD @02 + 19709.50ns INFO [00019711] * RD COMPARE * port=1 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19709.50ns INFO [00019711] Port=0 WR @04=F26460ED3629AE9F41 + 19709.50ns INFO [00019711] Port=1 RD @03 + 19710.50ns INFO [00019712] * RD COMPARE * port=0 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19710.50ns INFO [00019712] Port=0 WR @01=43389413EB7FC47A2B + 19711.50ns INFO [00019713] * RD COMPARE * port=1 adr=03 act=E0E0A281539EB7F6B6 exp=E0E0A281539EB7F6B6 + 19711.50ns INFO [00019713] Port=1 RD @07 + 19712.50ns INFO [00019714] Port=1 RD @03 + 19713.50ns INFO [00019715] * RD COMPARE * port=1 adr=07 act=87373972D546267FBB exp=87373972D546267FBB + 19714.50ns INFO [00019716] * RD COMPARE * port=1 adr=03 act=E0E0A281539EB7F6B6 exp=E0E0A281539EB7F6B6 + 19714.50ns INFO [00019716] Port=1 RD @01 + 19716.50ns INFO [00019718] * RD COMPARE * port=1 adr=01 act=43389413EB7FC47A2B exp=43389413EB7FC47A2B + 19716.50ns INFO [00019718] Port=0 WR @07=6BAEB22C778CC0CA5C + 19716.50ns INFO [00019718] Port=1 RD @01 + 19718.50ns INFO [00019720] * RD COMPARE * port=1 adr=01 act=43389413EB7FC47A2B exp=43389413EB7FC47A2B + 19719.50ns INFO [00019721] Port=0 WR @06=3323AAE895E4C762A3 + 19720.50ns INFO [00019722] Port=0 WR @04=7797D9384FB4E3CEAD + 19720.50ns INFO [00019722] Port=1 RD @03 + 19722.50ns INFO [00019724] * RD COMPARE * port=1 adr=03 act=E0E0A281539EB7F6B6 exp=E0E0A281539EB7F6B6 + 19724.50ns INFO [00019726] Port=0 WR @00=C8DE3183946CAE6A4E + 19726.50ns INFO [00019728] Port=0 WR @07=53A0775D9B5BC04C93 + 19726.50ns INFO [00019728] Port=0 RD @03 + 19728.50ns INFO [00019730] * RD COMPARE * port=0 adr=03 act=E0E0A281539EB7F6B6 exp=E0E0A281539EB7F6B6 + 19728.50ns INFO [00019730] Port=0 RD @05 + 19728.50ns INFO [00019730] Port=1 RD @01 + 19729.50ns INFO [00019731] Port=0 WR @04=7A1C26053A14DE1459 + 19729.50ns INFO [00019731] Port=0 RD @06 + 19730.50ns INFO [00019732] * RD COMPARE * port=0 adr=05 act=FD6D7CD56B3D09F149 exp=FD6D7CD56B3D09F149 + 19730.50ns INFO [00019732] * RD COMPARE * port=1 adr=01 act=43389413EB7FC47A2B exp=43389413EB7FC47A2B + 19730.50ns INFO [00019732] Port=0 WR @03=E112690D81F2ED8A0F + 19730.50ns INFO [00019732] Port=0 RD @05 + 19731.50ns INFO [00019733] * RD COMPARE * port=0 adr=06 act=3323AAE895E4C762A3 exp=3323AAE895E4C762A3 + 19731.50ns INFO [00019733] Port=0 RD @07 + 19732.50ns INFO [00019734] * RD COMPARE * port=0 adr=05 act=FD6D7CD56B3D09F149 exp=FD6D7CD56B3D09F149 + 19732.50ns INFO [00019734] Port=1 RD @01 + 19733.50ns INFO [00019735] * RD COMPARE * port=0 adr=07 act=53A0775D9B5BC04C93 exp=53A0775D9B5BC04C93 + 19733.50ns INFO [00019735] Port=0 WR @00=BC2C55FD1F5CEB43B2 + 19733.50ns INFO [00019735] Port=1 RD @06 + 19734.50ns INFO [00019736] * RD COMPARE * port=1 adr=01 act=43389413EB7FC47A2B exp=43389413EB7FC47A2B + 19734.50ns INFO [00019736] Port=0 WR @01=5A83B643FB5D0A3A8C + 19734.50ns INFO [00019736] Port=0 RD @02 + 19735.50ns INFO [00019737] * RD COMPARE * port=1 adr=06 act=3323AAE895E4C762A3 exp=3323AAE895E4C762A3 + 19735.50ns INFO [00019737] Port=1 RD @00 + 19736.50ns INFO [00019738] * RD COMPARE * port=0 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19736.50ns INFO [00019738] Port=1 RD @05 + 19737.50ns INFO [00019739] * RD COMPARE * port=1 adr=00 act=BC2C55FD1F5CEB43B2 exp=BC2C55FD1F5CEB43B2 + 19737.50ns INFO [00019739] Port=0 WR @03=52DC4C55513FF9279F + 19737.50ns INFO [00019739] Port=0 RD @06 + 19738.50ns INFO [00019740] * RD COMPARE * port=1 adr=05 act=FD6D7CD56B3D09F149 exp=FD6D7CD56B3D09F149 + 19739.50ns INFO [00019741] * RD COMPARE * port=0 adr=06 act=3323AAE895E4C762A3 exp=3323AAE895E4C762A3 + 19739.50ns INFO [00019741] Port=0 WR @05=EF96982AF2145D9A82 + 19740.50ns INFO [00019742] Port=0 RD @07 + 19740.50ns INFO [00019742] Port=1 RD @00 + 19742.50ns INFO [00019744] * RD COMPARE * port=0 adr=07 act=53A0775D9B5BC04C93 exp=53A0775D9B5BC04C93 + 19742.50ns INFO [00019744] * RD COMPARE * port=1 adr=00 act=BC2C55FD1F5CEB43B2 exp=BC2C55FD1F5CEB43B2 + 19742.50ns INFO [00019744] Port=0 WR @00=D5119D1091E4682392 + 19743.50ns INFO [00019745] Port=0 RD @07 + 19744.50ns INFO [00019746] Port=0 WR @06=5A66D676158878ADC0 + 19744.50ns INFO [00019746] Port=0 RD @03 + 19745.50ns INFO [00019747] * RD COMPARE * port=0 adr=07 act=53A0775D9B5BC04C93 exp=53A0775D9B5BC04C93 + 19746.50ns INFO [00019748] * RD COMPARE * port=0 adr=03 act=52DC4C55513FF9279F exp=52DC4C55513FF9279F + 19746.50ns INFO [00019748] Port=0 WR @04=B0254B08F65E74D2DA + 19746.50ns INFO [00019748] Port=1 RD @06 + 19748.50ns INFO [00019750] * RD COMPARE * port=1 adr=06 act=5A66D676158878ADC0 exp=5A66D676158878ADC0 + 19748.50ns INFO [00019750] Port=0 WR @07=322924FF882DA5BD72 + 19749.50ns INFO [00019751] Port=0 RD @07 + 19749.50ns INFO [00019751] Port=1 RD @00 + 19750.50ns INFO [00019752] Port=1 RD @06 + 19751.50ns INFO [00019753] * RD COMPARE * port=0 adr=07 act=322924FF882DA5BD72 exp=322924FF882DA5BD72 + 19751.50ns INFO [00019753] * RD COMPARE * port=1 adr=00 act=D5119D1091E4682392 exp=D5119D1091E4682392 + 19751.50ns INFO [00019753] Port=1 RD @00 + 19752.50ns INFO [00019754] * RD COMPARE * port=1 adr=06 act=5A66D676158878ADC0 exp=5A66D676158878ADC0 + 19752.50ns INFO [00019754] Port=1 RD @04 + 19753.50ns INFO [00019755] * RD COMPARE * port=1 adr=00 act=D5119D1091E4682392 exp=D5119D1091E4682392 + 19753.50ns INFO [00019755] Port=0 RD @07 + 19754.50ns INFO [00019756] * RD COMPARE * port=1 adr=04 act=B0254B08F65E74D2DA exp=B0254B08F65E74D2DA + 19755.50ns INFO [00019757] * RD COMPARE * port=0 adr=07 act=322924FF882DA5BD72 exp=322924FF882DA5BD72 + 19755.50ns INFO [00019757] Port=0 WR @04=DDED4696F112DB88A3 + 19755.50ns INFO [00019757] Port=0 RD @00 + 19755.50ns INFO [00019757] Port=1 RD @03 + 19756.50ns INFO [00019758] Port=0 RD @02 + 19757.50ns INFO [00019759] * RD COMPARE * port=0 adr=00 act=D5119D1091E4682392 exp=D5119D1091E4682392 + 19757.50ns INFO [00019759] * RD COMPARE * port=1 adr=03 act=52DC4C55513FF9279F exp=52DC4C55513FF9279F + 19758.50ns INFO [00019760] * RD COMPARE * port=0 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19758.50ns INFO [00019760] Port=0 WR @00=BF10DE2618D6F2B691 + 19759.50ns INFO [00019761] Port=0 RD @06 + 19760.50ns INFO [00019762] Port=0 WR @01=95CDEAFE4B63A4C6B0 + 19760.50ns INFO [00019762] Port=0 RD @02 + 19760.50ns INFO [00019762] Port=1 RD @03 + 19761.50ns INFO [00019763] * RD COMPARE * port=0 adr=06 act=5A66D676158878ADC0 exp=5A66D676158878ADC0 + 19762.50ns INFO [00019764] * RD COMPARE * port=0 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19762.50ns INFO [00019764] * RD COMPARE * port=1 adr=03 act=52DC4C55513FF9279F exp=52DC4C55513FF9279F + 19763.50ns INFO [00019765] Port=0 RD @05 + 19765.50ns INFO [00019767] * RD COMPARE * port=0 adr=05 act=EF96982AF2145D9A82 exp=EF96982AF2145D9A82 + 19766.50ns INFO [00019768] Port=1 RD @07 + 19768.50ns INFO [00019770] * RD COMPARE * port=1 adr=07 act=322924FF882DA5BD72 exp=322924FF882DA5BD72 + 19768.50ns INFO [00019770] Port=0 WR @07=96AF7B978291205B49 + 19771.50ns INFO [00019773] Port=0 RD @05 + 19772.50ns INFO [00019774] Port=0 WR @01=8A368BDF32A84ABA74 + 19772.50ns INFO [00019774] Port=0 RD @07 + 19772.50ns INFO [00019774] Port=1 RD @00 + 19773.50ns INFO [00019775] * RD COMPARE * port=0 adr=05 act=EF96982AF2145D9A82 exp=EF96982AF2145D9A82 + 19773.50ns INFO [00019775] Port=0 RD @01 + 19774.50ns INFO [00019776] * RD COMPARE * port=0 adr=07 act=96AF7B978291205B49 exp=96AF7B978291205B49 + 19774.50ns INFO [00019776] * RD COMPARE * port=1 adr=00 act=BF10DE2618D6F2B691 exp=BF10DE2618D6F2B691 + 19774.50ns INFO [00019776] Port=0 WR @03=EEB5CE53B3C7321AAD + 19774.50ns INFO [00019776] Port=1 RD @02 + 19775.50ns INFO [00019777] * RD COMPARE * port=0 adr=01 act=8A368BDF32A84ABA74 exp=8A368BDF32A84ABA74 + 19775.50ns INFO [00019777] Port=0 RD @06 + 19776.50ns INFO [00019778] * RD COMPARE * port=1 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19776.50ns INFO [00019778] Port=0 RD @03 + 19776.50ns INFO [00019778] Port=1 RD @06 + 19777.50ns INFO [00019779] * RD COMPARE * port=0 adr=06 act=5A66D676158878ADC0 exp=5A66D676158878ADC0 + 19777.50ns INFO [00019779] Port=0 WR @01=7650D941383A471F96 + 19778.50ns INFO [00019780] * RD COMPARE * port=0 adr=03 act=EEB5CE53B3C7321AAD exp=EEB5CE53B3C7321AAD + 19778.50ns INFO [00019780] * RD COMPARE * port=1 adr=06 act=5A66D676158878ADC0 exp=5A66D676158878ADC0 + 19779.50ns INFO [00019781] Port=0 WR @04=F8A0B18F9E9760F3E2 + 19779.50ns INFO [00019781] Port=0 RD @06 + 19779.50ns INFO [00019781] Port=1 RD @02 + 19781.50ns INFO [00019783] * RD COMPARE * port=0 adr=06 act=5A66D676158878ADC0 exp=5A66D676158878ADC0 + 19781.50ns INFO [00019783] * RD COMPARE * port=1 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19783.50ns INFO [00019785] Port=0 WR @00=2DBC1372EEC13A6989 + 19783.50ns INFO [00019785] Port=0 RD @01 + 19785.50ns INFO [00019787] * RD COMPARE * port=0 adr=01 act=7650D941383A471F96 exp=7650D941383A471F96 + 19785.50ns INFO [00019787] Port=0 RD @05 + 19786.50ns INFO [00019788] Port=1 RD @06 + 19787.50ns INFO [00019789] * RD COMPARE * port=0 adr=05 act=EF96982AF2145D9A82 exp=EF96982AF2145D9A82 + 19787.50ns INFO [00019789] Port=1 RD @00 + 19788.50ns INFO [00019790] * RD COMPARE * port=1 adr=06 act=5A66D676158878ADC0 exp=5A66D676158878ADC0 + 19788.50ns INFO [00019790] Port=1 RD @03 + 19789.50ns INFO [00019791] * RD COMPARE * port=1 adr=00 act=2DBC1372EEC13A6989 exp=2DBC1372EEC13A6989 + 19789.50ns INFO [00019791] Port=0 WR @03=8452C537CF355D5A2D + 19789.50ns INFO [00019791] Port=0 RD @01 + 19789.50ns INFO [00019791] Port=1 RD @06 + 19790.50ns INFO [00019792] * RD COMPARE * port=1 adr=03 act=EEB5CE53B3C7321AAD exp=EEB5CE53B3C7321AAD + 19790.50ns INFO [00019792] Port=1 RD @04 + 19791.50ns INFO [00019793] * RD COMPARE * port=0 adr=01 act=7650D941383A471F96 exp=7650D941383A471F96 + 19791.50ns INFO [00019793] * RD COMPARE * port=1 adr=06 act=5A66D676158878ADC0 exp=5A66D676158878ADC0 + 19791.50ns INFO [00019793] Port=0 RD @04 + 19792.50ns INFO [00019794] * RD COMPARE * port=1 adr=04 act=F8A0B18F9E9760F3E2 exp=F8A0B18F9E9760F3E2 + 19792.50ns INFO [00019794] Port=0 WR @04=F9A542A25E5EC519F5 + 19793.50ns INFO [00019795] * RD COMPARE * port=0 adr=04 act=F8A0B18F9E9760F3E2 exp=F8A0B18F9E9760F3E2 + 19793.50ns INFO [00019795] Port=0 WR @01=2BC2F0759613B1AAB2 + 19793.50ns INFO [00019795] Port=1 RD @06 + 19794.50ns INFO [00019796] Port=0 RD @05 + 19795.50ns INFO [00019797] * RD COMPARE * port=1 adr=06 act=5A66D676158878ADC0 exp=5A66D676158878ADC0 + 19795.50ns INFO [00019797] Port=0 WR @06=C9C8660F38AAD2831C + 19795.50ns INFO [00019797] Port=1 RD @02 + 19796.50ns INFO [00019798] * RD COMPARE * port=0 adr=05 act=EF96982AF2145D9A82 exp=EF96982AF2145D9A82 + 19796.50ns INFO [00019798] Port=1 RD @03 + 19797.50ns INFO [00019799] * RD COMPARE * port=1 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19797.50ns INFO [00019799] Port=1 RD @03 + 19798.00ns INFO [00019800] [00019800] ...tick... + 19798.50ns INFO [00019800] * RD COMPARE * port=1 adr=03 act=8452C537CF355D5A2D exp=8452C537CF355D5A2D + 19799.50ns INFO [00019801] * RD COMPARE * port=1 adr=03 act=8452C537CF355D5A2D exp=8452C537CF355D5A2D + 19799.50ns INFO [00019801] Port=0 WR @03=9CAC1B269103E823DF + 19799.50ns INFO [00019801] Port=0 RD @01 + 19800.50ns INFO [00019802] Port=0 WR @03=24F527A25845C0B09E + 19800.50ns INFO [00019802] Port=1 RD @06 + 19801.50ns INFO [00019803] * RD COMPARE * port=0 adr=01 act=2BC2F0759613B1AAB2 exp=2BC2F0759613B1AAB2 + 19802.50ns INFO [00019804] * RD COMPARE * port=1 adr=06 act=C9C8660F38AAD2831C exp=C9C8660F38AAD2831C + 19802.50ns INFO [00019804] Port=0 RD @01 + 19804.50ns INFO [00019806] * RD COMPARE * port=0 adr=01 act=2BC2F0759613B1AAB2 exp=2BC2F0759613B1AAB2 + 19804.50ns INFO [00019806] Port=0 WR @06=795B6DF1946851E5CF + 19806.50ns INFO [00019808] Port=0 WR @04=F51C3F5F00422BE89D + 19806.50ns INFO [00019808] Port=1 RD @02 + 19807.50ns INFO [00019809] Port=0 RD @03 + 19807.50ns INFO [00019809] Port=1 RD @02 + 19808.50ns INFO [00019810] * RD COMPARE * port=1 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19808.50ns INFO [00019810] Port=0 WR @02=2D3181D9BD6AD97F0B + 19808.50ns INFO [00019810] Port=0 RD @05 + 19809.50ns INFO [00019811] * RD COMPARE * port=0 adr=03 act=24F527A25845C0B09E exp=24F527A25845C0B09E + 19809.50ns INFO [00019811] * RD COMPARE * port=1 adr=02 act=DE23EEE11FA0BC6F2D exp=DE23EEE11FA0BC6F2D + 19809.50ns INFO [00019811] Port=1 RD @01 + 19810.50ns INFO [00019812] * RD COMPARE * port=0 adr=05 act=EF96982AF2145D9A82 exp=EF96982AF2145D9A82 + 19810.50ns INFO [00019812] Port=1 RD @04 + 19811.50ns INFO [00019813] * RD COMPARE * port=1 adr=01 act=2BC2F0759613B1AAB2 exp=2BC2F0759613B1AAB2 + 19812.50ns INFO [00019814] * RD COMPARE * port=1 adr=04 act=F51C3F5F00422BE89D exp=F51C3F5F00422BE89D + 19813.50ns INFO [00019815] Port=1 RD @00 + 19815.50ns INFO [00019817] * RD COMPARE * port=1 adr=00 act=2DBC1372EEC13A6989 exp=2DBC1372EEC13A6989 + 19815.50ns INFO [00019817] Port=0 WR @07=9DEDB93C9E11F0CB5E + 19816.50ns INFO [00019818] Port=1 RD @02 + 19817.50ns INFO [00019819] Port=0 WR @06=227EF5B354B0CDF412 + 19817.50ns INFO [00019819] Port=0 RD @01 + 19818.50ns INFO [00019820] * RD COMPARE * port=1 adr=02 act=2D3181D9BD6AD97F0B exp=2D3181D9BD6AD97F0B + 19819.50ns INFO [00019821] * RD COMPARE * port=0 adr=01 act=2BC2F0759613B1AAB2 exp=2BC2F0759613B1AAB2 + 19819.50ns INFO [00019821] Port=0 WR @05=E9A7DE0E85CBBDC3B5 + 19819.50ns INFO [00019821] Port=0 RD @02 + 19820.50ns INFO [00019822] Port=0 WR @05=E21703789B7A13755E + 19820.50ns INFO [00019822] Port=1 RD @02 + 19821.50ns INFO [00019823] * RD COMPARE * port=0 adr=02 act=2D3181D9BD6AD97F0B exp=2D3181D9BD6AD97F0B + 19821.50ns INFO [00019823] Port=1 RD @07 + 19822.50ns INFO [00019824] * RD COMPARE * port=1 adr=02 act=2D3181D9BD6AD97F0B exp=2D3181D9BD6AD97F0B + 19822.50ns INFO [00019824] Port=0 WR @07=FC66705909F103E23D + 19822.50ns INFO [00019824] Port=0 RD @06 + 19822.50ns INFO [00019824] Port=1 RD @02 + 19823.50ns INFO [00019825] * RD COMPARE * port=1 adr=07 act=9DEDB93C9E11F0CB5E exp=9DEDB93C9E11F0CB5E + 19823.50ns INFO [00019825] Port=0 WR @04=DF8FBF7BCF60D8470A + 19823.50ns INFO [00019825] Port=0 RD @07 + 19824.50ns INFO [00019826] * RD COMPARE * port=0 adr=06 act=227EF5B354B0CDF412 exp=227EF5B354B0CDF412 + 19824.50ns INFO [00019826] * RD COMPARE * port=1 adr=02 act=2D3181D9BD6AD97F0B exp=2D3181D9BD6AD97F0B + 19825.50ns INFO [00019827] * RD COMPARE * port=0 adr=07 act=FC66705909F103E23D exp=FC66705909F103E23D + 19825.50ns INFO [00019827] Port=0 WR @02=0FF03B65B1A764446C + 19826.50ns INFO [00019828] Port=0 WR @02=20AB7C4E3314DE8728 + 19826.50ns INFO [00019828] Port=0 RD @04 + 19827.50ns INFO [00019829] Port=0 WR @02=85FA2F951C94D450DE + 19828.50ns INFO [00019830] * RD COMPARE * port=0 adr=04 act=DF8FBF7BCF60D8470A exp=DF8FBF7BCF60D8470A + 19828.50ns INFO [00019830] Port=0 RD @04 + 19828.50ns INFO [00019830] Port=1 RD @07 + 19829.50ns INFO [00019831] Port=0 RD @03 + 19830.50ns INFO [00019832] * RD COMPARE * port=0 adr=04 act=DF8FBF7BCF60D8470A exp=DF8FBF7BCF60D8470A + 19830.50ns INFO [00019832] * RD COMPARE * port=1 adr=07 act=FC66705909F103E23D exp=FC66705909F103E23D + 19830.50ns INFO [00019832] Port=0 WR @02=4EF2AB4793FB61C036 + 19831.50ns INFO [00019833] * RD COMPARE * port=0 adr=03 act=24F527A25845C0B09E exp=24F527A25845C0B09E + 19832.50ns INFO [00019834] Port=0 WR @05=51A4F1E82F5E223283 + 19832.50ns INFO [00019834] Port=1 RD @03 + 19834.50ns INFO [00019836] * RD COMPARE * port=1 adr=03 act=24F527A25845C0B09E exp=24F527A25845C0B09E + 19835.50ns INFO [00019837] Port=1 RD @06 + 19836.50ns INFO [00019838] Port=0 WR @00=B90F05BC8E14558EFC + 19836.50ns INFO [00019838] Port=1 RD @05 + 19837.50ns INFO [00019839] * RD COMPARE * port=1 adr=06 act=227EF5B354B0CDF412 exp=227EF5B354B0CDF412 + 19838.50ns INFO [00019840] * RD COMPARE * port=1 adr=05 act=51A4F1E82F5E223283 exp=51A4F1E82F5E223283 + 19838.50ns INFO [00019840] Port=0 RD @02 + 19838.50ns INFO [00019840] Port=1 RD @07 + 19840.50ns INFO [00019842] * RD COMPARE * port=0 adr=02 act=4EF2AB4793FB61C036 exp=4EF2AB4793FB61C036 + 19840.50ns INFO [00019842] * RD COMPARE * port=1 adr=07 act=FC66705909F103E23D exp=FC66705909F103E23D + 19840.50ns INFO [00019842] Port=0 WR @04=F308AF629D99913D10 + 19841.50ns INFO [00019843] Port=0 WR @01=526631D985EA3C2A3C + 19841.50ns INFO [00019843] Port=1 RD @06 + 19842.50ns INFO [00019844] Port=0 WR @02=CAD502A7029BE48ADA + 19842.50ns INFO [00019844] Port=1 RD @05 + 19843.50ns INFO [00019845] * RD COMPARE * port=1 adr=06 act=227EF5B354B0CDF412 exp=227EF5B354B0CDF412 + 19843.50ns INFO [00019845] Port=0 WR @06=608BEEFB09C345DCF0 + 19843.50ns INFO [00019845] Port=0 RD @01 + 19844.50ns INFO [00019846] * RD COMPARE * port=1 adr=05 act=51A4F1E82F5E223283 exp=51A4F1E82F5E223283 + 19845.50ns INFO [00019847] * RD COMPARE * port=0 adr=01 act=526631D985EA3C2A3C exp=526631D985EA3C2A3C + 19845.50ns INFO [00019847] Port=0 WR @03=E78BCB80BC90F8245F + 19846.50ns INFO [00019848] Port=0 RD @07 + 19847.50ns INFO [00019849] Port=1 RD @06 + 19848.50ns INFO [00019850] * RD COMPARE * port=0 adr=07 act=FC66705909F103E23D exp=FC66705909F103E23D + 19848.50ns INFO [00019850] Port=0 RD @04 + 19848.50ns INFO [00019850] Port=1 RD @03 + 19849.50ns INFO [00019851] * RD COMPARE * port=1 adr=06 act=608BEEFB09C345DCF0 exp=608BEEFB09C345DCF0 + 19849.50ns INFO [00019851] Port=0 WR @05=2CBB4DBA5555AFFC9C + 19850.50ns INFO [00019852] * RD COMPARE * port=0 adr=04 act=F308AF629D99913D10 exp=F308AF629D99913D10 + 19850.50ns INFO [00019852] * RD COMPARE * port=1 adr=03 act=E78BCB80BC90F8245F exp=E78BCB80BC90F8245F + 19852.50ns INFO [00019854] Port=0 WR @03=7561DD8C4AD3007B54 + 19852.50ns INFO [00019854] Port=0 RD @04 + 19853.50ns INFO [00019855] Port=0 WR @05=7F95AD4EFEBE0109FD + 19853.50ns INFO [00019855] Port=0 RD @01 + 19854.50ns INFO [00019856] * RD COMPARE * port=0 adr=04 act=F308AF629D99913D10 exp=F308AF629D99913D10 + 19855.50ns INFO [00019857] * RD COMPARE * port=0 adr=01 act=526631D985EA3C2A3C exp=526631D985EA3C2A3C + 19855.50ns INFO [00019857] Port=0 WR @03=9C9DAA0AAE09331E81 + 19855.50ns INFO [00019857] Port=1 RD @02 + 19856.50ns INFO [00019858] Port=0 RD @05 + 19856.50ns INFO [00019858] Port=1 RD @06 + 19857.50ns INFO [00019859] * RD COMPARE * port=1 adr=02 act=CAD502A7029BE48ADA exp=CAD502A7029BE48ADA + 19857.50ns INFO [00019859] Port=0 RD @06 + 19858.50ns INFO [00019860] * RD COMPARE * port=0 adr=05 act=7F95AD4EFEBE0109FD exp=7F95AD4EFEBE0109FD + 19858.50ns INFO [00019860] * RD COMPARE * port=1 adr=06 act=608BEEFB09C345DCF0 exp=608BEEFB09C345DCF0 + 19858.50ns INFO [00019860] Port=0 WR @00=F864E3916F9AEDE8CC + 19859.50ns INFO [00019861] * RD COMPARE * port=0 adr=06 act=608BEEFB09C345DCF0 exp=608BEEFB09C345DCF0 + 19859.50ns INFO [00019861] Port=1 RD @03 + 19861.50ns INFO [00019863] * RD COMPARE * port=1 adr=03 act=9C9DAA0AAE09331E81 exp=9C9DAA0AAE09331E81 + 19861.50ns INFO [00019863] Port=1 RD @05 + 19862.50ns INFO [00019864] Port=0 WR @06=2220A305F5327C2179 + 19862.50ns INFO [00019864] Port=1 RD @00 + 19863.50ns INFO [00019865] * RD COMPARE * port=1 adr=05 act=7F95AD4EFEBE0109FD exp=7F95AD4EFEBE0109FD + 19863.50ns INFO [00019865] Port=0 WR @02=6E3BA5680649800F4F + 19864.50ns INFO [00019866] * RD COMPARE * port=1 adr=00 act=F864E3916F9AEDE8CC exp=F864E3916F9AEDE8CC + 19864.50ns INFO [00019866] Port=1 RD @00 + 19865.50ns INFO [00019867] Port=0 WR @02=2C6B21D3F98502BB27 + 19865.50ns INFO [00019867] Port=0 RD @06 + 19865.50ns INFO [00019867] Port=1 RD @00 + 19866.50ns INFO [00019868] * RD COMPARE * port=1 adr=00 act=F864E3916F9AEDE8CC exp=F864E3916F9AEDE8CC + 19866.50ns INFO [00019868] Port=0 WR @01=D372BFB759DBF7202A + 19866.50ns INFO [00019868] Port=0 RD @07 + 19867.50ns INFO [00019869] * RD COMPARE * port=0 adr=06 act=2220A305F5327C2179 exp=2220A305F5327C2179 + 19867.50ns INFO [00019869] * RD COMPARE * port=1 adr=00 act=F864E3916F9AEDE8CC exp=F864E3916F9AEDE8CC + 19868.50ns INFO [00019870] * RD COMPARE * port=0 adr=07 act=FC66705909F103E23D exp=FC66705909F103E23D + 19868.50ns INFO [00019870] Port=0 WR @01=5AB10B2C317715C08F + 19869.50ns INFO [00019871] Port=0 RD @05 + 19870.50ns INFO [00019872] Port=0 WR @05=024FF4BB98852AC980 + 19870.50ns INFO [00019872] Port=0 RD @01 + 19871.50ns INFO [00019873] * RD COMPARE * port=0 adr=05 act=7F95AD4EFEBE0109FD exp=7F95AD4EFEBE0109FD + 19871.50ns INFO [00019873] Port=0 WR @05=B235393DFD43E8F1F1 + 19871.50ns INFO [00019873] Port=0 RD @02 + 19871.50ns INFO [00019873] Port=1 RD @06 + 19872.50ns INFO [00019874] * RD COMPARE * port=0 adr=01 act=5AB10B2C317715C08F exp=5AB10B2C317715C08F + 19872.50ns INFO [00019874] Port=1 RD @02 + 19873.50ns INFO [00019875] * RD COMPARE * port=0 adr=02 act=2C6B21D3F98502BB27 exp=2C6B21D3F98502BB27 + 19873.50ns INFO [00019875] * RD COMPARE * port=1 adr=06 act=2220A305F5327C2179 exp=2220A305F5327C2179 + 19873.50ns INFO [00019875] Port=1 RD @01 + 19874.50ns INFO [00019876] * RD COMPARE * port=1 adr=02 act=2C6B21D3F98502BB27 exp=2C6B21D3F98502BB27 + 19874.50ns INFO [00019876] Port=0 RD @03 + 19875.50ns INFO [00019877] * RD COMPARE * port=1 adr=01 act=5AB10B2C317715C08F exp=5AB10B2C317715C08F + 19876.50ns INFO [00019878] * RD COMPARE * port=0 adr=03 act=9C9DAA0AAE09331E81 exp=9C9DAA0AAE09331E81 + 19876.50ns INFO [00019878] Port=0 WR @07=C986E3F431098854B9 + 19876.50ns INFO [00019878] Port=1 RD @04 + 19877.50ns INFO [00019879] Port=0 WR @03=61193DB334CEAA7DFC + 19877.50ns INFO [00019879] Port=0 RD @00 + 19877.50ns INFO [00019879] Port=1 RD @05 + 19878.50ns INFO [00019880] * RD COMPARE * port=1 adr=04 act=F308AF629D99913D10 exp=F308AF629D99913D10 + 19879.50ns INFO [00019881] * RD COMPARE * port=0 adr=00 act=F864E3916F9AEDE8CC exp=F864E3916F9AEDE8CC + 19879.50ns INFO [00019881] * RD COMPARE * port=1 adr=05 act=B235393DFD43E8F1F1 exp=B235393DFD43E8F1F1 + 19881.50ns INFO [00019883] Port=0 WR @07=F3C1DAEC6E2AFBFA9B + 19881.50ns INFO [00019883] Port=0 RD @02 + 19883.50ns INFO [00019885] * RD COMPARE * port=0 adr=02 act=2C6B21D3F98502BB27 exp=2C6B21D3F98502BB27 + 19883.50ns INFO [00019885] Port=1 RD @05 + 19884.50ns INFO [00019886] Port=0 WR @05=9F6C21AD45D6462819 + 19884.50ns INFO [00019886] Port=1 RD @03 + 19885.50ns INFO [00019887] * RD COMPARE * port=1 adr=05 act=B235393DFD43E8F1F1 exp=B235393DFD43E8F1F1 + 19886.50ns INFO [00019888] * RD COMPARE * port=1 adr=03 act=61193DB334CEAA7DFC exp=61193DB334CEAA7DFC + 19886.50ns INFO [00019888] Port=0 RD @06 + 19886.50ns INFO [00019888] Port=1 RD @04 + 19887.50ns INFO [00019889] Port=0 RD @06 + 19887.50ns INFO [00019889] Port=1 RD @02 + 19888.50ns INFO [00019890] * RD COMPARE * port=0 adr=06 act=2220A305F5327C2179 exp=2220A305F5327C2179 + 19888.50ns INFO [00019890] * RD COMPARE * port=1 adr=04 act=F308AF629D99913D10 exp=F308AF629D99913D10 + 19888.50ns INFO [00019890] Port=0 WR @04=F43A744C4C9A2D5993 + 19888.50ns INFO [00019890] Port=1 RD @02 + 19889.50ns INFO [00019891] * RD COMPARE * port=0 adr=06 act=2220A305F5327C2179 exp=2220A305F5327C2179 + 19889.50ns INFO [00019891] * RD COMPARE * port=1 adr=02 act=2C6B21D3F98502BB27 exp=2C6B21D3F98502BB27 + 19889.50ns INFO [00019891] Port=0 RD @01 + 19889.50ns INFO [00019891] Port=1 RD @05 + 19890.50ns INFO [00019892] * RD COMPARE * port=1 adr=02 act=2C6B21D3F98502BB27 exp=2C6B21D3F98502BB27 + 19890.50ns INFO [00019892] Port=0 RD @04 + 19891.50ns INFO [00019893] * RD COMPARE * port=0 adr=01 act=5AB10B2C317715C08F exp=5AB10B2C317715C08F + 19891.50ns INFO [00019893] * RD COMPARE * port=1 adr=05 act=9F6C21AD45D6462819 exp=9F6C21AD45D6462819 + 19891.50ns INFO [00019893] Port=0 RD @05 + 19892.50ns INFO [00019894] * RD COMPARE * port=0 adr=04 act=F43A744C4C9A2D5993 exp=F43A744C4C9A2D5993 + 19892.50ns INFO [00019894] Port=0 RD @05 + 19893.50ns INFO [00019895] * RD COMPARE * port=0 adr=05 act=9F6C21AD45D6462819 exp=9F6C21AD45D6462819 + 19893.50ns INFO [00019895] Port=0 WR @04=2E30701690A0C4DAC2 + 19894.50ns INFO [00019896] * RD COMPARE * port=0 adr=05 act=9F6C21AD45D6462819 exp=9F6C21AD45D6462819 + 19895.50ns INFO [00019897] Port=0 RD @05 + 19896.50ns INFO [00019898] Port=0 WR @06=B65E271C6CD6B9AAE3 + 19896.50ns INFO [00019898] Port=0 RD @05 + 19896.50ns INFO [00019898] Port=1 RD @07 + 19897.50ns INFO [00019899] * RD COMPARE * port=0 adr=05 act=9F6C21AD45D6462819 exp=9F6C21AD45D6462819 + 19897.50ns INFO [00019899] Port=0 RD @06 + 19898.00ns INFO [00019900] [00019900] ...tick... + 19898.50ns INFO [00019900] * RD COMPARE * port=0 adr=05 act=9F6C21AD45D6462819 exp=9F6C21AD45D6462819 + 19898.50ns INFO [00019900] * RD COMPARE * port=1 adr=07 act=F3C1DAEC6E2AFBFA9B exp=F3C1DAEC6E2AFBFA9B + 19898.50ns INFO [00019900] Port=0 WR @07=78DFDF47462BB2E34B + 19898.50ns INFO [00019900] Port=1 RD @04 + 19899.50ns INFO [00019901] * RD COMPARE * port=0 adr=06 act=B65E271C6CD6B9AAE3 exp=B65E271C6CD6B9AAE3 + 19899.50ns INFO [00019901] Port=0 WR @07=AEE77626BF401AAA65 + 19899.50ns INFO [00019901] Port=0 RD @03 + 19900.50ns INFO [00019902] * RD COMPARE * port=1 adr=04 act=2E30701690A0C4DAC2 exp=2E30701690A0C4DAC2 + 19901.50ns INFO [00019903] * RD COMPARE * port=0 adr=03 act=61193DB334CEAA7DFC exp=61193DB334CEAA7DFC + 19901.50ns INFO [00019903] Port=0 WR @01=50BA78DF6D19B1A4D1 + 19902.50ns INFO [00019904] Port=0 WR @04=BA18D1E92AA8D29C49 + 19902.50ns INFO [00019904] Port=0 RD @07 + 19903.50ns INFO [00019905] Port=1 RD @07 + 19904.50ns INFO [00019906] * RD COMPARE * port=0 adr=07 act=AEE77626BF401AAA65 exp=AEE77626BF401AAA65 + 19904.50ns INFO [00019906] Port=1 RD @07 + 19905.50ns INFO [00019907] * RD COMPARE * port=1 adr=07 act=AEE77626BF401AAA65 exp=AEE77626BF401AAA65 + 19905.50ns INFO [00019907] Port=0 WR @05=059CCBA5E10EFC4EFA + 19905.50ns INFO [00019907] Port=0 RD @07 + 19906.50ns INFO [00019908] * RD COMPARE * port=1 adr=07 act=AEE77626BF401AAA65 exp=AEE77626BF401AAA65 + 19906.50ns INFO [00019908] Port=0 WR @07=4666EDD41F1BDAD7D4 + 19907.50ns INFO [00019909] * RD COMPARE * port=0 adr=07 act=AEE77626BF401AAA65 exp=AEE77626BF401AAA65 + 19908.50ns INFO [00019910] Port=0 WR @04=646C72043BA6FC40A7 + 19908.50ns INFO [00019910] Port=0 RD @02 + 19908.50ns INFO [00019910] Port=1 RD @05 + 19909.50ns INFO [00019911] Port=0 WR @02=9B7E459DE634B94FCC + 19910.50ns INFO [00019912] * RD COMPARE * port=0 adr=02 act=2C6B21D3F98502BB27 exp=2C6B21D3F98502BB27 + 19910.50ns INFO [00019912] * RD COMPARE * port=1 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19912.50ns INFO [00019914] Port=1 RD @00 + 19913.50ns INFO [00019915] Port=0 WR @06=26D269A0209AD43DD9 + 19913.50ns INFO [00019915] Port=0 RD @02 + 19914.50ns INFO [00019916] * RD COMPARE * port=1 adr=00 act=F864E3916F9AEDE8CC exp=F864E3916F9AEDE8CC + 19914.50ns INFO [00019916] Port=0 RD @06 + 19914.50ns INFO [00019916] Port=1 RD @04 + 19915.50ns INFO [00019917] * RD COMPARE * port=0 adr=02 act=9B7E459DE634B94FCC exp=9B7E459DE634B94FCC + 19915.50ns INFO [00019917] Port=0 WR @07=FF6B5A97D18F9738F7 + 19915.50ns INFO [00019917] Port=1 RD @04 + 19916.50ns INFO [00019918] * RD COMPARE * port=0 adr=06 act=26D269A0209AD43DD9 exp=26D269A0209AD43DD9 + 19916.50ns INFO [00019918] * RD COMPARE * port=1 adr=04 act=646C72043BA6FC40A7 exp=646C72043BA6FC40A7 + 19916.50ns INFO [00019918] Port=1 RD @06 + 19917.50ns INFO [00019919] * RD COMPARE * port=1 adr=04 act=646C72043BA6FC40A7 exp=646C72043BA6FC40A7 + 19917.50ns INFO [00019919] Port=0 WR @03=47674C9E0D588E16E9 + 19917.50ns INFO [00019919] Port=0 RD @01 + 19917.50ns INFO [00019919] Port=1 RD @02 + 19918.50ns INFO [00019920] * RD COMPARE * port=1 adr=06 act=26D269A0209AD43DD9 exp=26D269A0209AD43DD9 + 19918.50ns INFO [00019920] Port=0 WR @03=19E2D0CCA2965B51CD + 19919.50ns INFO [00019921] * RD COMPARE * port=0 adr=01 act=50BA78DF6D19B1A4D1 exp=50BA78DF6D19B1A4D1 + 19919.50ns INFO [00019921] * RD COMPARE * port=1 adr=02 act=9B7E459DE634B94FCC exp=9B7E459DE634B94FCC + 19919.50ns INFO [00019921] Port=0 WR @07=B995ABE764B1E3EE10 + 19919.50ns INFO [00019921] Port=0 RD @02 + 19919.50ns INFO [00019921] Port=1 RD @06 + 19921.50ns INFO [00019923] * RD COMPARE * port=0 adr=02 act=9B7E459DE634B94FCC exp=9B7E459DE634B94FCC + 19921.50ns INFO [00019923] * RD COMPARE * port=1 adr=06 act=26D269A0209AD43DD9 exp=26D269A0209AD43DD9 + 19921.50ns INFO [00019923] Port=0 RD @06 + 19922.50ns INFO [00019924] Port=0 WR @00=7728CAEB226DB5C2F2 + 19922.50ns INFO [00019924] Port=0 RD @05 + 19923.50ns INFO [00019925] * RD COMPARE * port=0 adr=06 act=26D269A0209AD43DD9 exp=26D269A0209AD43DD9 + 19923.50ns INFO [00019925] Port=0 WR @04=8495C415F125B51439 + 19924.50ns INFO [00019926] * RD COMPARE * port=0 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19924.50ns INFO [00019926] Port=0 RD @03 + 19925.50ns INFO [00019927] Port=0 WR @00=007BE7FC958AF576FF + 19925.50ns INFO [00019927] Port=0 RD @06 + 19926.50ns INFO [00019928] * RD COMPARE * port=0 adr=03 act=19E2D0CCA2965B51CD exp=19E2D0CCA2965B51CD + 19926.50ns INFO [00019928] Port=0 RD @05 + 19927.50ns INFO [00019929] * RD COMPARE * port=0 adr=06 act=26D269A0209AD43DD9 exp=26D269A0209AD43DD9 + 19927.50ns INFO [00019929] Port=0 RD @07 + 19927.50ns INFO [00019929] Port=1 RD @07 + 19928.50ns INFO [00019930] * RD COMPARE * port=0 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19928.50ns INFO [00019930] Port=0 RD @00 + 19929.50ns INFO [00019931] * RD COMPARE * port=0 adr=07 act=B995ABE764B1E3EE10 exp=B995ABE764B1E3EE10 + 19929.50ns INFO [00019931] * RD COMPARE * port=1 adr=07 act=B995ABE764B1E3EE10 exp=B995ABE764B1E3EE10 + 19930.50ns INFO [00019932] * RD COMPARE * port=0 adr=00 act=007BE7FC958AF576FF exp=007BE7FC958AF576FF + 19930.50ns INFO [00019932] Port=0 RD @05 + 19931.50ns INFO [00019933] Port=1 RD @03 + 19932.50ns INFO [00019934] * RD COMPARE * port=0 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19932.50ns INFO [00019934] Port=1 RD @05 + 19933.50ns INFO [00019935] * RD COMPARE * port=1 adr=03 act=19E2D0CCA2965B51CD exp=19E2D0CCA2965B51CD + 19933.50ns INFO [00019935] Port=0 RD @05 + 19934.50ns INFO [00019936] * RD COMPARE * port=1 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19935.50ns INFO [00019937] * RD COMPARE * port=0 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19935.50ns INFO [00019937] Port=0 RD @05 + 19935.50ns INFO [00019937] Port=1 RD @01 + 19936.50ns INFO [00019938] Port=0 WR @00=E65C2164257D00B79D + 19936.50ns INFO [00019938] Port=0 RD @02 + 19937.50ns INFO [00019939] * RD COMPARE * port=0 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19937.50ns INFO [00019939] * RD COMPARE * port=1 adr=01 act=50BA78DF6D19B1A4D1 exp=50BA78DF6D19B1A4D1 + 19937.50ns INFO [00019939] Port=0 WR @02=9033D2A4BEBD996899 + 19938.50ns INFO [00019940] * RD COMPARE * port=0 adr=02 act=9B7E459DE634B94FCC exp=9B7E459DE634B94FCC + 19938.50ns INFO [00019940] Port=0 RD @05 + 19938.50ns INFO [00019940] Port=1 RD @05 + 19939.50ns INFO [00019941] Port=0 WR @06=053930BC4651145B52 + 19940.50ns INFO [00019942] * RD COMPARE * port=0 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19940.50ns INFO [00019942] * RD COMPARE * port=1 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19940.50ns INFO [00019942] Port=0 WR @07=3227C054D83C2A735C + 19941.50ns INFO [00019943] Port=0 RD @05 + 19942.50ns INFO [00019944] Port=0 RD @04 + 19942.50ns INFO [00019944] Port=1 RD @06 + 19943.50ns INFO [00019945] * RD COMPARE * port=0 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19943.50ns INFO [00019945] Port=0 RD @05 + 19943.50ns INFO [00019945] Port=1 RD @03 + 19944.50ns INFO [00019946] * RD COMPARE * port=0 adr=04 act=8495C415F125B51439 exp=8495C415F125B51439 + 19944.50ns INFO [00019946] * RD COMPARE * port=1 adr=06 act=053930BC4651145B52 exp=053930BC4651145B52 + 19944.50ns INFO [00019946] Port=0 RD @00 + 19945.50ns INFO [00019947] * RD COMPARE * port=0 adr=05 act=059CCBA5E10EFC4EFA exp=059CCBA5E10EFC4EFA + 19945.50ns INFO [00019947] * RD COMPARE * port=1 adr=03 act=19E2D0CCA2965B51CD exp=19E2D0CCA2965B51CD + 19945.50ns INFO [00019947] Port=1 RD @00 + 19946.50ns INFO [00019948] * RD COMPARE * port=0 adr=00 act=E65C2164257D00B79D exp=E65C2164257D00B79D + 19946.50ns INFO [00019948] Port=0 RD @00 + 19946.50ns INFO [00019948] Port=1 RD @06 + 19947.50ns INFO [00019949] * RD COMPARE * port=1 adr=00 act=E65C2164257D00B79D exp=E65C2164257D00B79D + 19948.50ns INFO [00019950] * RD COMPARE * port=0 adr=00 act=E65C2164257D00B79D exp=E65C2164257D00B79D + 19948.50ns INFO [00019950] * RD COMPARE * port=1 adr=06 act=053930BC4651145B52 exp=053930BC4651145B52 + 19948.50ns INFO [00019950] Port=1 RD @07 + 19949.50ns INFO [00019951] Port=0 RD @03 + 19949.50ns INFO [00019951] Port=1 RD @04 + 19950.50ns INFO [00019952] * RD COMPARE * port=1 adr=07 act=3227C054D83C2A735C exp=3227C054D83C2A735C + 19950.50ns INFO [00019952] Port=0 RD @01 + 19950.50ns INFO [00019952] Port=1 RD @02 + 19951.50ns INFO [00019953] * RD COMPARE * port=0 adr=03 act=19E2D0CCA2965B51CD exp=19E2D0CCA2965B51CD + 19951.50ns INFO [00019953] * RD COMPARE * port=1 adr=04 act=8495C415F125B51439 exp=8495C415F125B51439 + 19951.50ns INFO [00019953] Port=0 WR @03=11C0E9C499F12AA718 + 19951.50ns INFO [00019953] Port=0 RD @06 + 19951.50ns INFO [00019953] Port=1 RD @04 + 19952.50ns INFO [00019954] * RD COMPARE * port=0 adr=01 act=50BA78DF6D19B1A4D1 exp=50BA78DF6D19B1A4D1 + 19952.50ns INFO [00019954] * RD COMPARE * port=1 adr=02 act=9033D2A4BEBD996899 exp=9033D2A4BEBD996899 + 19953.50ns INFO [00019955] * RD COMPARE * port=0 adr=06 act=053930BC4651145B52 exp=053930BC4651145B52 + 19953.50ns INFO [00019955] * RD COMPARE * port=1 adr=04 act=8495C415F125B51439 exp=8495C415F125B51439 + 19954.50ns INFO [00019956] Port=0 WR @05=B442D13E1F1A74CB02 + 19954.50ns INFO [00019956] Port=1 RD @00 + 19955.50ns INFO [00019957] Port=1 RD @06 + 19956.50ns INFO [00019958] * RD COMPARE * port=1 adr=00 act=E65C2164257D00B79D exp=E65C2164257D00B79D + 19956.50ns INFO [00019958] Port=0 RD @01 + 19956.50ns INFO [00019958] Port=1 RD @05 + 19957.50ns INFO [00019959] * RD COMPARE * port=1 adr=06 act=053930BC4651145B52 exp=053930BC4651145B52 + 19957.50ns INFO [00019959] Port=0 RD @03 + 19958.50ns INFO [00019960] * RD COMPARE * port=0 adr=01 act=50BA78DF6D19B1A4D1 exp=50BA78DF6D19B1A4D1 + 19958.50ns INFO [00019960] * RD COMPARE * port=1 adr=05 act=B442D13E1F1A74CB02 exp=B442D13E1F1A74CB02 + 19958.50ns INFO [00019960] Port=0 WR @03=8589476C740FBF5CF9 + 19959.50ns INFO [00019961] * RD COMPARE * port=0 adr=03 act=11C0E9C499F12AA718 exp=11C0E9C499F12AA718 + 19959.50ns INFO [00019961] Port=0 WR @02=0AD4C6B14EAFDC778E + 19959.50ns INFO [00019961] Port=0 RD @01 + 19959.50ns INFO [00019961] Port=1 RD @01 + 19960.50ns INFO [00019962] Port=0 RD @07 + 19960.50ns INFO [00019962] Port=1 RD @04 + 19961.50ns INFO [00019963] * RD COMPARE * port=0 adr=01 act=50BA78DF6D19B1A4D1 exp=50BA78DF6D19B1A4D1 + 19961.50ns INFO [00019963] * RD COMPARE * port=1 adr=01 act=50BA78DF6D19B1A4D1 exp=50BA78DF6D19B1A4D1 + 19961.50ns INFO [00019963] Port=0 RD @00 + 19962.50ns INFO [00019964] * RD COMPARE * port=0 adr=07 act=3227C054D83C2A735C exp=3227C054D83C2A735C + 19962.50ns INFO [00019964] * RD COMPARE * port=1 adr=04 act=8495C415F125B51439 exp=8495C415F125B51439 + 19962.50ns INFO [00019964] Port=1 RD @04 + 19963.50ns INFO [00019965] * RD COMPARE * port=0 adr=00 act=E65C2164257D00B79D exp=E65C2164257D00B79D + 19963.50ns INFO [00019965] Port=0 RD @05 + 19964.50ns INFO [00019966] * RD COMPARE * port=1 adr=04 act=8495C415F125B51439 exp=8495C415F125B51439 + 19964.50ns INFO [00019966] Port=0 RD @03 + 19965.50ns INFO [00019967] * RD COMPARE * port=0 adr=05 act=B442D13E1F1A74CB02 exp=B442D13E1F1A74CB02 + 19965.50ns INFO [00019967] Port=1 RD @05 + 19966.50ns INFO [00019968] * RD COMPARE * port=0 adr=03 act=8589476C740FBF5CF9 exp=8589476C740FBF5CF9 + 19966.50ns INFO [00019968] Port=0 WR @06=49DCBD8FD71D167CE9 + 19967.50ns INFO [00019969] * RD COMPARE * port=1 adr=05 act=B442D13E1F1A74CB02 exp=B442D13E1F1A74CB02 + 19967.50ns INFO [00019969] Port=0 WR @06=24C7DF88FC07A639D1 + 19967.50ns INFO [00019969] Port=1 RD @04 + 19969.50ns INFO [00019971] * RD COMPARE * port=1 adr=04 act=8495C415F125B51439 exp=8495C415F125B51439 + 19969.50ns INFO [00019971] Port=0 WR @06=4FE934AC6917A0B4EF + 19969.50ns INFO [00019971] Port=0 RD @00 + 19969.50ns INFO [00019971] Port=1 RD @07 + 19970.50ns INFO [00019972] Port=1 RD @06 + 19971.50ns INFO [00019973] * RD COMPARE * port=0 adr=00 act=E65C2164257D00B79D exp=E65C2164257D00B79D + 19971.50ns INFO [00019973] * RD COMPARE * port=1 adr=07 act=3227C054D83C2A735C exp=3227C054D83C2A735C + 19971.50ns INFO [00019973] Port=0 RD @05 + 19972.50ns INFO [00019974] * RD COMPARE * port=1 adr=06 act=4FE934AC6917A0B4EF exp=4FE934AC6917A0B4EF + 19972.50ns INFO [00019974] Port=0 WR @05=DCC90A33E53D942736 + 19973.50ns INFO [00019975] * RD COMPARE * port=0 adr=05 act=B442D13E1F1A74CB02 exp=B442D13E1F1A74CB02 + 19973.50ns INFO [00019975] Port=0 RD @06 + 19974.50ns INFO [00019976] Port=0 WR @03=3CE17757980D48AFA6 + 19975.50ns INFO [00019977] * RD COMPARE * port=0 adr=06 act=4FE934AC6917A0B4EF exp=4FE934AC6917A0B4EF + 19975.50ns INFO [00019977] Port=0 WR @05=6FC320F79BA6435734 + 19977.50ns INFO [00019979] Port=1 RD @03 + 19978.50ns INFO [00019980] Port=0 RD @01 + 19978.50ns INFO [00019980] Port=1 RD @04 + 19979.50ns INFO [00019981] * RD COMPARE * port=1 adr=03 act=3CE17757980D48AFA6 exp=3CE17757980D48AFA6 + 19979.50ns INFO [00019981] Port=0 WR @01=4A67099C8D86374D68 + 19979.50ns INFO [00019981] Port=1 RD @05 + 19980.50ns INFO [00019982] * RD COMPARE * port=0 adr=01 act=50BA78DF6D19B1A4D1 exp=50BA78DF6D19B1A4D1 + 19980.50ns INFO [00019982] * RD COMPARE * port=1 adr=04 act=8495C415F125B51439 exp=8495C415F125B51439 + 19980.50ns INFO [00019982] Port=0 WR @03=1DFEA9832FAF702E88 + 19981.50ns INFO [00019983] * RD COMPARE * port=1 adr=05 act=6FC320F79BA6435734 exp=6FC320F79BA6435734 + 19981.50ns INFO [00019983] Port=0 WR @03=C9E5AB4501B0BB13B2 + 19981.50ns INFO [00019983] Port=1 RD @01 + 19982.50ns INFO [00019984] Port=1 RD @00 + 19983.50ns INFO [00019985] * RD COMPARE * port=1 adr=01 act=4A67099C8D86374D68 exp=4A67099C8D86374D68 + 19983.50ns INFO [00019985] Port=0 RD @05 + 19984.50ns INFO [00019986] * RD COMPARE * port=1 adr=00 act=E65C2164257D00B79D exp=E65C2164257D00B79D + 19984.50ns INFO [00019986] Port=0 WR @01=073524530081BB2EDD + 19984.50ns INFO [00019986] Port=0 RD @04 + 19984.50ns INFO [00019986] Port=1 RD @07 + 19985.50ns INFO [00019987] * RD COMPARE * port=0 adr=05 act=6FC320F79BA6435734 exp=6FC320F79BA6435734 + 19985.50ns INFO [00019987] Port=0 WR @06=82C64A158D6550B299 + 19985.50ns INFO [00019987] Port=0 RD @02 + 19986.50ns INFO [00019988] * RD COMPARE * port=0 adr=04 act=8495C415F125B51439 exp=8495C415F125B51439 + 19986.50ns INFO [00019988] * RD COMPARE * port=1 adr=07 act=3227C054D83C2A735C exp=3227C054D83C2A735C + 19987.50ns INFO [00019989] * RD COMPARE * port=0 adr=02 act=0AD4C6B14EAFDC778E exp=0AD4C6B14EAFDC778E + 19987.50ns INFO [00019989] Port=0 WR @05=FEE2E9E486D736AA66 + 19987.50ns INFO [00019989] Port=0 RD @06 + 19988.50ns INFO [00019990] Port=0 WR @04=8FC2A9A07DE3E07F40 + 19988.50ns INFO [00019990] Port=1 RD @03 + 19989.50ns INFO [00019991] * RD COMPARE * port=0 adr=06 act=82C64A158D6550B299 exp=82C64A158D6550B299 + 19989.50ns INFO [00019991] Port=0 WR @03=0F3CA23B254F3165A4 + 19989.50ns INFO [00019991] Port=0 RD @06 + 19990.50ns INFO [00019992] * RD COMPARE * port=1 adr=03 act=C9E5AB4501B0BB13B2 exp=C9E5AB4501B0BB13B2 + 19990.50ns INFO [00019992] Port=0 WR @00=7D1F93441E16E77EAF + 19990.50ns INFO [00019992] Port=0 RD @02 + 19990.50ns INFO [00019992] Port=1 RD @07 + 19991.50ns INFO [00019993] * RD COMPARE * port=0 adr=06 act=82C64A158D6550B299 exp=82C64A158D6550B299 + 19991.50ns INFO [00019993] Port=0 WR @04=D9F7564F6EC6CAADB6 + 19991.50ns INFO [00019993] Port=1 RD @00 + 19992.50ns INFO [00019994] * RD COMPARE * port=0 adr=02 act=0AD4C6B14EAFDC778E exp=0AD4C6B14EAFDC778E + 19992.50ns INFO [00019994] * RD COMPARE * port=1 adr=07 act=3227C054D83C2A735C exp=3227C054D83C2A735C + 19992.50ns INFO [00019994] Port=0 WR @00=55E516F2FD2BE51220 + 19993.50ns INFO [00019995] * RD COMPARE * port=1 adr=00 act=7D1F93441E16E77EAF exp=7D1F93441E16E77EAF + 19993.50ns INFO [00019995] Port=0 RD @02 + 19994.50ns INFO [00019996] Port=0 WR @03=1518907B3AC5B1714E + 19994.50ns INFO [00019996] Port=0 RD @06 + 19995.50ns INFO [00019997] * RD COMPARE * port=0 adr=02 act=0AD4C6B14EAFDC778E exp=0AD4C6B14EAFDC778E + 19995.50ns INFO [00019997] Port=0 WR @02=6B44924A5F7560A3A3 + 19996.50ns INFO [00019998] * RD COMPARE * port=0 adr=06 act=82C64A158D6550B299 exp=82C64A158D6550B299 + 19996.50ns INFO [00019998] Port=1 RD @02 + 19997.50ns INFO [00019999] Port=0 WR @04=012D662B8FA43D5EBB + 19997.50ns INFO [00019999] Port=0 RD @07 + 19998.00ns INFO [00020000] [00020000] ...tick... + 19998.50ns INFO [00020000] * RD COMPARE * port=1 adr=02 act=6B44924A5F7560A3A3 exp=6B44924A5F7560A3A3 + 19998.50ns INFO [00020000] Port=0 RD @03 + 19999.50ns INFO [00020001] * RD COMPARE * port=0 adr=07 act=3227C054D83C2A735C exp=3227C054D83C2A735C + 19999.50ns INFO [00020001] Port=1 RD @07 + 20000.50ns INFO [00020002] * RD COMPARE * port=0 adr=03 act=1518907B3AC5B1714E exp=1518907B3AC5B1714E + 20000.50ns INFO [00020002] Port=0 WR @05=FD769679E037C42C0E + 20001.50ns INFO [00020003] * RD COMPARE * port=1 adr=07 act=3227C054D83C2A735C exp=3227C054D83C2A735C + 20002.50ns INFO [00020004] Port=1 RD @03 + 20003.50ns INFO [00020005] Port=1 RD @04 + 20004.50ns INFO [00020006] * RD COMPARE * port=1 adr=03 act=1518907B3AC5B1714E exp=1518907B3AC5B1714E + 20004.50ns INFO [00020006] Port=0 RD @01 + 20005.50ns INFO [00020007] * RD COMPARE * port=1 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20006.50ns INFO [00020008] * RD COMPARE * port=0 adr=01 act=073524530081BB2EDD exp=073524530081BB2EDD + 20006.50ns INFO [00020008] Port=0 RD @04 + 20007.50ns INFO [00020009] Port=0 WR @06=A52E2984E7DAC3D709 + 20008.50ns INFO [00020010] * RD COMPARE * port=0 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20008.50ns INFO [00020010] Port=1 RD @00 + 20009.50ns INFO [00020011] Port=0 WR @03=605B4802512D311CD9 + 20009.50ns INFO [00020011] Port=1 RD @04 + 20010.50ns INFO [00020012] * RD COMPARE * port=1 adr=00 act=55E516F2FD2BE51220 exp=55E516F2FD2BE51220 + 20010.50ns INFO [00020012] Port=0 RD @04 + 20011.50ns INFO [00020013] * RD COMPARE * port=1 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20011.50ns INFO [00020013] Port=1 RD @05 + 20012.50ns INFO [00020014] * RD COMPARE * port=0 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20012.50ns INFO [00020014] Port=1 RD @03 + 20013.50ns INFO [00020015] * RD COMPARE * port=1 adr=05 act=FD769679E037C42C0E exp=FD769679E037C42C0E + 20013.50ns INFO [00020015] Port=0 RD @03 + 20013.50ns INFO [00020015] Port=1 RD @07 + 20014.50ns INFO [00020016] * RD COMPARE * port=1 adr=03 act=605B4802512D311CD9 exp=605B4802512D311CD9 + 20014.50ns INFO [00020016] Port=0 WR @00=2B78E17EED3033EDC1 + 20015.50ns INFO [00020017] * RD COMPARE * port=0 adr=03 act=605B4802512D311CD9 exp=605B4802512D311CD9 + 20015.50ns INFO [00020017] * RD COMPARE * port=1 adr=07 act=3227C054D83C2A735C exp=3227C054D83C2A735C + 20015.50ns INFO [00020017] Port=0 RD @06 + 20015.50ns INFO [00020017] Port=1 RD @05 + 20016.50ns INFO [00020018] Port=0 RD @00 + 20016.50ns INFO [00020018] Port=1 RD @06 + 20017.50ns INFO [00020019] * RD COMPARE * port=0 adr=06 act=A52E2984E7DAC3D709 exp=A52E2984E7DAC3D709 + 20017.50ns INFO [00020019] * RD COMPARE * port=1 adr=05 act=FD769679E037C42C0E exp=FD769679E037C42C0E + 20017.50ns INFO [00020019] Port=1 RD @05 + 20018.50ns INFO [00020020] * RD COMPARE * port=0 adr=00 act=2B78E17EED3033EDC1 exp=2B78E17EED3033EDC1 + 20018.50ns INFO [00020020] * RD COMPARE * port=1 adr=06 act=A52E2984E7DAC3D709 exp=A52E2984E7DAC3D709 + 20018.50ns INFO [00020020] Port=0 RD @06 + 20019.50ns INFO [00020021] * RD COMPARE * port=1 adr=05 act=FD769679E037C42C0E exp=FD769679E037C42C0E + 20019.50ns INFO [00020021] Port=0 WR @01=910CBADFB547DEF90A + 20019.50ns INFO [00020021] Port=0 RD @03 + 20020.50ns INFO [00020022] * RD COMPARE * port=0 adr=06 act=A52E2984E7DAC3D709 exp=A52E2984E7DAC3D709 + 20020.50ns INFO [00020022] Port=1 RD @04 + 20021.50ns INFO [00020023] * RD COMPARE * port=0 adr=03 act=605B4802512D311CD9 exp=605B4802512D311CD9 + 20021.50ns INFO [00020023] Port=0 WR @02=FFFF64CEEEA18C6014 + 20022.50ns INFO [00020024] * RD COMPARE * port=1 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20022.50ns INFO [00020024] Port=0 WR @06=12FC95CF05807168BF + 20023.50ns INFO [00020025] Port=0 WR @05=41B1DF25250FB2A767 + 20024.50ns INFO [00020026] Port=0 WR @06=4A853A6B735BD13F72 + 20025.50ns INFO [00020027] Port=0 RD @05 + 20025.50ns INFO [00020027] Port=1 RD @03 + 20026.50ns INFO [00020028] Port=0 RD @05 + 20027.50ns INFO [00020029] * RD COMPARE * port=0 adr=05 act=41B1DF25250FB2A767 exp=41B1DF25250FB2A767 + 20027.50ns INFO [00020029] * RD COMPARE * port=1 adr=03 act=605B4802512D311CD9 exp=605B4802512D311CD9 + 20027.50ns INFO [00020029] Port=0 WR @01=9951496241E290C24E + 20027.50ns INFO [00020029] Port=0 RD @03 + 20028.50ns INFO [00020030] * RD COMPARE * port=0 adr=05 act=41B1DF25250FB2A767 exp=41B1DF25250FB2A767 + 20028.50ns INFO [00020030] Port=1 RD @03 + 20029.50ns INFO [00020031] * RD COMPARE * port=0 adr=03 act=605B4802512D311CD9 exp=605B4802512D311CD9 + 20029.50ns INFO [00020031] Port=0 RD @01 + 20030.50ns INFO [00020032] * RD COMPARE * port=1 adr=03 act=605B4802512D311CD9 exp=605B4802512D311CD9 + 20031.50ns INFO [00020033] * RD COMPARE * port=0 adr=01 act=9951496241E290C24E exp=9951496241E290C24E + 20031.50ns INFO [00020033] Port=0 WR @05=4D48101BA8D3639714 + 20032.50ns INFO [00020034] Port=0 WR @02=F57C4098A0A2850587 + 20032.50ns INFO [00020034] Port=0 RD @04 + 20033.50ns INFO [00020035] Port=0 WR @06=0CB310D4532943C02E + 20033.50ns INFO [00020035] Port=0 RD @07 + 20034.50ns INFO [00020036] * RD COMPARE * port=0 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20034.50ns INFO [00020036] Port=0 WR @07=0A68F35AFC74A736C3 + 20034.50ns INFO [00020036] Port=0 RD @04 + 20035.50ns INFO [00020037] * RD COMPARE * port=0 adr=07 act=3227C054D83C2A735C exp=3227C054D83C2A735C + 20036.50ns INFO [00020038] * RD COMPARE * port=0 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20037.50ns INFO [00020039] Port=0 RD @05 + 20038.50ns INFO [00020040] Port=0 RD @07 + 20038.50ns INFO [00020040] Port=1 RD @06 + 20039.50ns INFO [00020041] * RD COMPARE * port=0 adr=05 act=4D48101BA8D3639714 exp=4D48101BA8D3639714 + 20039.50ns INFO [00020041] Port=1 RD @02 + 20040.50ns INFO [00020042] * RD COMPARE * port=0 adr=07 act=0A68F35AFC74A736C3 exp=0A68F35AFC74A736C3 + 20040.50ns INFO [00020042] * RD COMPARE * port=1 adr=06 act=0CB310D4532943C02E exp=0CB310D4532943C02E + 20041.50ns INFO [00020043] * RD COMPARE * port=1 adr=02 act=F57C4098A0A2850587 exp=F57C4098A0A2850587 + 20041.50ns INFO [00020043] Port=0 RD @00 + 20042.50ns INFO [00020044] Port=0 RD @07 + 20042.50ns INFO [00020044] Port=1 RD @04 + 20043.50ns INFO [00020045] * RD COMPARE * port=0 adr=00 act=2B78E17EED3033EDC1 exp=2B78E17EED3033EDC1 + 20044.50ns INFO [00020046] * RD COMPARE * port=0 adr=07 act=0A68F35AFC74A736C3 exp=0A68F35AFC74A736C3 + 20044.50ns INFO [00020046] * RD COMPARE * port=1 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20045.50ns INFO [00020047] Port=0 RD @04 + 20046.50ns INFO [00020048] Port=0 WR @05=AC302DFB7A63A5F9C9 + 20046.50ns INFO [00020048] Port=1 RD @01 + 20047.50ns INFO [00020049] * RD COMPARE * port=0 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20047.50ns INFO [00020049] Port=1 RD @06 + 20048.50ns INFO [00020050] * RD COMPARE * port=1 adr=01 act=9951496241E290C24E exp=9951496241E290C24E + 20048.50ns INFO [00020050] Port=1 RD @05 + 20049.50ns INFO [00020051] * RD COMPARE * port=1 adr=06 act=0CB310D4532943C02E exp=0CB310D4532943C02E + 20049.50ns INFO [00020051] Port=0 RD @05 + 20050.50ns INFO [00020052] * RD COMPARE * port=1 adr=05 act=AC302DFB7A63A5F9C9 exp=AC302DFB7A63A5F9C9 + 20050.50ns INFO [00020052] Port=1 RD @02 + 20051.50ns INFO [00020053] * RD COMPARE * port=0 adr=05 act=AC302DFB7A63A5F9C9 exp=AC302DFB7A63A5F9C9 + 20052.50ns INFO [00020054] * RD COMPARE * port=1 adr=02 act=F57C4098A0A2850587 exp=F57C4098A0A2850587 + 20052.50ns INFO [00020054] Port=0 WR @03=DCC872046B6A3F8534 + 20052.50ns INFO [00020054] Port=0 RD @07 + 20053.50ns INFO [00020055] Port=0 WR @03=33DD147AF8099B67C1 + 20053.50ns INFO [00020055] Port=0 RD @05 + 20054.50ns INFO [00020056] * RD COMPARE * port=0 adr=07 act=0A68F35AFC74A736C3 exp=0A68F35AFC74A736C3 + 20054.50ns INFO [00020056] Port=0 WR @06=23C2343CBE53208E92 + 20055.50ns INFO [00020057] * RD COMPARE * port=0 adr=05 act=AC302DFB7A63A5F9C9 exp=AC302DFB7A63A5F9C9 + 20055.50ns INFO [00020057] Port=1 RD @01 + 20056.50ns INFO [00020058] Port=1 RD @04 + 20057.50ns INFO [00020059] * RD COMPARE * port=1 adr=01 act=9951496241E290C24E exp=9951496241E290C24E + 20057.50ns INFO [00020059] Port=0 RD @02 + 20058.50ns INFO [00020060] * RD COMPARE * port=1 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20059.50ns INFO [00020061] * RD COMPARE * port=0 adr=02 act=F57C4098A0A2850587 exp=F57C4098A0A2850587 + 20060.50ns INFO [00020062] Port=0 WR @03=3321B67A6D61519739 + 20062.50ns INFO [00020064] Port=0 RD @07 + 20062.50ns INFO [00020064] Port=1 RD @01 + 20063.50ns INFO [00020065] Port=0 WR @03=42498D0A418BCD6B15 + 20063.50ns INFO [00020065] Port=0 RD @02 + 20063.50ns INFO [00020065] Port=1 RD @04 + 20064.50ns INFO [00020066] * RD COMPARE * port=0 adr=07 act=0A68F35AFC74A736C3 exp=0A68F35AFC74A736C3 + 20064.50ns INFO [00020066] * RD COMPARE * port=1 adr=01 act=9951496241E290C24E exp=9951496241E290C24E + 20064.50ns INFO [00020066] Port=0 WR @01=2F9B0B0123B7F07C4F + 20065.50ns INFO [00020067] * RD COMPARE * port=0 adr=02 act=F57C4098A0A2850587 exp=F57C4098A0A2850587 + 20065.50ns INFO [00020067] * RD COMPARE * port=1 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20065.50ns INFO [00020067] Port=0 RD @00 + 20065.50ns INFO [00020067] Port=1 RD @06 + 20067.50ns INFO [00020069] * RD COMPARE * port=0 adr=00 act=2B78E17EED3033EDC1 exp=2B78E17EED3033EDC1 + 20067.50ns INFO [00020069] * RD COMPARE * port=1 adr=06 act=23C2343CBE53208E92 exp=23C2343CBE53208E92 + 20067.50ns INFO [00020069] Port=0 RD @03 + 20067.50ns INFO [00020069] Port=1 RD @00 + 20068.50ns INFO [00020070] Port=0 RD @01 + 20068.50ns INFO [00020070] Port=1 RD @01 + 20069.50ns INFO [00020071] * RD COMPARE * port=0 adr=03 act=42498D0A418BCD6B15 exp=42498D0A418BCD6B15 + 20069.50ns INFO [00020071] * RD COMPARE * port=1 adr=00 act=2B78E17EED3033EDC1 exp=2B78E17EED3033EDC1 + 20070.50ns INFO [00020072] * RD COMPARE * port=0 adr=01 act=2F9B0B0123B7F07C4F exp=2F9B0B0123B7F07C4F + 20070.50ns INFO [00020072] * RD COMPARE * port=1 adr=01 act=2F9B0B0123B7F07C4F exp=2F9B0B0123B7F07C4F + 20070.50ns INFO [00020072] Port=0 WR @01=68D09C211C698CE18C + 20070.50ns INFO [00020072] Port=0 RD @02 + 20071.50ns INFO [00020073] Port=1 RD @04 + 20072.50ns INFO [00020074] * RD COMPARE * port=0 adr=02 act=F57C4098A0A2850587 exp=F57C4098A0A2850587 + 20072.50ns INFO [00020074] Port=0 RD @07 + 20072.50ns INFO [00020074] Port=1 RD @00 + 20073.50ns INFO [00020075] * RD COMPARE * port=1 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20074.50ns INFO [00020076] * RD COMPARE * port=0 adr=07 act=0A68F35AFC74A736C3 exp=0A68F35AFC74A736C3 + 20074.50ns INFO [00020076] * RD COMPARE * port=1 adr=00 act=2B78E17EED3033EDC1 exp=2B78E17EED3033EDC1 + 20074.50ns INFO [00020076] Port=0 WR @07=67B01D1E56AFD81251 + 20074.50ns INFO [00020076] Port=1 RD @06 + 20075.50ns INFO [00020077] Port=0 RD @04 + 20076.50ns INFO [00020078] * RD COMPARE * port=1 adr=06 act=23C2343CBE53208E92 exp=23C2343CBE53208E92 + 20076.50ns INFO [00020078] Port=0 RD @02 + 20076.50ns INFO [00020078] Port=1 RD @01 + 20077.50ns INFO [00020079] * RD COMPARE * port=0 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20078.50ns INFO [00020080] * RD COMPARE * port=0 adr=02 act=F57C4098A0A2850587 exp=F57C4098A0A2850587 + 20078.50ns INFO [00020080] * RD COMPARE * port=1 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20078.50ns INFO [00020080] Port=0 RD @04 + 20078.50ns INFO [00020080] Port=1 RD @01 + 20079.50ns INFO [00020081] Port=0 WR @02=0FEEC013958C3AE450 + 20079.50ns INFO [00020081] Port=1 RD @00 + 20080.50ns INFO [00020082] * RD COMPARE * port=0 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20080.50ns INFO [00020082] * RD COMPARE * port=1 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20081.50ns INFO [00020083] * RD COMPARE * port=1 adr=00 act=2B78E17EED3033EDC1 exp=2B78E17EED3033EDC1 + 20081.50ns INFO [00020083] Port=1 RD @00 + 20082.50ns INFO [00020084] Port=0 RD @06 + 20083.50ns INFO [00020085] * RD COMPARE * port=1 adr=00 act=2B78E17EED3033EDC1 exp=2B78E17EED3033EDC1 + 20083.50ns INFO [00020085] Port=0 RD @06 + 20084.50ns INFO [00020086] * RD COMPARE * port=0 adr=06 act=23C2343CBE53208E92 exp=23C2343CBE53208E92 + 20084.50ns INFO [00020086] Port=0 WR @02=9ED054CD06F8E584D2 + 20084.50ns INFO [00020086] Port=0 RD @04 + 20084.50ns INFO [00020086] Port=1 RD @00 + 20085.50ns INFO [00020087] * RD COMPARE * port=0 adr=06 act=23C2343CBE53208E92 exp=23C2343CBE53208E92 + 20085.50ns INFO [00020087] Port=0 WR @07=5194BB89EA1A4676A5 + 20085.50ns INFO [00020087] Port=0 RD @06 + 20085.50ns INFO [00020087] Port=1 RD @02 + 20086.50ns INFO [00020088] * RD COMPARE * port=0 adr=04 act=012D662B8FA43D5EBB exp=012D662B8FA43D5EBB + 20086.50ns INFO [00020088] * RD COMPARE * port=1 adr=00 act=2B78E17EED3033EDC1 exp=2B78E17EED3033EDC1 + 20086.50ns INFO [00020088] Port=0 RD @03 + 20087.50ns INFO [00020089] * RD COMPARE * port=0 adr=06 act=23C2343CBE53208E92 exp=23C2343CBE53208E92 + 20087.50ns INFO [00020089] * RD COMPARE * port=1 adr=02 act=9ED054CD06F8E584D2 exp=9ED054CD06F8E584D2 + 20087.50ns INFO [00020089] Port=0 WR @00=BBFA41E194ECC701A4 + 20087.50ns INFO [00020089] Port=0 RD @03 + 20088.50ns INFO [00020090] * RD COMPARE * port=0 adr=03 act=42498D0A418BCD6B15 exp=42498D0A418BCD6B15 + 20089.50ns INFO [00020091] * RD COMPARE * port=0 adr=03 act=42498D0A418BCD6B15 exp=42498D0A418BCD6B15 + 20089.50ns INFO [00020091] Port=0 WR @07=AA72B6E82150DF7019 + 20089.50ns INFO [00020091] Port=0 RD @06 + 20090.50ns INFO [00020092] Port=0 WR @02=712E312B1A3FCE676D + 20090.50ns INFO [00020092] Port=0 RD @06 + 20090.50ns INFO [00020092] Port=1 RD @06 + 20091.50ns INFO [00020093] * RD COMPARE * port=0 adr=06 act=23C2343CBE53208E92 exp=23C2343CBE53208E92 + 20091.50ns INFO [00020093] Port=0 WR @04=DC3D7211FDB62E7EF2 + 20091.50ns INFO [00020093] Port=1 RD @03 + 20092.50ns INFO [00020094] * RD COMPARE * port=0 adr=06 act=23C2343CBE53208E92 exp=23C2343CBE53208E92 + 20092.50ns INFO [00020094] * RD COMPARE * port=1 adr=06 act=23C2343CBE53208E92 exp=23C2343CBE53208E92 + 20092.50ns INFO [00020094] Port=0 WR @06=9FBBE7CAD86C8D62E3 + 20093.50ns INFO [00020095] * RD COMPARE * port=1 adr=03 act=42498D0A418BCD6B15 exp=42498D0A418BCD6B15 + 20093.50ns INFO [00020095] Port=0 WR @05=4203508A90B8422C91 + 20093.50ns INFO [00020095] Port=1 RD @01 + 20095.50ns INFO [00020097] * RD COMPARE * port=1 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20096.50ns INFO [00020098] Port=1 RD @04 + 20097.50ns INFO [00020099] Port=0 WR @03=50ECEDDC3CB1AD4A7B + 20097.50ns INFO [00020099] Port=0 RD @01 + 20098.00ns INFO [00020100] [00020100] ...tick... + 20098.50ns INFO [00020100] * RD COMPARE * port=1 adr=04 act=DC3D7211FDB62E7EF2 exp=DC3D7211FDB62E7EF2 + 20098.50ns INFO [00020100] Port=0 RD @07 + 20099.50ns INFO [00020101] * RD COMPARE * port=0 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20099.50ns INFO [00020101] Port=0 WR @07=4C16C19AA675E4A5C0 + 20099.50ns INFO [00020101] Port=0 RD @00 + 20099.50ns INFO [00020101] Port=1 RD @03 + 20100.50ns INFO [00020102] * RD COMPARE * port=0 adr=07 act=AA72B6E82150DF7019 exp=AA72B6E82150DF7019 + 20101.50ns INFO [00020103] * RD COMPARE * port=0 adr=00 act=BBFA41E194ECC701A4 exp=BBFA41E194ECC701A4 + 20101.50ns INFO [00020103] * RD COMPARE * port=1 adr=03 act=50ECEDDC3CB1AD4A7B exp=50ECEDDC3CB1AD4A7B + 20102.50ns INFO [00020104] Port=0 WR @02=1FC761EC87C4542CA6 + 20102.50ns INFO [00020104] Port=1 RD @05 + 20103.50ns INFO [00020105] Port=0 RD @01 + 20103.50ns INFO [00020105] Port=1 RD @02 + 20104.50ns INFO [00020106] * RD COMPARE * port=1 adr=05 act=4203508A90B8422C91 exp=4203508A90B8422C91 + 20104.50ns INFO [00020106] Port=1 RD @04 + 20105.50ns INFO [00020107] * RD COMPARE * port=0 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20105.50ns INFO [00020107] * RD COMPARE * port=1 adr=02 act=1FC761EC87C4542CA6 exp=1FC761EC87C4542CA6 + 20105.50ns INFO [00020107] Port=0 RD @04 + 20106.50ns INFO [00020108] * RD COMPARE * port=1 adr=04 act=DC3D7211FDB62E7EF2 exp=DC3D7211FDB62E7EF2 + 20107.50ns INFO [00020109] * RD COMPARE * port=0 adr=04 act=DC3D7211FDB62E7EF2 exp=DC3D7211FDB62E7EF2 + 20107.50ns INFO [00020109] Port=0 RD @06 + 20109.50ns INFO [00020111] * RD COMPARE * port=0 adr=06 act=9FBBE7CAD86C8D62E3 exp=9FBBE7CAD86C8D62E3 + 20109.50ns INFO [00020111] Port=0 WR @02=595EF103F9BEE43FD0 + 20110.50ns INFO [00020112] Port=0 RD @00 + 20111.50ns INFO [00020113] Port=1 RD @04 + 20112.50ns INFO [00020114] * RD COMPARE * port=0 adr=00 act=BBFA41E194ECC701A4 exp=BBFA41E194ECC701A4 + 20113.50ns INFO [00020115] * RD COMPARE * port=1 adr=04 act=DC3D7211FDB62E7EF2 exp=DC3D7211FDB62E7EF2 + 20113.50ns INFO [00020115] Port=0 WR @06=15E1D2B272CE7F63C2 + 20113.50ns INFO [00020115] Port=0 RD @07 + 20114.50ns INFO [00020116] Port=0 WR @04=459B72451E0F00109F + 20114.50ns INFO [00020116] Port=1 RD @00 + 20115.50ns INFO [00020117] * RD COMPARE * port=0 adr=07 act=4C16C19AA675E4A5C0 exp=4C16C19AA675E4A5C0 + 20116.50ns INFO [00020118] * RD COMPARE * port=1 adr=00 act=BBFA41E194ECC701A4 exp=BBFA41E194ECC701A4 + 20116.50ns INFO [00020118] Port=1 RD @06 + 20117.50ns INFO [00020119] Port=0 RD @05 + 20118.50ns INFO [00020120] * RD COMPARE * port=1 adr=06 act=15E1D2B272CE7F63C2 exp=15E1D2B272CE7F63C2 + 20118.50ns INFO [00020120] Port=0 WR @06=BBA73F3B5C10D3A970 + 20118.50ns INFO [00020120] Port=0 RD @03 + 20119.50ns INFO [00020121] * RD COMPARE * port=0 adr=05 act=4203508A90B8422C91 exp=4203508A90B8422C91 + 20119.50ns INFO [00020121] Port=0 WR @04=D08C8A98EADD2B77E3 + 20120.50ns INFO [00020122] * RD COMPARE * port=0 adr=03 act=50ECEDDC3CB1AD4A7B exp=50ECEDDC3CB1AD4A7B + 20120.50ns INFO [00020122] Port=0 RD @02 + 20121.50ns INFO [00020123] Port=0 WR @00=91672E9E79C502AE0E + 20121.50ns INFO [00020123] Port=0 RD @01 + 20122.50ns INFO [00020124] * RD COMPARE * port=0 adr=02 act=595EF103F9BEE43FD0 exp=595EF103F9BEE43FD0 + 20122.50ns INFO [00020124] Port=0 WR @07=7A6440C157B641AE35 + 20123.50ns INFO [00020125] * RD COMPARE * port=0 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20124.50ns INFO [00020126] Port=0 WR @04=C3E9CCDC4BAEF704A1 + 20124.50ns INFO [00020126] Port=1 RD @02 + 20125.50ns INFO [00020127] Port=0 WR @00=F9EBFF78F00023C6AF + 20125.50ns INFO [00020127] Port=0 RD @05 + 20125.50ns INFO [00020127] Port=1 RD @01 + 20126.50ns INFO [00020128] * RD COMPARE * port=1 adr=02 act=595EF103F9BEE43FD0 exp=595EF103F9BEE43FD0 + 20126.50ns INFO [00020128] Port=0 WR @03=39031AC770ED0D48B0 + 20126.50ns INFO [00020128] Port=0 RD @01 + 20126.50ns INFO [00020128] Port=1 RD @04 + 20127.50ns INFO [00020129] * RD COMPARE * port=0 adr=05 act=4203508A90B8422C91 exp=4203508A90B8422C91 + 20127.50ns INFO [00020129] * RD COMPARE * port=1 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20127.50ns INFO [00020129] Port=0 RD @02 + 20128.50ns INFO [00020130] * RD COMPARE * port=0 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20128.50ns INFO [00020130] * RD COMPARE * port=1 adr=04 act=C3E9CCDC4BAEF704A1 exp=C3E9CCDC4BAEF704A1 + 20128.50ns INFO [00020130] Port=0 WR @03=6D22C4872C49724D46 + 20128.50ns INFO [00020130] Port=0 RD @05 + 20128.50ns INFO [00020130] Port=1 RD @01 + 20129.50ns INFO [00020131] * RD COMPARE * port=0 adr=02 act=595EF103F9BEE43FD0 exp=595EF103F9BEE43FD0 + 20129.50ns INFO [00020131] Port=0 WR @05=B256AD23C32D55A2F8 + 20129.50ns INFO [00020131] Port=0 RD @03 + 20130.50ns INFO [00020132] * RD COMPARE * port=0 adr=05 act=4203508A90B8422C91 exp=4203508A90B8422C91 + 20130.50ns INFO [00020132] * RD COMPARE * port=1 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20130.50ns INFO [00020132] Port=0 RD @06 + 20131.50ns INFO [00020133] * RD COMPARE * port=0 adr=03 act=6D22C4872C49724D46 exp=6D22C4872C49724D46 + 20131.50ns INFO [00020133] Port=0 RD @04 + 20131.50ns INFO [00020133] Port=1 RD @00 + 20132.50ns INFO [00020134] * RD COMPARE * port=0 adr=06 act=BBA73F3B5C10D3A970 exp=BBA73F3B5C10D3A970 + 20132.50ns INFO [00020134] Port=0 RD @07 + 20133.50ns INFO [00020135] * RD COMPARE * port=0 adr=04 act=C3E9CCDC4BAEF704A1 exp=C3E9CCDC4BAEF704A1 + 20133.50ns INFO [00020135] * RD COMPARE * port=1 adr=00 act=F9EBFF78F00023C6AF exp=F9EBFF78F00023C6AF + 20133.50ns INFO [00020135] Port=0 WR @05=B42DB0BD36CD09B62A + 20133.50ns INFO [00020135] Port=1 RD @00 + 20134.50ns INFO [00020136] * RD COMPARE * port=0 adr=07 act=7A6440C157B641AE35 exp=7A6440C157B641AE35 + 20134.50ns INFO [00020136] Port=0 WR @07=E74A4ED01321ED3417 + 20134.50ns INFO [00020136] Port=0 RD @06 + 20135.50ns INFO [00020137] * RD COMPARE * port=1 adr=00 act=F9EBFF78F00023C6AF exp=F9EBFF78F00023C6AF + 20136.50ns INFO [00020138] * RD COMPARE * port=0 adr=06 act=BBA73F3B5C10D3A970 exp=BBA73F3B5C10D3A970 + 20136.50ns INFO [00020138] Port=0 WR @05=39A50665387713A2B8 + 20137.50ns INFO [00020139] Port=0 WR @04=9FE3708EBFA2FF6222 + 20138.50ns INFO [00020140] Port=0 WR @02=D74FDC433A52198FC7 + 20138.50ns INFO [00020140] Port=0 RD @05 + 20139.50ns INFO [00020141] Port=0 WR @00=A673120BF346D8D99C + 20139.50ns INFO [00020141] Port=0 RD @05 + 20140.50ns INFO [00020142] * RD COMPARE * port=0 adr=05 act=39A50665387713A2B8 exp=39A50665387713A2B8 + 20140.50ns INFO [00020142] Port=0 WR @04=2DA368D16F034D4B88 + 20141.50ns INFO [00020143] * RD COMPARE * port=0 adr=05 act=39A50665387713A2B8 exp=39A50665387713A2B8 + 20141.50ns INFO [00020143] Port=0 WR @03=03189849B31F55783A + 20142.50ns INFO [00020144] Port=0 RD @05 + 20143.50ns INFO [00020145] Port=1 RD @04 + 20144.50ns INFO [00020146] * RD COMPARE * port=0 adr=05 act=39A50665387713A2B8 exp=39A50665387713A2B8 + 20144.50ns INFO [00020146] Port=0 WR @00=FC897871C4346F25EA + 20144.50ns INFO [00020146] Port=0 RD @06 + 20145.50ns INFO [00020147] * RD COMPARE * port=1 adr=04 act=2DA368D16F034D4B88 exp=2DA368D16F034D4B88 + 20145.50ns INFO [00020147] Port=1 RD @07 + 20146.50ns INFO [00020148] * RD COMPARE * port=0 adr=06 act=BBA73F3B5C10D3A970 exp=BBA73F3B5C10D3A970 + 20146.50ns INFO [00020148] Port=0 RD @03 + 20147.50ns INFO [00020149] * RD COMPARE * port=1 adr=07 act=E74A4ED01321ED3417 exp=E74A4ED01321ED3417 + 20147.50ns INFO [00020149] Port=0 WR @03=5D4D3262BB0517CF6A + 20148.50ns INFO [00020150] * RD COMPARE * port=0 adr=03 act=03189849B31F55783A exp=03189849B31F55783A + 20148.50ns INFO [00020150] Port=0 RD @07 + 20148.50ns INFO [00020150] Port=1 RD @05 + 20150.50ns INFO [00020152] * RD COMPARE * port=0 adr=07 act=E74A4ED01321ED3417 exp=E74A4ED01321ED3417 + 20150.50ns INFO [00020152] * RD COMPARE * port=1 adr=05 act=39A50665387713A2B8 exp=39A50665387713A2B8 + 20150.50ns INFO [00020152] Port=0 WR @06=2C105C960E882571A6 + 20150.50ns INFO [00020152] Port=0 RD @04 + 20152.50ns INFO [00020154] * RD COMPARE * port=0 adr=04 act=2DA368D16F034D4B88 exp=2DA368D16F034D4B88 + 20153.50ns INFO [00020155] Port=0 WR @06=9101EF213C793016A0 + 20153.50ns INFO [00020155] Port=0 RD @00 + 20154.50ns INFO [00020156] Port=0 RD @05 + 20155.50ns INFO [00020157] * RD COMPARE * port=0 adr=00 act=FC897871C4346F25EA exp=FC897871C4346F25EA + 20155.50ns INFO [00020157] Port=1 RD @04 + 20156.50ns INFO [00020158] * RD COMPARE * port=0 adr=05 act=39A50665387713A2B8 exp=39A50665387713A2B8 + 20156.50ns INFO [00020158] Port=1 RD @07 + 20157.50ns INFO [00020159] * RD COMPARE * port=1 adr=04 act=2DA368D16F034D4B88 exp=2DA368D16F034D4B88 + 20158.50ns INFO [00020160] * RD COMPARE * port=1 adr=07 act=E74A4ED01321ED3417 exp=E74A4ED01321ED3417 + 20158.50ns INFO [00020160] Port=0 RD @04 + 20159.50ns INFO [00020161] Port=0 RD @01 + 20159.50ns INFO [00020161] Port=1 RD @07 + 20160.50ns INFO [00020162] * RD COMPARE * port=0 adr=04 act=2DA368D16F034D4B88 exp=2DA368D16F034D4B88 + 20160.50ns INFO [00020162] Port=0 WR @04=F67174124A41B20DC6 + 20161.50ns INFO [00020163] * RD COMPARE * port=0 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20161.50ns INFO [00020163] * RD COMPARE * port=1 adr=07 act=E74A4ED01321ED3417 exp=E74A4ED01321ED3417 + 20161.50ns INFO [00020163] Port=0 RD @03 + 20161.50ns INFO [00020163] Port=1 RD @05 + 20163.50ns INFO [00020165] * RD COMPARE * port=0 adr=03 act=5D4D3262BB0517CF6A exp=5D4D3262BB0517CF6A + 20163.50ns INFO [00020165] * RD COMPARE * port=1 adr=05 act=39A50665387713A2B8 exp=39A50665387713A2B8 + 20164.50ns INFO [00020166] Port=0 RD @01 + 20164.50ns INFO [00020166] Port=1 RD @05 + 20166.50ns INFO [00020168] * RD COMPARE * port=0 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20166.50ns INFO [00020168] * RD COMPARE * port=1 adr=05 act=39A50665387713A2B8 exp=39A50665387713A2B8 + 20166.50ns INFO [00020168] Port=0 WR @07=A68561E3422CFCA5F4 + 20166.50ns INFO [00020168] Port=1 RD @01 + 20167.50ns INFO [00020169] Port=0 WR @05=4EB1EF217AAFB1F729 + 20167.50ns INFO [00020169] Port=0 RD @01 + 20167.50ns INFO [00020169] Port=1 RD @00 + 20168.50ns INFO [00020170] * RD COMPARE * port=1 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20168.50ns INFO [00020170] Port=0 RD @00 + 20169.50ns INFO [00020171] * RD COMPARE * port=0 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20169.50ns INFO [00020171] * RD COMPARE * port=1 adr=00 act=FC897871C4346F25EA exp=FC897871C4346F25EA + 20170.50ns INFO [00020172] * RD COMPARE * port=0 adr=00 act=FC897871C4346F25EA exp=FC897871C4346F25EA + 20170.50ns INFO [00020172] Port=1 RD @03 + 20172.50ns INFO [00020174] * RD COMPARE * port=1 adr=03 act=5D4D3262BB0517CF6A exp=5D4D3262BB0517CF6A + 20172.50ns INFO [00020174] Port=0 WR @03=7E336B34C2675F96EF + 20172.50ns INFO [00020174] Port=0 RD @00 + 20172.50ns INFO [00020174] Port=1 RD @05 + 20173.50ns INFO [00020175] Port=0 RD @06 + 20173.50ns INFO [00020175] Port=1 RD @03 + 20174.50ns INFO [00020176] * RD COMPARE * port=0 adr=00 act=FC897871C4346F25EA exp=FC897871C4346F25EA + 20174.50ns INFO [00020176] * RD COMPARE * port=1 adr=05 act=4EB1EF217AAFB1F729 exp=4EB1EF217AAFB1F729 + 20174.50ns INFO [00020176] Port=0 RD @06 + 20175.50ns INFO [00020177] * RD COMPARE * port=0 adr=06 act=9101EF213C793016A0 exp=9101EF213C793016A0 + 20175.50ns INFO [00020177] * RD COMPARE * port=1 adr=03 act=7E336B34C2675F96EF exp=7E336B34C2675F96EF + 20175.50ns INFO [00020177] Port=1 RD @01 + 20176.50ns INFO [00020178] * RD COMPARE * port=0 adr=06 act=9101EF213C793016A0 exp=9101EF213C793016A0 + 20176.50ns INFO [00020178] Port=0 RD @03 + 20177.50ns INFO [00020179] * RD COMPARE * port=1 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20177.50ns INFO [00020179] Port=0 WR @04=834200FB0746B3F1B1 + 20177.50ns INFO [00020179] Port=0 RD @05 + 20177.50ns INFO [00020179] Port=1 RD @02 + 20178.50ns INFO [00020180] * RD COMPARE * port=0 adr=03 act=7E336B34C2675F96EF exp=7E336B34C2675F96EF + 20178.50ns INFO [00020180] Port=0 WR @00=1B05FCEB21DFF67A51 + 20178.50ns INFO [00020180] Port=0 RD @04 + 20178.50ns INFO [00020180] Port=1 RD @04 + 20179.50ns INFO [00020181] * RD COMPARE * port=0 adr=05 act=4EB1EF217AAFB1F729 exp=4EB1EF217AAFB1F729 + 20179.50ns INFO [00020181] * RD COMPARE * port=1 adr=02 act=D74FDC433A52198FC7 exp=D74FDC433A52198FC7 + 20179.50ns INFO [00020181] Port=1 RD @01 + 20180.50ns INFO [00020182] * RD COMPARE * port=0 adr=04 act=834200FB0746B3F1B1 exp=834200FB0746B3F1B1 + 20180.50ns INFO [00020182] * RD COMPARE * port=1 adr=04 act=834200FB0746B3F1B1 exp=834200FB0746B3F1B1 + 20180.50ns INFO [00020182] Port=0 WR @02=1AFF23333A238DB304 + 20180.50ns INFO [00020182] Port=0 RD @03 + 20181.50ns INFO [00020183] * RD COMPARE * port=1 adr=01 act=68D09C211C698CE18C exp=68D09C211C698CE18C + 20181.50ns INFO [00020183] Port=0 WR @07=6DFE6D7E4D6E4B34B5 + 20181.50ns INFO [00020183] Port=0 RD @03 + 20182.50ns INFO [00020184] * RD COMPARE * port=0 adr=03 act=7E336B34C2675F96EF exp=7E336B34C2675F96EF + 20182.50ns INFO [00020184] Port=0 WR @06=379FBA6B36D2074013 + 20183.50ns INFO [00020185] * RD COMPARE * port=0 adr=03 act=7E336B34C2675F96EF exp=7E336B34C2675F96EF + 20185.50ns INFO [00020187] Port=0 RD @04 + 20185.50ns INFO [00020187] Port=1 RD @02 + 20187.50ns INFO [00020189] * RD COMPARE * port=0 adr=04 act=834200FB0746B3F1B1 exp=834200FB0746B3F1B1 + 20187.50ns INFO [00020189] * RD COMPARE * port=1 adr=02 act=1AFF23333A238DB304 exp=1AFF23333A238DB304 + 20187.50ns INFO [00020189] Port=0 WR @07=A4EF39EB2DB2D5381B + 20187.50ns INFO [00020189] Port=0 RD @04 + 20187.50ns INFO [00020189] Port=1 RD @06 + 20188.50ns INFO [00020190] Port=1 RD @05 + 20189.50ns INFO [00020191] * RD COMPARE * port=0 adr=04 act=834200FB0746B3F1B1 exp=834200FB0746B3F1B1 + 20189.50ns INFO [00020191] * RD COMPARE * port=1 adr=06 act=379FBA6B36D2074013 exp=379FBA6B36D2074013 + 20189.50ns INFO [00020191] Port=0 WR @03=151DC1CBE77ABFA38F + 20190.50ns INFO [00020192] * RD COMPARE * port=1 adr=05 act=4EB1EF217AAFB1F729 exp=4EB1EF217AAFB1F729 + 20190.50ns INFO [00020192] Port=0 WR @06=0D52AC45568C799627 + 20190.50ns INFO [00020192] Port=0 RD @00 + 20192.50ns INFO [00020194] * RD COMPARE * port=0 adr=00 act=1B05FCEB21DFF67A51 exp=1B05FCEB21DFF67A51 + 20193.50ns INFO [00020195] Port=0 WR @01=C6028477F1D61620D8 + 20194.50ns INFO [00020196] Port=0 RD @05 + 20194.50ns INFO [00020196] Port=1 RD @01 + 20195.50ns INFO [00020197] Port=0 WR @00=6811DFB153F60BDDC5 + 20196.50ns INFO [00020198] * RD COMPARE * port=0 adr=05 act=4EB1EF217AAFB1F729 exp=4EB1EF217AAFB1F729 + 20196.50ns INFO [00020198] * RD COMPARE * port=1 adr=01 act=C6028477F1D61620D8 exp=C6028477F1D61620D8 + 20196.50ns INFO [00020198] Port=1 RD @02 + 20197.50ns INFO [00020199] Port=1 RD @03 + 20198.00ns INFO [00020200] [00020200] ...tick... + 20198.50ns INFO [00020200] * RD COMPARE * port=1 adr=02 act=1AFF23333A238DB304 exp=1AFF23333A238DB304 + 20198.50ns INFO [00020200] Port=0 WR @07=20E8386A07A89F0191 + 20199.50ns INFO [00020201] * RD COMPARE * port=1 adr=03 act=151DC1CBE77ABFA38F exp=151DC1CBE77ABFA38F + 20199.50ns INFO [00020201] Port=0 WR @03=62F4E120A219D99571 + 20200.50ns INFO [00020202] Port=1 RD @04 + 20201.50ns INFO [00020203] Port=0 RD @00 + 20201.50ns INFO [00020203] Port=1 RD @00 + 20202.50ns INFO [00020204] * RD COMPARE * port=1 adr=04 act=834200FB0746B3F1B1 exp=834200FB0746B3F1B1 + 20202.50ns INFO [00020204] Port=0 WR @06=16300882157BB4CF43 + 20202.50ns INFO [00020204] Port=1 RD @04 + 20203.50ns INFO [00020205] * RD COMPARE * port=0 adr=00 act=6811DFB153F60BDDC5 exp=6811DFB153F60BDDC5 + 20203.50ns INFO [00020205] * RD COMPARE * port=1 adr=00 act=6811DFB153F60BDDC5 exp=6811DFB153F60BDDC5 + 20204.50ns INFO [00020206] * RD COMPARE * port=1 adr=04 act=834200FB0746B3F1B1 exp=834200FB0746B3F1B1 + 20205.50ns INFO [00020207] Port=0 WR @05=0A8CA0BBD3DEA55B06 + 20206.50ns INFO [00020208] Port=0 WR @04=176073BC64CA566C9C + 20207.50ns INFO [00020209] Port=0 RD @02 + 20208.50ns INFO [00020210] Port=0 RD @01 + 20209.50ns INFO [00020211] * RD COMPARE * port=0 adr=02 act=1AFF23333A238DB304 exp=1AFF23333A238DB304 + 20209.50ns INFO [00020211] Port=0 WR @06=C66714AB325966A326 + 20209.50ns INFO [00020211] Port=1 RD @04 + 20210.50ns INFO [00020212] * RD COMPARE * port=0 adr=01 act=C6028477F1D61620D8 exp=C6028477F1D61620D8 + 20210.50ns INFO [00020212] Port=0 WR @03=3FB9021161B1AA7B30 + 20210.50ns INFO [00020212] Port=0 RD @02 + 20210.50ns INFO [00020212] Port=1 RD @01 + 20211.50ns INFO [00020213] * RD COMPARE * port=1 adr=04 act=176073BC64CA566C9C exp=176073BC64CA566C9C + 20211.50ns INFO [00020213] Port=1 RD @06 + 20212.50ns INFO [00020214] * RD COMPARE * port=0 adr=02 act=1AFF23333A238DB304 exp=1AFF23333A238DB304 + 20212.50ns INFO [00020214] * RD COMPARE * port=1 adr=01 act=C6028477F1D61620D8 exp=C6028477F1D61620D8 + 20212.50ns INFO [00020214] Port=1 RD @07 + 20213.50ns INFO [00020215] * RD COMPARE * port=1 adr=06 act=C66714AB325966A326 exp=C66714AB325966A326 + 20213.50ns INFO [00020215] Port=0 WR @05=E4284A673F4049FF36 + 20213.50ns INFO [00020215] Port=0 RD @01 + 20214.50ns INFO [00020216] * RD COMPARE * port=1 adr=07 act=20E8386A07A89F0191 exp=20E8386A07A89F0191 + 20214.50ns INFO [00020216] Port=1 RD @02 + 20215.50ns INFO [00020217] * RD COMPARE * port=0 adr=01 act=C6028477F1D61620D8 exp=C6028477F1D61620D8 + 20215.50ns INFO [00020217] Port=0 WR @00=E3EBA02CD0C949F045 + 20215.50ns INFO [00020217] Port=0 RD @07 + 20215.50ns INFO [00020217] Port=1 RD @05 + 20216.50ns INFO [00020218] * RD COMPARE * port=1 adr=02 act=1AFF23333A238DB304 exp=1AFF23333A238DB304 + 20216.50ns INFO [00020218] Port=0 WR @06=0255F37EE19128CFED + 20216.50ns INFO [00020218] Port=0 RD @03 + 20216.50ns INFO [00020218] Port=1 RD @07 + 20217.50ns INFO [00020219] * RD COMPARE * port=0 adr=07 act=20E8386A07A89F0191 exp=20E8386A07A89F0191 + 20217.50ns INFO [00020219] * RD COMPARE * port=1 adr=05 act=E4284A673F4049FF36 exp=E4284A673F4049FF36 + 20217.50ns INFO [00020219] Port=1 RD @07 + 20218.50ns INFO [00020220] * RD COMPARE * port=0 adr=03 act=3FB9021161B1AA7B30 exp=3FB9021161B1AA7B30 + 20218.50ns INFO [00020220] * RD COMPARE * port=1 adr=07 act=20E8386A07A89F0191 exp=20E8386A07A89F0191 + 20219.50ns INFO [00020221] * RD COMPARE * port=1 adr=07 act=20E8386A07A89F0191 exp=20E8386A07A89F0191 + 20220.50ns INFO [00020222] Port=0 WR @07=3ED9189FF6902FE193 + 20221.50ns INFO [00020223] Port=0 WR @06=0755B08FB18C4EFC7B + 20221.50ns INFO [00020223] Port=1 RD @02 + 20222.50ns INFO [00020224] Port=0 WR @07=F9F296866787CC6D50 + 20222.50ns INFO [00020224] Port=0 RD @06 + 20223.50ns INFO [00020225] * RD COMPARE * port=1 adr=02 act=1AFF23333A238DB304 exp=1AFF23333A238DB304 + 20223.50ns INFO [00020225] Port=0 RD @03 + 20224.50ns INFO [00020226] * RD COMPARE * port=0 adr=06 act=0755B08FB18C4EFC7B exp=0755B08FB18C4EFC7B + 20224.50ns INFO [00020226] Port=1 RD @04 + 20225.50ns INFO [00020227] * RD COMPARE * port=0 adr=03 act=3FB9021161B1AA7B30 exp=3FB9021161B1AA7B30 + 20226.50ns INFO [00020228] * RD COMPARE * port=1 adr=04 act=176073BC64CA566C9C exp=176073BC64CA566C9C + 20226.50ns INFO [00020228] Port=0 RD @03 + 20227.50ns INFO [00020229] Port=0 WR @03=C88BF56EDB61230DED + 20228.50ns INFO [00020230] * RD COMPARE * port=0 adr=03 act=3FB9021161B1AA7B30 exp=3FB9021161B1AA7B30 + 20228.50ns INFO [00020230] Port=0 WR @04=0E7412D5AA39ECF503 + 20228.50ns INFO [00020230] Port=0 RD @02 + 20229.50ns INFO [00020231] Port=1 RD @00 + 20230.50ns INFO [00020232] * RD COMPARE * port=0 adr=02 act=1AFF23333A238DB304 exp=1AFF23333A238DB304 + 20231.50ns INFO [00020233] * RD COMPARE * port=1 adr=00 act=E3EBA02CD0C949F045 exp=E3EBA02CD0C949F045 + 20233.50ns INFO [00020235] Port=0 WR @04=7C09F0A0EEAD2A60C6 + 20233.50ns INFO [00020235] Port=1 RD @02 + 20234.50ns INFO [00020236] Port=0 RD @04 + 20234.50ns INFO [00020236] Port=1 RD @05 + 20235.50ns INFO [00020237] * RD COMPARE * port=1 adr=02 act=1AFF23333A238DB304 exp=1AFF23333A238DB304 + 20235.50ns INFO [00020237] Port=0 RD @00 + 20236.50ns INFO [00020238] * RD COMPARE * port=0 adr=04 act=7C09F0A0EEAD2A60C6 exp=7C09F0A0EEAD2A60C6 + 20236.50ns INFO [00020238] * RD COMPARE * port=1 adr=05 act=E4284A673F4049FF36 exp=E4284A673F4049FF36 + 20236.50ns INFO [00020238] Port=0 WR @01=77D0425FB0C288300D + 20236.50ns INFO [00020238] Port=0 RD @06 + 20236.50ns INFO [00020238] Port=1 RD @03 + 20237.50ns INFO [00020239] * RD COMPARE * port=0 adr=00 act=E3EBA02CD0C949F045 exp=E3EBA02CD0C949F045 + 20237.50ns INFO [00020239] Port=0 WR @01=376BCEDAC46EB145C2 + 20238.50ns INFO [00020240] * RD COMPARE * port=0 adr=06 act=0755B08FB18C4EFC7B exp=0755B08FB18C4EFC7B + 20238.50ns INFO [00020240] * RD COMPARE * port=1 adr=03 act=C88BF56EDB61230DED exp=C88BF56EDB61230DED + 20239.50ns INFO [00020241] Port=0 WR @06=4227E3FC27A9CA1D10 + 20240.50ns INFO [00020242] Port=0 WR @07=A26BF690A993B64C47 + 20241.50ns INFO [00020243] Port=0 RD @02 + 20242.50ns INFO [00020244] Port=1 RD @00 + 20243.50ns INFO [00020245] * RD COMPARE * port=0 adr=02 act=1AFF23333A238DB304 exp=1AFF23333A238DB304 + 20243.50ns INFO [00020245] Port=0 WR @05=6239FD1AD3787562E0 + 20243.50ns INFO [00020245] Port=0 RD @07 + 20244.50ns INFO [00020246] * RD COMPARE * port=1 adr=00 act=E3EBA02CD0C949F045 exp=E3EBA02CD0C949F045 + 20244.50ns INFO [00020246] Port=0 WR @01=9C73E48A949CED9C50 + 20244.50ns INFO [00020246] Port=1 RD @06 + 20245.50ns INFO [00020247] * RD COMPARE * port=0 adr=07 act=A26BF690A993B64C47 exp=A26BF690A993B64C47 + 20245.50ns INFO [00020247] Port=1 RD @03 + 20246.50ns INFO [00020248] * RD COMPARE * port=1 adr=06 act=4227E3FC27A9CA1D10 exp=4227E3FC27A9CA1D10 + 20246.50ns INFO [00020248] Port=0 WR @05=8C61E7185BA4EB380E + 20247.50ns INFO [00020249] * RD COMPARE * port=1 adr=03 act=C88BF56EDB61230DED exp=C88BF56EDB61230DED + 20247.50ns INFO [00020249] Port=0 WR @00=A193058BE3A3BB6597 + 20248.50ns INFO [00020250] Port=0 WR @06=DA86B1FFC1CBBEA316 + 20249.50ns INFO [00020251] Port=0 RD @07 + 20250.50ns INFO [00020252] Port=1 RD @00 + 20251.50ns INFO [00020253] * RD COMPARE * port=0 adr=07 act=A26BF690A993B64C47 exp=A26BF690A993B64C47 + 20251.50ns INFO [00020253] Port=0 WR @07=951DD43C96D0183A8B + 20251.50ns INFO [00020253] Port=0 RD @05 + 20252.50ns INFO [00020254] * RD COMPARE * port=1 adr=00 act=A193058BE3A3BB6597 exp=A193058BE3A3BB6597 + 20252.50ns INFO [00020254] Port=1 RD @00 + 20253.50ns INFO [00020255] * RD COMPARE * port=0 adr=05 act=8C61E7185BA4EB380E exp=8C61E7185BA4EB380E + 20253.50ns INFO [00020255] Port=0 RD @02 + 20254.50ns INFO [00020256] * RD COMPARE * port=1 adr=00 act=A193058BE3A3BB6597 exp=A193058BE3A3BB6597 + 20254.50ns INFO [00020256] Port=0 WR @02=BC89330912F86336AD + 20255.50ns INFO [00020257] * RD COMPARE * port=0 adr=02 act=1AFF23333A238DB304 exp=1AFF23333A238DB304 + 20255.50ns INFO [00020257] Port=0 WR @07=EC79984BD8A2BA56ED + 20255.50ns INFO [00020257] Port=0 RD @01 + 20256.50ns INFO [00020258] Port=0 WR @07=9366A27C055C61373A + 20256.50ns INFO [00020258] Port=1 RD @06 + 20257.50ns INFO [00020259] * RD COMPARE * port=0 adr=01 act=9C73E48A949CED9C50 exp=9C73E48A949CED9C50 + 20257.50ns INFO [00020259] Port=1 RD @01 + 20258.50ns INFO [00020260] * RD COMPARE * port=1 adr=06 act=DA86B1FFC1CBBEA316 exp=DA86B1FFC1CBBEA316 + 20258.50ns INFO [00020260] Port=0 WR @02=CB274243123B8BDDD9 + 20259.50ns INFO [00020261] * RD COMPARE * port=1 adr=01 act=9C73E48A949CED9C50 exp=9C73E48A949CED9C50 + 20259.50ns INFO [00020261] Port=0 RD @05 + 20260.50ns INFO [00020262] Port=1 RD @07 + 20261.50ns INFO [00020263] * RD COMPARE * port=0 adr=05 act=8C61E7185BA4EB380E exp=8C61E7185BA4EB380E + 20262.50ns INFO [00020264] * RD COMPARE * port=1 adr=07 act=9366A27C055C61373A exp=9366A27C055C61373A + 20262.50ns INFO [00020264] Port=0 RD @05 + 20263.50ns INFO [00020265] Port=0 WR @03=0ED3B53B8B390B4795 + 20264.50ns INFO [00020266] * RD COMPARE * port=0 adr=05 act=8C61E7185BA4EB380E exp=8C61E7185BA4EB380E + 20264.50ns INFO [00020266] Port=0 WR @05=7076297EC0BAD148C3 + 20264.50ns INFO [00020266] Port=1 RD @02 + 20265.50ns INFO [00020267] Port=0 WR @00=EA5A8AD799D467F665 + 20265.50ns INFO [00020267] Port=1 RD @03 + 20266.50ns INFO [00020268] * RD COMPARE * port=1 adr=02 act=CB274243123B8BDDD9 exp=CB274243123B8BDDD9 + 20266.50ns INFO [00020268] Port=0 WR @03=D7135077926C06C6A2 + 20266.50ns INFO [00020268] Port=0 RD @05 + 20266.50ns INFO [00020268] Port=1 RD @00 + 20267.50ns INFO [00020269] * RD COMPARE * port=1 adr=03 act=0ED3B53B8B390B4795 exp=0ED3B53B8B390B4795 + 20268.50ns INFO [00020270] * RD COMPARE * port=0 adr=05 act=7076297EC0BAD148C3 exp=7076297EC0BAD148C3 + 20268.50ns INFO [00020270] * RD COMPARE * port=1 adr=00 act=EA5A8AD799D467F665 exp=EA5A8AD799D467F665 + 20268.50ns INFO [00020270] Port=0 WR @04=EB1F84D6BBB8B24762 + 20269.50ns INFO [00020271] Port=1 RD @04 + 20270.50ns INFO [00020272] Port=0 WR @00=9CBA382C44713B40AD + 20271.50ns INFO [00020273] * RD COMPARE * port=1 adr=04 act=EB1F84D6BBB8B24762 exp=EB1F84D6BBB8B24762 + 20271.50ns INFO [00020273] Port=0 RD @06 + 20271.50ns INFO [00020273] Port=1 RD @01 + 20272.50ns INFO [00020274] Port=0 WR @05=900B39305F17AC5D04 + 20272.50ns INFO [00020274] Port=1 RD @04 + 20273.50ns INFO [00020275] * RD COMPARE * port=0 adr=06 act=DA86B1FFC1CBBEA316 exp=DA86B1FFC1CBBEA316 + 20273.50ns INFO [00020275] * RD COMPARE * port=1 adr=01 act=9C73E48A949CED9C50 exp=9C73E48A949CED9C50 + 20273.50ns INFO [00020275] Port=1 RD @05 + 20274.50ns INFO [00020276] * RD COMPARE * port=1 adr=04 act=EB1F84D6BBB8B24762 exp=EB1F84D6BBB8B24762 + 20274.50ns INFO [00020276] Port=0 WR @07=90C90BA0DC601E5419 + 20274.50ns INFO [00020276] Port=1 RD @01 + 20275.50ns INFO [00020277] * RD COMPARE * port=1 adr=05 act=900B39305F17AC5D04 exp=900B39305F17AC5D04 + 20276.50ns INFO [00020278] * RD COMPARE * port=1 adr=01 act=9C73E48A949CED9C50 exp=9C73E48A949CED9C50 + 20276.50ns INFO [00020278] Port=0 WR @03=EA8D20470F843DA250 + 20276.50ns INFO [00020278] Port=0 RD @04 + 20277.50ns INFO [00020279] Port=0 WR @05=4D44A093F502E2B5E7 + 20277.50ns INFO [00020279] Port=0 RD @02 + 20277.50ns INFO [00020279] Port=1 RD @00 + 20278.50ns INFO [00020280] * RD COMPARE * port=0 adr=04 act=EB1F84D6BBB8B24762 exp=EB1F84D6BBB8B24762 + 20278.50ns INFO [00020280] Port=0 RD @05 + 20279.50ns INFO [00020281] * RD COMPARE * port=0 adr=02 act=CB274243123B8BDDD9 exp=CB274243123B8BDDD9 + 20279.50ns INFO [00020281] * RD COMPARE * port=1 adr=00 act=9CBA382C44713B40AD exp=9CBA382C44713B40AD + 20280.50ns INFO [00020282] * RD COMPARE * port=0 adr=05 act=4D44A093F502E2B5E7 exp=4D44A093F502E2B5E7 + 20280.50ns INFO [00020282] Port=0 WR @02=B5DB8FFDA44C32F555 + 20281.50ns INFO [00020283] Port=1 RD @03 + 20282.50ns INFO [00020284] Port=0 RD @05 + 20282.50ns INFO [00020284] Port=1 RD @04 + 20283.50ns INFO [00020285] * RD COMPARE * port=1 adr=03 act=EA8D20470F843DA250 exp=EA8D20470F843DA250 + 20284.50ns INFO [00020286] * RD COMPARE * port=0 adr=05 act=4D44A093F502E2B5E7 exp=4D44A093F502E2B5E7 + 20284.50ns INFO [00020286] * RD COMPARE * port=1 adr=04 act=EB1F84D6BBB8B24762 exp=EB1F84D6BBB8B24762 + 20285.50ns INFO [00020287] Port=0 RD @06 + 20285.50ns INFO [00020287] Port=1 RD @07 + 20287.50ns INFO [00020289] * RD COMPARE * port=0 adr=06 act=DA86B1FFC1CBBEA316 exp=DA86B1FFC1CBBEA316 + 20287.50ns INFO [00020289] * RD COMPARE * port=1 adr=07 act=90C90BA0DC601E5419 exp=90C90BA0DC601E5419 + 20287.50ns INFO [00020289] Port=0 RD @01 + 20288.50ns INFO [00020290] Port=0 RD @03 + 20288.50ns INFO [00020290] Port=1 RD @07 + 20289.50ns INFO [00020291] * RD COMPARE * port=0 adr=01 act=9C73E48A949CED9C50 exp=9C73E48A949CED9C50 + 20289.50ns INFO [00020291] Port=0 WR @01=826F619D40CF11A5F8 + 20289.50ns INFO [00020291] Port=0 RD @02 + 20290.50ns INFO [00020292] * RD COMPARE * port=0 adr=03 act=EA8D20470F843DA250 exp=EA8D20470F843DA250 + 20290.50ns INFO [00020292] * RD COMPARE * port=1 adr=07 act=90C90BA0DC601E5419 exp=90C90BA0DC601E5419 + 20291.50ns INFO [00020293] * RD COMPARE * port=0 adr=02 act=B5DB8FFDA44C32F555 exp=B5DB8FFDA44C32F555 + 20291.50ns INFO [00020293] Port=0 WR @05=092649DFE31611F53D + 20291.50ns INFO [00020293] Port=0 RD @07 + 20293.50ns INFO [00020295] * RD COMPARE * port=0 adr=07 act=90C90BA0DC601E5419 exp=90C90BA0DC601E5419 + 20293.50ns INFO [00020295] Port=1 RD @06 + 20295.50ns INFO [00020297] * RD COMPARE * port=1 adr=06 act=DA86B1FFC1CBBEA316 exp=DA86B1FFC1CBBEA316 + 20298.00ns INFO [00020300] [00020300] ...tick... + 20298.50ns INFO [00020300] Port=0 WR @07=521CD6986A7864B865 + 20299.50ns INFO [00020301] Port=0 RD @02 + 20300.50ns INFO [00020302] Port=0 RD @06 + 20301.50ns INFO [00020303] * RD COMPARE * port=0 adr=02 act=B5DB8FFDA44C32F555 exp=B5DB8FFDA44C32F555 + 20301.50ns INFO [00020303] Port=1 RD @07 + 20302.50ns INFO [00020304] * RD COMPARE * port=0 adr=06 act=DA86B1FFC1CBBEA316 exp=DA86B1FFC1CBBEA316 + 20302.50ns INFO [00020304] Port=0 WR @06=042EA826C28112BE98 + 20303.50ns INFO [00020305] * RD COMPARE * port=1 adr=07 act=521CD6986A7864B865 exp=521CD6986A7864B865 + 20303.50ns INFO [00020305] Port=0 RD @02 + 20304.50ns INFO [00020306] Port=1 RD @01 + 20305.50ns INFO [00020307] * RD COMPARE * port=0 adr=02 act=B5DB8FFDA44C32F555 exp=B5DB8FFDA44C32F555 + 20305.50ns INFO [00020307] Port=1 RD @02 + 20306.50ns INFO [00020308] * RD COMPARE * port=1 adr=01 act=826F619D40CF11A5F8 exp=826F619D40CF11A5F8 + 20306.50ns INFO [00020308] Port=1 RD @07 + 20307.50ns INFO [00020309] * RD COMPARE * port=1 adr=02 act=B5DB8FFDA44C32F555 exp=B5DB8FFDA44C32F555 + 20307.50ns INFO [00020309] Port=1 RD @04 + 20308.50ns INFO [00020310] * RD COMPARE * port=1 adr=07 act=521CD6986A7864B865 exp=521CD6986A7864B865 + 20308.50ns INFO [00020310] Port=0 WR @02=0FC8179C02B3B8D559 + 20308.50ns INFO [00020310] Port=0 RD @07 + 20309.50ns INFO [00020311] * RD COMPARE * port=1 adr=04 act=EB1F84D6BBB8B24762 exp=EB1F84D6BBB8B24762 + 20309.50ns INFO [00020311] Port=1 RD @01 + 20310.50ns INFO [00020312] * RD COMPARE * port=0 adr=07 act=521CD6986A7864B865 exp=521CD6986A7864B865 + 20310.50ns INFO [00020312] Port=0 WR @03=AD057D59C4B69B6E78 + 20310.50ns INFO [00020312] Port=0 RD @05 + 20311.50ns INFO [00020313] * RD COMPARE * port=1 adr=01 act=826F619D40CF11A5F8 exp=826F619D40CF11A5F8 + 20311.50ns INFO [00020313] Port=0 WR @00=07AC29924C60960AA6 + 20311.50ns INFO [00020313] Port=0 RD @02 + 20312.50ns INFO [00020314] * RD COMPARE * port=0 adr=05 act=092649DFE31611F53D exp=092649DFE31611F53D + 20312.50ns INFO [00020314] Port=0 RD @05 + 20313.50ns INFO [00020315] * RD COMPARE * port=0 adr=02 act=0FC8179C02B3B8D559 exp=0FC8179C02B3B8D559 + 20313.50ns INFO [00020315] Port=0 WR @02=E9F2CFBF9DCC1ACB1E + 20313.50ns INFO [00020315] Port=1 RD @07 + 20314.50ns INFO [00020316] * RD COMPARE * port=0 adr=05 act=092649DFE31611F53D exp=092649DFE31611F53D + 20314.50ns INFO [00020316] Port=0 WR @01=F735AE748C2F0634A4 + 20314.50ns INFO [00020316] Port=0 RD @07 + 20315.50ns INFO [00020317] * RD COMPARE * port=1 adr=07 act=521CD6986A7864B865 exp=521CD6986A7864B865 + 20315.50ns INFO [00020317] Port=0 WR @00=05FE56A58E930C8858 + 20316.50ns INFO [00020318] * RD COMPARE * port=0 adr=07 act=521CD6986A7864B865 exp=521CD6986A7864B865 + 20316.50ns INFO [00020318] Port=0 RD @05 + 20316.50ns INFO [00020318] Port=1 RD @01 + 20317.50ns INFO [00020319] Port=0 WR @06=7155D65ADA98650DB6 + 20318.50ns INFO [00020320] * RD COMPARE * port=0 adr=05 act=092649DFE31611F53D exp=092649DFE31611F53D + 20318.50ns INFO [00020320] * RD COMPARE * port=1 adr=01 act=F735AE748C2F0634A4 exp=F735AE748C2F0634A4 + 20318.50ns INFO [00020320] Port=0 RD @05 + 20319.50ns INFO [00020321] Port=0 WR @04=15D509193795BC6368 + 20319.50ns INFO [00020321] Port=0 RD @00 + 20320.50ns INFO [00020322] * RD COMPARE * port=0 adr=05 act=092649DFE31611F53D exp=092649DFE31611F53D + 20320.50ns INFO [00020322] Port=0 WR @06=BD49F005EF9FE6CF05 + 20320.50ns INFO [00020322] Port=1 RD @00 + 20321.50ns INFO [00020323] * RD COMPARE * port=0 adr=00 act=05FE56A58E930C8858 exp=05FE56A58E930C8858 + 20321.50ns INFO [00020323] Port=0 RD @06 + 20322.50ns INFO [00020324] * RD COMPARE * port=1 adr=00 act=05FE56A58E930C8858 exp=05FE56A58E930C8858 + 20322.50ns INFO [00020324] Port=0 WR @06=26E2A775992DDB1553 + 20323.50ns INFO [00020325] * RD COMPARE * port=0 adr=06 act=BD49F005EF9FE6CF05 exp=BD49F005EF9FE6CF05 + 20323.50ns INFO [00020325] Port=0 WR @02=340D0AFF5445C5D469 + 20323.50ns INFO [00020325] Port=1 RD @07 + 20324.50ns INFO [00020326] Port=0 RD @02 + 20325.50ns INFO [00020327] * RD COMPARE * port=1 adr=07 act=521CD6986A7864B865 exp=521CD6986A7864B865 + 20325.50ns INFO [00020327] Port=0 WR @00=6950BF088F20E1DCB5 + 20326.50ns INFO [00020328] * RD COMPARE * port=0 adr=02 act=340D0AFF5445C5D469 exp=340D0AFF5445C5D469 + 20326.50ns INFO [00020328] Port=0 WR @05=80846E8530A9D3B222 + 20328.50ns INFO [00020330] Port=0 RD @06 + 20328.50ns INFO [00020330] Port=1 RD @06 + 20329.50ns INFO [00020331] Port=0 WR @04=2F8CFF5FC1E4D6A024 + 20329.50ns INFO [00020331] Port=1 RD @05 + 20330.50ns INFO [00020332] * RD COMPARE * port=0 adr=06 act=26E2A775992DDB1553 exp=26E2A775992DDB1553 + 20330.50ns INFO [00020332] * RD COMPARE * port=1 adr=06 act=26E2A775992DDB1553 exp=26E2A775992DDB1553 + 20330.50ns INFO [00020332] Port=0 RD @06 + 20330.50ns INFO [00020332] Port=1 RD @07 + 20331.50ns INFO [00020333] * RD COMPARE * port=1 adr=05 act=80846E8530A9D3B222 exp=80846E8530A9D3B222 + 20332.50ns INFO [00020334] * RD COMPARE * port=0 adr=06 act=26E2A775992DDB1553 exp=26E2A775992DDB1553 + 20332.50ns INFO [00020334] * RD COMPARE * port=1 adr=07 act=521CD6986A7864B865 exp=521CD6986A7864B865 + 20333.50ns INFO [00020335] Port=0 RD @04 + 20334.50ns INFO [00020336] Port=0 WR @07=5332D8903877303264 + 20334.50ns INFO [00020336] Port=0 RD @04 + 20335.50ns INFO [00020337] * RD COMPARE * port=0 adr=04 act=2F8CFF5FC1E4D6A024 exp=2F8CFF5FC1E4D6A024 + 20335.50ns INFO [00020337] Port=0 WR @07=D0E9C90A7BDDDD9A4D + 20336.50ns INFO [00020338] * RD COMPARE * port=0 adr=04 act=2F8CFF5FC1E4D6A024 exp=2F8CFF5FC1E4D6A024 + 20337.50ns INFO [00020339] Port=1 RD @04 + 20338.50ns INFO [00020340] Port=0 WR @02=7D5EC7934FF9AE0634 + 20339.50ns INFO [00020341] * RD COMPARE * port=1 adr=04 act=2F8CFF5FC1E4D6A024 exp=2F8CFF5FC1E4D6A024 + 20339.50ns INFO [00020341] Port=1 RD @05 + 20340.50ns INFO [00020342] Port=0 WR @01=0520981B60226EE34E + 20340.50ns INFO [00020342] Port=0 RD @05 + 20341.50ns INFO [00020343] * RD COMPARE * port=1 adr=05 act=80846E8530A9D3B222 exp=80846E8530A9D3B222 + 20341.50ns INFO [00020343] Port=0 RD @01 + 20342.50ns INFO [00020344] * RD COMPARE * port=0 adr=05 act=80846E8530A9D3B222 exp=80846E8530A9D3B222 + 20343.50ns INFO [00020345] * RD COMPARE * port=0 adr=01 act=0520981B60226EE34E exp=0520981B60226EE34E + 20343.50ns INFO [00020345] Port=1 RD @01 + 20344.50ns INFO [00020346] Port=0 WR @06=EACC2BF5DA2C66EF6A + 20345.50ns INFO [00020347] * RD COMPARE * port=1 adr=01 act=0520981B60226EE34E exp=0520981B60226EE34E + 20345.50ns INFO [00020347] Port=0 RD @05 + 20345.50ns INFO [00020347] Port=1 RD @03 + 20347.50ns INFO [00020349] * RD COMPARE * port=0 adr=05 act=80846E8530A9D3B222 exp=80846E8530A9D3B222 + 20347.50ns INFO [00020349] * RD COMPARE * port=1 adr=03 act=AD057D59C4B69B6E78 exp=AD057D59C4B69B6E78 + 20347.50ns INFO [00020349] Port=0 WR @02=F1DC0211A71FA719EA + 20347.50ns INFO [00020349] Port=0 RD @04 + 20348.50ns INFO [00020350] Port=1 RD @03 + 20349.50ns INFO [00020351] * RD COMPARE * port=0 adr=04 act=2F8CFF5FC1E4D6A024 exp=2F8CFF5FC1E4D6A024 + 20350.50ns INFO [00020352] * RD COMPARE * port=1 adr=03 act=AD057D59C4B69B6E78 exp=AD057D59C4B69B6E78 + 20350.50ns INFO [00020352] Port=1 RD @06 + 20351.50ns INFO [00020353] Port=0 WR @05=2068B994DC77D09174 + 20351.50ns INFO [00020353] Port=1 RD @00 + 20352.50ns INFO [00020354] * RD COMPARE * port=1 adr=06 act=EACC2BF5DA2C66EF6A exp=EACC2BF5DA2C66EF6A + 20352.50ns INFO [00020354] Port=0 WR @05=760C71C8F617B3414D + 20352.50ns INFO [00020354] Port=0 RD @07 + 20353.50ns INFO [00020355] * RD COMPARE * port=1 adr=00 act=6950BF088F20E1DCB5 exp=6950BF088F20E1DCB5 + 20353.50ns INFO [00020355] Port=0 WR @04=35A0B12562B4849AD1 + 20354.50ns INFO [00020356] * RD COMPARE * port=0 adr=07 act=D0E9C90A7BDDDD9A4D exp=D0E9C90A7BDDDD9A4D + 20354.50ns INFO [00020356] Port=1 RD @02 + 20355.50ns INFO [00020357] Port=0 RD @01 + 20356.50ns INFO [00020358] * RD COMPARE * port=1 adr=02 act=F1DC0211A71FA719EA exp=F1DC0211A71FA719EA + 20356.50ns INFO [00020358] Port=0 WR @03=21EC07B672F561D267 + 20357.50ns INFO [00020359] * RD COMPARE * port=0 adr=01 act=0520981B60226EE34E exp=0520981B60226EE34E + 20357.50ns INFO [00020359] Port=0 WR @02=438383F3B290C505A7 + 20357.50ns INFO [00020359] Port=1 RD @01 + 20358.50ns INFO [00020360] Port=1 RD @05 + 20359.50ns INFO [00020361] * RD COMPARE * port=1 adr=01 act=0520981B60226EE34E exp=0520981B60226EE34E + 20359.50ns INFO [00020361] Port=0 RD @07 + 20360.50ns INFO [00020362] * RD COMPARE * port=1 adr=05 act=760C71C8F617B3414D exp=760C71C8F617B3414D + 20360.50ns INFO [00020362] Port=0 WR @03=85B5833A7648544AC2 + 20361.50ns INFO [00020363] * RD COMPARE * port=0 adr=07 act=D0E9C90A7BDDDD9A4D exp=D0E9C90A7BDDDD9A4D + 20361.50ns INFO [00020363] Port=0 WR @06=33A4C573889FEAA48D + 20361.50ns INFO [00020363] Port=0 RD @05 + 20362.50ns INFO [00020364] Port=0 RD @01 + 20362.50ns INFO [00020364] Port=1 RD @03 + 20363.50ns INFO [00020365] * RD COMPARE * port=0 adr=05 act=760C71C8F617B3414D exp=760C71C8F617B3414D + 20363.50ns INFO [00020365] Port=0 RD @06 + 20364.50ns INFO [00020366] * RD COMPARE * port=0 adr=01 act=0520981B60226EE34E exp=0520981B60226EE34E + 20364.50ns INFO [00020366] * RD COMPARE * port=1 adr=03 act=85B5833A7648544AC2 exp=85B5833A7648544AC2 + 20364.50ns INFO [00020366] Port=0 WR @01=AC0E30E20C15326424 + 20364.50ns INFO [00020366] Port=0 RD @06 + 20365.50ns INFO [00020367] * RD COMPARE * port=0 adr=06 act=33A4C573889FEAA48D exp=33A4C573889FEAA48D + 20365.50ns INFO [00020367] Port=0 RD @02 + 20365.50ns INFO [00020367] Port=1 RD @07 + 20366.50ns INFO [00020368] * RD COMPARE * port=0 adr=06 act=33A4C573889FEAA48D exp=33A4C573889FEAA48D + 20366.50ns INFO [00020368] Port=0 WR @07=3F795D84534C622455 + 20366.50ns INFO [00020368] Port=0 RD @05 + 20366.50ns INFO [00020368] Port=1 RD @04 + 20367.50ns INFO [00020369] * RD COMPARE * port=0 adr=02 act=438383F3B290C505A7 exp=438383F3B290C505A7 + 20367.50ns INFO [00020369] * RD COMPARE * port=1 adr=07 act=D0E9C90A7BDDDD9A4D exp=D0E9C90A7BDDDD9A4D + 20367.50ns INFO [00020369] Port=0 WR @01=A93DC444601AE759F0 + 20367.50ns INFO [00020369] Port=0 RD @02 + 20367.50ns INFO [00020369] Port=1 RD @00 + 20368.50ns INFO [00020370] * RD COMPARE * port=0 adr=05 act=760C71C8F617B3414D exp=760C71C8F617B3414D + 20368.50ns INFO [00020370] * RD COMPARE * port=1 adr=04 act=35A0B12562B4849AD1 exp=35A0B12562B4849AD1 + 20369.50ns INFO [00020371] * RD COMPARE * port=0 adr=02 act=438383F3B290C505A7 exp=438383F3B290C505A7 + 20369.50ns INFO [00020371] * RD COMPARE * port=1 adr=00 act=6950BF088F20E1DCB5 exp=6950BF088F20E1DCB5 + 20369.50ns INFO [00020371] Port=1 RD @06 + 20370.50ns INFO [00020372] Port=0 RD @02 + 20370.50ns INFO [00020372] Port=1 RD @02 + 20371.50ns INFO [00020373] * RD COMPARE * port=1 adr=06 act=33A4C573889FEAA48D exp=33A4C573889FEAA48D + 20371.50ns INFO [00020373] Port=0 WR @06=353AB001D622CCD5B3 + 20371.50ns INFO [00020373] Port=0 RD @00 + 20372.50ns INFO [00020374] * RD COMPARE * port=0 adr=02 act=438383F3B290C505A7 exp=438383F3B290C505A7 + 20372.50ns INFO [00020374] * RD COMPARE * port=1 adr=02 act=438383F3B290C505A7 exp=438383F3B290C505A7 + 20373.50ns INFO [00020375] * RD COMPARE * port=0 adr=00 act=6950BF088F20E1DCB5 exp=6950BF088F20E1DCB5 + 20373.50ns INFO [00020375] Port=1 RD @04 + 20374.50ns INFO [00020376] Port=0 RD @05 + 20375.50ns INFO [00020377] * RD COMPARE * port=1 adr=04 act=35A0B12562B4849AD1 exp=35A0B12562B4849AD1 + 20376.50ns INFO [00020378] * RD COMPARE * port=0 adr=05 act=760C71C8F617B3414D exp=760C71C8F617B3414D + 20376.50ns INFO [00020378] Port=0 RD @05 + 20377.50ns INFO [00020379] Port=0 WR @00=42797C66AF258FB74A + 20378.50ns INFO [00020380] * RD COMPARE * port=0 adr=05 act=760C71C8F617B3414D exp=760C71C8F617B3414D + 20378.50ns INFO [00020380] Port=0 RD @05 + 20379.50ns INFO [00020381] Port=0 WR @07=52653731B8447F738F + 20380.50ns INFO [00020382] * RD COMPARE * port=0 adr=05 act=760C71C8F617B3414D exp=760C71C8F617B3414D + 20381.50ns INFO [00020383] Port=0 WR @04=5BC3060D3F308CCDD3 + 20381.50ns INFO [00020383] Port=1 RD @00 + 20382.50ns INFO [00020384] Port=0 WR @03=195ADDFBAA1D44E276 + 20382.50ns INFO [00020384] Port=1 RD @04 + 20383.50ns INFO [00020385] * RD COMPARE * port=1 adr=00 act=42797C66AF258FB74A exp=42797C66AF258FB74A + 20383.50ns INFO [00020385] Port=0 RD @04 + 20383.50ns INFO [00020385] Port=1 RD @03 + 20384.50ns INFO [00020386] * RD COMPARE * port=1 adr=04 act=5BC3060D3F308CCDD3 exp=5BC3060D3F308CCDD3 + 20384.50ns INFO [00020386] Port=0 RD @01 + 20385.50ns INFO [00020387] * RD COMPARE * port=0 adr=04 act=5BC3060D3F308CCDD3 exp=5BC3060D3F308CCDD3 + 20385.50ns INFO [00020387] * RD COMPARE * port=1 adr=03 act=195ADDFBAA1D44E276 exp=195ADDFBAA1D44E276 + 20385.50ns INFO [00020387] Port=1 RD @06 + 20386.50ns INFO [00020388] * RD COMPARE * port=0 adr=01 act=A93DC444601AE759F0 exp=A93DC444601AE759F0 + 20386.50ns INFO [00020388] Port=0 WR @05=3CE5C5E2AE7F053282 + 20387.50ns INFO [00020389] * RD COMPARE * port=1 adr=06 act=353AB001D622CCD5B3 exp=353AB001D622CCD5B3 + 20389.50ns INFO [00020391] Port=0 WR @06=CA6479A9D08B8C1985 + 20389.50ns INFO [00020391] Port=0 RD @07 + 20390.50ns INFO [00020392] Port=0 WR @03=C790F56F88751A3A57 + 20391.50ns INFO [00020393] * RD COMPARE * port=0 adr=07 act=52653731B8447F738F exp=52653731B8447F738F + 20391.50ns INFO [00020393] Port=0 WR @03=BC52A7D368BE18643E + 20391.50ns INFO [00020393] Port=0 RD @06 + 20392.50ns INFO [00020394] Port=0 RD @05 + 20393.50ns INFO [00020395] * RD COMPARE * port=0 adr=06 act=CA6479A9D08B8C1985 exp=CA6479A9D08B8C1985 + 20393.50ns INFO [00020395] Port=1 RD @05 + 20394.50ns INFO [00020396] * RD COMPARE * port=0 adr=05 act=3CE5C5E2AE7F053282 exp=3CE5C5E2AE7F053282 + 20394.50ns INFO [00020396] Port=0 WR @03=55B7C4A88508EAB271 + 20394.50ns INFO [00020396] Port=1 RD @02 + 20395.50ns INFO [00020397] * RD COMPARE * port=1 adr=05 act=3CE5C5E2AE7F053282 exp=3CE5C5E2AE7F053282 + 20395.50ns INFO [00020397] Port=0 WR @05=219138F890467B81FF + 20395.50ns INFO [00020397] Port=1 RD @07 + 20396.50ns INFO [00020398] * RD COMPARE * port=1 adr=02 act=438383F3B290C505A7 exp=438383F3B290C505A7 + 20396.50ns INFO [00020398] Port=0 WR @05=01912B6EBAFBF87313 + 20397.50ns INFO [00020399] * RD COMPARE * port=1 adr=07 act=52653731B8447F738F exp=52653731B8447F738F + 20397.50ns INFO [00020399] Port=0 WR @06=059EF3BB3D5DDDC9D0 + 20398.00ns INFO [00020400] [00020400] ...tick... + 20398.50ns INFO [00020400] Port=0 WR @05=AFF898D4622C688B61 + 20398.50ns INFO [00020400] Port=1 RD @06 + 20399.50ns INFO [00020401] Port=0 WR @01=9B9D55985E458B7DF0 + 20399.50ns INFO [00020401] Port=1 RD @04 + 20400.50ns INFO [00020402] * RD COMPARE * port=1 adr=06 act=059EF3BB3D5DDDC9D0 exp=059EF3BB3D5DDDC9D0 + 20401.50ns INFO [00020403] * RD COMPARE * port=1 adr=04 act=5BC3060D3F308CCDD3 exp=5BC3060D3F308CCDD3 + 20401.50ns INFO [00020403] Port=0 RD @00 + 20401.50ns INFO [00020403] Port=1 RD @01 + 20402.50ns INFO [00020404] Port=0 WR @04=6D06439ECA9ACAC506 + 20403.50ns INFO [00020405] * RD COMPARE * port=0 adr=00 act=42797C66AF258FB74A exp=42797C66AF258FB74A + 20403.50ns INFO [00020405] * RD COMPARE * port=1 adr=01 act=9B9D55985E458B7DF0 exp=9B9D55985E458B7DF0 + 20403.50ns INFO [00020405] Port=0 RD @07 + 20404.50ns INFO [00020406] Port=0 RD @05 + 20405.50ns INFO [00020407] * RD COMPARE * port=0 adr=07 act=52653731B8447F738F exp=52653731B8447F738F + 20406.50ns INFO [00020408] * RD COMPARE * port=0 adr=05 act=AFF898D4622C688B61 exp=AFF898D4622C688B61 + 20408.50ns INFO [00020410] Port=0 WR @00=D99E23202A2BEE802E + 20408.50ns INFO [00020410] Port=0 RD @04 + 20409.50ns INFO [00020411] Port=0 RD @05 + 20409.50ns INFO [00020411] Port=1 RD @02 + 20410.50ns INFO [00020412] * RD COMPARE * port=0 adr=04 act=6D06439ECA9ACAC506 exp=6D06439ECA9ACAC506 + 20410.50ns INFO [00020412] Port=0 RD @06 + 20411.50ns INFO [00020413] * RD COMPARE * port=0 adr=05 act=AFF898D4622C688B61 exp=AFF898D4622C688B61 + 20411.50ns INFO [00020413] * RD COMPARE * port=1 adr=02 act=438383F3B290C505A7 exp=438383F3B290C505A7 + 20411.50ns INFO [00020413] Port=0 WR @01=8945B81262689C928F + 20412.50ns INFO [00020414] * RD COMPARE * port=0 adr=06 act=059EF3BB3D5DDDC9D0 exp=059EF3BB3D5DDDC9D0 + 20412.50ns INFO [00020414] Port=0 WR @01=919FC209A889ED07CB + 20412.50ns INFO [00020414] Port=0 RD @03 + 20412.50ns INFO [00020414] Port=1 RD @04 + 20413.50ns INFO [00020415] Port=1 RD @07 + 20414.50ns INFO [00020416] * RD COMPARE * port=0 adr=03 act=55B7C4A88508EAB271 exp=55B7C4A88508EAB271 + 20414.50ns INFO [00020416] * RD COMPARE * port=1 adr=04 act=6D06439ECA9ACAC506 exp=6D06439ECA9ACAC506 + 20415.50ns INFO [00020417] * RD COMPARE * port=1 adr=07 act=52653731B8447F738F exp=52653731B8447F738F + 20417.50ns INFO [00020419] Port=1 RD @00 + 20418.50ns INFO [00020420] Port=1 RD @05 + 20419.50ns INFO [00020421] * RD COMPARE * port=1 adr=00 act=D99E23202A2BEE802E exp=D99E23202A2BEE802E + 20419.50ns INFO [00020421] Port=0 RD @00 + 20420.50ns INFO [00020422] * RD COMPARE * port=1 adr=05 act=AFF898D4622C688B61 exp=AFF898D4622C688B61 + 20420.50ns INFO [00020422] Port=0 WR @03=CF313FF8DDAC21EEA0 + 20421.50ns INFO [00020423] * RD COMPARE * port=0 adr=00 act=D99E23202A2BEE802E exp=D99E23202A2BEE802E + 20421.50ns INFO [00020423] Port=0 RD @05 + 20421.50ns INFO [00020423] Port=1 RD @07 + 20422.50ns INFO [00020424] Port=0 WR @07=B9AAF10322C147863B + 20422.50ns INFO [00020424] Port=0 RD @05 + 20423.50ns INFO [00020425] * RD COMPARE * port=0 adr=05 act=AFF898D4622C688B61 exp=AFF898D4622C688B61 + 20423.50ns INFO [00020425] * RD COMPARE * port=1 adr=07 act=52653731B8447F738F exp=52653731B8447F738F + 20423.50ns INFO [00020425] Port=0 WR @04=442D67D005CDAC35A6 + 20423.50ns INFO [00020425] Port=0 RD @01 + 20423.50ns INFO [00020425] Port=1 RD @02 + 20424.50ns INFO [00020426] * RD COMPARE * port=0 adr=05 act=AFF898D4622C688B61 exp=AFF898D4622C688B61 + 20425.50ns INFO [00020427] * RD COMPARE * port=0 adr=01 act=919FC209A889ED07CB exp=919FC209A889ED07CB + 20425.50ns INFO [00020427] * RD COMPARE * port=1 adr=02 act=438383F3B290C505A7 exp=438383F3B290C505A7 + 20425.50ns INFO [00020427] Port=0 WR @05=4F9E3D7E74F4A7A943 + 20426.50ns INFO [00020428] Port=0 WR @02=56EECF1C08FEFC4CE7 + 20426.50ns INFO [00020428] Port=0 RD @03 + 20428.50ns INFO [00020430] * RD COMPARE * port=0 adr=03 act=CF313FF8DDAC21EEA0 exp=CF313FF8DDAC21EEA0 + 20428.50ns INFO [00020430] Port=0 WR @00=44CD772877134411A2 + 20429.50ns INFO [00020431] Port=0 RD @01 + 20430.50ns INFO [00020432] Port=1 RD @07 + 20431.50ns INFO [00020433] * RD COMPARE * port=0 adr=01 act=919FC209A889ED07CB exp=919FC209A889ED07CB + 20431.50ns INFO [00020433] Port=0 RD @00 + 20432.50ns INFO [00020434] * RD COMPARE * port=1 adr=07 act=B9AAF10322C147863B exp=B9AAF10322C147863B + 20432.50ns INFO [00020434] Port=0 WR @07=F595B21B5CC79C40FC + 20433.50ns INFO [00020435] * RD COMPARE * port=0 adr=00 act=44CD772877134411A2 exp=44CD772877134411A2 + 20433.50ns INFO [00020435] Port=0 WR @02=DA91D8028E1D23135E + 20433.50ns INFO [00020435] Port=1 RD @04 + 20434.50ns INFO [00020436] Port=0 WR @06=3B84B09BAF6A9EAD73 + 20434.50ns INFO [00020436] Port=1 RD @05 + 20435.50ns INFO [00020437] * RD COMPARE * port=1 adr=04 act=442D67D005CDAC35A6 exp=442D67D005CDAC35A6 + 20435.50ns INFO [00020437] Port=1 RD @03 + 20436.50ns INFO [00020438] * RD COMPARE * port=1 adr=05 act=4F9E3D7E74F4A7A943 exp=4F9E3D7E74F4A7A943 + 20436.50ns INFO [00020438] Port=0 WR @06=8A2F9C756C3A215A2E + 20437.50ns INFO [00020439] * RD COMPARE * port=1 adr=03 act=CF313FF8DDAC21EEA0 exp=CF313FF8DDAC21EEA0 + 20438.50ns INFO [00020440] Port=0 RD @02 + 20440.50ns INFO [00020442] * RD COMPARE * port=0 adr=02 act=DA91D8028E1D23135E exp=DA91D8028E1D23135E + 20440.50ns INFO [00020442] Port=1 RD @01 + 20442.50ns INFO [00020444] * RD COMPARE * port=1 adr=01 act=919FC209A889ED07CB exp=919FC209A889ED07CB + 20442.50ns INFO [00020444] Port=0 WR @00=4F90252F03DA76927A + 20443.50ns INFO [00020445] Port=1 RD @05 + 20444.50ns INFO [00020446] Port=0 WR @05=D0D08E05FD75C2CE41 + 20445.50ns INFO [00020447] * RD COMPARE * port=1 adr=05 act=4F9E3D7E74F4A7A943 exp=4F9E3D7E74F4A7A943 + 20445.50ns INFO [00020447] Port=0 WR @07=4F3CC7BB3ECB64F46D + 20445.50ns INFO [00020447] Port=1 RD @03 + 20446.50ns INFO [00020448] Port=1 RD @00 + 20447.50ns INFO [00020449] * RD COMPARE * port=1 adr=03 act=CF313FF8DDAC21EEA0 exp=CF313FF8DDAC21EEA0 + 20447.50ns INFO [00020449] Port=1 RD @00 + 20448.50ns INFO [00020450] * RD COMPARE * port=1 adr=00 act=4F90252F03DA76927A exp=4F90252F03DA76927A + 20448.50ns INFO [00020450] Port=0 WR @06=B7139BC86FE4E8D456 + 20448.50ns INFO [00020450] Port=0 RD @05 + 20449.50ns INFO [00020451] * RD COMPARE * port=1 adr=00 act=4F90252F03DA76927A exp=4F90252F03DA76927A + 20449.50ns INFO [00020451] Port=0 RD @06 + 20450.50ns INFO [00020452] * RD COMPARE * port=0 adr=05 act=D0D08E05FD75C2CE41 exp=D0D08E05FD75C2CE41 + 20450.50ns INFO [00020452] Port=1 RD @03 + 20451.50ns INFO [00020453] * RD COMPARE * port=0 adr=06 act=B7139BC86FE4E8D456 exp=B7139BC86FE4E8D456 + 20451.50ns INFO [00020453] Port=0 RD @02 + 20452.50ns INFO [00020454] * RD COMPARE * port=1 adr=03 act=CF313FF8DDAC21EEA0 exp=CF313FF8DDAC21EEA0 + 20453.50ns INFO [00020455] * RD COMPARE * port=0 adr=02 act=DA91D8028E1D23135E exp=DA91D8028E1D23135E + 20453.50ns INFO [00020455] Port=0 RD @00 + 20455.50ns INFO [00020457] * RD COMPARE * port=0 adr=00 act=4F90252F03DA76927A exp=4F90252F03DA76927A + 20455.50ns INFO [00020457] Port=0 WR @07=72B8EAE5B040A6110E + 20457.50ns INFO [00020459] Port=0 WR @07=BC1EE7E70C7AC30055 + 20457.50ns INFO [00020459] Port=0 RD @02 + 20458.50ns INFO [00020460] Port=1 RD @06 + 20459.50ns INFO [00020461] * RD COMPARE * port=0 adr=02 act=DA91D8028E1D23135E exp=DA91D8028E1D23135E + 20459.50ns INFO [00020461] Port=1 RD @07 + 20460.50ns INFO [00020462] * RD COMPARE * port=1 adr=06 act=B7139BC86FE4E8D456 exp=B7139BC86FE4E8D456 + 20460.50ns INFO [00020462] Port=0 WR @06=3C9E5C58E3533EF36B + 20461.50ns INFO [00020463] * RD COMPARE * port=1 adr=07 act=BC1EE7E70C7AC30055 exp=BC1EE7E70C7AC30055 + 20462.50ns INFO [00020464] Port=0 RD @01 + 20462.50ns INFO [00020464] Port=1 RD @02 + 20463.50ns INFO [00020465] Port=0 WR @06=7E8640060681CA6254 + 20463.50ns INFO [00020465] Port=0 RD @05 + 20464.50ns INFO [00020466] * RD COMPARE * port=0 adr=01 act=919FC209A889ED07CB exp=919FC209A889ED07CB + 20464.50ns INFO [00020466] * RD COMPARE * port=1 adr=02 act=DA91D8028E1D23135E exp=DA91D8028E1D23135E + 20464.50ns INFO [00020466] Port=0 WR @00=CCFE9EFCB8EA9D6AC2 + 20465.50ns INFO [00020467] * RD COMPARE * port=0 adr=05 act=D0D08E05FD75C2CE41 exp=D0D08E05FD75C2CE41 + 20465.50ns INFO [00020467] Port=0 RD @04 + 20465.50ns INFO [00020467] Port=1 RD @04 + 20466.50ns INFO [00020468] Port=0 RD @07 + 20467.50ns INFO [00020469] * RD COMPARE * port=0 adr=04 act=442D67D005CDAC35A6 exp=442D67D005CDAC35A6 + 20467.50ns INFO [00020469] * RD COMPARE * port=1 adr=04 act=442D67D005CDAC35A6 exp=442D67D005CDAC35A6 + 20467.50ns INFO [00020469] Port=0 WR @02=56DB5725D9288EE364 + 20468.50ns INFO [00020470] * RD COMPARE * port=0 adr=07 act=BC1EE7E70C7AC30055 exp=BC1EE7E70C7AC30055 + 20468.50ns INFO [00020470] Port=0 WR @07=6152E682EDBB3A6B55 + 20470.50ns INFO [00020472] Port=0 WR @03=FEA72A16597D559505 + 20470.50ns INFO [00020472] Port=0 RD @04 + 20470.50ns INFO [00020472] Port=1 RD @00 + 20472.50ns INFO [00020474] * RD COMPARE * port=0 adr=04 act=442D67D005CDAC35A6 exp=442D67D005CDAC35A6 + 20472.50ns INFO [00020474] * RD COMPARE * port=1 adr=00 act=CCFE9EFCB8EA9D6AC2 exp=CCFE9EFCB8EA9D6AC2 + 20472.50ns INFO [00020474] Port=0 RD @04 + 20474.50ns INFO [00020476] * RD COMPARE * port=0 adr=04 act=442D67D005CDAC35A6 exp=442D67D005CDAC35A6 + 20474.50ns INFO [00020476] Port=0 RD @04 + 20475.50ns INFO [00020477] Port=0 RD @05 + 20475.50ns INFO [00020477] Port=1 RD @03 + 20476.50ns INFO [00020478] * RD COMPARE * port=0 adr=04 act=442D67D005CDAC35A6 exp=442D67D005CDAC35A6 + 20476.50ns INFO [00020478] Port=0 WR @07=B53A1B8C6F15D5F4B2 + 20476.50ns INFO [00020478] Port=1 RD @03 + 20477.50ns INFO [00020479] * RD COMPARE * port=0 adr=05 act=D0D08E05FD75C2CE41 exp=D0D08E05FD75C2CE41 + 20477.50ns INFO [00020479] * RD COMPARE * port=1 adr=03 act=FEA72A16597D559505 exp=FEA72A16597D559505 + 20478.50ns INFO [00020480] * RD COMPARE * port=1 adr=03 act=FEA72A16597D559505 exp=FEA72A16597D559505 + 20479.50ns INFO [00020481] Port=0 WR @02=65BC7F28525EBB49A4 + 20479.50ns INFO [00020481] Port=0 RD @06 + 20480.50ns INFO [00020482] Port=0 WR @04=2F35C995AF10A99940 + 20480.50ns INFO [00020482] Port=0 RD @07 + 20480.50ns INFO [00020482] Port=1 RD @03 + 20481.50ns INFO [00020483] * RD COMPARE * port=0 adr=06 act=7E8640060681CA6254 exp=7E8640060681CA6254 + 20481.50ns INFO [00020483] Port=0 RD @04 + 20482.50ns INFO [00020484] * RD COMPARE * port=0 adr=07 act=B53A1B8C6F15D5F4B2 exp=B53A1B8C6F15D5F4B2 + 20482.50ns INFO [00020484] * RD COMPARE * port=1 adr=03 act=FEA72A16597D559505 exp=FEA72A16597D559505 + 20483.50ns INFO [00020485] * RD COMPARE * port=0 adr=04 act=2F35C995AF10A99940 exp=2F35C995AF10A99940 + 20483.50ns INFO [00020485] Port=0 WR @07=8F2C1F6FA7BA291980 + 20483.50ns INFO [00020485] Port=0 RD @05 + 20485.50ns INFO [00020487] * RD COMPARE * port=0 adr=05 act=D0D08E05FD75C2CE41 exp=D0D08E05FD75C2CE41 + 20485.50ns INFO [00020487] Port=0 WR @06=1D560DD9078B6B4FBA + 20486.50ns INFO [00020488] Port=0 WR @07=912A2189C4B989FFF2 + 20487.50ns INFO [00020489] Port=0 WR @01=4E2C4B5D451B6733A5 + 20487.50ns INFO [00020489] Port=0 RD @03 + 20488.50ns INFO [00020490] Port=1 RD @01 + 20489.50ns INFO [00020491] * RD COMPARE * port=0 adr=03 act=FEA72A16597D559505 exp=FEA72A16597D559505 + 20489.50ns INFO [00020491] Port=0 RD @06 + 20490.50ns INFO [00020492] * RD COMPARE * port=1 adr=01 act=4E2C4B5D451B6733A5 exp=4E2C4B5D451B6733A5 + 20490.50ns INFO [00020492] Port=0 WR @02=76410FDB5EEEF4001E + 20490.50ns INFO [00020492] Port=0 RD @00 + 20490.50ns INFO [00020492] Port=1 RD @01 + 20491.50ns INFO [00020493] * RD COMPARE * port=0 adr=06 act=1D560DD9078B6B4FBA exp=1D560DD9078B6B4FBA + 20491.50ns INFO [00020493] Port=0 RD @07 + 20492.50ns INFO [00020494] * RD COMPARE * port=0 adr=00 act=CCFE9EFCB8EA9D6AC2 exp=CCFE9EFCB8EA9D6AC2 + 20492.50ns INFO [00020494] * RD COMPARE * port=1 adr=01 act=4E2C4B5D451B6733A5 exp=4E2C4B5D451B6733A5 + 20493.50ns INFO [00020495] * RD COMPARE * port=0 adr=07 act=912A2189C4B989FFF2 exp=912A2189C4B989FFF2 + 20493.50ns INFO [00020495] Port=0 RD @03 + 20493.50ns INFO [00020495] Port=1 RD @07 + 20494.50ns INFO [00020496] Port=0 WR @06=271AE843E26F529D13 + 20494.50ns INFO [00020496] Port=1 RD @02 + 20495.50ns INFO [00020497] * RD COMPARE * port=0 adr=03 act=FEA72A16597D559505 exp=FEA72A16597D559505 + 20495.50ns INFO [00020497] * RD COMPARE * port=1 adr=07 act=912A2189C4B989FFF2 exp=912A2189C4B989FFF2 + 20496.50ns INFO [00020498] * RD COMPARE * port=1 adr=02 act=76410FDB5EEEF4001E exp=76410FDB5EEEF4001E + 20496.50ns INFO [00020498] Port=0 WR @01=C5625E55801A6359B8 + 20496.50ns INFO [00020498] Port=0 RD @00 + 20497.50ns INFO [00020499] Port=0 WR @03=F8941B616F41E6C296 + 20497.50ns INFO [00020499] Port=0 RD @00 + 20498.00ns INFO [00020500] [00020500] ...tick... + 20498.50ns INFO [00020500] * RD COMPARE * port=0 adr=00 act=CCFE9EFCB8EA9D6AC2 exp=CCFE9EFCB8EA9D6AC2 + 20499.50ns INFO [00020501] * RD COMPARE * port=0 adr=00 act=CCFE9EFCB8EA9D6AC2 exp=CCFE9EFCB8EA9D6AC2 + 20499.50ns INFO [00020501] Port=0 RD @01 + 20499.50ns INFO [00020501] Port=1 RD @07 + 20500.50ns INFO [00020502] Port=1 RD @00 + 20501.50ns INFO [00020503] * RD COMPARE * port=0 adr=01 act=C5625E55801A6359B8 exp=C5625E55801A6359B8 + 20501.50ns INFO [00020503] * RD COMPARE * port=1 adr=07 act=912A2189C4B989FFF2 exp=912A2189C4B989FFF2 + 20501.50ns INFO [00020503] Port=0 RD @03 + 20501.50ns INFO [00020503] Port=1 RD @02 + 20502.50ns INFO [00020504] * RD COMPARE * port=1 adr=00 act=CCFE9EFCB8EA9D6AC2 exp=CCFE9EFCB8EA9D6AC2 + 20502.50ns INFO [00020504] Port=0 WR @01=FC0FE08A8E93B7D5A0 + 20503.50ns INFO [00020505] * RD COMPARE * port=0 adr=03 act=F8941B616F41E6C296 exp=F8941B616F41E6C296 + 20503.50ns INFO [00020505] * RD COMPARE * port=1 adr=02 act=76410FDB5EEEF4001E exp=76410FDB5EEEF4001E + 20503.50ns INFO [00020505] Port=1 RD @05 + 20504.50ns INFO [00020506] Port=0 RD @02 + 20505.50ns INFO [00020507] * RD COMPARE * port=1 adr=05 act=D0D08E05FD75C2CE41 exp=D0D08E05FD75C2CE41 + 20506.50ns INFO [00020508] * RD COMPARE * port=0 adr=02 act=76410FDB5EEEF4001E exp=76410FDB5EEEF4001E + 20506.50ns INFO [00020508] Port=0 RD @03 + 20507.50ns INFO [00020509] Port=0 RD @05 + 20508.50ns INFO [00020510] * RD COMPARE * port=0 adr=03 act=F8941B616F41E6C296 exp=F8941B616F41E6C296 + 20508.50ns INFO [00020510] Port=0 RD @07 + 20509.50ns INFO [00020511] * RD COMPARE * port=0 adr=05 act=D0D08E05FD75C2CE41 exp=D0D08E05FD75C2CE41 + 20509.50ns INFO [00020511] Port=0 WR @02=396ADBBAF988771A17 + 20509.50ns INFO [00020511] Port=0 RD @07 + 20510.50ns INFO [00020512] * RD COMPARE * port=0 adr=07 act=912A2189C4B989FFF2 exp=912A2189C4B989FFF2 + 20510.50ns INFO [00020512] Port=0 WR @04=D7E348A1005F2322B4 + 20510.50ns INFO [00020512] Port=1 RD @05 + 20511.50ns INFO [00020513] * RD COMPARE * port=0 adr=07 act=912A2189C4B989FFF2 exp=912A2189C4B989FFF2 + 20511.50ns INFO [00020513] Port=0 WR @00=50C063474BFE71EA5A + 20512.50ns INFO [00020514] * RD COMPARE * port=1 adr=05 act=D0D08E05FD75C2CE41 exp=D0D08E05FD75C2CE41 + 20512.50ns INFO [00020514] Port=1 RD @05 + 20513.50ns INFO [00020515] Port=0 WR @03=2D4EC6FD2EF359E66B + 20513.50ns INFO [00020515] Port=1 RD @01 + 20514.50ns INFO [00020516] * RD COMPARE * port=1 adr=05 act=D0D08E05FD75C2CE41 exp=D0D08E05FD75C2CE41 + 20514.50ns INFO [00020516] Port=0 RD @05 + 20514.50ns INFO [00020516] Port=1 RD @07 + 20515.50ns INFO [00020517] * RD COMPARE * port=1 adr=01 act=FC0FE08A8E93B7D5A0 exp=FC0FE08A8E93B7D5A0 + 20515.50ns INFO [00020517] Port=0 WR @06=8E8A0878F13A2483D7 + 20515.50ns INFO [00020517] Port=0 RD @01 + 20516.50ns INFO [00020518] * RD COMPARE * port=0 adr=05 act=D0D08E05FD75C2CE41 exp=D0D08E05FD75C2CE41 + 20516.50ns INFO [00020518] * RD COMPARE * port=1 adr=07 act=912A2189C4B989FFF2 exp=912A2189C4B989FFF2 + 20516.50ns INFO [00020518] Port=0 WR @02=C612A3CD0CB68246A6 + 20516.50ns INFO [00020518] Port=0 RD @06 + 20517.50ns INFO [00020519] * RD COMPARE * port=0 adr=01 act=FC0FE08A8E93B7D5A0 exp=FC0FE08A8E93B7D5A0 + 20518.50ns INFO [00020520] * RD COMPARE * port=0 adr=06 act=8E8A0878F13A2483D7 exp=8E8A0878F13A2483D7 + 20518.50ns INFO [00020520] Port=0 RD @04 + 20518.50ns INFO [00020520] Port=1 RD @07 + 20519.50ns INFO [00020521] Port=1 RD @04 + 20520.50ns INFO [00020522] * RD COMPARE * port=0 adr=04 act=D7E348A1005F2322B4 exp=D7E348A1005F2322B4 + 20520.50ns INFO [00020522] * RD COMPARE * port=1 adr=07 act=912A2189C4B989FFF2 exp=912A2189C4B989FFF2 + 20520.50ns INFO [00020522] Port=0 WR @02=FAB7F66F1B4A7BB0B2 + 20520.50ns INFO [00020522] Port=1 RD @01 + 20521.50ns INFO [00020523] * RD COMPARE * port=1 adr=04 act=D7E348A1005F2322B4 exp=D7E348A1005F2322B4 + 20521.50ns INFO [00020523] Port=1 RD @02 + 20522.50ns INFO [00020524] * RD COMPARE * port=1 adr=01 act=FC0FE08A8E93B7D5A0 exp=FC0FE08A8E93B7D5A0 + 20522.50ns INFO [00020524] Port=0 WR @07=7BE7185605EE573097 + 20523.50ns INFO [00020525] * RD COMPARE * port=1 adr=02 act=FAB7F66F1B4A7BB0B2 exp=FAB7F66F1B4A7BB0B2 + 20524.50ns INFO [00020526] Port=0 RD @02 + 20524.50ns INFO [00020526] Port=1 RD @00 + 20525.50ns INFO [00020527] Port=1 RD @01 + 20526.50ns INFO [00020528] * RD COMPARE * port=0 adr=02 act=FAB7F66F1B4A7BB0B2 exp=FAB7F66F1B4A7BB0B2 + 20526.50ns INFO [00020528] * RD COMPARE * port=1 adr=00 act=50C063474BFE71EA5A exp=50C063474BFE71EA5A + 20526.50ns INFO [00020528] Port=0 WR @00=5FF6C191FE2CA7D446 + 20527.50ns INFO [00020529] * RD COMPARE * port=1 adr=01 act=FC0FE08A8E93B7D5A0 exp=FC0FE08A8E93B7D5A0 + 20529.50ns INFO [00020531] Port=1 RD @07 + 20530.50ns INFO [00020532] Port=1 RD @00 + 20531.50ns INFO [00020533] * RD COMPARE * port=1 adr=07 act=7BE7185605EE573097 exp=7BE7185605EE573097 + 20531.50ns INFO [00020533] Port=0 WR @00=8FD1315132708FA1DB + 20531.50ns INFO [00020533] Port=0 RD @05 + 20531.50ns INFO [00020533] Port=1 RD @07 + 20532.50ns INFO [00020534] * RD COMPARE * port=1 adr=00 act=5FF6C191FE2CA7D446 exp=5FF6C191FE2CA7D446 + 20532.50ns INFO [00020534] Port=0 WR @02=0D66234546121E2453 + 20532.50ns INFO [00020534] Port=1 RD @06 + 20533.50ns INFO [00020535] * RD COMPARE * port=0 adr=05 act=D0D08E05FD75C2CE41 exp=D0D08E05FD75C2CE41 + 20533.50ns INFO [00020535] * RD COMPARE * port=1 adr=07 act=7BE7185605EE573097 exp=7BE7185605EE573097 + 20533.50ns INFO [00020535] Port=0 WR @01=C253911611616EA445 + 20533.50ns INFO [00020535] Port=0 RD @00 + 20534.50ns INFO [00020536] * RD COMPARE * port=1 adr=06 act=8E8A0878F13A2483D7 exp=8E8A0878F13A2483D7 + 20534.50ns INFO [00020536] Port=0 WR @05=40B8CF34000B7A2844 + 20535.50ns INFO [00020537] * RD COMPARE * port=0 adr=00 act=8FD1315132708FA1DB exp=8FD1315132708FA1DB + 20535.50ns INFO [00020537] Port=0 WR @01=60A50C77B9C3A56097 + 20535.50ns INFO [00020537] Port=0 RD @05 + 20535.50ns INFO [00020537] Port=1 RD @07 + 20537.50ns INFO [00020539] * RD COMPARE * port=0 adr=05 act=40B8CF34000B7A2844 exp=40B8CF34000B7A2844 + 20537.50ns INFO [00020539] * RD COMPARE * port=1 adr=07 act=7BE7185605EE573097 exp=7BE7185605EE573097 + 20537.50ns INFO [00020539] Port=0 WR @03=29F1977EB7630784AC + 20539.50ns INFO [00020541] Port=0 WR @01=79BD463F22CBA43C5D + 20539.50ns INFO [00020541] Port=0 RD @00 + 20539.50ns INFO [00020541] Port=1 RD @04 + 20540.50ns INFO [00020542] Port=0 WR @02=C3775F4A077A9165CE + 20540.50ns INFO [00020542] Port=0 RD @05 + 20541.50ns INFO [00020543] * RD COMPARE * port=0 adr=00 act=8FD1315132708FA1DB exp=8FD1315132708FA1DB + 20541.50ns INFO [00020543] * RD COMPARE * port=1 adr=04 act=D7E348A1005F2322B4 exp=D7E348A1005F2322B4 + 20541.50ns INFO [00020543] Port=0 WR @06=77FB4784CC3536D81A + 20541.50ns INFO [00020543] Port=1 RD @05 + 20542.50ns INFO [00020544] * RD COMPARE * port=0 adr=05 act=40B8CF34000B7A2844 exp=40B8CF34000B7A2844 + 20542.50ns INFO [00020544] Port=0 WR @02=CBA99EBB23AAF6874F + 20543.50ns INFO [00020545] * RD COMPARE * port=1 adr=05 act=40B8CF34000B7A2844 exp=40B8CF34000B7A2844 + 20543.50ns INFO [00020545] Port=0 RD @00 + 20544.50ns INFO [00020546] Port=1 RD @02 + 20545.50ns INFO [00020547] * RD COMPARE * port=0 adr=00 act=8FD1315132708FA1DB exp=8FD1315132708FA1DB + 20545.50ns INFO [00020547] Port=0 WR @02=5A45F01CED83C563BD + 20545.50ns INFO [00020547] Port=0 RD @07 + 20546.50ns INFO [00020548] * RD COMPARE * port=1 adr=02 act=CBA99EBB23AAF6874F exp=CBA99EBB23AAF6874F + 20546.50ns INFO [00020548] Port=0 RD @02 + 20546.50ns INFO [00020548] Port=1 RD @02 + 20547.50ns INFO [00020549] * RD COMPARE * port=0 adr=07 act=7BE7185605EE573097 exp=7BE7185605EE573097 + 20547.50ns INFO [00020549] Port=0 RD @01 + 20547.50ns INFO [00020549] Port=1 RD @07 + 20548.50ns INFO [00020550] * RD COMPARE * port=0 adr=02 act=5A45F01CED83C563BD exp=5A45F01CED83C563BD + 20548.50ns INFO [00020550] * RD COMPARE * port=1 adr=02 act=5A45F01CED83C563BD exp=5A45F01CED83C563BD + 20548.50ns INFO [00020550] Port=1 RD @05 + 20549.50ns INFO [00020551] * RD COMPARE * port=0 adr=01 act=79BD463F22CBA43C5D exp=79BD463F22CBA43C5D + 20549.50ns INFO [00020551] * RD COMPARE * port=1 adr=07 act=7BE7185605EE573097 exp=7BE7185605EE573097 + 20549.50ns INFO [00020551] Port=0 WR @06=D58C7496826E4FF33A + 20549.50ns INFO [00020551] Port=1 RD @02 + 20550.50ns INFO [00020552] * RD COMPARE * port=1 adr=05 act=40B8CF34000B7A2844 exp=40B8CF34000B7A2844 + 20550.50ns INFO [00020552] Port=0 WR @07=BBEB36B5ABAF1EE533 + 20550.50ns INFO [00020552] Port=1 RD @06 + 20551.50ns INFO [00020553] * RD COMPARE * port=1 adr=02 act=5A45F01CED83C563BD exp=5A45F01CED83C563BD + 20551.50ns INFO [00020553] Port=0 RD @05 + 20552.50ns INFO [00020554] * RD COMPARE * port=1 adr=06 act=D58C7496826E4FF33A exp=D58C7496826E4FF33A + 20552.50ns INFO [00020554] Port=0 WR @06=A2B900A4A5385EB7C4 + 20552.50ns INFO [00020554] Port=0 RD @02 + 20553.50ns INFO [00020555] * RD COMPARE * port=0 adr=05 act=40B8CF34000B7A2844 exp=40B8CF34000B7A2844 + 20553.50ns INFO [00020555] Port=0 WR @06=4926DB89CC11284D2C + 20553.50ns INFO [00020555] Port=0 RD @01 + 20553.50ns INFO [00020555] Port=1 RD @00 + 20554.50ns INFO [00020556] * RD COMPARE * port=0 adr=02 act=5A45F01CED83C563BD exp=5A45F01CED83C563BD + 20555.50ns INFO [00020557] * RD COMPARE * port=0 adr=01 act=79BD463F22CBA43C5D exp=79BD463F22CBA43C5D + 20555.50ns INFO [00020557] * RD COMPARE * port=1 adr=00 act=8FD1315132708FA1DB exp=8FD1315132708FA1DB + 20555.50ns INFO [00020557] Port=0 RD @05 + 20555.50ns INFO [00020557] Port=1 RD @04 + 20556.50ns INFO [00020558] Port=1 RD @06 + 20557.50ns INFO [00020559] * RD COMPARE * port=0 adr=05 act=40B8CF34000B7A2844 exp=40B8CF34000B7A2844 + 20557.50ns INFO [00020559] * RD COMPARE * port=1 adr=04 act=D7E348A1005F2322B4 exp=D7E348A1005F2322B4 + 20557.50ns INFO [00020559] Port=0 WR @02=D4C182570BFC75047B + 20557.50ns INFO [00020559] Port=0 RD @05 + 20558.50ns INFO [00020560] * RD COMPARE * port=1 adr=06 act=4926DB89CC11284D2C exp=4926DB89CC11284D2C + 20558.50ns INFO [00020560] Port=0 WR @06=B194960BD000058CE1 + 20559.50ns INFO [00020561] * RD COMPARE * port=0 adr=05 act=40B8CF34000B7A2844 exp=40B8CF34000B7A2844 + 20559.50ns INFO [00020561] Port=0 WR @02=2E537A839A084DB973 + 20559.50ns INFO [00020561] Port=1 RD @06 + 20560.50ns INFO [00020562] Port=0 WR @06=7B11845E0D3E2A3049 + 20561.50ns INFO [00020563] * RD COMPARE * port=1 adr=06 act=B194960BD000058CE1 exp=B194960BD000058CE1 + 20561.50ns INFO [00020563] Port=0 RD @02 + 20562.50ns INFO [00020564] Port=0 RD @00 + 20562.50ns INFO [00020564] Port=1 RD @07 + 20563.50ns INFO [00020565] * RD COMPARE * port=0 adr=02 act=2E537A839A084DB973 exp=2E537A839A084DB973 + 20564.50ns INFO [00020566] * RD COMPARE * port=0 adr=00 act=8FD1315132708FA1DB exp=8FD1315132708FA1DB + 20564.50ns INFO [00020566] * RD COMPARE * port=1 adr=07 act=BBEB36B5ABAF1EE533 exp=BBEB36B5ABAF1EE533 + 20564.50ns INFO [00020566] Port=0 WR @03=83AECD031FAE2AF79D + 20564.50ns INFO [00020566] Port=0 RD @06 + 20564.50ns INFO [00020566] Port=1 RD @06 + 20566.50ns INFO [00020568] * RD COMPARE * port=0 adr=06 act=7B11845E0D3E2A3049 exp=7B11845E0D3E2A3049 + 20566.50ns INFO [00020568] * RD COMPARE * port=1 adr=06 act=7B11845E0D3E2A3049 exp=7B11845E0D3E2A3049 + 20566.50ns INFO [00020568] Port=1 RD @06 + 20567.50ns INFO [00020569] Port=1 RD @06 + 20568.50ns INFO [00020570] * RD COMPARE * port=1 adr=06 act=7B11845E0D3E2A3049 exp=7B11845E0D3E2A3049 + 20568.50ns INFO [00020570] Port=0 WR @06=A300E2B498E45F0B66 + 20568.50ns INFO [00020570] Port=0 RD @02 + 20569.50ns INFO [00020571] * RD COMPARE * port=1 adr=06 act=7B11845E0D3E2A3049 exp=7B11845E0D3E2A3049 + 20569.50ns INFO [00020571] Port=0 WR @07=F97434BB8E15990486 + 20569.50ns INFO [00020571] Port=0 RD @00 + 20570.50ns INFO [00020572] * RD COMPARE * port=0 adr=02 act=2E537A839A084DB973 exp=2E537A839A084DB973 + 20570.50ns INFO [00020572] Port=0 WR @01=F34D6D3934A0996912 + 20570.50ns INFO [00020572] Port=0 RD @05 + 20571.50ns INFO [00020573] * RD COMPARE * port=0 adr=00 act=8FD1315132708FA1DB exp=8FD1315132708FA1DB + 20571.50ns INFO [00020573] Port=0 RD @00 + 20572.50ns INFO [00020574] * RD COMPARE * port=0 adr=05 act=40B8CF34000B7A2844 exp=40B8CF34000B7A2844 + 20572.50ns INFO [00020574] Port=0 RD @06 + 20573.50ns INFO [00020575] * RD COMPARE * port=0 adr=00 act=8FD1315132708FA1DB exp=8FD1315132708FA1DB + 20573.50ns INFO [00020575] Port=1 RD @00 + 20574.50ns INFO [00020576] * RD COMPARE * port=0 adr=06 act=A300E2B498E45F0B66 exp=A300E2B498E45F0B66 + 20574.50ns INFO [00020576] Port=0 WR @05=A596E75805EE398E13 + 20575.50ns INFO [00020577] * RD COMPARE * port=1 adr=00 act=8FD1315132708FA1DB exp=8FD1315132708FA1DB + 20575.50ns INFO [00020577] Port=1 RD @06 + 20577.50ns INFO [00020579] * RD COMPARE * port=1 adr=06 act=A300E2B498E45F0B66 exp=A300E2B498E45F0B66 + 20577.50ns INFO [00020579] Port=0 WR @03=4C8B26C4E792CE2659 + 20577.50ns INFO [00020579] Port=1 RD @06 + 20579.50ns INFO [00020581] * RD COMPARE * port=1 adr=06 act=A300E2B498E45F0B66 exp=A300E2B498E45F0B66 + 20579.50ns INFO [00020581] Port=0 WR @05=E645A36FEA663CF4FA + 20579.50ns INFO [00020581] Port=0 RD @04 + 20579.50ns INFO [00020581] Port=1 RD @02 + 20580.50ns INFO [00020582] Port=0 WR @01=F8940442056105613C + 20581.50ns INFO [00020583] * RD COMPARE * port=0 adr=04 act=D7E348A1005F2322B4 exp=D7E348A1005F2322B4 + 20581.50ns INFO [00020583] * RD COMPARE * port=1 adr=02 act=2E537A839A084DB973 exp=2E537A839A084DB973 + 20581.50ns INFO [00020583] Port=0 RD @01 + 20582.50ns INFO [00020584] Port=0 WR @07=1B9731D2D929969D29 + 20582.50ns INFO [00020584] Port=1 RD @01 + 20583.50ns INFO [00020585] * RD COMPARE * port=0 adr=01 act=F8940442056105613C exp=F8940442056105613C + 20583.50ns INFO [00020585] Port=0 WR @05=8A0370F627FB521FCA + 20583.50ns INFO [00020585] Port=1 RD @00 + 20584.50ns INFO [00020586] * RD COMPARE * port=1 adr=01 act=F8940442056105613C exp=F8940442056105613C + 20585.50ns INFO [00020587] * RD COMPARE * port=1 adr=00 act=8FD1315132708FA1DB exp=8FD1315132708FA1DB + 20585.50ns INFO [00020587] Port=0 RD @00 + 20586.50ns INFO [00020588] Port=0 WR @05=2AAC243E1A4449887D + 20586.50ns INFO [00020588] Port=0 RD @02 + 20587.50ns INFO [00020589] * RD COMPARE * port=0 adr=00 act=8FD1315132708FA1DB exp=8FD1315132708FA1DB + 20588.50ns INFO [00020590] * RD COMPARE * port=0 adr=02 act=2E537A839A084DB973 exp=2E537A839A084DB973 + 20590.50ns INFO [00020592] Port=0 RD @05 + 20591.50ns INFO [00020593] Port=0 WR @07=204A8094EF35E29C6D + 20592.50ns INFO [00020594] * RD COMPARE * port=0 adr=05 act=2AAC243E1A4449887D exp=2AAC243E1A4449887D + 20594.50ns INFO [00020596] Port=0 RD @01 + 20594.50ns INFO [00020596] Port=1 RD @05 + 20595.50ns INFO [00020597] Port=0 RD @05 + 20596.50ns INFO [00020598] * RD COMPARE * port=0 adr=01 act=F8940442056105613C exp=F8940442056105613C + 20596.50ns INFO [00020598] * RD COMPARE * port=1 adr=05 act=2AAC243E1A4449887D exp=2AAC243E1A4449887D + 20596.50ns INFO [00020598] Port=0 WR @03=AD11B5255C806414BE + 20596.50ns INFO [00020598] Port=1 RD @04 + 20597.50ns INFO [00020599] * RD COMPARE * port=0 adr=05 act=2AAC243E1A4449887D exp=2AAC243E1A4449887D + 20597.50ns INFO [00020599] Port=1 RD @07 + 20598.00ns INFO [00020600] [00020600] ...tick... + 20598.50ns INFO [00020600] * RD COMPARE * port=1 adr=04 act=D7E348A1005F2322B4 exp=D7E348A1005F2322B4 + 20598.50ns INFO [00020600] Port=0 WR @06=02030E712FB708EED6 + 20598.50ns INFO [00020600] Port=0 RD @02 + 20599.50ns INFO [00020601] * RD COMPARE * port=1 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20600.50ns INFO [00020602] * RD COMPARE * port=0 adr=02 act=2E537A839A084DB973 exp=2E537A839A084DB973 + 20601.50ns INFO [00020603] Port=1 RD @04 + 20602.50ns INFO [00020604] Port=0 WR @00=E5FA6D06B0059DC78E + 20602.50ns INFO [00020604] Port=0 RD @03 + 20603.50ns INFO [00020605] * RD COMPARE * port=1 adr=04 act=D7E348A1005F2322B4 exp=D7E348A1005F2322B4 + 20603.50ns INFO [00020605] Port=0 WR @04=87892332C950FD7DDC + 20604.50ns INFO [00020606] * RD COMPARE * port=0 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20604.50ns INFO [00020606] Port=0 WR @05=0E4A4D29975E9D50F9 + 20604.50ns INFO [00020606] Port=0 RD @07 + 20605.50ns INFO [00020607] Port=0 RD @03 + 20606.50ns INFO [00020608] * RD COMPARE * port=0 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20607.50ns INFO [00020609] * RD COMPARE * port=0 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20607.50ns INFO [00020609] Port=0 WR @04=5405D9423C20FEA447 + 20608.50ns INFO [00020610] Port=0 RD @02 + 20608.50ns INFO [00020610] Port=1 RD @03 + 20609.50ns INFO [00020611] Port=1 RD @06 + 20610.50ns INFO [00020612] * RD COMPARE * port=0 adr=02 act=2E537A839A084DB973 exp=2E537A839A084DB973 + 20610.50ns INFO [00020612] * RD COMPARE * port=1 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20610.50ns INFO [00020612] Port=0 WR @01=98C237DF6D76622DB4 + 20611.50ns INFO [00020613] * RD COMPARE * port=1 adr=06 act=02030E712FB708EED6 exp=02030E712FB708EED6 + 20611.50ns INFO [00020613] Port=0 WR @04=7F002189CCCC6F97AF + 20611.50ns INFO [00020613] Port=1 RD @07 + 20612.50ns INFO [00020614] Port=0 RD @01 + 20613.50ns INFO [00020615] * RD COMPARE * port=1 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20613.50ns INFO [00020615] Port=0 WR @00=F21051987D5BB381DD + 20613.50ns INFO [00020615] Port=0 RD @06 + 20614.50ns INFO [00020616] * RD COMPARE * port=0 adr=01 act=98C237DF6D76622DB4 exp=98C237DF6D76622DB4 + 20614.50ns INFO [00020616] Port=0 WR @01=BE040F47E5C592167F + 20614.50ns INFO [00020616] Port=0 RD @00 + 20614.50ns INFO [00020616] Port=1 RD @06 + 20615.50ns INFO [00020617] * RD COMPARE * port=0 adr=06 act=02030E712FB708EED6 exp=02030E712FB708EED6 + 20615.50ns INFO [00020617] Port=1 RD @03 + 20616.50ns INFO [00020618] * RD COMPARE * port=0 adr=00 act=F21051987D5BB381DD exp=F21051987D5BB381DD + 20616.50ns INFO [00020618] * RD COMPARE * port=1 adr=06 act=02030E712FB708EED6 exp=02030E712FB708EED6 + 20616.50ns INFO [00020618] Port=0 RD @04 + 20617.50ns INFO [00020619] * RD COMPARE * port=1 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20618.50ns INFO [00020620] * RD COMPARE * port=0 adr=04 act=7F002189CCCC6F97AF exp=7F002189CCCC6F97AF + 20620.50ns INFO [00020622] Port=0 WR @06=88A3B7644A95FC3E34 + 20620.50ns INFO [00020622] Port=1 RD @04 + 20621.50ns INFO [00020623] Port=0 WR @01=27FD0B31094002218A + 20621.50ns INFO [00020623] Port=0 RD @02 + 20622.50ns INFO [00020624] * RD COMPARE * port=1 adr=04 act=7F002189CCCC6F97AF exp=7F002189CCCC6F97AF + 20622.50ns INFO [00020624] Port=0 RD @03 + 20623.50ns INFO [00020625] * RD COMPARE * port=0 adr=02 act=2E537A839A084DB973 exp=2E537A839A084DB973 + 20623.50ns INFO [00020625] Port=0 RD @07 + 20624.50ns INFO [00020626] * RD COMPARE * port=0 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20624.50ns INFO [00020626] Port=0 RD @06 + 20625.50ns INFO [00020627] * RD COMPARE * port=0 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20625.50ns INFO [00020627] Port=0 WR @04=7EE331FC3201DEB4E9 + 20625.50ns INFO [00020627] Port=1 RD @00 + 20626.50ns INFO [00020628] * RD COMPARE * port=0 adr=06 act=88A3B7644A95FC3E34 exp=88A3B7644A95FC3E34 + 20626.50ns INFO [00020628] Port=0 WR @01=4F8E53B6BE28681BAD + 20626.50ns INFO [00020628] Port=0 RD @07 + 20627.50ns INFO [00020629] * RD COMPARE * port=1 adr=00 act=F21051987D5BB381DD exp=F21051987D5BB381DD + 20627.50ns INFO [00020629] Port=1 RD @06 + 20628.50ns INFO [00020630] * RD COMPARE * port=0 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20628.50ns INFO [00020630] Port=1 RD @03 + 20629.50ns INFO [00020631] * RD COMPARE * port=1 adr=06 act=88A3B7644A95FC3E34 exp=88A3B7644A95FC3E34 + 20629.50ns INFO [00020631] Port=1 RD @03 + 20630.50ns INFO [00020632] * RD COMPARE * port=1 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20631.50ns INFO [00020633] * RD COMPARE * port=1 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20631.50ns INFO [00020633] Port=0 WR @01=5879CDCEDA87AE4232 + 20631.50ns INFO [00020633] Port=0 RD @07 + 20632.50ns INFO [00020634] Port=0 WR @02=A1089BEEFE249653D8 + 20632.50ns INFO [00020634] Port=1 RD @03 + 20633.50ns INFO [00020635] * RD COMPARE * port=0 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20633.50ns INFO [00020635] Port=0 WR @01=EF5B0A5567A5A645B6 + 20633.50ns INFO [00020635] Port=0 RD @03 + 20633.50ns INFO [00020635] Port=1 RD @00 + 20634.50ns INFO [00020636] * RD COMPARE * port=1 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20635.50ns INFO [00020637] * RD COMPARE * port=0 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20635.50ns INFO [00020637] * RD COMPARE * port=1 adr=00 act=F21051987D5BB381DD exp=F21051987D5BB381DD + 20635.50ns INFO [00020637] Port=0 WR @05=71B1E7C5BFE195374C + 20635.50ns INFO [00020637] Port=1 RD @01 + 20636.50ns INFO [00020638] Port=0 RD @05 + 20637.50ns INFO [00020639] * RD COMPARE * port=1 adr=01 act=EF5B0A5567A5A645B6 exp=EF5B0A5567A5A645B6 + 20637.50ns INFO [00020639] Port=1 RD @05 + 20638.50ns INFO [00020640] * RD COMPARE * port=0 adr=05 act=71B1E7C5BFE195374C exp=71B1E7C5BFE195374C + 20638.50ns INFO [00020640] Port=0 WR @00=B172D12CC3E6D7F44F + 20638.50ns INFO [00020640] Port=1 RD @03 + 20639.50ns INFO [00020641] * RD COMPARE * port=1 adr=05 act=71B1E7C5BFE195374C exp=71B1E7C5BFE195374C + 20639.50ns INFO [00020641] Port=0 RD @03 + 20640.50ns INFO [00020642] * RD COMPARE * port=1 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20640.50ns INFO [00020642] Port=0 WR @04=75063244BF92E3274A + 20640.50ns INFO [00020642] Port=0 RD @03 + 20641.50ns INFO [00020643] * RD COMPARE * port=0 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20641.50ns INFO [00020643] Port=0 RD @04 + 20642.50ns INFO [00020644] * RD COMPARE * port=0 adr=03 act=AD11B5255C806414BE exp=AD11B5255C806414BE + 20642.50ns INFO [00020644] Port=0 WR @00=A30688A6950702752D + 20642.50ns INFO [00020644] Port=0 RD @06 + 20643.50ns INFO [00020645] * RD COMPARE * port=0 adr=04 act=75063244BF92E3274A exp=75063244BF92E3274A + 20643.50ns INFO [00020645] Port=1 RD @01 + 20644.50ns INFO [00020646] * RD COMPARE * port=0 adr=06 act=88A3B7644A95FC3E34 exp=88A3B7644A95FC3E34 + 20645.50ns INFO [00020647] * RD COMPARE * port=1 adr=01 act=EF5B0A5567A5A645B6 exp=EF5B0A5567A5A645B6 + 20645.50ns INFO [00020647] Port=0 RD @06 + 20646.50ns INFO [00020648] Port=0 WR @06=F5EEBBB6376166A27E + 20647.50ns INFO [00020649] * RD COMPARE * port=0 adr=06 act=88A3B7644A95FC3E34 exp=88A3B7644A95FC3E34 + 20647.50ns INFO [00020649] Port=0 WR @03=7F92038450ABCEF231 + 20647.50ns INFO [00020649] Port=1 RD @06 + 20648.50ns INFO [00020650] Port=0 WR @04=C90733E492640B9FA6 + 20648.50ns INFO [00020650] Port=1 RD @07 + 20649.50ns INFO [00020651] * RD COMPARE * port=1 adr=06 act=F5EEBBB6376166A27E exp=F5EEBBB6376166A27E + 20649.50ns INFO [00020651] Port=0 RD @04 + 20650.50ns INFO [00020652] * RD COMPARE * port=1 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20650.50ns INFO [00020652] Port=0 WR @06=9AF3F19D57E31A62F1 + 20651.50ns INFO [00020653] * RD COMPARE * port=0 adr=04 act=C90733E492640B9FA6 exp=C90733E492640B9FA6 + 20655.50ns INFO [00020657] Port=0 WR @01=3AA76BFBB3362AEA61 + 20656.50ns INFO [00020658] Port=0 WR @00=D0BF492ADED5F88D92 + 20656.50ns INFO [00020658] Port=1 RD @05 + 20657.50ns INFO [00020659] Port=0 RD @03 + 20657.50ns INFO [00020659] Port=1 RD @01 + 20658.50ns INFO [00020660] * RD COMPARE * port=1 adr=05 act=71B1E7C5BFE195374C exp=71B1E7C5BFE195374C + 20658.50ns INFO [00020660] Port=0 RD @06 + 20658.50ns INFO [00020660] Port=1 RD @00 + 20659.50ns INFO [00020661] * RD COMPARE * port=0 adr=03 act=7F92038450ABCEF231 exp=7F92038450ABCEF231 + 20659.50ns INFO [00020661] * RD COMPARE * port=1 adr=01 act=3AA76BFBB3362AEA61 exp=3AA76BFBB3362AEA61 + 20660.50ns INFO [00020662] * RD COMPARE * port=0 adr=06 act=9AF3F19D57E31A62F1 exp=9AF3F19D57E31A62F1 + 20660.50ns INFO [00020662] * RD COMPARE * port=1 adr=00 act=D0BF492ADED5F88D92 exp=D0BF492ADED5F88D92 + 20660.50ns INFO [00020662] Port=0 RD @02 + 20660.50ns INFO [00020662] Port=1 RD @04 + 20661.50ns INFO [00020663] Port=0 WR @02=9B90756296BDC381F7 + 20661.50ns INFO [00020663] Port=0 RD @03 + 20662.50ns INFO [00020664] * RD COMPARE * port=0 adr=02 act=A1089BEEFE249653D8 exp=A1089BEEFE249653D8 + 20662.50ns INFO [00020664] * RD COMPARE * port=1 adr=04 act=C90733E492640B9FA6 exp=C90733E492640B9FA6 + 20662.50ns INFO [00020664] Port=0 RD @07 + 20662.50ns INFO [00020664] Port=1 RD @07 + 20663.50ns INFO [00020665] * RD COMPARE * port=0 adr=03 act=7F92038450ABCEF231 exp=7F92038450ABCEF231 + 20663.50ns INFO [00020665] Port=0 WR @04=F8575780D951BBE2C6 + 20664.50ns INFO [00020666] * RD COMPARE * port=0 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20664.50ns INFO [00020666] * RD COMPARE * port=1 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20664.50ns INFO [00020666] Port=0 RD @03 + 20665.50ns INFO [00020667] Port=1 RD @04 + 20666.50ns INFO [00020668] * RD COMPARE * port=0 adr=03 act=7F92038450ABCEF231 exp=7F92038450ABCEF231 + 20666.50ns INFO [00020668] Port=0 WR @00=944E5596E01B8E4571 + 20667.50ns INFO [00020669] * RD COMPARE * port=1 adr=04 act=F8575780D951BBE2C6 exp=F8575780D951BBE2C6 + 20667.50ns INFO [00020669] Port=0 WR @06=7A54885F9BA6136CE4 + 20667.50ns INFO [00020669] Port=1 RD @07 + 20668.50ns INFO [00020670] Port=0 RD @01 + 20669.50ns INFO [00020671] * RD COMPARE * port=1 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20669.50ns INFO [00020671] Port=0 RD @03 + 20669.50ns INFO [00020671] Port=1 RD @07 + 20670.50ns INFO [00020672] * RD COMPARE * port=0 adr=01 act=3AA76BFBB3362AEA61 exp=3AA76BFBB3362AEA61 + 20670.50ns INFO [00020672] Port=0 RD @07 + 20670.50ns INFO [00020672] Port=1 RD @03 + 20671.50ns INFO [00020673] * RD COMPARE * port=0 adr=03 act=7F92038450ABCEF231 exp=7F92038450ABCEF231 + 20671.50ns INFO [00020673] * RD COMPARE * port=1 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20671.50ns INFO [00020673] Port=0 WR @05=2A346FD4A5544F862D + 20672.50ns INFO [00020674] * RD COMPARE * port=0 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20672.50ns INFO [00020674] * RD COMPARE * port=1 adr=03 act=7F92038450ABCEF231 exp=7F92038450ABCEF231 + 20672.50ns INFO [00020674] Port=0 RD @02 + 20674.50ns INFO [00020676] * RD COMPARE * port=0 adr=02 act=9B90756296BDC381F7 exp=9B90756296BDC381F7 + 20674.50ns INFO [00020676] Port=0 WR @01=6C17D42CF6532BEF0A + 20674.50ns INFO [00020676] Port=0 RD @03 + 20675.50ns INFO [00020677] Port=1 RD @04 + 20676.50ns INFO [00020678] * RD COMPARE * port=0 adr=03 act=7F92038450ABCEF231 exp=7F92038450ABCEF231 + 20676.50ns INFO [00020678] Port=0 RD @05 + 20676.50ns INFO [00020678] Port=1 RD @03 + 20677.50ns INFO [00020679] * RD COMPARE * port=1 adr=04 act=F8575780D951BBE2C6 exp=F8575780D951BBE2C6 + 20677.50ns INFO [00020679] Port=0 WR @05=5E67F2A5EF36303E47 + 20677.50ns INFO [00020679] Port=0 RD @07 + 20678.50ns INFO [00020680] * RD COMPARE * port=0 adr=05 act=2A346FD4A5544F862D exp=2A346FD4A5544F862D + 20678.50ns INFO [00020680] * RD COMPARE * port=1 adr=03 act=7F92038450ABCEF231 exp=7F92038450ABCEF231 + 20678.50ns INFO [00020680] Port=0 RD @02 + 20679.50ns INFO [00020681] * RD COMPARE * port=0 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20679.50ns INFO [00020681] Port=0 RD @06 + 20680.50ns INFO [00020682] * RD COMPARE * port=0 adr=02 act=9B90756296BDC381F7 exp=9B90756296BDC381F7 + 20680.50ns INFO [00020682] Port=0 WR @06=73F682EF6E1BD60CE4 + 20680.50ns INFO [00020682] Port=1 RD @04 + 20681.50ns INFO [00020683] * RD COMPARE * port=0 adr=06 act=7A54885F9BA6136CE4 exp=7A54885F9BA6136CE4 + 20681.50ns INFO [00020683] Port=1 RD @06 + 20682.50ns INFO [00020684] * RD COMPARE * port=1 adr=04 act=F8575780D951BBE2C6 exp=F8575780D951BBE2C6 + 20682.50ns INFO [00020684] Port=0 RD @05 + 20683.50ns INFO [00020685] * RD COMPARE * port=1 adr=06 act=73F682EF6E1BD60CE4 exp=73F682EF6E1BD60CE4 + 20683.50ns INFO [00020685] Port=0 WR @01=874CC74A51D2590A49 + 20683.50ns INFO [00020685] Port=1 RD @07 + 20684.50ns INFO [00020686] * RD COMPARE * port=0 adr=05 act=5E67F2A5EF36303E47 exp=5E67F2A5EF36303E47 + 20684.50ns INFO [00020686] Port=1 RD @07 + 20685.50ns INFO [00020687] * RD COMPARE * port=1 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20685.50ns INFO [00020687] Port=0 WR @00=5BCB70C08466FEEA57 + 20685.50ns INFO [00020687] Port=0 RD @07 + 20685.50ns INFO [00020687] Port=1 RD @06 + 20686.50ns INFO [00020688] * RD COMPARE * port=1 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20686.50ns INFO [00020688] Port=1 RD @03 + 20687.50ns INFO [00020689] * RD COMPARE * port=0 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20687.50ns INFO [00020689] * RD COMPARE * port=1 adr=06 act=73F682EF6E1BD60CE4 exp=73F682EF6E1BD60CE4 + 20687.50ns INFO [00020689] Port=0 WR @06=784D1443D4AB7330FD + 20687.50ns INFO [00020689] Port=1 RD @04 + 20688.50ns INFO [00020690] * RD COMPARE * port=1 adr=03 act=7F92038450ABCEF231 exp=7F92038450ABCEF231 + 20689.50ns INFO [00020691] * RD COMPARE * port=1 adr=04 act=F8575780D951BBE2C6 exp=F8575780D951BBE2C6 + 20689.50ns INFO [00020691] Port=1 RD @07 + 20691.50ns INFO [00020693] * RD COMPARE * port=1 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20692.50ns INFO [00020694] Port=0 RD @05 + 20694.50ns INFO [00020696] * RD COMPARE * port=0 adr=05 act=5E67F2A5EF36303E47 exp=5E67F2A5EF36303E47 + 20694.50ns INFO [00020696] Port=1 RD @02 + 20695.50ns INFO [00020697] Port=0 WR @00=303B3C705F91865159 + 20695.50ns INFO [00020697] Port=0 RD @06 + 20696.50ns INFO [00020698] * RD COMPARE * port=1 adr=02 act=9B90756296BDC381F7 exp=9B90756296BDC381F7 + 20696.50ns INFO [00020698] Port=0 RD @04 + 20696.50ns INFO [00020698] Port=1 RD @03 + 20697.50ns INFO [00020699] * RD COMPARE * port=0 adr=06 act=784D1443D4AB7330FD exp=784D1443D4AB7330FD + 20697.50ns INFO [00020699] Port=0 WR @06=4DDF39ACB9D1BC7511 + 20697.50ns INFO [00020699] Port=1 RD @01 + 20698.00ns INFO [00020700] [00020700] ...tick... + 20698.50ns INFO [00020700] * RD COMPARE * port=0 adr=04 act=F8575780D951BBE2C6 exp=F8575780D951BBE2C6 + 20698.50ns INFO [00020700] * RD COMPARE * port=1 adr=03 act=7F92038450ABCEF231 exp=7F92038450ABCEF231 + 20698.50ns INFO [00020700] Port=0 WR @04=EB0C8F6088687D3FFD + 20698.50ns INFO [00020700] Port=0 RD @07 + 20698.50ns INFO [00020700] Port=1 RD @02 + 20699.50ns INFO [00020701] * RD COMPARE * port=1 adr=01 act=874CC74A51D2590A49 exp=874CC74A51D2590A49 + 20699.50ns INFO [00020701] Port=0 WR @00=2A845DCE158F93542F + 20699.50ns INFO [00020701] Port=1 RD @03 + 20700.50ns INFO [00020702] * RD COMPARE * port=0 adr=07 act=204A8094EF35E29C6D exp=204A8094EF35E29C6D + 20700.50ns INFO [00020702] * RD COMPARE * port=1 adr=02 act=9B90756296BDC381F7 exp=9B90756296BDC381F7 + 20700.50ns INFO [00020702] Port=0 WR @07=0FCD1E28E006472B62 + 20700.50ns INFO [00020702] Port=0 RD @01 + 20700.50ns INFO [00020702] Port=1 RD @06 + 20701.50ns INFO [00020703] * RD COMPARE * port=1 adr=03 act=7F92038450ABCEF231 exp=7F92038450ABCEF231 + 20701.50ns INFO [00020703] Port=0 WR @00=9C1738567AB0AB84B3 + 20701.50ns INFO [00020703] Port=1 RD @02 + 20702.50ns INFO [00020704] * RD COMPARE * port=0 adr=01 act=874CC74A51D2590A49 exp=874CC74A51D2590A49 + 20702.50ns INFO [00020704] * RD COMPARE * port=1 adr=06 act=4DDF39ACB9D1BC7511 exp=4DDF39ACB9D1BC7511 + 20702.50ns INFO [00020704] Port=0 WR @05=2E1A501451F393B5AA + 20703.50ns INFO [00020705] * RD COMPARE * port=1 adr=02 act=9B90756296BDC381F7 exp=9B90756296BDC381F7 + 20703.50ns INFO [00020705] Port=0 WR @07=00DB4457A39B22271D + 20703.50ns INFO [00020705] Port=1 RD @06 + 20705.50ns INFO [00020707] * RD COMPARE * port=1 adr=06 act=4DDF39ACB9D1BC7511 exp=4DDF39ACB9D1BC7511 + 20705.50ns INFO [00020707] Port=1 RD @05 + 20706.50ns INFO [00020708] Port=0 WR @04=6F12FFBB10571403D9 + 20706.50ns INFO [00020708] Port=1 RD @02 + 20707.50ns INFO [00020709] * RD COMPARE * port=1 adr=05 act=2E1A501451F393B5AA exp=2E1A501451F393B5AA + 20708.50ns INFO [00020710] * RD COMPARE * port=1 adr=02 act=9B90756296BDC381F7 exp=9B90756296BDC381F7 + 20708.50ns INFO [00020710] Port=0 RD @07 + 20709.50ns INFO [00020711] Port=0 WR @03=214AEC2785897E237C + 20710.50ns INFO [00020712] * RD COMPARE * port=0 adr=07 act=00DB4457A39B22271D exp=00DB4457A39B22271D + 20711.50ns INFO [00020713] Port=0 RD @07 + 20712.50ns INFO [00020714] Port=0 WR @07=B5B5C227542917E81C + 20713.50ns INFO [00020715] * RD COMPARE * port=0 adr=07 act=00DB4457A39B22271D exp=00DB4457A39B22271D + 20713.50ns INFO [00020715] Port=0 WR @04=01D5EAA24D192E899F + 20713.50ns INFO [00020715] Port=0 RD @06 + 20714.50ns INFO [00020716] Port=1 RD @00 + 20715.50ns INFO [00020717] * RD COMPARE * port=0 adr=06 act=4DDF39ACB9D1BC7511 exp=4DDF39ACB9D1BC7511 + 20715.50ns INFO [00020717] Port=1 RD @06 + 20716.50ns INFO [00020718] * RD COMPARE * port=1 adr=00 act=9C1738567AB0AB84B3 exp=9C1738567AB0AB84B3 + 20716.50ns INFO [00020718] Port=0 RD @04 + 20717.50ns INFO [00020719] * RD COMPARE * port=1 adr=06 act=4DDF39ACB9D1BC7511 exp=4DDF39ACB9D1BC7511 + 20717.50ns INFO [00020719] Port=1 RD @04 + 20718.50ns INFO [00020720] * RD COMPARE * port=0 adr=04 act=01D5EAA24D192E899F exp=01D5EAA24D192E899F + 20718.50ns INFO [00020720] Port=0 WR @01=0FE6FF241CCDDC8804 + 20718.50ns INFO [00020720] Port=1 RD @02 + 20719.50ns INFO [00020721] * RD COMPARE * port=1 adr=04 act=01D5EAA24D192E899F exp=01D5EAA24D192E899F + 20720.50ns INFO [00020722] * RD COMPARE * port=1 adr=02 act=9B90756296BDC381F7 exp=9B90756296BDC381F7 + 20720.50ns INFO [00020722] Port=1 RD @06 + 20722.50ns INFO [00020724] * RD COMPARE * port=1 adr=06 act=4DDF39ACB9D1BC7511 exp=4DDF39ACB9D1BC7511 + 20722.50ns INFO [00020724] Port=0 WR @05=26D4B055A091DE82EE + 20723.50ns INFO [00020725] Port=0 WR @05=92617B803FAEC1034A + 20723.50ns INFO [00020725] Port=1 RD @01 + 20724.50ns INFO [00020726] Port=1 RD @06 + 20725.50ns INFO [00020727] * RD COMPARE * port=1 adr=01 act=0FE6FF241CCDDC8804 exp=0FE6FF241CCDDC8804 + 20725.50ns INFO [00020727] Port=0 RD @05 + 20726.50ns INFO [00020728] * RD COMPARE * port=1 adr=06 act=4DDF39ACB9D1BC7511 exp=4DDF39ACB9D1BC7511 + 20727.50ns INFO [00020729] * RD COMPARE * port=0 adr=05 act=92617B803FAEC1034A exp=92617B803FAEC1034A + 20727.50ns INFO [00020729] Port=1 RD @04 + 20728.50ns INFO [00020730] Port=1 RD @01 + 20729.50ns INFO [00020731] * RD COMPARE * port=1 adr=04 act=01D5EAA24D192E899F exp=01D5EAA24D192E899F + 20729.50ns INFO [00020731] Port=0 RD @07 + 20730.50ns INFO [00020732] * RD COMPARE * port=1 adr=01 act=0FE6FF241CCDDC8804 exp=0FE6FF241CCDDC8804 + 20730.50ns INFO [00020732] Port=0 RD @02 + 20731.50ns INFO [00020733] * RD COMPARE * port=0 adr=07 act=B5B5C227542917E81C exp=B5B5C227542917E81C + 20731.50ns INFO [00020733] Port=0 WR @05=6F271790F1F578F3FC + 20732.50ns INFO [00020734] * RD COMPARE * port=0 adr=02 act=9B90756296BDC381F7 exp=9B90756296BDC381F7 + 20732.50ns INFO [00020734] Port=0 WR @07=96206AB8439A65DBF5 + 20733.50ns INFO [00020735] Port=0 RD @05 + 20734.50ns INFO [00020736] Port=0 WR @00=E027474319C28F07FD + 20735.50ns INFO [00020737] * RD COMPARE * port=0 adr=05 act=6F271790F1F578F3FC exp=6F271790F1F578F3FC + 20735.50ns INFO [00020737] Port=0 RD @05 + 20736.50ns INFO [00020738] Port=0 RD @07 + 20737.50ns INFO [00020739] * RD COMPARE * port=0 adr=05 act=6F271790F1F578F3FC exp=6F271790F1F578F3FC + 20737.50ns INFO [00020739] Port=0 WR @07=42C7DB38F99D76F8C9 + 20738.50ns INFO [00020740] * RD COMPARE * port=0 adr=07 act=96206AB8439A65DBF5 exp=96206AB8439A65DBF5 + 20738.50ns INFO [00020740] Port=0 WR @07=DAEC6207A3A605A6CA + 20738.50ns INFO [00020740] Port=1 RD @05 + 20739.50ns INFO [00020741] Port=0 RD @07 + 20740.50ns INFO [00020742] * RD COMPARE * port=1 adr=05 act=6F271790F1F578F3FC exp=6F271790F1F578F3FC + 20740.50ns INFO [00020742] Port=0 WR @06=ECF4F2EF166E503159 + 20740.50ns INFO [00020742] Port=1 RD @05 + 20741.50ns INFO [00020743] * RD COMPARE * port=0 adr=07 act=DAEC6207A3A605A6CA exp=DAEC6207A3A605A6CA + 20742.50ns INFO [00020744] * RD COMPARE * port=1 adr=05 act=6F271790F1F578F3FC exp=6F271790F1F578F3FC + 20742.50ns INFO [00020744] Port=0 WR @00=74447AEA8F884E9D39 + 20742.50ns INFO [00020744] Port=1 RD @05 + 20743.50ns INFO [00020745] Port=0 WR @00=6D3979B16C9DDE7563 + 20743.50ns INFO [00020745] Port=1 RD @04 + 20744.50ns INFO [00020746] * RD COMPARE * port=1 adr=05 act=6F271790F1F578F3FC exp=6F271790F1F578F3FC + 20744.50ns INFO [00020746] Port=0 WR @02=10A61E6C9AA846EFC3 + 20745.50ns INFO [00020747] * RD COMPARE * port=1 adr=04 act=01D5EAA24D192E899F exp=01D5EAA24D192E899F + 20745.50ns INFO [00020747] Port=0 WR @04=108DBA670F161D4817 + 20747.50ns INFO [00020749] Port=0 WR @00=6DC6E6A312312D9536 + 20748.50ns INFO [00020750] Port=0 WR @05=50559663CA99D1A628 + 20749.50ns INFO [00020751] Port=0 WR @06=5660D34215E34A1B3F + 20750.50ns INFO [00020752] Port=0 WR @04=AA3F2DD905CD124A56 + 20750.50ns INFO [00020752] Port=1 RD @05 + 20751.50ns INFO [00020753] Port=0 WR @05=8BE1FEB0300342E0FC + 20751.50ns INFO [00020753] Port=0 RD @03 + 20752.50ns INFO [00020754] * RD COMPARE * port=1 adr=05 act=50559663CA99D1A628 exp=50559663CA99D1A628 + 20752.50ns INFO [00020754] Port=0 RD @05 + 20753.50ns INFO [00020755] * RD COMPARE * port=0 adr=03 act=214AEC2785897E237C exp=214AEC2785897E237C + 20754.50ns INFO [00020756] * RD COMPARE * port=0 adr=05 act=8BE1FEB0300342E0FC exp=8BE1FEB0300342E0FC + 20755.50ns INFO [00020757] Port=0 WR @05=F7F13B2E1CD18C468C + 20756.50ns INFO [00020758] Port=1 RD @02 + 20758.50ns INFO [00020760] * RD COMPARE * port=1 adr=02 act=10A61E6C9AA846EFC3 exp=10A61E6C9AA846EFC3 + 20758.50ns INFO [00020760] Port=0 WR @04=F868C0E33EDC916C08 + 20758.50ns INFO [00020760] Port=1 RD @07 + 20759.50ns INFO [00020761] Port=0 WR @02=3CEAA85EDF54CD74C0 + 20760.50ns INFO [00020762] * RD COMPARE * port=1 adr=07 act=DAEC6207A3A605A6CA exp=DAEC6207A3A605A6CA + 20760.50ns INFO [00020762] Port=1 RD @02 + 20761.50ns INFO [00020763] Port=0 WR @06=1A363D3A506D8A0D28 + 20761.50ns INFO [00020763] Port=1 RD @02 + 20762.50ns INFO [00020764] * RD COMPARE * port=1 adr=02 act=3CEAA85EDF54CD74C0 exp=3CEAA85EDF54CD74C0 + 20762.50ns INFO [00020764] Port=0 WR @00=24D057126FD8D7A553 + 20762.50ns INFO [00020764] Port=0 RD @03 + 20762.50ns INFO [00020764] Port=1 RD @06 + 20763.50ns INFO [00020765] * RD COMPARE * port=1 adr=02 act=3CEAA85EDF54CD74C0 exp=3CEAA85EDF54CD74C0 + 20763.50ns INFO [00020765] Port=0 RD @03 + 20763.50ns INFO [00020765] Port=1 RD @07 + 20764.50ns INFO [00020766] * RD COMPARE * port=0 adr=03 act=214AEC2785897E237C exp=214AEC2785897E237C + 20764.50ns INFO [00020766] * RD COMPARE * port=1 adr=06 act=1A363D3A506D8A0D28 exp=1A363D3A506D8A0D28 + 20765.50ns INFO [00020767] * RD COMPARE * port=0 adr=03 act=214AEC2785897E237C exp=214AEC2785897E237C + 20765.50ns INFO [00020767] * RD COMPARE * port=1 adr=07 act=DAEC6207A3A605A6CA exp=DAEC6207A3A605A6CA + 20765.50ns INFO [00020767] Port=0 WR @03=282D04CACAECEB62B6 + 20765.50ns INFO [00020767] Port=0 RD @07 + 20766.50ns INFO [00020768] Port=1 RD @05 + 20767.50ns INFO [00020769] * RD COMPARE * port=0 adr=07 act=DAEC6207A3A605A6CA exp=DAEC6207A3A605A6CA + 20767.50ns INFO [00020769] Port=0 WR @05=2518ADC89B1670EEC9 + 20767.50ns INFO [00020769] Port=0 RD @02 + 20768.50ns INFO [00020770] * RD COMPARE * port=1 adr=05 act=F7F13B2E1CD18C468C exp=F7F13B2E1CD18C468C + 20769.50ns INFO [00020771] * RD COMPARE * port=0 adr=02 act=3CEAA85EDF54CD74C0 exp=3CEAA85EDF54CD74C0 + 20770.50ns INFO [00020772] Port=0 WR @05=557129B93B0E1D41C8 + 20772.50ns INFO [00020774] Port=0 WR @00=693FEC5AB2DC8F55C9 + 20773.50ns INFO [00020775] Port=0 WR @01=704A8F7EC99ECCCA78 + 20774.50ns INFO [00020776] Port=0 WR @04=6CA06B5B166ECD1144 + 20775.50ns INFO [00020777] Port=0 RD @04 + 20776.50ns INFO [00020778] Port=1 RD @07 + 20777.50ns INFO [00020779] * RD COMPARE * port=0 adr=04 act=6CA06B5B166ECD1144 exp=6CA06B5B166ECD1144 + 20778.50ns INFO [00020780] * RD COMPARE * port=1 adr=07 act=DAEC6207A3A605A6CA exp=DAEC6207A3A605A6CA + 20779.50ns INFO [00020781] Port=0 RD @07 + 20779.50ns INFO [00020781] Port=1 RD @04 + 20780.50ns INFO [00020782] Port=0 WR @02=9BBFB2D7CE2EE21D69 + 20780.50ns INFO [00020782] Port=0 RD @06 + 20780.50ns INFO [00020782] Port=1 RD @03 + 20781.50ns INFO [00020783] * RD COMPARE * port=0 adr=07 act=DAEC6207A3A605A6CA exp=DAEC6207A3A605A6CA + 20781.50ns INFO [00020783] * RD COMPARE * port=1 adr=04 act=6CA06B5B166ECD1144 exp=6CA06B5B166ECD1144 + 20781.50ns INFO [00020783] Port=0 WR @06=9D88881E2EC883D1CF + 20781.50ns INFO [00020783] Port=1 RD @04 + 20782.50ns INFO [00020784] * RD COMPARE * port=0 adr=06 act=1A363D3A506D8A0D28 exp=1A363D3A506D8A0D28 + 20782.50ns INFO [00020784] * RD COMPARE * port=1 adr=03 act=282D04CACAECEB62B6 exp=282D04CACAECEB62B6 + 20782.50ns INFO [00020784] Port=0 RD @04 + 20783.50ns INFO [00020785] * RD COMPARE * port=1 adr=04 act=6CA06B5B166ECD1144 exp=6CA06B5B166ECD1144 + 20783.50ns INFO [00020785] Port=0 WR @02=D2778A39464474B941 + 20783.50ns INFO [00020785] Port=0 RD @05 + 20783.50ns INFO [00020785] Port=1 RD @01 + 20784.50ns INFO [00020786] * RD COMPARE * port=0 adr=04 act=6CA06B5B166ECD1144 exp=6CA06B5B166ECD1144 + 20784.50ns INFO [00020786] Port=0 WR @03=449C5E0D40A823B451 + 20784.50ns INFO [00020786] Port=0 RD @04 + 20784.50ns INFO [00020786] Port=1 RD @07 + 20785.50ns INFO [00020787] * RD COMPARE * port=0 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20785.50ns INFO [00020787] * RD COMPARE * port=1 adr=01 act=704A8F7EC99ECCCA78 exp=704A8F7EC99ECCCA78 + 20785.50ns INFO [00020787] Port=0 WR @01=1DB0ADBA591B68A4B3 + 20785.50ns INFO [00020787] Port=1 RD @02 + 20786.50ns INFO [00020788] * RD COMPARE * port=0 adr=04 act=6CA06B5B166ECD1144 exp=6CA06B5B166ECD1144 + 20786.50ns INFO [00020788] * RD COMPARE * port=1 adr=07 act=DAEC6207A3A605A6CA exp=DAEC6207A3A605A6CA + 20786.50ns INFO [00020788] Port=0 RD @02 + 20786.50ns INFO [00020788] Port=1 RD @00 + 20787.50ns INFO [00020789] * RD COMPARE * port=1 adr=02 act=D2778A39464474B941 exp=D2778A39464474B941 + 20788.50ns INFO [00020790] * RD COMPARE * port=0 adr=02 act=D2778A39464474B941 exp=D2778A39464474B941 + 20788.50ns INFO [00020790] * RD COMPARE * port=1 adr=00 act=693FEC5AB2DC8F55C9 exp=693FEC5AB2DC8F55C9 + 20788.50ns INFO [00020790] Port=0 WR @02=7236257D8E13543982 + 20790.50ns INFO [00020792] Port=0 WR @07=B348C490EA71B29C90 + 20792.50ns INFO [00020794] Port=0 WR @02=541B2A470AFF598A5C + 20792.50ns INFO [00020794] Port=0 RD @00 + 20792.50ns INFO [00020794] Port=1 RD @07 + 20793.50ns INFO [00020795] Port=1 RD @05 + 20794.50ns INFO [00020796] * RD COMPARE * port=0 adr=00 act=693FEC5AB2DC8F55C9 exp=693FEC5AB2DC8F55C9 + 20794.50ns INFO [00020796] * RD COMPARE * port=1 adr=07 act=B348C490EA71B29C90 exp=B348C490EA71B29C90 + 20795.50ns INFO [00020797] * RD COMPARE * port=1 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20795.50ns INFO [00020797] Port=0 WR @03=F36EFC172E18B0E887 + 20795.50ns INFO [00020797] Port=0 RD @00 + 20796.50ns INFO [00020798] Port=0 RD @01 + 20797.50ns INFO [00020799] * RD COMPARE * port=0 adr=00 act=693FEC5AB2DC8F55C9 exp=693FEC5AB2DC8F55C9 + 20797.50ns INFO [00020799] Port=0 WR @00=25AB0F26E2AE286CCC + 20797.50ns INFO [00020799] Port=0 RD @06 + 20797.50ns INFO [00020799] Port=1 RD @04 + 20798.00ns INFO [00020800] [00020800] ...tick... + 20798.50ns INFO [00020800] * RD COMPARE * port=0 adr=01 act=1DB0ADBA591B68A4B3 exp=1DB0ADBA591B68A4B3 + 20798.50ns INFO [00020800] Port=0 WR @04=2A123741BB873084CA + 20799.50ns INFO [00020801] * RD COMPARE * port=0 adr=06 act=9D88881E2EC883D1CF exp=9D88881E2EC883D1CF + 20799.50ns INFO [00020801] * RD COMPARE * port=1 adr=04 act=6CA06B5B166ECD1144 exp=6CA06B5B166ECD1144 + 20800.50ns INFO [00020802] Port=1 RD @05 + 20801.50ns INFO [00020803] Port=0 WR @00=AE5F171DA8E5B84ED0 + 20802.50ns INFO [00020804] * RD COMPARE * port=1 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20804.50ns INFO [00020806] Port=1 RD @06 + 20805.50ns INFO [00020807] Port=0 RD @03 + 20806.50ns INFO [00020808] * RD COMPARE * port=1 adr=06 act=9D88881E2EC883D1CF exp=9D88881E2EC883D1CF + 20806.50ns INFO [00020808] Port=0 WR @04=31A0F360EDC3D2EA80 + 20807.50ns INFO [00020809] * RD COMPARE * port=0 adr=03 act=F36EFC172E18B0E887 exp=F36EFC172E18B0E887 + 20807.50ns INFO [00020809] Port=0 WR @03=7348C3B25C7A640D69 + 20807.50ns INFO [00020809] Port=0 RD @01 + 20807.50ns INFO [00020809] Port=1 RD @02 + 20808.50ns INFO [00020810] Port=0 RD @04 + 20809.50ns INFO [00020811] * RD COMPARE * port=0 adr=01 act=1DB0ADBA591B68A4B3 exp=1DB0ADBA591B68A4B3 + 20809.50ns INFO [00020811] * RD COMPARE * port=1 adr=02 act=541B2A470AFF598A5C exp=541B2A470AFF598A5C + 20809.50ns INFO [00020811] Port=0 RD @02 + 20809.50ns INFO [00020811] Port=1 RD @03 + 20810.50ns INFO [00020812] * RD COMPARE * port=0 adr=04 act=31A0F360EDC3D2EA80 exp=31A0F360EDC3D2EA80 + 20810.50ns INFO [00020812] Port=0 WR @04=F4861736C9DE78112D + 20810.50ns INFO [00020812] Port=0 RD @01 + 20810.50ns INFO [00020812] Port=1 RD @00 + 20811.50ns INFO [00020813] * RD COMPARE * port=0 adr=02 act=541B2A470AFF598A5C exp=541B2A470AFF598A5C + 20811.50ns INFO [00020813] * RD COMPARE * port=1 adr=03 act=7348C3B25C7A640D69 exp=7348C3B25C7A640D69 + 20811.50ns INFO [00020813] Port=0 WR @03=73B38909F40556CA1E + 20811.50ns INFO [00020813] Port=0 RD @06 + 20812.50ns INFO [00020814] * RD COMPARE * port=0 adr=01 act=1DB0ADBA591B68A4B3 exp=1DB0ADBA591B68A4B3 + 20812.50ns INFO [00020814] * RD COMPARE * port=1 adr=00 act=AE5F171DA8E5B84ED0 exp=AE5F171DA8E5B84ED0 + 20812.50ns INFO [00020814] Port=0 WR @02=9967BFA91B65BFA22E + 20813.50ns INFO [00020815] * RD COMPARE * port=0 adr=06 act=9D88881E2EC883D1CF exp=9D88881E2EC883D1CF + 20814.50ns INFO [00020816] Port=1 RD @05 + 20815.50ns INFO [00020817] Port=0 WR @00=77F3467B41CF7BC62F + 20815.50ns INFO [00020817] Port=1 RD @05 + 20816.50ns INFO [00020818] * RD COMPARE * port=1 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20816.50ns INFO [00020818] Port=0 WR @07=791FBF56D9184CD4BF + 20816.50ns INFO [00020818] Port=1 RD @01 + 20817.50ns INFO [00020819] * RD COMPARE * port=1 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20817.50ns INFO [00020819] Port=0 WR @01=92563EFD11EBFAB115 + 20817.50ns INFO [00020819] Port=0 RD @03 + 20817.50ns INFO [00020819] Port=1 RD @06 + 20818.50ns INFO [00020820] * RD COMPARE * port=1 adr=01 act=1DB0ADBA591B68A4B3 exp=1DB0ADBA591B68A4B3 + 20819.50ns INFO [00020821] * RD COMPARE * port=0 adr=03 act=73B38909F40556CA1E exp=73B38909F40556CA1E + 20819.50ns INFO [00020821] * RD COMPARE * port=1 adr=06 act=9D88881E2EC883D1CF exp=9D88881E2EC883D1CF + 20819.50ns INFO [00020821] Port=0 RD @05 + 20820.50ns INFO [00020822] Port=0 RD @04 + 20821.50ns INFO [00020823] * RD COMPARE * port=0 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20821.50ns INFO [00020823] Port=0 RD @03 + 20822.50ns INFO [00020824] * RD COMPARE * port=0 adr=04 act=F4861736C9DE78112D exp=F4861736C9DE78112D + 20823.50ns INFO [00020825] * RD COMPARE * port=0 adr=03 act=73B38909F40556CA1E exp=73B38909F40556CA1E + 20823.50ns INFO [00020825] Port=1 RD @01 + 20824.50ns INFO [00020826] Port=0 RD @04 + 20825.50ns INFO [00020827] * RD COMPARE * port=1 adr=01 act=92563EFD11EBFAB115 exp=92563EFD11EBFAB115 + 20826.50ns INFO [00020828] * RD COMPARE * port=0 adr=04 act=F4861736C9DE78112D exp=F4861736C9DE78112D + 20826.50ns INFO [00020828] Port=0 RD @05 + 20826.50ns INFO [00020828] Port=1 RD @04 + 20827.50ns INFO [00020829] Port=1 RD @04 + 20828.50ns INFO [00020830] * RD COMPARE * port=0 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20828.50ns INFO [00020830] * RD COMPARE * port=1 adr=04 act=F4861736C9DE78112D exp=F4861736C9DE78112D + 20828.50ns INFO [00020830] Port=0 RD @02 + 20829.50ns INFO [00020831] * RD COMPARE * port=1 adr=04 act=F4861736C9DE78112D exp=F4861736C9DE78112D + 20829.50ns INFO [00020831] Port=1 RD @00 + 20830.50ns INFO [00020832] * RD COMPARE * port=0 adr=02 act=9967BFA91B65BFA22E exp=9967BFA91B65BFA22E + 20830.50ns INFO [00020832] Port=0 WR @06=1C3A5D1C1595B2E84E + 20831.50ns INFO [00020833] * RD COMPARE * port=1 adr=00 act=77F3467B41CF7BC62F exp=77F3467B41CF7BC62F + 20831.50ns INFO [00020833] Port=0 RD @05 + 20832.50ns INFO [00020834] Port=0 WR @04=159A221E53119CD315 + 20833.50ns INFO [00020835] * RD COMPARE * port=0 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20833.50ns INFO [00020835] Port=0 RD @05 + 20833.50ns INFO [00020835] Port=1 RD @02 + 20834.50ns INFO [00020836] Port=0 WR @00=644F725CA90898C642 + 20835.50ns INFO [00020837] * RD COMPARE * port=0 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20835.50ns INFO [00020837] * RD COMPARE * port=1 adr=02 act=9967BFA91B65BFA22E exp=9967BFA91B65BFA22E + 20837.50ns INFO [00020839] Port=0 RD @00 + 20838.50ns INFO [00020840] Port=0 RD @03 + 20838.50ns INFO [00020840] Port=1 RD @07 + 20839.50ns INFO [00020841] * RD COMPARE * port=0 adr=00 act=644F725CA90898C642 exp=644F725CA90898C642 + 20839.50ns INFO [00020841] Port=0 WR @03=0C5F2B243135C849E4 + 20839.50ns INFO [00020841] Port=1 RD @02 + 20840.50ns INFO [00020842] * RD COMPARE * port=0 adr=03 act=73B38909F40556CA1E exp=73B38909F40556CA1E + 20840.50ns INFO [00020842] * RD COMPARE * port=1 adr=07 act=791FBF56D9184CD4BF exp=791FBF56D9184CD4BF + 20841.50ns INFO [00020843] * RD COMPARE * port=1 adr=02 act=9967BFA91B65BFA22E exp=9967BFA91B65BFA22E + 20841.50ns INFO [00020843] Port=0 WR @02=E49ED1C7BB02D63246 + 20842.50ns INFO [00020844] Port=0 WR @04=FD243262541EDA824D + 20843.50ns INFO [00020845] Port=0 WR @07=D4127C5E116216B247 + 20843.50ns INFO [00020845] Port=0 RD @03 + 20843.50ns INFO [00020845] Port=1 RD @05 + 20844.50ns INFO [00020846] Port=0 WR @00=5F4B16EFCB0330164E + 20844.50ns INFO [00020846] Port=1 RD @03 + 20845.50ns INFO [00020847] * RD COMPARE * port=0 adr=03 act=0C5F2B243135C849E4 exp=0C5F2B243135C849E4 + 20845.50ns INFO [00020847] * RD COMPARE * port=1 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20845.50ns INFO [00020847] Port=0 RD @06 + 20845.50ns INFO [00020847] Port=1 RD @02 + 20846.50ns INFO [00020848] * RD COMPARE * port=1 adr=03 act=0C5F2B243135C849E4 exp=0C5F2B243135C849E4 + 20846.50ns INFO [00020848] Port=0 WR @07=7B88C5E3ABBCACF208 + 20847.50ns INFO [00020849] * RD COMPARE * port=0 adr=06 act=1C3A5D1C1595B2E84E exp=1C3A5D1C1595B2E84E + 20847.50ns INFO [00020849] * RD COMPARE * port=1 adr=02 act=E49ED1C7BB02D63246 exp=E49ED1C7BB02D63246 + 20847.50ns INFO [00020849] Port=0 WR @02=702EF4C620D1B50666 + 20848.50ns INFO [00020850] Port=0 RD @03 + 20848.50ns INFO [00020850] Port=1 RD @01 + 20849.50ns INFO [00020851] Port=0 WR @04=ACA7FDDE40B13EB1B2 + 20849.50ns INFO [00020851] Port=1 RD @07 + 20850.50ns INFO [00020852] * RD COMPARE * port=0 adr=03 act=0C5F2B243135C849E4 exp=0C5F2B243135C849E4 + 20850.50ns INFO [00020852] * RD COMPARE * port=1 adr=01 act=92563EFD11EBFAB115 exp=92563EFD11EBFAB115 + 20851.50ns INFO [00020853] * RD COMPARE * port=1 adr=07 act=7B88C5E3ABBCACF208 exp=7B88C5E3ABBCACF208 + 20851.50ns INFO [00020853] Port=0 WR @07=1AFD33CC7688EEA88A + 20852.50ns INFO [00020854] Port=1 RD @06 + 20853.50ns INFO [00020855] Port=0 RD @02 + 20854.50ns INFO [00020856] * RD COMPARE * port=1 adr=06 act=1C3A5D1C1595B2E84E exp=1C3A5D1C1595B2E84E + 20854.50ns INFO [00020856] Port=1 RD @07 + 20855.50ns INFO [00020857] * RD COMPARE * port=0 adr=02 act=702EF4C620D1B50666 exp=702EF4C620D1B50666 + 20855.50ns INFO [00020857] Port=0 WR @04=719ACA8FB1141A7061 + 20855.50ns INFO [00020857] Port=0 RD @02 + 20856.50ns INFO [00020858] * RD COMPARE * port=1 adr=07 act=1AFD33CC7688EEA88A exp=1AFD33CC7688EEA88A + 20856.50ns INFO [00020858] Port=1 RD @00 + 20857.50ns INFO [00020859] * RD COMPARE * port=0 adr=02 act=702EF4C620D1B50666 exp=702EF4C620D1B50666 + 20858.50ns INFO [00020860] * RD COMPARE * port=1 adr=00 act=5F4B16EFCB0330164E exp=5F4B16EFCB0330164E + 20858.50ns INFO [00020860] Port=1 RD @00 + 20859.50ns INFO [00020861] Port=1 RD @05 + 20860.50ns INFO [00020862] * RD COMPARE * port=1 adr=00 act=5F4B16EFCB0330164E exp=5F4B16EFCB0330164E + 20860.50ns INFO [00020862] Port=0 WR @07=4DB601146AEB1AAF6E + 20860.50ns INFO [00020862] Port=0 RD @01 + 20860.50ns INFO [00020862] Port=1 RD @02 + 20861.50ns INFO [00020863] * RD COMPARE * port=1 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20862.50ns INFO [00020864] * RD COMPARE * port=0 adr=01 act=92563EFD11EBFAB115 exp=92563EFD11EBFAB115 + 20862.50ns INFO [00020864] * RD COMPARE * port=1 adr=02 act=702EF4C620D1B50666 exp=702EF4C620D1B50666 + 20862.50ns INFO [00020864] Port=0 WR @06=47ACDB680178BE02E7 + 20862.50ns INFO [00020864] Port=0 RD @02 + 20864.50ns INFO [00020866] * RD COMPARE * port=0 adr=02 act=702EF4C620D1B50666 exp=702EF4C620D1B50666 + 20864.50ns INFO [00020866] Port=1 RD @03 + 20865.50ns INFO [00020867] Port=1 RD @06 + 20866.50ns INFO [00020868] * RD COMPARE * port=1 adr=03 act=0C5F2B243135C849E4 exp=0C5F2B243135C849E4 + 20867.50ns INFO [00020869] * RD COMPARE * port=1 adr=06 act=47ACDB680178BE02E7 exp=47ACDB680178BE02E7 + 20867.50ns INFO [00020869] Port=0 WR @00=D2F6B7FDE4A9C76E2A + 20868.50ns INFO [00020870] Port=0 RD @02 + 20869.50ns INFO [00020871] Port=0 WR @01=412EE39370A7EE52E4 + 20869.50ns INFO [00020871] Port=1 RD @05 + 20870.50ns INFO [00020872] * RD COMPARE * port=0 adr=02 act=702EF4C620D1B50666 exp=702EF4C620D1B50666 + 20870.50ns INFO [00020872] Port=0 WR @07=A4E038ACB786BD674D + 20870.50ns INFO [00020872] Port=0 RD @05 + 20871.50ns INFO [00020873] * RD COMPARE * port=1 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20871.50ns INFO [00020873] Port=1 RD @05 + 20872.50ns INFO [00020874] * RD COMPARE * port=0 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20872.50ns INFO [00020874] Port=0 RD @04 + 20873.50ns INFO [00020875] * RD COMPARE * port=1 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20873.50ns INFO [00020875] Port=1 RD @04 + 20874.50ns INFO [00020876] * RD COMPARE * port=0 adr=04 act=719ACA8FB1141A7061 exp=719ACA8FB1141A7061 + 20874.50ns INFO [00020876] Port=0 WR @02=B380F03AB6C73A1053 + 20875.50ns INFO [00020877] * RD COMPARE * port=1 adr=04 act=719ACA8FB1141A7061 exp=719ACA8FB1141A7061 + 20875.50ns INFO [00020877] Port=0 WR @01=290D3A3E6674280FA7 + 20875.50ns INFO [00020877] Port=1 RD @06 + 20877.50ns INFO [00020879] * RD COMPARE * port=1 adr=06 act=47ACDB680178BE02E7 exp=47ACDB680178BE02E7 + 20877.50ns INFO [00020879] Port=0 RD @01 + 20877.50ns INFO [00020879] Port=1 RD @05 + 20878.50ns INFO [00020880] Port=0 WR @04=1CF0826641BC03CB20 + 20878.50ns INFO [00020880] Port=1 RD @01 + 20879.50ns INFO [00020881] * RD COMPARE * port=0 adr=01 act=290D3A3E6674280FA7 exp=290D3A3E6674280FA7 + 20879.50ns INFO [00020881] * RD COMPARE * port=1 adr=05 act=557129B93B0E1D41C8 exp=557129B93B0E1D41C8 + 20879.50ns INFO [00020881] Port=0 WR @04=AEE52757377965F718 + 20880.50ns INFO [00020882] * RD COMPARE * port=1 adr=01 act=290D3A3E6674280FA7 exp=290D3A3E6674280FA7 + 20880.50ns INFO [00020882] Port=0 WR @05=4308A39BED921167B2 + 20882.50ns INFO [00020884] Port=0 RD @03 + 20883.50ns INFO [00020885] Port=0 WR @04=5F4D89483C4497429E + 20883.50ns INFO [00020885] Port=0 RD @07 + 20883.50ns INFO [00020885] Port=1 RD @07 + 20884.50ns INFO [00020886] * RD COMPARE * port=0 adr=03 act=0C5F2B243135C849E4 exp=0C5F2B243135C849E4 + 20884.50ns INFO [00020886] Port=1 RD @06 + 20885.50ns INFO [00020887] * RD COMPARE * port=0 adr=07 act=A4E038ACB786BD674D exp=A4E038ACB786BD674D + 20885.50ns INFO [00020887] * RD COMPARE * port=1 adr=07 act=A4E038ACB786BD674D exp=A4E038ACB786BD674D + 20886.50ns INFO [00020888] * RD COMPARE * port=1 adr=06 act=47ACDB680178BE02E7 exp=47ACDB680178BE02E7 + 20886.50ns INFO [00020888] Port=1 RD @05 + 20887.50ns INFO [00020889] Port=0 WR @02=2F764F739D8EEAA464 + 20887.50ns INFO [00020889] Port=0 RD @01 + 20888.50ns INFO [00020890] * RD COMPARE * port=1 adr=05 act=4308A39BED921167B2 exp=4308A39BED921167B2 + 20888.50ns INFO [00020890] Port=0 RD @06 + 20889.50ns INFO [00020891] * RD COMPARE * port=0 adr=01 act=290D3A3E6674280FA7 exp=290D3A3E6674280FA7 + 20889.50ns INFO [00020891] Port=1 RD @03 + 20890.50ns INFO [00020892] * RD COMPARE * port=0 adr=06 act=47ACDB680178BE02E7 exp=47ACDB680178BE02E7 + 20890.50ns INFO [00020892] Port=0 WR @03=92BE096C8674B10448 + 20891.50ns INFO [00020893] * RD COMPARE * port=1 adr=03 act=0C5F2B243135C849E4 exp=0C5F2B243135C849E4 + 20891.50ns INFO [00020893] Port=0 WR @04=FAFDE117C58AD18495 + 20891.50ns INFO [00020893] Port=1 RD @06 + 20893.50ns INFO [00020895] * RD COMPARE * port=1 adr=06 act=47ACDB680178BE02E7 exp=47ACDB680178BE02E7 + 20894.50ns INFO [00020896] Port=0 RD @01 + 20896.50ns INFO [00020898] * RD COMPARE * port=0 adr=01 act=290D3A3E6674280FA7 exp=290D3A3E6674280FA7 + 20896.50ns INFO [00020898] Port=0 WR @02=FD768431CF7A44EE34 + 20896.50ns INFO [00020898] Port=1 RD @05 + 20897.50ns INFO [00020899] Port=0 WR @07=6E25FA1CD8D0BBFE9D + 20898.00ns INFO [00020900] [00020900] ...tick... + 20898.50ns INFO [00020900] * RD COMPARE * port=1 adr=05 act=4308A39BED921167B2 exp=4308A39BED921167B2 + 20898.50ns INFO [00020900] Port=1 RD @06 + 20899.50ns INFO [00020901] Port=0 WR @00=E7EDB81D258F423700 + 20900.50ns INFO [00020902] * RD COMPARE * port=1 adr=06 act=47ACDB680178BE02E7 exp=47ACDB680178BE02E7 + 20900.50ns INFO [00020902] Port=0 WR @02=0174CC7F17817D2E53 + 20900.50ns INFO [00020902] Port=0 RD @05 + 20901.50ns INFO [00020903] Port=0 RD @04 + 20902.50ns INFO [00020904] * RD COMPARE * port=0 adr=05 act=4308A39BED921167B2 exp=4308A39BED921167B2 + 20903.50ns INFO [00020905] * RD COMPARE * port=0 adr=04 act=FAFDE117C58AD18495 exp=FAFDE117C58AD18495 + 20903.50ns INFO [00020905] Port=1 RD @07 + 20905.50ns INFO [00020907] * RD COMPARE * port=1 adr=07 act=6E25FA1CD8D0BBFE9D exp=6E25FA1CD8D0BBFE9D + 20905.50ns INFO [00020907] Port=0 WR @00=14848E36659F663085 + 20906.50ns INFO [00020908] Port=0 WR @06=FED5B81415280ED9DD + 20907.50ns INFO [00020909] Port=0 WR @02=2400ADFF128A72893F + 20907.50ns INFO [00020909] Port=0 RD @01 + 20907.50ns INFO [00020909] Port=1 RD @06 + 20908.50ns INFO [00020910] Port=0 WR @05=A69F183197AF2363E5 + 20909.50ns INFO [00020911] * RD COMPARE * port=0 adr=01 act=290D3A3E6674280FA7 exp=290D3A3E6674280FA7 + 20909.50ns INFO [00020911] * RD COMPARE * port=1 adr=06 act=FED5B81415280ED9DD exp=FED5B81415280ED9DD + 20909.50ns INFO [00020911] Port=0 WR @03=749BEA3A9339478765 + 20909.50ns INFO [00020911] Port=0 RD @04 + 20909.50ns INFO [00020911] Port=1 RD @05 + 20910.50ns INFO [00020912] Port=0 WR @00=79D154EC8D0270D5BA + 20910.50ns INFO [00020912] Port=0 RD @02 + 20911.50ns INFO [00020913] * RD COMPARE * port=0 adr=04 act=FAFDE117C58AD18495 exp=FAFDE117C58AD18495 + 20911.50ns INFO [00020913] * RD COMPARE * port=1 adr=05 act=A69F183197AF2363E5 exp=A69F183197AF2363E5 + 20911.50ns INFO [00020913] Port=0 WR @00=768F43C80882B202EE + 20912.50ns INFO [00020914] * RD COMPARE * port=0 adr=02 act=2400ADFF128A72893F exp=2400ADFF128A72893F + 20912.50ns INFO [00020914] Port=0 RD @06 + 20912.50ns INFO [00020914] Port=1 RD @04 + 20913.50ns INFO [00020915] Port=1 RD @06 + 20914.50ns INFO [00020916] * RD COMPARE * port=0 adr=06 act=FED5B81415280ED9DD exp=FED5B81415280ED9DD + 20914.50ns INFO [00020916] * RD COMPARE * port=1 adr=04 act=FAFDE117C58AD18495 exp=FAFDE117C58AD18495 + 20915.50ns INFO [00020917] * RD COMPARE * port=1 adr=06 act=FED5B81415280ED9DD exp=FED5B81415280ED9DD + 20915.50ns INFO [00020917] Port=0 WR @01=FF6A5DAD3F5D38BBBC + 20916.50ns INFO [00020918] Port=0 WR @02=4B0C7BC6DEF82272D0 + 20916.50ns INFO [00020918] Port=1 RD @03 + 20917.50ns INFO [00020919] Port=0 WR @07=C13B7342E81E4C307B + 20917.50ns INFO [00020919] Port=1 RD @01 + 20918.50ns INFO [00020920] * RD COMPARE * port=1 adr=03 act=749BEA3A9339478765 exp=749BEA3A9339478765 + 20918.50ns INFO [00020920] Port=0 WR @01=3B273AAF2650A27B25 + 20918.50ns INFO [00020920] Port=0 RD @07 + 20918.50ns INFO [00020920] Port=1 RD @07 + 20919.50ns INFO [00020921] * RD COMPARE * port=1 adr=01 act=FF6A5DAD3F5D38BBBC exp=FF6A5DAD3F5D38BBBC + 20919.50ns INFO [00020921] Port=0 RD @02 + 20919.50ns INFO [00020921] Port=1 RD @04 + 20920.50ns INFO [00020922] * RD COMPARE * port=0 adr=07 act=C13B7342E81E4C307B exp=C13B7342E81E4C307B + 20920.50ns INFO [00020922] * RD COMPARE * port=1 adr=07 act=C13B7342E81E4C307B exp=C13B7342E81E4C307B + 20920.50ns INFO [00020922] Port=1 RD @07 + 20921.50ns INFO [00020923] * RD COMPARE * port=0 adr=02 act=4B0C7BC6DEF82272D0 exp=4B0C7BC6DEF82272D0 + 20921.50ns INFO [00020923] * RD COMPARE * port=1 adr=04 act=FAFDE117C58AD18495 exp=FAFDE117C58AD18495 + 20921.50ns INFO [00020923] Port=0 WR @02=1A9981DF09EA0BAD65 + 20922.50ns INFO [00020924] * RD COMPARE * port=1 adr=07 act=C13B7342E81E4C307B exp=C13B7342E81E4C307B + 20922.50ns INFO [00020924] Port=0 WR @06=C30BDCBCE8B7392CBD + 20923.50ns INFO [00020925] Port=0 WR @03=1DA14D2C114DD140F8 + 20923.50ns INFO [00020925] Port=0 RD @07 + 20923.50ns INFO [00020925] Port=1 RD @00 + 20924.50ns INFO [00020926] Port=1 RD @06 + 20925.50ns INFO [00020927] * RD COMPARE * port=0 adr=07 act=C13B7342E81E4C307B exp=C13B7342E81E4C307B + 20925.50ns INFO [00020927] * RD COMPARE * port=1 adr=00 act=768F43C80882B202EE exp=768F43C80882B202EE + 20925.50ns INFO [00020927] Port=1 RD @04 + 20926.50ns INFO [00020928] * RD COMPARE * port=1 adr=06 act=C30BDCBCE8B7392CBD exp=C30BDCBCE8B7392CBD + 20926.50ns INFO [00020928] Port=0 WR @05=C7615C1452DE935326 + 20927.50ns INFO [00020929] * RD COMPARE * port=1 adr=04 act=FAFDE117C58AD18495 exp=FAFDE117C58AD18495 + 20927.50ns INFO [00020929] Port=0 WR @05=64A82649BD75AF608D + 20927.50ns INFO [00020929] Port=0 RD @07 + 20927.50ns INFO [00020929] Port=1 RD @07 + 20928.50ns INFO [00020930] Port=0 RD @07 + 20928.50ns INFO [00020930] Port=1 RD @04 + 20929.50ns INFO [00020931] * RD COMPARE * port=0 adr=07 act=C13B7342E81E4C307B exp=C13B7342E81E4C307B + 20929.50ns INFO [00020931] * RD COMPARE * port=1 adr=07 act=C13B7342E81E4C307B exp=C13B7342E81E4C307B + 20929.50ns INFO [00020931] Port=0 WR @00=14232E56D6D63EAD44 + 20930.50ns INFO [00020932] * RD COMPARE * port=0 adr=07 act=C13B7342E81E4C307B exp=C13B7342E81E4C307B + 20930.50ns INFO [00020932] * RD COMPARE * port=1 adr=04 act=FAFDE117C58AD18495 exp=FAFDE117C58AD18495 + 20930.50ns INFO [00020932] Port=0 RD @04 + 20931.50ns INFO [00020933] Port=0 RD @05 + 20931.50ns INFO [00020933] Port=1 RD @06 + 20932.50ns INFO [00020934] * RD COMPARE * port=0 adr=04 act=FAFDE117C58AD18495 exp=FAFDE117C58AD18495 + 20932.50ns INFO [00020934] Port=0 WR @04=8D7D360D556925E659 + 20932.50ns INFO [00020934] Port=0 RD @05 + 20933.50ns INFO [00020935] * RD COMPARE * port=0 adr=05 act=64A82649BD75AF608D exp=64A82649BD75AF608D + 20933.50ns INFO [00020935] * RD COMPARE * port=1 adr=06 act=C30BDCBCE8B7392CBD exp=C30BDCBCE8B7392CBD + 20933.50ns INFO [00020935] Port=0 RD @01 + 20934.50ns INFO [00020936] * RD COMPARE * port=0 adr=05 act=64A82649BD75AF608D exp=64A82649BD75AF608D + 20935.50ns INFO [00020937] * RD COMPARE * port=0 adr=01 act=3B273AAF2650A27B25 exp=3B273AAF2650A27B25 + 20935.50ns INFO [00020937] Port=1 RD @00 + 20936.50ns INFO [00020938] Port=0 WR @04=6D56CB9004CE040B9D + 20936.50ns INFO [00020938] Port=0 RD @01 + 20937.50ns INFO [00020939] * RD COMPARE * port=1 adr=00 act=14232E56D6D63EAD44 exp=14232E56D6D63EAD44 + 20937.50ns INFO [00020939] Port=0 RD @01 + 20937.50ns INFO [00020939] Port=1 RD @00 + 20938.50ns INFO [00020940] * RD COMPARE * port=0 adr=01 act=3B273AAF2650A27B25 exp=3B273AAF2650A27B25 + 20939.50ns INFO [00020941] * RD COMPARE * port=0 adr=01 act=3B273AAF2650A27B25 exp=3B273AAF2650A27B25 + 20939.50ns INFO [00020941] * RD COMPARE * port=1 adr=00 act=14232E56D6D63EAD44 exp=14232E56D6D63EAD44 + 20939.50ns INFO [00020941] Port=1 RD @05 + 20941.50ns INFO [00020943] * RD COMPARE * port=1 adr=05 act=64A82649BD75AF608D exp=64A82649BD75AF608D + 20941.50ns INFO [00020943] Port=0 RD @00 + 20942.50ns INFO [00020944] Port=0 WR @00=5D773E470E4CC952B1 + 20943.50ns INFO [00020945] * RD COMPARE * port=0 adr=00 act=14232E56D6D63EAD44 exp=14232E56D6D63EAD44 + 20944.50ns INFO [00020946] Port=0 WR @05=FE72E3CC338F61CDCF + 20944.50ns INFO [00020946] Port=1 RD @07 + 20945.50ns INFO [00020947] Port=0 WR @05=D87749E6D6F937F070 + 20945.50ns INFO [00020947] Port=0 RD @07 + 20946.50ns INFO [00020948] * RD COMPARE * port=1 adr=07 act=C13B7342E81E4C307B exp=C13B7342E81E4C307B + 20946.50ns INFO [00020948] Port=0 WR @02=F9BA3ABD193839DB66 + 20947.50ns INFO [00020949] * RD COMPARE * port=0 adr=07 act=C13B7342E81E4C307B exp=C13B7342E81E4C307B + 20947.50ns INFO [00020949] Port=1 RD @06 + 20948.50ns INFO [00020950] Port=0 WR @00=8B05FFC7FF3C83FA79 + 20949.50ns INFO [00020951] * RD COMPARE * port=1 adr=06 act=C30BDCBCE8B7392CBD exp=C30BDCBCE8B7392CBD + 20949.50ns INFO [00020951] Port=0 WR @02=6C448E00114D68BAC6 + 20949.50ns INFO [00020951] Port=0 RD @04 + 20951.50ns INFO [00020953] * RD COMPARE * port=0 adr=04 act=6D56CB9004CE040B9D exp=6D56CB9004CE040B9D + 20951.50ns INFO [00020953] Port=0 RD @03 + 20952.50ns INFO [00020954] Port=0 WR @02=16C676C35491866B32 + 20952.50ns INFO [00020954] Port=0 RD @01 + 20952.50ns INFO [00020954] Port=1 RD @04 + 20953.50ns INFO [00020955] * RD COMPARE * port=0 adr=03 act=1DA14D2C114DD140F8 exp=1DA14D2C114DD140F8 + 20953.50ns INFO [00020955] Port=1 RD @03 + 20954.50ns INFO [00020956] * RD COMPARE * port=0 adr=01 act=3B273AAF2650A27B25 exp=3B273AAF2650A27B25 + 20954.50ns INFO [00020956] * RD COMPARE * port=1 adr=04 act=6D56CB9004CE040B9D exp=6D56CB9004CE040B9D + 20954.50ns INFO [00020956] Port=0 RD @02 + 20955.50ns INFO [00020957] * RD COMPARE * port=1 adr=03 act=1DA14D2C114DD140F8 exp=1DA14D2C114DD140F8 + 20955.50ns INFO [00020957] Port=0 WR @05=874B600A9806BFDC80 + 20956.50ns INFO [00020958] * RD COMPARE * port=0 adr=02 act=16C676C35491866B32 exp=16C676C35491866B32 + 20956.50ns INFO [00020958] Port=0 RD @05 + 20956.50ns INFO [00020958] Port=1 RD @06 + 20958.50ns INFO [00020960] * RD COMPARE * port=0 adr=05 act=874B600A9806BFDC80 exp=874B600A9806BFDC80 + 20958.50ns INFO [00020960] * RD COMPARE * port=1 adr=06 act=C30BDCBCE8B7392CBD exp=C30BDCBCE8B7392CBD + 20958.50ns INFO [00020960] Port=1 RD @02 + 20959.50ns INFO [00020961] Port=0 WR @00=B7D86BF4CCA359D248 + 20960.50ns INFO [00020962] * RD COMPARE * port=1 adr=02 act=16C676C35491866B32 exp=16C676C35491866B32 + 20960.50ns INFO [00020962] Port=0 WR @07=8156F8FC57EC42B124 + 20961.50ns INFO [00020963] Port=0 WR @01=2076FDCE941494F0F3 + 20961.50ns INFO [00020963] Port=1 RD @03 + 20962.50ns INFO [00020964] Port=0 RD @04 + 20962.50ns INFO [00020964] Port=1 RD @03 + 20963.50ns INFO [00020965] * RD COMPARE * port=1 adr=03 act=1DA14D2C114DD140F8 exp=1DA14D2C114DD140F8 + 20963.50ns INFO [00020965] Port=0 RD @05 + 20964.50ns INFO [00020966] * RD COMPARE * port=0 adr=04 act=6D56CB9004CE040B9D exp=6D56CB9004CE040B9D + 20964.50ns INFO [00020966] * RD COMPARE * port=1 adr=03 act=1DA14D2C114DD140F8 exp=1DA14D2C114DD140F8 + 20964.50ns INFO [00020966] Port=1 RD @02 + 20965.50ns INFO [00020967] * RD COMPARE * port=0 adr=05 act=874B600A9806BFDC80 exp=874B600A9806BFDC80 + 20965.50ns INFO [00020967] Port=0 WR @02=21E346E4D2DC3F84E1 + 20965.50ns INFO [00020967] Port=0 RD @01 + 20966.50ns INFO [00020968] * RD COMPARE * port=1 adr=02 act=16C676C35491866B32 exp=16C676C35491866B32 + 20966.50ns INFO [00020968] Port=1 RD @03 + 20967.50ns INFO [00020969] * RD COMPARE * port=0 adr=01 act=2076FDCE941494F0F3 exp=2076FDCE941494F0F3 + 20967.50ns INFO [00020969] Port=0 WR @06=ABC525E855CC946DB7 + 20967.50ns INFO [00020969] Port=0 RD @03 + 20967.50ns INFO [00020969] Port=1 RD @07 + 20968.50ns INFO [00020970] * RD COMPARE * port=1 adr=03 act=1DA14D2C114DD140F8 exp=1DA14D2C114DD140F8 + 20968.50ns INFO [00020970] Port=0 WR @07=4F5FE5147ADBB999B2 + 20969.50ns INFO [00020971] * RD COMPARE * port=0 adr=03 act=1DA14D2C114DD140F8 exp=1DA14D2C114DD140F8 + 20969.50ns INFO [00020971] * RD COMPARE * port=1 adr=07 act=8156F8FC57EC42B124 exp=8156F8FC57EC42B124 + 20969.50ns INFO [00020971] Port=0 RD @01 + 20969.50ns INFO [00020971] Port=1 RD @00 + 20970.50ns INFO [00020972] Port=0 RD @00 + 20970.50ns INFO [00020972] Port=1 RD @05 + 20971.50ns INFO [00020973] * RD COMPARE * port=0 adr=01 act=2076FDCE941494F0F3 exp=2076FDCE941494F0F3 + 20971.50ns INFO [00020973] * RD COMPARE * port=1 adr=00 act=B7D86BF4CCA359D248 exp=B7D86BF4CCA359D248 + 20971.50ns INFO [00020973] Port=0 WR @02=668B8FCFE384A0B124 + 20972.50ns INFO [00020974] * RD COMPARE * port=0 adr=00 act=B7D86BF4CCA359D248 exp=B7D86BF4CCA359D248 + 20972.50ns INFO [00020974] * RD COMPARE * port=1 adr=05 act=874B600A9806BFDC80 exp=874B600A9806BFDC80 + 20972.50ns INFO [00020974] Port=0 RD @03 + 20972.50ns INFO [00020974] Port=1 RD @07 + 20973.50ns INFO [00020975] Port=0 RD @01 + 20974.50ns INFO [00020976] * RD COMPARE * port=0 adr=03 act=1DA14D2C114DD140F8 exp=1DA14D2C114DD140F8 + 20974.50ns INFO [00020976] * RD COMPARE * port=1 adr=07 act=4F5FE5147ADBB999B2 exp=4F5FE5147ADBB999B2 + 20974.50ns INFO [00020976] Port=0 WR @03=C54CD9F74071B993BD + 20974.50ns INFO [00020976] Port=1 RD @05 + 20975.50ns INFO [00020977] * RD COMPARE * port=0 adr=01 act=2076FDCE941494F0F3 exp=2076FDCE941494F0F3 + 20975.50ns INFO [00020977] Port=1 RD @06 + 20976.50ns INFO [00020978] * RD COMPARE * port=1 adr=05 act=874B600A9806BFDC80 exp=874B600A9806BFDC80 + 20976.50ns INFO [00020978] Port=0 WR @02=5BA6DBA22038CA1DF1 + 20976.50ns INFO [00020978] Port=0 RD @07 + 20977.50ns INFO [00020979] * RD COMPARE * port=1 adr=06 act=ABC525E855CC946DB7 exp=ABC525E855CC946DB7 + 20977.50ns INFO [00020979] Port=0 WR @02=ADA7EBADFDFBB246C0 + 20977.50ns INFO [00020979] Port=0 RD @00 + 20977.50ns INFO [00020979] Port=1 RD @00 + 20978.50ns INFO [00020980] * RD COMPARE * port=0 adr=07 act=4F5FE5147ADBB999B2 exp=4F5FE5147ADBB999B2 + 20978.50ns INFO [00020980] Port=0 WR @05=54577186067E1B727B + 20978.50ns INFO [00020980] Port=0 RD @01 + 20979.50ns INFO [00020981] * RD COMPARE * port=0 adr=00 act=B7D86BF4CCA359D248 exp=B7D86BF4CCA359D248 + 20979.50ns INFO [00020981] * RD COMPARE * port=1 adr=00 act=B7D86BF4CCA359D248 exp=B7D86BF4CCA359D248 + 20979.50ns INFO [00020981] Port=1 RD @03 + 20980.50ns INFO [00020982] * RD COMPARE * port=0 adr=01 act=2076FDCE941494F0F3 exp=2076FDCE941494F0F3 + 20980.50ns INFO [00020982] Port=0 WR @04=459C5093391315AADC + 20981.50ns INFO [00020983] * RD COMPARE * port=1 adr=03 act=C54CD9F74071B993BD exp=C54CD9F74071B993BD + 20981.50ns INFO [00020983] Port=0 RD @07 + 20982.50ns INFO [00020984] Port=0 RD @02 + 20983.50ns INFO [00020985] * RD COMPARE * port=0 adr=07 act=4F5FE5147ADBB999B2 exp=4F5FE5147ADBB999B2 + 20983.50ns INFO [00020985] Port=0 WR @06=D0CAD0974CB8332819 + 20983.50ns INFO [00020985] Port=1 RD @00 + 20984.50ns INFO [00020986] * RD COMPARE * port=0 adr=02 act=ADA7EBADFDFBB246C0 exp=ADA7EBADFDFBB246C0 + 20985.50ns INFO [00020987] * RD COMPARE * port=1 adr=00 act=B7D86BF4CCA359D248 exp=B7D86BF4CCA359D248 + 20985.50ns INFO [00020987] Port=1 RD @02 + 20987.50ns INFO [00020989] * RD COMPARE * port=1 adr=02 act=ADA7EBADFDFBB246C0 exp=ADA7EBADFDFBB246C0 + 20987.50ns INFO [00020989] Port=0 RD @01 + 20988.50ns INFO [00020990] Port=0 WR @00=92C2CDBB8E7EC37905 + 20988.50ns INFO [00020990] Port=1 RD @04 + 20989.50ns INFO [00020991] * RD COMPARE * port=0 adr=01 act=2076FDCE941494F0F3 exp=2076FDCE941494F0F3 + 20989.50ns INFO [00020991] Port=0 WR @05=1A7FFEE22758E5807C + 20990.50ns INFO [00020992] * RD COMPARE * port=1 adr=04 act=459C5093391315AADC exp=459C5093391315AADC + 20990.50ns INFO [00020992] Port=0 RD @01 + 20991.50ns INFO [00020993] Port=1 RD @05 + 20992.50ns INFO [00020994] * RD COMPARE * port=0 adr=01 act=2076FDCE941494F0F3 exp=2076FDCE941494F0F3 + 20992.50ns INFO [00020994] Port=0 RD @06 + 20992.50ns INFO [00020994] Port=1 RD @02 + 20993.50ns INFO [00020995] * RD COMPARE * port=1 adr=05 act=1A7FFEE22758E5807C exp=1A7FFEE22758E5807C + 20993.50ns INFO [00020995] Port=0 WR @03=B664A5FB49B60D8540 + 20994.50ns INFO [00020996] * RD COMPARE * port=0 adr=06 act=D0CAD0974CB8332819 exp=D0CAD0974CB8332819 + 20994.50ns INFO [00020996] * RD COMPARE * port=1 adr=02 act=ADA7EBADFDFBB246C0 exp=ADA7EBADFDFBB246C0 + 20994.50ns INFO [00020996] Port=0 WR @04=286F9D30925E31C7D6 + 20994.50ns INFO [00020996] Port=0 RD @01 + 20994.50ns INFO [00020996] Port=1 RD @03 + 20995.50ns INFO [00020997] Port=0 RD @01 + 20995.50ns INFO [00020997] Port=1 RD @06 + 20996.50ns INFO [00020998] * RD COMPARE * port=0 adr=01 act=2076FDCE941494F0F3 exp=2076FDCE941494F0F3 + 20996.50ns INFO [00020998] * RD COMPARE * port=1 adr=03 act=B664A5FB49B60D8540 exp=B664A5FB49B60D8540 + 20996.50ns INFO [00020998] Port=0 WR @07=9EF6A0E79E758A5AEE + 20996.50ns INFO [00020998] Port=0 RD @06 + 20996.50ns INFO [00020998] Port=1 RD @03 + 20997.50ns INFO [00020999] * RD COMPARE * port=0 adr=01 act=2076FDCE941494F0F3 exp=2076FDCE941494F0F3 + 20997.50ns INFO [00020999] * RD COMPARE * port=1 adr=06 act=D0CAD0974CB8332819 exp=D0CAD0974CB8332819 + 20997.50ns INFO [00020999] Port=0 WR @03=350AE869AE4C046B8B + 20998.00ns INFO [00021000] [00021000] ...tick... + 20998.50ns INFO [00021000] * RD COMPARE * port=0 adr=06 act=D0CAD0974CB8332819 exp=D0CAD0974CB8332819 + 20998.50ns INFO [00021000] * RD COMPARE * port=1 adr=03 act=B664A5FB49B60D8540 exp=B664A5FB49B60D8540 + 20998.50ns INFO [00021000] Port=0 WR @03=AB6BF73EB10A308A36 + 20998.50ns INFO [00021000] Port=1 RD @05 + 20999.50ns INFO [00021001] Port=0 WR @04=23CE5E03C7E3EE6D72 + 20999.50ns INFO [00021001] Port=0 RD @06 + 20999.50ns INFO [00021001] Port=1 RD @06 + 21000.50ns INFO [00021002] * RD COMPARE * port=1 adr=05 act=1A7FFEE22758E5807C exp=1A7FFEE22758E5807C + 21000.50ns INFO [00021002] Port=0 WR @04=7E6AECC602E437AE4D + 21000.50ns INFO [00021002] Port=0 RD @07 + 21000.50ns INFO [00021002] Port=1 RD @03 + 21001.50ns INFO [00021003] * RD COMPARE * port=0 adr=06 act=D0CAD0974CB8332819 exp=D0CAD0974CB8332819 + 21001.50ns INFO [00021003] * RD COMPARE * port=1 adr=06 act=D0CAD0974CB8332819 exp=D0CAD0974CB8332819 + 21001.50ns INFO [00021003] Port=0 WR @06=3FE61192480024E966 + 21002.50ns INFO [00021004] * RD COMPARE * port=0 adr=07 act=9EF6A0E79E758A5AEE exp=9EF6A0E79E758A5AEE + 21002.50ns INFO [00021004] * RD COMPARE * port=1 adr=03 act=AB6BF73EB10A308A36 exp=AB6BF73EB10A308A36 + 21003.50ns INFO [00021005] Port=0 WR @05=00AC8D2E86F3848B1C + 21004.50ns INFO [00021006] Port=0 WR @03=F1982554846A13E0FC + 21004.50ns INFO [00021006] Port=0 RD @05 + 21004.50ns INFO [00021006] Port=1 RD @07 + 21006.50ns INFO [00021008] * RD COMPARE * port=0 adr=05 act=00AC8D2E86F3848B1C exp=00AC8D2E86F3848B1C + 21006.50ns INFO [00021008] * RD COMPARE * port=1 adr=07 act=9EF6A0E79E758A5AEE exp=9EF6A0E79E758A5AEE + 21006.50ns INFO [00021008] Port=0 RD @06 + 21007.50ns INFO [00021009] Port=0 RD @00 + 21008.50ns INFO [00021010] * RD COMPARE * port=0 adr=06 act=3FE61192480024E966 exp=3FE61192480024E966 + 21008.50ns INFO [00021010] Port=0 WR @01=62961A1D7E40C6E450 + 21009.50ns INFO [00021011] * RD COMPARE * port=0 adr=00 act=92C2CDBB8E7EC37905 exp=92C2CDBB8E7EC37905 + 21009.50ns INFO [00021011] Port=0 RD @03 + 21011.50ns INFO [00021013] * RD COMPARE * port=0 adr=03 act=F1982554846A13E0FC exp=F1982554846A13E0FC + 21011.50ns INFO [00021013] Port=1 RD @00 + 21012.50ns INFO [00021014] Port=0 WR @06=81309D751C38FCA83E + 21012.50ns INFO [00021014] Port=0 RD @07 + 21013.50ns INFO [00021015] * RD COMPARE * port=1 adr=00 act=92C2CDBB8E7EC37905 exp=92C2CDBB8E7EC37905 + 21013.50ns INFO [00021015] Port=0 RD @01 + 21013.50ns INFO [00021015] Port=1 RD @03 + 21014.50ns INFO [00021016] * RD COMPARE * port=0 adr=07 act=9EF6A0E79E758A5AEE exp=9EF6A0E79E758A5AEE + 21015.50ns INFO [00021017] * RD COMPARE * port=0 adr=01 act=62961A1D7E40C6E450 exp=62961A1D7E40C6E450 + 21015.50ns INFO [00021017] * RD COMPARE * port=1 adr=03 act=F1982554846A13E0FC exp=F1982554846A13E0FC + 21015.50ns INFO [00021017] Port=0 WR @02=BFF6E0A8B6D5B16CE6 + 21016.50ns INFO [00021018] Port=0 WR @02=00E80F6BD8048A9403 + 21016.50ns INFO [00021018] Port=0 RD @07 + 21016.50ns INFO [00021018] Port=1 RD @01 + 21018.50ns INFO [00021020] * RD COMPARE * port=0 adr=07 act=9EF6A0E79E758A5AEE exp=9EF6A0E79E758A5AEE + 21018.50ns INFO [00021020] * RD COMPARE * port=1 adr=01 act=62961A1D7E40C6E450 exp=62961A1D7E40C6E450 + 21018.50ns INFO [00021020] Port=1 RD @01 + 21020.50ns INFO [00021022] * RD COMPARE * port=1 adr=01 act=62961A1D7E40C6E450 exp=62961A1D7E40C6E450 + 21021.50ns INFO [00021023] Port=0 WR @03=E9E88409CFBA606453 + 21021.50ns INFO [00021023] Port=1 RD @06 + 21022.50ns INFO [00021024] Port=0 WR @01=5C06B38CC73AA6289C + 21022.50ns INFO [00021024] Port=1 RD @04 + 21023.50ns INFO [00021025] * RD COMPARE * port=1 adr=06 act=81309D751C38FCA83E exp=81309D751C38FCA83E + 21023.50ns INFO [00021025] Port=0 WR @04=9F3670416455798D88 + 21023.50ns INFO [00021025] Port=1 RD @00 + 21024.50ns INFO [00021026] * RD COMPARE * port=1 adr=04 act=7E6AECC602E437AE4D exp=7E6AECC602E437AE4D + 21024.50ns INFO [00021026] Port=0 WR @02=5F2AF57F7528976273 + 21024.50ns INFO [00021026] Port=1 RD @01 + 21025.50ns INFO [00021027] * RD COMPARE * port=1 adr=00 act=92C2CDBB8E7EC37905 exp=92C2CDBB8E7EC37905 + 21026.50ns INFO [00021028] * RD COMPARE * port=1 adr=01 act=5C06B38CC73AA6289C exp=5C06B38CC73AA6289C + 21026.50ns INFO [00021028] Port=0 WR @03=2E9A9AF510984C6B3E + 21027.50ns INFO [00021029] Port=0 WR @01=58D8D3F859B4025736 + 21027.50ns INFO [00021029] Port=0 RD @04 + 21027.50ns INFO [00021029] Port=1 RD @00 + 21028.50ns INFO [00021030] Port=0 RD @00 + 21028.50ns INFO [00021030] Port=1 RD @05 + 21029.50ns INFO [00021031] * RD COMPARE * port=0 adr=04 act=9F3670416455798D88 exp=9F3670416455798D88 + 21029.50ns INFO [00021031] * RD COMPARE * port=1 adr=00 act=92C2CDBB8E7EC37905 exp=92C2CDBB8E7EC37905 + 21029.50ns INFO [00021031] Port=0 WR @05=26C34674C9160237A4 + 21029.50ns INFO [00021031] Port=0 RD @06 + 21030.50ns INFO [00021032] * RD COMPARE * port=0 adr=00 act=92C2CDBB8E7EC37905 exp=92C2CDBB8E7EC37905 + 21030.50ns INFO [00021032] * RD COMPARE * port=1 adr=05 act=00AC8D2E86F3848B1C exp=00AC8D2E86F3848B1C + 21030.50ns INFO [00021032] Port=0 RD @00 + 21031.50ns INFO [00021033] * RD COMPARE * port=0 adr=06 act=81309D751C38FCA83E exp=81309D751C38FCA83E + 21031.50ns INFO [00021033] Port=0 RD @01 + 21032.50ns INFO [00021034] * RD COMPARE * port=0 adr=00 act=92C2CDBB8E7EC37905 exp=92C2CDBB8E7EC37905 + 21032.50ns INFO [00021034] Port=0 RD @02 + 21033.50ns INFO [00021035] * RD COMPARE * port=0 adr=01 act=58D8D3F859B4025736 exp=58D8D3F859B4025736 + 21034.50ns INFO [00021036] * RD COMPARE * port=0 adr=02 act=5F2AF57F7528976273 exp=5F2AF57F7528976273 + 21034.50ns INFO [00021036] Port=1 RD @07 + 21036.50ns INFO [00021038] * RD COMPARE * port=1 adr=07 act=9EF6A0E79E758A5AEE exp=9EF6A0E79E758A5AEE + 21036.50ns INFO [00021038] Port=1 RD @04 + 21037.50ns INFO [00021039] Port=0 RD @05 + 21038.50ns INFO [00021040] * RD COMPARE * port=1 adr=04 act=9F3670416455798D88 exp=9F3670416455798D88 + 21038.50ns INFO [00021040] Port=0 WR @07=29A630BD96D8F916B0 + 21038.50ns INFO [00021040] Port=0 RD @06 + 21038.50ns INFO [00021040] Port=1 RD @05 + 21039.50ns INFO [00021041] * RD COMPARE * port=0 adr=05 act=26C34674C9160237A4 exp=26C34674C9160237A4 + 21040.50ns INFO [00021042] * RD COMPARE * port=0 adr=06 act=81309D751C38FCA83E exp=81309D751C38FCA83E + 21040.50ns INFO [00021042] * RD COMPARE * port=1 adr=05 act=26C34674C9160237A4 exp=26C34674C9160237A4 + 21040.50ns INFO [00021042] Port=0 RD @04 + 21041.50ns INFO [00021043] Port=0 RD @05 + 21042.50ns INFO [00021044] * RD COMPARE * port=0 adr=04 act=9F3670416455798D88 exp=9F3670416455798D88 + 21043.50ns INFO [00021045] * RD COMPARE * port=0 adr=05 act=26C34674C9160237A4 exp=26C34674C9160237A4 + 21043.50ns INFO [00021045] Port=0 WR @00=25322037FD7744A048 + 21044.50ns INFO [00021046] Port=0 RD @05 + 21045.50ns INFO [00021047] Port=1 RD @07 + 21046.50ns INFO [00021048] * RD COMPARE * port=0 adr=05 act=26C34674C9160237A4 exp=26C34674C9160237A4 + 21047.50ns INFO [00021049] * RD COMPARE * port=1 adr=07 act=29A630BD96D8F916B0 exp=29A630BD96D8F916B0 + 21049.50ns INFO [00021051] Port=0 WR @02=FBDEC68A77DC32F468 + 21049.50ns INFO [00021051] Port=1 RD @05 + 21050.50ns INFO [00021052] Port=0 WR @05=C97C7064E27FC16360 + 21050.50ns INFO [00021052] Port=1 RD @02 + 21051.50ns INFO [00021053] * RD COMPARE * port=1 adr=05 act=26C34674C9160237A4 exp=26C34674C9160237A4 + 21051.50ns INFO [00021053] Port=0 WR @05=C66AC984567F238B51 + 21052.50ns INFO [00021054] * RD COMPARE * port=1 adr=02 act=FBDEC68A77DC32F468 exp=FBDEC68A77DC32F468 + 21053.50ns INFO [00021055] Port=0 WR @02=481B472E6EC49C9968 + 21058.50ns INFO [00021060] Port=1 RD @04 + 21059.50ns INFO [00021061] Port=1 RD @05 + 21060.50ns INFO [00021062] * RD COMPARE * port=1 adr=04 act=9F3670416455798D88 exp=9F3670416455798D88 + 21061.50ns INFO [00021063] * RD COMPARE * port=1 adr=05 act=C66AC984567F238B51 exp=C66AC984567F238B51 + 21061.50ns INFO [00021063] Port=0 WR @03=82CDA9271F8258251C + 21061.50ns INFO [00021063] Port=0 RD @02 + 21062.50ns INFO [00021064] Port=0 RD @05 + 21063.50ns INFO [00021065] * RD COMPARE * port=0 adr=02 act=481B472E6EC49C9968 exp=481B472E6EC49C9968 + 21063.50ns INFO [00021065] Port=1 RD @07 + 21064.50ns INFO [00021066] * RD COMPARE * port=0 adr=05 act=C66AC984567F238B51 exp=C66AC984567F238B51 + 21064.50ns INFO [00021066] Port=0 WR @07=3688345C1C8CF00067 + 21064.50ns INFO [00021066] Port=0 RD @05 + 21065.50ns INFO [00021067] * RD COMPARE * port=1 adr=07 act=29A630BD96D8F916B0 exp=29A630BD96D8F916B0 + 21065.50ns INFO [00021067] Port=1 RD @03 + 21066.50ns INFO [00021068] * RD COMPARE * port=0 adr=05 act=C66AC984567F238B51 exp=C66AC984567F238B51 + 21066.50ns INFO [00021068] Port=0 WR @03=DCA3F59DC86D7632F4 + 21066.50ns INFO [00021068] Port=1 RD @02 + 21067.50ns INFO [00021069] * RD COMPARE * port=1 adr=03 act=82CDA9271F8258251C exp=82CDA9271F8258251C + 21067.50ns INFO [00021069] Port=0 RD @05 + 21068.50ns INFO [00021070] * RD COMPARE * port=1 adr=02 act=481B472E6EC49C9968 exp=481B472E6EC49C9968 + 21068.50ns INFO [00021070] Port=0 WR @01=BBC9A5617DE6D59385 + 21069.50ns INFO [00021071] * RD COMPARE * port=0 adr=05 act=C66AC984567F238B51 exp=C66AC984567F238B51 + 21069.50ns INFO [00021071] Port=0 WR @05=A72457A5089FDBEF12 + 21070.50ns INFO [00021072] Port=0 RD @04 + 21070.50ns INFO [00021072] Port=1 RD @01 + 21072.50ns INFO [00021074] * RD COMPARE * port=0 adr=04 act=9F3670416455798D88 exp=9F3670416455798D88 + 21072.50ns INFO [00021074] * RD COMPARE * port=1 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21073.50ns INFO [00021075] Port=1 RD @01 + 21075.50ns INFO [00021077] * RD COMPARE * port=1 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21075.50ns INFO [00021077] Port=0 WR @04=87DB8756A2C7DAC9DC + 21076.50ns INFO [00021078] Port=0 WR @03=C2A44AB03CC4D3A8CE + 21076.50ns INFO [00021078] Port=0 RD @01 + 21077.50ns INFO [00021079] Port=0 RD @03 + 21078.50ns INFO [00021080] * RD COMPARE * port=0 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21079.50ns INFO [00021081] * RD COMPARE * port=0 adr=03 act=C2A44AB03CC4D3A8CE exp=C2A44AB03CC4D3A8CE + 21079.50ns INFO [00021081] Port=0 RD @07 + 21079.50ns INFO [00021081] Port=1 RD @04 + 21080.50ns INFO [00021082] Port=1 RD @02 + 21081.50ns INFO [00021083] * RD COMPARE * port=0 adr=07 act=3688345C1C8CF00067 exp=3688345C1C8CF00067 + 21081.50ns INFO [00021083] * RD COMPARE * port=1 adr=04 act=87DB8756A2C7DAC9DC exp=87DB8756A2C7DAC9DC + 21081.50ns INFO [00021083] Port=0 RD @01 + 21081.50ns INFO [00021083] Port=1 RD @03 + 21082.50ns INFO [00021084] * RD COMPARE * port=1 adr=02 act=481B472E6EC49C9968 exp=481B472E6EC49C9968 + 21082.50ns INFO [00021084] Port=1 RD @07 + 21083.50ns INFO [00021085] * RD COMPARE * port=0 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21083.50ns INFO [00021085] * RD COMPARE * port=1 adr=03 act=C2A44AB03CC4D3A8CE exp=C2A44AB03CC4D3A8CE + 21083.50ns INFO [00021085] Port=0 WR @00=7E997895339B9E0FC2 + 21083.50ns INFO [00021085] Port=0 RD @04 + 21084.50ns INFO [00021086] * RD COMPARE * port=1 adr=07 act=3688345C1C8CF00067 exp=3688345C1C8CF00067 + 21084.50ns INFO [00021086] Port=0 WR @03=83E79463E6457679FF + 21084.50ns INFO [00021086] Port=1 RD @02 + 21085.50ns INFO [00021087] * RD COMPARE * port=0 adr=04 act=87DB8756A2C7DAC9DC exp=87DB8756A2C7DAC9DC + 21085.50ns INFO [00021087] Port=0 RD @01 + 21086.50ns INFO [00021088] * RD COMPARE * port=1 adr=02 act=481B472E6EC49C9968 exp=481B472E6EC49C9968 + 21086.50ns INFO [00021088] Port=0 RD @06 + 21087.50ns INFO [00021089] * RD COMPARE * port=0 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21088.50ns INFO [00021090] * RD COMPARE * port=0 adr=06 act=81309D751C38FCA83E exp=81309D751C38FCA83E + 21088.50ns INFO [00021090] Port=1 RD @02 + 21090.50ns INFO [00021092] * RD COMPARE * port=1 adr=02 act=481B472E6EC49C9968 exp=481B472E6EC49C9968 + 21090.50ns INFO [00021092] Port=0 WR @07=6FD659DE8492EE730A + 21091.50ns INFO [00021093] Port=0 RD @06 + 21092.50ns INFO [00021094] Port=1 RD @00 + 21093.50ns INFO [00021095] * RD COMPARE * port=0 adr=06 act=81309D751C38FCA83E exp=81309D751C38FCA83E + 21093.50ns INFO [00021095] Port=0 RD @03 + 21093.50ns INFO [00021095] Port=1 RD @00 + 21094.50ns INFO [00021096] * RD COMPARE * port=1 adr=00 act=7E997895339B9E0FC2 exp=7E997895339B9E0FC2 + 21094.50ns INFO [00021096] Port=1 RD @07 + 21095.50ns INFO [00021097] * RD COMPARE * port=0 adr=03 act=83E79463E6457679FF exp=83E79463E6457679FF + 21095.50ns INFO [00021097] * RD COMPARE * port=1 adr=00 act=7E997895339B9E0FC2 exp=7E997895339B9E0FC2 + 21095.50ns INFO [00021097] Port=0 RD @02 + 21096.50ns INFO [00021098] * RD COMPARE * port=1 adr=07 act=6FD659DE8492EE730A exp=6FD659DE8492EE730A + 21096.50ns INFO [00021098] Port=0 RD @03 + 21096.50ns INFO [00021098] Port=1 RD @02 + 21097.50ns INFO [00021099] * RD COMPARE * port=0 adr=02 act=481B472E6EC49C9968 exp=481B472E6EC49C9968 + 21098.00ns INFO [00021100] [00021100] ...tick... + 21098.50ns INFO [00021100] * RD COMPARE * port=0 adr=03 act=83E79463E6457679FF exp=83E79463E6457679FF + 21098.50ns INFO [00021100] * RD COMPARE * port=1 adr=02 act=481B472E6EC49C9968 exp=481B472E6EC49C9968 + 21099.50ns INFO [00021101] Port=1 RD @05 + 21100.50ns INFO [00021102] Port=0 RD @00 + 21101.50ns INFO [00021103] * RD COMPARE * port=1 adr=05 act=A72457A5089FDBEF12 exp=A72457A5089FDBEF12 + 21101.50ns INFO [00021103] Port=0 WR @05=302FFDA89CFD31F862 + 21101.50ns INFO [00021103] Port=1 RD @01 + 21102.50ns INFO [00021104] * RD COMPARE * port=0 adr=00 act=7E997895339B9E0FC2 exp=7E997895339B9E0FC2 + 21102.50ns INFO [00021104] Port=0 WR @03=6347E985860488745A + 21102.50ns INFO [00021104] Port=0 RD @05 + 21103.50ns INFO [00021105] * RD COMPARE * port=1 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21103.50ns INFO [00021105] Port=0 WR @05=1B2DC1282397535F1F + 21103.50ns INFO [00021105] Port=1 RD @01 + 21104.50ns INFO [00021106] * RD COMPARE * port=0 adr=05 act=302FFDA89CFD31F862 exp=302FFDA89CFD31F862 + 21104.50ns INFO [00021106] Port=1 RD @04 + 21105.50ns INFO [00021107] * RD COMPARE * port=1 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21105.50ns INFO [00021107] Port=1 RD @05 + 21106.50ns INFO [00021108] * RD COMPARE * port=1 adr=04 act=87DB8756A2C7DAC9DC exp=87DB8756A2C7DAC9DC + 21106.50ns INFO [00021108] Port=0 WR @04=D431E74A16F7530876 + 21106.50ns INFO [00021108] Port=0 RD @07 + 21107.50ns INFO [00021109] * RD COMPARE * port=1 adr=05 act=1B2DC1282397535F1F exp=1B2DC1282397535F1F + 21108.50ns INFO [00021110] * RD COMPARE * port=0 adr=07 act=6FD659DE8492EE730A exp=6FD659DE8492EE730A + 21108.50ns INFO [00021110] Port=0 RD @07 + 21109.50ns INFO [00021111] Port=0 RD @05 + 21110.50ns INFO [00021112] * RD COMPARE * port=0 adr=07 act=6FD659DE8492EE730A exp=6FD659DE8492EE730A + 21110.50ns INFO [00021112] Port=0 RD @01 + 21111.50ns INFO [00021113] * RD COMPARE * port=0 adr=05 act=1B2DC1282397535F1F exp=1B2DC1282397535F1F + 21112.50ns INFO [00021114] * RD COMPARE * port=0 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21113.50ns INFO [00021115] Port=0 WR @00=577F767112ABA7822B + 21114.50ns INFO [00021116] Port=1 RD @00 + 21116.50ns INFO [00021118] * RD COMPARE * port=1 adr=00 act=577F767112ABA7822B exp=577F767112ABA7822B + 21116.50ns INFO [00021118] Port=1 RD @02 + 21117.50ns INFO [00021119] Port=0 WR @00=8567DEDA626D215FBB + 21117.50ns INFO [00021119] Port=0 RD @02 + 21118.50ns INFO [00021120] * RD COMPARE * port=1 adr=02 act=481B472E6EC49C9968 exp=481B472E6EC49C9968 + 21118.50ns INFO [00021120] Port=0 WR @06=3513C74CABE76301AB + 21119.50ns INFO [00021121] * RD COMPARE * port=0 adr=02 act=481B472E6EC49C9968 exp=481B472E6EC49C9968 + 21119.50ns INFO [00021121] Port=1 RD @06 + 21120.50ns INFO [00021122] Port=0 WR @05=E5D40CD35A99B6DB6A + 21120.50ns INFO [00021122] Port=0 RD @00 + 21121.50ns INFO [00021123] * RD COMPARE * port=1 adr=06 act=3513C74CABE76301AB exp=3513C74CABE76301AB + 21121.50ns INFO [00021123] Port=0 WR @03=C7F173AEA5BAF49585 + 21121.50ns INFO [00021123] Port=0 RD @05 + 21121.50ns INFO [00021123] Port=1 RD @00 + 21122.50ns INFO [00021124] * RD COMPARE * port=0 adr=00 act=8567DEDA626D215FBB exp=8567DEDA626D215FBB + 21123.50ns INFO [00021125] * RD COMPARE * port=0 adr=05 act=E5D40CD35A99B6DB6A exp=E5D40CD35A99B6DB6A + 21123.50ns INFO [00021125] * RD COMPARE * port=1 adr=00 act=8567DEDA626D215FBB exp=8567DEDA626D215FBB + 21123.50ns INFO [00021125] Port=0 RD @01 + 21124.50ns INFO [00021126] Port=0 RD @00 + 21125.50ns INFO [00021127] * RD COMPARE * port=0 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21125.50ns INFO [00021127] Port=0 RD @06 + 21126.50ns INFO [00021128] * RD COMPARE * port=0 adr=00 act=8567DEDA626D215FBB exp=8567DEDA626D215FBB + 21127.50ns INFO [00021129] * RD COMPARE * port=0 adr=06 act=3513C74CABE76301AB exp=3513C74CABE76301AB + 21128.50ns INFO [00021130] Port=0 WR @04=F1187B097DD4951CD0 + 21128.50ns INFO [00021130] Port=0 RD @01 + 21129.50ns INFO [00021131] Port=0 RD @01 + 21130.50ns INFO [00021132] * RD COMPARE * port=0 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21130.50ns INFO [00021132] Port=0 WR @06=EEA7520E49F25BF0F4 + 21130.50ns INFO [00021132] Port=0 RD @03 + 21131.50ns INFO [00021133] * RD COMPARE * port=0 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21132.50ns INFO [00021134] * RD COMPARE * port=0 adr=03 act=C7F173AEA5BAF49585 exp=C7F173AEA5BAF49585 + 21132.50ns INFO [00021134] Port=0 RD @02 + 21132.50ns INFO [00021134] Port=1 RD @04 + 21133.50ns INFO [00021135] Port=0 WR @07=9D91113726A1D806FD + 21133.50ns INFO [00021135] Port=0 RD @00 + 21133.50ns INFO [00021135] Port=1 RD @00 + 21134.50ns INFO [00021136] * RD COMPARE * port=0 adr=02 act=481B472E6EC49C9968 exp=481B472E6EC49C9968 + 21134.50ns INFO [00021136] * RD COMPARE * port=1 adr=04 act=F1187B097DD4951CD0 exp=F1187B097DD4951CD0 + 21134.50ns INFO [00021136] Port=0 WR @06=5A9EB3F5E78B565226 + 21135.50ns INFO [00021137] * RD COMPARE * port=0 adr=00 act=8567DEDA626D215FBB exp=8567DEDA626D215FBB + 21135.50ns INFO [00021137] * RD COMPARE * port=1 adr=00 act=8567DEDA626D215FBB exp=8567DEDA626D215FBB + 21135.50ns INFO [00021137] Port=1 RD @03 + 21136.50ns INFO [00021138] Port=0 WR @07=E5AD198EAF184632CE + 21137.50ns INFO [00021139] * RD COMPARE * port=1 adr=03 act=C7F173AEA5BAF49585 exp=C7F173AEA5BAF49585 + 21137.50ns INFO [00021139] Port=0 WR @07=6BA3CB7762C75370A0 + 21137.50ns INFO [00021139] Port=0 RD @06 + 21137.50ns INFO [00021139] Port=1 RD @01 + 21138.50ns INFO [00021140] Port=0 WR @01=FB09106976762AA143 + 21138.50ns INFO [00021140] Port=0 RD @06 + 21139.50ns INFO [00021141] * RD COMPARE * port=0 adr=06 act=5A9EB3F5E78B565226 exp=5A9EB3F5E78B565226 + 21139.50ns INFO [00021141] * RD COMPARE * port=1 adr=01 act=BBC9A5617DE6D59385 exp=BBC9A5617DE6D59385 + 21140.50ns INFO [00021142] * RD COMPARE * port=0 adr=06 act=5A9EB3F5E78B565226 exp=5A9EB3F5E78B565226 + 21140.50ns INFO [00021142] Port=0 WR @04=0FB2D7B19A2FEB057D + 21141.50ns INFO [00021143] Port=0 WR @06=62F4B8C4B0C4A7F82C + 21142.50ns INFO [00021144] Port=0 RD @01 + 21144.50ns INFO [00021146] * RD COMPARE * port=0 adr=01 act=FB09106976762AA143 exp=FB09106976762AA143 + 21144.50ns INFO [00021146] Port=0 WR @07=F5F3D575E79A8FF2AF + 21144.50ns INFO [00021146] Port=1 RD @00 + 21145.50ns INFO [00021147] Port=0 WR @03=27902E5571BB34A6B6 + 21145.50ns INFO [00021147] Port=1 RD @05 + 21146.50ns INFO [00021148] * RD COMPARE * port=1 adr=00 act=8567DEDA626D215FBB exp=8567DEDA626D215FBB + 21146.50ns INFO [00021148] Port=0 WR @02=7C701BBBC71CB5850B + 21146.50ns INFO [00021148] Port=0 RD @00 + 21147.50ns INFO [00021149] * RD COMPARE * port=1 adr=05 act=E5D40CD35A99B6DB6A exp=E5D40CD35A99B6DB6A + 21147.50ns INFO [00021149] Port=0 WR @04=C1EFB4915042A06269 + 21147.50ns INFO [00021149] Port=0 RD @02 + 21148.50ns INFO [00021150] * RD COMPARE * port=0 adr=00 act=8567DEDA626D215FBB exp=8567DEDA626D215FBB + 21148.50ns INFO [00021150] Port=0 RD @03 + 21149.50ns INFO [00021151] * RD COMPARE * port=0 adr=02 act=7C701BBBC71CB5850B exp=7C701BBBC71CB5850B + 21149.50ns INFO [00021151] Port=0 WR @03=3B38C6EE9839C1D8BA + 21149.50ns INFO [00021151] Port=0 RD @02 + 21150.50ns INFO [00021152] * RD COMPARE * port=0 adr=03 act=27902E5571BB34A6B6 exp=27902E5571BB34A6B6 + 21150.50ns INFO [00021152] Port=0 WR @07=FFADA9EA1F6320B8CE + 21150.50ns INFO [00021152] Port=0 RD @01 + 21150.50ns INFO [00021152] Port=1 RD @06 + 21151.50ns INFO [00021153] * RD COMPARE * port=0 adr=02 act=7C701BBBC71CB5850B exp=7C701BBBC71CB5850B + 21151.50ns INFO [00021153] Port=0 RD @00 + 21152.50ns INFO [00021154] * RD COMPARE * port=0 adr=01 act=FB09106976762AA143 exp=FB09106976762AA143 + 21152.50ns INFO [00021154] * RD COMPARE * port=1 adr=06 act=62F4B8C4B0C4A7F82C exp=62F4B8C4B0C4A7F82C + 21152.50ns INFO [00021154] Port=1 RD @01 + 21153.50ns INFO [00021155] * RD COMPARE * port=0 adr=00 act=8567DEDA626D215FBB exp=8567DEDA626D215FBB + 21154.50ns INFO [00021156] * RD COMPARE * port=1 adr=01 act=FB09106976762AA143 exp=FB09106976762AA143 + 21154.50ns INFO [00021156] Port=0 RD @04 + 21155.50ns INFO [00021157] Port=0 WR @05=368DD8B8F0C80285FA + 21155.50ns INFO [00021157] Port=0 RD @04 + 21156.50ns INFO [00021158] * RD COMPARE * port=0 adr=04 act=C1EFB4915042A06269 exp=C1EFB4915042A06269 + 21156.50ns INFO [00021158] Port=0 WR @00=BA39BD12946D2129F1 + 21157.50ns INFO [00021159] * RD COMPARE * port=0 adr=04 act=C1EFB4915042A06269 exp=C1EFB4915042A06269 + 21157.50ns INFO [00021159] Port=0 WR @04=276FFF2FBB42C15772 + 21157.50ns INFO [00021159] Port=1 RD @03 + 21158.50ns INFO [00021160] Port=0 RD @01 + 21158.50ns INFO [00021160] Port=1 RD @07 + 21159.50ns INFO [00021161] * RD COMPARE * port=1 adr=03 act=3B38C6EE9839C1D8BA exp=3B38C6EE9839C1D8BA + 21159.50ns INFO [00021161] Port=1 RD @05 + 21160.50ns INFO [00021162] * RD COMPARE * port=0 adr=01 act=FB09106976762AA143 exp=FB09106976762AA143 + 21160.50ns INFO [00021162] * RD COMPARE * port=1 adr=07 act=FFADA9EA1F6320B8CE exp=FFADA9EA1F6320B8CE + 21160.50ns INFO [00021162] Port=0 WR @05=FE8DE98A40AB84E796 + 21160.50ns INFO [00021162] Port=1 RD @04 + 21161.50ns INFO [00021163] * RD COMPARE * port=1 adr=05 act=368DD8B8F0C80285FA exp=368DD8B8F0C80285FA + 21161.50ns INFO [00021163] Port=0 WR @06=4606B79B58879B1628 + 21162.50ns INFO [00021164] * RD COMPARE * port=1 adr=04 act=276FFF2FBB42C15772 exp=276FFF2FBB42C15772 + 21163.50ns INFO [00021165] Port=0 WR @05=52F98D6DC50AFC18D3 + 21164.50ns INFO [00021166] Port=0 WR @06=4E3239665693F00EC8 + 21164.50ns INFO [00021166] Port=0 RD @04 + 21165.50ns INFO [00021167] Port=0 RD @04 + 21166.50ns INFO [00021168] * RD COMPARE * port=0 adr=04 act=276FFF2FBB42C15772 exp=276FFF2FBB42C15772 + 21166.50ns INFO [00021168] Port=0 WR @03=86E353FBD272D44C8C + 21166.50ns INFO [00021168] Port=0 RD @00 + 21166.50ns INFO [00021168] Port=1 RD @05 + 21167.50ns INFO [00021169] * RD COMPARE * port=0 adr=04 act=276FFF2FBB42C15772 exp=276FFF2FBB42C15772 + 21167.50ns INFO [00021169] Port=0 WR @00=3C987E22062828E3FC + 21167.50ns INFO [00021169] Port=0 RD @04 + 21167.50ns INFO [00021169] Port=1 RD @06 + 21168.50ns INFO [00021170] * RD COMPARE * port=0 adr=00 act=BA39BD12946D2129F1 exp=BA39BD12946D2129F1 + 21168.50ns INFO [00021170] * RD COMPARE * port=1 adr=05 act=52F98D6DC50AFC18D3 exp=52F98D6DC50AFC18D3 + 21168.50ns INFO [00021170] Port=1 RD @05 + 21169.50ns INFO [00021171] * RD COMPARE * port=0 adr=04 act=276FFF2FBB42C15772 exp=276FFF2FBB42C15772 + 21169.50ns INFO [00021171] * RD COMPARE * port=1 adr=06 act=4E3239665693F00EC8 exp=4E3239665693F00EC8 + 21169.50ns INFO [00021171] Port=0 RD @01 + 21170.50ns INFO [00021172] * RD COMPARE * port=1 adr=05 act=52F98D6DC50AFC18D3 exp=52F98D6DC50AFC18D3 + 21171.50ns INFO [00021173] * RD COMPARE * port=0 adr=01 act=FB09106976762AA143 exp=FB09106976762AA143 + 21171.50ns INFO [00021173] Port=1 RD @01 + 21173.50ns INFO [00021175] * RD COMPARE * port=1 adr=01 act=FB09106976762AA143 exp=FB09106976762AA143 + 21173.50ns INFO [00021175] Port=0 RD @01 + 21173.50ns INFO [00021175] Port=1 RD @02 + 21175.50ns INFO [00021177] * RD COMPARE * port=0 adr=01 act=FB09106976762AA143 exp=FB09106976762AA143 + 21175.50ns INFO [00021177] * RD COMPARE * port=1 adr=02 act=7C701BBBC71CB5850B exp=7C701BBBC71CB5850B + 21175.50ns INFO [00021177] Port=0 WR @05=6C352659B276EEE139 + 21175.50ns INFO [00021177] Port=0 RD @03 + 21175.50ns INFO [00021177] Port=1 RD @07 + 21176.50ns INFO [00021178] Port=0 RD @06 + 21177.50ns INFO [00021179] * RD COMPARE * port=0 adr=03 act=86E353FBD272D44C8C exp=86E353FBD272D44C8C + 21177.50ns INFO [00021179] * RD COMPARE * port=1 adr=07 act=FFADA9EA1F6320B8CE exp=FFADA9EA1F6320B8CE + 21177.50ns INFO [00021179] Port=0 RD @03 + 21178.50ns INFO [00021180] * RD COMPARE * port=0 adr=06 act=4E3239665693F00EC8 exp=4E3239665693F00EC8 + 21178.50ns INFO [00021180] Port=0 RD @02 + 21179.50ns INFO [00021181] * RD COMPARE * port=0 adr=03 act=86E353FBD272D44C8C exp=86E353FBD272D44C8C + 21179.50ns INFO [00021181] Port=1 RD @05 + 21180.50ns INFO [00021182] * RD COMPARE * port=0 adr=02 act=7C701BBBC71CB5850B exp=7C701BBBC71CB5850B + 21180.50ns INFO [00021182] Port=0 RD @01 + 21180.50ns INFO [00021182] Port=1 RD @02 + 21181.50ns INFO [00021183] * RD COMPARE * port=1 adr=05 act=6C352659B276EEE139 exp=6C352659B276EEE139 + 21181.50ns INFO [00021183] Port=1 RD @00 + 21182.50ns INFO [00021184] * RD COMPARE * port=0 adr=01 act=FB09106976762AA143 exp=FB09106976762AA143 + 21182.50ns INFO [00021184] * RD COMPARE * port=1 adr=02 act=7C701BBBC71CB5850B exp=7C701BBBC71CB5850B + 21183.50ns INFO [00021185] * RD COMPARE * port=1 adr=00 act=3C987E22062828E3FC exp=3C987E22062828E3FC + 21183.50ns INFO [00021185] Port=0 RD @01 + 21185.50ns INFO [00021187] * RD COMPARE * port=0 adr=01 act=FB09106976762AA143 exp=FB09106976762AA143 + 21185.50ns INFO [00021187] Port=0 RD @06 + 21186.50ns INFO [00021188] Port=0 RD @03 + 21186.50ns INFO [00021188] Port=1 RD @01 + 21187.50ns INFO [00021189] * RD COMPARE * port=0 adr=06 act=4E3239665693F00EC8 exp=4E3239665693F00EC8 + 21187.50ns INFO [00021189] Port=0 RD @00 + 21187.50ns INFO [00021189] Port=1 RD @00 + 21188.50ns INFO [00021190] * RD COMPARE * port=0 adr=03 act=86E353FBD272D44C8C exp=86E353FBD272D44C8C + 21188.50ns INFO [00021190] * RD COMPARE * port=1 adr=01 act=FB09106976762AA143 exp=FB09106976762AA143 + 21189.50ns INFO [00021191] * RD COMPARE * port=0 adr=00 act=3C987E22062828E3FC exp=3C987E22062828E3FC + 21189.50ns INFO [00021191] * RD COMPARE * port=1 adr=00 act=3C987E22062828E3FC exp=3C987E22062828E3FC + 21189.50ns INFO [00021191] Port=0 RD @00 + 21189.50ns INFO [00021191] Port=1 RD @05 + 21191.50ns INFO [00021193] * RD COMPARE * port=0 adr=00 act=3C987E22062828E3FC exp=3C987E22062828E3FC + 21191.50ns INFO [00021193] * RD COMPARE * port=1 adr=05 act=6C352659B276EEE139 exp=6C352659B276EEE139 + 21191.50ns INFO [00021193] Port=0 RD @04 + 21191.50ns INFO [00021193] Port=1 RD @05 + 21192.50ns INFO [00021194] Port=0 RD @00 + 21193.50ns INFO [00021195] * RD COMPARE * port=0 adr=04 act=276FFF2FBB42C15772 exp=276FFF2FBB42C15772 + 21193.50ns INFO [00021195] * RD COMPARE * port=1 adr=05 act=6C352659B276EEE139 exp=6C352659B276EEE139 + 21193.50ns INFO [00021195] Port=0 RD @05 + 21193.50ns INFO [00021195] Port=1 RD @03 + 21194.50ns INFO [00021196] * RD COMPARE * port=0 adr=00 act=3C987E22062828E3FC exp=3C987E22062828E3FC + 21194.50ns INFO [00021196] Port=0 WR @03=12DD5ADD03CCB727D3 + 21194.50ns INFO [00021196] Port=0 RD @00 + 21194.50ns INFO [00021196] Port=1 RD @06 + 21195.50ns INFO [00021197] * RD COMPARE * port=0 adr=05 act=6C352659B276EEE139 exp=6C352659B276EEE139 + 21195.50ns INFO [00021197] * RD COMPARE * port=1 adr=03 act=86E353FBD272D44C8C exp=86E353FBD272D44C8C + 21195.50ns INFO [00021197] Port=0 RD @04 + 21195.50ns INFO [00021197] Port=1 RD @02 + 21196.50ns INFO [00021198] * RD COMPARE * port=0 adr=00 act=3C987E22062828E3FC exp=3C987E22062828E3FC + 21196.50ns INFO [00021198] * RD COMPARE * port=1 adr=06 act=4E3239665693F00EC8 exp=4E3239665693F00EC8 + 21196.50ns INFO [00021198] Port=0 RD @02 + 21197.50ns INFO [00021199] * RD COMPARE * port=0 adr=04 act=276FFF2FBB42C15772 exp=276FFF2FBB42C15772 + 21197.50ns INFO [00021199] * RD COMPARE * port=1 adr=02 act=7C701BBBC71CB5850B exp=7C701BBBC71CB5850B + 21198.00ns INFO [00021200] [00021200] ...tick... + 21198.50ns INFO [00021200] * RD COMPARE * port=0 adr=02 act=7C701BBBC71CB5850B exp=7C701BBBC71CB5850B + 21198.50ns INFO [00021200] Port=0 WR @04=5377739F793ED8D514 + 21198.50ns INFO [00021200] Port=1 RD @05 + 21199.50ns INFO [00021201] Port=0 WR @02=410AFF57732A4E40D7 + 21200.50ns INFO [00021202] * RD COMPARE * port=1 adr=05 act=6C352659B276EEE139 exp=6C352659B276EEE139 + 21200.50ns INFO [00021202] Port=0 WR @01=48A5B10F2B872D4069 + 21200.50ns INFO [00021202] Port=0 RD @07 + 21200.50ns INFO [00021202] Port=1 RD @07 + 21202.50ns INFO [00021204] * RD COMPARE * port=0 adr=07 act=FFADA9EA1F6320B8CE exp=FFADA9EA1F6320B8CE + 21202.50ns INFO [00021204] * RD COMPARE * port=1 adr=07 act=FFADA9EA1F6320B8CE exp=FFADA9EA1F6320B8CE + 21202.50ns INFO [00021204] Port=0 RD @02 + 21203.50ns INFO [00021205] Port=0 WR @00=E7F973FFE250888EE4 + 21203.50ns INFO [00021205] Port=0 RD @02 + 21204.50ns INFO [00021206] * RD COMPARE * port=0 adr=02 act=410AFF57732A4E40D7 exp=410AFF57732A4E40D7 + 21204.50ns INFO [00021206] Port=1 RD @05 + 21205.50ns INFO [00021207] * RD COMPARE * port=0 adr=02 act=410AFF57732A4E40D7 exp=410AFF57732A4E40D7 + 21206.50ns INFO [00021208] * RD COMPARE * port=1 adr=05 act=6C352659B276EEE139 exp=6C352659B276EEE139 + 21206.50ns INFO [00021208] Port=0 RD @00 + 21207.50ns INFO [00021209] Port=0 WR @02=01FCE7DF3E2B90B16B + 21207.50ns INFO [00021209] Port=0 RD @00 + 21208.50ns INFO [00021210] * RD COMPARE * port=0 adr=00 act=E7F973FFE250888EE4 exp=E7F973FFE250888EE4 + 21208.50ns INFO [00021210] Port=0 RD @07 + 21209.50ns INFO [00021211] * RD COMPARE * port=0 adr=00 act=E7F973FFE250888EE4 exp=E7F973FFE250888EE4 + 21209.50ns INFO [00021211] Port=0 RD @01 + 21210.50ns INFO [00021212] * RD COMPARE * port=0 adr=07 act=FFADA9EA1F6320B8CE exp=FFADA9EA1F6320B8CE + 21210.50ns INFO [00021212] Port=0 WR @04=5D94CCFFA7508EAB13 + 21211.50ns INFO [00021213] * RD COMPARE * port=0 adr=01 act=48A5B10F2B872D4069 exp=48A5B10F2B872D4069 + 21211.50ns INFO [00021213] Port=0 WR @06=B087AE155D5477F829 + 21211.50ns INFO [00021213] Port=0 RD @00 + 21212.50ns INFO [00021214] Port=0 WR @07=A6E02053DBBC434EEF + 21212.50ns INFO [00021214] Port=0 RD @06 + 21212.50ns INFO [00021214] Port=1 RD @01 + 21213.50ns INFO [00021215] * RD COMPARE * port=0 adr=00 act=E7F973FFE250888EE4 exp=E7F973FFE250888EE4 + 21214.50ns INFO [00021216] * RD COMPARE * port=0 adr=06 act=B087AE155D5477F829 exp=B087AE155D5477F829 + 21214.50ns INFO [00021216] * RD COMPARE * port=1 adr=01 act=48A5B10F2B872D4069 exp=48A5B10F2B872D4069 + 21214.50ns INFO [00021216] Port=1 RD @02 + 21216.50ns INFO [00021218] * RD COMPARE * port=1 adr=02 act=01FCE7DF3E2B90B16B exp=01FCE7DF3E2B90B16B + 21216.50ns INFO [00021218] Port=0 WR @02=D4F9B4C3CF26634F60 + 21216.50ns INFO [00021218] Port=1 RD @07 + 21217.50ns INFO [00021219] Port=0 RD @04 + 21217.50ns INFO [00021219] Port=1 RD @07 + 21218.50ns INFO [00021220] * RD COMPARE * port=1 adr=07 act=A6E02053DBBC434EEF exp=A6E02053DBBC434EEF + 21218.50ns INFO [00021220] Port=0 WR @01=111394E7CBC543A808 + 21219.50ns INFO [00021221] * RD COMPARE * port=0 adr=04 act=5D94CCFFA7508EAB13 exp=5D94CCFFA7508EAB13 + 21219.50ns INFO [00021221] * RD COMPARE * port=1 adr=07 act=A6E02053DBBC434EEF exp=A6E02053DBBC434EEF + 21219.50ns INFO [00021221] Port=0 RD @07 + 21220.50ns INFO [00021222] Port=0 WR @07=B0FD0370B561FD90CD + 21220.50ns INFO [00021222] Port=1 RD @03 + 21221.50ns INFO [00021223] * RD COMPARE * port=0 adr=07 act=A6E02053DBBC434EEF exp=A6E02053DBBC434EEF + 21221.50ns INFO [00021223] Port=0 WR @01=A5A3099086179A85DC + 21221.50ns INFO [00021223] Port=1 RD @07 + 21222.50ns INFO [00021224] * RD COMPARE * port=1 adr=03 act=12DD5ADD03CCB727D3 exp=12DD5ADD03CCB727D3 + 21222.50ns INFO [00021224] Port=1 RD @04 + 21223.50ns INFO [00021225] * RD COMPARE * port=1 adr=07 act=B0FD0370B561FD90CD exp=B0FD0370B561FD90CD + 21223.50ns INFO [00021225] Port=1 RD @02 + 21224.50ns INFO [00021226] * RD COMPARE * port=1 adr=04 act=5D94CCFFA7508EAB13 exp=5D94CCFFA7508EAB13 + 21224.50ns INFO [00021226] Port=0 WR @02=4CF263955B55E85308 + 21224.50ns INFO [00021226] Port=1 RD @04 + 21225.50ns INFO [00021227] * RD COMPARE * port=1 adr=02 act=D4F9B4C3CF26634F60 exp=D4F9B4C3CF26634F60 + 21225.50ns INFO [00021227] Port=0 WR @00=40E57E7B854B5A4FFA + 21225.50ns INFO [00021227] Port=0 RD @02 + 21226.50ns INFO [00021228] * RD COMPARE * port=1 adr=04 act=5D94CCFFA7508EAB13 exp=5D94CCFFA7508EAB13 + 21226.50ns INFO [00021228] Port=1 RD @04 + 21227.50ns INFO [00021229] * RD COMPARE * port=0 adr=02 act=4CF263955B55E85308 exp=4CF263955B55E85308 + 21227.50ns INFO [00021229] Port=1 RD @07 + 21228.50ns INFO [00021230] * RD COMPARE * port=1 adr=04 act=5D94CCFFA7508EAB13 exp=5D94CCFFA7508EAB13 + 21229.50ns INFO [00021231] * RD COMPARE * port=1 adr=07 act=B0FD0370B561FD90CD exp=B0FD0370B561FD90CD + 21229.50ns INFO [00021231] Port=0 WR @05=E40151F93424BAC823 + 21229.50ns INFO [00021231] Port=0 RD @07 + 21230.50ns INFO [00021232] Port=0 WR @04=D306DBC09C071BE4FB + 21230.50ns INFO [00021232] Port=0 RD @06 + 21231.50ns INFO [00021233] * RD COMPARE * port=0 adr=07 act=B0FD0370B561FD90CD exp=B0FD0370B561FD90CD + 21231.50ns INFO [00021233] Port=0 WR @02=ADB46346BEC51A92EE + 21232.50ns INFO [00021234] * RD COMPARE * port=0 adr=06 act=B087AE155D5477F829 exp=B087AE155D5477F829 + 21232.50ns INFO [00021234] Port=0 WR @06=76746C4D68530BC2AC + 21233.50ns INFO [00021235] Port=0 WR @00=33E72A0819F41ABBFF + 21233.50ns INFO [00021235] Port=0 RD @07 + 21234.50ns INFO [00021236] Port=0 WR @07=12CB3F72691820CBBF + 21234.50ns INFO [00021236] Port=0 RD @00 + 21235.50ns INFO [00021237] * RD COMPARE * port=0 adr=07 act=B0FD0370B561FD90CD exp=B0FD0370B561FD90CD + 21235.50ns INFO [00021237] Port=0 RD @07 + 21236.50ns INFO [00021238] * RD COMPARE * port=0 adr=00 act=33E72A0819F41ABBFF exp=33E72A0819F41ABBFF + 21236.50ns INFO [00021238] Port=1 RD @04 + 21237.50ns INFO [00021239] * RD COMPARE * port=0 adr=07 act=12CB3F72691820CBBF exp=12CB3F72691820CBBF + 21237.50ns INFO [00021239] Port=0 WR @03=B68CE50A95436EDCD5 + 21237.50ns INFO [00021239] Port=0 RD @07 + 21238.50ns INFO [00021240] * RD COMPARE * port=1 adr=04 act=D306DBC09C071BE4FB exp=D306DBC09C071BE4FB + 21238.50ns INFO [00021240] Port=0 WR @04=711A9F521135909018 + 21238.50ns INFO [00021240] Port=0 RD @03 + 21239.50ns INFO [00021241] * RD COMPARE * port=0 adr=07 act=12CB3F72691820CBBF exp=12CB3F72691820CBBF + 21239.50ns INFO [00021241] Port=0 RD @01 + 21240.50ns INFO [00021242] * RD COMPARE * port=0 adr=03 act=B68CE50A95436EDCD5 exp=B68CE50A95436EDCD5 + 21240.50ns INFO [00021242] Port=0 WR @01=03CBDF0BB8A3CF5765 + 21241.50ns INFO [00021243] * RD COMPARE * port=0 adr=01 act=A5A3099086179A85DC exp=A5A3099086179A85DC + 21241.50ns INFO [00021243] Port=0 WR @04=ABCF2DEDE44A28BCAB + 21241.50ns INFO [00021243] Port=1 RD @02 + 21243.50ns INFO [00021245] * RD COMPARE * port=1 adr=02 act=ADB46346BEC51A92EE exp=ADB46346BEC51A92EE + 21243.50ns INFO [00021245] Port=0 WR @05=A125FC5E96E1A565D5 + 21243.50ns INFO [00021245] Port=1 RD @03 + 21244.50ns INFO [00021246] Port=0 WR @04=2D5E71CF1D805CF108 + 21245.50ns INFO [00021247] * RD COMPARE * port=1 adr=03 act=B68CE50A95436EDCD5 exp=B68CE50A95436EDCD5 + 21245.50ns INFO [00021247] Port=1 RD @00 + 21247.50ns INFO [00021249] * RD COMPARE * port=1 adr=00 act=33E72A0819F41ABBFF exp=33E72A0819F41ABBFF + 21247.50ns INFO [00021249] Port=1 RD @07 + 21248.50ns INFO [00021250] Port=0 RD @02 + 21249.50ns INFO [00021251] * RD COMPARE * port=1 adr=07 act=12CB3F72691820CBBF exp=12CB3F72691820CBBF + 21249.50ns INFO [00021251] Port=1 RD @07 + 21250.50ns INFO [00021252] * RD COMPARE * port=0 adr=02 act=ADB46346BEC51A92EE exp=ADB46346BEC51A92EE + 21250.50ns INFO [00021252] Port=0 RD @05 + 21250.50ns INFO [00021252] Port=1 RD @03 + 21251.50ns INFO [00021253] * RD COMPARE * port=1 adr=07 act=12CB3F72691820CBBF exp=12CB3F72691820CBBF + 21251.50ns INFO [00021253] Port=1 RD @06 + 21252.50ns INFO [00021254] * RD COMPARE * port=0 adr=05 act=A125FC5E96E1A565D5 exp=A125FC5E96E1A565D5 + 21252.50ns INFO [00021254] * RD COMPARE * port=1 adr=03 act=B68CE50A95436EDCD5 exp=B68CE50A95436EDCD5 + 21252.50ns INFO [00021254] Port=0 RD @00 + 21252.50ns INFO [00021254] Port=1 RD @04 + 21253.50ns INFO [00021255] * RD COMPARE * port=1 adr=06 act=76746C4D68530BC2AC exp=76746C4D68530BC2AC + 21253.50ns INFO [00021255] Port=1 RD @05 + 21254.50ns INFO [00021256] * RD COMPARE * port=0 adr=00 act=33E72A0819F41ABBFF exp=33E72A0819F41ABBFF + 21254.50ns INFO [00021256] * RD COMPARE * port=1 adr=04 act=2D5E71CF1D805CF108 exp=2D5E71CF1D805CF108 + 21254.50ns INFO [00021256] Port=0 RD @01 + 21255.50ns INFO [00021257] * RD COMPARE * port=1 adr=05 act=A125FC5E96E1A565D5 exp=A125FC5E96E1A565D5 + 21255.50ns INFO [00021257] Port=0 RD @04 + 21255.50ns INFO [00021257] Port=1 RD @07 + 21256.50ns INFO [00021258] * RD COMPARE * port=0 adr=01 act=03CBDF0BB8A3CF5765 exp=03CBDF0BB8A3CF5765 + 21256.50ns INFO [00021258] Port=0 WR @05=FB488D50C56122B391 + 21256.50ns INFO [00021258] Port=0 RD @04 + 21256.50ns INFO [00021258] Port=1 RD @06 + 21257.50ns INFO [00021259] * RD COMPARE * port=0 adr=04 act=2D5E71CF1D805CF108 exp=2D5E71CF1D805CF108 + 21257.50ns INFO [00021259] * RD COMPARE * port=1 adr=07 act=12CB3F72691820CBBF exp=12CB3F72691820CBBF + 21258.50ns INFO [00021260] * RD COMPARE * port=0 adr=04 act=2D5E71CF1D805CF108 exp=2D5E71CF1D805CF108 + 21258.50ns INFO [00021260] * RD COMPARE * port=1 adr=06 act=76746C4D68530BC2AC exp=76746C4D68530BC2AC + 21258.50ns INFO [00021260] Port=1 RD @05 + 21260.50ns INFO [00021262] * RD COMPARE * port=1 adr=05 act=FB488D50C56122B391 exp=FB488D50C56122B391 + 21262.50ns INFO [00021264] Port=0 RD @00 + 21262.50ns INFO [00021264] Port=1 RD @00 + 21263.50ns INFO [00021265] Port=1 RD @01 + 21264.50ns INFO [00021266] * RD COMPARE * port=0 adr=00 act=33E72A0819F41ABBFF exp=33E72A0819F41ABBFF + 21264.50ns INFO [00021266] * RD COMPARE * port=1 adr=00 act=33E72A0819F41ABBFF exp=33E72A0819F41ABBFF + 21264.50ns INFO [00021266] Port=1 RD @06 + 21265.50ns INFO [00021267] * RD COMPARE * port=1 adr=01 act=03CBDF0BB8A3CF5765 exp=03CBDF0BB8A3CF5765 + 21265.50ns INFO [00021267] Port=0 WR @00=88E34666584C9DE5DE + 21265.50ns INFO [00021267] Port=1 RD @04 + 21266.50ns INFO [00021268] * RD COMPARE * port=1 adr=06 act=76746C4D68530BC2AC exp=76746C4D68530BC2AC + 21266.50ns INFO [00021268] Port=0 RD @03 + 21267.50ns INFO [00021269] * RD COMPARE * port=1 adr=04 act=2D5E71CF1D805CF108 exp=2D5E71CF1D805CF108 + 21267.50ns INFO [00021269] Port=0 WR @00=9B446B57B4C3C1EF50 + 21267.50ns INFO [00021269] Port=1 RD @05 + 21268.50ns INFO [00021270] * RD COMPARE * port=0 adr=03 act=B68CE50A95436EDCD5 exp=B68CE50A95436EDCD5 + 21268.50ns INFO [00021270] Port=1 RD @07 + 21269.50ns INFO [00021271] * RD COMPARE * port=1 adr=05 act=FB488D50C56122B391 exp=FB488D50C56122B391 + 21269.50ns INFO [00021271] Port=1 RD @01 + 21270.50ns INFO [00021272] * RD COMPARE * port=1 adr=07 act=12CB3F72691820CBBF exp=12CB3F72691820CBBF + 21271.50ns INFO [00021273] * RD COMPARE * port=1 adr=01 act=03CBDF0BB8A3CF5765 exp=03CBDF0BB8A3CF5765 + 21271.50ns INFO [00021273] Port=1 RD @03 + 21273.50ns INFO [00021275] * RD COMPARE * port=1 adr=03 act=B68CE50A95436EDCD5 exp=B68CE50A95436EDCD5 + 21273.50ns INFO [00021275] Port=0 WR @02=6E922AD51D8E3DE9E6 + 21273.50ns INFO [00021275] Port=0 RD @05 + 21273.50ns INFO [00021275] Port=1 RD @00 + 21275.50ns INFO [00021277] * RD COMPARE * port=0 adr=05 act=FB488D50C56122B391 exp=FB488D50C56122B391 + 21275.50ns INFO [00021277] * RD COMPARE * port=1 adr=00 act=9B446B57B4C3C1EF50 exp=9B446B57B4C3C1EF50 + 21278.50ns INFO [00021280] Port=0 WR @01=545A28F4F5769E6EAA + 21279.50ns INFO [00021281] Port=0 RD @04 + 21280.50ns INFO [00021282] Port=0 WR @01=7E08810B37DC582E0B + 21280.50ns INFO [00021282] Port=0 RD @02 + 21281.50ns INFO [00021283] * RD COMPARE * port=0 adr=04 act=2D5E71CF1D805CF108 exp=2D5E71CF1D805CF108 + 21281.50ns INFO [00021283] Port=0 WR @06=5968FF793E17D70DC2 + 21281.50ns INFO [00021283] Port=0 RD @05 + 21282.50ns INFO [00021284] * RD COMPARE * port=0 adr=02 act=6E922AD51D8E3DE9E6 exp=6E922AD51D8E3DE9E6 + 21282.50ns INFO [00021284] Port=0 WR @06=60E072410F4357EA05 + 21283.50ns INFO [00021285] * RD COMPARE * port=0 adr=05 act=FB488D50C56122B391 exp=FB488D50C56122B391 + 21283.50ns INFO [00021285] Port=0 RD @05 + 21284.50ns INFO [00021286] Port=0 WR @04=519FF83CA2E7A6CF9D + 21284.50ns INFO [00021286] Port=1 RD @07 + 21285.50ns INFO [00021287] * RD COMPARE * port=0 adr=05 act=FB488D50C56122B391 exp=FB488D50C56122B391 + 21285.50ns INFO [00021287] Port=0 WR @00=15D3FEAB4063DCBD73 + 21285.50ns INFO [00021287] Port=0 RD @03 + 21286.50ns INFO [00021288] * RD COMPARE * port=1 adr=07 act=12CB3F72691820CBBF exp=12CB3F72691820CBBF + 21286.50ns INFO [00021288] Port=1 RD @02 + 21287.50ns INFO [00021289] * RD COMPARE * port=0 adr=03 act=B68CE50A95436EDCD5 exp=B68CE50A95436EDCD5 + 21288.50ns INFO [00021290] * RD COMPARE * port=1 adr=02 act=6E922AD51D8E3DE9E6 exp=6E922AD51D8E3DE9E6 + 21288.50ns INFO [00021290] Port=0 RD @07 + 21289.50ns INFO [00021291] Port=0 RD @01 + 21289.50ns INFO [00021291] Port=1 RD @00 + 21290.50ns INFO [00021292] * RD COMPARE * port=0 adr=07 act=12CB3F72691820CBBF exp=12CB3F72691820CBBF + 21290.50ns INFO [00021292] Port=0 WR @01=F1E9351C1FF275B5BF + 21290.50ns INFO [00021292] Port=1 RD @00 + 21291.50ns INFO [00021293] * RD COMPARE * port=0 adr=01 act=7E08810B37DC582E0B exp=7E08810B37DC582E0B + 21291.50ns INFO [00021293] * RD COMPARE * port=1 adr=00 act=15D3FEAB4063DCBD73 exp=15D3FEAB4063DCBD73 + 21291.50ns INFO [00021293] Port=0 RD @04 + 21291.50ns INFO [00021293] Port=1 RD @00 + 21292.50ns INFO [00021294] * RD COMPARE * port=1 adr=00 act=15D3FEAB4063DCBD73 exp=15D3FEAB4063DCBD73 + 21292.50ns INFO [00021294] Port=0 WR @07=E20A0B4B815CB16BD0 + 21292.50ns INFO [00021294] Port=0 RD @04 + 21292.50ns INFO [00021294] Port=1 RD @05 + 21293.50ns INFO [00021295] * RD COMPARE * port=0 adr=04 act=519FF83CA2E7A6CF9D exp=519FF83CA2E7A6CF9D + 21293.50ns INFO [00021295] * RD COMPARE * port=1 adr=00 act=15D3FEAB4063DCBD73 exp=15D3FEAB4063DCBD73 + 21294.50ns INFO [00021296] * RD COMPARE * port=0 adr=04 act=519FF83CA2E7A6CF9D exp=519FF83CA2E7A6CF9D + 21294.50ns INFO [00021296] * RD COMPARE * port=1 adr=05 act=FB488D50C56122B391 exp=FB488D50C56122B391 + 21294.50ns INFO [00021296] Port=0 RD @07 + 21295.50ns INFO [00021297] Port=0 WR @04=EE062ABF93B5D0C317 + 21295.50ns INFO [00021297] Port=0 RD @02 + 21296.50ns INFO [00021298] * RD COMPARE * port=0 adr=07 act=E20A0B4B815CB16BD0 exp=E20A0B4B815CB16BD0 + 21296.50ns INFO [00021298] Port=0 WR @02=D2B4F34549A37E3D9E + 21296.50ns INFO [00021298] Port=0 RD @05 + 21296.50ns INFO [00021298] Port=1 RD @05 + 21297.50ns INFO [00021299] * RD COMPARE * port=0 adr=02 act=6E922AD51D8E3DE9E6 exp=6E922AD51D8E3DE9E6 + 21297.50ns INFO [00021299] Port=0 RD @06 + 21298.00ns INFO [00021300] [00021300] ...tick... + 21298.50ns INFO [00021300] * RD COMPARE * port=0 adr=05 act=FB488D50C56122B391 exp=FB488D50C56122B391 + 21298.50ns INFO [00021300] * RD COMPARE * port=1 adr=05 act=FB488D50C56122B391 exp=FB488D50C56122B391 + 21298.50ns INFO [00021300] Port=1 RD @06 + 21299.50ns INFO [00021301] * RD COMPARE * port=0 adr=06 act=60E072410F4357EA05 exp=60E072410F4357EA05 + 21299.50ns INFO [00021301] Port=0 RD @03 + 21300.50ns INFO [00021302] * RD COMPARE * port=1 adr=06 act=60E072410F4357EA05 exp=60E072410F4357EA05 + 21300.50ns INFO [00021302] Port=1 RD @06 + 21301.50ns INFO [00021303] * RD COMPARE * port=0 adr=03 act=B68CE50A95436EDCD5 exp=B68CE50A95436EDCD5 + 21301.50ns INFO [00021303] Port=0 WR @04=A1A79B60C7602E311F + 21301.50ns INFO [00021303] Port=0 RD @03 + 21301.50ns INFO [00021303] Port=1 RD @03 + 21302.50ns INFO [00021304] * RD COMPARE * port=1 adr=06 act=60E072410F4357EA05 exp=60E072410F4357EA05 + 21302.50ns INFO [00021304] Port=0 WR @07=E7D6F12A337842F13D + 21303.50ns INFO [00021305] * RD COMPARE * port=0 adr=03 act=B68CE50A95436EDCD5 exp=B68CE50A95436EDCD5 + 21303.50ns INFO [00021305] * RD COMPARE * port=1 adr=03 act=B68CE50A95436EDCD5 exp=B68CE50A95436EDCD5 + 21303.50ns INFO [00021305] Port=0 WR @06=17DB7AB2410C3C8751 + 21303.50ns INFO [00021305] Port=0 RD @07 + 21304.50ns INFO [00021306] Port=1 RD @02 + 21305.50ns INFO [00021307] * RD COMPARE * port=0 adr=07 act=E7D6F12A337842F13D exp=E7D6F12A337842F13D + 21305.50ns INFO [00021307] Port=0 WR @03=2876C7DCAAA6BCA6CE + 21306.50ns INFO [00021308] * RD COMPARE * port=1 adr=02 act=D2B4F34549A37E3D9E exp=D2B4F34549A37E3D9E + 21306.50ns INFO [00021308] Port=1 RD @06 + 21307.50ns INFO [00021309] Port=0 WR @05=5777D125AC00366226 + 21308.50ns INFO [00021310] * RD COMPARE * port=1 adr=06 act=17DB7AB2410C3C8751 exp=17DB7AB2410C3C8751 + 21308.50ns INFO [00021310] Port=0 WR @03=0273A0682BA55D1192 + 21308.50ns INFO [00021310] Port=1 RD @00 + 21309.50ns INFO [00021311] Port=0 RD @05 + 21310.50ns INFO [00021312] * RD COMPARE * port=1 adr=00 act=15D3FEAB4063DCBD73 exp=15D3FEAB4063DCBD73 + 21310.50ns INFO [00021312] Port=0 RD @04 + 21311.50ns INFO [00021313] * RD COMPARE * port=0 adr=05 act=5777D125AC00366226 exp=5777D125AC00366226 + 21311.50ns INFO [00021313] Port=0 WR @01=062A0E2A2DECFCC9CD + 21311.50ns INFO [00021313] Port=1 RD @07 + 21312.50ns INFO [00021314] * RD COMPARE * port=0 adr=04 act=A1A79B60C7602E311F exp=A1A79B60C7602E311F + 21312.50ns INFO [00021314] Port=0 RD @02 + 21312.50ns INFO [00021314] Port=1 RD @06 + 21313.50ns INFO [00021315] * RD COMPARE * port=1 adr=07 act=E7D6F12A337842F13D exp=E7D6F12A337842F13D + 21313.50ns INFO [00021315] Port=1 RD @03 + 21314.50ns INFO [00021316] * RD COMPARE * port=0 adr=02 act=D2B4F34549A37E3D9E exp=D2B4F34549A37E3D9E + 21314.50ns INFO [00021316] * RD COMPARE * port=1 adr=06 act=17DB7AB2410C3C8751 exp=17DB7AB2410C3C8751 + 21314.50ns INFO [00021316] Port=0 WR @05=86BF3AAC60B7609BF2 + 21314.50ns INFO [00021316] Port=1 RD @00 + 21315.50ns INFO [00021317] * RD COMPARE * port=1 adr=03 act=0273A0682BA55D1192 exp=0273A0682BA55D1192 + 21315.50ns INFO [00021317] Port=0 RD @03 + 21316.50ns INFO [00021318] * RD COMPARE * port=1 adr=00 act=15D3FEAB4063DCBD73 exp=15D3FEAB4063DCBD73 + 21317.50ns INFO [00021319] * RD COMPARE * port=0 adr=03 act=0273A0682BA55D1192 exp=0273A0682BA55D1192 + 21318.50ns INFO [00021320] Port=0 RD @07 + 21319.50ns INFO [00021321] Port=0 RD @07 + 21320.50ns INFO [00021322] * RD COMPARE * port=0 adr=07 act=E7D6F12A337842F13D exp=E7D6F12A337842F13D + 21320.50ns INFO [00021322] Port=0 RD @01 + 21321.50ns INFO [00021323] * RD COMPARE * port=0 adr=07 act=E7D6F12A337842F13D exp=E7D6F12A337842F13D + 21322.50ns INFO [00021324] * RD COMPARE * port=0 adr=01 act=062A0E2A2DECFCC9CD exp=062A0E2A2DECFCC9CD + 21322.50ns INFO [00021324] Port=0 WR @02=F402B23423F04B0694 + 21322.50ns INFO [00021324] Port=0 RD @07 + 21324.50ns INFO [00021326] * RD COMPARE * port=0 adr=07 act=E7D6F12A337842F13D exp=E7D6F12A337842F13D + 21324.50ns INFO [00021326] Port=1 RD @00 + 21326.50ns INFO [00021328] * RD COMPARE * port=1 adr=00 act=15D3FEAB4063DCBD73 exp=15D3FEAB4063DCBD73 + 21326.50ns INFO [00021328] Port=0 RD @03 + 21327.50ns INFO [00021329] Port=0 WR @01=D5568ED1D93228318F + 21327.50ns INFO [00021329] Port=1 RD @06 + 21328.50ns INFO [00021330] * RD COMPARE * port=0 adr=03 act=0273A0682BA55D1192 exp=0273A0682BA55D1192 + 21328.50ns INFO [00021330] Port=0 RD @07 + 21329.50ns INFO [00021331] * RD COMPARE * port=1 adr=06 act=17DB7AB2410C3C8751 exp=17DB7AB2410C3C8751 + 21329.50ns INFO [00021331] Port=0 WR @01=6D984C37721C65A9B3 + 21329.50ns INFO [00021331] Port=0 RD @07 + 21330.50ns INFO [00021332] * RD COMPARE * port=0 adr=07 act=E7D6F12A337842F13D exp=E7D6F12A337842F13D + 21330.50ns INFO [00021332] Port=1 RD @03 + 21331.50ns INFO [00021333] * RD COMPARE * port=0 adr=07 act=E7D6F12A337842F13D exp=E7D6F12A337842F13D + 21331.50ns INFO [00021333] Port=0 RD @02 + 21332.50ns INFO [00021334] * RD COMPARE * port=1 adr=03 act=0273A0682BA55D1192 exp=0273A0682BA55D1192 + 21332.50ns INFO [00021334] Port=1 RD @02 + 21333.50ns INFO [00021335] * RD COMPARE * port=0 adr=02 act=F402B23423F04B0694 exp=F402B23423F04B0694 + 21333.50ns INFO [00021335] Port=0 WR @05=C84B93C791E3B9F46A + 21333.50ns INFO [00021335] Port=0 RD @00 + 21333.50ns INFO [00021335] Port=1 RD @01 + 21334.50ns INFO [00021336] * RD COMPARE * port=1 adr=02 act=F402B23423F04B0694 exp=F402B23423F04B0694 + 21334.50ns INFO [00021336] Port=0 WR @00=AE9A4E80E353736EC9 + 21334.50ns INFO [00021336] Port=1 RD @06 + 21335.50ns INFO [00021337] * RD COMPARE * port=0 adr=00 act=15D3FEAB4063DCBD73 exp=15D3FEAB4063DCBD73 + 21335.50ns INFO [00021337] * RD COMPARE * port=1 adr=01 act=6D984C37721C65A9B3 exp=6D984C37721C65A9B3 + 21335.50ns INFO [00021337] Port=0 RD @02 + 21336.50ns INFO [00021338] * RD COMPARE * port=1 adr=06 act=17DB7AB2410C3C8751 exp=17DB7AB2410C3C8751 + 21336.50ns INFO [00021338] Port=0 WR @06=5160295E6DF00ADA6F + 21336.50ns INFO [00021338] Port=1 RD @00 + 21337.50ns INFO [00021339] * RD COMPARE * port=0 adr=02 act=F402B23423F04B0694 exp=F402B23423F04B0694 + 21337.50ns INFO [00021339] Port=0 RD @03 + 21337.50ns INFO [00021339] Port=1 RD @06 + 21338.50ns INFO [00021340] * RD COMPARE * port=1 adr=00 act=AE9A4E80E353736EC9 exp=AE9A4E80E353736EC9 + 21339.50ns INFO [00021341] * RD COMPARE * port=0 adr=03 act=0273A0682BA55D1192 exp=0273A0682BA55D1192 + 21339.50ns INFO [00021341] * RD COMPARE * port=1 adr=06 act=5160295E6DF00ADA6F exp=5160295E6DF00ADA6F + 21339.50ns INFO [00021341] Port=0 WR @07=BA0C94D7BA02916747 + 21339.50ns INFO [00021341] Port=0 RD @01 + 21340.50ns INFO [00021342] Port=0 RD @00 + 21341.50ns INFO [00021343] * RD COMPARE * port=0 adr=01 act=6D984C37721C65A9B3 exp=6D984C37721C65A9B3 + 21342.50ns INFO [00021344] * RD COMPARE * port=0 adr=00 act=AE9A4E80E353736EC9 exp=AE9A4E80E353736EC9 + 21342.50ns INFO [00021344] Port=0 RD @02 + 21342.50ns INFO [00021344] Port=1 RD @01 + 21343.50ns INFO [00021345] Port=0 WR @02=C7DDF6292713B9AB60 + 21344.50ns INFO [00021346] * RD COMPARE * port=0 adr=02 act=F402B23423F04B0694 exp=F402B23423F04B0694 + 21344.50ns INFO [00021346] * RD COMPARE * port=1 adr=01 act=6D984C37721C65A9B3 exp=6D984C37721C65A9B3 + 21344.50ns INFO [00021346] Port=0 WR @01=35EC5496AA257DC302 + 21344.50ns INFO [00021346] Port=0 RD @03 + 21345.50ns INFO [00021347] Port=0 WR @02=9FE818AD35CDC42A41 + 21345.50ns INFO [00021347] Port=1 RD @07 + 21346.50ns INFO [00021348] * RD COMPARE * port=0 adr=03 act=0273A0682BA55D1192 exp=0273A0682BA55D1192 + 21346.50ns INFO [00021348] Port=0 WR @07=74242E26F8ACD1ADD0 + 21346.50ns INFO [00021348] Port=1 RD @03 + 21347.50ns INFO [00021349] * RD COMPARE * port=1 adr=07 act=BA0C94D7BA02916747 exp=BA0C94D7BA02916747 + 21347.50ns INFO [00021349] Port=0 RD @05 + 21348.50ns INFO [00021350] * RD COMPARE * port=1 adr=03 act=0273A0682BA55D1192 exp=0273A0682BA55D1192 + 21348.50ns INFO [00021350] Port=1 RD @02 + 21349.50ns INFO [00021351] * RD COMPARE * port=0 adr=05 act=C84B93C791E3B9F46A exp=C84B93C791E3B9F46A + 21349.50ns INFO [00021351] Port=0 RD @03 + 21350.50ns INFO [00021352] * RD COMPARE * port=1 adr=02 act=9FE818AD35CDC42A41 exp=9FE818AD35CDC42A41 + 21350.50ns INFO [00021352] Port=0 RD @02 + 21351.50ns INFO [00021353] * RD COMPARE * port=0 adr=03 act=0273A0682BA55D1192 exp=0273A0682BA55D1192 + 21352.50ns INFO [00021354] * RD COMPARE * port=0 adr=02 act=9FE818AD35CDC42A41 exp=9FE818AD35CDC42A41 + 21352.50ns INFO [00021354] Port=0 WR @02=9E09F9BDB1BD23DE32 + 21353.50ns INFO [00021355] Port=1 RD @01 + 21354.50ns INFO [00021356] Port=0 WR @04=4F0B93E8CA4CAE7D8C + 21354.50ns INFO [00021356] Port=1 RD @02 + 21355.50ns INFO [00021357] * RD COMPARE * port=1 adr=01 act=35EC5496AA257DC302 exp=35EC5496AA257DC302 + 21356.50ns INFO [00021358] * RD COMPARE * port=1 adr=02 act=9E09F9BDB1BD23DE32 exp=9E09F9BDB1BD23DE32 + 21356.50ns INFO [00021358] Port=0 RD @06 + 21357.50ns INFO [00021359] Port=0 WR @02=A7E4CAA6DFBD34C5D7 + 21357.50ns INFO [00021359] Port=0 RD @06 + 21358.50ns INFO [00021360] * RD COMPARE * port=0 adr=06 act=5160295E6DF00ADA6F exp=5160295E6DF00ADA6F + 21359.50ns INFO [00021361] * RD COMPARE * port=0 adr=06 act=5160295E6DF00ADA6F exp=5160295E6DF00ADA6F + 21359.50ns INFO [00021361] Port=0 RD @00 + 21360.50ns INFO [00021362] Port=0 WR @01=621821AA7F33E42E5F + 21360.50ns INFO [00021362] Port=1 RD @06 + 21361.50ns INFO [00021363] * RD COMPARE * port=0 adr=00 act=AE9A4E80E353736EC9 exp=AE9A4E80E353736EC9 + 21361.50ns INFO [00021363] Port=0 RD @07 + 21362.50ns INFO [00021364] * RD COMPARE * port=1 adr=06 act=5160295E6DF00ADA6F exp=5160295E6DF00ADA6F + 21362.50ns INFO [00021364] Port=0 WR @00=28D4B68ABA2DB2D0F4 + 21363.50ns INFO [00021365] * RD COMPARE * port=0 adr=07 act=74242E26F8ACD1ADD0 exp=74242E26F8ACD1ADD0 + 21363.50ns INFO [00021365] Port=0 WR @04=F5CCE78012F9C7E3B7 + 21364.50ns INFO [00021366] Port=0 RD @03 + 21365.50ns INFO [00021367] Port=0 WR @05=737F126BB20EFE5F04 + 21365.50ns INFO [00021367] Port=1 RD @00 + 21366.50ns INFO [00021368] * RD COMPARE * port=0 adr=03 act=0273A0682BA55D1192 exp=0273A0682BA55D1192 + 21366.50ns INFO [00021368] Port=0 WR @04=1455C7215EB1AEBFEB + 21367.50ns INFO [00021369] * RD COMPARE * port=1 adr=00 act=28D4B68ABA2DB2D0F4 exp=28D4B68ABA2DB2D0F4 + 21367.50ns INFO [00021369] Port=1 RD @06 + 21369.50ns INFO [00021371] * RD COMPARE * port=1 adr=06 act=5160295E6DF00ADA6F exp=5160295E6DF00ADA6F + 21369.50ns INFO [00021371] Port=1 RD @06 + 21370.50ns INFO [00021372] Port=0 WR @04=C8B3FDA11B69D53A6D + 21370.50ns INFO [00021372] Port=1 RD @00 + 21371.50ns INFO [00021373] * RD COMPARE * port=1 adr=06 act=5160295E6DF00ADA6F exp=5160295E6DF00ADA6F + 21372.50ns INFO [00021374] * RD COMPARE * port=1 adr=00 act=28D4B68ABA2DB2D0F4 exp=28D4B68ABA2DB2D0F4 + 21372.50ns INFO [00021374] Port=0 WR @03=21577B0F9B5160439E + 21372.50ns INFO [00021374] Port=1 RD @04 + 21373.50ns INFO [00021375] Port=0 WR @04=DC20E1CE939686ACEC + 21374.50ns INFO [00021376] * RD COMPARE * port=1 adr=04 act=C8B3FDA11B69D53A6D exp=C8B3FDA11B69D53A6D + 21375.50ns INFO [00021377] Port=0 WR @06=D2D11BB04A03BDF623 + 21376.50ns INFO [00021378] Port=1 RD @03 + 21377.50ns INFO [00021379] Port=1 RD @05 + 21378.50ns INFO [00021380] * RD COMPARE * port=1 adr=03 act=21577B0F9B5160439E exp=21577B0F9B5160439E + 21378.50ns INFO [00021380] Port=0 WR @02=2085E40AB3667A41A0 + 21378.50ns INFO [00021380] Port=0 RD @07 + 21378.50ns INFO [00021380] Port=1 RD @06 + 21379.50ns INFO [00021381] * RD COMPARE * port=1 adr=05 act=737F126BB20EFE5F04 exp=737F126BB20EFE5F04 + 21379.50ns INFO [00021381] Port=0 WR @02=8A30510F3D95EB50DC + 21379.50ns INFO [00021381] Port=1 RD @05 + 21380.50ns INFO [00021382] * RD COMPARE * port=0 adr=07 act=74242E26F8ACD1ADD0 exp=74242E26F8ACD1ADD0 + 21380.50ns INFO [00021382] * RD COMPARE * port=1 adr=06 act=D2D11BB04A03BDF623 exp=D2D11BB04A03BDF623 + 21380.50ns INFO [00021382] Port=0 WR @05=80CFCC0094EE3BCF55 + 21380.50ns INFO [00021382] Port=0 RD @07 + 21380.50ns INFO [00021382] Port=1 RD @06 + 21381.50ns INFO [00021383] * RD COMPARE * port=1 adr=05 act=737F126BB20EFE5F04 exp=737F126BB20EFE5F04 + 21381.50ns INFO [00021383] Port=0 RD @01 + 21382.50ns INFO [00021384] * RD COMPARE * port=0 adr=07 act=74242E26F8ACD1ADD0 exp=74242E26F8ACD1ADD0 + 21382.50ns INFO [00021384] * RD COMPARE * port=1 adr=06 act=D2D11BB04A03BDF623 exp=D2D11BB04A03BDF623 + 21382.50ns INFO [00021384] Port=0 WR @07=8C27F9460BF9BBE4B6 + 21382.50ns INFO [00021384] Port=0 RD @06 + 21383.50ns INFO [00021385] * RD COMPARE * port=0 adr=01 act=621821AA7F33E42E5F exp=621821AA7F33E42E5F + 21383.50ns INFO [00021385] Port=0 WR @04=A75ED1DB429D9CBC97 + 21384.50ns INFO [00021386] * RD COMPARE * port=0 adr=06 act=D2D11BB04A03BDF623 exp=D2D11BB04A03BDF623 + 21386.50ns INFO [00021388] Port=0 WR @07=831DDF8897448DDF1B + 21386.50ns INFO [00021388] Port=0 RD @06 + 21387.50ns INFO [00021389] Port=0 WR @06=C44272DAD06479421F + 21388.50ns INFO [00021390] * RD COMPARE * port=0 adr=06 act=D2D11BB04A03BDF623 exp=D2D11BB04A03BDF623 + 21389.50ns INFO [00021391] Port=0 WR @07=8AEAF398BF7C539B3A + 21390.50ns INFO [00021392] Port=0 RD @00 + 21391.50ns INFO [00021393] Port=0 RD @04 + 21391.50ns INFO [00021393] Port=1 RD @05 + 21392.50ns INFO [00021394] * RD COMPARE * port=0 adr=00 act=28D4B68ABA2DB2D0F4 exp=28D4B68ABA2DB2D0F4 + 21393.50ns INFO [00021395] * RD COMPARE * port=0 adr=04 act=A75ED1DB429D9CBC97 exp=A75ED1DB429D9CBC97 + 21393.50ns INFO [00021395] * RD COMPARE * port=1 adr=05 act=80CFCC0094EE3BCF55 exp=80CFCC0094EE3BCF55 + 21393.50ns INFO [00021395] Port=0 WR @00=B251F5C1D0AD2A4782 + 21394.50ns INFO [00021396] Port=0 RD @02 + 21396.50ns INFO [00021398] * RD COMPARE * port=0 adr=02 act=8A30510F3D95EB50DC exp=8A30510F3D95EB50DC + 21396.50ns INFO [00021398] Port=0 RD @01 + 21397.50ns INFO [00021399] Port=0 WR @02=0D1CB64470E28103B9 + 21397.50ns INFO [00021399] Port=1 RD @04 + 21398.00ns INFO [00021400] [00021400] ...tick... + 21398.50ns INFO [00021400] * RD COMPARE * port=0 adr=01 act=621821AA7F33E42E5F exp=621821AA7F33E42E5F + 21398.50ns INFO [00021400] Port=0 RD @02 + 21399.50ns INFO [00021401] * RD COMPARE * port=1 adr=04 act=A75ED1DB429D9CBC97 exp=A75ED1DB429D9CBC97 + 21399.50ns INFO [00021401] Port=1 RD @00 + 21400.50ns INFO [00021402] * RD COMPARE * port=0 adr=02 act=0D1CB64470E28103B9 exp=0D1CB64470E28103B9 + 21400.50ns INFO [00021402] Port=0 WR @00=B9F7358E143676C88C + 21400.50ns INFO [00021402] Port=1 RD @03 + 21401.50ns INFO [00021403] * RD COMPARE * port=1 adr=00 act=B251F5C1D0AD2A4782 exp=B251F5C1D0AD2A4782 + 21401.50ns INFO [00021403] Port=1 RD @07 + 21402.50ns INFO [00021404] * RD COMPARE * port=1 adr=03 act=21577B0F9B5160439E exp=21577B0F9B5160439E + 21402.50ns INFO [00021404] Port=0 RD @00 + 21403.50ns INFO [00021405] * RD COMPARE * port=1 adr=07 act=8AEAF398BF7C539B3A exp=8AEAF398BF7C539B3A + 21403.50ns INFO [00021405] Port=1 RD @06 + 21404.50ns INFO [00021406] * RD COMPARE * port=0 adr=00 act=B9F7358E143676C88C exp=B9F7358E143676C88C + 21405.50ns INFO [00021407] * RD COMPARE * port=1 adr=06 act=C44272DAD06479421F exp=C44272DAD06479421F + 21405.50ns INFO [00021407] Port=0 RD @05 + 21406.50ns INFO [00021408] Port=0 WR @01=0FF93D7BE020B2CB30 + 21406.50ns INFO [00021408] Port=0 RD @06 + 21406.50ns INFO [00021408] Port=1 RD @07 + 21407.50ns INFO [00021409] * RD COMPARE * port=0 adr=05 act=80CFCC0094EE3BCF55 exp=80CFCC0094EE3BCF55 + 21408.50ns INFO [00021410] * RD COMPARE * port=0 adr=06 act=C44272DAD06479421F exp=C44272DAD06479421F + 21408.50ns INFO [00021410] * RD COMPARE * port=1 adr=07 act=8AEAF398BF7C539B3A exp=8AEAF398BF7C539B3A + 21410.50ns INFO [00021412] Port=0 RD @04 + 21411.50ns INFO [00021413] Port=0 RD @00 + 21412.50ns INFO [00021414] * RD COMPARE * port=0 adr=04 act=A75ED1DB429D9CBC97 exp=A75ED1DB429D9CBC97 + 21413.50ns INFO [00021415] * RD COMPARE * port=0 adr=00 act=B9F7358E143676C88C exp=B9F7358E143676C88C + 21413.50ns INFO [00021415] Port=0 WR @06=0B56EBBE0984093451 + 21413.50ns INFO [00021415] Port=1 RD @03 + 21414.50ns INFO [00021416] Port=0 WR @02=01C1C42F1B9286F9D9 + 21415.50ns INFO [00021417] * RD COMPARE * port=1 adr=03 act=21577B0F9B5160439E exp=21577B0F9B5160439E + 21415.50ns INFO [00021417] Port=1 RD @05 + 21416.50ns INFO [00021418] Port=0 WR @06=1EF1E3F50976299C6F + 21416.50ns INFO [00021418] Port=1 RD @05 + 21417.50ns INFO [00021419] * RD COMPARE * port=1 adr=05 act=80CFCC0094EE3BCF55 exp=80CFCC0094EE3BCF55 + 21418.50ns INFO [00021420] * RD COMPARE * port=1 adr=05 act=80CFCC0094EE3BCF55 exp=80CFCC0094EE3BCF55 + 21418.50ns INFO [00021420] Port=0 WR @01=CBD56E686116F84F35 + 21421.50ns INFO [00021423] Port=0 WR @00=14DF85D3C75D0D69DB + 21421.50ns INFO [00021423] Port=0 RD @04 + 21422.50ns INFO [00021424] Port=0 WR @02=F6A2FC9BF41E001D78 + 21423.50ns INFO [00021425] * RD COMPARE * port=0 adr=04 act=A75ED1DB429D9CBC97 exp=A75ED1DB429D9CBC97 + 21423.50ns INFO [00021425] Port=1 RD @01 + 21424.50ns INFO [00021426] Port=0 WR @03=79744715038AF88A95 + 21425.50ns INFO [00021427] * RD COMPARE * port=1 adr=01 act=CBD56E686116F84F35 exp=CBD56E686116F84F35 + 21426.50ns INFO [00021428] Port=0 RD @06 + 21426.50ns INFO [00021428] Port=1 RD @02 + 21428.50ns INFO [00021430] * RD COMPARE * port=0 adr=06 act=1EF1E3F50976299C6F exp=1EF1E3F50976299C6F + 21428.50ns INFO [00021430] * RD COMPARE * port=1 adr=02 act=F6A2FC9BF41E001D78 exp=F6A2FC9BF41E001D78 + 21428.50ns INFO [00021430] Port=0 WR @02=775FF93CD9CB3F4D28 + 21430.50ns INFO [00021432] Port=0 RD @07 + 21431.50ns INFO [00021433] Port=1 RD @03 + 21432.50ns INFO [00021434] * RD COMPARE * port=0 adr=07 act=8AEAF398BF7C539B3A exp=8AEAF398BF7C539B3A + 21432.50ns INFO [00021434] Port=0 WR @07=132588F29FAF72D582 + 21432.50ns INFO [00021434] Port=1 RD @02 + 21433.50ns INFO [00021435] * RD COMPARE * port=1 adr=03 act=79744715038AF88A95 exp=79744715038AF88A95 + 21433.50ns INFO [00021435] Port=0 WR @04=6B3252346480D9D4BC + 21433.50ns INFO [00021435] Port=0 RD @02 + 21434.50ns INFO [00021436] * RD COMPARE * port=1 adr=02 act=775FF93CD9CB3F4D28 exp=775FF93CD9CB3F4D28 + 21435.50ns INFO [00021437] * RD COMPARE * port=0 adr=02 act=775FF93CD9CB3F4D28 exp=775FF93CD9CB3F4D28 + 21435.50ns INFO [00021437] Port=0 WR @02=986D52FA1749606214 + 21436.50ns INFO [00021438] Port=0 WR @05=BC220767F464D8D3D0 + 21437.50ns INFO [00021439] Port=0 RD @07 + 21438.50ns INFO [00021440] Port=0 RD @06 + 21438.50ns INFO [00021440] Port=1 RD @00 + 21439.50ns INFO [00021441] * RD COMPARE * port=0 adr=07 act=132588F29FAF72D582 exp=132588F29FAF72D582 + 21439.50ns INFO [00021441] Port=0 RD @04 + 21440.50ns INFO [00021442] * RD COMPARE * port=0 adr=06 act=1EF1E3F50976299C6F exp=1EF1E3F50976299C6F + 21440.50ns INFO [00021442] * RD COMPARE * port=1 adr=00 act=14DF85D3C75D0D69DB exp=14DF85D3C75D0D69DB + 21440.50ns INFO [00021442] Port=0 WR @04=9B31DC588CB449A4C1 + 21441.50ns INFO [00021443] * RD COMPARE * port=0 adr=04 act=6B3252346480D9D4BC exp=6B3252346480D9D4BC + 21441.50ns INFO [00021443] Port=0 WR @04=12B16534863C7B7530 + 21442.50ns INFO [00021444] Port=0 RD @04 + 21442.50ns INFO [00021444] Port=1 RD @05 + 21443.50ns INFO [00021445] Port=1 RD @07 + 21444.50ns INFO [00021446] * RD COMPARE * port=0 adr=04 act=12B16534863C7B7530 exp=12B16534863C7B7530 + 21444.50ns INFO [00021446] * RD COMPARE * port=1 adr=05 act=BC220767F464D8D3D0 exp=BC220767F464D8D3D0 + 21445.50ns INFO [00021447] * RD COMPARE * port=1 adr=07 act=132588F29FAF72D582 exp=132588F29FAF72D582 + 21445.50ns INFO [00021447] Port=0 WR @01=E4524DE355E7C6C4F0 + 21446.50ns INFO [00021448] Port=0 WR @00=DE7996B3F5FCA17D89 + 21446.50ns INFO [00021448] Port=0 RD @01 + 21447.50ns INFO [00021449] Port=1 RD @04 + 21448.50ns INFO [00021450] * RD COMPARE * port=0 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21448.50ns INFO [00021450] Port=1 RD @01 + 21449.50ns INFO [00021451] * RD COMPARE * port=1 adr=04 act=12B16534863C7B7530 exp=12B16534863C7B7530 + 21450.50ns INFO [00021452] * RD COMPARE * port=1 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21450.50ns INFO [00021452] Port=0 WR @00=25C430113E57E4B7CB + 21451.50ns INFO [00021453] Port=0 WR @05=07EA08EBC786C3E253 + 21451.50ns INFO [00021453] Port=0 RD @01 + 21452.50ns INFO [00021454] Port=0 WR @03=972B8F66B457D7651D + 21452.50ns INFO [00021454] Port=1 RD @07 + 21453.50ns INFO [00021455] * RD COMPARE * port=0 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21453.50ns INFO [00021455] Port=0 WR @04=6C4CCA2C8418B39B33 + 21454.50ns INFO [00021456] * RD COMPARE * port=1 adr=07 act=132588F29FAF72D582 exp=132588F29FAF72D582 + 21454.50ns INFO [00021456] Port=0 RD @01 + 21456.50ns INFO [00021458] * RD COMPARE * port=0 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21457.50ns INFO [00021459] Port=0 WR @06=D9FF10602C4DB3EAC0 + 21457.50ns INFO [00021459] Port=0 RD @04 + 21458.50ns INFO [00021460] Port=0 WR @04=25566A006215FBD11C + 21458.50ns INFO [00021460] Port=1 RD @05 + 21459.50ns INFO [00021461] * RD COMPARE * port=0 adr=04 act=6C4CCA2C8418B39B33 exp=6C4CCA2C8418B39B33 + 21459.50ns INFO [00021461] Port=0 WR @07=30AFAF3D171AFC61D1 + 21459.50ns INFO [00021461] Port=1 RD @01 + 21460.50ns INFO [00021462] * RD COMPARE * port=1 adr=05 act=07EA08EBC786C3E253 exp=07EA08EBC786C3E253 + 21461.50ns INFO [00021463] * RD COMPARE * port=1 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21461.50ns INFO [00021463] Port=0 WR @02=F3DD49AB453EA8FA78 + 21461.50ns INFO [00021463] Port=0 RD @04 + 21462.50ns INFO [00021464] Port=0 RD @02 + 21462.50ns INFO [00021464] Port=1 RD @03 + 21463.50ns INFO [00021465] * RD COMPARE * port=0 adr=04 act=25566A006215FBD11C exp=25566A006215FBD11C + 21463.50ns INFO [00021465] Port=0 RD @02 + 21464.50ns INFO [00021466] * RD COMPARE * port=0 adr=02 act=F3DD49AB453EA8FA78 exp=F3DD49AB453EA8FA78 + 21464.50ns INFO [00021466] * RD COMPARE * port=1 adr=03 act=972B8F66B457D7651D exp=972B8F66B457D7651D + 21465.50ns INFO [00021467] * RD COMPARE * port=0 adr=02 act=F3DD49AB453EA8FA78 exp=F3DD49AB453EA8FA78 + 21465.50ns INFO [00021467] Port=1 RD @02 + 21466.50ns INFO [00021468] Port=0 RD @01 + 21466.50ns INFO [00021468] Port=1 RD @02 + 21467.50ns INFO [00021469] * RD COMPARE * port=1 adr=02 act=F3DD49AB453EA8FA78 exp=F3DD49AB453EA8FA78 + 21467.50ns INFO [00021469] Port=0 RD @03 + 21467.50ns INFO [00021469] Port=1 RD @01 + 21468.50ns INFO [00021470] * RD COMPARE * port=0 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21468.50ns INFO [00021470] * RD COMPARE * port=1 adr=02 act=F3DD49AB453EA8FA78 exp=F3DD49AB453EA8FA78 + 21468.50ns INFO [00021470] Port=0 WR @02=A6F567FEFD9B5E0D2A + 21469.50ns INFO [00021471] * RD COMPARE * port=0 adr=03 act=972B8F66B457D7651D exp=972B8F66B457D7651D + 21469.50ns INFO [00021471] * RD COMPARE * port=1 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21469.50ns INFO [00021471] Port=0 RD @02 + 21469.50ns INFO [00021471] Port=1 RD @02 + 21471.50ns INFO [00021473] * RD COMPARE * port=0 adr=02 act=A6F567FEFD9B5E0D2A exp=A6F567FEFD9B5E0D2A + 21471.50ns INFO [00021473] * RD COMPARE * port=1 adr=02 act=A6F567FEFD9B5E0D2A exp=A6F567FEFD9B5E0D2A + 21471.50ns INFO [00021473] Port=0 RD @01 + 21471.50ns INFO [00021473] Port=1 RD @01 + 21472.50ns INFO [00021474] Port=0 WR @00=B719BC4B24615E2A7B + 21473.50ns INFO [00021475] * RD COMPARE * port=0 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21473.50ns INFO [00021475] * RD COMPARE * port=1 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21473.50ns INFO [00021475] Port=0 RD @06 + 21474.50ns INFO [00021476] Port=0 WR @06=6AD10A6B07A6AD4EB7 + 21474.50ns INFO [00021476] Port=0 RD @01 + 21474.50ns INFO [00021476] Port=1 RD @04 + 21475.50ns INFO [00021477] * RD COMPARE * port=0 adr=06 act=D9FF10602C4DB3EAC0 exp=D9FF10602C4DB3EAC0 + 21475.50ns INFO [00021477] Port=0 WR @06=9836C6C9CEA2EF227B + 21475.50ns INFO [00021477] Port=0 RD @02 + 21475.50ns INFO [00021477] Port=1 RD @01 + 21476.50ns INFO [00021478] * RD COMPARE * port=0 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21476.50ns INFO [00021478] * RD COMPARE * port=1 adr=04 act=25566A006215FBD11C exp=25566A006215FBD11C + 21476.50ns INFO [00021478] Port=0 RD @05 + 21476.50ns INFO [00021478] Port=1 RD @04 + 21477.50ns INFO [00021479] * RD COMPARE * port=0 adr=02 act=A6F567FEFD9B5E0D2A exp=A6F567FEFD9B5E0D2A + 21477.50ns INFO [00021479] * RD COMPARE * port=1 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21477.50ns INFO [00021479] Port=0 WR @00=4F754E39C4981ED5E7 + 21477.50ns INFO [00021479] Port=1 RD @04 + 21478.50ns INFO [00021480] * RD COMPARE * port=0 adr=05 act=07EA08EBC786C3E253 exp=07EA08EBC786C3E253 + 21478.50ns INFO [00021480] * RD COMPARE * port=1 adr=04 act=25566A006215FBD11C exp=25566A006215FBD11C + 21478.50ns INFO [00021480] Port=0 RD @01 + 21479.50ns INFO [00021481] * RD COMPARE * port=1 adr=04 act=25566A006215FBD11C exp=25566A006215FBD11C + 21479.50ns INFO [00021481] Port=0 WR @05=8DBC5CB00ECE1D7B82 + 21480.50ns INFO [00021482] * RD COMPARE * port=0 adr=01 act=E4524DE355E7C6C4F0 exp=E4524DE355E7C6C4F0 + 21480.50ns INFO [00021482] Port=0 WR @03=AF4202647037688A9A + 21480.50ns INFO [00021482] Port=0 RD @02 + 21481.50ns INFO [00021483] Port=0 WR @01=97A81331A92FA9281F + 21482.50ns INFO [00021484] * RD COMPARE * port=0 adr=02 act=A6F567FEFD9B5E0D2A exp=A6F567FEFD9B5E0D2A + 21482.50ns INFO [00021484] Port=0 WR @07=C04749EE18BA79C47B + 21482.50ns INFO [00021484] Port=1 RD @00 + 21483.50ns INFO [00021485] Port=1 RD @00 + 21484.50ns INFO [00021486] * RD COMPARE * port=1 adr=00 act=4F754E39C4981ED5E7 exp=4F754E39C4981ED5E7 + 21484.50ns INFO [00021486] Port=1 RD @01 + 21485.50ns INFO [00021487] * RD COMPARE * port=1 adr=00 act=4F754E39C4981ED5E7 exp=4F754E39C4981ED5E7 + 21485.50ns INFO [00021487] Port=0 RD @01 + 21485.50ns INFO [00021487] Port=1 RD @00 + 21486.50ns INFO [00021488] * RD COMPARE * port=1 adr=01 act=97A81331A92FA9281F exp=97A81331A92FA9281F + 21486.50ns INFO [00021488] Port=1 RD @06 + 21487.50ns INFO [00021489] * RD COMPARE * port=0 adr=01 act=97A81331A92FA9281F exp=97A81331A92FA9281F + 21487.50ns INFO [00021489] * RD COMPARE * port=1 adr=00 act=4F754E39C4981ED5E7 exp=4F754E39C4981ED5E7 + 21487.50ns INFO [00021489] Port=0 RD @04 + 21488.50ns INFO [00021490] * RD COMPARE * port=1 adr=06 act=9836C6C9CEA2EF227B exp=9836C6C9CEA2EF227B + 21488.50ns INFO [00021490] Port=0 WR @07=B6FC05D4ECBBEEAB87 + 21488.50ns INFO [00021490] Port=0 RD @05 + 21489.50ns INFO [00021491] * RD COMPARE * port=0 adr=04 act=25566A006215FBD11C exp=25566A006215FBD11C + 21489.50ns INFO [00021491] Port=0 RD @00 + 21490.50ns INFO [00021492] * RD COMPARE * port=0 adr=05 act=8DBC5CB00ECE1D7B82 exp=8DBC5CB00ECE1D7B82 + 21490.50ns INFO [00021492] Port=1 RD @02 + 21491.50ns INFO [00021493] * RD COMPARE * port=0 adr=00 act=4F754E39C4981ED5E7 exp=4F754E39C4981ED5E7 + 21491.50ns INFO [00021493] Port=0 WR @04=65F3C73193F1C50623 + 21491.50ns INFO [00021493] Port=0 RD @02 + 21491.50ns INFO [00021493] Port=1 RD @01 + 21492.50ns INFO [00021494] * RD COMPARE * port=1 adr=02 act=A6F567FEFD9B5E0D2A exp=A6F567FEFD9B5E0D2A + 21492.50ns INFO [00021494] Port=0 RD @02 + 21493.50ns INFO [00021495] * RD COMPARE * port=0 adr=02 act=A6F567FEFD9B5E0D2A exp=A6F567FEFD9B5E0D2A + 21493.50ns INFO [00021495] * RD COMPARE * port=1 adr=01 act=97A81331A92FA9281F exp=97A81331A92FA9281F + 21494.50ns INFO [00021496] * RD COMPARE * port=0 adr=02 act=A6F567FEFD9B5E0D2A exp=A6F567FEFD9B5E0D2A + 21495.50ns INFO [00021497] Port=0 WR @01=6AC36869103E171E8A + 21497.50ns INFO [00021499] Port=0 RD @07 + 21498.00ns INFO [00021500] [00021500] ...tick... + 21498.50ns INFO [00021500] Port=0 WR @00=15EFE53AEA15521469 + 21499.50ns INFO [00021501] * RD COMPARE * port=0 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21499.50ns INFO [00021501] Port=0 WR @00=2E94FE2607B5290E96 + 21499.50ns INFO [00021501] Port=0 RD @05 + 21499.50ns INFO [00021501] Port=1 RD @05 + 21500.50ns INFO [00021502] Port=0 RD @07 + 21500.50ns INFO [00021502] Port=1 RD @06 + 21501.50ns INFO [00021503] * RD COMPARE * port=0 adr=05 act=8DBC5CB00ECE1D7B82 exp=8DBC5CB00ECE1D7B82 + 21501.50ns INFO [00021503] * RD COMPARE * port=1 adr=05 act=8DBC5CB00ECE1D7B82 exp=8DBC5CB00ECE1D7B82 + 21502.50ns INFO [00021504] * RD COMPARE * port=0 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21502.50ns INFO [00021504] * RD COMPARE * port=1 adr=06 act=9836C6C9CEA2EF227B exp=9836C6C9CEA2EF227B + 21502.50ns INFO [00021504] Port=0 WR @00=432817D4886EA28690 + 21502.50ns INFO [00021504] Port=0 RD @07 + 21502.50ns INFO [00021504] Port=1 RD @07 + 21504.50ns INFO [00021506] * RD COMPARE * port=0 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21504.50ns INFO [00021506] * RD COMPARE * port=1 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21504.50ns INFO [00021506] Port=0 WR @00=15B1FBA54230F5888D + 21505.50ns INFO [00021507] Port=0 WR @03=619026F67704A06122 + 21505.50ns INFO [00021507] Port=0 RD @07 + 21506.50ns INFO [00021508] Port=0 WR @05=5CE1848707CE33FEC5 + 21506.50ns INFO [00021508] Port=0 RD @00 + 21507.50ns INFO [00021509] * RD COMPARE * port=0 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21507.50ns INFO [00021509] Port=0 WR @05=70D6D06804C510D98A + 21508.50ns INFO [00021510] * RD COMPARE * port=0 adr=00 act=15B1FBA54230F5888D exp=15B1FBA54230F5888D + 21508.50ns INFO [00021510] Port=0 WR @06=F581F46810CAE04A7F + 21509.50ns INFO [00021511] Port=0 RD @01 + 21509.50ns INFO [00021511] Port=1 RD @04 + 21511.50ns INFO [00021513] * RD COMPARE * port=0 adr=01 act=6AC36869103E171E8A exp=6AC36869103E171E8A + 21511.50ns INFO [00021513] * RD COMPARE * port=1 adr=04 act=65F3C73193F1C50623 exp=65F3C73193F1C50623 + 21511.50ns INFO [00021513] Port=0 WR @03=C7C7B3A1D7D16B0E8F + 21512.50ns INFO [00021514] Port=0 RD @01 + 21513.50ns INFO [00021515] Port=0 RD @07 + 21513.50ns INFO [00021515] Port=1 RD @05 + 21514.50ns INFO [00021516] * RD COMPARE * port=0 adr=01 act=6AC36869103E171E8A exp=6AC36869103E171E8A + 21514.50ns INFO [00021516] Port=1 RD @02 + 21515.50ns INFO [00021517] * RD COMPARE * port=0 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21515.50ns INFO [00021517] * RD COMPARE * port=1 adr=05 act=70D6D06804C510D98A exp=70D6D06804C510D98A + 21516.50ns INFO [00021518] * RD COMPARE * port=1 adr=02 act=A6F567FEFD9B5E0D2A exp=A6F567FEFD9B5E0D2A + 21518.50ns INFO [00021520] Port=0 WR @02=A80CA4055F7D322E0B + 21518.50ns INFO [00021520] Port=1 RD @07 + 21519.50ns INFO [00021521] Port=0 WR @00=8C426534AA426CB0D0 + 21520.50ns INFO [00021522] * RD COMPARE * port=1 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21521.50ns INFO [00021523] Port=0 RD @07 + 21522.50ns INFO [00021524] Port=0 RD @05 + 21523.50ns INFO [00021525] * RD COMPARE * port=0 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21523.50ns INFO [00021525] Port=0 RD @07 + 21524.50ns INFO [00021526] * RD COMPARE * port=0 adr=05 act=70D6D06804C510D98A exp=70D6D06804C510D98A + 21524.50ns INFO [00021526] Port=0 WR @02=4272523A31161C4381 + 21525.50ns INFO [00021527] * RD COMPARE * port=0 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21525.50ns INFO [00021527] Port=0 WR @05=61643F39D7877D945E + 21525.50ns INFO [00021527] Port=0 RD @07 + 21525.50ns INFO [00021527] Port=1 RD @07 + 21527.50ns INFO [00021529] * RD COMPARE * port=0 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21527.50ns INFO [00021529] * RD COMPARE * port=1 adr=07 act=B6FC05D4ECBBEEAB87 exp=B6FC05D4ECBBEEAB87 + 21527.50ns INFO [00021529] Port=0 RD @01 + 21528.50ns INFO [00021530] Port=0 WR @04=47C9AC6AFD0DCAEBB6 + 21528.50ns INFO [00021530] Port=0 RD @02 + 21529.50ns INFO [00021531] * RD COMPARE * port=0 adr=01 act=6AC36869103E171E8A exp=6AC36869103E171E8A + 21529.50ns INFO [00021531] Port=0 WR @03=1AB996BC780F816A97 + 21530.50ns INFO [00021532] * RD COMPARE * port=0 adr=02 act=4272523A31161C4381 exp=4272523A31161C4381 + 21532.50ns INFO [00021534] Port=0 WR @01=33C83D89FE4263E950 + 21532.50ns INFO [00021534] Port=1 RD @05 + 21533.50ns INFO [00021535] Port=1 RD @03 + 21534.50ns INFO [00021536] * RD COMPARE * port=1 adr=05 act=61643F39D7877D945E exp=61643F39D7877D945E + 21535.50ns INFO [00021537] * RD COMPARE * port=1 adr=03 act=1AB996BC780F816A97 exp=1AB996BC780F816A97 + 21535.50ns INFO [00021537] Port=0 WR @00=439C945CFC9752BEED + 21536.50ns INFO [00021538] Port=1 RD @04 + 21538.50ns INFO [00021540] * RD COMPARE * port=1 adr=04 act=47C9AC6AFD0DCAEBB6 exp=47C9AC6AFD0DCAEBB6 + 21538.50ns INFO [00021540] Port=0 WR @04=D5ED0DB923E8A47F14 + 21538.50ns INFO [00021540] Port=0 RD @01 + 21539.50ns INFO [00021541] Port=1 RD @03 + 21540.50ns INFO [00021542] * RD COMPARE * port=0 adr=01 act=33C83D89FE4263E950 exp=33C83D89FE4263E950 + 21541.50ns INFO [00021543] * RD COMPARE * port=1 adr=03 act=1AB996BC780F816A97 exp=1AB996BC780F816A97 + 21541.50ns INFO [00021543] Port=0 WR @07=F3497FAF5540310CD2 + 21541.50ns INFO [00021543] Port=1 RD @03 + 21542.50ns INFO [00021544] Port=0 RD @07 + 21543.50ns INFO [00021545] * RD COMPARE * port=1 adr=03 act=1AB996BC780F816A97 exp=1AB996BC780F816A97 + 21544.50ns INFO [00021546] * RD COMPARE * port=0 adr=07 act=F3497FAF5540310CD2 exp=F3497FAF5540310CD2 + 21544.50ns INFO [00021546] Port=0 WR @04=43E313F0178F92232A + 21545.50ns INFO [00021547] Port=0 WR @02=D60DF412A376D72AB9 + 21545.50ns INFO [00021547] Port=0 RD @03 + 21547.50ns INFO [00021549] * RD COMPARE * port=0 adr=03 act=1AB996BC780F816A97 exp=1AB996BC780F816A97 + 21547.50ns INFO [00021549] Port=1 RD @00 + 21549.50ns INFO [00021551] * RD COMPARE * port=1 adr=00 act=439C945CFC9752BEED exp=439C945CFC9752BEED + 21549.50ns INFO [00021551] Port=0 WR @06=789D60E367BBA520D9 + 21549.50ns INFO [00021551] Port=0 RD @03 + 21551.50ns INFO [00021553] * RD COMPARE * port=0 adr=03 act=1AB996BC780F816A97 exp=1AB996BC780F816A97 + 21551.50ns INFO [00021553] Port=0 WR @00=ACDA31B49413DDAC0A + 21552.50ns INFO [00021554] Port=0 WR @01=ADBE76AB938D28DB35 + 21552.50ns INFO [00021554] Port=0 RD @07 + 21552.50ns INFO [00021554] Port=1 RD @05 + 21553.50ns INFO [00021555] Port=1 RD @07 + 21554.50ns INFO [00021556] * RD COMPARE * port=0 adr=07 act=F3497FAF5540310CD2 exp=F3497FAF5540310CD2 + 21554.50ns INFO [00021556] * RD COMPARE * port=1 adr=05 act=61643F39D7877D945E exp=61643F39D7877D945E + 21554.50ns INFO [00021556] Port=1 RD @04 + 21555.50ns INFO [00021557] * RD COMPARE * port=1 adr=07 act=F3497FAF5540310CD2 exp=F3497FAF5540310CD2 + 21555.50ns INFO [00021557] Port=0 RD @05 + 21555.50ns INFO [00021557] Port=1 RD @01 + 21556.50ns INFO [00021558] * RD COMPARE * port=1 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21557.50ns INFO [00021559] * RD COMPARE * port=0 adr=05 act=61643F39D7877D945E exp=61643F39D7877D945E + 21557.50ns INFO [00021559] * RD COMPARE * port=1 adr=01 act=ADBE76AB938D28DB35 exp=ADBE76AB938D28DB35 + 21557.50ns INFO [00021559] Port=0 WR @07=8197AAD400122149B3 + 21557.50ns INFO [00021559] Port=1 RD @00 + 21558.50ns INFO [00021560] Port=0 RD @06 + 21559.50ns INFO [00021561] * RD COMPARE * port=1 adr=00 act=ACDA31B49413DDAC0A exp=ACDA31B49413DDAC0A + 21559.50ns INFO [00021561] Port=0 WR @02=7D9B11F3B3F6A20E34 + 21559.50ns INFO [00021561] Port=1 RD @04 + 21560.50ns INFO [00021562] * RD COMPARE * port=0 adr=06 act=789D60E367BBA520D9 exp=789D60E367BBA520D9 + 21560.50ns INFO [00021562] Port=0 WR @03=523D7620418F0F427B + 21561.50ns INFO [00021563] * RD COMPARE * port=1 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21561.50ns INFO [00021563] Port=0 RD @07 + 21562.50ns INFO [00021564] Port=0 WR @07=05609B0D77EE71542D + 21562.50ns INFO [00021564] Port=0 RD @02 + 21562.50ns INFO [00021564] Port=1 RD @05 + 21563.50ns INFO [00021565] * RD COMPARE * port=0 adr=07 act=8197AAD400122149B3 exp=8197AAD400122149B3 + 21563.50ns INFO [00021565] Port=1 RD @02 + 21564.50ns INFO [00021566] * RD COMPARE * port=0 adr=02 act=7D9B11F3B3F6A20E34 exp=7D9B11F3B3F6A20E34 + 21564.50ns INFO [00021566] * RD COMPARE * port=1 adr=05 act=61643F39D7877D945E exp=61643F39D7877D945E + 21564.50ns INFO [00021566] Port=0 WR @06=F5F5F6C790B33A2BB1 + 21564.50ns INFO [00021566] Port=0 RD @03 + 21565.50ns INFO [00021567] * RD COMPARE * port=1 adr=02 act=7D9B11F3B3F6A20E34 exp=7D9B11F3B3F6A20E34 + 21565.50ns INFO [00021567] Port=0 WR @05=4C31C280A4E909AE29 + 21565.50ns INFO [00021567] Port=1 RD @04 + 21566.50ns INFO [00021568] * RD COMPARE * port=0 adr=03 act=523D7620418F0F427B exp=523D7620418F0F427B + 21567.50ns INFO [00021569] * RD COMPARE * port=1 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21567.50ns INFO [00021569] Port=0 RD @05 + 21568.50ns INFO [00021570] Port=1 RD @03 + 21569.50ns INFO [00021571] * RD COMPARE * port=0 adr=05 act=4C31C280A4E909AE29 exp=4C31C280A4E909AE29 + 21569.50ns INFO [00021571] Port=1 RD @07 + 21570.50ns INFO [00021572] * RD COMPARE * port=1 adr=03 act=523D7620418F0F427B exp=523D7620418F0F427B + 21570.50ns INFO [00021572] Port=1 RD @05 + 21571.50ns INFO [00021573] * RD COMPARE * port=1 adr=07 act=05609B0D77EE71542D exp=05609B0D77EE71542D + 21572.50ns INFO [00021574] * RD COMPARE * port=1 adr=05 act=4C31C280A4E909AE29 exp=4C31C280A4E909AE29 + 21572.50ns INFO [00021574] Port=0 WR @03=4583DDE2A2740263EE + 21572.50ns INFO [00021574] Port=1 RD @01 + 21573.50ns INFO [00021575] Port=0 WR @05=B5E416FEAFB1BC0B1E + 21574.50ns INFO [00021576] * RD COMPARE * port=1 adr=01 act=ADBE76AB938D28DB35 exp=ADBE76AB938D28DB35 + 21574.50ns INFO [00021576] Port=0 RD @02 + 21574.50ns INFO [00021576] Port=1 RD @04 + 21576.50ns INFO [00021578] * RD COMPARE * port=0 adr=02 act=7D9B11F3B3F6A20E34 exp=7D9B11F3B3F6A20E34 + 21576.50ns INFO [00021578] * RD COMPARE * port=1 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21577.50ns INFO [00021579] Port=0 RD @03 + 21577.50ns INFO [00021579] Port=1 RD @07 + 21579.50ns INFO [00021581] * RD COMPARE * port=0 adr=03 act=4583DDE2A2740263EE exp=4583DDE2A2740263EE + 21579.50ns INFO [00021581] * RD COMPARE * port=1 adr=07 act=05609B0D77EE71542D exp=05609B0D77EE71542D + 21580.50ns INFO [00021582] Port=0 WR @05=FDBC77711E70AB3E77 + 21580.50ns INFO [00021582] Port=0 RD @00 + 21581.50ns INFO [00021583] Port=0 WR @03=692E112DDD25E62F15 + 21581.50ns INFO [00021583] Port=0 RD @05 + 21582.50ns INFO [00021584] * RD COMPARE * port=0 adr=00 act=ACDA31B49413DDAC0A exp=ACDA31B49413DDAC0A + 21582.50ns INFO [00021584] Port=0 RD @04 + 21583.50ns INFO [00021585] * RD COMPARE * port=0 adr=05 act=FDBC77711E70AB3E77 exp=FDBC77711E70AB3E77 + 21583.50ns INFO [00021585] Port=0 WR @01=4D41DECFF0666CA8FB + 21583.50ns INFO [00021585] Port=0 RD @07 + 21584.50ns INFO [00021586] * RD COMPARE * port=0 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21584.50ns INFO [00021586] Port=0 RD @07 + 21584.50ns INFO [00021586] Port=1 RD @06 + 21585.50ns INFO [00021587] * RD COMPARE * port=0 adr=07 act=05609B0D77EE71542D exp=05609B0D77EE71542D + 21585.50ns INFO [00021587] Port=0 WR @02=6AC59A0854238DAEC6 + 21586.50ns INFO [00021588] * RD COMPARE * port=0 adr=07 act=05609B0D77EE71542D exp=05609B0D77EE71542D + 21586.50ns INFO [00021588] * RD COMPARE * port=1 adr=06 act=F5F5F6C790B33A2BB1 exp=F5F5F6C790B33A2BB1 + 21586.50ns INFO [00021588] Port=0 RD @02 + 21587.50ns INFO [00021589] Port=0 RD @05 + 21588.50ns INFO [00021590] * RD COMPARE * port=0 adr=02 act=6AC59A0854238DAEC6 exp=6AC59A0854238DAEC6 + 21588.50ns INFO [00021590] Port=0 WR @07=506579266F4E850322 + 21588.50ns INFO [00021590] Port=0 RD @02 + 21589.50ns INFO [00021591] * RD COMPARE * port=0 adr=05 act=FDBC77711E70AB3E77 exp=FDBC77711E70AB3E77 + 21589.50ns INFO [00021591] Port=0 WR @06=027566544ED54EBE0D + 21590.50ns INFO [00021592] * RD COMPARE * port=0 adr=02 act=6AC59A0854238DAEC6 exp=6AC59A0854238DAEC6 + 21590.50ns INFO [00021592] Port=1 RD @04 + 21591.50ns INFO [00021593] Port=0 RD @04 + 21592.50ns INFO [00021594] * RD COMPARE * port=1 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21593.50ns INFO [00021595] * RD COMPARE * port=0 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21593.50ns INFO [00021595] Port=0 WR @01=4BF686B434ECC2A26C + 21593.50ns INFO [00021595] Port=0 RD @03 + 21594.50ns INFO [00021596] Port=0 RD @01 + 21594.50ns INFO [00021596] Port=1 RD @02 + 21595.50ns INFO [00021597] * RD COMPARE * port=0 adr=03 act=692E112DDD25E62F15 exp=692E112DDD25E62F15 + 21595.50ns INFO [00021597] Port=0 WR @06=1235C5D8096B5AF210 + 21595.50ns INFO [00021597] Port=0 RD @07 + 21596.50ns INFO [00021598] * RD COMPARE * port=0 adr=01 act=4BF686B434ECC2A26C exp=4BF686B434ECC2A26C + 21596.50ns INFO [00021598] * RD COMPARE * port=1 adr=02 act=6AC59A0854238DAEC6 exp=6AC59A0854238DAEC6 + 21596.50ns INFO [00021598] Port=0 RD @02 + 21597.50ns INFO [00021599] * RD COMPARE * port=0 adr=07 act=506579266F4E850322 exp=506579266F4E850322 + 21598.00ns INFO [00021600] [00021600] ...tick... + 21598.50ns INFO [00021600] * RD COMPARE * port=0 adr=02 act=6AC59A0854238DAEC6 exp=6AC59A0854238DAEC6 + 21598.50ns INFO [00021600] Port=0 WR @02=91A2E4561E7CD41D17 + 21598.50ns INFO [00021600] Port=0 RD @01 + 21600.50ns INFO [00021602] * RD COMPARE * port=0 adr=01 act=4BF686B434ECC2A26C exp=4BF686B434ECC2A26C + 21600.50ns INFO [00021602] Port=0 RD @00 + 21601.50ns INFO [00021603] Port=0 RD @02 + 21601.50ns INFO [00021603] Port=1 RD @07 + 21602.50ns INFO [00021604] * RD COMPARE * port=0 adr=00 act=ACDA31B49413DDAC0A exp=ACDA31B49413DDAC0A + 21602.50ns INFO [00021604] Port=0 WR @06=199BAF70C26580AFDA + 21603.50ns INFO [00021605] * RD COMPARE * port=0 adr=02 act=91A2E4561E7CD41D17 exp=91A2E4561E7CD41D17 + 21603.50ns INFO [00021605] * RD COMPARE * port=1 adr=07 act=506579266F4E850322 exp=506579266F4E850322 + 21603.50ns INFO [00021605] Port=1 RD @02 + 21604.50ns INFO [00021606] Port=0 WR @00=1BB58AC74BE7C7C29B + 21605.50ns INFO [00021607] * RD COMPARE * port=1 adr=02 act=91A2E4561E7CD41D17 exp=91A2E4561E7CD41D17 + 21605.50ns INFO [00021607] Port=0 WR @02=EBBE7187B57CF5C871 + 21605.50ns INFO [00021607] Port=0 RD @06 + 21607.50ns INFO [00021609] * RD COMPARE * port=0 adr=06 act=199BAF70C26580AFDA exp=199BAF70C26580AFDA + 21609.50ns INFO [00021611] Port=0 RD @01 + 21609.50ns INFO [00021611] Port=1 RD @02 + 21610.50ns INFO [00021612] Port=0 WR @00=0B4A02FB3C802957B4 + 21610.50ns INFO [00021612] Port=0 RD @04 + 21610.50ns INFO [00021612] Port=1 RD @01 + 21611.50ns INFO [00021613] * RD COMPARE * port=0 adr=01 act=4BF686B434ECC2A26C exp=4BF686B434ECC2A26C + 21611.50ns INFO [00021613] * RD COMPARE * port=1 adr=02 act=EBBE7187B57CF5C871 exp=EBBE7187B57CF5C871 + 21611.50ns INFO [00021613] Port=0 RD @07 + 21611.50ns INFO [00021613] Port=1 RD @04 + 21612.50ns INFO [00021614] * RD COMPARE * port=0 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21612.50ns INFO [00021614] * RD COMPARE * port=1 adr=01 act=4BF686B434ECC2A26C exp=4BF686B434ECC2A26C + 21612.50ns INFO [00021614] Port=0 WR @06=026607C7A09D9CB92D + 21613.50ns INFO [00021615] * RD COMPARE * port=0 adr=07 act=506579266F4E850322 exp=506579266F4E850322 + 21613.50ns INFO [00021615] * RD COMPARE * port=1 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21613.50ns INFO [00021615] Port=0 RD @02 + 21614.50ns INFO [00021616] Port=0 RD @01 + 21614.50ns INFO [00021616] Port=1 RD @03 + 21615.50ns INFO [00021617] * RD COMPARE * port=0 adr=02 act=EBBE7187B57CF5C871 exp=EBBE7187B57CF5C871 + 21615.50ns INFO [00021617] Port=0 RD @00 + 21616.50ns INFO [00021618] * RD COMPARE * port=0 adr=01 act=4BF686B434ECC2A26C exp=4BF686B434ECC2A26C + 21616.50ns INFO [00021618] * RD COMPARE * port=1 adr=03 act=692E112DDD25E62F15 exp=692E112DDD25E62F15 + 21616.50ns INFO [00021618] Port=0 WR @06=34CF4B86232F5EFBE7 + 21616.50ns INFO [00021618] Port=1 RD @03 + 21617.50ns INFO [00021619] * RD COMPARE * port=0 adr=00 act=0B4A02FB3C802957B4 exp=0B4A02FB3C802957B4 + 21617.50ns INFO [00021619] Port=0 WR @01=AAD242C75C53E344D0 + 21617.50ns INFO [00021619] Port=0 RD @04 + 21618.50ns INFO [00021620] * RD COMPARE * port=1 adr=03 act=692E112DDD25E62F15 exp=692E112DDD25E62F15 + 21618.50ns INFO [00021620] Port=0 RD @05 + 21618.50ns INFO [00021620] Port=1 RD @05 + 21619.50ns INFO [00021621] * RD COMPARE * port=0 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21619.50ns INFO [00021621] Port=0 RD @04 + 21620.50ns INFO [00021622] * RD COMPARE * port=0 adr=05 act=FDBC77711E70AB3E77 exp=FDBC77711E70AB3E77 + 21620.50ns INFO [00021622] * RD COMPARE * port=1 adr=05 act=FDBC77711E70AB3E77 exp=FDBC77711E70AB3E77 + 21620.50ns INFO [00021622] Port=0 RD @04 + 21620.50ns INFO [00021622] Port=1 RD @04 + 21621.50ns INFO [00021623] * RD COMPARE * port=0 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21622.50ns INFO [00021624] * RD COMPARE * port=0 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21622.50ns INFO [00021624] * RD COMPARE * port=1 adr=04 act=43E313F0178F92232A exp=43E313F0178F92232A + 21622.50ns INFO [00021624] Port=0 WR @06=138FB1C30384803625 + 21623.50ns INFO [00021625] Port=0 RD @02 + 21625.50ns INFO [00021627] * RD COMPARE * port=0 adr=02 act=EBBE7187B57CF5C871 exp=EBBE7187B57CF5C871 + 21625.50ns INFO [00021627] Port=1 RD @02 + 21626.50ns INFO [00021628] Port=0 WR @00=64706775061ACE5B86 + 21627.50ns INFO [00021629] * RD COMPARE * port=1 adr=02 act=EBBE7187B57CF5C871 exp=EBBE7187B57CF5C871 + 21628.50ns INFO [00021630] Port=0 WR @04=A940AC58DC53F7CA56 + 21628.50ns INFO [00021630] Port=0 RD @06 + 21628.50ns INFO [00021630] Port=1 RD @01 + 21629.50ns INFO [00021631] Port=0 RD @00 + 21629.50ns INFO [00021631] Port=1 RD @07 + 21630.50ns INFO [00021632] * RD COMPARE * port=0 adr=06 act=138FB1C30384803625 exp=138FB1C30384803625 + 21630.50ns INFO [00021632] * RD COMPARE * port=1 adr=01 act=AAD242C75C53E344D0 exp=AAD242C75C53E344D0 + 21630.50ns INFO [00021632] Port=0 WR @04=1EC738317ABC2957C9 + 21630.50ns INFO [00021632] Port=0 RD @02 + 21631.50ns INFO [00021633] * RD COMPARE * port=0 adr=00 act=64706775061ACE5B86 exp=64706775061ACE5B86 + 21631.50ns INFO [00021633] * RD COMPARE * port=1 adr=07 act=506579266F4E850322 exp=506579266F4E850322 + 21632.50ns INFO [00021634] * RD COMPARE * port=0 adr=02 act=EBBE7187B57CF5C871 exp=EBBE7187B57CF5C871 + 21632.50ns INFO [00021634] Port=0 WR @06=177723C64E25375FC7 + 21632.50ns INFO [00021634] Port=1 RD @04 + 21633.50ns INFO [00021635] Port=1 RD @02 + 21634.50ns INFO [00021636] * RD COMPARE * port=1 adr=04 act=1EC738317ABC2957C9 exp=1EC738317ABC2957C9 + 21634.50ns INFO [00021636] Port=0 RD @07 + 21635.50ns INFO [00021637] * RD COMPARE * port=1 adr=02 act=EBBE7187B57CF5C871 exp=EBBE7187B57CF5C871 + 21635.50ns INFO [00021637] Port=0 WR @03=41FAB2BCE3E7943059 + 21635.50ns INFO [00021637] Port=0 RD @01 + 21636.50ns INFO [00021638] * RD COMPARE * port=0 adr=07 act=506579266F4E850322 exp=506579266F4E850322 + 21636.50ns INFO [00021638] Port=0 WR @05=2D8B66930601AF93F6 + 21636.50ns INFO [00021638] Port=1 RD @01 + 21637.50ns INFO [00021639] * RD COMPARE * port=0 adr=01 act=AAD242C75C53E344D0 exp=AAD242C75C53E344D0 + 21638.50ns INFO [00021640] * RD COMPARE * port=1 adr=01 act=AAD242C75C53E344D0 exp=AAD242C75C53E344D0 + 21638.50ns INFO [00021640] Port=0 RD @06 + 21638.50ns INFO [00021640] Port=1 RD @04 + 21639.50ns INFO [00021641] Port=1 RD @07 + 21640.50ns INFO [00021642] * RD COMPARE * port=0 adr=06 act=177723C64E25375FC7 exp=177723C64E25375FC7 + 21640.50ns INFO [00021642] * RD COMPARE * port=1 adr=04 act=1EC738317ABC2957C9 exp=1EC738317ABC2957C9 + 21640.50ns INFO [00021642] Port=0 WR @07=0F5AF8886E41E8AB7F + 21641.50ns INFO [00021643] * RD COMPARE * port=1 adr=07 act=506579266F4E850322 exp=506579266F4E850322 + 21641.50ns INFO [00021643] Port=0 WR @06=E777A9D7F8B6543DFA + 21642.50ns INFO [00021644] Port=0 RD @03 + 21642.50ns INFO [00021644] Port=1 RD @06 + 21644.50ns INFO [00021646] * RD COMPARE * port=0 adr=03 act=41FAB2BCE3E7943059 exp=41FAB2BCE3E7943059 + 21644.50ns INFO [00021646] * RD COMPARE * port=1 adr=06 act=E777A9D7F8B6543DFA exp=E777A9D7F8B6543DFA + 21644.50ns INFO [00021646] Port=0 RD @00 + 21645.50ns INFO [00021647] Port=0 RD @05 + 21646.50ns INFO [00021648] * RD COMPARE * port=0 adr=00 act=64706775061ACE5B86 exp=64706775061ACE5B86 + 21647.50ns INFO [00021649] * RD COMPARE * port=0 adr=05 act=2D8B66930601AF93F6 exp=2D8B66930601AF93F6 + 21647.50ns INFO [00021649] Port=0 RD @01 + 21648.50ns INFO [00021650] Port=0 RD @01 + 21649.50ns INFO [00021651] * RD COMPARE * port=0 adr=01 act=AAD242C75C53E344D0 exp=AAD242C75C53E344D0 + 21650.50ns INFO [00021652] * RD COMPARE * port=0 adr=01 act=AAD242C75C53E344D0 exp=AAD242C75C53E344D0 + 21650.50ns INFO [00021652] Port=0 RD @05 + 21652.50ns INFO [00021654] * RD COMPARE * port=0 adr=05 act=2D8B66930601AF93F6 exp=2D8B66930601AF93F6 + 21652.50ns INFO [00021654] Port=1 RD @03 + 21653.50ns INFO [00021655] Port=0 WR @07=BB0702C587D6406E66 + 21653.50ns INFO [00021655] Port=1 RD @00 + 21654.50ns INFO [00021656] * RD COMPARE * port=1 adr=03 act=41FAB2BCE3E7943059 exp=41FAB2BCE3E7943059 + 21654.50ns INFO [00021656] Port=0 RD @03 + 21655.50ns INFO [00021657] * RD COMPARE * port=1 adr=00 act=64706775061ACE5B86 exp=64706775061ACE5B86 + 21655.50ns INFO [00021657] Port=0 WR @03=960D67B9E166AEF31E + 21655.50ns INFO [00021657] Port=0 RD @05 + 21655.50ns INFO [00021657] Port=1 RD @01 + 21656.50ns INFO [00021658] * RD COMPARE * port=0 adr=03 act=41FAB2BCE3E7943059 exp=41FAB2BCE3E7943059 + 21657.50ns INFO [00021659] * RD COMPARE * port=0 adr=05 act=2D8B66930601AF93F6 exp=2D8B66930601AF93F6 + 21657.50ns INFO [00021659] * RD COMPARE * port=1 adr=01 act=AAD242C75C53E344D0 exp=AAD242C75C53E344D0 + 21658.50ns INFO [00021660] Port=0 WR @01=C8CACACDD9C612BD03 + 21660.50ns INFO [00021662] Port=0 RD @03 + 21661.50ns INFO [00021663] Port=0 RD @05 + 21661.50ns INFO [00021663] Port=1 RD @00 + 21662.50ns INFO [00021664] * RD COMPARE * port=0 adr=03 act=960D67B9E166AEF31E exp=960D67B9E166AEF31E + 21662.50ns INFO [00021664] Port=0 RD @03 + 21662.50ns INFO [00021664] Port=1 RD @01 + 21663.50ns INFO [00021665] * RD COMPARE * port=0 adr=05 act=2D8B66930601AF93F6 exp=2D8B66930601AF93F6 + 21663.50ns INFO [00021665] * RD COMPARE * port=1 adr=00 act=64706775061ACE5B86 exp=64706775061ACE5B86 + 21663.50ns INFO [00021665] Port=0 RD @04 + 21664.50ns INFO [00021666] * RD COMPARE * port=0 adr=03 act=960D67B9E166AEF31E exp=960D67B9E166AEF31E + 21664.50ns INFO [00021666] * RD COMPARE * port=1 adr=01 act=C8CACACDD9C612BD03 exp=C8CACACDD9C612BD03 + 21664.50ns INFO [00021666] Port=1 RD @04 + 21665.50ns INFO [00021667] * RD COMPARE * port=0 adr=04 act=1EC738317ABC2957C9 exp=1EC738317ABC2957C9 + 21665.50ns INFO [00021667] Port=1 RD @01 + 21666.50ns INFO [00021668] * RD COMPARE * port=1 adr=04 act=1EC738317ABC2957C9 exp=1EC738317ABC2957C9 + 21667.50ns INFO [00021669] * RD COMPARE * port=1 adr=01 act=C8CACACDD9C612BD03 exp=C8CACACDD9C612BD03 + 21667.50ns INFO [00021669] Port=1 RD @07 + 21668.50ns INFO [00021670] Port=0 WR @06=3261340EC853FEDEC5 + 21668.50ns INFO [00021670] Port=1 RD @02 + 21669.50ns INFO [00021671] * RD COMPARE * port=1 adr=07 act=BB0702C587D6406E66 exp=BB0702C587D6406E66 + 21669.50ns INFO [00021671] Port=0 WR @06=16C0BE02826CC21684 + 21669.50ns INFO [00021671] Port=0 RD @03 + 21669.50ns INFO [00021671] Port=1 RD @04 + 21670.50ns INFO [00021672] * RD COMPARE * port=1 adr=02 act=EBBE7187B57CF5C871 exp=EBBE7187B57CF5C871 + 21670.50ns INFO [00021672] Port=0 WR @00=BAC86440CD79CB1ECF + 21671.50ns INFO [00021673] * RD COMPARE * port=0 adr=03 act=960D67B9E166AEF31E exp=960D67B9E166AEF31E + 21671.50ns INFO [00021673] * RD COMPARE * port=1 adr=04 act=1EC738317ABC2957C9 exp=1EC738317ABC2957C9 + 21672.50ns INFO [00021674] Port=0 WR @01=EA80220B49B5CBB62F + 21673.50ns INFO [00021675] Port=0 WR @06=CD9D3CA119CEFD4512 + 21673.50ns INFO [00021675] Port=1 RD @00 + 21674.50ns INFO [00021676] Port=0 RD @04 + 21674.50ns INFO [00021676] Port=1 RD @05 + 21675.50ns INFO [00021677] * RD COMPARE * port=1 adr=00 act=BAC86440CD79CB1ECF exp=BAC86440CD79CB1ECF + 21675.50ns INFO [00021677] Port=0 WR @07=59BCE03884BDA1BF66 + 21676.50ns INFO [00021678] * RD COMPARE * port=0 adr=04 act=1EC738317ABC2957C9 exp=1EC738317ABC2957C9 + 21676.50ns INFO [00021678] * RD COMPARE * port=1 adr=05 act=2D8B66930601AF93F6 exp=2D8B66930601AF93F6 + 21676.50ns INFO [00021678] Port=0 WR @06=878A1E92B3AD81F511 + 21676.50ns INFO [00021678] Port=0 RD @04 + 21677.50ns INFO [00021679] Port=0 WR @00=960F6117491595A1CB + 21678.50ns INFO [00021680] * RD COMPARE * port=0 adr=04 act=1EC738317ABC2957C9 exp=1EC738317ABC2957C9 + 21678.50ns INFO [00021680] Port=0 RD @01 + 21679.50ns INFO [00021681] Port=0 WR @06=17F32B6FCEA96A0A72 + 21679.50ns INFO [00021681] Port=1 RD @00 + 21680.50ns INFO [00021682] * RD COMPARE * port=0 adr=01 act=EA80220B49B5CBB62F exp=EA80220B49B5CBB62F + 21681.50ns INFO [00021683] * RD COMPARE * port=1 adr=00 act=960F6117491595A1CB exp=960F6117491595A1CB + 21682.50ns INFO [00021684] Port=0 WR @06=79C461F287C3D7AA5B + 21686.50ns INFO [00021688] Port=0 WR @02=B363DF8BCDB6B78D6C + 21686.50ns INFO [00021688] Port=0 RD @00 + 21687.50ns INFO [00021689] Port=1 RD @00 + 21688.50ns INFO [00021690] * RD COMPARE * port=0 adr=00 act=960F6117491595A1CB exp=960F6117491595A1CB + 21688.50ns INFO [00021690] Port=0 RD @01 + 21689.50ns INFO [00021691] * RD COMPARE * port=1 adr=00 act=960F6117491595A1CB exp=960F6117491595A1CB + 21689.50ns INFO [00021691] Port=1 RD @02 + 21690.50ns INFO [00021692] * RD COMPARE * port=0 adr=01 act=EA80220B49B5CBB62F exp=EA80220B49B5CBB62F + 21690.50ns INFO [00021692] Port=0 WR @07=2571CE204300125D27 + 21690.50ns INFO [00021692] Port=0 RD @03 + 21691.50ns INFO [00021693] * RD COMPARE * port=1 adr=02 act=B363DF8BCDB6B78D6C exp=B363DF8BCDB6B78D6C + 21691.50ns INFO [00021693] Port=0 WR @01=1DCFE6D18847ED7AAE + 21692.50ns INFO [00021694] * RD COMPARE * port=0 adr=03 act=960D67B9E166AEF31E exp=960D67B9E166AEF31E + 21692.50ns INFO [00021694] Port=0 WR @04=49CE609F78D09151E4 + 21692.50ns INFO [00021694] Port=0 RD @02 + 21692.50ns INFO [00021694] Port=1 RD @00 + 21694.50ns INFO [00021696] * RD COMPARE * port=0 adr=02 act=B363DF8BCDB6B78D6C exp=B363DF8BCDB6B78D6C + 21694.50ns INFO [00021696] * RD COMPARE * port=1 adr=00 act=960F6117491595A1CB exp=960F6117491595A1CB + 21694.50ns INFO [00021696] Port=0 RD @06 + 21695.50ns INFO [00021697] Port=0 RD @01 + 21696.50ns INFO [00021698] * RD COMPARE * port=0 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21697.50ns INFO [00021699] * RD COMPARE * port=0 adr=01 act=1DCFE6D18847ED7AAE exp=1DCFE6D18847ED7AAE + 21698.00ns INFO [00021700] [00021700] ...tick... + 21698.50ns INFO [00021700] Port=0 WR @07=19A08E580DAFC924DD + 21698.50ns INFO [00021700] Port=0 RD @06 + 21698.50ns INFO [00021700] Port=1 RD @05 + 21699.50ns INFO [00021701] Port=0 RD @03 + 21699.50ns INFO [00021701] Port=1 RD @00 + 21700.50ns INFO [00021702] * RD COMPARE * port=0 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21700.50ns INFO [00021702] * RD COMPARE * port=1 adr=05 act=2D8B66930601AF93F6 exp=2D8B66930601AF93F6 + 21701.50ns INFO [00021703] * RD COMPARE * port=0 adr=03 act=960D67B9E166AEF31E exp=960D67B9E166AEF31E + 21701.50ns INFO [00021703] * RD COMPARE * port=1 adr=00 act=960F6117491595A1CB exp=960F6117491595A1CB + 21701.50ns INFO [00021703] Port=0 WR @03=528A0C04B631DB1AA4 + 21701.50ns INFO [00021703] Port=1 RD @05 + 21703.50ns INFO [00021705] * RD COMPARE * port=1 adr=05 act=2D8B66930601AF93F6 exp=2D8B66930601AF93F6 + 21703.50ns INFO [00021705] Port=0 WR @00=250F30B4A9F61F218C + 21704.50ns INFO [00021706] Port=0 RD @05 + 21704.50ns INFO [00021706] Port=1 RD @00 + 21705.50ns INFO [00021707] Port=0 WR @05=35FF26F26C63E98842 + 21705.50ns INFO [00021707] Port=1 RD @06 + 21706.50ns INFO [00021708] * RD COMPARE * port=0 adr=05 act=2D8B66930601AF93F6 exp=2D8B66930601AF93F6 + 21706.50ns INFO [00021708] * RD COMPARE * port=1 adr=00 act=250F30B4A9F61F218C exp=250F30B4A9F61F218C + 21706.50ns INFO [00021708] Port=1 RD @06 + 21707.50ns INFO [00021709] * RD COMPARE * port=1 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21707.50ns INFO [00021709] Port=0 WR @00=DF5A72F59ED2BCE20D + 21707.50ns INFO [00021709] Port=1 RD @06 + 21708.50ns INFO [00021710] * RD COMPARE * port=1 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21708.50ns INFO [00021710] Port=0 WR @00=24CBEBD83B8E81933C + 21708.50ns INFO [00021710] Port=0 RD @04 + 21709.50ns INFO [00021711] * RD COMPARE * port=1 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21709.50ns INFO [00021711] Port=1 RD @07 + 21710.50ns INFO [00021712] * RD COMPARE * port=0 adr=04 act=49CE609F78D09151E4 exp=49CE609F78D09151E4 + 21710.50ns INFO [00021712] Port=0 WR @00=EE742DE3CF33F9010F + 21710.50ns INFO [00021712] Port=1 RD @02 + 21711.50ns INFO [00021713] * RD COMPARE * port=1 adr=07 act=19A08E580DAFC924DD exp=19A08E580DAFC924DD + 21711.50ns INFO [00021713] Port=0 WR @04=78E9910534AFBAE32A + 21711.50ns INFO [00021713] Port=1 RD @07 + 21712.50ns INFO [00021714] * RD COMPARE * port=1 adr=02 act=B363DF8BCDB6B78D6C exp=B363DF8BCDB6B78D6C + 21712.50ns INFO [00021714] Port=0 RD @00 + 21712.50ns INFO [00021714] Port=1 RD @02 + 21713.50ns INFO [00021715] * RD COMPARE * port=1 adr=07 act=19A08E580DAFC924DD exp=19A08E580DAFC924DD + 21713.50ns INFO [00021715] Port=1 RD @03 + 21714.50ns INFO [00021716] * RD COMPARE * port=0 adr=00 act=EE742DE3CF33F9010F exp=EE742DE3CF33F9010F + 21714.50ns INFO [00021716] * RD COMPARE * port=1 adr=02 act=B363DF8BCDB6B78D6C exp=B363DF8BCDB6B78D6C + 21714.50ns INFO [00021716] Port=0 RD @02 + 21714.50ns INFO [00021716] Port=1 RD @07 + 21715.50ns INFO [00021717] * RD COMPARE * port=1 adr=03 act=528A0C04B631DB1AA4 exp=528A0C04B631DB1AA4 + 21715.50ns INFO [00021717] Port=0 WR @00=A620899F9A17E89EA6 + 21715.50ns INFO [00021717] Port=0 RD @05 + 21716.50ns INFO [00021718] * RD COMPARE * port=0 adr=02 act=B363DF8BCDB6B78D6C exp=B363DF8BCDB6B78D6C + 21716.50ns INFO [00021718] * RD COMPARE * port=1 adr=07 act=19A08E580DAFC924DD exp=19A08E580DAFC924DD + 21717.50ns INFO [00021719] * RD COMPARE * port=0 adr=05 act=35FF26F26C63E98842 exp=35FF26F26C63E98842 + 21717.50ns INFO [00021719] Port=0 RD @00 + 21718.50ns INFO [00021720] Port=1 RD @02 + 21719.50ns INFO [00021721] * RD COMPARE * port=0 adr=00 act=A620899F9A17E89EA6 exp=A620899F9A17E89EA6 + 21719.50ns INFO [00021721] Port=0 RD @04 + 21719.50ns INFO [00021721] Port=1 RD @00 + 21720.50ns INFO [00021722] * RD COMPARE * port=1 adr=02 act=B363DF8BCDB6B78D6C exp=B363DF8BCDB6B78D6C + 21720.50ns INFO [00021722] Port=1 RD @07 + 21721.50ns INFO [00021723] * RD COMPARE * port=0 adr=04 act=78E9910534AFBAE32A exp=78E9910534AFBAE32A + 21721.50ns INFO [00021723] * RD COMPARE * port=1 adr=00 act=A620899F9A17E89EA6 exp=A620899F9A17E89EA6 + 21722.50ns INFO [00021724] * RD COMPARE * port=1 adr=07 act=19A08E580DAFC924DD exp=19A08E580DAFC924DD + 21722.50ns INFO [00021724] Port=0 WR @00=049EFB7606C6C1D333 + 21723.50ns INFO [00021725] Port=0 WR @02=C947F7564576F01BD4 + 21723.50ns INFO [00021725] Port=1 RD @06 + 21724.50ns INFO [00021726] Port=0 WR @05=EC65F7AF7D3C3A6EAE + 21724.50ns INFO [00021726] Port=0 RD @01 + 21725.50ns INFO [00021727] * RD COMPARE * port=1 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21725.50ns INFO [00021727] Port=0 WR @00=2C081EDEB9F8A6FBDD + 21725.50ns INFO [00021727] Port=0 RD @03 + 21726.50ns INFO [00021728] * RD COMPARE * port=0 adr=01 act=1DCFE6D18847ED7AAE exp=1DCFE6D18847ED7AAE + 21726.50ns INFO [00021728] Port=0 WR @03=A3A275614E6886700F + 21726.50ns INFO [00021728] Port=1 RD @04 + 21727.50ns INFO [00021729] * RD COMPARE * port=0 adr=03 act=528A0C04B631DB1AA4 exp=528A0C04B631DB1AA4 + 21727.50ns INFO [00021729] Port=1 RD @07 + 21728.50ns INFO [00021730] * RD COMPARE * port=1 adr=04 act=78E9910534AFBAE32A exp=78E9910534AFBAE32A + 21728.50ns INFO [00021730] Port=0 WR @03=C6DC4E881E247F75A3 + 21728.50ns INFO [00021730] Port=0 RD @02 + 21729.50ns INFO [00021731] * RD COMPARE * port=1 adr=07 act=19A08E580DAFC924DD exp=19A08E580DAFC924DD + 21730.50ns INFO [00021732] * RD COMPARE * port=0 adr=02 act=C947F7564576F01BD4 exp=C947F7564576F01BD4 + 21731.50ns INFO [00021733] Port=0 RD @01 + 21731.50ns INFO [00021733] Port=1 RD @07 + 21732.50ns INFO [00021734] Port=0 RD @04 + 21732.50ns INFO [00021734] Port=1 RD @05 + 21733.50ns INFO [00021735] * RD COMPARE * port=0 adr=01 act=1DCFE6D18847ED7AAE exp=1DCFE6D18847ED7AAE + 21733.50ns INFO [00021735] * RD COMPARE * port=1 adr=07 act=19A08E580DAFC924DD exp=19A08E580DAFC924DD + 21733.50ns INFO [00021735] Port=0 RD @02 + 21734.50ns INFO [00021736] * RD COMPARE * port=0 adr=04 act=78E9910534AFBAE32A exp=78E9910534AFBAE32A + 21734.50ns INFO [00021736] * RD COMPARE * port=1 adr=05 act=EC65F7AF7D3C3A6EAE exp=EC65F7AF7D3C3A6EAE + 21734.50ns INFO [00021736] Port=0 RD @01 + 21735.50ns INFO [00021737] * RD COMPARE * port=0 adr=02 act=C947F7564576F01BD4 exp=C947F7564576F01BD4 + 21735.50ns INFO [00021737] Port=0 RD @06 + 21736.50ns INFO [00021738] * RD COMPARE * port=0 adr=01 act=1DCFE6D18847ED7AAE exp=1DCFE6D18847ED7AAE + 21736.50ns INFO [00021738] Port=1 RD @02 + 21737.50ns INFO [00021739] * RD COMPARE * port=0 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21738.50ns INFO [00021740] * RD COMPARE * port=1 adr=02 act=C947F7564576F01BD4 exp=C947F7564576F01BD4 + 21738.50ns INFO [00021740] Port=0 WR @02=2B249E0C410D89F10B + 21738.50ns INFO [00021740] Port=0 RD @06 + 21739.50ns INFO [00021741] Port=0 WR @07=78C515D8D8116BAAB3 + 21739.50ns INFO [00021741] Port=0 RD @04 + 21739.50ns INFO [00021741] Port=1 RD @00 + 21740.50ns INFO [00021742] * RD COMPARE * port=0 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21740.50ns INFO [00021742] Port=0 WR @00=86441F5248A56E421D + 21741.50ns INFO [00021743] * RD COMPARE * port=0 adr=04 act=78E9910534AFBAE32A exp=78E9910534AFBAE32A + 21741.50ns INFO [00021743] * RD COMPARE * port=1 adr=00 act=2C081EDEB9F8A6FBDD exp=2C081EDEB9F8A6FBDD + 21742.50ns INFO [00021744] Port=0 WR @00=8C286FCF3E0606005C + 21743.50ns INFO [00021745] Port=0 WR @01=B9829394933FA0E94E + 21743.50ns INFO [00021745] Port=0 RD @02 + 21743.50ns INFO [00021745] Port=1 RD @06 + 21745.50ns INFO [00021747] * RD COMPARE * port=0 adr=02 act=2B249E0C410D89F10B exp=2B249E0C410D89F10B + 21745.50ns INFO [00021747] * RD COMPARE * port=1 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21745.50ns INFO [00021747] Port=0 RD @04 + 21746.50ns INFO [00021748] Port=0 RD @06 + 21746.50ns INFO [00021748] Port=1 RD @04 + 21747.50ns INFO [00021749] * RD COMPARE * port=0 adr=04 act=78E9910534AFBAE32A exp=78E9910534AFBAE32A + 21748.50ns INFO [00021750] * RD COMPARE * port=0 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21748.50ns INFO [00021750] * RD COMPARE * port=1 adr=04 act=78E9910534AFBAE32A exp=78E9910534AFBAE32A + 21749.50ns INFO [00021751] Port=0 RD @05 + 21749.50ns INFO [00021751] Port=1 RD @00 + 21750.50ns INFO [00021752] Port=0 RD @04 + 21751.50ns INFO [00021753] * RD COMPARE * port=0 adr=05 act=EC65F7AF7D3C3A6EAE exp=EC65F7AF7D3C3A6EAE + 21751.50ns INFO [00021753] * RD COMPARE * port=1 adr=00 act=8C286FCF3E0606005C exp=8C286FCF3E0606005C + 21751.50ns INFO [00021753] Port=0 WR @05=D57472CEAAFD3962A3 + 21751.50ns INFO [00021753] Port=0 RD @02 + 21752.50ns INFO [00021754] * RD COMPARE * port=0 adr=04 act=78E9910534AFBAE32A exp=78E9910534AFBAE32A + 21753.50ns INFO [00021755] * RD COMPARE * port=0 adr=02 act=2B249E0C410D89F10B exp=2B249E0C410D89F10B + 21753.50ns INFO [00021755] Port=0 WR @04=E58283536010F4E976 + 21753.50ns INFO [00021755] Port=0 RD @03 + 21754.50ns INFO [00021756] Port=0 WR @00=35797A689A9C2A7E46 + 21754.50ns INFO [00021756] Port=0 RD @06 + 21754.50ns INFO [00021756] Port=1 RD @02 + 21755.50ns INFO [00021757] * RD COMPARE * port=0 adr=03 act=C6DC4E881E247F75A3 exp=C6DC4E881E247F75A3 + 21755.50ns INFO [00021757] Port=0 WR @06=EA7E01D12C01C9EFB8 + 21755.50ns INFO [00021757] Port=0 RD @07 + 21756.50ns INFO [00021758] * RD COMPARE * port=0 adr=06 act=79C461F287C3D7AA5B exp=79C461F287C3D7AA5B + 21756.50ns INFO [00021758] * RD COMPARE * port=1 adr=02 act=2B249E0C410D89F10B exp=2B249E0C410D89F10B + 21756.50ns INFO [00021758] Port=0 WR @02=62ABEAB12195AA60F2 + 21757.50ns INFO [00021759] * RD COMPARE * port=0 adr=07 act=78C515D8D8116BAAB3 exp=78C515D8D8116BAAB3 + 21757.50ns INFO [00021759] Port=0 RD @06 + 21757.50ns INFO [00021759] Port=1 RD @01 + 21758.50ns INFO [00021760] Port=0 WR @00=7CFAAF0BB5BFB6614A + 21759.50ns INFO [00021761] * RD COMPARE * port=0 adr=06 act=EA7E01D12C01C9EFB8 exp=EA7E01D12C01C9EFB8 + 21759.50ns INFO [00021761] * RD COMPARE * port=1 adr=01 act=B9829394933FA0E94E exp=B9829394933FA0E94E + 21759.50ns INFO [00021761] Port=1 RD @00 + 21760.50ns INFO [00021762] Port=0 WR @04=FC66D96FFF74772C7F + 21761.50ns INFO [00021763] * RD COMPARE * port=1 adr=00 act=7CFAAF0BB5BFB6614A exp=7CFAAF0BB5BFB6614A + 21763.50ns INFO [00021765] Port=0 RD @05 + 21763.50ns INFO [00021765] Port=1 RD @03 + 21764.50ns INFO [00021766] Port=1 RD @05 + 21765.50ns INFO [00021767] * RD COMPARE * port=0 adr=05 act=D57472CEAAFD3962A3 exp=D57472CEAAFD3962A3 + 21765.50ns INFO [00021767] * RD COMPARE * port=1 adr=03 act=C6DC4E881E247F75A3 exp=C6DC4E881E247F75A3 + 21765.50ns INFO [00021767] Port=0 RD @03 + 21766.50ns INFO [00021768] * RD COMPARE * port=1 adr=05 act=D57472CEAAFD3962A3 exp=D57472CEAAFD3962A3 + 21766.50ns INFO [00021768] Port=0 WR @06=D6A5762EC0C2038D52 + 21766.50ns INFO [00021768] Port=0 RD @01 + 21766.50ns INFO [00021768] Port=1 RD @03 + 21767.50ns INFO [00021769] * RD COMPARE * port=0 adr=03 act=C6DC4E881E247F75A3 exp=C6DC4E881E247F75A3 + 21768.50ns INFO [00021770] * RD COMPARE * port=0 adr=01 act=B9829394933FA0E94E exp=B9829394933FA0E94E + 21768.50ns INFO [00021770] * RD COMPARE * port=1 adr=03 act=C6DC4E881E247F75A3 exp=C6DC4E881E247F75A3 + 21769.50ns INFO [00021771] Port=0 WR @07=4BACA4B6DC6D3F3B46 + 21770.50ns INFO [00021772] Port=0 WR @04=903F05EABD5776DD7A + 21770.50ns INFO [00021772] Port=0 RD @07 + 21771.50ns INFO [00021773] Port=1 RD @04 + 21772.50ns INFO [00021774] * RD COMPARE * port=0 adr=07 act=4BACA4B6DC6D3F3B46 exp=4BACA4B6DC6D3F3B46 + 21772.50ns INFO [00021774] Port=0 RD @02 + 21773.50ns INFO [00021775] * RD COMPARE * port=1 adr=04 act=903F05EABD5776DD7A exp=903F05EABD5776DD7A + 21773.50ns INFO [00021775] Port=0 RD @07 + 21774.50ns INFO [00021776] * RD COMPARE * port=0 adr=02 act=62ABEAB12195AA60F2 exp=62ABEAB12195AA60F2 + 21775.50ns INFO [00021777] * RD COMPARE * port=0 adr=07 act=4BACA4B6DC6D3F3B46 exp=4BACA4B6DC6D3F3B46 + 21775.50ns INFO [00021777] Port=0 RD @06 + 21776.50ns INFO [00021778] Port=0 RD @05 + 21776.50ns INFO [00021778] Port=1 RD @04 + 21777.50ns INFO [00021779] * RD COMPARE * port=0 adr=06 act=D6A5762EC0C2038D52 exp=D6A5762EC0C2038D52 + 21777.50ns INFO [00021779] Port=0 WR @02=E914436E10E6B21F68 + 21777.50ns INFO [00021779] Port=1 RD @06 + 21778.50ns INFO [00021780] * RD COMPARE * port=0 adr=05 act=D57472CEAAFD3962A3 exp=D57472CEAAFD3962A3 + 21778.50ns INFO [00021780] * RD COMPARE * port=1 adr=04 act=903F05EABD5776DD7A exp=903F05EABD5776DD7A + 21778.50ns INFO [00021780] Port=0 WR @04=C6AC4B8CB4B91C8B7B + 21778.50ns INFO [00021780] Port=1 RD @07 + 21779.50ns INFO [00021781] * RD COMPARE * port=1 adr=06 act=D6A5762EC0C2038D52 exp=D6A5762EC0C2038D52 + 21780.50ns INFO [00021782] * RD COMPARE * port=1 adr=07 act=4BACA4B6DC6D3F3B46 exp=4BACA4B6DC6D3F3B46 + 21780.50ns INFO [00021782] Port=1 RD @05 + 21781.50ns INFO [00021783] Port=0 WR @03=C0BF7F12675C43DFBD + 21782.50ns INFO [00021784] * RD COMPARE * port=1 adr=05 act=D57472CEAAFD3962A3 exp=D57472CEAAFD3962A3 + 21782.50ns INFO [00021784] Port=0 WR @06=5CDEDB791209BFF833 + 21782.50ns INFO [00021784] Port=1 RD @00 + 21784.50ns INFO [00021786] * RD COMPARE * port=1 adr=00 act=7CFAAF0BB5BFB6614A exp=7CFAAF0BB5BFB6614A + 21784.50ns INFO [00021786] Port=1 RD @03 + 21785.50ns INFO [00021787] Port=1 RD @03 + 21786.50ns INFO [00021788] * RD COMPARE * port=1 adr=03 act=C0BF7F12675C43DFBD exp=C0BF7F12675C43DFBD + 21786.50ns INFO [00021788] Port=1 RD @02 + 21787.50ns INFO [00021789] * RD COMPARE * port=1 adr=03 act=C0BF7F12675C43DFBD exp=C0BF7F12675C43DFBD + 21788.50ns INFO [00021790] * RD COMPARE * port=1 adr=02 act=E914436E10E6B21F68 exp=E914436E10E6B21F68 + 21788.50ns INFO [00021790] Port=0 WR @04=9F13E87EBC87BAB327 + 21788.50ns INFO [00021790] Port=1 RD @06 + 21789.50ns INFO [00021791] Port=0 WR @07=E0A0821FD66C829EE7 + 21789.50ns INFO [00021791] Port=1 RD @06 + 21790.50ns INFO [00021792] * RD COMPARE * port=1 adr=06 act=5CDEDB791209BFF833 exp=5CDEDB791209BFF833 + 21790.50ns INFO [00021792] Port=0 RD @02 + 21791.50ns INFO [00021793] * RD COMPARE * port=1 adr=06 act=5CDEDB791209BFF833 exp=5CDEDB791209BFF833 + 21792.50ns INFO [00021794] * RD COMPARE * port=0 adr=02 act=E914436E10E6B21F68 exp=E914436E10E6B21F68 + 21792.50ns INFO [00021794] Port=1 RD @00 + 21793.50ns INFO [00021795] Port=0 RD @03 + 21794.50ns INFO [00021796] * RD COMPARE * port=1 adr=00 act=7CFAAF0BB5BFB6614A exp=7CFAAF0BB5BFB6614A + 21794.50ns INFO [00021796] Port=0 WR @07=62D6175C40F85D9841 + 21795.50ns INFO [00021797] * RD COMPARE * port=0 adr=03 act=C0BF7F12675C43DFBD exp=C0BF7F12675C43DFBD + 21795.50ns INFO [00021797] Port=0 WR @02=07977A4476D03874F0 + 21795.50ns INFO [00021797] Port=0 RD @00 + 21795.50ns INFO [00021797] Port=1 RD @07 + 21796.50ns INFO [00021798] Port=1 RD @02 + 21797.50ns INFO [00021799] * RD COMPARE * port=0 adr=00 act=7CFAAF0BB5BFB6614A exp=7CFAAF0BB5BFB6614A + 21797.50ns INFO [00021799] * RD COMPARE * port=1 adr=07 act=62D6175C40F85D9841 exp=62D6175C40F85D9841 + 21797.50ns INFO [00021799] Port=0 RD @03 + 21798.00ns INFO [00021800] [00021800] ...tick... + 21798.50ns INFO [00021800] * RD COMPARE * port=1 adr=02 act=07977A4476D03874F0 exp=07977A4476D03874F0 + 21798.50ns INFO [00021800] Port=1 RD @01 + 21799.50ns INFO [00021801] * RD COMPARE * port=0 adr=03 act=C0BF7F12675C43DFBD exp=C0BF7F12675C43DFBD + 21799.50ns INFO [00021801] Port=1 RD @02 + 21800.50ns INFO [00021802] * RD COMPARE * port=1 adr=01 act=B9829394933FA0E94E exp=B9829394933FA0E94E + 21800.50ns INFO [00021802] Port=0 RD @06 + 21800.50ns INFO [00021802] Port=1 RD @02 + 21801.50ns INFO [00021803] * RD COMPARE * port=1 adr=02 act=07977A4476D03874F0 exp=07977A4476D03874F0 + 21801.50ns INFO [00021803] Port=0 WR @00=E8E3D829F9C9BEA095 + 21801.50ns INFO [00021803] Port=1 RD @06 + 21802.50ns INFO [00021804] * RD COMPARE * port=0 adr=06 act=5CDEDB791209BFF833 exp=5CDEDB791209BFF833 + 21802.50ns INFO [00021804] * RD COMPARE * port=1 adr=02 act=07977A4476D03874F0 exp=07977A4476D03874F0 + 21802.50ns INFO [00021804] Port=1 RD @02 + 21803.50ns INFO [00021805] * RD COMPARE * port=1 adr=06 act=5CDEDB791209BFF833 exp=5CDEDB791209BFF833 + 21803.50ns INFO [00021805] Port=0 WR @00=BDBBC12FDFB4D31C36 + 21803.50ns INFO [00021805] Port=0 RD @04 + 21804.50ns INFO [00021806] * RD COMPARE * port=1 adr=02 act=07977A4476D03874F0 exp=07977A4476D03874F0 + 21804.50ns INFO [00021806] Port=0 WR @07=66DCD05AFFB1C35747 + 21804.50ns INFO [00021806] Port=0 RD @04 + 21804.50ns INFO [00021806] Port=1 RD @04 + 21805.50ns INFO [00021807] * RD COMPARE * port=0 adr=04 act=9F13E87EBC87BAB327 exp=9F13E87EBC87BAB327 + 21805.50ns INFO [00021807] Port=0 WR @05=5A422125FC39A70EC3 + 21805.50ns INFO [00021807] Port=0 RD @07 + 21806.50ns INFO [00021808] * RD COMPARE * port=0 adr=04 act=9F13E87EBC87BAB327 exp=9F13E87EBC87BAB327 + 21806.50ns INFO [00021808] * RD COMPARE * port=1 adr=04 act=9F13E87EBC87BAB327 exp=9F13E87EBC87BAB327 + 21806.50ns INFO [00021808] Port=0 WR @06=5A2C22E4D036D59F84 + 21806.50ns INFO [00021808] Port=1 RD @07 + 21807.50ns INFO [00021809] * RD COMPARE * port=0 adr=07 act=66DCD05AFFB1C35747 exp=66DCD05AFFB1C35747 + 21808.50ns INFO [00021810] * RD COMPARE * port=1 adr=07 act=66DCD05AFFB1C35747 exp=66DCD05AFFB1C35747 + 21808.50ns INFO [00021810] Port=0 WR @03=3104146313D1EC7D8F + 21808.50ns INFO [00021810] Port=1 RD @06 + 21809.50ns INFO [00021811] Port=0 WR @02=9DECD67567DB4DBF86 + 21809.50ns INFO [00021811] Port=1 RD @04 + 21810.50ns INFO [00021812] * RD COMPARE * port=1 adr=06 act=5A2C22E4D036D59F84 exp=5A2C22E4D036D59F84 + 21811.50ns INFO [00021813] * RD COMPARE * port=1 adr=04 act=9F13E87EBC87BAB327 exp=9F13E87EBC87BAB327 + 21811.50ns INFO [00021813] Port=1 RD @04 + 21812.50ns INFO [00021814] Port=0 RD @06 + 21813.50ns INFO [00021815] * RD COMPARE * port=1 adr=04 act=9F13E87EBC87BAB327 exp=9F13E87EBC87BAB327 + 21814.50ns INFO [00021816] * RD COMPARE * port=0 adr=06 act=5A2C22E4D036D59F84 exp=5A2C22E4D036D59F84 + 21814.50ns INFO [00021816] Port=0 WR @01=6F310819A4D42D3A9F + 21814.50ns INFO [00021816] Port=1 RD @04 + 21815.50ns INFO [00021817] Port=0 WR @04=15978C6BC22BF56FCB + 21815.50ns INFO [00021817] Port=1 RD @00 + 21816.50ns INFO [00021818] * RD COMPARE * port=1 adr=04 act=9F13E87EBC87BAB327 exp=9F13E87EBC87BAB327 + 21817.50ns INFO [00021819] * RD COMPARE * port=1 adr=00 act=BDBBC12FDFB4D31C36 exp=BDBBC12FDFB4D31C36 + 21817.50ns INFO [00021819] Port=0 WR @03=B7A8CF0F266BF375C7 + 21817.50ns INFO [00021819] Port=0 RD @01 + 21819.50ns INFO [00021821] * RD COMPARE * port=0 adr=01 act=6F310819A4D42D3A9F exp=6F310819A4D42D3A9F + 21819.50ns INFO [00021821] Port=0 WR @03=959742926B6FB12787 + 21822.50ns INFO [00021824] Port=0 RD @01 + 21823.50ns INFO [00021825] Port=0 WR @02=AA9C9BC2F9B4F107E3 + 21824.50ns INFO [00021826] * RD COMPARE * port=0 adr=01 act=6F310819A4D42D3A9F exp=6F310819A4D42D3A9F + 21824.50ns INFO [00021826] Port=0 WR @02=E0CDFF6BD90CBE6747 + 21825.50ns INFO [00021827] Port=0 RD @01 + 21826.50ns INFO [00021828] Port=0 WR @02=F6DAA96485289539EB + 21826.50ns INFO [00021828] Port=0 RD @01 + 21827.50ns INFO [00021829] * RD COMPARE * port=0 adr=01 act=6F310819A4D42D3A9F exp=6F310819A4D42D3A9F + 21827.50ns INFO [00021829] Port=0 WR @01=3401480A8F1DADE533 + 21828.50ns INFO [00021830] * RD COMPARE * port=0 adr=01 act=6F310819A4D42D3A9F exp=6F310819A4D42D3A9F + 21828.50ns INFO [00021830] Port=0 RD @05 + 21829.50ns INFO [00021831] Port=0 RD @03 + 21830.50ns INFO [00021832] * RD COMPARE * port=0 adr=05 act=5A422125FC39A70EC3 exp=5A422125FC39A70EC3 + 21830.50ns INFO [00021832] Port=0 WR @00=9045E037969C746FC6 + 21830.50ns INFO [00021832] Port=0 RD @03 + 21831.50ns INFO [00021833] * RD COMPARE * port=0 adr=03 act=959742926B6FB12787 exp=959742926B6FB12787 + 21831.50ns INFO [00021833] Port=0 RD @01 + 21832.50ns INFO [00021834] * RD COMPARE * port=0 adr=03 act=959742926B6FB12787 exp=959742926B6FB12787 + 21832.50ns INFO [00021834] Port=0 WR @05=A3AA3D1599B1B68428 + 21832.50ns INFO [00021834] Port=0 RD @03 + 21833.50ns INFO [00021835] * RD COMPARE * port=0 adr=01 act=3401480A8F1DADE533 exp=3401480A8F1DADE533 + 21833.50ns INFO [00021835] Port=1 RD @04 + 21834.50ns INFO [00021836] * RD COMPARE * port=0 adr=03 act=959742926B6FB12787 exp=959742926B6FB12787 + 21834.50ns INFO [00021836] Port=0 WR @03=36D4808599FD80F752 + 21834.50ns INFO [00021836] Port=0 RD @04 + 21835.50ns INFO [00021837] * RD COMPARE * port=1 adr=04 act=15978C6BC22BF56FCB exp=15978C6BC22BF56FCB + 21835.50ns INFO [00021837] Port=0 WR @05=2847CAF3E0CB547C29 + 21836.50ns INFO [00021838] * RD COMPARE * port=0 adr=04 act=15978C6BC22BF56FCB exp=15978C6BC22BF56FCB + 21836.50ns INFO [00021838] Port=0 RD @04 + 21837.50ns INFO [00021839] Port=0 WR @02=47C077E914F0FA1299 + 21838.50ns INFO [00021840] * RD COMPARE * port=0 adr=04 act=15978C6BC22BF56FCB exp=15978C6BC22BF56FCB + 21838.50ns INFO [00021840] Port=0 RD @05 + 21838.50ns INFO [00021840] Port=1 RD @07 + 21839.50ns INFO [00021841] Port=0 WR @04=51AC6229301D6AF902 + 21840.50ns INFO [00021842] * RD COMPARE * port=0 adr=05 act=2847CAF3E0CB547C29 exp=2847CAF3E0CB547C29 + 21840.50ns INFO [00021842] * RD COMPARE * port=1 adr=07 act=66DCD05AFFB1C35747 exp=66DCD05AFFB1C35747 + 21840.50ns INFO [00021842] Port=1 RD @04 + 21841.50ns INFO [00021843] Port=0 RD @02 + 21842.50ns INFO [00021844] * RD COMPARE * port=1 adr=04 act=51AC6229301D6AF902 exp=51AC6229301D6AF902 + 21842.50ns INFO [00021844] Port=0 WR @00=A1AF8A3C1194EE7DB0 + 21842.50ns INFO [00021844] Port=1 RD @06 + 21843.50ns INFO [00021845] * RD COMPARE * port=0 adr=02 act=47C077E914F0FA1299 exp=47C077E914F0FA1299 + 21843.50ns INFO [00021845] Port=0 RD @00 + 21844.50ns INFO [00021846] * RD COMPARE * port=1 adr=06 act=5A2C22E4D036D59F84 exp=5A2C22E4D036D59F84 + 21844.50ns INFO [00021846] Port=0 RD @07 + 21844.50ns INFO [00021846] Port=1 RD @07 + 21845.50ns INFO [00021847] * RD COMPARE * port=0 adr=00 act=A1AF8A3C1194EE7DB0 exp=A1AF8A3C1194EE7DB0 + 21845.50ns INFO [00021847] Port=1 RD @06 + 21846.50ns INFO [00021848] * RD COMPARE * port=0 adr=07 act=66DCD05AFFB1C35747 exp=66DCD05AFFB1C35747 + 21846.50ns INFO [00021848] * RD COMPARE * port=1 adr=07 act=66DCD05AFFB1C35747 exp=66DCD05AFFB1C35747 + 21847.50ns INFO [00021849] * RD COMPARE * port=1 adr=06 act=5A2C22E4D036D59F84 exp=5A2C22E4D036D59F84 + 21847.50ns INFO [00021849] Port=0 WR @06=17BB7DCABFF0D13FA0 + 21847.50ns INFO [00021849] Port=0 RD @03 + 21848.50ns INFO [00021850] Port=0 RD @07 + 21848.50ns INFO [00021850] Port=1 RD @01 + 21849.50ns INFO [00021851] * RD COMPARE * port=0 adr=03 act=36D4808599FD80F752 exp=36D4808599FD80F752 + 21850.50ns INFO [00021852] * RD COMPARE * port=0 adr=07 act=66DCD05AFFB1C35747 exp=66DCD05AFFB1C35747 + 21850.50ns INFO [00021852] * RD COMPARE * port=1 adr=01 act=3401480A8F1DADE533 exp=3401480A8F1DADE533 + 21850.50ns INFO [00021852] Port=0 WR @00=9ABE9678165BB97127 + 21850.50ns INFO [00021852] Port=0 RD @06 + 21850.50ns INFO [00021852] Port=1 RD @02 + 21851.50ns INFO [00021853] Port=0 WR @03=F01A5C7F8AFAB75975 + 21851.50ns INFO [00021853] Port=0 RD @07 + 21851.50ns INFO [00021853] Port=1 RD @01 + 21852.50ns INFO [00021854] * RD COMPARE * port=0 adr=06 act=17BB7DCABFF0D13FA0 exp=17BB7DCABFF0D13FA0 + 21852.50ns INFO [00021854] * RD COMPARE * port=1 adr=02 act=47C077E914F0FA1299 exp=47C077E914F0FA1299 + 21852.50ns INFO [00021854] Port=0 RD @07 + 21853.50ns INFO [00021855] * RD COMPARE * port=0 adr=07 act=66DCD05AFFB1C35747 exp=66DCD05AFFB1C35747 + 21853.50ns INFO [00021855] * RD COMPARE * port=1 adr=01 act=3401480A8F1DADE533 exp=3401480A8F1DADE533 + 21854.50ns INFO [00021856] * RD COMPARE * port=0 adr=07 act=66DCD05AFFB1C35747 exp=66DCD05AFFB1C35747 + 21854.50ns INFO [00021856] Port=0 RD @03 + 21855.50ns INFO [00021857] Port=1 RD @06 + 21856.50ns INFO [00021858] * RD COMPARE * port=0 adr=03 act=F01A5C7F8AFAB75975 exp=F01A5C7F8AFAB75975 + 21856.50ns INFO [00021858] Port=0 WR @00=65B774B389058DE3A2 + 21856.50ns INFO [00021858] Port=0 RD @07 + 21856.50ns INFO [00021858] Port=1 RD @03 + 21857.50ns INFO [00021859] * RD COMPARE * port=1 adr=06 act=17BB7DCABFF0D13FA0 exp=17BB7DCABFF0D13FA0 + 21857.50ns INFO [00021859] Port=0 WR @02=CE030724E5A8DD8117 + 21858.50ns INFO [00021860] * RD COMPARE * port=0 adr=07 act=66DCD05AFFB1C35747 exp=66DCD05AFFB1C35747 + 21858.50ns INFO [00021860] * RD COMPARE * port=1 adr=03 act=F01A5C7F8AFAB75975 exp=F01A5C7F8AFAB75975 + 21858.50ns INFO [00021860] Port=0 RD @01 + 21858.50ns INFO [00021860] Port=1 RD @06 + 21859.50ns INFO [00021861] Port=0 WR @01=6381D51F94F56E5F18 + 21859.50ns INFO [00021861] Port=0 RD @04 + 21859.50ns INFO [00021861] Port=1 RD @07 + 21860.50ns INFO [00021862] * RD COMPARE * port=0 adr=01 act=3401480A8F1DADE533 exp=3401480A8F1DADE533 + 21860.50ns INFO [00021862] * RD COMPARE * port=1 adr=06 act=17BB7DCABFF0D13FA0 exp=17BB7DCABFF0D13FA0 + 21861.50ns INFO [00021863] * RD COMPARE * port=0 adr=04 act=51AC6229301D6AF902 exp=51AC6229301D6AF902 + 21861.50ns INFO [00021863] * RD COMPARE * port=1 adr=07 act=66DCD05AFFB1C35747 exp=66DCD05AFFB1C35747 + 21861.50ns INFO [00021863] Port=1 RD @00 + 21862.50ns INFO [00021864] Port=0 WR @03=3C1CE73BE91AF80167 + 21862.50ns INFO [00021864] Port=1 RD @05 + 21863.50ns INFO [00021865] * RD COMPARE * port=1 adr=00 act=65B774B389058DE3A2 exp=65B774B389058DE3A2 + 21863.50ns INFO [00021865] Port=0 WR @07=5505E56005BC4A393E + 21863.50ns INFO [00021865] Port=0 RD @00 + 21863.50ns INFO [00021865] Port=1 RD @01 + 21864.50ns INFO [00021866] * RD COMPARE * port=1 adr=05 act=2847CAF3E0CB547C29 exp=2847CAF3E0CB547C29 + 21864.50ns INFO [00021866] Port=0 RD @03 + 21865.50ns INFO [00021867] * RD COMPARE * port=0 adr=00 act=65B774B389058DE3A2 exp=65B774B389058DE3A2 + 21865.50ns INFO [00021867] * RD COMPARE * port=1 adr=01 act=6381D51F94F56E5F18 exp=6381D51F94F56E5F18 + 21865.50ns INFO [00021867] Port=0 WR @05=00D0F221E0CCAC0C0C + 21865.50ns INFO [00021867] Port=0 RD @02 + 21866.50ns INFO [00021868] * RD COMPARE * port=0 adr=03 act=3C1CE73BE91AF80167 exp=3C1CE73BE91AF80167 + 21866.50ns INFO [00021868] Port=1 RD @00 + 21867.50ns INFO [00021869] * RD COMPARE * port=0 adr=02 act=CE030724E5A8DD8117 exp=CE030724E5A8DD8117 + 21867.50ns INFO [00021869] Port=0 WR @07=7A50A8243B06A41CCA + 21867.50ns INFO [00021869] Port=0 RD @03 + 21867.50ns INFO [00021869] Port=1 RD @02 + 21868.50ns INFO [00021870] * RD COMPARE * port=1 adr=00 act=65B774B389058DE3A2 exp=65B774B389058DE3A2 + 21868.50ns INFO [00021870] Port=0 RD @05 + 21869.50ns INFO [00021871] * RD COMPARE * port=0 adr=03 act=3C1CE73BE91AF80167 exp=3C1CE73BE91AF80167 + 21869.50ns INFO [00021871] * RD COMPARE * port=1 adr=02 act=CE030724E5A8DD8117 exp=CE030724E5A8DD8117 + 21869.50ns INFO [00021871] Port=0 WR @02=889237C09025E837BC + 21870.50ns INFO [00021872] * RD COMPARE * port=0 adr=05 act=00D0F221E0CCAC0C0C exp=00D0F221E0CCAC0C0C + 21870.50ns INFO [00021872] Port=0 WR @00=057C6CDDBFFBD00E60 + 21870.50ns INFO [00021872] Port=0 RD @03 + 21870.50ns INFO [00021872] Port=1 RD @05 + 21872.50ns INFO [00021874] * RD COMPARE * port=0 adr=03 act=3C1CE73BE91AF80167 exp=3C1CE73BE91AF80167 + 21872.50ns INFO [00021874] * RD COMPARE * port=1 adr=05 act=00D0F221E0CCAC0C0C exp=00D0F221E0CCAC0C0C + 21872.50ns INFO [00021874] Port=0 RD @07 + 21872.50ns INFO [00021874] Port=1 RD @07 + 21873.50ns INFO [00021875] Port=0 RD @07 + 21873.50ns INFO [00021875] Port=1 RD @01 + 21874.50ns INFO [00021876] * RD COMPARE * port=0 adr=07 act=7A50A8243B06A41CCA exp=7A50A8243B06A41CCA + 21874.50ns INFO [00021876] * RD COMPARE * port=1 adr=07 act=7A50A8243B06A41CCA exp=7A50A8243B06A41CCA + 21874.50ns INFO [00021876] Port=0 RD @05 + 21875.50ns INFO [00021877] * RD COMPARE * port=0 adr=07 act=7A50A8243B06A41CCA exp=7A50A8243B06A41CCA + 21875.50ns INFO [00021877] * RD COMPARE * port=1 adr=01 act=6381D51F94F56E5F18 exp=6381D51F94F56E5F18 + 21875.50ns INFO [00021877] Port=0 RD @06 + 21876.50ns INFO [00021878] * RD COMPARE * port=0 adr=05 act=00D0F221E0CCAC0C0C exp=00D0F221E0CCAC0C0C + 21876.50ns INFO [00021878] Port=0 WR @07=195A46320F3EF4313B + 21876.50ns INFO [00021878] Port=0 RD @02 + 21877.50ns INFO [00021879] * RD COMPARE * port=0 adr=06 act=17BB7DCABFF0D13FA0 exp=17BB7DCABFF0D13FA0 + 21878.50ns INFO [00021880] * RD COMPARE * port=0 adr=02 act=889237C09025E837BC exp=889237C09025E837BC + 21878.50ns INFO [00021880] Port=0 WR @01=1A1948661EBA2149A8 + 21879.50ns INFO [00021881] Port=1 RD @07 + 21880.50ns INFO [00021882] Port=1 RD @04 + 21881.50ns INFO [00021883] * RD COMPARE * port=1 adr=07 act=195A46320F3EF4313B exp=195A46320F3EF4313B + 21881.50ns INFO [00021883] Port=0 WR @00=59DB43ABF64FC7A78E + 21882.50ns INFO [00021884] * RD COMPARE * port=1 adr=04 act=51AC6229301D6AF902 exp=51AC6229301D6AF902 + 21883.50ns INFO [00021885] Port=1 RD @07 + 21884.50ns INFO [00021886] Port=1 RD @07 + 21885.50ns INFO [00021887] * RD COMPARE * port=1 adr=07 act=195A46320F3EF4313B exp=195A46320F3EF4313B + 21886.50ns INFO [00021888] * RD COMPARE * port=1 adr=07 act=195A46320F3EF4313B exp=195A46320F3EF4313B + 21887.50ns INFO [00021889] Port=0 WR @06=AF616822E354E382B8 + 21887.50ns INFO [00021889] Port=1 RD @05 + 21888.50ns INFO [00021890] Port=0 RD @05 + 21889.50ns INFO [00021891] * RD COMPARE * port=1 adr=05 act=00D0F221E0CCAC0C0C exp=00D0F221E0CCAC0C0C + 21889.50ns INFO [00021891] Port=0 WR @07=E2672AFF1145E65435 + 21889.50ns INFO [00021891] Port=0 RD @05 + 21890.50ns INFO [00021892] * RD COMPARE * port=0 adr=05 act=00D0F221E0CCAC0C0C exp=00D0F221E0CCAC0C0C + 21890.50ns INFO [00021892] Port=0 RD @03 + 21891.50ns INFO [00021893] * RD COMPARE * port=0 adr=05 act=00D0F221E0CCAC0C0C exp=00D0F221E0CCAC0C0C + 21891.50ns INFO [00021893] Port=0 WR @02=44D4A5DAE65CF87D71 + 21891.50ns INFO [00021893] Port=1 RD @01 + 21892.50ns INFO [00021894] * RD COMPARE * port=0 adr=03 act=3C1CE73BE91AF80167 exp=3C1CE73BE91AF80167 + 21893.50ns INFO [00021895] * RD COMPARE * port=1 adr=01 act=1A1948661EBA2149A8 exp=1A1948661EBA2149A8 + 21893.50ns INFO [00021895] Port=0 WR @05=691DD8C57B29FC5398 + 21894.50ns INFO [00021896] Port=0 WR @01=AB463EC8BED3FD48BC + 21895.50ns INFO [00021897] Port=1 RD @03 + 21896.50ns INFO [00021898] Port=0 WR @07=1ED0C21632F60660A1 + 21896.50ns INFO [00021898] Port=0 RD @05 + 21897.50ns INFO [00021899] * RD COMPARE * port=1 adr=03 act=3C1CE73BE91AF80167 exp=3C1CE73BE91AF80167 + 21898.00ns INFO [00021900] [00021900] ...tick... + 21898.50ns INFO [00021900] * RD COMPARE * port=0 adr=05 act=691DD8C57B29FC5398 exp=691DD8C57B29FC5398 + 21899.50ns INFO [00021901] Port=0 WR @05=A2485B6C0608B95DD9 + 21899.50ns INFO [00021901] Port=1 RD @07 + 21901.50ns INFO [00021903] * RD COMPARE * port=1 adr=07 act=1ED0C21632F60660A1 exp=1ED0C21632F60660A1 + 21901.50ns INFO [00021903] Port=0 RD @02 + 21903.50ns INFO [00021905] * RD COMPARE * port=0 adr=02 act=44D4A5DAE65CF87D71 exp=44D4A5DAE65CF87D71 + 21903.50ns INFO [00021905] Port=0 RD @00 + 21903.50ns INFO [00021905] Port=1 RD @05 + 21904.50ns INFO [00021906] Port=0 WR @03=CD512144EBCE2F07E1 + 21905.50ns INFO [00021907] * RD COMPARE * port=0 adr=00 act=59DB43ABF64FC7A78E exp=59DB43ABF64FC7A78E + 21905.50ns INFO [00021907] * RD COMPARE * port=1 adr=05 act=A2485B6C0608B95DD9 exp=A2485B6C0608B95DD9 + 21906.50ns INFO [00021908] Port=0 WR @05=4736E09C153D378335 + 21906.50ns INFO [00021908] Port=1 RD @01 + 21907.50ns INFO [00021909] Port=0 WR @05=2364B2769E7B212A31 + 21908.50ns INFO [00021910] * RD COMPARE * port=1 adr=01 act=AB463EC8BED3FD48BC exp=AB463EC8BED3FD48BC + 21908.50ns INFO [00021910] Port=0 WR @00=21535A87FE0EF46B15 + 21909.50ns INFO [00021911] Port=0 WR @04=5EF753C74A08F45421 + 21909.50ns INFO [00021911] Port=0 RD @06 + 21909.50ns INFO [00021911] Port=1 RD @02 + 21910.50ns INFO [00021912] Port=0 WR @04=8C904EE878DAF2679A + 21910.50ns INFO [00021912] Port=0 RD @02 + 21911.50ns INFO [00021913] * RD COMPARE * port=0 adr=06 act=AF616822E354E382B8 exp=AF616822E354E382B8 + 21911.50ns INFO [00021913] * RD COMPARE * port=1 adr=02 act=44D4A5DAE65CF87D71 exp=44D4A5DAE65CF87D71 + 21911.50ns INFO [00021913] Port=1 RD @02 + 21912.50ns INFO [00021914] * RD COMPARE * port=0 adr=02 act=44D4A5DAE65CF87D71 exp=44D4A5DAE65CF87D71 + 21913.50ns INFO [00021915] * RD COMPARE * port=1 adr=02 act=44D4A5DAE65CF87D71 exp=44D4A5DAE65CF87D71 + 21913.50ns INFO [00021915] Port=0 WR @05=65B8E14F92E9E25BFF + 21913.50ns INFO [00021915] Port=1 RD @07 + 21914.50ns INFO [00021916] Port=0 RD @02 + 21915.50ns INFO [00021917] * RD COMPARE * port=1 adr=07 act=1ED0C21632F60660A1 exp=1ED0C21632F60660A1 + 21915.50ns INFO [00021917] Port=0 RD @07 + 21915.50ns INFO [00021917] Port=1 RD @03 + 21916.50ns INFO [00021918] * RD COMPARE * port=0 adr=02 act=44D4A5DAE65CF87D71 exp=44D4A5DAE65CF87D71 + 21916.50ns INFO [00021918] Port=0 WR @01=90F13FF62CAB907BB3 + 21916.50ns INFO [00021918] Port=0 RD @04 + 21916.50ns INFO [00021918] Port=1 RD @00 + 21917.50ns INFO [00021919] * RD COMPARE * port=0 adr=07 act=1ED0C21632F60660A1 exp=1ED0C21632F60660A1 + 21917.50ns INFO [00021919] * RD COMPARE * port=1 adr=03 act=CD512144EBCE2F07E1 exp=CD512144EBCE2F07E1 + 21917.50ns INFO [00021919] Port=1 RD @06 + 21918.50ns INFO [00021920] * RD COMPARE * port=0 adr=04 act=8C904EE878DAF2679A exp=8C904EE878DAF2679A + 21918.50ns INFO [00021920] * RD COMPARE * port=1 adr=00 act=21535A87FE0EF46B15 exp=21535A87FE0EF46B15 + 21918.50ns INFO [00021920] Port=0 WR @06=E51F42825E4AE04A6B + 21919.50ns INFO [00021921] * RD COMPARE * port=1 adr=06 act=AF616822E354E382B8 exp=AF616822E354E382B8 + 21919.50ns INFO [00021921] Port=0 WR @06=9BF314F975D662EAC7 + 21919.50ns INFO [00021921] Port=1 RD @04 + 21921.50ns INFO [00021923] * RD COMPARE * port=1 adr=04 act=8C904EE878DAF2679A exp=8C904EE878DAF2679A + 21921.50ns INFO [00021923] Port=1 RD @05 + 21923.50ns INFO [00021925] * RD COMPARE * port=1 adr=05 act=65B8E14F92E9E25BFF exp=65B8E14F92E9E25BFF + 21923.50ns INFO [00021925] Port=0 WR @02=A55D35498F7F05F8E5 + 21924.50ns INFO [00021926] Port=0 RD @05 + 21924.50ns INFO [00021926] Port=1 RD @05 + 21926.50ns INFO [00021928] * RD COMPARE * port=0 adr=05 act=65B8E14F92E9E25BFF exp=65B8E14F92E9E25BFF + 21926.50ns INFO [00021928] * RD COMPARE * port=1 adr=05 act=65B8E14F92E9E25BFF exp=65B8E14F92E9E25BFF + 21926.50ns INFO [00021928] Port=0 RD @01 + 21927.50ns INFO [00021929] Port=0 WR @04=0A434F392D96C86CC4 + 21928.50ns INFO [00021930] * RD COMPARE * port=0 adr=01 act=90F13FF62CAB907BB3 exp=90F13FF62CAB907BB3 + 21929.50ns INFO [00021931] Port=0 WR @07=F121767A00002F8D2A + 21929.50ns INFO [00021931] Port=0 RD @03 + 21930.50ns INFO [00021932] Port=0 WR @00=3B8F523DC33B5F1D15 + 21931.50ns INFO [00021933] * RD COMPARE * port=0 adr=03 act=CD512144EBCE2F07E1 exp=CD512144EBCE2F07E1 + 21931.50ns INFO [00021933] Port=0 RD @01 + 21932.50ns INFO [00021934] Port=0 WR @05=B77FA8FD5ED4456A71 + 21932.50ns INFO [00021934] Port=0 RD @02 + 21933.50ns INFO [00021935] * RD COMPARE * port=0 adr=01 act=90F13FF62CAB907BB3 exp=90F13FF62CAB907BB3 + 21933.50ns INFO [00021935] Port=0 WR @01=8F5F5ED0F3D50389E2 + 21934.50ns INFO [00021936] * RD COMPARE * port=0 adr=02 act=A55D35498F7F05F8E5 exp=A55D35498F7F05F8E5 + 21934.50ns INFO [00021936] Port=0 RD @01 + 21936.50ns INFO [00021938] * RD COMPARE * port=0 adr=01 act=8F5F5ED0F3D50389E2 exp=8F5F5ED0F3D50389E2 + 21936.50ns INFO [00021938] Port=0 WR @07=682B255B55E9B94CD9 + 21943.50ns INFO [00021945] Port=0 RD @01 + 21944.50ns INFO [00021946] Port=1 RD @03 + 21945.50ns INFO [00021947] * RD COMPARE * port=0 adr=01 act=8F5F5ED0F3D50389E2 exp=8F5F5ED0F3D50389E2 + 21945.50ns INFO [00021947] Port=0 WR @05=12FD6434B6BB280F79 + 21945.50ns INFO [00021947] Port=0 RD @04 + 21945.50ns INFO [00021947] Port=1 RD @00 + 21946.50ns INFO [00021948] * RD COMPARE * port=1 adr=03 act=CD512144EBCE2F07E1 exp=CD512144EBCE2F07E1 + 21946.50ns INFO [00021948] Port=0 WR @04=3F53F6BF5340F04857 + 21946.50ns INFO [00021948] Port=0 RD @02 + 21947.50ns INFO [00021949] * RD COMPARE * port=0 adr=04 act=0A434F392D96C86CC4 exp=0A434F392D96C86CC4 + 21947.50ns INFO [00021949] * RD COMPARE * port=1 adr=00 act=3B8F523DC33B5F1D15 exp=3B8F523DC33B5F1D15 + 21947.50ns INFO [00021949] Port=0 RD @05 + 21948.50ns INFO [00021950] * RD COMPARE * port=0 adr=02 act=A55D35498F7F05F8E5 exp=A55D35498F7F05F8E5 + 21948.50ns INFO [00021950] Port=0 RD @07 + 21949.50ns INFO [00021951] * RD COMPARE * port=0 adr=05 act=12FD6434B6BB280F79 exp=12FD6434B6BB280F79 + 21949.50ns INFO [00021951] Port=0 RD @06 + 21950.50ns INFO [00021952] * RD COMPARE * port=0 adr=07 act=682B255B55E9B94CD9 exp=682B255B55E9B94CD9 + 21950.50ns INFO [00021952] Port=0 WR @02=9BD2093349CE15EACA + 21951.50ns INFO [00021953] * RD COMPARE * port=0 adr=06 act=9BF314F975D662EAC7 exp=9BF314F975D662EAC7 + 21951.50ns INFO [00021953] Port=0 RD @04 + 21952.50ns INFO [00021954] Port=0 RD @03 + 21952.50ns INFO [00021954] Port=1 RD @00 + 21953.50ns INFO [00021955] * RD COMPARE * port=0 adr=04 act=3F53F6BF5340F04857 exp=3F53F6BF5340F04857 + 21953.50ns INFO [00021955] Port=0 RD @01 + 21953.50ns INFO [00021955] Port=1 RD @07 + 21954.50ns INFO [00021956] * RD COMPARE * port=0 adr=03 act=CD512144EBCE2F07E1 exp=CD512144EBCE2F07E1 + 21954.50ns INFO [00021956] * RD COMPARE * port=1 adr=00 act=3B8F523DC33B5F1D15 exp=3B8F523DC33B5F1D15 + 21954.50ns INFO [00021956] Port=0 WR @06=D8C334C82F386728F5 + 21954.50ns INFO [00021956] Port=0 RD @03 + 21955.50ns INFO [00021957] * RD COMPARE * port=0 adr=01 act=8F5F5ED0F3D50389E2 exp=8F5F5ED0F3D50389E2 + 21955.50ns INFO [00021957] * RD COMPARE * port=1 adr=07 act=682B255B55E9B94CD9 exp=682B255B55E9B94CD9 + 21955.50ns INFO [00021957] Port=0 WR @03=C47991CF545B09EC99 + 21955.50ns INFO [00021957] Port=1 RD @02 + 21956.50ns INFO [00021958] * RD COMPARE * port=0 adr=03 act=CD512144EBCE2F07E1 exp=CD512144EBCE2F07E1 + 21957.50ns INFO [00021959] * RD COMPARE * port=1 adr=02 act=9BD2093349CE15EACA exp=9BD2093349CE15EACA + 21957.50ns INFO [00021959] Port=0 RD @04 + 21958.50ns INFO [00021960] Port=1 RD @05 + 21959.50ns INFO [00021961] * RD COMPARE * port=0 adr=04 act=3F53F6BF5340F04857 exp=3F53F6BF5340F04857 + 21959.50ns INFO [00021961] Port=0 WR @05=CF848D5B90469E5934 + 21960.50ns INFO [00021962] * RD COMPARE * port=1 adr=05 act=12FD6434B6BB280F79 exp=12FD6434B6BB280F79 + 21960.50ns INFO [00021962] Port=1 RD @04 + 21961.50ns INFO [00021963] Port=0 WR @05=F6552B854F24A6E70F + 21961.50ns INFO [00021963] Port=0 RD @01 + 21961.50ns INFO [00021963] Port=1 RD @04 + 21962.50ns INFO [00021964] * RD COMPARE * port=1 adr=04 act=3F53F6BF5340F04857 exp=3F53F6BF5340F04857 + 21962.50ns INFO [00021964] Port=0 WR @01=C76468671BC5B6D311 + 21962.50ns INFO [00021964] Port=0 RD @04 + 21963.50ns INFO [00021965] * RD COMPARE * port=0 adr=01 act=8F5F5ED0F3D50389E2 exp=8F5F5ED0F3D50389E2 + 21963.50ns INFO [00021965] * RD COMPARE * port=1 adr=04 act=3F53F6BF5340F04857 exp=3F53F6BF5340F04857 + 21963.50ns INFO [00021965] Port=0 WR @05=E9F182C80B705B5438 + 21964.50ns INFO [00021966] * RD COMPARE * port=0 adr=04 act=3F53F6BF5340F04857 exp=3F53F6BF5340F04857 + 21964.50ns INFO [00021966] Port=0 WR @02=F9A1E9BDDDCDBE180B + 21964.50ns INFO [00021966] Port=1 RD @05 + 21965.50ns INFO [00021967] Port=0 WR @04=0B52AD443465361D6F + 21965.50ns INFO [00021967] Port=1 RD @00 + 21966.50ns INFO [00021968] * RD COMPARE * port=1 adr=05 act=E9F182C80B705B5438 exp=E9F182C80B705B5438 + 21966.50ns INFO [00021968] Port=0 RD @00 + 21967.50ns INFO [00021969] * RD COMPARE * port=1 adr=00 act=3B8F523DC33B5F1D15 exp=3B8F523DC33B5F1D15 + 21967.50ns INFO [00021969] Port=0 WR @07=79CDB58FAEFBC4D8E6 + 21967.50ns INFO [00021969] Port=1 RD @06 + 21968.50ns INFO [00021970] * RD COMPARE * port=0 adr=00 act=3B8F523DC33B5F1D15 exp=3B8F523DC33B5F1D15 + 21968.50ns INFO [00021970] Port=0 WR @02=17CC824BFC39F4680F + 21969.50ns INFO [00021971] * RD COMPARE * port=1 adr=06 act=D8C334C82F386728F5 exp=D8C334C82F386728F5 + 21969.50ns INFO [00021971] Port=0 WR @06=BE000AB38493AA417D + 21969.50ns INFO [00021971] Port=1 RD @02 + 21970.50ns INFO [00021972] Port=0 WR @05=02CDB68D24695ECE92 + 21971.50ns INFO [00021973] * RD COMPARE * port=1 adr=02 act=17CC824BFC39F4680F exp=17CC824BFC39F4680F + 21971.50ns INFO [00021973] Port=0 WR @05=28D71E3FE9757C03C5 + 21972.50ns INFO [00021974] Port=0 RD @06 + 21972.50ns INFO [00021974] Port=1 RD @05 + 21973.50ns INFO [00021975] Port=0 RD @06 + 21973.50ns INFO [00021975] Port=1 RD @03 + 21974.50ns INFO [00021976] * RD COMPARE * port=0 adr=06 act=BE000AB38493AA417D exp=BE000AB38493AA417D + 21974.50ns INFO [00021976] * RD COMPARE * port=1 adr=05 act=28D71E3FE9757C03C5 exp=28D71E3FE9757C03C5 + 21974.50ns INFO [00021976] Port=0 RD @05 + 21974.50ns INFO [00021976] Port=1 RD @04 + 21975.50ns INFO [00021977] * RD COMPARE * port=0 adr=06 act=BE000AB38493AA417D exp=BE000AB38493AA417D + 21975.50ns INFO [00021977] * RD COMPARE * port=1 adr=03 act=C47991CF545B09EC99 exp=C47991CF545B09EC99 + 21975.50ns INFO [00021977] Port=0 WR @00=9EC7AF84B358678382 + 21976.50ns INFO [00021978] * RD COMPARE * port=0 adr=05 act=28D71E3FE9757C03C5 exp=28D71E3FE9757C03C5 + 21976.50ns INFO [00021978] * RD COMPARE * port=1 adr=04 act=0B52AD443465361D6F exp=0B52AD443465361D6F + 21976.50ns INFO [00021978] Port=0 RD @04 + 21977.50ns INFO [00021979] Port=0 RD @05 + 21978.50ns INFO [00021980] * RD COMPARE * port=0 adr=04 act=0B52AD443465361D6F exp=0B52AD443465361D6F + 21979.50ns INFO [00021981] * RD COMPARE * port=0 adr=05 act=28D71E3FE9757C03C5 exp=28D71E3FE9757C03C5 + 21979.50ns INFO [00021981] Port=0 WR @05=DBAEA6A3D7775B61FF + 21979.50ns INFO [00021981] Port=1 RD @03 + 21981.50ns INFO [00021983] * RD COMPARE * port=1 adr=03 act=C47991CF545B09EC99 exp=C47991CF545B09EC99 + 21981.50ns INFO [00021983] Port=0 WR @02=E0C764405FA29C9850 + 21981.50ns INFO [00021983] Port=1 RD @03 + 21983.50ns INFO [00021985] * RD COMPARE * port=1 adr=03 act=C47991CF545B09EC99 exp=C47991CF545B09EC99 + 21983.50ns INFO [00021985] Port=1 RD @07 + 21984.50ns INFO [00021986] Port=0 RD @07 + 21985.50ns INFO [00021987] * RD COMPARE * port=1 adr=07 act=79CDB58FAEFBC4D8E6 exp=79CDB58FAEFBC4D8E6 + 21985.50ns INFO [00021987] Port=1 RD @04 + 21986.50ns INFO [00021988] * RD COMPARE * port=0 adr=07 act=79CDB58FAEFBC4D8E6 exp=79CDB58FAEFBC4D8E6 + 21986.50ns INFO [00021988] Port=0 WR @05=C57820733061D7E202 + 21987.50ns INFO [00021989] * RD COMPARE * port=1 adr=04 act=0B52AD443465361D6F exp=0B52AD443465361D6F + 21987.50ns INFO [00021989] Port=0 WR @06=16FA334C66CFBD1B15 + 21987.50ns INFO [00021989] Port=0 RD @02 + 21989.50ns INFO [00021991] * RD COMPARE * port=0 adr=02 act=E0C764405FA29C9850 exp=E0C764405FA29C9850 + 21989.50ns INFO [00021991] Port=0 WR @07=E77AE4DF750733FA38 + 21989.50ns INFO [00021991] Port=1 RD @01 + 21990.50ns INFO [00021992] Port=0 WR @01=AAE91A0A44364A029B + 21991.50ns INFO [00021993] * RD COMPARE * port=1 adr=01 act=C76468671BC5B6D311 exp=C76468671BC5B6D311 + 21991.50ns INFO [00021993] Port=0 WR @06=6EBC5FF9C0BC8BE7A2 + 21991.50ns INFO [00021993] Port=0 RD @05 + 21992.50ns INFO [00021994] Port=0 RD @03 + 21992.50ns INFO [00021994] Port=1 RD @00 + 21993.50ns INFO [00021995] * RD COMPARE * port=0 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 21993.50ns INFO [00021995] Port=0 WR @04=B9CBBA1AA0083B5F80 + 21994.50ns INFO [00021996] * RD COMPARE * port=0 adr=03 act=C47991CF545B09EC99 exp=C47991CF545B09EC99 + 21994.50ns INFO [00021996] * RD COMPARE * port=1 adr=00 act=9EC7AF84B358678382 exp=9EC7AF84B358678382 + 21995.50ns INFO [00021997] Port=0 WR @06=3C5F8126AB6FD1B9D8 + 21995.50ns INFO [00021997] Port=0 RD @04 + 21997.50ns INFO [00021999] * RD COMPARE * port=0 adr=04 act=B9CBBA1AA0083B5F80 exp=B9CBBA1AA0083B5F80 + 21997.50ns INFO [00021999] Port=0 WR @02=60BA47AF5E9F2662AC + 21997.50ns INFO [00021999] Port=0 RD @05 + 21998.00ns INFO [00022000] [00022000] ...tick... + 21998.50ns INFO [00022000] Port=1 RD @05 + 21999.50ns INFO [00022001] * RD COMPARE * port=0 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 21999.50ns INFO [00022001] Port=0 WR @01=5A75D0BEDC4D0771D6 + 22000.50ns INFO [00022002] * RD COMPARE * port=1 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22000.50ns INFO [00022002] Port=1 RD @00 + 22001.50ns INFO [00022003] Port=0 WR @07=CAB2EE9F2D0AA55695 + 22001.50ns INFO [00022003] Port=1 RD @02 + 22002.50ns INFO [00022004] * RD COMPARE * port=1 adr=00 act=9EC7AF84B358678382 exp=9EC7AF84B358678382 + 22002.50ns INFO [00022004] Port=0 WR @07=26D2365B984B032FB1 + 22003.50ns INFO [00022005] * RD COMPARE * port=1 adr=02 act=60BA47AF5E9F2662AC exp=60BA47AF5E9F2662AC + 22003.50ns INFO [00022005] Port=0 WR @03=CB0A7FF0AE909A99CD + 22003.50ns INFO [00022005] Port=1 RD @02 + 22004.50ns INFO [00022006] Port=0 WR @02=2F29B41CF6C54C49BD + 22005.50ns INFO [00022007] * RD COMPARE * port=1 adr=02 act=60BA47AF5E9F2662AC exp=60BA47AF5E9F2662AC + 22005.50ns INFO [00022007] Port=1 RD @06 + 22006.50ns INFO [00022008] Port=0 RD @05 + 22006.50ns INFO [00022008] Port=1 RD @06 + 22007.50ns INFO [00022009] * RD COMPARE * port=1 adr=06 act=3C5F8126AB6FD1B9D8 exp=3C5F8126AB6FD1B9D8 + 22007.50ns INFO [00022009] Port=1 RD @05 + 22008.50ns INFO [00022010] * RD COMPARE * port=0 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22008.50ns INFO [00022010] * RD COMPARE * port=1 adr=06 act=3C5F8126AB6FD1B9D8 exp=3C5F8126AB6FD1B9D8 + 22008.50ns INFO [00022010] Port=0 WR @07=9AF4E5671C1EC2A00B + 22008.50ns INFO [00022010] Port=1 RD @03 + 22009.50ns INFO [00022011] * RD COMPARE * port=1 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22009.50ns INFO [00022011] Port=0 WR @03=3DE9308590CDBC4E77 + 22009.50ns INFO [00022011] Port=0 RD @02 + 22010.50ns INFO [00022012] * RD COMPARE * port=1 adr=03 act=CB0A7FF0AE909A99CD exp=CB0A7FF0AE909A99CD + 22010.50ns INFO [00022012] Port=0 WR @02=3C1B5930C655E2EBDD + 22010.50ns INFO [00022012] Port=0 RD @01 + 22011.50ns INFO [00022013] * RD COMPARE * port=0 adr=02 act=2F29B41CF6C54C49BD exp=2F29B41CF6C54C49BD + 22012.50ns INFO [00022014] * RD COMPARE * port=0 adr=01 act=5A75D0BEDC4D0771D6 exp=5A75D0BEDC4D0771D6 + 22012.50ns INFO [00022014] Port=0 RD @07 + 22013.50ns INFO [00022015] Port=0 WR @01=C01A3510A028322C6D + 22014.50ns INFO [00022016] * RD COMPARE * port=0 adr=07 act=9AF4E5671C1EC2A00B exp=9AF4E5671C1EC2A00B + 22014.50ns INFO [00022016] Port=0 RD @03 + 22014.50ns INFO [00022016] Port=1 RD @03 + 22015.50ns INFO [00022017] Port=0 RD @05 + 22016.50ns INFO [00022018] * RD COMPARE * port=0 adr=03 act=3DE9308590CDBC4E77 exp=3DE9308590CDBC4E77 + 22016.50ns INFO [00022018] * RD COMPARE * port=1 adr=03 act=3DE9308590CDBC4E77 exp=3DE9308590CDBC4E77 + 22016.50ns INFO [00022018] Port=0 WR @06=86E94AC4052054335F + 22016.50ns INFO [00022018] Port=1 RD @05 + 22017.50ns INFO [00022019] * RD COMPARE * port=0 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22017.50ns INFO [00022019] Port=0 WR @04=5B27A5C860D6427238 + 22017.50ns INFO [00022019] Port=0 RD @06 + 22018.50ns INFO [00022020] * RD COMPARE * port=1 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22018.50ns INFO [00022020] Port=0 RD @00 + 22019.50ns INFO [00022021] * RD COMPARE * port=0 adr=06 act=86E94AC4052054335F exp=86E94AC4052054335F + 22019.50ns INFO [00022021] Port=0 RD @06 + 22020.50ns INFO [00022022] * RD COMPARE * port=0 adr=00 act=9EC7AF84B358678382 exp=9EC7AF84B358678382 + 22020.50ns INFO [00022022] Port=1 RD @05 + 22021.50ns INFO [00022023] * RD COMPARE * port=0 adr=06 act=86E94AC4052054335F exp=86E94AC4052054335F + 22021.50ns INFO [00022023] Port=1 RD @07 + 22022.50ns INFO [00022024] * RD COMPARE * port=1 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22022.50ns INFO [00022024] Port=0 WR @07=452743DF20117998F4 + 22023.50ns INFO [00022025] * RD COMPARE * port=1 adr=07 act=9AF4E5671C1EC2A00B exp=9AF4E5671C1EC2A00B + 22023.50ns INFO [00022025] Port=0 RD @04 + 22024.50ns INFO [00022026] Port=0 WR @02=9E4CC8B2D493EBC123 + 22024.50ns INFO [00022026] Port=1 RD @05 + 22025.50ns INFO [00022027] * RD COMPARE * port=0 adr=04 act=5B27A5C860D6427238 exp=5B27A5C860D6427238 + 22025.50ns INFO [00022027] Port=1 RD @04 + 22026.50ns INFO [00022028] * RD COMPARE * port=1 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22027.50ns INFO [00022029] * RD COMPARE * port=1 adr=04 act=5B27A5C860D6427238 exp=5B27A5C860D6427238 + 22027.50ns INFO [00022029] Port=0 WR @00=7D5EB9FF643A653A52 + 22029.50ns INFO [00022031] Port=1 RD @01 + 22030.50ns INFO [00022032] Port=0 WR @01=F1B46A4D856E2BC284 + 22030.50ns INFO [00022032] Port=0 RD @02 + 22031.50ns INFO [00022033] * RD COMPARE * port=1 adr=01 act=C01A3510A028322C6D exp=C01A3510A028322C6D + 22032.50ns INFO [00022034] * RD COMPARE * port=0 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22032.50ns INFO [00022034] Port=1 RD @00 + 22033.50ns INFO [00022035] Port=0 WR @07=FC5B13C0742182496B + 22033.50ns INFO [00022035] Port=0 RD @06 + 22034.50ns INFO [00022036] * RD COMPARE * port=1 adr=00 act=7D5EB9FF643A653A52 exp=7D5EB9FF643A653A52 + 22034.50ns INFO [00022036] Port=1 RD @01 + 22035.50ns INFO [00022037] * RD COMPARE * port=0 adr=06 act=86E94AC4052054335F exp=86E94AC4052054335F + 22035.50ns INFO [00022037] Port=0 WR @00=A67652177B86C2757C + 22036.50ns INFO [00022038] * RD COMPARE * port=1 adr=01 act=F1B46A4D856E2BC284 exp=F1B46A4D856E2BC284 + 22036.50ns INFO [00022038] Port=0 RD @02 + 22037.50ns INFO [00022039] Port=0 RD @02 + 22038.50ns INFO [00022040] * RD COMPARE * port=0 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22038.50ns INFO [00022040] Port=0 WR @01=B519D32F5D54ED6E97 + 22039.50ns INFO [00022041] * RD COMPARE * port=0 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22039.50ns INFO [00022041] Port=0 WR @06=CE031A3ED2DEA7A54D + 22040.50ns INFO [00022042] Port=0 WR @07=9254948350683F7E41 + 22040.50ns INFO [00022042] Port=1 RD @02 + 22041.50ns INFO [00022043] Port=0 RD @06 + 22041.50ns INFO [00022043] Port=1 RD @00 + 22042.50ns INFO [00022044] * RD COMPARE * port=1 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22042.50ns INFO [00022044] Port=1 RD @00 + 22043.50ns INFO [00022045] * RD COMPARE * port=0 adr=06 act=CE031A3ED2DEA7A54D exp=CE031A3ED2DEA7A54D + 22043.50ns INFO [00022045] * RD COMPARE * port=1 adr=00 act=A67652177B86C2757C exp=A67652177B86C2757C + 22043.50ns INFO [00022045] Port=0 RD @01 + 22044.50ns INFO [00022046] * RD COMPARE * port=1 adr=00 act=A67652177B86C2757C exp=A67652177B86C2757C + 22045.50ns INFO [00022047] * RD COMPARE * port=0 adr=01 act=B519D32F5D54ED6E97 exp=B519D32F5D54ED6E97 + 22046.50ns INFO [00022048] Port=1 RD @04 + 22047.50ns INFO [00022049] Port=0 WR @06=AECB5C06D860FF6060 + 22048.50ns INFO [00022050] * RD COMPARE * port=1 adr=04 act=5B27A5C860D6427238 exp=5B27A5C860D6427238 + 22048.50ns INFO [00022050] Port=0 WR @01=E8DDCE8EBEB6E5FAC4 + 22049.50ns INFO [00022051] Port=0 WR @06=90B34F28BD1F9DDE16 + 22049.50ns INFO [00022051] Port=0 RD @02 + 22050.50ns INFO [00022052] Port=0 WR @03=1C6DFAFD97A9DF8B64 + 22051.50ns INFO [00022053] * RD COMPARE * port=0 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22051.50ns INFO [00022053] Port=0 WR @03=76004F47CC139D67F9 + 22051.50ns INFO [00022053] Port=0 RD @05 + 22052.50ns INFO [00022054] Port=0 RD @03 + 22052.50ns INFO [00022054] Port=1 RD @02 + 22053.50ns INFO [00022055] * RD COMPARE * port=0 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22053.50ns INFO [00022055] Port=0 RD @02 + 22053.50ns INFO [00022055] Port=1 RD @05 + 22054.50ns INFO [00022056] * RD COMPARE * port=0 adr=03 act=76004F47CC139D67F9 exp=76004F47CC139D67F9 + 22054.50ns INFO [00022056] * RD COMPARE * port=1 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22054.50ns INFO [00022056] Port=0 RD @02 + 22054.50ns INFO [00022056] Port=1 RD @02 + 22055.50ns INFO [00022057] * RD COMPARE * port=0 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22055.50ns INFO [00022057] * RD COMPARE * port=1 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22056.50ns INFO [00022058] * RD COMPARE * port=0 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22056.50ns INFO [00022058] * RD COMPARE * port=1 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22056.50ns INFO [00022058] Port=1 RD @04 + 22058.50ns INFO [00022060] * RD COMPARE * port=1 adr=04 act=5B27A5C860D6427238 exp=5B27A5C860D6427238 + 22059.50ns INFO [00022061] Port=1 RD @02 + 22060.50ns INFO [00022062] Port=0 WR @06=751A9ECD90DEA6A5D4 + 22060.50ns INFO [00022062] Port=0 RD @01 + 22061.50ns INFO [00022063] * RD COMPARE * port=1 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22061.50ns INFO [00022063] Port=0 RD @06 + 22061.50ns INFO [00022063] Port=1 RD @06 + 22062.50ns INFO [00022064] * RD COMPARE * port=0 adr=01 act=E8DDCE8EBEB6E5FAC4 exp=E8DDCE8EBEB6E5FAC4 + 22063.50ns INFO [00022065] * RD COMPARE * port=0 adr=06 act=751A9ECD90DEA6A5D4 exp=751A9ECD90DEA6A5D4 + 22063.50ns INFO [00022065] * RD COMPARE * port=1 adr=06 act=751A9ECD90DEA6A5D4 exp=751A9ECD90DEA6A5D4 + 22063.50ns INFO [00022065] Port=0 WR @06=F209E20689FED6376D + 22064.50ns INFO [00022066] Port=0 RD @03 + 22064.50ns INFO [00022066] Port=1 RD @07 + 22065.50ns INFO [00022067] Port=0 WR @07=A378C49B5D178FFD6A + 22065.50ns INFO [00022067] Port=0 RD @02 + 22066.50ns INFO [00022068] * RD COMPARE * port=0 adr=03 act=76004F47CC139D67F9 exp=76004F47CC139D67F9 + 22066.50ns INFO [00022068] * RD COMPARE * port=1 adr=07 act=9254948350683F7E41 exp=9254948350683F7E41 + 22066.50ns INFO [00022068] Port=0 WR @02=04F01388EFEA543BE4 + 22066.50ns INFO [00022068] Port=1 RD @03 + 22067.50ns INFO [00022069] * RD COMPARE * port=0 adr=02 act=9E4CC8B2D493EBC123 exp=9E4CC8B2D493EBC123 + 22067.50ns INFO [00022069] Port=0 RD @02 + 22067.50ns INFO [00022069] Port=1 RD @04 + 22068.50ns INFO [00022070] * RD COMPARE * port=1 adr=03 act=76004F47CC139D67F9 exp=76004F47CC139D67F9 + 22068.50ns INFO [00022070] Port=0 RD @05 + 22069.50ns INFO [00022071] * RD COMPARE * port=0 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22069.50ns INFO [00022071] * RD COMPARE * port=1 adr=04 act=5B27A5C860D6427238 exp=5B27A5C860D6427238 + 22069.50ns INFO [00022071] Port=0 WR @04=5AC15712B5DF47518F + 22070.50ns INFO [00022072] * RD COMPARE * port=0 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22070.50ns INFO [00022072] Port=0 RD @05 + 22070.50ns INFO [00022072] Port=1 RD @02 + 22071.50ns INFO [00022073] Port=1 RD @00 + 22072.50ns INFO [00022074] * RD COMPARE * port=0 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22072.50ns INFO [00022074] * RD COMPARE * port=1 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22072.50ns INFO [00022074] Port=0 WR @04=403B3AA7F56EF4A264 + 22072.50ns INFO [00022074] Port=0 RD @02 + 22072.50ns INFO [00022074] Port=1 RD @07 + 22073.50ns INFO [00022075] * RD COMPARE * port=1 adr=00 act=A67652177B86C2757C exp=A67652177B86C2757C + 22073.50ns INFO [00022075] Port=0 WR @04=882A9A746ECA1F4B4F + 22073.50ns INFO [00022075] Port=0 RD @01 + 22073.50ns INFO [00022075] Port=1 RD @02 + 22074.50ns INFO [00022076] * RD COMPARE * port=0 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22074.50ns INFO [00022076] * RD COMPARE * port=1 adr=07 act=A378C49B5D178FFD6A exp=A378C49B5D178FFD6A + 22074.50ns INFO [00022076] Port=0 RD @02 + 22075.50ns INFO [00022077] * RD COMPARE * port=0 adr=01 act=E8DDCE8EBEB6E5FAC4 exp=E8DDCE8EBEB6E5FAC4 + 22075.50ns INFO [00022077] * RD COMPARE * port=1 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22075.50ns INFO [00022077] Port=0 RD @04 + 22075.50ns INFO [00022077] Port=1 RD @03 + 22076.50ns INFO [00022078] * RD COMPARE * port=0 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22076.50ns INFO [00022078] Port=0 WR @04=E5FE4BA951F721B8B2 + 22077.50ns INFO [00022079] * RD COMPARE * port=0 adr=04 act=882A9A746ECA1F4B4F exp=882A9A746ECA1F4B4F + 22077.50ns INFO [00022079] * RD COMPARE * port=1 adr=03 act=76004F47CC139D67F9 exp=76004F47CC139D67F9 + 22077.50ns INFO [00022079] Port=0 RD @04 + 22077.50ns INFO [00022079] Port=1 RD @07 + 22079.50ns INFO [00022081] * RD COMPARE * port=0 adr=04 act=E5FE4BA951F721B8B2 exp=E5FE4BA951F721B8B2 + 22079.50ns INFO [00022081] * RD COMPARE * port=1 adr=07 act=A378C49B5D178FFD6A exp=A378C49B5D178FFD6A + 22080.50ns INFO [00022082] Port=0 RD @00 + 22080.50ns INFO [00022082] Port=1 RD @04 + 22081.50ns INFO [00022083] Port=0 RD @03 + 22082.50ns INFO [00022084] * RD COMPARE * port=0 adr=00 act=A67652177B86C2757C exp=A67652177B86C2757C + 22082.50ns INFO [00022084] * RD COMPARE * port=1 adr=04 act=E5FE4BA951F721B8B2 exp=E5FE4BA951F721B8B2 + 22082.50ns INFO [00022084] Port=0 RD @03 + 22083.50ns INFO [00022085] * RD COMPARE * port=0 adr=03 act=76004F47CC139D67F9 exp=76004F47CC139D67F9 + 22084.50ns INFO [00022086] * RD COMPARE * port=0 adr=03 act=76004F47CC139D67F9 exp=76004F47CC139D67F9 + 22084.50ns INFO [00022086] Port=0 RD @04 + 22085.50ns INFO [00022087] Port=0 RD @02 + 22085.50ns INFO [00022087] Port=1 RD @02 + 22086.50ns INFO [00022088] * RD COMPARE * port=0 adr=04 act=E5FE4BA951F721B8B2 exp=E5FE4BA951F721B8B2 + 22086.50ns INFO [00022088] Port=0 WR @00=1CE5126AD907B300B2 + 22086.50ns INFO [00022088] Port=1 RD @05 + 22087.50ns INFO [00022089] * RD COMPARE * port=0 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22087.50ns INFO [00022089] * RD COMPARE * port=1 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22087.50ns INFO [00022089] Port=0 RD @06 + 22088.50ns INFO [00022090] * RD COMPARE * port=1 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22089.50ns INFO [00022091] * RD COMPARE * port=0 adr=06 act=F209E20689FED6376D exp=F209E20689FED6376D + 22089.50ns INFO [00022091] Port=0 RD @02 + 22091.50ns INFO [00022093] * RD COMPARE * port=0 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22091.50ns INFO [00022093] Port=1 RD @02 + 22092.50ns INFO [00022094] Port=0 RD @02 + 22092.50ns INFO [00022094] Port=1 RD @05 + 22093.50ns INFO [00022095] * RD COMPARE * port=1 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22093.50ns INFO [00022095] Port=0 WR @00=AA8DAAF0652BE46D90 + 22093.50ns INFO [00022095] Port=0 RD @04 + 22094.50ns INFO [00022096] * RD COMPARE * port=0 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22094.50ns INFO [00022096] * RD COMPARE * port=1 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22094.50ns INFO [00022096] Port=1 RD @05 + 22095.50ns INFO [00022097] * RD COMPARE * port=0 adr=04 act=E5FE4BA951F721B8B2 exp=E5FE4BA951F721B8B2 + 22096.50ns INFO [00022098] * RD COMPARE * port=1 adr=05 act=C57820733061D7E202 exp=C57820733061D7E202 + 22097.50ns INFO [00022099] Port=1 RD @00 + 22098.00ns INFO [00022100] [00022100] ...tick... + 22098.50ns INFO [00022100] Port=0 WR @00=B9FBD6CD58DC20E97B + 22099.50ns INFO [00022101] * RD COMPARE * port=1 adr=00 act=AA8DAAF0652BE46D90 exp=AA8DAAF0652BE46D90 + 22100.50ns INFO [00022102] Port=0 WR @06=A727BC747231EE57E0 + 22102.50ns INFO [00022104] Port=0 WR @07=DFB228313C423ED5DC + 22103.50ns INFO [00022105] Port=0 WR @04=4874EC26D89EE6E363 + 22103.50ns INFO [00022105] Port=0 RD @02 + 22104.50ns INFO [00022106] Port=0 RD @02 + 22105.50ns INFO [00022107] * RD COMPARE * port=0 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22105.50ns INFO [00022107] Port=0 WR @03=DC4712207AC715A7E2 + 22106.50ns INFO [00022108] * RD COMPARE * port=0 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22106.50ns INFO [00022108] Port=0 WR @06=12414B3A6B6357E1D6 + 22106.50ns INFO [00022108] Port=1 RD @02 + 22108.50ns INFO [00022110] * RD COMPARE * port=1 adr=02 act=04F01388EFEA543BE4 exp=04F01388EFEA543BE4 + 22108.50ns INFO [00022110] Port=0 WR @06=CC597AF86102E5A055 + 22108.50ns INFO [00022110] Port=1 RD @00 + 22109.50ns INFO [00022111] Port=0 WR @05=877EE6D2E861A39237 + 22110.50ns INFO [00022112] * RD COMPARE * port=1 adr=00 act=B9FBD6CD58DC20E97B exp=B9FBD6CD58DC20E97B + 22110.50ns INFO [00022112] Port=0 WR @02=A09FE4CC415AFB5F96 + 22110.50ns INFO [00022112] Port=1 RD @07 + 22111.50ns INFO [00022113] Port=1 RD @01 + 22112.50ns INFO [00022114] * RD COMPARE * port=1 adr=07 act=DFB228313C423ED5DC exp=DFB228313C423ED5DC + 22112.50ns INFO [00022114] Port=0 WR @06=C37A26600C471D7B2E + 22113.50ns INFO [00022115] * RD COMPARE * port=1 adr=01 act=E8DDCE8EBEB6E5FAC4 exp=E8DDCE8EBEB6E5FAC4 + 22113.50ns INFO [00022115] Port=0 WR @04=8F961186CD832082AB + 22113.50ns INFO [00022115] Port=1 RD @02 + 22114.50ns INFO [00022116] Port=0 RD @05 + 22115.50ns INFO [00022117] * RD COMPARE * port=1 adr=02 act=A09FE4CC415AFB5F96 exp=A09FE4CC415AFB5F96 + 22115.50ns INFO [00022117] Port=0 WR @07=7F05FBBDF37298C406 + 22115.50ns INFO [00022117] Port=0 RD @02 + 22116.50ns INFO [00022118] * RD COMPARE * port=0 adr=05 act=877EE6D2E861A39237 exp=877EE6D2E861A39237 + 22116.50ns INFO [00022118] Port=0 RD @03 + 22116.50ns INFO [00022118] Port=1 RD @06 + 22117.50ns INFO [00022119] * RD COMPARE * port=0 adr=02 act=A09FE4CC415AFB5F96 exp=A09FE4CC415AFB5F96 + 22118.50ns INFO [00022120] * RD COMPARE * port=0 adr=03 act=DC4712207AC715A7E2 exp=DC4712207AC715A7E2 + 22118.50ns INFO [00022120] * RD COMPARE * port=1 adr=06 act=C37A26600C471D7B2E exp=C37A26600C471D7B2E + 22118.50ns INFO [00022120] Port=0 RD @00 + 22118.50ns INFO [00022120] Port=1 RD @01 + 22119.50ns INFO [00022121] Port=0 RD @07 + 22120.50ns INFO [00022122] * RD COMPARE * port=0 adr=00 act=B9FBD6CD58DC20E97B exp=B9FBD6CD58DC20E97B + 22120.50ns INFO [00022122] * RD COMPARE * port=1 adr=01 act=E8DDCE8EBEB6E5FAC4 exp=E8DDCE8EBEB6E5FAC4 + 22120.50ns INFO [00022122] Port=0 RD @00 + 22121.50ns INFO [00022123] * RD COMPARE * port=0 adr=07 act=7F05FBBDF37298C406 exp=7F05FBBDF37298C406 + 22122.50ns INFO [00022124] * RD COMPARE * port=0 adr=00 act=B9FBD6CD58DC20E97B exp=B9FBD6CD58DC20E97B + 22122.50ns INFO [00022124] Port=0 RD @01 + 22123.50ns INFO [00022125] Port=0 WR @04=480F75EF7205D3029F + 22123.50ns INFO [00022125] Port=1 RD @05 + 22124.50ns INFO [00022126] * RD COMPARE * port=0 adr=01 act=E8DDCE8EBEB6E5FAC4 exp=E8DDCE8EBEB6E5FAC4 + 22124.50ns INFO [00022126] Port=0 RD @03 + 22124.50ns INFO [00022126] Port=1 RD @03 + 22125.50ns INFO [00022127] * RD COMPARE * port=1 adr=05 act=877EE6D2E861A39237 exp=877EE6D2E861A39237 + 22125.50ns INFO [00022127] Port=0 WR @01=21C38767EC0E7147BF + 22126.50ns INFO [00022128] * RD COMPARE * port=0 adr=03 act=DC4712207AC715A7E2 exp=DC4712207AC715A7E2 + 22126.50ns INFO [00022128] * RD COMPARE * port=1 adr=03 act=DC4712207AC715A7E2 exp=DC4712207AC715A7E2 + 22126.50ns INFO [00022128] Port=0 WR @02=0F8525E717BBF619C9 + 22128.50ns INFO [00022130] Port=1 RD @01 + 22129.50ns INFO [00022131] Port=0 WR @07=2C22BB70A66328948E + 22129.50ns INFO [00022131] Port=0 RD @00 + 22130.50ns INFO [00022132] * RD COMPARE * port=1 adr=01 act=21C38767EC0E7147BF exp=21C38767EC0E7147BF + 22130.50ns INFO [00022132] Port=1 RD @03 + 22131.50ns INFO [00022133] * RD COMPARE * port=0 adr=00 act=B9FBD6CD58DC20E97B exp=B9FBD6CD58DC20E97B + 22131.50ns INFO [00022133] Port=0 RD @05 + 22131.50ns INFO [00022133] Port=1 RD @02 + 22132.50ns INFO [00022134] * RD COMPARE * port=1 adr=03 act=DC4712207AC715A7E2 exp=DC4712207AC715A7E2 + 22133.50ns INFO [00022135] * RD COMPARE * port=0 adr=05 act=877EE6D2E861A39237 exp=877EE6D2E861A39237 + 22133.50ns INFO [00022135] * RD COMPARE * port=1 adr=02 act=0F8525E717BBF619C9 exp=0F8525E717BBF619C9 + 22135.50ns INFO [00022137] Port=1 RD @01 + 22136.50ns INFO [00022138] Port=0 WR @03=100A5163B93A8BF410 + 22136.50ns INFO [00022138] Port=0 RD @06 + 22137.50ns INFO [00022139] * RD COMPARE * port=1 adr=01 act=21C38767EC0E7147BF exp=21C38767EC0E7147BF + 22137.50ns INFO [00022139] Port=0 WR @07=5AF15E3A1817D85D12 + 22137.50ns INFO [00022139] Port=0 RD @04 + 22138.50ns INFO [00022140] * RD COMPARE * port=0 adr=06 act=C37A26600C471D7B2E exp=C37A26600C471D7B2E + 22138.50ns INFO [00022140] Port=0 RD @00 + 22139.50ns INFO [00022141] * RD COMPARE * port=0 adr=04 act=480F75EF7205D3029F exp=480F75EF7205D3029F + 22139.50ns INFO [00022141] Port=0 RD @01 + 22139.50ns INFO [00022141] Port=1 RD @03 + 22140.50ns INFO [00022142] * RD COMPARE * port=0 adr=00 act=B9FBD6CD58DC20E97B exp=B9FBD6CD58DC20E97B + 22140.50ns INFO [00022142] Port=0 WR @06=7DDF6FFA6183FEC121 + 22141.50ns INFO [00022143] * RD COMPARE * port=0 adr=01 act=21C38767EC0E7147BF exp=21C38767EC0E7147BF + 22141.50ns INFO [00022143] * RD COMPARE * port=1 adr=03 act=100A5163B93A8BF410 exp=100A5163B93A8BF410 + 22141.50ns INFO [00022143] Port=0 WR @00=DCBBB14C3F4BCF1B87 + 22141.50ns INFO [00022143] Port=0 RD @01 + 22141.50ns INFO [00022143] Port=1 RD @05 + 22142.50ns INFO [00022144] Port=0 RD @02 + 22143.50ns INFO [00022145] * RD COMPARE * port=0 adr=01 act=21C38767EC0E7147BF exp=21C38767EC0E7147BF + 22143.50ns INFO [00022145] * RD COMPARE * port=1 adr=05 act=877EE6D2E861A39237 exp=877EE6D2E861A39237 + 22143.50ns INFO [00022145] Port=0 WR @05=87C3061620BD39BA8E + 22144.50ns INFO [00022146] * RD COMPARE * port=0 adr=02 act=0F8525E717BBF619C9 exp=0F8525E717BBF619C9 + 22144.50ns INFO [00022146] Port=0 WR @05=F58F4BEAB996A06843 + 22146.50ns INFO [00022148] Port=0 WR @04=44847B8BC3AEA30935 + 22146.50ns INFO [00022148] Port=1 RD @02 + 22148.50ns INFO [00022150] * RD COMPARE * port=1 adr=02 act=0F8525E717BBF619C9 exp=0F8525E717BBF619C9 + 22148.50ns INFO [00022150] Port=0 WR @00=BEBCBA9D16BA621789 + 22149.50ns INFO [00022151] Port=1 RD @03 + 22150.50ns INFO [00022152] Port=0 RD @03 + 22150.50ns INFO [00022152] Port=1 RD @07 + 22151.50ns INFO [00022153] * RD COMPARE * port=1 adr=03 act=100A5163B93A8BF410 exp=100A5163B93A8BF410 + 22151.50ns INFO [00022153] Port=0 WR @02=05FCE05EC113F02730 + 22152.50ns INFO [00022154] * RD COMPARE * port=0 adr=03 act=100A5163B93A8BF410 exp=100A5163B93A8BF410 + 22152.50ns INFO [00022154] * RD COMPARE * port=1 adr=07 act=5AF15E3A1817D85D12 exp=5AF15E3A1817D85D12 + 22152.50ns INFO [00022154] Port=0 WR @04=EE06D3674DB3500BAB + 22152.50ns INFO [00022154] Port=0 RD @00 + 22154.50ns INFO [00022156] * RD COMPARE * port=0 adr=00 act=BEBCBA9D16BA621789 exp=BEBCBA9D16BA621789 + 22154.50ns INFO [00022156] Port=0 WR @01=151AA83C9363FC1F29 + 22154.50ns INFO [00022156] Port=0 RD @05 + 22155.50ns INFO [00022157] Port=0 WR @05=6BAC023483E47675C5 + 22156.50ns INFO [00022158] * RD COMPARE * port=0 adr=05 act=F58F4BEAB996A06843 exp=F58F4BEAB996A06843 + 22157.50ns INFO [00022159] Port=0 WR @07=DC30BD8B60FA656351 + 22157.50ns INFO [00022159] Port=0 RD @03 + 22158.50ns INFO [00022160] Port=0 WR @07=AC0B66D1F2487A564E + 22159.50ns INFO [00022161] * RD COMPARE * port=0 adr=03 act=100A5163B93A8BF410 exp=100A5163B93A8BF410 + 22160.50ns INFO [00022162] Port=0 WR @04=2470A62E4ECB140321 + 22160.50ns INFO [00022162] Port=0 RD @00 + 22160.50ns INFO [00022162] Port=1 RD @03 + 22161.50ns INFO [00022163] Port=0 RD @02 + 22162.50ns INFO [00022164] * RD COMPARE * port=0 adr=00 act=BEBCBA9D16BA621789 exp=BEBCBA9D16BA621789 + 22162.50ns INFO [00022164] * RD COMPARE * port=1 adr=03 act=100A5163B93A8BF410 exp=100A5163B93A8BF410 + 22162.50ns INFO [00022164] Port=0 WR @00=7F3573E71965ECA5E9 + 22162.50ns INFO [00022164] Port=0 RD @05 + 22162.50ns INFO [00022164] Port=1 RD @01 + 22163.50ns INFO [00022165] * RD COMPARE * port=0 adr=02 act=05FCE05EC113F02730 exp=05FCE05EC113F02730 + 22163.50ns INFO [00022165] Port=0 WR @03=C4F689624DE0C8B08E + 22164.50ns INFO [00022166] * RD COMPARE * port=0 adr=05 act=6BAC023483E47675C5 exp=6BAC023483E47675C5 + 22164.50ns INFO [00022166] * RD COMPARE * port=1 adr=01 act=151AA83C9363FC1F29 exp=151AA83C9363FC1F29 + 22164.50ns INFO [00022166] Port=0 WR @00=6168CEE12CD01E357F + 22164.50ns INFO [00022166] Port=0 RD @03 + 22164.50ns INFO [00022166] Port=1 RD @04 + 22166.50ns INFO [00022168] * RD COMPARE * port=0 adr=03 act=C4F689624DE0C8B08E exp=C4F689624DE0C8B08E + 22166.50ns INFO [00022168] * RD COMPARE * port=1 adr=04 act=2470A62E4ECB140321 exp=2470A62E4ECB140321 + 22166.50ns INFO [00022168] Port=0 RD @03 + 22166.50ns INFO [00022168] Port=1 RD @02 + 22167.50ns INFO [00022169] Port=0 WR @05=1CAAAFC61DE5D1F546 + 22167.50ns INFO [00022169] Port=1 RD @04 + 22168.50ns INFO [00022170] * RD COMPARE * port=0 adr=03 act=C4F689624DE0C8B08E exp=C4F689624DE0C8B08E + 22168.50ns INFO [00022170] * RD COMPARE * port=1 adr=02 act=05FCE05EC113F02730 exp=05FCE05EC113F02730 + 22168.50ns INFO [00022170] Port=1 RD @02 + 22169.50ns INFO [00022171] * RD COMPARE * port=1 adr=04 act=2470A62E4ECB140321 exp=2470A62E4ECB140321 + 22169.50ns INFO [00022171] Port=0 RD @07 + 22170.50ns INFO [00022172] * RD COMPARE * port=1 adr=02 act=05FCE05EC113F02730 exp=05FCE05EC113F02730 + 22171.50ns INFO [00022173] * RD COMPARE * port=0 adr=07 act=AC0B66D1F2487A564E exp=AC0B66D1F2487A564E + 22173.50ns INFO [00022175] Port=0 RD @02 + 22174.50ns INFO [00022176] Port=0 WR @02=F4D424C81148C22834 + 22174.50ns INFO [00022176] Port=1 RD @04 + 22175.50ns INFO [00022177] * RD COMPARE * port=0 adr=02 act=05FCE05EC113F02730 exp=05FCE05EC113F02730 + 22175.50ns INFO [00022177] Port=0 WR @02=1EE083D8B7006F1D4B + 22175.50ns INFO [00022177] Port=1 RD @04 + 22176.50ns INFO [00022178] * RD COMPARE * port=1 adr=04 act=2470A62E4ECB140321 exp=2470A62E4ECB140321 + 22176.50ns INFO [00022178] Port=0 WR @00=17BF244636A5F8A66C + 22176.50ns INFO [00022178] Port=0 RD @01 + 22177.50ns INFO [00022179] * RD COMPARE * port=1 adr=04 act=2470A62E4ECB140321 exp=2470A62E4ECB140321 + 22177.50ns INFO [00022179] Port=1 RD @04 + 22178.50ns INFO [00022180] * RD COMPARE * port=0 adr=01 act=151AA83C9363FC1F29 exp=151AA83C9363FC1F29 + 22178.50ns INFO [00022180] Port=0 RD @00 + 22178.50ns INFO [00022180] Port=1 RD @02 + 22179.50ns INFO [00022181] * RD COMPARE * port=1 adr=04 act=2470A62E4ECB140321 exp=2470A62E4ECB140321 + 22180.50ns INFO [00022182] * RD COMPARE * port=0 adr=00 act=17BF244636A5F8A66C exp=17BF244636A5F8A66C + 22180.50ns INFO [00022182] * RD COMPARE * port=1 adr=02 act=1EE083D8B7006F1D4B exp=1EE083D8B7006F1D4B + 22180.50ns INFO [00022182] Port=1 RD @05 + 22181.50ns INFO [00022183] Port=0 RD @01 + 22181.50ns INFO [00022183] Port=1 RD @04 + 22182.50ns INFO [00022184] * RD COMPARE * port=1 adr=05 act=1CAAAFC61DE5D1F546 exp=1CAAAFC61DE5D1F546 + 22182.50ns INFO [00022184] Port=0 RD @00 + 22182.50ns INFO [00022184] Port=1 RD @05 + 22183.50ns INFO [00022185] * RD COMPARE * port=0 adr=01 act=151AA83C9363FC1F29 exp=151AA83C9363FC1F29 + 22183.50ns INFO [00022185] * RD COMPARE * port=1 adr=04 act=2470A62E4ECB140321 exp=2470A62E4ECB140321 + 22183.50ns INFO [00022185] Port=0 WR @05=68607EA681FAEEF835 + 22183.50ns INFO [00022185] Port=0 RD @02 + 22183.50ns INFO [00022185] Port=1 RD @04 + 22184.50ns INFO [00022186] * RD COMPARE * port=0 adr=00 act=17BF244636A5F8A66C exp=17BF244636A5F8A66C + 22184.50ns INFO [00022186] * RD COMPARE * port=1 adr=05 act=1CAAAFC61DE5D1F546 exp=1CAAAFC61DE5D1F546 + 22184.50ns INFO [00022186] Port=0 WR @05=1F1E2072C918991061 + 22185.50ns INFO [00022187] * RD COMPARE * port=0 adr=02 act=1EE083D8B7006F1D4B exp=1EE083D8B7006F1D4B + 22185.50ns INFO [00022187] * RD COMPARE * port=1 adr=04 act=2470A62E4ECB140321 exp=2470A62E4ECB140321 + 22185.50ns INFO [00022187] Port=1 RD @07 + 22186.50ns INFO [00022188] Port=0 WR @00=56078729EB79581F31 + 22186.50ns INFO [00022188] Port=1 RD @07 + 22187.50ns INFO [00022189] * RD COMPARE * port=1 adr=07 act=AC0B66D1F2487A564E exp=AC0B66D1F2487A564E + 22187.50ns INFO [00022189] Port=0 WR @01=9A442103AFE382C798 + 22188.50ns INFO [00022190] * RD COMPARE * port=1 adr=07 act=AC0B66D1F2487A564E exp=AC0B66D1F2487A564E + 22188.50ns INFO [00022190] Port=0 WR @04=9C119AAA3E6894DCB0 + 22188.50ns INFO [00022190] Port=0 RD @05 + 22189.50ns INFO [00022191] Port=1 RD @06 + 22190.50ns INFO [00022192] * RD COMPARE * port=0 adr=05 act=1F1E2072C918991061 exp=1F1E2072C918991061 + 22190.50ns INFO [00022192] Port=0 WR @03=88DFA3567690304F5D + 22190.50ns INFO [00022192] Port=0 RD @04 + 22191.50ns INFO [00022193] * RD COMPARE * port=1 adr=06 act=7DDF6FFA6183FEC121 exp=7DDF6FFA6183FEC121 + 22192.50ns INFO [00022194] * RD COMPARE * port=0 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22192.50ns INFO [00022194] Port=1 RD @05 + 22193.50ns INFO [00022195] Port=0 RD @05 + 22194.50ns INFO [00022196] * RD COMPARE * port=1 adr=05 act=1F1E2072C918991061 exp=1F1E2072C918991061 + 22195.50ns INFO [00022197] * RD COMPARE * port=0 adr=05 act=1F1E2072C918991061 exp=1F1E2072C918991061 + 22195.50ns INFO [00022197] Port=0 WR @03=4866AC9466AC377138 + 22195.50ns INFO [00022197] Port=1 RD @02 + 22196.50ns INFO [00022198] Port=0 RD @00 + 22197.50ns INFO [00022199] * RD COMPARE * port=1 adr=02 act=1EE083D8B7006F1D4B exp=1EE083D8B7006F1D4B + 22197.50ns INFO [00022199] Port=0 WR @07=8D567094CCE3537E0B + 22197.50ns INFO [00022199] Port=1 RD @00 + 22198.00ns INFO [00022200] [00022200] ...tick... + 22198.50ns INFO [00022200] * RD COMPARE * port=0 adr=00 act=56078729EB79581F31 exp=56078729EB79581F31 + 22198.50ns INFO [00022200] Port=0 WR @06=EDBC0965A42789F2EC + 22198.50ns INFO [00022200] Port=0 RD @03 + 22199.50ns INFO [00022201] * RD COMPARE * port=1 adr=00 act=56078729EB79581F31 exp=56078729EB79581F31 + 22199.50ns INFO [00022201] Port=0 RD @01 + 22200.50ns INFO [00022202] * RD COMPARE * port=0 adr=03 act=4866AC9466AC377138 exp=4866AC9466AC377138 + 22200.50ns INFO [00022202] Port=1 RD @05 + 22201.50ns INFO [00022203] * RD COMPARE * port=0 adr=01 act=9A442103AFE382C798 exp=9A442103AFE382C798 + 22201.50ns INFO [00022203] Port=0 RD @05 + 22202.50ns INFO [00022204] * RD COMPARE * port=1 adr=05 act=1F1E2072C918991061 exp=1F1E2072C918991061 + 22202.50ns INFO [00022204] Port=1 RD @04 + 22203.50ns INFO [00022205] * RD COMPARE * port=0 adr=05 act=1F1E2072C918991061 exp=1F1E2072C918991061 + 22203.50ns INFO [00022205] Port=0 WR @01=82F3AD859C1E25C298 + 22203.50ns INFO [00022205] Port=0 RD @04 + 22204.50ns INFO [00022206] * RD COMPARE * port=1 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22204.50ns INFO [00022206] Port=0 WR @02=7272262B2A95207769 + 22204.50ns INFO [00022206] Port=0 RD @04 + 22205.50ns INFO [00022207] * RD COMPARE * port=0 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22206.50ns INFO [00022208] * RD COMPARE * port=0 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22206.50ns INFO [00022208] Port=0 RD @07 + 22206.50ns INFO [00022208] Port=1 RD @07 + 22207.50ns INFO [00022209] Port=1 RD @07 + 22208.50ns INFO [00022210] * RD COMPARE * port=0 adr=07 act=8D567094CCE3537E0B exp=8D567094CCE3537E0B + 22208.50ns INFO [00022210] * RD COMPARE * port=1 adr=07 act=8D567094CCE3537E0B exp=8D567094CCE3537E0B + 22208.50ns INFO [00022210] Port=0 RD @00 + 22209.50ns INFO [00022211] * RD COMPARE * port=1 adr=07 act=8D567094CCE3537E0B exp=8D567094CCE3537E0B + 22209.50ns INFO [00022211] Port=0 RD @00 + 22210.50ns INFO [00022212] * RD COMPARE * port=0 adr=00 act=56078729EB79581F31 exp=56078729EB79581F31 + 22210.50ns INFO [00022212] Port=1 RD @00 + 22211.50ns INFO [00022213] * RD COMPARE * port=0 adr=00 act=56078729EB79581F31 exp=56078729EB79581F31 + 22211.50ns INFO [00022213] Port=0 WR @03=E908C9629F49A52B13 + 22211.50ns INFO [00022213] Port=1 RD @04 + 22212.50ns INFO [00022214] * RD COMPARE * port=1 adr=00 act=56078729EB79581F31 exp=56078729EB79581F31 + 22212.50ns INFO [00022214] Port=1 RD @02 + 22213.50ns INFO [00022215] * RD COMPARE * port=1 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22213.50ns INFO [00022215] Port=0 WR @05=7BAE86636DF531C56E + 22214.50ns INFO [00022216] * RD COMPARE * port=1 adr=02 act=7272262B2A95207769 exp=7272262B2A95207769 + 22214.50ns INFO [00022216] Port=0 WR @06=6BE3F6AA0F7C778DAB + 22214.50ns INFO [00022216] Port=1 RD @04 + 22215.50ns INFO [00022217] Port=1 RD @04 + 22216.50ns INFO [00022218] * RD COMPARE * port=1 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22216.50ns INFO [00022218] Port=0 RD @06 + 22217.50ns INFO [00022219] * RD COMPARE * port=1 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22218.50ns INFO [00022220] * RD COMPARE * port=0 adr=06 act=6BE3F6AA0F7C778DAB exp=6BE3F6AA0F7C778DAB + 22220.50ns INFO [00022222] Port=0 WR @05=CAEC055E0683D357A7 + 22220.50ns INFO [00022222] Port=0 RD @07 + 22221.50ns INFO [00022223] Port=0 WR @06=975706EBFE2A8D1B28 + 22222.50ns INFO [00022224] * RD COMPARE * port=0 adr=07 act=8D567094CCE3537E0B exp=8D567094CCE3537E0B + 22223.50ns INFO [00022225] Port=0 RD @02 + 22224.50ns INFO [00022226] Port=0 WR @00=F92EAA835876F68F35 + 22225.50ns INFO [00022227] * RD COMPARE * port=0 adr=02 act=7272262B2A95207769 exp=7272262B2A95207769 + 22225.50ns INFO [00022227] Port=0 RD @07 + 22225.50ns INFO [00022227] Port=1 RD @00 + 22226.50ns INFO [00022228] Port=1 RD @01 + 22227.50ns INFO [00022229] * RD COMPARE * port=0 adr=07 act=8D567094CCE3537E0B exp=8D567094CCE3537E0B + 22227.50ns INFO [00022229] * RD COMPARE * port=1 adr=00 act=F92EAA835876F68F35 exp=F92EAA835876F68F35 + 22227.50ns INFO [00022229] Port=0 RD @07 + 22227.50ns INFO [00022229] Port=1 RD @07 + 22228.50ns INFO [00022230] * RD COMPARE * port=1 adr=01 act=82F3AD859C1E25C298 exp=82F3AD859C1E25C298 + 22228.50ns INFO [00022230] Port=0 WR @01=997B503C3A3DEF686B + 22229.50ns INFO [00022231] * RD COMPARE * port=0 adr=07 act=8D567094CCE3537E0B exp=8D567094CCE3537E0B + 22229.50ns INFO [00022231] * RD COMPARE * port=1 adr=07 act=8D567094CCE3537E0B exp=8D567094CCE3537E0B + 22230.50ns INFO [00022232] Port=1 RD @03 + 22231.50ns INFO [00022233] Port=0 WR @06=E085448C414F696BD4 + 22231.50ns INFO [00022233] Port=0 RD @00 + 22232.50ns INFO [00022234] * RD COMPARE * port=1 adr=03 act=E908C9629F49A52B13 exp=E908C9629F49A52B13 + 22232.50ns INFO [00022234] Port=0 WR @01=48E03F374849118719 + 22232.50ns INFO [00022234] Port=0 RD @06 + 22232.50ns INFO [00022234] Port=1 RD @03 + 22233.50ns INFO [00022235] * RD COMPARE * port=0 adr=00 act=F92EAA835876F68F35 exp=F92EAA835876F68F35 + 22233.50ns INFO [00022235] Port=0 WR @00=CAB69E010F1FEE5163 + 22233.50ns INFO [00022235] Port=0 RD @07 + 22234.50ns INFO [00022236] * RD COMPARE * port=0 adr=06 act=E085448C414F696BD4 exp=E085448C414F696BD4 + 22234.50ns INFO [00022236] * RD COMPARE * port=1 adr=03 act=E908C9629F49A52B13 exp=E908C9629F49A52B13 + 22234.50ns INFO [00022236] Port=1 RD @03 + 22235.50ns INFO [00022237] * RD COMPARE * port=0 adr=07 act=8D567094CCE3537E0B exp=8D567094CCE3537E0B + 22235.50ns INFO [00022237] Port=0 RD @03 + 22235.50ns INFO [00022237] Port=1 RD @04 + 22236.50ns INFO [00022238] * RD COMPARE * port=1 adr=03 act=E908C9629F49A52B13 exp=E908C9629F49A52B13 + 22236.50ns INFO [00022238] Port=0 RD @03 + 22237.50ns INFO [00022239] * RD COMPARE * port=0 adr=03 act=E908C9629F49A52B13 exp=E908C9629F49A52B13 + 22237.50ns INFO [00022239] * RD COMPARE * port=1 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22237.50ns INFO [00022239] Port=1 RD @03 + 22238.50ns INFO [00022240] * RD COMPARE * port=0 adr=03 act=E908C9629F49A52B13 exp=E908C9629F49A52B13 + 22238.50ns INFO [00022240] Port=0 WR @07=0FE5243F45AFD356D6 + 22238.50ns INFO [00022240] Port=0 RD @01 + 22239.50ns INFO [00022241] * RD COMPARE * port=1 adr=03 act=E908C9629F49A52B13 exp=E908C9629F49A52B13 + 22239.50ns INFO [00022241] Port=0 RD @06 + 22239.50ns INFO [00022241] Port=1 RD @06 + 22240.50ns INFO [00022242] * RD COMPARE * port=0 adr=01 act=48E03F374849118719 exp=48E03F374849118719 + 22240.50ns INFO [00022242] Port=0 WR @01=2D1D73796F757F43E1 + 22241.50ns INFO [00022243] * RD COMPARE * port=0 adr=06 act=E085448C414F696BD4 exp=E085448C414F696BD4 + 22241.50ns INFO [00022243] * RD COMPARE * port=1 adr=06 act=E085448C414F696BD4 exp=E085448C414F696BD4 + 22242.50ns INFO [00022244] Port=0 WR @01=BB5EF7B1A7EED6840D + 22243.50ns INFO [00022245] Port=0 RD @03 + 22243.50ns INFO [00022245] Port=1 RD @03 + 22244.50ns INFO [00022246] Port=0 WR @06=7160B5FB4C84D040B1 + 22244.50ns INFO [00022246] Port=1 RD @02 + 22245.50ns INFO [00022247] * RD COMPARE * port=0 adr=03 act=E908C9629F49A52B13 exp=E908C9629F49A52B13 + 22245.50ns INFO [00022247] * RD COMPARE * port=1 adr=03 act=E908C9629F49A52B13 exp=E908C9629F49A52B13 + 22245.50ns INFO [00022247] Port=1 RD @03 + 22246.50ns INFO [00022248] * RD COMPARE * port=1 adr=02 act=7272262B2A95207769 exp=7272262B2A95207769 + 22247.50ns INFO [00022249] * RD COMPARE * port=1 adr=03 act=E908C9629F49A52B13 exp=E908C9629F49A52B13 + 22247.50ns INFO [00022249] Port=0 RD @04 + 22248.50ns INFO [00022250] Port=0 RD @01 + 22249.50ns INFO [00022251] * RD COMPARE * port=0 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22249.50ns INFO [00022251] Port=0 WR @06=A76EA6A90C38FFBF01 + 22249.50ns INFO [00022251] Port=0 RD @05 + 22250.50ns INFO [00022252] * RD COMPARE * port=0 adr=01 act=BB5EF7B1A7EED6840D exp=BB5EF7B1A7EED6840D + 22250.50ns INFO [00022252] Port=0 RD @04 + 22251.50ns INFO [00022253] * RD COMPARE * port=0 adr=05 act=CAEC055E0683D357A7 exp=CAEC055E0683D357A7 + 22251.50ns INFO [00022253] Port=1 RD @04 + 22252.50ns INFO [00022254] * RD COMPARE * port=0 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22252.50ns INFO [00022254] Port=0 WR @04=758AD1357BDEFC524F + 22252.50ns INFO [00022254] Port=0 RD @03 + 22252.50ns INFO [00022254] Port=1 RD @02 + 22253.50ns INFO [00022255] * RD COMPARE * port=1 adr=04 act=9C119AAA3E6894DCB0 exp=9C119AAA3E6894DCB0 + 22253.50ns INFO [00022255] Port=0 WR @05=DCD880A90676B2F972 + 22253.50ns INFO [00022255] Port=0 RD @02 + 22254.50ns INFO [00022256] * RD COMPARE * port=0 adr=03 act=E908C9629F49A52B13 exp=E908C9629F49A52B13 + 22254.50ns INFO [00022256] * RD COMPARE * port=1 adr=02 act=7272262B2A95207769 exp=7272262B2A95207769 + 22254.50ns INFO [00022256] Port=1 RD @07 + 22255.50ns INFO [00022257] * RD COMPARE * port=0 adr=02 act=7272262B2A95207769 exp=7272262B2A95207769 + 22256.50ns INFO [00022258] * RD COMPARE * port=1 adr=07 act=0FE5243F45AFD356D6 exp=0FE5243F45AFD356D6 + 22256.50ns INFO [00022258] Port=1 RD @06 + 22257.50ns INFO [00022259] Port=0 RD @05 + 22257.50ns INFO [00022259] Port=1 RD @04 + 22258.50ns INFO [00022260] * RD COMPARE * port=1 adr=06 act=A76EA6A90C38FFBF01 exp=A76EA6A90C38FFBF01 + 22258.50ns INFO [00022260] Port=0 WR @05=BBEC9B8ABEEBE964CC + 22259.50ns INFO [00022261] * RD COMPARE * port=0 adr=05 act=DCD880A90676B2F972 exp=DCD880A90676B2F972 + 22259.50ns INFO [00022261] * RD COMPARE * port=1 adr=04 act=758AD1357BDEFC524F exp=758AD1357BDEFC524F + 22259.50ns INFO [00022261] Port=1 RD @02 + 22260.50ns INFO [00022262] Port=0 RD @05 + 22261.50ns INFO [00022263] * RD COMPARE * port=1 adr=02 act=7272262B2A95207769 exp=7272262B2A95207769 + 22262.50ns INFO [00022264] * RD COMPARE * port=0 adr=05 act=BBEC9B8ABEEBE964CC exp=BBEC9B8ABEEBE964CC + 22262.50ns INFO [00022264] Port=0 WR @03=11575AB205245E71D8 + 22262.50ns INFO [00022264] Port=1 RD @01 + 22263.50ns INFO [00022265] Port=0 RD @07 + 22264.50ns INFO [00022266] * RD COMPARE * port=1 adr=01 act=BB5EF7B1A7EED6840D exp=BB5EF7B1A7EED6840D + 22264.50ns INFO [00022266] Port=0 WR @07=6DF4ACBB2F6D1EEAFD + 22265.50ns INFO [00022267] * RD COMPARE * port=0 adr=07 act=0FE5243F45AFD356D6 exp=0FE5243F45AFD356D6 + 22265.50ns INFO [00022267] Port=0 RD @01 + 22267.50ns INFO [00022269] * RD COMPARE * port=0 adr=01 act=BB5EF7B1A7EED6840D exp=BB5EF7B1A7EED6840D + 22267.50ns INFO [00022269] Port=0 WR @06=D3CC856ECA9F82A191 + 22267.50ns INFO [00022269] Port=1 RD @03 + 22268.50ns INFO [00022270] Port=1 RD @04 + 22269.50ns INFO [00022271] * RD COMPARE * port=1 adr=03 act=11575AB205245E71D8 exp=11575AB205245E71D8 + 22269.50ns INFO [00022271] Port=0 RD @02 + 22269.50ns INFO [00022271] Port=1 RD @06 + 22270.50ns INFO [00022272] * RD COMPARE * port=1 adr=04 act=758AD1357BDEFC524F exp=758AD1357BDEFC524F + 22270.50ns INFO [00022272] Port=0 WR @02=B8AD3B4DAC1E6E7D95 + 22270.50ns INFO [00022272] Port=1 RD @01 + 22271.50ns INFO [00022273] * RD COMPARE * port=0 adr=02 act=7272262B2A95207769 exp=7272262B2A95207769 + 22271.50ns INFO [00022273] * RD COMPARE * port=1 adr=06 act=D3CC856ECA9F82A191 exp=D3CC856ECA9F82A191 + 22272.50ns INFO [00022274] * RD COMPARE * port=1 adr=01 act=BB5EF7B1A7EED6840D exp=BB5EF7B1A7EED6840D + 22272.50ns INFO [00022274] Port=0 WR @00=1DC317D2D04B6B73AA + 22273.50ns INFO [00022275] Port=0 WR @02=AA930C2038CF3AE102 + 22273.50ns INFO [00022275] Port=0 RD @06 + 22275.50ns INFO [00022277] * RD COMPARE * port=0 adr=06 act=D3CC856ECA9F82A191 exp=D3CC856ECA9F82A191 + 22275.50ns INFO [00022277] Port=0 WR @03=B1A10336877A5598BC + 22276.50ns INFO [00022278] Port=0 WR @02=7CF18043A058ACDF94 + 22279.50ns INFO [00022281] Port=0 WR @01=2D8E88FFEDF3B15E85 + 22280.50ns INFO [00022282] Port=0 RD @05 + 22281.50ns INFO [00022283] Port=0 RD @05 + 22282.50ns INFO [00022284] * RD COMPARE * port=0 adr=05 act=BBEC9B8ABEEBE964CC exp=BBEC9B8ABEEBE964CC + 22282.50ns INFO [00022284] Port=0 WR @04=B7941590778EF7578F + 22283.50ns INFO [00022285] * RD COMPARE * port=0 adr=05 act=BBEC9B8ABEEBE964CC exp=BBEC9B8ABEEBE964CC + 22284.50ns INFO [00022286] Port=1 RD @05 + 22285.50ns INFO [00022287] Port=0 RD @00 + 22286.50ns INFO [00022288] * RD COMPARE * port=1 adr=05 act=BBEC9B8ABEEBE964CC exp=BBEC9B8ABEEBE964CC + 22286.50ns INFO [00022288] Port=0 WR @00=8FEB0CF9E310C6F06B + 22287.50ns INFO [00022289] * RD COMPARE * port=0 adr=00 act=1DC317D2D04B6B73AA exp=1DC317D2D04B6B73AA + 22287.50ns INFO [00022289] Port=0 RD @02 + 22287.50ns INFO [00022289] Port=1 RD @02 + 22288.50ns INFO [00022290] Port=0 WR @02=90F11C32F91DF1BAF0 + 22289.50ns INFO [00022291] * RD COMPARE * port=0 adr=02 act=7CF18043A058ACDF94 exp=7CF18043A058ACDF94 + 22289.50ns INFO [00022291] * RD COMPARE * port=1 adr=02 act=7CF18043A058ACDF94 exp=7CF18043A058ACDF94 + 22290.50ns INFO [00022292] Port=0 RD @06 + 22291.50ns INFO [00022293] Port=0 WR @07=A6341172FE908D06E7 + 22291.50ns INFO [00022293] Port=0 RD @01 + 22292.50ns INFO [00022294] * RD COMPARE * port=0 adr=06 act=D3CC856ECA9F82A191 exp=D3CC856ECA9F82A191 + 22292.50ns INFO [00022294] Port=0 RD @06 + 22292.50ns INFO [00022294] Port=1 RD @04 + 22293.50ns INFO [00022295] * RD COMPARE * port=0 adr=01 act=2D8E88FFEDF3B15E85 exp=2D8E88FFEDF3B15E85 + 22294.50ns INFO [00022296] * RD COMPARE * port=0 adr=06 act=D3CC856ECA9F82A191 exp=D3CC856ECA9F82A191 + 22294.50ns INFO [00022296] * RD COMPARE * port=1 adr=04 act=B7941590778EF7578F exp=B7941590778EF7578F + 22295.50ns INFO [00022297] Port=0 RD @00 + 22296.50ns INFO [00022298] Port=0 WR @06=38E6F8AC1315C0A494 + 22296.50ns INFO [00022298] Port=0 RD @04 + 22296.50ns INFO [00022298] Port=1 RD @04 + 22297.50ns INFO [00022299] * RD COMPARE * port=0 adr=00 act=8FEB0CF9E310C6F06B exp=8FEB0CF9E310C6F06B + 22298.00ns INFO [00022300] [00022300] ...tick... + 22298.50ns INFO [00022300] * RD COMPARE * port=0 adr=04 act=B7941590778EF7578F exp=B7941590778EF7578F + 22298.50ns INFO [00022300] * RD COMPARE * port=1 adr=04 act=B7941590778EF7578F exp=B7941590778EF7578F + 22298.50ns INFO [00022300] Port=0 RD @06 + 22299.50ns INFO [00022301] Port=0 WR @06=CE0A77E29CE118B26A + 22299.50ns INFO [00022301] Port=0 RD @07 + 22300.50ns INFO [00022302] * RD COMPARE * port=0 adr=06 act=38E6F8AC1315C0A494 exp=38E6F8AC1315C0A494 + 22300.50ns INFO [00022302] Port=1 RD @03 + 22301.50ns INFO [00022303] * RD COMPARE * port=0 adr=07 act=A6341172FE908D06E7 exp=A6341172FE908D06E7 + 22301.50ns INFO [00022303] Port=0 RD @04 + 22302.50ns INFO [00022304] * RD COMPARE * port=1 adr=03 act=B1A10336877A5598BC exp=B1A10336877A5598BC + 22303.50ns INFO [00022305] * RD COMPARE * port=0 adr=04 act=B7941590778EF7578F exp=B7941590778EF7578F + 22303.50ns INFO [00022305] Port=1 RD @04 + 22304.50ns INFO [00022306] Port=0 WR @06=998C70B7FCBED39C49 + 22305.50ns INFO [00022307] * RD COMPARE * port=1 adr=04 act=B7941590778EF7578F exp=B7941590778EF7578F + 22306.50ns INFO [00022308] Port=0 WR @01=342106A45FF45BA03B + 22306.50ns INFO [00022308] Port=1 RD @03 + 22307.50ns INFO [00022309] Port=0 WR @01=3A85A911CBB3794E57 + 22307.50ns INFO [00022309] Port=0 RD @00 + 22308.50ns INFO [00022310] * RD COMPARE * port=1 adr=03 act=B1A10336877A5598BC exp=B1A10336877A5598BC + 22308.50ns INFO [00022310] Port=0 WR @03=C2ECE97C2A06EFEDBD + 22308.50ns INFO [00022310] Port=0 RD @04 + 22308.50ns INFO [00022310] Port=1 RD @05 + 22309.50ns INFO [00022311] * RD COMPARE * port=0 adr=00 act=8FEB0CF9E310C6F06B exp=8FEB0CF9E310C6F06B + 22309.50ns INFO [00022311] Port=0 WR @07=414A80154B8A2BC974 + 22310.50ns INFO [00022312] * RD COMPARE * port=0 adr=04 act=B7941590778EF7578F exp=B7941590778EF7578F + 22310.50ns INFO [00022312] * RD COMPARE * port=1 adr=05 act=BBEC9B8ABEEBE964CC exp=BBEC9B8ABEEBE964CC + 22310.50ns INFO [00022312] Port=0 WR @00=540FF2AFD3AC07EBB2 + 22310.50ns INFO [00022312] Port=0 RD @04 + 22312.50ns INFO [00022314] * RD COMPARE * port=0 adr=04 act=B7941590778EF7578F exp=B7941590778EF7578F + 22312.50ns INFO [00022314] Port=0 WR @04=95F3CE30AADDB49FFE + 22315.50ns INFO [00022317] Port=0 WR @00=4A8E7EECA9E82AC659 + 22317.50ns INFO [00022319] Port=0 WR @02=A2FF3859EA5D35A789 + 22317.50ns INFO [00022319] Port=1 RD @04 + 22318.50ns INFO [00022320] Port=0 WR @03=1DD63BC01CBACEA69A + 22318.50ns INFO [00022320] Port=0 RD @02 + 22319.50ns INFO [00022321] * RD COMPARE * port=1 adr=04 act=95F3CE30AADDB49FFE exp=95F3CE30AADDB49FFE + 22319.50ns INFO [00022321] Port=0 WR @03=30051F5979F0F71994 + 22319.50ns INFO [00022321] Port=0 RD @07 + 22320.50ns INFO [00022322] * RD COMPARE * port=0 adr=02 act=A2FF3859EA5D35A789 exp=A2FF3859EA5D35A789 + 22320.50ns INFO [00022322] Port=0 WR @06=AF4845EAE1C6B52D2A + 22321.50ns INFO [00022323] * RD COMPARE * port=0 adr=07 act=414A80154B8A2BC974 exp=414A80154B8A2BC974 + 22321.50ns INFO [00022323] Port=0 WR @01=FB1007B441136BEAFD + 22321.50ns INFO [00022323] Port=1 RD @04 + 22322.50ns INFO [00022324] Port=0 WR @00=6422180380E88D00B4 + 22322.50ns INFO [00022324] Port=0 RD @03 + 22323.50ns INFO [00022325] * RD COMPARE * port=1 adr=04 act=95F3CE30AADDB49FFE exp=95F3CE30AADDB49FFE + 22324.50ns INFO [00022326] * RD COMPARE * port=0 adr=03 act=30051F5979F0F71994 exp=30051F5979F0F71994 + 22324.50ns INFO [00022326] Port=0 RD @04 + 22325.50ns INFO [00022327] Port=0 RD @06 + 22326.50ns INFO [00022328] * RD COMPARE * port=0 adr=04 act=95F3CE30AADDB49FFE exp=95F3CE30AADDB49FFE + 22326.50ns INFO [00022328] Port=0 RD @02 + 22327.50ns INFO [00022329] * RD COMPARE * port=0 adr=06 act=AF4845EAE1C6B52D2A exp=AF4845EAE1C6B52D2A + 22327.50ns INFO [00022329] Port=0 RD @01 + 22328.50ns INFO [00022330] * RD COMPARE * port=0 adr=02 act=A2FF3859EA5D35A789 exp=A2FF3859EA5D35A789 + 22329.50ns INFO [00022331] * RD COMPARE * port=0 adr=01 act=FB1007B441136BEAFD exp=FB1007B441136BEAFD + 22329.50ns INFO [00022331] Port=1 RD @01 + 22331.50ns INFO [00022333] * RD COMPARE * port=1 adr=01 act=FB1007B441136BEAFD exp=FB1007B441136BEAFD + 22331.50ns INFO [00022333] Port=0 WR @07=3AEA25417C9BE51E2F + 22331.50ns INFO [00022333] Port=1 RD @01 + 22332.50ns INFO [00022334] Port=0 RD @04 + 22332.50ns INFO [00022334] Port=1 RD @03 + 22333.50ns INFO [00022335] * RD COMPARE * port=1 adr=01 act=FB1007B441136BEAFD exp=FB1007B441136BEAFD + 22333.50ns INFO [00022335] Port=1 RD @04 + 22334.50ns INFO [00022336] * RD COMPARE * port=0 adr=04 act=95F3CE30AADDB49FFE exp=95F3CE30AADDB49FFE + 22334.50ns INFO [00022336] * RD COMPARE * port=1 adr=03 act=30051F5979F0F71994 exp=30051F5979F0F71994 + 22334.50ns INFO [00022336] Port=0 WR @04=49BF1C77C64A89F660 + 22334.50ns INFO [00022336] Port=0 RD @00 + 22335.50ns INFO [00022337] * RD COMPARE * port=1 adr=04 act=95F3CE30AADDB49FFE exp=95F3CE30AADDB49FFE + 22335.50ns INFO [00022337] Port=0 WR @02=0A8B464A1262AE9FEC + 22335.50ns INFO [00022337] Port=0 RD @01 + 22336.50ns INFO [00022338] * RD COMPARE * port=0 adr=00 act=6422180380E88D00B4 exp=6422180380E88D00B4 + 22336.50ns INFO [00022338] Port=0 RD @03 + 22337.50ns INFO [00022339] * RD COMPARE * port=0 adr=01 act=FB1007B441136BEAFD exp=FB1007B441136BEAFD + 22338.50ns INFO [00022340] * RD COMPARE * port=0 adr=03 act=30051F5979F0F71994 exp=30051F5979F0F71994 + 22338.50ns INFO [00022340] Port=0 WR @02=079A53CBAE55709C0A + 22338.50ns INFO [00022340] Port=0 RD @01 + 22340.50ns INFO [00022342] * RD COMPARE * port=0 adr=01 act=FB1007B441136BEAFD exp=FB1007B441136BEAFD + 22340.50ns INFO [00022342] Port=0 WR @03=0C2696F290CDD81C88 + 22340.50ns INFO [00022342] Port=1 RD @01 + 22341.50ns INFO [00022343] Port=0 RD @06 + 22342.50ns INFO [00022344] * RD COMPARE * port=1 adr=01 act=FB1007B441136BEAFD exp=FB1007B441136BEAFD + 22342.50ns INFO [00022344] Port=1 RD @06 + 22343.50ns INFO [00022345] * RD COMPARE * port=0 adr=06 act=AF4845EAE1C6B52D2A exp=AF4845EAE1C6B52D2A + 22344.50ns INFO [00022346] * RD COMPARE * port=1 adr=06 act=AF4845EAE1C6B52D2A exp=AF4845EAE1C6B52D2A + 22344.50ns INFO [00022346] Port=0 WR @04=189DC65234810CF876 + 22345.50ns INFO [00022347] Port=0 WR @05=43AD5C6FE689ADAB1A + 22345.50ns INFO [00022347] Port=0 RD @01 + 22346.50ns INFO [00022348] Port=0 RD @00 + 22346.50ns INFO [00022348] Port=1 RD @02 + 22347.50ns INFO [00022349] * RD COMPARE * port=0 adr=01 act=FB1007B441136BEAFD exp=FB1007B441136BEAFD + 22347.50ns INFO [00022349] Port=1 RD @07 + 22348.50ns INFO [00022350] * RD COMPARE * port=0 adr=00 act=6422180380E88D00B4 exp=6422180380E88D00B4 + 22348.50ns INFO [00022350] * RD COMPARE * port=1 adr=02 act=079A53CBAE55709C0A exp=079A53CBAE55709C0A + 22348.50ns INFO [00022350] Port=0 RD @02 + 22348.50ns INFO [00022350] Port=1 RD @05 + 22349.50ns INFO [00022351] * RD COMPARE * port=1 adr=07 act=3AEA25417C9BE51E2F exp=3AEA25417C9BE51E2F + 22349.50ns INFO [00022351] Port=0 RD @06 + 22349.50ns INFO [00022351] Port=1 RD @02 + 22350.50ns INFO [00022352] * RD COMPARE * port=0 adr=02 act=079A53CBAE55709C0A exp=079A53CBAE55709C0A + 22350.50ns INFO [00022352] * RD COMPARE * port=1 adr=05 act=43AD5C6FE689ADAB1A exp=43AD5C6FE689ADAB1A + 22350.50ns INFO [00022352] Port=0 WR @01=B1007B150DCE54B408 + 22350.50ns INFO [00022352] Port=0 RD @04 + 22350.50ns INFO [00022352] Port=1 RD @02 + 22351.50ns INFO [00022353] * RD COMPARE * port=0 adr=06 act=AF4845EAE1C6B52D2A exp=AF4845EAE1C6B52D2A + 22351.50ns INFO [00022353] * RD COMPARE * port=1 adr=02 act=079A53CBAE55709C0A exp=079A53CBAE55709C0A + 22351.50ns INFO [00022353] Port=1 RD @01 + 22352.50ns INFO [00022354] * RD COMPARE * port=0 adr=04 act=189DC65234810CF876 exp=189DC65234810CF876 + 22352.50ns INFO [00022354] * RD COMPARE * port=1 adr=02 act=079A53CBAE55709C0A exp=079A53CBAE55709C0A + 22352.50ns INFO [00022354] Port=0 RD @02 + 22353.50ns INFO [00022355] * RD COMPARE * port=1 adr=01 act=B1007B150DCE54B408 exp=B1007B150DCE54B408 + 22353.50ns INFO [00022355] Port=0 RD @03 + 22353.50ns INFO [00022355] Port=1 RD @01 + 22354.50ns INFO [00022356] * RD COMPARE * port=0 adr=02 act=079A53CBAE55709C0A exp=079A53CBAE55709C0A + 22355.50ns INFO [00022357] * RD COMPARE * port=0 adr=03 act=0C2696F290CDD81C88 exp=0C2696F290CDD81C88 + 22355.50ns INFO [00022357] * RD COMPARE * port=1 adr=01 act=B1007B150DCE54B408 exp=B1007B150DCE54B408 + 22355.50ns INFO [00022357] Port=1 RD @05 + 22356.50ns INFO [00022358] Port=0 WR @00=A5542DFC1E63C4FBEC + 22357.50ns INFO [00022359] * RD COMPARE * port=1 adr=05 act=43AD5C6FE689ADAB1A exp=43AD5C6FE689ADAB1A + 22357.50ns INFO [00022359] Port=0 WR @00=1CBC5335DE97519350 + 22357.50ns INFO [00022359] Port=0 RD @02 + 22359.50ns INFO [00022361] * RD COMPARE * port=0 adr=02 act=079A53CBAE55709C0A exp=079A53CBAE55709C0A + 22359.50ns INFO [00022361] Port=0 RD @05 + 22359.50ns INFO [00022361] Port=1 RD @03 + 22360.50ns INFO [00022362] Port=1 RD @04 + 22361.50ns INFO [00022363] * RD COMPARE * port=0 adr=05 act=43AD5C6FE689ADAB1A exp=43AD5C6FE689ADAB1A + 22361.50ns INFO [00022363] * RD COMPARE * port=1 adr=03 act=0C2696F290CDD81C88 exp=0C2696F290CDD81C88 + 22361.50ns INFO [00022363] Port=0 WR @04=E1876C62ED9C4833FA + 22361.50ns INFO [00022363] Port=1 RD @02 + 22362.50ns INFO [00022364] * RD COMPARE * port=1 adr=04 act=189DC65234810CF876 exp=189DC65234810CF876 + 22362.50ns INFO [00022364] Port=0 WR @07=9ED1561CD431DF3902 + 22362.50ns INFO [00022364] Port=0 RD @04 + 22363.50ns INFO [00022365] * RD COMPARE * port=1 adr=02 act=079A53CBAE55709C0A exp=079A53CBAE55709C0A + 22364.50ns INFO [00022366] * RD COMPARE * port=0 adr=04 act=E1876C62ED9C4833FA exp=E1876C62ED9C4833FA + 22364.50ns INFO [00022366] Port=1 RD @02 + 22365.50ns INFO [00022367] Port=1 RD @06 + 22366.50ns INFO [00022368] * RD COMPARE * port=1 adr=02 act=079A53CBAE55709C0A exp=079A53CBAE55709C0A + 22366.50ns INFO [00022368] Port=0 WR @06=403B4B5F0FDBD79A69 + 22366.50ns INFO [00022368] Port=0 RD @02 + 22367.50ns INFO [00022369] * RD COMPARE * port=1 adr=06 act=AF4845EAE1C6B52D2A exp=AF4845EAE1C6B52D2A + 22367.50ns INFO [00022369] Port=0 WR @00=D302523211E79CE8D2 + 22368.50ns INFO [00022370] * RD COMPARE * port=0 adr=02 act=079A53CBAE55709C0A exp=079A53CBAE55709C0A + 22368.50ns INFO [00022370] Port=0 WR @02=1DE3DB81EA28CF74DD + 22369.50ns INFO [00022371] Port=0 WR @01=EF046FAA385C3D45C6 + 22369.50ns INFO [00022371] Port=0 RD @03 + 22371.50ns INFO [00022373] * RD COMPARE * port=0 adr=03 act=0C2696F290CDD81C88 exp=0C2696F290CDD81C88 + 22371.50ns INFO [00022373] Port=0 WR @06=1A4C4F12D766A9CADE + 22371.50ns INFO [00022373] Port=0 RD @04 + 22372.50ns INFO [00022374] Port=0 WR @00=0EE2674C8E3BE8C257 + 22372.50ns INFO [00022374] Port=0 RD @01 + 22372.50ns INFO [00022374] Port=1 RD @03 + 22373.50ns INFO [00022375] * RD COMPARE * port=0 adr=04 act=E1876C62ED9C4833FA exp=E1876C62ED9C4833FA + 22373.50ns INFO [00022375] Port=1 RD @06 + 22374.50ns INFO [00022376] * RD COMPARE * port=0 adr=01 act=EF046FAA385C3D45C6 exp=EF046FAA385C3D45C6 + 22374.50ns INFO [00022376] * RD COMPARE * port=1 adr=03 act=0C2696F290CDD81C88 exp=0C2696F290CDD81C88 + 22374.50ns INFO [00022376] Port=0 WR @04=9B2E2F8DE46D45A73F + 22374.50ns INFO [00022376] Port=1 RD @07 + 22375.50ns INFO [00022377] * RD COMPARE * port=1 adr=06 act=1A4C4F12D766A9CADE exp=1A4C4F12D766A9CADE + 22375.50ns INFO [00022377] Port=0 RD @04 + 22376.50ns INFO [00022378] * RD COMPARE * port=1 adr=07 act=9ED1561CD431DF3902 exp=9ED1561CD431DF3902 + 22377.50ns INFO [00022379] * RD COMPARE * port=0 adr=04 act=9B2E2F8DE46D45A73F exp=9B2E2F8DE46D45A73F + 22377.50ns INFO [00022379] Port=0 WR @03=6B87E64046B6852E5E + 22377.50ns INFO [00022379] Port=1 RD @00 + 22379.50ns INFO [00022381] * RD COMPARE * port=1 adr=00 act=0EE2674C8E3BE8C257 exp=0EE2674C8E3BE8C257 + 22379.50ns INFO [00022381] Port=0 WR @03=C1610E40DB9FCF6E66 + 22379.50ns INFO [00022381] Port=1 RD @00 + 22380.50ns INFO [00022382] Port=0 WR @04=E9259F4BA1DB3DF2DF + 22380.50ns INFO [00022382] Port=1 RD @01 + 22381.50ns INFO [00022383] * RD COMPARE * port=1 adr=00 act=0EE2674C8E3BE8C257 exp=0EE2674C8E3BE8C257 + 22381.50ns INFO [00022383] Port=0 WR @01=3C72CFC43821F48E7E + 22382.50ns INFO [00022384] * RD COMPARE * port=1 adr=01 act=EF046FAA385C3D45C6 exp=EF046FAA385C3D45C6 + 22383.50ns INFO [00022385] Port=0 WR @04=23C581E01A6E99F4CF + 22383.50ns INFO [00022385] Port=0 RD @00 + 22384.50ns INFO [00022386] Port=0 WR @06=E69DA06E646EA8CEDB + 22384.50ns INFO [00022386] Port=0 RD @04 + 22385.50ns INFO [00022387] * RD COMPARE * port=0 adr=00 act=0EE2674C8E3BE8C257 exp=0EE2674C8E3BE8C257 + 22385.50ns INFO [00022387] Port=0 WR @00=3EA5FEDFF027149859 + 22386.50ns INFO [00022388] * RD COMPARE * port=0 adr=04 act=23C581E01A6E99F4CF exp=23C581E01A6E99F4CF + 22386.50ns INFO [00022388] Port=0 WR @01=DC448A156EAFD8A622 + 22386.50ns INFO [00022388] Port=1 RD @05 + 22387.50ns INFO [00022389] Port=0 RD @05 + 22388.50ns INFO [00022390] * RD COMPARE * port=1 adr=05 act=43AD5C6FE689ADAB1A exp=43AD5C6FE689ADAB1A + 22388.50ns INFO [00022390] Port=0 WR @00=3D4AB3A86506DB91B7 + 22389.50ns INFO [00022391] * RD COMPARE * port=0 adr=05 act=43AD5C6FE689ADAB1A exp=43AD5C6FE689ADAB1A + 22389.50ns INFO [00022391] Port=1 RD @04 + 22391.50ns INFO [00022393] * RD COMPARE * port=1 adr=04 act=23C581E01A6E99F4CF exp=23C581E01A6E99F4CF + 22391.50ns INFO [00022393] Port=0 RD @02 + 22392.50ns INFO [00022394] Port=0 WR @07=B440A045285E25E138 + 22392.50ns INFO [00022394] Port=0 RD @05 + 22393.50ns INFO [00022395] * RD COMPARE * port=0 adr=02 act=1DE3DB81EA28CF74DD exp=1DE3DB81EA28CF74DD + 22394.50ns INFO [00022396] * RD COMPARE * port=0 adr=05 act=43AD5C6FE689ADAB1A exp=43AD5C6FE689ADAB1A + 22394.50ns INFO [00022396] Port=1 RD @07 + 22395.50ns INFO [00022397] Port=1 RD @03 + 22396.50ns INFO [00022398] * RD COMPARE * port=1 adr=07 act=B440A045285E25E138 exp=B440A045285E25E138 + 22396.50ns INFO [00022398] Port=0 WR @03=FEEEF50F8F51A35F66 + 22396.50ns INFO [00022398] Port=1 RD @04 + 22397.50ns INFO [00022399] * RD COMPARE * port=1 adr=03 act=C1610E40DB9FCF6E66 exp=C1610E40DB9FCF6E66 + 22397.50ns INFO [00022399] Port=0 WR @00=D3393B45907708E064 + 22397.50ns INFO [00022399] Port=1 RD @07 + 22398.00ns INFO [00022400] [00022400] ...tick... + 22398.50ns INFO [00022400] * RD COMPARE * port=1 adr=04 act=23C581E01A6E99F4CF exp=23C581E01A6E99F4CF + 22398.50ns INFO [00022400] Port=0 WR @01=EFD54EBA6509BF2AD7 + 22399.50ns INFO [00022401] * RD COMPARE * port=1 adr=07 act=B440A045285E25E138 exp=B440A045285E25E138 + 22399.50ns INFO [00022401] Port=0 WR @06=8D6C86648E0475CFAF + 22399.50ns INFO [00022401] Port=0 RD @05 + 22400.50ns INFO [00022402] Port=0 RD @02 + 22401.50ns INFO [00022403] * RD COMPARE * port=0 adr=05 act=43AD5C6FE689ADAB1A exp=43AD5C6FE689ADAB1A + 22401.50ns INFO [00022403] Port=0 WR @06=E05C875AD4E9684DB3 + 22401.50ns INFO [00022403] Port=0 RD @02 + 22402.50ns INFO [00022404] * RD COMPARE * port=0 adr=02 act=1DE3DB81EA28CF74DD exp=1DE3DB81EA28CF74DD + 22403.50ns INFO [00022405] * RD COMPARE * port=0 adr=02 act=1DE3DB81EA28CF74DD exp=1DE3DB81EA28CF74DD + 22403.50ns INFO [00022405] Port=0 WR @02=AAC9425A37BF02E597 + 22404.50ns INFO [00022406] Port=0 WR @06=89C633B6A24C627EE7 + 22404.50ns INFO [00022406] Port=1 RD @03 + 22405.50ns INFO [00022407] Port=0 WR @02=6A4493471C6917CC5D + 22405.50ns INFO [00022407] Port=1 RD @04 + 22406.50ns INFO [00022408] * RD COMPARE * port=1 adr=03 act=FEEEF50F8F51A35F66 exp=FEEEF50F8F51A35F66 + 22406.50ns INFO [00022408] Port=0 RD @03 + 22407.50ns INFO [00022409] * RD COMPARE * port=1 adr=04 act=23C581E01A6E99F4CF exp=23C581E01A6E99F4CF + 22407.50ns INFO [00022409] Port=0 WR @02=F4631DD573B7A6C25D + 22408.50ns INFO [00022410] * RD COMPARE * port=0 adr=03 act=FEEEF50F8F51A35F66 exp=FEEEF50F8F51A35F66 + 22408.50ns INFO [00022410] Port=1 RD @07 + 22409.50ns INFO [00022411] Port=0 WR @06=72E4CB0ACF1145F64B + 22410.50ns INFO [00022412] * RD COMPARE * port=1 adr=07 act=B440A045285E25E138 exp=B440A045285E25E138 + 22410.50ns INFO [00022412] Port=0 RD @01 + 22410.50ns INFO [00022412] Port=1 RD @06 + 22411.50ns INFO [00022413] Port=0 RD @07 + 22412.50ns INFO [00022414] * RD COMPARE * port=0 adr=01 act=EFD54EBA6509BF2AD7 exp=EFD54EBA6509BF2AD7 + 22412.50ns INFO [00022414] * RD COMPARE * port=1 adr=06 act=72E4CB0ACF1145F64B exp=72E4CB0ACF1145F64B + 22412.50ns INFO [00022414] Port=0 WR @03=CDC552BCB31CAFE31E + 22412.50ns INFO [00022414] Port=0 RD @07 + 22412.50ns INFO [00022414] Port=1 RD @02 + 22413.50ns INFO [00022415] * RD COMPARE * port=0 adr=07 act=B440A045285E25E138 exp=B440A045285E25E138 + 22413.50ns INFO [00022415] Port=0 WR @05=2C6C6FB45CAE6DCA13 + 22414.50ns INFO [00022416] * RD COMPARE * port=0 adr=07 act=B440A045285E25E138 exp=B440A045285E25E138 + 22414.50ns INFO [00022416] * RD COMPARE * port=1 adr=02 act=F4631DD573B7A6C25D exp=F4631DD573B7A6C25D + 22414.50ns INFO [00022416] Port=0 WR @07=8FC2E0C6407AF865C1 + 22415.50ns INFO [00022417] Port=0 WR @02=74F7FECCD065A65D3A + 22415.50ns INFO [00022417] Port=0 RD @06 + 22415.50ns INFO [00022417] Port=1 RD @00 + 22417.50ns INFO [00022419] * RD COMPARE * port=0 adr=06 act=72E4CB0ACF1145F64B exp=72E4CB0ACF1145F64B + 22417.50ns INFO [00022419] * RD COMPARE * port=1 adr=00 act=D3393B45907708E064 exp=D3393B45907708E064 + 22417.50ns INFO [00022419] Port=0 WR @07=4602CE4BAA8FECD59C + 22418.50ns INFO [00022420] Port=0 WR @05=1BDED6C556837F2A41 + 22418.50ns INFO [00022420] Port=0 RD @06 + 22419.50ns INFO [00022421] Port=0 WR @01=E0FD2BFDBA2A873AFC + 22419.50ns INFO [00022421] Port=0 RD @03 + 22419.50ns INFO [00022421] Port=1 RD @00 + 22420.50ns INFO [00022422] * RD COMPARE * port=0 adr=06 act=72E4CB0ACF1145F64B exp=72E4CB0ACF1145F64B + 22420.50ns INFO [00022422] Port=0 RD @02 + 22421.50ns INFO [00022423] * RD COMPARE * port=0 adr=03 act=CDC552BCB31CAFE31E exp=CDC552BCB31CAFE31E + 22421.50ns INFO [00022423] * RD COMPARE * port=1 adr=00 act=D3393B45907708E064 exp=D3393B45907708E064 + 22421.50ns INFO [00022423] Port=0 WR @01=B64276A4C00F7E0659 + 22422.50ns INFO [00022424] * RD COMPARE * port=0 adr=02 act=74F7FECCD065A65D3A exp=74F7FECCD065A65D3A + 22423.50ns INFO [00022425] Port=0 WR @02=42FBFFF708B6380BF4 + 22423.50ns INFO [00022425] Port=0 RD @00 + 22424.50ns INFO [00022426] Port=0 WR @01=0928FB781929D8CD34 + 22425.50ns INFO [00022427] * RD COMPARE * port=0 adr=00 act=D3393B45907708E064 exp=D3393B45907708E064 + 22426.50ns INFO [00022428] Port=1 RD @00 + 22427.50ns INFO [00022429] Port=0 WR @07=1C649F9833689246DA + 22427.50ns INFO [00022429] Port=0 RD @00 + 22428.50ns INFO [00022430] * RD COMPARE * port=1 adr=00 act=D3393B45907708E064 exp=D3393B45907708E064 + 22428.50ns INFO [00022430] Port=0 WR @03=FB7F1667C8B28014B4 + 22428.50ns INFO [00022430] Port=0 RD @06 + 22429.50ns INFO [00022431] * RD COMPARE * port=0 adr=00 act=D3393B45907708E064 exp=D3393B45907708E064 + 22429.50ns INFO [00022431] Port=0 RD @07 + 22430.50ns INFO [00022432] * RD COMPARE * port=0 adr=06 act=72E4CB0ACF1145F64B exp=72E4CB0ACF1145F64B + 22430.50ns INFO [00022432] Port=1 RD @03 + 22431.50ns INFO [00022433] * RD COMPARE * port=0 adr=07 act=1C649F9833689246DA exp=1C649F9833689246DA + 22431.50ns INFO [00022433] Port=0 WR @05=FF5EEB09A578E0D11C + 22431.50ns INFO [00022433] Port=1 RD @03 + 22432.50ns INFO [00022434] * RD COMPARE * port=1 adr=03 act=FB7F1667C8B28014B4 exp=FB7F1667C8B28014B4 + 22432.50ns INFO [00022434] Port=0 RD @01 + 22432.50ns INFO [00022434] Port=1 RD @07 + 22433.50ns INFO [00022435] * RD COMPARE * port=1 adr=03 act=FB7F1667C8B28014B4 exp=FB7F1667C8B28014B4 + 22433.50ns INFO [00022435] Port=1 RD @07 + 22434.50ns INFO [00022436] * RD COMPARE * port=0 adr=01 act=0928FB781929D8CD34 exp=0928FB781929D8CD34 + 22434.50ns INFO [00022436] * RD COMPARE * port=1 adr=07 act=1C649F9833689246DA exp=1C649F9833689246DA + 22434.50ns INFO [00022436] Port=0 RD @01 + 22435.50ns INFO [00022437] * RD COMPARE * port=1 adr=07 act=1C649F9833689246DA exp=1C649F9833689246DA + 22436.50ns INFO [00022438] * RD COMPARE * port=0 adr=01 act=0928FB781929D8CD34 exp=0928FB781929D8CD34 + 22436.50ns INFO [00022438] Port=0 RD @06 + 22436.50ns INFO [00022438] Port=1 RD @00 + 22437.50ns INFO [00022439] Port=0 WR @03=ECCF732A187F709D64 + 22437.50ns INFO [00022439] Port=0 RD @07 + 22437.50ns INFO [00022439] Port=1 RD @01 + 22438.50ns INFO [00022440] * RD COMPARE * port=0 adr=06 act=72E4CB0ACF1145F64B exp=72E4CB0ACF1145F64B + 22438.50ns INFO [00022440] * RD COMPARE * port=1 adr=00 act=D3393B45907708E064 exp=D3393B45907708E064 + 22439.50ns INFO [00022441] * RD COMPARE * port=0 adr=07 act=1C649F9833689246DA exp=1C649F9833689246DA + 22439.50ns INFO [00022441] * RD COMPARE * port=1 adr=01 act=0928FB781929D8CD34 exp=0928FB781929D8CD34 + 22439.50ns INFO [00022441] Port=1 RD @05 + 22440.50ns INFO [00022442] Port=0 WR @02=29F7C34425353DB3B6 + 22440.50ns INFO [00022442] Port=0 RD @00 + 22441.50ns INFO [00022443] * RD COMPARE * port=1 adr=05 act=FF5EEB09A578E0D11C exp=FF5EEB09A578E0D11C + 22441.50ns INFO [00022443] Port=0 WR @05=B3B6F6BB8D32A8BA0D + 22442.50ns INFO [00022444] * RD COMPARE * port=0 adr=00 act=D3393B45907708E064 exp=D3393B45907708E064 + 22442.50ns INFO [00022444] Port=0 RD @06 + 22443.50ns INFO [00022445] Port=0 WR @07=096A4577EB65BFB6C4 + 22443.50ns INFO [00022445] Port=0 RD @03 + 22444.50ns INFO [00022446] * RD COMPARE * port=0 adr=06 act=72E4CB0ACF1145F64B exp=72E4CB0ACF1145F64B + 22444.50ns INFO [00022446] Port=0 RD @06 + 22445.50ns INFO [00022447] * RD COMPARE * port=0 adr=03 act=ECCF732A187F709D64 exp=ECCF732A187F709D64 + 22445.50ns INFO [00022447] Port=0 RD @02 + 22445.50ns INFO [00022447] Port=1 RD @01 + 22446.50ns INFO [00022448] * RD COMPARE * port=0 adr=06 act=72E4CB0ACF1145F64B exp=72E4CB0ACF1145F64B + 22446.50ns INFO [00022448] Port=1 RD @05 + 22447.50ns INFO [00022449] * RD COMPARE * port=0 adr=02 act=29F7C34425353DB3B6 exp=29F7C34425353DB3B6 + 22447.50ns INFO [00022449] * RD COMPARE * port=1 adr=01 act=0928FB781929D8CD34 exp=0928FB781929D8CD34 + 22447.50ns INFO [00022449] Port=0 RD @03 + 22447.50ns INFO [00022449] Port=1 RD @06 + 22448.50ns INFO [00022450] * RD COMPARE * port=1 adr=05 act=B3B6F6BB8D32A8BA0D exp=B3B6F6BB8D32A8BA0D + 22448.50ns INFO [00022450] Port=1 RD @00 + 22449.50ns INFO [00022451] * RD COMPARE * port=0 adr=03 act=ECCF732A187F709D64 exp=ECCF732A187F709D64 + 22449.50ns INFO [00022451] * RD COMPARE * port=1 adr=06 act=72E4CB0ACF1145F64B exp=72E4CB0ACF1145F64B + 22449.50ns INFO [00022451] Port=0 WR @07=29F578F58C6C1812B1 + 22449.50ns INFO [00022451] Port=0 RD @01 + 22449.50ns INFO [00022451] Port=1 RD @03 + 22450.50ns INFO [00022452] * RD COMPARE * port=1 adr=00 act=D3393B45907708E064 exp=D3393B45907708E064 + 22450.50ns INFO [00022452] Port=0 RD @04 + 22450.50ns INFO [00022452] Port=1 RD @02 + 22451.50ns INFO [00022453] * RD COMPARE * port=0 adr=01 act=0928FB781929D8CD34 exp=0928FB781929D8CD34 + 22451.50ns INFO [00022453] * RD COMPARE * port=1 adr=03 act=ECCF732A187F709D64 exp=ECCF732A187F709D64 + 22451.50ns INFO [00022453] Port=0 RD @02 + 22452.50ns INFO [00022454] * RD COMPARE * port=0 adr=04 act=23C581E01A6E99F4CF exp=23C581E01A6E99F4CF + 22452.50ns INFO [00022454] * RD COMPARE * port=1 adr=02 act=29F7C34425353DB3B6 exp=29F7C34425353DB3B6 + 22452.50ns INFO [00022454] Port=0 RD @02 + 22452.50ns INFO [00022454] Port=1 RD @07 + 22453.50ns INFO [00022455] * RD COMPARE * port=0 adr=02 act=29F7C34425353DB3B6 exp=29F7C34425353DB3B6 + 22454.50ns INFO [00022456] * RD COMPARE * port=0 adr=02 act=29F7C34425353DB3B6 exp=29F7C34425353DB3B6 + 22454.50ns INFO [00022456] * RD COMPARE * port=1 adr=07 act=29F578F58C6C1812B1 exp=29F578F58C6C1812B1 + 22455.50ns INFO [00022457] Port=0 RD @04 + 22456.50ns INFO [00022458] Port=0 WR @07=5A7FC6F51A720059EE + 22456.50ns INFO [00022458] Port=0 RD @04 + 22457.50ns INFO [00022459] * RD COMPARE * port=0 adr=04 act=23C581E01A6E99F4CF exp=23C581E01A6E99F4CF + 22457.50ns INFO [00022459] Port=0 WR @06=CE42E2E920F26021BB + 22458.50ns INFO [00022460] * RD COMPARE * port=0 adr=04 act=23C581E01A6E99F4CF exp=23C581E01A6E99F4CF + 22458.50ns INFO [00022460] Port=0 RD @00 + 22458.50ns INFO [00022460] Port=1 RD @06 + 22460.50ns INFO [00022462] * RD COMPARE * port=0 adr=00 act=D3393B45907708E064 exp=D3393B45907708E064 + 22460.50ns INFO [00022462] * RD COMPARE * port=1 adr=06 act=CE42E2E920F26021BB exp=CE42E2E920F26021BB + 22460.50ns INFO [00022462] Port=0 RD @07 + 22461.50ns INFO [00022463] Port=0 WR @00=0F6FBA0DE148115067 + 22462.50ns INFO [00022464] * RD COMPARE * port=0 adr=07 act=5A7FC6F51A720059EE exp=5A7FC6F51A720059EE + 22462.50ns INFO [00022464] Port=0 RD @00 + 22462.50ns INFO [00022464] Port=1 RD @02 + 22463.50ns INFO [00022465] Port=0 WR @02=75EE3E45976C0ED44B + 22464.50ns INFO [00022466] * RD COMPARE * port=0 adr=00 act=0F6FBA0DE148115067 exp=0F6FBA0DE148115067 + 22464.50ns INFO [00022466] * RD COMPARE * port=1 adr=02 act=29F7C34425353DB3B6 exp=29F7C34425353DB3B6 + 22464.50ns INFO [00022466] Port=0 WR @07=F456EBE6FA3F434210 + 22467.50ns INFO [00022469] Port=0 WR @05=D595F656CDF7BB9293 + 22468.50ns INFO [00022470] Port=0 WR @05=C256AF18D6B28339BB + 22468.50ns INFO [00022470] Port=1 RD @06 + 22469.50ns INFO [00022471] Port=1 RD @02 + 22470.50ns INFO [00022472] * RD COMPARE * port=1 adr=06 act=CE42E2E920F26021BB exp=CE42E2E920F26021BB + 22470.50ns INFO [00022472] Port=0 RD @07 + 22471.50ns INFO [00022473] * RD COMPARE * port=1 adr=02 act=75EE3E45976C0ED44B exp=75EE3E45976C0ED44B + 22471.50ns INFO [00022473] Port=1 RD @02 + 22472.50ns INFO [00022474] * RD COMPARE * port=0 adr=07 act=F456EBE6FA3F434210 exp=F456EBE6FA3F434210 + 22472.50ns INFO [00022474] Port=1 RD @06 + 22473.50ns INFO [00022475] * RD COMPARE * port=1 adr=02 act=75EE3E45976C0ED44B exp=75EE3E45976C0ED44B + 22473.50ns INFO [00022475] Port=0 WR @02=99B8DF7B6EAAACF0FC + 22474.50ns INFO [00022476] * RD COMPARE * port=1 adr=06 act=CE42E2E920F26021BB exp=CE42E2E920F26021BB + 22474.50ns INFO [00022476] Port=0 RD @01 + 22475.50ns INFO [00022477] Port=0 WR @01=F06E2C723DA20EB7B2 + 22476.50ns INFO [00022478] * RD COMPARE * port=0 adr=01 act=0928FB781929D8CD34 exp=0928FB781929D8CD34 + 22476.50ns INFO [00022478] Port=0 RD @03 + 22477.50ns INFO [00022479] Port=0 RD @07 + 22478.50ns INFO [00022480] * RD COMPARE * port=0 adr=03 act=ECCF732A187F709D64 exp=ECCF732A187F709D64 + 22478.50ns INFO [00022480] Port=0 RD @06 + 22479.50ns INFO [00022481] * RD COMPARE * port=0 adr=07 act=F456EBE6FA3F434210 exp=F456EBE6FA3F434210 + 22480.50ns INFO [00022482] * RD COMPARE * port=0 adr=06 act=CE42E2E920F26021BB exp=CE42E2E920F26021BB + 22480.50ns INFO [00022482] Port=0 RD @00 + 22481.50ns INFO [00022483] Port=0 RD @00 + 22481.50ns INFO [00022483] Port=1 RD @05 + 22482.50ns INFO [00022484] * RD COMPARE * port=0 adr=00 act=0F6FBA0DE148115067 exp=0F6FBA0DE148115067 + 22482.50ns INFO [00022484] Port=0 RD @01 + 22483.50ns INFO [00022485] * RD COMPARE * port=0 adr=00 act=0F6FBA0DE148115067 exp=0F6FBA0DE148115067 + 22483.50ns INFO [00022485] * RD COMPARE * port=1 adr=05 act=C256AF18D6B28339BB exp=C256AF18D6B28339BB + 22483.50ns INFO [00022485] Port=0 RD @05 + 22484.50ns INFO [00022486] * RD COMPARE * port=0 adr=01 act=F06E2C723DA20EB7B2 exp=F06E2C723DA20EB7B2 + 22484.50ns INFO [00022486] Port=0 WR @06=7AD1BE1373FE3A1543 + 22484.50ns INFO [00022486] Port=1 RD @02 + 22485.50ns INFO [00022487] * RD COMPARE * port=0 adr=05 act=C256AF18D6B28339BB exp=C256AF18D6B28339BB + 22485.50ns INFO [00022487] Port=0 WR @05=C845C8D0389FDCECAA + 22485.50ns INFO [00022487] Port=1 RD @02 + 22486.50ns INFO [00022488] * RD COMPARE * port=1 adr=02 act=99B8DF7B6EAAACF0FC exp=99B8DF7B6EAAACF0FC + 22486.50ns INFO [00022488] Port=0 WR @03=FE6822A4C32F7FBE00 + 22486.50ns INFO [00022488] Port=1 RD @07 + 22487.50ns INFO [00022489] * RD COMPARE * port=1 adr=02 act=99B8DF7B6EAAACF0FC exp=99B8DF7B6EAAACF0FC + 22487.50ns INFO [00022489] Port=1 RD @03 + 22488.50ns INFO [00022490] * RD COMPARE * port=1 adr=07 act=F456EBE6FA3F434210 exp=F456EBE6FA3F434210 + 22488.50ns INFO [00022490] Port=0 WR @02=3EC39934AB8AA76224 + 22488.50ns INFO [00022490] Port=0 RD @06 + 22489.50ns INFO [00022491] * RD COMPARE * port=1 adr=03 act=FE6822A4C32F7FBE00 exp=FE6822A4C32F7FBE00 + 22489.50ns INFO [00022491] Port=0 WR @05=25D71F861E90BA855E + 22490.50ns INFO [00022492] * RD COMPARE * port=0 adr=06 act=7AD1BE1373FE3A1543 exp=7AD1BE1373FE3A1543 + 22491.50ns INFO [00022493] Port=0 RD @04 + 22491.50ns INFO [00022493] Port=1 RD @00 + 22492.50ns INFO [00022494] Port=0 WR @07=53757BC077015F6951 + 22493.50ns INFO [00022495] * RD COMPARE * port=0 adr=04 act=23C581E01A6E99F4CF exp=23C581E01A6E99F4CF + 22493.50ns INFO [00022495] * RD COMPARE * port=1 adr=00 act=0F6FBA0DE148115067 exp=0F6FBA0DE148115067 + 22494.50ns INFO [00022496] Port=0 WR @04=64AE9028C5C62EF8A4 + 22494.50ns INFO [00022496] Port=1 RD @02 + 22495.50ns INFO [00022497] Port=0 WR @02=E270A1E03A2D78BF17 + 22495.50ns INFO [00022497] Port=0 RD @04 + 22495.50ns INFO [00022497] Port=1 RD @00 + 22496.50ns INFO [00022498] * RD COMPARE * port=1 adr=02 act=3EC39934AB8AA76224 exp=3EC39934AB8AA76224 + 22497.50ns INFO [00022499] * RD COMPARE * port=0 adr=04 act=64AE9028C5C62EF8A4 exp=64AE9028C5C62EF8A4 + 22497.50ns INFO [00022499] * RD COMPARE * port=1 adr=00 act=0F6FBA0DE148115067 exp=0F6FBA0DE148115067 + 22497.50ns INFO [00022499] Port=0 WR @00=6131675B12B873687D + 22497.50ns INFO [00022499] Port=0 RD @07 + 22498.00ns INFO [00022500] [00022500] ...tick... + 22498.50ns INFO [00022500] Port=0 RD @01 + 22499.50ns INFO [00022501] * RD COMPARE * port=0 adr=07 act=53757BC077015F6951 exp=53757BC077015F6951 + 22500.50ns INFO [00022502] * RD COMPARE * port=0 adr=01 act=F06E2C723DA20EB7B2 exp=F06E2C723DA20EB7B2 + 22502.50ns INFO [00022504] Port=1 RD @01 + 22503.50ns INFO [00022505] Port=1 RD @06 + 22504.50ns INFO [00022506] * RD COMPARE * port=1 adr=01 act=F06E2C723DA20EB7B2 exp=F06E2C723DA20EB7B2 + 22504.50ns INFO [00022506] Port=0 WR @03=CC18FB3EAA99A0E643 + 22504.50ns INFO [00022506] Port=1 RD @01 + 22505.50ns INFO [00022507] * RD COMPARE * port=1 adr=06 act=7AD1BE1373FE3A1543 exp=7AD1BE1373FE3A1543 + 22505.50ns INFO [00022507] Port=0 RD @05 + 22506.50ns INFO [00022508] * RD COMPARE * port=1 adr=01 act=F06E2C723DA20EB7B2 exp=F06E2C723DA20EB7B2 + 22506.50ns INFO [00022508] Port=0 WR @02=F73BCB324990FC2FD9 + 22506.50ns INFO [00022508] Port=1 RD @06 + 22507.50ns INFO [00022509] * RD COMPARE * port=0 adr=05 act=25D71F861E90BA855E exp=25D71F861E90BA855E + 22507.50ns INFO [00022509] Port=0 WR @07=2823D86ED579B2C0D5 + 22507.50ns INFO [00022509] Port=1 RD @05 + 22508.50ns INFO [00022510] * RD COMPARE * port=1 adr=06 act=7AD1BE1373FE3A1543 exp=7AD1BE1373FE3A1543 + 22508.50ns INFO [00022510] Port=1 RD @00 + 22509.50ns INFO [00022511] * RD COMPARE * port=1 adr=05 act=25D71F861E90BA855E exp=25D71F861E90BA855E + 22510.50ns INFO [00022512] * RD COMPARE * port=1 adr=00 act=6131675B12B873687D exp=6131675B12B873687D + 22511.50ns INFO [00022513] Port=0 RD @02 + 22513.50ns INFO [00022515] * RD COMPARE * port=0 adr=02 act=F73BCB324990FC2FD9 exp=F73BCB324990FC2FD9 + 22514.50ns INFO [00022516] Port=0 RD @01 + 22515.50ns INFO [00022517] Port=0 RD @05 + 22515.50ns INFO [00022517] Port=1 RD @03 + 22516.50ns INFO [00022518] * RD COMPARE * port=0 adr=01 act=F06E2C723DA20EB7B2 exp=F06E2C723DA20EB7B2 + 22517.50ns INFO [00022519] * RD COMPARE * port=0 adr=05 act=25D71F861E90BA855E exp=25D71F861E90BA855E + 22517.50ns INFO [00022519] * RD COMPARE * port=1 adr=03 act=CC18FB3EAA99A0E643 exp=CC18FB3EAA99A0E643 + 22517.50ns INFO [00022519] Port=0 RD @06 + 22517.50ns INFO [00022519] Port=1 RD @06 + 22518.50ns INFO [00022520] Port=0 RD @07 + 22519.50ns INFO [00022521] * RD COMPARE * port=0 adr=06 act=7AD1BE1373FE3A1543 exp=7AD1BE1373FE3A1543 + 22519.50ns INFO [00022521] * RD COMPARE * port=1 adr=06 act=7AD1BE1373FE3A1543 exp=7AD1BE1373FE3A1543 + 22519.50ns INFO [00022521] Port=0 RD @00 + 22519.50ns INFO [00022521] Port=1 RD @03 + 22520.50ns INFO [00022522] * RD COMPARE * port=0 adr=07 act=2823D86ED579B2C0D5 exp=2823D86ED579B2C0D5 + 22520.50ns INFO [00022522] Port=0 RD @07 + 22520.50ns INFO [00022522] Port=1 RD @01 + 22521.50ns INFO [00022523] * RD COMPARE * port=0 adr=00 act=6131675B12B873687D exp=6131675B12B873687D + 22521.50ns INFO [00022523] * RD COMPARE * port=1 adr=03 act=CC18FB3EAA99A0E643 exp=CC18FB3EAA99A0E643 + 22521.50ns INFO [00022523] Port=1 RD @03 + 22522.50ns INFO [00022524] * RD COMPARE * port=0 adr=07 act=2823D86ED579B2C0D5 exp=2823D86ED579B2C0D5 + 22522.50ns INFO [00022524] * RD COMPARE * port=1 adr=01 act=F06E2C723DA20EB7B2 exp=F06E2C723DA20EB7B2 + 22522.50ns INFO [00022524] Port=0 WR @05=F65778EEE3110D34F8 + 22523.50ns INFO [00022525] * RD COMPARE * port=1 adr=03 act=CC18FB3EAA99A0E643 exp=CC18FB3EAA99A0E643 + 22523.50ns INFO [00022525] Port=0 WR @02=D24CF108AD72425EC4 + 22525.50ns INFO [00022527] Port=0 RD @06 + 22525.50ns INFO [00022527] Port=1 RD @00 + 22526.50ns INFO [00022528] Port=0 WR @06=0FF72084B4E946AD24 + 22526.50ns INFO [00022528] Port=0 RD @03 + 22527.50ns INFO [00022529] * RD COMPARE * port=0 adr=06 act=7AD1BE1373FE3A1543 exp=7AD1BE1373FE3A1543 + 22527.50ns INFO [00022529] * RD COMPARE * port=1 adr=00 act=6131675B12B873687D exp=6131675B12B873687D + 22527.50ns INFO [00022529] Port=1 RD @01 + 22528.50ns INFO [00022530] * RD COMPARE * port=0 adr=03 act=CC18FB3EAA99A0E643 exp=CC18FB3EAA99A0E643 + 22528.50ns INFO [00022530] Port=0 RD @07 + 22528.50ns INFO [00022530] Port=1 RD @06 + 22529.50ns INFO [00022531] * RD COMPARE * port=1 adr=01 act=F06E2C723DA20EB7B2 exp=F06E2C723DA20EB7B2 + 22529.50ns INFO [00022531] Port=0 WR @01=8C628B0446374E39F8 + 22530.50ns INFO [00022532] * RD COMPARE * port=0 adr=07 act=2823D86ED579B2C0D5 exp=2823D86ED579B2C0D5 + 22530.50ns INFO [00022532] * RD COMPARE * port=1 adr=06 act=0FF72084B4E946AD24 exp=0FF72084B4E946AD24 + 22530.50ns INFO [00022532] Port=0 WR @00=9E955C6E1895419FC4 + 22530.50ns INFO [00022532] Port=0 RD @04 + 22531.50ns INFO [00022533] Port=0 RD @06 + 22531.50ns INFO [00022533] Port=1 RD @04 + 22532.50ns INFO [00022534] * RD COMPARE * port=0 adr=04 act=64AE9028C5C62EF8A4 exp=64AE9028C5C62EF8A4 + 22532.50ns INFO [00022534] Port=0 RD @04 + 22533.50ns INFO [00022535] * RD COMPARE * port=0 adr=06 act=0FF72084B4E946AD24 exp=0FF72084B4E946AD24 + 22533.50ns INFO [00022535] * RD COMPARE * port=1 adr=04 act=64AE9028C5C62EF8A4 exp=64AE9028C5C62EF8A4 + 22533.50ns INFO [00022535] Port=0 RD @03 + 22533.50ns INFO [00022535] Port=1 RD @04 + 22534.50ns INFO [00022536] * RD COMPARE * port=0 adr=04 act=64AE9028C5C62EF8A4 exp=64AE9028C5C62EF8A4 + 22534.50ns INFO [00022536] Port=1 RD @02 + 22535.50ns INFO [00022537] * RD COMPARE * port=0 adr=03 act=CC18FB3EAA99A0E643 exp=CC18FB3EAA99A0E643 + 22535.50ns INFO [00022537] * RD COMPARE * port=1 adr=04 act=64AE9028C5C62EF8A4 exp=64AE9028C5C62EF8A4 + 22536.50ns INFO [00022538] * RD COMPARE * port=1 adr=02 act=D24CF108AD72425EC4 exp=D24CF108AD72425EC4 + 22538.50ns INFO [00022540] Port=0 RD @03 + 22539.50ns INFO [00022541] Port=1 RD @04 + 22540.50ns INFO [00022542] * RD COMPARE * port=0 adr=03 act=CC18FB3EAA99A0E643 exp=CC18FB3EAA99A0E643 + 22540.50ns INFO [00022542] Port=0 WR @06=CBB332826CE6059913 + 22540.50ns INFO [00022542] Port=0 RD @00 + 22541.50ns INFO [00022543] * RD COMPARE * port=1 adr=04 act=64AE9028C5C62EF8A4 exp=64AE9028C5C62EF8A4 + 22541.50ns INFO [00022543] Port=0 RD @05 + 22541.50ns INFO [00022543] Port=1 RD @05 + 22542.50ns INFO [00022544] * RD COMPARE * port=0 adr=00 act=9E955C6E1895419FC4 exp=9E955C6E1895419FC4 + 22543.50ns INFO [00022545] * RD COMPARE * port=0 adr=05 act=F65778EEE3110D34F8 exp=F65778EEE3110D34F8 + 22543.50ns INFO [00022545] * RD COMPARE * port=1 adr=05 act=F65778EEE3110D34F8 exp=F65778EEE3110D34F8 + 22543.50ns INFO [00022545] Port=0 WR @06=C65E79EFADA6C9596E + 22544.50ns INFO [00022546] Port=0 WR @02=9D444F405EEEBBA908 + 22544.50ns INFO [00022546] Port=1 RD @00 + 22546.50ns INFO [00022548] * RD COMPARE * port=1 adr=00 act=9E955C6E1895419FC4 exp=9E955C6E1895419FC4 + 22547.50ns INFO [00022549] Port=1 RD @06 + 22549.50ns INFO [00022551] * RD COMPARE * port=1 adr=06 act=C65E79EFADA6C9596E exp=C65E79EFADA6C9596E + 22549.50ns INFO [00022551] Port=0 WR @07=7062A2EED502249C7B + 22550.50ns INFO [00022552] Port=1 RD @04 + 22551.50ns INFO [00022553] Port=0 RD @03 + 22552.50ns INFO [00022554] * RD COMPARE * port=1 adr=04 act=64AE9028C5C62EF8A4 exp=64AE9028C5C62EF8A4 + 22552.50ns INFO [00022554] Port=0 WR @00=D1082B782D035498D6 + 22553.50ns INFO [00022555] * RD COMPARE * port=0 adr=03 act=CC18FB3EAA99A0E643 exp=CC18FB3EAA99A0E643 + 22553.50ns INFO [00022555] Port=1 RD @02 + 22554.50ns INFO [00022556] Port=0 WR @04=815CF9E24D9819F2AB + 22554.50ns INFO [00022556] Port=1 RD @03 + 22555.50ns INFO [00022557] * RD COMPARE * port=1 adr=02 act=9D444F405EEEBBA908 exp=9D444F405EEEBBA908 + 22555.50ns INFO [00022557] Port=0 RD @06 + 22555.50ns INFO [00022557] Port=1 RD @04 + 22556.50ns INFO [00022558] * RD COMPARE * port=1 adr=03 act=CC18FB3EAA99A0E643 exp=CC18FB3EAA99A0E643 + 22557.50ns INFO [00022559] * RD COMPARE * port=0 adr=06 act=C65E79EFADA6C9596E exp=C65E79EFADA6C9596E + 22557.50ns INFO [00022559] * RD COMPARE * port=1 adr=04 act=815CF9E24D9819F2AB exp=815CF9E24D9819F2AB + 22557.50ns INFO [00022559] Port=0 WR @00=5169717391CC02DC90 + 22557.50ns INFO [00022559] Port=0 RD @01 + 22558.50ns INFO [00022560] Port=1 RD @06 + 22559.50ns INFO [00022561] * RD COMPARE * port=0 adr=01 act=8C628B0446374E39F8 exp=8C628B0446374E39F8 + 22559.50ns INFO [00022561] Port=0 WR @06=F09D2220321195F873 + 22559.50ns INFO [00022561] Port=1 RD @04 + 22560.50ns INFO [00022562] * RD COMPARE * port=1 adr=06 act=C65E79EFADA6C9596E exp=C65E79EFADA6C9596E + 22560.50ns INFO [00022562] Port=1 RD @04 + 22561.50ns INFO [00022563] * RD COMPARE * port=1 adr=04 act=815CF9E24D9819F2AB exp=815CF9E24D9819F2AB + 22561.50ns INFO [00022563] Port=0 RD @01 + 22562.50ns INFO [00022564] * RD COMPARE * port=1 adr=04 act=815CF9E24D9819F2AB exp=815CF9E24D9819F2AB + 22563.50ns INFO [00022565] * RD COMPARE * port=0 adr=01 act=8C628B0446374E39F8 exp=8C628B0446374E39F8 + 22563.50ns INFO [00022565] Port=1 RD @07 + 22564.50ns INFO [00022566] Port=0 WR @00=1C2F75265F025A24A5 + 22564.50ns INFO [00022566] Port=0 RD @02 + 22565.50ns INFO [00022567] * RD COMPARE * port=1 adr=07 act=7062A2EED502249C7B exp=7062A2EED502249C7B + 22565.50ns INFO [00022567] Port=1 RD @02 + 22566.50ns INFO [00022568] * RD COMPARE * port=0 adr=02 act=9D444F405EEEBBA908 exp=9D444F405EEEBBA908 + 22566.50ns INFO [00022568] Port=0 WR @06=F666DB67FB22E06296 + 22566.50ns INFO [00022568] Port=0 RD @02 + 22567.50ns INFO [00022569] * RD COMPARE * port=1 adr=02 act=9D444F405EEEBBA908 exp=9D444F405EEEBBA908 + 22567.50ns INFO [00022569] Port=0 RD @00 + 22567.50ns INFO [00022569] Port=1 RD @04 + 22568.50ns INFO [00022570] * RD COMPARE * port=0 adr=02 act=9D444F405EEEBBA908 exp=9D444F405EEEBBA908 + 22568.50ns INFO [00022570] Port=1 RD @00 + 22569.50ns INFO [00022571] * RD COMPARE * port=0 adr=00 act=1C2F75265F025A24A5 exp=1C2F75265F025A24A5 + 22569.50ns INFO [00022571] * RD COMPARE * port=1 adr=04 act=815CF9E24D9819F2AB exp=815CF9E24D9819F2AB + 22569.50ns INFO [00022571] Port=0 WR @04=247AA21C47E52B1DA2 + 22570.50ns INFO [00022572] * RD COMPARE * port=1 adr=00 act=1C2F75265F025A24A5 exp=1C2F75265F025A24A5 + 22571.50ns INFO [00022573] Port=1 RD @06 + 22572.50ns INFO [00022574] Port=0 WR @04=B83B1824FA0526C3E3 + 22572.50ns INFO [00022574] Port=0 RD @07 + 22572.50ns INFO [00022574] Port=1 RD @02 + 22573.50ns INFO [00022575] * RD COMPARE * port=1 adr=06 act=F666DB67FB22E06296 exp=F666DB67FB22E06296 + 22573.50ns INFO [00022575] Port=0 WR @01=A02D8CAE8888A9F634 + 22574.50ns INFO [00022576] * RD COMPARE * port=0 adr=07 act=7062A2EED502249C7B exp=7062A2EED502249C7B + 22574.50ns INFO [00022576] * RD COMPARE * port=1 adr=02 act=9D444F405EEEBBA908 exp=9D444F405EEEBBA908 + 22574.50ns INFO [00022576] Port=0 WR @00=04AB73D844FDDE829B + 22575.50ns INFO [00022577] Port=0 WR @01=39080FA20A17E6C10A + 22577.50ns INFO [00022579] Port=1 RD @07 + 22578.50ns INFO [00022580] Port=0 WR @07=BC105BDBD502FB350C + 22578.50ns INFO [00022580] Port=0 RD @01 + 22579.50ns INFO [00022581] * RD COMPARE * port=1 adr=07 act=7062A2EED502249C7B exp=7062A2EED502249C7B + 22579.50ns INFO [00022581] Port=0 WR @04=0B60C56853C7D2EADB + 22579.50ns INFO [00022581] Port=0 RD @06 + 22579.50ns INFO [00022581] Port=1 RD @07 + 22580.50ns INFO [00022582] * RD COMPARE * port=0 adr=01 act=39080FA20A17E6C10A exp=39080FA20A17E6C10A + 22580.50ns INFO [00022582] Port=0 WR @02=AA18198F9EF61CD5BA + 22581.50ns INFO [00022583] * RD COMPARE * port=0 adr=06 act=F666DB67FB22E06296 exp=F666DB67FB22E06296 + 22581.50ns INFO [00022583] * RD COMPARE * port=1 adr=07 act=BC105BDBD502FB350C exp=BC105BDBD502FB350C + 22581.50ns INFO [00022583] Port=0 WR @04=602D9DC35D91559D0D + 22582.50ns INFO [00022584] Port=0 RD @05 + 22582.50ns INFO [00022584] Port=1 RD @03 + 22583.50ns INFO [00022585] Port=0 WR @05=D46D2D6947B922DF55 + 22584.50ns INFO [00022586] * RD COMPARE * port=0 adr=05 act=F65778EEE3110D34F8 exp=F65778EEE3110D34F8 + 22584.50ns INFO [00022586] * RD COMPARE * port=1 adr=03 act=CC18FB3EAA99A0E643 exp=CC18FB3EAA99A0E643 + 22584.50ns INFO [00022586] Port=0 WR @07=BFFCD631829D5B9AB3 + 22585.50ns INFO [00022587] Port=0 WR @07=610CCC04114CBCC934 + 22585.50ns INFO [00022587] Port=1 RD @04 + 22586.50ns INFO [00022588] Port=0 RD @03 + 22586.50ns INFO [00022588] Port=1 RD @00 + 22587.50ns INFO [00022589] * RD COMPARE * port=1 adr=04 act=602D9DC35D91559D0D exp=602D9DC35D91559D0D + 22587.50ns INFO [00022589] Port=0 WR @03=AE4B44ACA12C3651F4 + 22587.50ns INFO [00022589] Port=1 RD @06 + 22588.50ns INFO [00022590] * RD COMPARE * port=0 adr=03 act=CC18FB3EAA99A0E643 exp=CC18FB3EAA99A0E643 + 22588.50ns INFO [00022590] * RD COMPARE * port=1 adr=00 act=04AB73D844FDDE829B exp=04AB73D844FDDE829B + 22588.50ns INFO [00022590] Port=0 RD @05 + 22588.50ns INFO [00022590] Port=1 RD @06 + 22589.50ns INFO [00022591] * RD COMPARE * port=1 adr=06 act=F666DB67FB22E06296 exp=F666DB67FB22E06296 + 22589.50ns INFO [00022591] Port=0 RD @05 + 22590.50ns INFO [00022592] * RD COMPARE * port=0 adr=05 act=D46D2D6947B922DF55 exp=D46D2D6947B922DF55 + 22590.50ns INFO [00022592] * RD COMPARE * port=1 adr=06 act=F666DB67FB22E06296 exp=F666DB67FB22E06296 + 22591.50ns INFO [00022593] * RD COMPARE * port=0 adr=05 act=D46D2D6947B922DF55 exp=D46D2D6947B922DF55 + 22591.50ns INFO [00022593] Port=0 WR @07=6E18B655F9924E0BD8 + 22591.50ns INFO [00022593] Port=0 RD @00 + 22591.50ns INFO [00022593] Port=1 RD @04 + 22592.50ns INFO [00022594] Port=0 RD @07 + 22593.50ns INFO [00022595] * RD COMPARE * port=0 adr=00 act=04AB73D844FDDE829B exp=04AB73D844FDDE829B + 22593.50ns INFO [00022595] * RD COMPARE * port=1 adr=04 act=602D9DC35D91559D0D exp=602D9DC35D91559D0D + 22594.50ns INFO [00022596] * RD COMPARE * port=0 adr=07 act=6E18B655F9924E0BD8 exp=6E18B655F9924E0BD8 + 22594.50ns INFO [00022596] Port=0 WR @04=395B833142522B0642 + 22594.50ns INFO [00022596] Port=1 RD @01 + 22596.50ns INFO [00022598] * RD COMPARE * port=1 adr=01 act=39080FA20A17E6C10A exp=39080FA20A17E6C10A + 22596.50ns INFO [00022598] Port=1 RD @00 + 22597.50ns INFO [00022599] Port=1 RD @00 + 22598.00ns INFO [00022600] [00022600] ...tick... + 22598.50ns INFO [00022600] * RD COMPARE * port=1 adr=00 act=04AB73D844FDDE829B exp=04AB73D844FDDE829B + 22598.50ns INFO [00022600] Port=0 RD @02 + 22598.50ns INFO [00022600] Port=1 RD @00 + 22599.50ns INFO [00022601] * RD COMPARE * port=1 adr=00 act=04AB73D844FDDE829B exp=04AB73D844FDDE829B + 22599.50ns INFO [00022601] Port=0 WR @06=CED59281B7B21B0169 + 22600.50ns INFO [00022602] * RD COMPARE * port=0 adr=02 act=AA18198F9EF61CD5BA exp=AA18198F9EF61CD5BA + 22600.50ns INFO [00022602] * RD COMPARE * port=1 adr=00 act=04AB73D844FDDE829B exp=04AB73D844FDDE829B + 22600.50ns INFO [00022602] Port=1 RD @06 + 22601.50ns INFO [00022603] Port=0 WR @06=595F81EF39ECA3B5EA + 22601.50ns INFO [00022603] Port=0 RD @04 + 22601.50ns INFO [00022603] Port=1 RD @07 + 22602.50ns INFO [00022604] * RD COMPARE * port=1 adr=06 act=CED59281B7B21B0169 exp=CED59281B7B21B0169 + 22602.50ns INFO [00022604] Port=0 WR @03=6D5EF3587A830FE82C + 22602.50ns INFO [00022604] Port=0 RD @06 + 22602.50ns INFO [00022604] Port=1 RD @02 + 22603.50ns INFO [00022605] * RD COMPARE * port=0 adr=04 act=395B833142522B0642 exp=395B833142522B0642 + 22603.50ns INFO [00022605] * RD COMPARE * port=1 adr=07 act=6E18B655F9924E0BD8 exp=6E18B655F9924E0BD8 + 22603.50ns INFO [00022605] Port=0 WR @02=279D0A38D296790841 + 22604.50ns INFO [00022606] * RD COMPARE * port=0 adr=06 act=595F81EF39ECA3B5EA exp=595F81EF39ECA3B5EA + 22604.50ns INFO [00022606] * RD COMPARE * port=1 adr=02 act=AA18198F9EF61CD5BA exp=AA18198F9EF61CD5BA + 22605.50ns INFO [00022607] Port=0 WR @04=18148EFCC96CD74202 + 22607.50ns INFO [00022609] Port=0 WR @04=315F42919DD8A6BDF9 + 22608.50ns INFO [00022610] Port=0 WR @03=BDDC08EF495E5C77B1 + 22608.50ns INFO [00022610] Port=0 RD @07 + 22608.50ns INFO [00022610] Port=1 RD @02 + 22610.50ns INFO [00022612] * RD COMPARE * port=0 adr=07 act=6E18B655F9924E0BD8 exp=6E18B655F9924E0BD8 + 22610.50ns INFO [00022612] * RD COMPARE * port=1 adr=02 act=279D0A38D296790841 exp=279D0A38D296790841 + 22610.50ns INFO [00022612] Port=0 WR @07=6F7EF195ABA8A37F8B + 22610.50ns INFO [00022612] Port=0 RD @00 + 22610.50ns INFO [00022612] Port=1 RD @05 + 22611.50ns INFO [00022613] Port=0 WR @06=CF1F46F8170A89B751 + 22612.50ns INFO [00022614] * RD COMPARE * port=0 adr=00 act=04AB73D844FDDE829B exp=04AB73D844FDDE829B + 22612.50ns INFO [00022614] * RD COMPARE * port=1 adr=05 act=D46D2D6947B922DF55 exp=D46D2D6947B922DF55 + 22612.50ns INFO [00022614] Port=1 RD @01 + 22613.50ns INFO [00022615] Port=0 WR @04=BCF3DA51E0AFAC4525 + 22614.50ns INFO [00022616] * RD COMPARE * port=1 adr=01 act=39080FA20A17E6C10A exp=39080FA20A17E6C10A + 22614.50ns INFO [00022616] Port=0 WR @01=C13AFECE74920F941C + 22614.50ns INFO [00022616] Port=1 RD @04 + 22616.50ns INFO [00022618] * RD COMPARE * port=1 adr=04 act=BCF3DA51E0AFAC4525 exp=BCF3DA51E0AFAC4525 + 22616.50ns INFO [00022618] Port=0 WR @06=270B225321A31FF70C + 22616.50ns INFO [00022618] Port=0 RD @01 + 22618.50ns INFO [00022620] * RD COMPARE * port=0 adr=01 act=C13AFECE74920F941C exp=C13AFECE74920F941C + 22618.50ns INFO [00022620] Port=0 WR @02=8667B8C8E0818E0A15 + 22618.50ns INFO [00022620] Port=1 RD @07 + 22619.50ns INFO [00022621] Port=0 WR @07=4CC43443E21FF72D75 + 22620.50ns INFO [00022622] * RD COMPARE * port=1 adr=07 act=6F7EF195ABA8A37F8B exp=6F7EF195ABA8A37F8B + 22620.50ns INFO [00022622] Port=0 RD @05 + 22621.50ns INFO [00022623] Port=0 WR @06=1920F97C9717241815 + 22622.50ns INFO [00022624] * RD COMPARE * port=0 adr=05 act=D46D2D6947B922DF55 exp=D46D2D6947B922DF55 + 22623.50ns INFO [00022625] Port=0 WR @05=96F9D88B94DD65586F + 22623.50ns INFO [00022625] Port=0 RD @03 + 22624.50ns INFO [00022626] Port=1 RD @07 + 22625.50ns INFO [00022627] * RD COMPARE * port=0 adr=03 act=BDDC08EF495E5C77B1 exp=BDDC08EF495E5C77B1 + 22625.50ns INFO [00022627] Port=1 RD @00 + 22626.50ns INFO [00022628] * RD COMPARE * port=1 adr=07 act=4CC43443E21FF72D75 exp=4CC43443E21FF72D75 + 22626.50ns INFO [00022628] Port=0 RD @07 + 22627.50ns INFO [00022629] * RD COMPARE * port=1 adr=00 act=04AB73D844FDDE829B exp=04AB73D844FDDE829B + 22627.50ns INFO [00022629] Port=0 RD @03 + 22627.50ns INFO [00022629] Port=1 RD @00 + 22628.50ns INFO [00022630] * RD COMPARE * port=0 adr=07 act=4CC43443E21FF72D75 exp=4CC43443E21FF72D75 + 22628.50ns INFO [00022630] Port=0 WR @00=EBA12F72122DF424F4 + 22628.50ns INFO [00022630] Port=0 RD @01 + 22629.50ns INFO [00022631] * RD COMPARE * port=0 adr=03 act=BDDC08EF495E5C77B1 exp=BDDC08EF495E5C77B1 + 22629.50ns INFO [00022631] * RD COMPARE * port=1 adr=00 act=04AB73D844FDDE829B exp=04AB73D844FDDE829B + 22629.50ns INFO [00022631] Port=0 WR @02=B57FEBB0774881A496 + 22630.50ns INFO [00022632] * RD COMPARE * port=0 adr=01 act=C13AFECE74920F941C exp=C13AFECE74920F941C + 22630.50ns INFO [00022632] Port=0 RD @05 + 22630.50ns INFO [00022632] Port=1 RD @05 + 22631.50ns INFO [00022633] Port=0 WR @01=92DD18A82D5268EF87 + 22631.50ns INFO [00022633] Port=0 RD @07 + 22631.50ns INFO [00022633] Port=1 RD @03 + 22632.50ns INFO [00022634] * RD COMPARE * port=0 adr=05 act=96F9D88B94DD65586F exp=96F9D88B94DD65586F + 22632.50ns INFO [00022634] * RD COMPARE * port=1 adr=05 act=96F9D88B94DD65586F exp=96F9D88B94DD65586F + 22632.50ns INFO [00022634] Port=0 WR @06=76F304194B34255A17 + 22632.50ns INFO [00022634] Port=0 RD @00 + 22632.50ns INFO [00022634] Port=1 RD @01 + 22633.50ns INFO [00022635] * RD COMPARE * port=0 adr=07 act=4CC43443E21FF72D75 exp=4CC43443E21FF72D75 + 22633.50ns INFO [00022635] * RD COMPARE * port=1 adr=03 act=BDDC08EF495E5C77B1 exp=BDDC08EF495E5C77B1 + 22634.50ns INFO [00022636] * RD COMPARE * port=0 adr=00 act=EBA12F72122DF424F4 exp=EBA12F72122DF424F4 + 22634.50ns INFO [00022636] * RD COMPARE * port=1 adr=01 act=92DD18A82D5268EF87 exp=92DD18A82D5268EF87 + 22635.50ns INFO [00022637] Port=0 WR @05=C6361D2AF7CB434BB6 + 22635.50ns INFO [00022637] Port=1 RD @00 + 22636.50ns INFO [00022638] Port=0 WR @02=05E0FEF93B25D207F8 + 22637.50ns INFO [00022639] * RD COMPARE * port=1 adr=00 act=EBA12F72122DF424F4 exp=EBA12F72122DF424F4 + 22637.50ns INFO [00022639] Port=0 RD @04 + 22638.50ns INFO [00022640] Port=0 WR @03=9B6D94BC4FE71D97A2 + 22639.50ns INFO [00022641] * RD COMPARE * port=0 adr=04 act=BCF3DA51E0AFAC4525 exp=BCF3DA51E0AFAC4525 + 22639.50ns INFO [00022641] Port=0 WR @01=831C62C26141C24AF8 + 22639.50ns INFO [00022641] Port=0 RD @04 + 22639.50ns INFO [00022641] Port=1 RD @04 + 22640.50ns INFO [00022642] Port=0 RD @01 + 22641.50ns INFO [00022643] * RD COMPARE * port=0 adr=04 act=BCF3DA51E0AFAC4525 exp=BCF3DA51E0AFAC4525 + 22641.50ns INFO [00022643] * RD COMPARE * port=1 adr=04 act=BCF3DA51E0AFAC4525 exp=BCF3DA51E0AFAC4525 + 22641.50ns INFO [00022643] Port=0 WR @06=30893F31E84D6143C7 + 22641.50ns INFO [00022643] Port=0 RD @05 + 22642.50ns INFO [00022644] * RD COMPARE * port=0 adr=01 act=831C62C26141C24AF8 exp=831C62C26141C24AF8 + 22642.50ns INFO [00022644] Port=0 RD @06 + 22642.50ns INFO [00022644] Port=1 RD @03 + 22643.50ns INFO [00022645] * RD COMPARE * port=0 adr=05 act=C6361D2AF7CB434BB6 exp=C6361D2AF7CB434BB6 + 22643.50ns INFO [00022645] Port=0 WR @06=B1730A3F9856E77FC5 + 22643.50ns INFO [00022645] Port=0 RD @02 + 22643.50ns INFO [00022645] Port=1 RD @02 + 22644.50ns INFO [00022646] * RD COMPARE * port=0 adr=06 act=30893F31E84D6143C7 exp=30893F31E84D6143C7 + 22644.50ns INFO [00022646] * RD COMPARE * port=1 adr=03 act=9B6D94BC4FE71D97A2 exp=9B6D94BC4FE71D97A2 + 22645.50ns INFO [00022647] * RD COMPARE * port=0 adr=02 act=05E0FEF93B25D207F8 exp=05E0FEF93B25D207F8 + 22645.50ns INFO [00022647] * RD COMPARE * port=1 adr=02 act=05E0FEF93B25D207F8 exp=05E0FEF93B25D207F8 + 22645.50ns INFO [00022647] Port=0 WR @03=4A203FDF448B352E62 + 22648.50ns INFO [00022650] Port=0 WR @07=36F26184669100B10D + 22648.50ns INFO [00022650] Port=1 RD @04 + 22650.50ns INFO [00022652] * RD COMPARE * port=1 adr=04 act=BCF3DA51E0AFAC4525 exp=BCF3DA51E0AFAC4525 + 22651.50ns INFO [00022653] Port=0 RD @01 + 22652.50ns INFO [00022654] Port=0 RD @02 + 22653.50ns INFO [00022655] * RD COMPARE * port=0 adr=01 act=831C62C26141C24AF8 exp=831C62C26141C24AF8 + 22653.50ns INFO [00022655] Port=0 RD @06 + 22654.50ns INFO [00022656] * RD COMPARE * port=0 adr=02 act=05E0FEF93B25D207F8 exp=05E0FEF93B25D207F8 + 22654.50ns INFO [00022656] Port=1 RD @07 + 22655.50ns INFO [00022657] * RD COMPARE * port=0 adr=06 act=B1730A3F9856E77FC5 exp=B1730A3F9856E77FC5 + 22655.50ns INFO [00022657] Port=0 RD @05 + 22655.50ns INFO [00022657] Port=1 RD @03 + 22656.50ns INFO [00022658] * RD COMPARE * port=1 adr=07 act=36F26184669100B10D exp=36F26184669100B10D + 22656.50ns INFO [00022658] Port=0 WR @03=37CDF7B45B06090AFF + 22656.50ns INFO [00022658] Port=0 RD @07 + 22656.50ns INFO [00022658] Port=1 RD @01 + 22657.50ns INFO [00022659] * RD COMPARE * port=0 adr=05 act=C6361D2AF7CB434BB6 exp=C6361D2AF7CB434BB6 + 22657.50ns INFO [00022659] * RD COMPARE * port=1 adr=03 act=4A203FDF448B352E62 exp=4A203FDF448B352E62 + 22657.50ns INFO [00022659] Port=0 RD @07 + 22657.50ns INFO [00022659] Port=1 RD @06 + 22658.50ns INFO [00022660] * RD COMPARE * port=0 adr=07 act=36F26184669100B10D exp=36F26184669100B10D + 22658.50ns INFO [00022660] * RD COMPARE * port=1 adr=01 act=831C62C26141C24AF8 exp=831C62C26141C24AF8 + 22658.50ns INFO [00022660] Port=0 WR @05=F504330AABA8FFBA96 + 22658.50ns INFO [00022660] Port=1 RD @04 + 22659.50ns INFO [00022661] * RD COMPARE * port=0 adr=07 act=36F26184669100B10D exp=36F26184669100B10D + 22659.50ns INFO [00022661] * RD COMPARE * port=1 adr=06 act=B1730A3F9856E77FC5 exp=B1730A3F9856E77FC5 + 22659.50ns INFO [00022661] Port=1 RD @07 + 22660.50ns INFO [00022662] * RD COMPARE * port=1 adr=04 act=BCF3DA51E0AFAC4525 exp=BCF3DA51E0AFAC4525 + 22660.50ns INFO [00022662] Port=1 RD @06 + 22661.50ns INFO [00022663] * RD COMPARE * port=1 adr=07 act=36F26184669100B10D exp=36F26184669100B10D + 22661.50ns INFO [00022663] Port=0 WR @01=F938543165F7FBB78D + 22661.50ns INFO [00022663] Port=0 RD @07 + 22661.50ns INFO [00022663] Port=1 RD @05 + 22662.50ns INFO [00022664] * RD COMPARE * port=1 adr=06 act=B1730A3F9856E77FC5 exp=B1730A3F9856E77FC5 + 22662.50ns INFO [00022664] Port=0 WR @04=60D3CCAC89FE057605 + 22663.50ns INFO [00022665] * RD COMPARE * port=0 adr=07 act=36F26184669100B10D exp=36F26184669100B10D + 22663.50ns INFO [00022665] * RD COMPARE * port=1 adr=05 act=F504330AABA8FFBA96 exp=F504330AABA8FFBA96 + 22663.50ns INFO [00022665] Port=1 RD @00 + 22664.50ns INFO [00022666] Port=0 WR @07=28C2432F3E54E8DE33 + 22664.50ns INFO [00022666] Port=1 RD @04 + 22665.50ns INFO [00022667] * RD COMPARE * port=1 adr=00 act=EBA12F72122DF424F4 exp=EBA12F72122DF424F4 + 22665.50ns INFO [00022667] Port=1 RD @06 + 22666.50ns INFO [00022668] * RD COMPARE * port=1 adr=04 act=60D3CCAC89FE057605 exp=60D3CCAC89FE057605 + 22666.50ns INFO [00022668] Port=1 RD @06 + 22667.50ns INFO [00022669] * RD COMPARE * port=1 adr=06 act=B1730A3F9856E77FC5 exp=B1730A3F9856E77FC5 + 22667.50ns INFO [00022669] Port=1 RD @06 + 22668.50ns INFO [00022670] * RD COMPARE * port=1 adr=06 act=B1730A3F9856E77FC5 exp=B1730A3F9856E77FC5 + 22668.50ns INFO [00022670] Port=0 WR @03=79BA54A215DB6C70A1 + 22668.50ns INFO [00022670] Port=0 RD @06 + 22669.50ns INFO [00022671] * RD COMPARE * port=1 adr=06 act=B1730A3F9856E77FC5 exp=B1730A3F9856E77FC5 + 22669.50ns INFO [00022671] Port=0 WR @02=EA79D14CA3AA0327E7 + 22669.50ns INFO [00022671] Port=0 RD @04 + 22670.50ns INFO [00022672] * RD COMPARE * port=0 adr=06 act=B1730A3F9856E77FC5 exp=B1730A3F9856E77FC5 + 22670.50ns INFO [00022672] Port=0 RD @03 + 22670.50ns INFO [00022672] Port=1 RD @01 + 22671.50ns INFO [00022673] * RD COMPARE * port=0 adr=04 act=60D3CCAC89FE057605 exp=60D3CCAC89FE057605 + 22671.50ns INFO [00022673] Port=0 WR @02=43F5110D7AB32E20AE + 22671.50ns INFO [00022673] Port=1 RD @06 + 22672.50ns INFO [00022674] * RD COMPARE * port=0 adr=03 act=79BA54A215DB6C70A1 exp=79BA54A215DB6C70A1 + 22672.50ns INFO [00022674] * RD COMPARE * port=1 adr=01 act=F938543165F7FBB78D exp=F938543165F7FBB78D + 22672.50ns INFO [00022674] Port=1 RD @06 + 22673.50ns INFO [00022675] * RD COMPARE * port=1 adr=06 act=B1730A3F9856E77FC5 exp=B1730A3F9856E77FC5 + 22673.50ns INFO [00022675] Port=0 WR @00=1E781E019AE28A6499 + 22673.50ns INFO [00022675] Port=0 RD @01 + 22674.50ns INFO [00022676] * RD COMPARE * port=1 adr=06 act=B1730A3F9856E77FC5 exp=B1730A3F9856E77FC5 + 22674.50ns INFO [00022676] Port=0 RD @01 + 22675.50ns INFO [00022677] * RD COMPARE * port=0 adr=01 act=F938543165F7FBB78D exp=F938543165F7FBB78D + 22675.50ns INFO [00022677] Port=0 RD @00 + 22675.50ns INFO [00022677] Port=1 RD @02 + 22676.50ns INFO [00022678] * RD COMPARE * port=0 adr=01 act=F938543165F7FBB78D exp=F938543165F7FBB78D + 22676.50ns INFO [00022678] Port=0 RD @02 + 22677.50ns INFO [00022679] * RD COMPARE * port=0 adr=00 act=1E781E019AE28A6499 exp=1E781E019AE28A6499 + 22677.50ns INFO [00022679] * RD COMPARE * port=1 adr=02 act=43F5110D7AB32E20AE exp=43F5110D7AB32E20AE + 22677.50ns INFO [00022679] Port=0 WR @06=55A6BCEAB7A5E97C85 + 22678.50ns INFO [00022680] * RD COMPARE * port=0 adr=02 act=43F5110D7AB32E20AE exp=43F5110D7AB32E20AE + 22678.50ns INFO [00022680] Port=0 WR @01=9E8211362836A85A4C + 22678.50ns INFO [00022680] Port=0 RD @05 + 22679.50ns INFO [00022681] Port=0 WR @04=859CC718E548CAB7C2 + 22680.50ns INFO [00022682] * RD COMPARE * port=0 adr=05 act=F504330AABA8FFBA96 exp=F504330AABA8FFBA96 + 22681.50ns INFO [00022683] Port=0 WR @06=2B1F06B8D402FD1FB8 + 22682.50ns INFO [00022684] Port=0 RD @02 + 22682.50ns INFO [00022684] Port=1 RD @04 + 22684.50ns INFO [00022686] * RD COMPARE * port=0 adr=02 act=43F5110D7AB32E20AE exp=43F5110D7AB32E20AE + 22684.50ns INFO [00022686] * RD COMPARE * port=1 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22685.50ns INFO [00022687] Port=0 WR @07=20437C2A5813AC639E + 22686.50ns INFO [00022688] Port=1 RD @03 + 22687.50ns INFO [00022689] Port=0 WR @07=95F334371095D8B6AC + 22687.50ns INFO [00022689] Port=0 RD @06 + 22687.50ns INFO [00022689] Port=1 RD @01 + 22688.50ns INFO [00022690] * RD COMPARE * port=1 adr=03 act=79BA54A215DB6C70A1 exp=79BA54A215DB6C70A1 + 22688.50ns INFO [00022690] Port=0 WR @00=1BB1EAE7DB5FBF9540 + 22688.50ns INFO [00022690] Port=1 RD @01 + 22689.50ns INFO [00022691] * RD COMPARE * port=0 adr=06 act=2B1F06B8D402FD1FB8 exp=2B1F06B8D402FD1FB8 + 22689.50ns INFO [00022691] * RD COMPARE * port=1 adr=01 act=9E8211362836A85A4C exp=9E8211362836A85A4C + 22689.50ns INFO [00022691] Port=0 RD @02 + 22690.50ns INFO [00022692] * RD COMPARE * port=1 adr=01 act=9E8211362836A85A4C exp=9E8211362836A85A4C + 22690.50ns INFO [00022692] Port=0 WR @03=1805975B8A2775E961 + 22690.50ns INFO [00022692] Port=0 RD @04 + 22690.50ns INFO [00022692] Port=1 RD @01 + 22691.50ns INFO [00022693] * RD COMPARE * port=0 adr=02 act=43F5110D7AB32E20AE exp=43F5110D7AB32E20AE + 22691.50ns INFO [00022693] Port=0 RD @05 + 22692.50ns INFO [00022694] * RD COMPARE * port=0 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22692.50ns INFO [00022694] * RD COMPARE * port=1 adr=01 act=9E8211362836A85A4C exp=9E8211362836A85A4C + 22692.50ns INFO [00022694] Port=0 WR @07=D3842F3E58FC835E68 + 22692.50ns INFO [00022694] Port=1 RD @03 + 22693.50ns INFO [00022695] * RD COMPARE * port=0 adr=05 act=F504330AABA8FFBA96 exp=F504330AABA8FFBA96 + 22694.50ns INFO [00022696] * RD COMPARE * port=1 adr=03 act=1805975B8A2775E961 exp=1805975B8A2775E961 + 22695.50ns INFO [00022697] Port=0 WR @00=5DFE9289AF72F8226A + 22695.50ns INFO [00022697] Port=0 RD @06 + 22696.50ns INFO [00022698] Port=0 WR @03=1961C3645E5E400400 + 22697.50ns INFO [00022699] * RD COMPARE * port=0 adr=06 act=2B1F06B8D402FD1FB8 exp=2B1F06B8D402FD1FB8 + 22698.00ns INFO [00022700] [00022700] ...tick... + 22698.50ns INFO [00022700] Port=0 WR @06=B8B3BA985374BF3239 + 22698.50ns INFO [00022700] Port=0 RD @04 + 22698.50ns INFO [00022700] Port=1 RD @07 + 22700.50ns INFO [00022702] * RD COMPARE * port=0 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22700.50ns INFO [00022702] * RD COMPARE * port=1 adr=07 act=D3842F3E58FC835E68 exp=D3842F3E58FC835E68 + 22700.50ns INFO [00022702] Port=1 RD @07 + 22701.50ns INFO [00022703] Port=0 RD @01 + 22701.50ns INFO [00022703] Port=1 RD @06 + 22702.50ns INFO [00022704] * RD COMPARE * port=1 adr=07 act=D3842F3E58FC835E68 exp=D3842F3E58FC835E68 + 22702.50ns INFO [00022704] Port=0 RD @05 + 22702.50ns INFO [00022704] Port=1 RD @04 + 22703.50ns INFO [00022705] * RD COMPARE * port=0 adr=01 act=9E8211362836A85A4C exp=9E8211362836A85A4C + 22703.50ns INFO [00022705] * RD COMPARE * port=1 adr=06 act=B8B3BA985374BF3239 exp=B8B3BA985374BF3239 + 22703.50ns INFO [00022705] Port=0 RD @04 + 22704.50ns INFO [00022706] * RD COMPARE * port=0 adr=05 act=F504330AABA8FFBA96 exp=F504330AABA8FFBA96 + 22704.50ns INFO [00022706] * RD COMPARE * port=1 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22705.50ns INFO [00022707] * RD COMPARE * port=0 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22705.50ns INFO [00022707] Port=0 WR @01=97DB280A9BA3627D47 + 22706.50ns INFO [00022708] Port=0 RD @02 + 22706.50ns INFO [00022708] Port=1 RD @03 + 22707.50ns INFO [00022709] Port=0 RD @03 + 22708.50ns INFO [00022710] * RD COMPARE * port=0 adr=02 act=43F5110D7AB32E20AE exp=43F5110D7AB32E20AE + 22708.50ns INFO [00022710] * RD COMPARE * port=1 adr=03 act=1961C3645E5E400400 exp=1961C3645E5E400400 + 22708.50ns INFO [00022710] Port=1 RD @05 + 22709.50ns INFO [00022711] * RD COMPARE * port=0 adr=03 act=1961C3645E5E400400 exp=1961C3645E5E400400 + 22709.50ns INFO [00022711] Port=0 RD @07 + 22710.50ns INFO [00022712] * RD COMPARE * port=1 adr=05 act=F504330AABA8FFBA96 exp=F504330AABA8FFBA96 + 22710.50ns INFO [00022712] Port=1 RD @06 + 22711.50ns INFO [00022713] * RD COMPARE * port=0 adr=07 act=D3842F3E58FC835E68 exp=D3842F3E58FC835E68 + 22711.50ns INFO [00022713] Port=0 RD @04 + 22712.50ns INFO [00022714] * RD COMPARE * port=1 adr=06 act=B8B3BA985374BF3239 exp=B8B3BA985374BF3239 + 22712.50ns INFO [00022714] Port=0 RD @02 + 22712.50ns INFO [00022714] Port=1 RD @01 + 22713.50ns INFO [00022715] * RD COMPARE * port=0 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22713.50ns INFO [00022715] Port=0 RD @03 + 22713.50ns INFO [00022715] Port=1 RD @07 + 22714.50ns INFO [00022716] * RD COMPARE * port=0 adr=02 act=43F5110D7AB32E20AE exp=43F5110D7AB32E20AE + 22714.50ns INFO [00022716] * RD COMPARE * port=1 adr=01 act=97DB280A9BA3627D47 exp=97DB280A9BA3627D47 + 22714.50ns INFO [00022716] Port=0 WR @07=EA30DB11AD910C6568 + 22714.50ns INFO [00022716] Port=0 RD @00 + 22714.50ns INFO [00022716] Port=1 RD @01 + 22715.50ns INFO [00022717] * RD COMPARE * port=0 adr=03 act=1961C3645E5E400400 exp=1961C3645E5E400400 + 22715.50ns INFO [00022717] * RD COMPARE * port=1 adr=07 act=D3842F3E58FC835E68 exp=D3842F3E58FC835E68 + 22715.50ns INFO [00022717] Port=1 RD @03 + 22716.50ns INFO [00022718] * RD COMPARE * port=0 adr=00 act=5DFE9289AF72F8226A exp=5DFE9289AF72F8226A + 22716.50ns INFO [00022718] * RD COMPARE * port=1 adr=01 act=97DB280A9BA3627D47 exp=97DB280A9BA3627D47 + 22716.50ns INFO [00022718] Port=0 RD @03 + 22716.50ns INFO [00022718] Port=1 RD @07 + 22717.50ns INFO [00022719] * RD COMPARE * port=1 adr=03 act=1961C3645E5E400400 exp=1961C3645E5E400400 + 22717.50ns INFO [00022719] Port=0 WR @05=707D3D676CD3C082D5 + 22718.50ns INFO [00022720] * RD COMPARE * port=0 adr=03 act=1961C3645E5E400400 exp=1961C3645E5E400400 + 22718.50ns INFO [00022720] * RD COMPARE * port=1 adr=07 act=EA30DB11AD910C6568 exp=EA30DB11AD910C6568 + 22718.50ns INFO [00022720] Port=0 WR @01=1625A90C82986E7C65 + 22719.50ns INFO [00022721] Port=0 WR @01=40A5DFC200E7090F94 + 22719.50ns INFO [00022721] Port=0 RD @06 + 22719.50ns INFO [00022721] Port=1 RD @07 + 22720.50ns INFO [00022722] Port=1 RD @02 + 22721.50ns INFO [00022723] * RD COMPARE * port=0 adr=06 act=B8B3BA985374BF3239 exp=B8B3BA985374BF3239 + 22721.50ns INFO [00022723] * RD COMPARE * port=1 adr=07 act=EA30DB11AD910C6568 exp=EA30DB11AD910C6568 + 22721.50ns INFO [00022723] Port=1 RD @02 + 22722.50ns INFO [00022724] * RD COMPARE * port=1 adr=02 act=43F5110D7AB32E20AE exp=43F5110D7AB32E20AE + 22723.50ns INFO [00022725] * RD COMPARE * port=1 adr=02 act=43F5110D7AB32E20AE exp=43F5110D7AB32E20AE + 22723.50ns INFO [00022725] Port=0 RD @04 + 22724.50ns INFO [00022726] Port=1 RD @06 + 22725.50ns INFO [00022727] * RD COMPARE * port=0 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22725.50ns INFO [00022727] Port=1 RD @02 + 22726.50ns INFO [00022728] * RD COMPARE * port=1 adr=06 act=B8B3BA985374BF3239 exp=B8B3BA985374BF3239 + 22726.50ns INFO [00022728] Port=0 WR @03=159F87BF35A22ADBD4 + 22726.50ns INFO [00022728] Port=0 RD @06 + 22727.50ns INFO [00022729] * RD COMPARE * port=1 adr=02 act=43F5110D7AB32E20AE exp=43F5110D7AB32E20AE + 22727.50ns INFO [00022729] Port=0 WR @05=4AA2B458386198FF42 + 22727.50ns INFO [00022729] Port=0 RD @00 + 22727.50ns INFO [00022729] Port=1 RD @01 + 22728.50ns INFO [00022730] * RD COMPARE * port=0 adr=06 act=B8B3BA985374BF3239 exp=B8B3BA985374BF3239 + 22729.50ns INFO [00022731] * RD COMPARE * port=0 adr=00 act=5DFE9289AF72F8226A exp=5DFE9289AF72F8226A + 22729.50ns INFO [00022731] * RD COMPARE * port=1 adr=01 act=40A5DFC200E7090F94 exp=40A5DFC200E7090F94 + 22729.50ns INFO [00022731] Port=0 RD @01 + 22730.50ns INFO [00022732] Port=0 WR @01=61077BD3972E8A775E + 22730.50ns INFO [00022732] Port=0 RD @05 + 22731.50ns INFO [00022733] * RD COMPARE * port=0 adr=01 act=40A5DFC200E7090F94 exp=40A5DFC200E7090F94 + 22731.50ns INFO [00022733] Port=0 WR @02=A3263D0D36AEEFE5CF + 22732.50ns INFO [00022734] * RD COMPARE * port=0 adr=05 act=4AA2B458386198FF42 exp=4AA2B458386198FF42 + 22733.50ns INFO [00022735] Port=0 RD @01 + 22733.50ns INFO [00022735] Port=1 RD @03 + 22734.50ns INFO [00022736] Port=0 RD @00 + 22734.50ns INFO [00022736] Port=1 RD @06 + 22735.50ns INFO [00022737] * RD COMPARE * port=0 adr=01 act=61077BD3972E8A775E exp=61077BD3972E8A775E + 22735.50ns INFO [00022737] * RD COMPARE * port=1 adr=03 act=159F87BF35A22ADBD4 exp=159F87BF35A22ADBD4 + 22736.50ns INFO [00022738] * RD COMPARE * port=0 adr=00 act=5DFE9289AF72F8226A exp=5DFE9289AF72F8226A + 22736.50ns INFO [00022738] * RD COMPARE * port=1 adr=06 act=B8B3BA985374BF3239 exp=B8B3BA985374BF3239 + 22736.50ns INFO [00022738] Port=0 WR @00=2CE03DF55A9D7FC4B4 + 22736.50ns INFO [00022738] Port=0 RD @01 + 22736.50ns INFO [00022738] Port=1 RD @04 + 22737.50ns INFO [00022739] Port=1 RD @02 + 22738.50ns INFO [00022740] * RD COMPARE * port=0 adr=01 act=61077BD3972E8A775E exp=61077BD3972E8A775E + 22738.50ns INFO [00022740] * RD COMPARE * port=1 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22739.50ns INFO [00022741] * RD COMPARE * port=1 adr=02 act=A3263D0D36AEEFE5CF exp=A3263D0D36AEEFE5CF + 22739.50ns INFO [00022741] Port=1 RD @04 + 22741.50ns INFO [00022743] * RD COMPARE * port=1 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22741.50ns INFO [00022743] Port=0 WR @07=C2CB0735CA78EF67A6 + 22741.50ns INFO [00022743] Port=0 RD @03 + 22742.50ns INFO [00022744] Port=0 RD @07 + 22742.50ns INFO [00022744] Port=1 RD @01 + 22743.50ns INFO [00022745] * RD COMPARE * port=0 adr=03 act=159F87BF35A22ADBD4 exp=159F87BF35A22ADBD4 + 22743.50ns INFO [00022745] Port=0 RD @04 + 22744.50ns INFO [00022746] * RD COMPARE * port=0 adr=07 act=C2CB0735CA78EF67A6 exp=C2CB0735CA78EF67A6 + 22744.50ns INFO [00022746] * RD COMPARE * port=1 adr=01 act=61077BD3972E8A775E exp=61077BD3972E8A775E + 22744.50ns INFO [00022746] Port=0 WR @03=9E7A30360B30AE6C14 + 22745.50ns INFO [00022747] * RD COMPARE * port=0 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22745.50ns INFO [00022747] Port=0 RD @00 + 22745.50ns INFO [00022747] Port=1 RD @05 + 22746.50ns INFO [00022748] Port=0 RD @06 + 22747.50ns INFO [00022749] * RD COMPARE * port=0 adr=00 act=2CE03DF55A9D7FC4B4 exp=2CE03DF55A9D7FC4B4 + 22747.50ns INFO [00022749] * RD COMPARE * port=1 adr=05 act=4AA2B458386198FF42 exp=4AA2B458386198FF42 + 22747.50ns INFO [00022749] Port=1 RD @05 + 22748.50ns INFO [00022750] * RD COMPARE * port=0 adr=06 act=B8B3BA985374BF3239 exp=B8B3BA985374BF3239 + 22748.50ns INFO [00022750] Port=1 RD @05 + 22749.50ns INFO [00022751] * RD COMPARE * port=1 adr=05 act=4AA2B458386198FF42 exp=4AA2B458386198FF42 + 22749.50ns INFO [00022751] Port=0 WR @03=F7BE2B0AE8D4B01999 + 22749.50ns INFO [00022751] Port=0 RD @01 + 22750.50ns INFO [00022752] * RD COMPARE * port=1 adr=05 act=4AA2B458386198FF42 exp=4AA2B458386198FF42 + 22751.50ns INFO [00022753] * RD COMPARE * port=0 adr=01 act=61077BD3972E8A775E exp=61077BD3972E8A775E + 22751.50ns INFO [00022753] Port=1 RD @01 + 22752.50ns INFO [00022754] Port=0 WR @07=64E8A7CAB39D7821A4 + 22752.50ns INFO [00022754] Port=0 RD @02 + 22753.50ns INFO [00022755] * RD COMPARE * port=1 adr=01 act=61077BD3972E8A775E exp=61077BD3972E8A775E + 22753.50ns INFO [00022755] Port=0 WR @06=4209E9BBA252BCFB87 + 22753.50ns INFO [00022755] Port=1 RD @01 + 22754.50ns INFO [00022756] * RD COMPARE * port=0 adr=02 act=A3263D0D36AEEFE5CF exp=A3263D0D36AEEFE5CF + 22754.50ns INFO [00022756] Port=0 WR @05=0424491CED3E946E26 + 22754.50ns INFO [00022756] Port=1 RD @04 + 22755.50ns INFO [00022757] * RD COMPARE * port=1 adr=01 act=61077BD3972E8A775E exp=61077BD3972E8A775E + 22756.50ns INFO [00022758] * RD COMPARE * port=1 adr=04 act=859CC718E548CAB7C2 exp=859CC718E548CAB7C2 + 22756.50ns INFO [00022758] Port=0 WR @05=C8B7F383EC44060373 + 22756.50ns INFO [00022758] Port=0 RD @01 + 22757.50ns INFO [00022759] Port=0 RD @06 + 22758.50ns INFO [00022760] * RD COMPARE * port=0 adr=01 act=61077BD3972E8A775E exp=61077BD3972E8A775E + 22758.50ns INFO [00022760] Port=0 WR @00=F4548F57D5BE1B1BA9 + 22758.50ns INFO [00022760] Port=1 RD @06 + 22759.50ns INFO [00022761] * RD COMPARE * port=0 adr=06 act=4209E9BBA252BCFB87 exp=4209E9BBA252BCFB87 + 22759.50ns INFO [00022761] Port=0 WR @06=3BE59F814089B8FB34 + 22759.50ns INFO [00022761] Port=0 RD @07 + 22759.50ns INFO [00022761] Port=1 RD @07 + 22760.50ns INFO [00022762] * RD COMPARE * port=1 adr=06 act=4209E9BBA252BCFB87 exp=4209E9BBA252BCFB87 + 22760.50ns INFO [00022762] Port=0 WR @03=06D9813D537CE1D997 + 22760.50ns INFO [00022762] Port=1 RD @06 + 22761.50ns INFO [00022763] * RD COMPARE * port=0 adr=07 act=64E8A7CAB39D7821A4 exp=64E8A7CAB39D7821A4 + 22761.50ns INFO [00022763] * RD COMPARE * port=1 adr=07 act=64E8A7CAB39D7821A4 exp=64E8A7CAB39D7821A4 + 22761.50ns INFO [00022763] Port=0 WR @04=9F1ED77866746B6263 + 22762.50ns INFO [00022764] * RD COMPARE * port=1 adr=06 act=3BE59F814089B8FB34 exp=3BE59F814089B8FB34 + 22762.50ns INFO [00022764] Port=0 WR @06=5F174236FF144A9164 + 22762.50ns INFO [00022764] Port=0 RD @05 + 22762.50ns INFO [00022764] Port=1 RD @04 + 22763.50ns INFO [00022765] Port=1 RD @03 + 22764.50ns INFO [00022766] * RD COMPARE * port=0 adr=05 act=C8B7F383EC44060373 exp=C8B7F383EC44060373 + 22764.50ns INFO [00022766] * RD COMPARE * port=1 adr=04 act=9F1ED77866746B6263 exp=9F1ED77866746B6263 + 22764.50ns INFO [00022766] Port=0 WR @05=6B829C0C1AD6C11AEE + 22765.50ns INFO [00022767] * RD COMPARE * port=1 adr=03 act=06D9813D537CE1D997 exp=06D9813D537CE1D997 + 22765.50ns INFO [00022767] Port=1 RD @04 + 22766.50ns INFO [00022768] Port=1 RD @01 + 22767.50ns INFO [00022769] * RD COMPARE * port=1 adr=04 act=9F1ED77866746B6263 exp=9F1ED77866746B6263 + 22767.50ns INFO [00022769] Port=0 WR @06=3DC9F1E1FCA7CF2056 + 22767.50ns INFO [00022769] Port=1 RD @05 + 22768.50ns INFO [00022770] * RD COMPARE * port=1 adr=01 act=61077BD3972E8A775E exp=61077BD3972E8A775E + 22768.50ns INFO [00022770] Port=0 WR @02=F763D6E9A711C85729 + 22768.50ns INFO [00022770] Port=0 RD @05 + 22769.50ns INFO [00022771] * RD COMPARE * port=1 adr=05 act=6B829C0C1AD6C11AEE exp=6B829C0C1AD6C11AEE + 22770.50ns INFO [00022772] * RD COMPARE * port=0 adr=05 act=6B829C0C1AD6C11AEE exp=6B829C0C1AD6C11AEE + 22771.50ns INFO [00022773] Port=0 WR @01=0537B776C43485203D + 22771.50ns INFO [00022773] Port=0 RD @02 + 22773.50ns INFO [00022775] * RD COMPARE * port=0 adr=02 act=F763D6E9A711C85729 exp=F763D6E9A711C85729 + 22773.50ns INFO [00022775] Port=0 WR @02=17D253435457613B7F + 22774.50ns INFO [00022776] Port=0 WR @05=BD18E1DE71782DF903 + 22775.50ns INFO [00022777] Port=1 RD @03 + 22776.50ns INFO [00022778] Port=0 WR @07=CF518BC04D68F18FB5 + 22777.50ns INFO [00022779] * RD COMPARE * port=1 adr=03 act=06D9813D537CE1D997 exp=06D9813D537CE1D997 + 22777.50ns INFO [00022779] Port=0 RD @04 + 22778.50ns INFO [00022780] Port=0 RD @07 + 22779.50ns INFO [00022781] * RD COMPARE * port=0 adr=04 act=9F1ED77866746B6263 exp=9F1ED77866746B6263 + 22779.50ns INFO [00022781] Port=0 WR @04=CA4647AA253F6B3752 + 22780.50ns INFO [00022782] * RD COMPARE * port=0 adr=07 act=CF518BC04D68F18FB5 exp=CF518BC04D68F18FB5 + 22780.50ns INFO [00022782] Port=0 WR @02=6340CB4F2A2CB06280 + 22780.50ns INFO [00022782] Port=0 RD @01 + 22782.50ns INFO [00022784] * RD COMPARE * port=0 adr=01 act=0537B776C43485203D exp=0537B776C43485203D + 22782.50ns INFO [00022784] Port=0 WR @02=F891F8933D4E8A3116 + 22782.50ns INFO [00022784] Port=1 RD @06 + 22784.50ns INFO [00022786] * RD COMPARE * port=1 adr=06 act=3DC9F1E1FCA7CF2056 exp=3DC9F1E1FCA7CF2056 + 22784.50ns INFO [00022786] Port=1 RD @03 + 22785.50ns INFO [00022787] Port=0 RD @00 + 22785.50ns INFO [00022787] Port=1 RD @07 + 22786.50ns INFO [00022788] * RD COMPARE * port=1 adr=03 act=06D9813D537CE1D997 exp=06D9813D537CE1D997 + 22786.50ns INFO [00022788] Port=0 WR @06=01777CD9853670F00A + 22786.50ns INFO [00022788] Port=0 RD @01 + 22787.50ns INFO [00022789] * RD COMPARE * port=0 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22787.50ns INFO [00022789] * RD COMPARE * port=1 adr=07 act=CF518BC04D68F18FB5 exp=CF518BC04D68F18FB5 + 22787.50ns INFO [00022789] Port=0 WR @04=50F9B91E9E80F2DA22 + 22788.50ns INFO [00022790] * RD COMPARE * port=0 adr=01 act=0537B776C43485203D exp=0537B776C43485203D + 22788.50ns INFO [00022790] Port=0 RD @05 + 22788.50ns INFO [00022790] Port=1 RD @04 + 22789.50ns INFO [00022791] Port=0 WR @04=E36A1641D5FDDE38A3 + 22790.50ns INFO [00022792] * RD COMPARE * port=0 adr=05 act=BD18E1DE71782DF903 exp=BD18E1DE71782DF903 + 22790.50ns INFO [00022792] * RD COMPARE * port=1 adr=04 act=50F9B91E9E80F2DA22 exp=50F9B91E9E80F2DA22 + 22791.50ns INFO [00022793] Port=0 WR @01=BCD3A5A321919D7909 + 22791.50ns INFO [00022793] Port=0 RD @07 + 22792.50ns INFO [00022794] Port=0 WR @07=A02708017360A072F5 + 22792.50ns INFO [00022794] Port=0 RD @05 + 22793.50ns INFO [00022795] * RD COMPARE * port=0 adr=07 act=CF518BC04D68F18FB5 exp=CF518BC04D68F18FB5 + 22794.50ns INFO [00022796] * RD COMPARE * port=0 adr=05 act=BD18E1DE71782DF903 exp=BD18E1DE71782DF903 + 22794.50ns INFO [00022796] Port=1 RD @06 + 22795.50ns INFO [00022797] Port=0 WR @03=0D3EF558FE3B05894A + 22795.50ns INFO [00022797] Port=1 RD @00 + 22796.50ns INFO [00022798] * RD COMPARE * port=1 adr=06 act=01777CD9853670F00A exp=01777CD9853670F00A + 22796.50ns INFO [00022798] Port=0 WR @06=E0E33F9BB94331FE66 + 22796.50ns INFO [00022798] Port=1 RD @01 + 22797.50ns INFO [00022799] * RD COMPARE * port=1 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22797.50ns INFO [00022799] Port=0 RD @04 + 22797.50ns INFO [00022799] Port=1 RD @04 + 22798.00ns INFO [00022800] [00022800] ...tick... + 22798.50ns INFO [00022800] * RD COMPARE * port=1 adr=01 act=BCD3A5A321919D7909 exp=BCD3A5A321919D7909 + 22798.50ns INFO [00022800] Port=0 WR @05=92AB98D4D2F085950A + 22799.50ns INFO [00022801] * RD COMPARE * port=0 adr=04 act=E36A1641D5FDDE38A3 exp=E36A1641D5FDDE38A3 + 22799.50ns INFO [00022801] * RD COMPARE * port=1 adr=04 act=E36A1641D5FDDE38A3 exp=E36A1641D5FDDE38A3 + 22799.50ns INFO [00022801] Port=0 WR @02=9864A95362423506B0 + 22799.50ns INFO [00022801] Port=0 RD @04 + 22801.50ns INFO [00022803] * RD COMPARE * port=0 adr=04 act=E36A1641D5FDDE38A3 exp=E36A1641D5FDDE38A3 + 22801.50ns INFO [00022803] Port=1 RD @02 + 22802.50ns INFO [00022804] Port=1 RD @07 + 22803.50ns INFO [00022805] * RD COMPARE * port=1 adr=02 act=9864A95362423506B0 exp=9864A95362423506B0 + 22804.50ns INFO [00022806] * RD COMPARE * port=1 adr=07 act=A02708017360A072F5 exp=A02708017360A072F5 + 22804.50ns INFO [00022806] Port=0 WR @05=9929CDB63510944144 + 22805.50ns INFO [00022807] Port=0 RD @05 + 22807.50ns INFO [00022809] * RD COMPARE * port=0 adr=05 act=9929CDB63510944144 exp=9929CDB63510944144 + 22807.50ns INFO [00022809] Port=0 RD @05 + 22808.50ns INFO [00022810] Port=1 RD @02 + 22809.50ns INFO [00022811] * RD COMPARE * port=0 adr=05 act=9929CDB63510944144 exp=9929CDB63510944144 + 22809.50ns INFO [00022811] Port=0 WR @07=4752A4996AD9912C03 + 22810.50ns INFO [00022812] * RD COMPARE * port=1 adr=02 act=9864A95362423506B0 exp=9864A95362423506B0 + 22810.50ns INFO [00022812] Port=0 RD @03 + 22812.50ns INFO [00022814] * RD COMPARE * port=0 adr=03 act=0D3EF558FE3B05894A exp=0D3EF558FE3B05894A + 22812.50ns INFO [00022814] Port=1 RD @07 + 22813.50ns INFO [00022815] Port=0 WR @03=35C3E40305E2DDE8BC + 22813.50ns INFO [00022815] Port=1 RD @06 + 22814.50ns INFO [00022816] * RD COMPARE * port=1 adr=07 act=4752A4996AD9912C03 exp=4752A4996AD9912C03 + 22814.50ns INFO [00022816] Port=1 RD @07 + 22815.50ns INFO [00022817] * RD COMPARE * port=1 adr=06 act=E0E33F9BB94331FE66 exp=E0E33F9BB94331FE66 + 22815.50ns INFO [00022817] Port=0 RD @01 + 22816.50ns INFO [00022818] * RD COMPARE * port=1 adr=07 act=4752A4996AD9912C03 exp=4752A4996AD9912C03 + 22817.50ns INFO [00022819] * RD COMPARE * port=0 adr=01 act=BCD3A5A321919D7909 exp=BCD3A5A321919D7909 + 22817.50ns INFO [00022819] Port=0 RD @04 + 22818.50ns INFO [00022820] Port=0 RD @01 + 22818.50ns INFO [00022820] Port=1 RD @05 + 22819.50ns INFO [00022821] * RD COMPARE * port=0 adr=04 act=E36A1641D5FDDE38A3 exp=E36A1641D5FDDE38A3 + 22819.50ns INFO [00022821] Port=0 WR @03=8F85FB04DFAAA62798 + 22819.50ns INFO [00022821] Port=1 RD @01 + 22820.50ns INFO [00022822] * RD COMPARE * port=0 adr=01 act=BCD3A5A321919D7909 exp=BCD3A5A321919D7909 + 22820.50ns INFO [00022822] * RD COMPARE * port=1 adr=05 act=9929CDB63510944144 exp=9929CDB63510944144 + 22821.50ns INFO [00022823] * RD COMPARE * port=1 adr=01 act=BCD3A5A321919D7909 exp=BCD3A5A321919D7909 + 22821.50ns INFO [00022823] Port=0 RD @05 + 22822.50ns INFO [00022824] Port=0 RD @06 + 22822.50ns INFO [00022824] Port=1 RD @00 + 22823.50ns INFO [00022825] * RD COMPARE * port=0 adr=05 act=9929CDB63510944144 exp=9929CDB63510944144 + 22823.50ns INFO [00022825] Port=0 RD @02 + 22824.50ns INFO [00022826] * RD COMPARE * port=0 adr=06 act=E0E33F9BB94331FE66 exp=E0E33F9BB94331FE66 + 22824.50ns INFO [00022826] * RD COMPARE * port=1 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22824.50ns INFO [00022826] Port=0 RD @03 + 22825.50ns INFO [00022827] * RD COMPARE * port=0 adr=02 act=9864A95362423506B0 exp=9864A95362423506B0 + 22826.50ns INFO [00022828] * RD COMPARE * port=0 adr=03 act=8F85FB04DFAAA62798 exp=8F85FB04DFAAA62798 + 22826.50ns INFO [00022828] Port=0 WR @04=65A7935303A5FD900A + 22826.50ns INFO [00022828] Port=0 RD @07 + 22826.50ns INFO [00022828] Port=1 RD @03 + 22827.50ns INFO [00022829] Port=0 WR @06=2E79A25C36E05E8F6E + 22827.50ns INFO [00022829] Port=0 RD @02 + 22828.50ns INFO [00022830] * RD COMPARE * port=0 adr=07 act=4752A4996AD9912C03 exp=4752A4996AD9912C03 + 22828.50ns INFO [00022830] * RD COMPARE * port=1 adr=03 act=8F85FB04DFAAA62798 exp=8F85FB04DFAAA62798 + 22829.50ns INFO [00022831] * RD COMPARE * port=0 adr=02 act=9864A95362423506B0 exp=9864A95362423506B0 + 22830.50ns INFO [00022832] Port=0 RD @05 + 22830.50ns INFO [00022832] Port=1 RD @02 + 22831.50ns INFO [00022833] Port=1 RD @03 + 22832.50ns INFO [00022834] * RD COMPARE * port=0 adr=05 act=9929CDB63510944144 exp=9929CDB63510944144 + 22832.50ns INFO [00022834] * RD COMPARE * port=1 adr=02 act=9864A95362423506B0 exp=9864A95362423506B0 + 22832.50ns INFO [00022834] Port=0 RD @03 + 22833.50ns INFO [00022835] * RD COMPARE * port=1 adr=03 act=8F85FB04DFAAA62798 exp=8F85FB04DFAAA62798 + 22833.50ns INFO [00022835] Port=0 RD @03 + 22833.50ns INFO [00022835] Port=1 RD @02 + 22834.50ns INFO [00022836] * RD COMPARE * port=0 adr=03 act=8F85FB04DFAAA62798 exp=8F85FB04DFAAA62798 + 22834.50ns INFO [00022836] Port=0 WR @03=93F6DA3819F138EA7D + 22835.50ns INFO [00022837] * RD COMPARE * port=0 adr=03 act=8F85FB04DFAAA62798 exp=8F85FB04DFAAA62798 + 22835.50ns INFO [00022837] * RD COMPARE * port=1 adr=02 act=9864A95362423506B0 exp=9864A95362423506B0 + 22835.50ns INFO [00022837] Port=0 WR @05=6E54722C206C2BDCD7 + 22836.50ns INFO [00022838] Port=0 RD @07 + 22837.50ns INFO [00022839] Port=0 WR @04=ACDBC000E9A69866A2 + 22837.50ns INFO [00022839] Port=1 RD @07 + 22838.50ns INFO [00022840] * RD COMPARE * port=0 adr=07 act=4752A4996AD9912C03 exp=4752A4996AD9912C03 + 22838.50ns INFO [00022840] Port=0 RD @03 + 22838.50ns INFO [00022840] Port=1 RD @02 + 22839.50ns INFO [00022841] * RD COMPARE * port=1 adr=07 act=4752A4996AD9912C03 exp=4752A4996AD9912C03 + 22840.50ns INFO [00022842] * RD COMPARE * port=0 adr=03 act=93F6DA3819F138EA7D exp=93F6DA3819F138EA7D + 22840.50ns INFO [00022842] * RD COMPARE * port=1 adr=02 act=9864A95362423506B0 exp=9864A95362423506B0 + 22840.50ns INFO [00022842] Port=0 WR @06=75BB4CFB65FDBF74A4 + 22840.50ns INFO [00022842] Port=1 RD @02 + 22841.50ns INFO [00022843] Port=0 WR @04=C9F080899AEB01B16C + 22841.50ns INFO [00022843] Port=0 RD @07 + 22842.50ns INFO [00022844] * RD COMPARE * port=1 adr=02 act=9864A95362423506B0 exp=9864A95362423506B0 + 22842.50ns INFO [00022844] Port=1 RD @04 + 22843.50ns INFO [00022845] * RD COMPARE * port=0 adr=07 act=4752A4996AD9912C03 exp=4752A4996AD9912C03 + 22843.50ns INFO [00022845] Port=1 RD @07 + 22844.50ns INFO [00022846] * RD COMPARE * port=1 adr=04 act=C9F080899AEB01B16C exp=C9F080899AEB01B16C + 22844.50ns INFO [00022846] Port=0 WR @03=1FACDFAFD409D6A340 + 22844.50ns INFO [00022846] Port=1 RD @02 + 22845.50ns INFO [00022847] * RD COMPARE * port=1 adr=07 act=4752A4996AD9912C03 exp=4752A4996AD9912C03 + 22845.50ns INFO [00022847] Port=0 WR @02=22330C0FA1413EA755 + 22845.50ns INFO [00022847] Port=0 RD @00 + 22846.50ns INFO [00022848] * RD COMPARE * port=1 adr=02 act=9864A95362423506B0 exp=9864A95362423506B0 + 22846.50ns INFO [00022848] Port=0 RD @04 + 22846.50ns INFO [00022848] Port=1 RD @01 + 22847.50ns INFO [00022849] * RD COMPARE * port=0 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22847.50ns INFO [00022849] Port=0 WR @01=DF77FBD13321562587 + 22848.50ns INFO [00022850] * RD COMPARE * port=0 adr=04 act=C9F080899AEB01B16C exp=C9F080899AEB01B16C + 22848.50ns INFO [00022850] * RD COMPARE * port=1 adr=01 act=BCD3A5A321919D7909 exp=BCD3A5A321919D7909 + 22848.50ns INFO [00022850] Port=0 RD @05 + 22848.50ns INFO [00022850] Port=1 RD @04 + 22849.50ns INFO [00022851] Port=0 WR @02=3DF4D9CC9FE0B69678 + 22849.50ns INFO [00022851] Port=0 RD @01 + 22850.50ns INFO [00022852] * RD COMPARE * port=0 adr=05 act=6E54722C206C2BDCD7 exp=6E54722C206C2BDCD7 + 22850.50ns INFO [00022852] * RD COMPARE * port=1 adr=04 act=C9F080899AEB01B16C exp=C9F080899AEB01B16C + 22850.50ns INFO [00022852] Port=0 WR @04=B9018A3D7C227BFCF4 + 22850.50ns INFO [00022852] Port=0 RD @01 + 22851.50ns INFO [00022853] * RD COMPARE * port=0 adr=01 act=DF77FBD13321562587 exp=DF77FBD13321562587 + 22851.50ns INFO [00022853] Port=0 RD @03 + 22852.50ns INFO [00022854] * RD COMPARE * port=0 adr=01 act=DF77FBD13321562587 exp=DF77FBD13321562587 + 22852.50ns INFO [00022854] Port=0 RD @04 + 22852.50ns INFO [00022854] Port=1 RD @01 + 22853.50ns INFO [00022855] * RD COMPARE * port=0 adr=03 act=1FACDFAFD409D6A340 exp=1FACDFAFD409D6A340 + 22853.50ns INFO [00022855] Port=0 WR @07=8195D087275D7E4C71 + 22854.50ns INFO [00022856] * RD COMPARE * port=0 adr=04 act=B9018A3D7C227BFCF4 exp=B9018A3D7C227BFCF4 + 22854.50ns INFO [00022856] * RD COMPARE * port=1 adr=01 act=DF77FBD13321562587 exp=DF77FBD13321562587 + 22854.50ns INFO [00022856] Port=0 WR @04=52C4AF3ABCAAE23119 + 22854.50ns INFO [00022856] Port=1 RD @03 + 22855.50ns INFO [00022857] Port=0 RD @04 + 22856.50ns INFO [00022858] * RD COMPARE * port=1 adr=03 act=1FACDFAFD409D6A340 exp=1FACDFAFD409D6A340 + 22856.50ns INFO [00022858] Port=1 RD @04 + 22857.50ns INFO [00022859] * RD COMPARE * port=0 adr=04 act=52C4AF3ABCAAE23119 exp=52C4AF3ABCAAE23119 + 22857.50ns INFO [00022859] Port=0 WR @06=4C213B696A158CB9B0 + 22857.50ns INFO [00022859] Port=0 RD @02 + 22857.50ns INFO [00022859] Port=1 RD @01 + 22858.50ns INFO [00022860] * RD COMPARE * port=1 adr=04 act=52C4AF3ABCAAE23119 exp=52C4AF3ABCAAE23119 + 22858.50ns INFO [00022860] Port=0 RD @02 + 22859.50ns INFO [00022861] * RD COMPARE * port=0 adr=02 act=3DF4D9CC9FE0B69678 exp=3DF4D9CC9FE0B69678 + 22859.50ns INFO [00022861] * RD COMPARE * port=1 adr=01 act=DF77FBD13321562587 exp=DF77FBD13321562587 + 22859.50ns INFO [00022861] Port=1 RD @05 + 22860.50ns INFO [00022862] * RD COMPARE * port=0 adr=02 act=3DF4D9CC9FE0B69678 exp=3DF4D9CC9FE0B69678 + 22860.50ns INFO [00022862] Port=1 RD @05 + 22861.50ns INFO [00022863] * RD COMPARE * port=1 adr=05 act=6E54722C206C2BDCD7 exp=6E54722C206C2BDCD7 + 22861.50ns INFO [00022863] Port=0 RD @00 + 22862.50ns INFO [00022864] * RD COMPARE * port=1 adr=05 act=6E54722C206C2BDCD7 exp=6E54722C206C2BDCD7 + 22862.50ns INFO [00022864] Port=0 RD @05 + 22863.50ns INFO [00022865] * RD COMPARE * port=0 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22863.50ns INFO [00022865] Port=0 WR @07=F671F1CF6E6CC281C8 + 22863.50ns INFO [00022865] Port=1 RD @01 + 22864.50ns INFO [00022866] * RD COMPARE * port=0 adr=05 act=6E54722C206C2BDCD7 exp=6E54722C206C2BDCD7 + 22864.50ns INFO [00022866] Port=0 WR @03=8363CABB95C649B0D1 + 22864.50ns INFO [00022866] Port=0 RD @04 + 22865.50ns INFO [00022867] * RD COMPARE * port=1 adr=01 act=DF77FBD13321562587 exp=DF77FBD13321562587 + 22865.50ns INFO [00022867] Port=0 WR @04=8AB01895EECD2D401B + 22865.50ns INFO [00022867] Port=0 RD @02 + 22865.50ns INFO [00022867] Port=1 RD @02 + 22866.50ns INFO [00022868] * RD COMPARE * port=0 adr=04 act=52C4AF3ABCAAE23119 exp=52C4AF3ABCAAE23119 + 22866.50ns INFO [00022868] Port=0 RD @06 + 22867.50ns INFO [00022869] * RD COMPARE * port=0 adr=02 act=3DF4D9CC9FE0B69678 exp=3DF4D9CC9FE0B69678 + 22867.50ns INFO [00022869] * RD COMPARE * port=1 adr=02 act=3DF4D9CC9FE0B69678 exp=3DF4D9CC9FE0B69678 + 22867.50ns INFO [00022869] Port=0 WR @04=34643553E7C6E85BFB + 22867.50ns INFO [00022869] Port=0 RD @00 + 22868.50ns INFO [00022870] * RD COMPARE * port=0 adr=06 act=4C213B696A158CB9B0 exp=4C213B696A158CB9B0 + 22869.50ns INFO [00022871] * RD COMPARE * port=0 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22869.50ns INFO [00022871] Port=0 WR @02=C2F99A690C4BFA8FB5 + 22870.50ns INFO [00022872] Port=1 RD @02 + 22872.50ns INFO [00022874] * RD COMPARE * port=1 adr=02 act=C2F99A690C4BFA8FB5 exp=C2F99A690C4BFA8FB5 + 22872.50ns INFO [00022874] Port=0 WR @05=4B29BE1A34EB1D1528 + 22872.50ns INFO [00022874] Port=1 RD @04 + 22873.50ns INFO [00022875] Port=0 RD @00 + 22874.50ns INFO [00022876] * RD COMPARE * port=1 adr=04 act=34643553E7C6E85BFB exp=34643553E7C6E85BFB + 22874.50ns INFO [00022876] Port=0 WR @06=3D34BADC89FF9A69DE + 22875.50ns INFO [00022877] * RD COMPARE * port=0 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22875.50ns INFO [00022877] Port=0 WR @02=5EE6D94902ACDB6F24 + 22876.50ns INFO [00022878] Port=0 RD @02 + 22877.50ns INFO [00022879] Port=0 RD @03 + 22877.50ns INFO [00022879] Port=1 RD @05 + 22878.50ns INFO [00022880] * RD COMPARE * port=0 adr=02 act=5EE6D94902ACDB6F24 exp=5EE6D94902ACDB6F24 + 22878.50ns INFO [00022880] Port=0 RD @04 + 22879.50ns INFO [00022881] * RD COMPARE * port=0 adr=03 act=8363CABB95C649B0D1 exp=8363CABB95C649B0D1 + 22879.50ns INFO [00022881] * RD COMPARE * port=1 adr=05 act=4B29BE1A34EB1D1528 exp=4B29BE1A34EB1D1528 + 22879.50ns INFO [00022881] Port=0 WR @04=6F38DA5DBF932AAEC6 + 22879.50ns INFO [00022881] Port=1 RD @07 + 22880.50ns INFO [00022882] * RD COMPARE * port=0 adr=04 act=34643553E7C6E85BFB exp=34643553E7C6E85BFB + 22880.50ns INFO [00022882] Port=0 WR @07=694C9076CBDF44A722 + 22880.50ns INFO [00022882] Port=0 RD @04 + 22881.50ns INFO [00022883] * RD COMPARE * port=1 adr=07 act=F671F1CF6E6CC281C8 exp=F671F1CF6E6CC281C8 + 22882.50ns INFO [00022884] * RD COMPARE * port=0 adr=04 act=6F38DA5DBF932AAEC6 exp=6F38DA5DBF932AAEC6 + 22882.50ns INFO [00022884] Port=1 RD @04 + 22884.50ns INFO [00022886] * RD COMPARE * port=1 adr=04 act=6F38DA5DBF932AAEC6 exp=6F38DA5DBF932AAEC6 + 22885.50ns INFO [00022887] Port=0 RD @05 + 22885.50ns INFO [00022887] Port=1 RD @00 + 22886.50ns INFO [00022888] Port=0 WR @07=3BD5608BD98B3F271E + 22886.50ns INFO [00022888] Port=0 RD @00 + 22887.50ns INFO [00022889] * RD COMPARE * port=0 adr=05 act=4B29BE1A34EB1D1528 exp=4B29BE1A34EB1D1528 + 22887.50ns INFO [00022889] * RD COMPARE * port=1 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22887.50ns INFO [00022889] Port=0 WR @05=42BFD80C5EEC36CBA3 + 22887.50ns INFO [00022889] Port=0 RD @04 + 22888.50ns INFO [00022890] * RD COMPARE * port=0 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22888.50ns INFO [00022890] Port=0 WR @04=11F1D2DB53AFC4AAA8 + 22888.50ns INFO [00022890] Port=0 RD @01 + 22888.50ns INFO [00022890] Port=1 RD @02 + 22889.50ns INFO [00022891] * RD COMPARE * port=0 adr=04 act=6F38DA5DBF932AAEC6 exp=6F38DA5DBF932AAEC6 + 22889.50ns INFO [00022891] Port=0 WR @03=D34170E2BB4B1A4DB4 + 22889.50ns INFO [00022891] Port=0 RD @04 + 22889.50ns INFO [00022891] Port=1 RD @04 + 22890.50ns INFO [00022892] * RD COMPARE * port=0 adr=01 act=DF77FBD13321562587 exp=DF77FBD13321562587 + 22890.50ns INFO [00022892] * RD COMPARE * port=1 adr=02 act=5EE6D94902ACDB6F24 exp=5EE6D94902ACDB6F24 + 22890.50ns INFO [00022892] Port=0 RD @06 + 22891.50ns INFO [00022893] * RD COMPARE * port=0 adr=04 act=11F1D2DB53AFC4AAA8 exp=11F1D2DB53AFC4AAA8 + 22891.50ns INFO [00022893] * RD COMPARE * port=1 adr=04 act=11F1D2DB53AFC4AAA8 exp=11F1D2DB53AFC4AAA8 + 22891.50ns INFO [00022893] Port=0 WR @03=6CD09AB83091ABFFF1 + 22891.50ns INFO [00022893] Port=1 RD @00 + 22892.50ns INFO [00022894] * RD COMPARE * port=0 adr=06 act=3D34BADC89FF9A69DE exp=3D34BADC89FF9A69DE + 22892.50ns INFO [00022894] Port=1 RD @06 + 22893.50ns INFO [00022895] * RD COMPARE * port=1 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22893.50ns INFO [00022895] Port=0 WR @01=0B12ADA573AE670CA0 + 22893.50ns INFO [00022895] Port=0 RD @06 + 22893.50ns INFO [00022895] Port=1 RD @02 + 22894.50ns INFO [00022896] * RD COMPARE * port=1 adr=06 act=3D34BADC89FF9A69DE exp=3D34BADC89FF9A69DE + 22894.50ns INFO [00022896] Port=1 RD @06 + 22895.50ns INFO [00022897] * RD COMPARE * port=0 adr=06 act=3D34BADC89FF9A69DE exp=3D34BADC89FF9A69DE + 22895.50ns INFO [00022897] * RD COMPARE * port=1 adr=02 act=5EE6D94902ACDB6F24 exp=5EE6D94902ACDB6F24 + 22895.50ns INFO [00022897] Port=0 WR @07=D99F024080211CC2EC + 22895.50ns INFO [00022897] Port=1 RD @01 + 22896.50ns INFO [00022898] * RD COMPARE * port=1 adr=06 act=3D34BADC89FF9A69DE exp=3D34BADC89FF9A69DE + 22896.50ns INFO [00022898] Port=0 WR @01=7D4E045C540271A429 + 22896.50ns INFO [00022898] Port=0 RD @04 + 22897.50ns INFO [00022899] * RD COMPARE * port=1 adr=01 act=0B12ADA573AE670CA0 exp=0B12ADA573AE670CA0 + 22897.50ns INFO [00022899] Port=0 WR @06=D12261B25C50EEF4AC + 22898.00ns INFO [00022900] [00022900] ...tick... + 22898.50ns INFO [00022900] * RD COMPARE * port=0 adr=04 act=11F1D2DB53AFC4AAA8 exp=11F1D2DB53AFC4AAA8 + 22898.50ns INFO [00022900] Port=0 WR @06=6FCC2D800464CF2EBD + 22899.50ns INFO [00022901] Port=0 RD @05 + 22900.50ns INFO [00022902] Port=1 RD @06 + 22901.50ns INFO [00022903] * RD COMPARE * port=0 adr=05 act=42BFD80C5EEC36CBA3 exp=42BFD80C5EEC36CBA3 + 22901.50ns INFO [00022903] Port=0 WR @02=44AAD4BDF61FEA886F + 22902.50ns INFO [00022904] * RD COMPARE * port=1 adr=06 act=6FCC2D800464CF2EBD exp=6FCC2D800464CF2EBD + 22902.50ns INFO [00022904] Port=0 RD @02 + 22903.50ns INFO [00022905] Port=0 WR @01=AFEA4E46E83F23237E + 22903.50ns INFO [00022905] Port=0 RD @05 + 22904.50ns INFO [00022906] * RD COMPARE * port=0 adr=02 act=44AAD4BDF61FEA886F exp=44AAD4BDF61FEA886F + 22904.50ns INFO [00022906] Port=0 WR @06=5EB9E13E5368EB2D68 + 22904.50ns INFO [00022906] Port=0 RD @03 + 22905.50ns INFO [00022907] * RD COMPARE * port=0 adr=05 act=42BFD80C5EEC36CBA3 exp=42BFD80C5EEC36CBA3 + 22905.50ns INFO [00022907] Port=0 RD @02 + 22905.50ns INFO [00022907] Port=1 RD @01 + 22906.50ns INFO [00022908] * RD COMPARE * port=0 adr=03 act=6CD09AB83091ABFFF1 exp=6CD09AB83091ABFFF1 + 22906.50ns INFO [00022908] Port=0 RD @05 + 22907.50ns INFO [00022909] * RD COMPARE * port=0 adr=02 act=44AAD4BDF61FEA886F exp=44AAD4BDF61FEA886F + 22907.50ns INFO [00022909] * RD COMPARE * port=1 adr=01 act=AFEA4E46E83F23237E exp=AFEA4E46E83F23237E + 22907.50ns INFO [00022909] Port=0 RD @01 + 22907.50ns INFO [00022909] Port=1 RD @02 + 22908.50ns INFO [00022910] * RD COMPARE * port=0 adr=05 act=42BFD80C5EEC36CBA3 exp=42BFD80C5EEC36CBA3 + 22909.50ns INFO [00022911] * RD COMPARE * port=0 adr=01 act=AFEA4E46E83F23237E exp=AFEA4E46E83F23237E + 22909.50ns INFO [00022911] * RD COMPARE * port=1 adr=02 act=44AAD4BDF61FEA886F exp=44AAD4BDF61FEA886F + 22909.50ns INFO [00022911] Port=0 WR @04=725BA36185DA02AAA0 + 22909.50ns INFO [00022911] Port=1 RD @02 + 22910.50ns INFO [00022912] Port=0 WR @02=C7741A2E93A9084C86 + 22910.50ns INFO [00022912] Port=1 RD @06 + 22911.50ns INFO [00022913] * RD COMPARE * port=1 adr=02 act=44AAD4BDF61FEA886F exp=44AAD4BDF61FEA886F + 22911.50ns INFO [00022913] Port=0 WR @01=2CB7AF7F70E8DEE379 + 22911.50ns INFO [00022913] Port=1 RD @00 + 22912.50ns INFO [00022914] * RD COMPARE * port=1 adr=06 act=5EB9E13E5368EB2D68 exp=5EB9E13E5368EB2D68 + 22912.50ns INFO [00022914] Port=0 WR @01=2EA60D7ECF940EC096 + 22912.50ns INFO [00022914] Port=0 RD @00 + 22912.50ns INFO [00022914] Port=1 RD @05 + 22913.50ns INFO [00022915] * RD COMPARE * port=1 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22913.50ns INFO [00022915] Port=1 RD @01 + 22914.50ns INFO [00022916] * RD COMPARE * port=0 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22914.50ns INFO [00022916] * RD COMPARE * port=1 adr=05 act=42BFD80C5EEC36CBA3 exp=42BFD80C5EEC36CBA3 + 22915.50ns INFO [00022917] * RD COMPARE * port=1 adr=01 act=2EA60D7ECF940EC096 exp=2EA60D7ECF940EC096 + 22916.50ns INFO [00022918] Port=0 WR @02=47B854AF5C14E76466 + 22916.50ns INFO [00022918] Port=0 RD @07 + 22917.50ns INFO [00022919] Port=1 RD @03 + 22918.50ns INFO [00022920] * RD COMPARE * port=0 adr=07 act=D99F024080211CC2EC exp=D99F024080211CC2EC + 22918.50ns INFO [00022920] Port=0 WR @04=F0A524BE8EAED58467 + 22918.50ns INFO [00022920] Port=0 RD @01 + 22919.50ns INFO [00022921] * RD COMPARE * port=1 adr=03 act=6CD09AB83091ABFFF1 exp=6CD09AB83091ABFFF1 + 22919.50ns INFO [00022921] Port=0 WR @01=0C1AADEE666E08011C + 22920.50ns INFO [00022922] * RD COMPARE * port=0 adr=01 act=2EA60D7ECF940EC096 exp=2EA60D7ECF940EC096 + 22920.50ns INFO [00022922] Port=0 WR @06=64C935BEE2501D7D10 + 22920.50ns INFO [00022922] Port=0 RD @00 + 22920.50ns INFO [00022922] Port=1 RD @01 + 22921.50ns INFO [00022923] Port=0 RD @00 + 22922.50ns INFO [00022924] * RD COMPARE * port=0 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22922.50ns INFO [00022924] * RD COMPARE * port=1 adr=01 act=0C1AADEE666E08011C exp=0C1AADEE666E08011C + 22922.50ns INFO [00022924] Port=0 RD @07 + 22923.50ns INFO [00022925] * RD COMPARE * port=0 adr=00 act=F4548F57D5BE1B1BA9 exp=F4548F57D5BE1B1BA9 + 22924.50ns INFO [00022926] * RD COMPARE * port=0 adr=07 act=D99F024080211CC2EC exp=D99F024080211CC2EC + 22924.50ns INFO [00022926] Port=0 WR @00=8301B5FD36E72A1032 + 22925.50ns INFO [00022927] Port=0 WR @06=2EEDD3FAB88681A559 + 22925.50ns INFO [00022927] Port=0 RD @02 + 22925.50ns INFO [00022927] Port=1 RD @00 + 22926.50ns INFO [00022928] Port=0 RD @03 + 22926.50ns INFO [00022928] Port=1 RD @02 + 22927.50ns INFO [00022929] * RD COMPARE * port=0 adr=02 act=47B854AF5C14E76466 exp=47B854AF5C14E76466 + 22927.50ns INFO [00022929] * RD COMPARE * port=1 adr=00 act=8301B5FD36E72A1032 exp=8301B5FD36E72A1032 + 22927.50ns INFO [00022929] Port=0 WR @06=BC4AD210D6FD53AE5D + 22927.50ns INFO [00022929] Port=1 RD @01 + 22928.50ns INFO [00022930] * RD COMPARE * port=0 adr=03 act=6CD09AB83091ABFFF1 exp=6CD09AB83091ABFFF1 + 22928.50ns INFO [00022930] * RD COMPARE * port=1 adr=02 act=47B854AF5C14E76466 exp=47B854AF5C14E76466 + 22928.50ns INFO [00022930] Port=0 WR @04=61EF4A01FB0B5A2498 + 22928.50ns INFO [00022930] Port=0 RD @03 + 22928.50ns INFO [00022930] Port=1 RD @05 + 22929.50ns INFO [00022931] * RD COMPARE * port=1 adr=01 act=0C1AADEE666E08011C exp=0C1AADEE666E08011C + 22929.50ns INFO [00022931] Port=0 WR @04=606912D1F29AC19811 + 22929.50ns INFO [00022931] Port=1 RD @07 + 22930.50ns INFO [00022932] * RD COMPARE * port=0 adr=03 act=6CD09AB83091ABFFF1 exp=6CD09AB83091ABFFF1 + 22930.50ns INFO [00022932] * RD COMPARE * port=1 adr=05 act=42BFD80C5EEC36CBA3 exp=42BFD80C5EEC36CBA3 + 22931.50ns INFO [00022933] * RD COMPARE * port=1 adr=07 act=D99F024080211CC2EC exp=D99F024080211CC2EC + 22931.50ns INFO [00022933] Port=0 WR @02=328C36BD72C794462D + 22932.50ns INFO [00022934] Port=0 WR @02=6CA58E29CD27CDF0D6 + 22933.50ns INFO [00022935] Port=0 WR @04=50D1187FF4270A055E + 22933.50ns INFO [00022935] Port=0 RD @00 + 22933.50ns INFO [00022935] Port=1 RD @01 + 22934.50ns INFO [00022936] Port=1 RD @00 + 22935.50ns INFO [00022937] * RD COMPARE * port=0 adr=00 act=8301B5FD36E72A1032 exp=8301B5FD36E72A1032 + 22935.50ns INFO [00022937] * RD COMPARE * port=1 adr=01 act=0C1AADEE666E08011C exp=0C1AADEE666E08011C + 22935.50ns INFO [00022937] Port=0 WR @04=58A45711393EEBC415 + 22935.50ns INFO [00022937] Port=1 RD @07 + 22936.50ns INFO [00022938] * RD COMPARE * port=1 adr=00 act=8301B5FD36E72A1032 exp=8301B5FD36E72A1032 + 22937.50ns INFO [00022939] * RD COMPARE * port=1 adr=07 act=D99F024080211CC2EC exp=D99F024080211CC2EC + 22937.50ns INFO [00022939] Port=1 RD @05 + 22938.50ns INFO [00022940] Port=0 WR @02=409F6CBA45A9A2AA6B + 22939.50ns INFO [00022941] * RD COMPARE * port=1 adr=05 act=42BFD80C5EEC36CBA3 exp=42BFD80C5EEC36CBA3 + 22939.50ns INFO [00022941] Port=0 WR @03=1B6AB9E4858DE25FDA + 22940.50ns INFO [00022942] Port=0 RD @07 + 22941.50ns INFO [00022943] Port=0 RD @00 + 22942.50ns INFO [00022944] * RD COMPARE * port=0 adr=07 act=D99F024080211CC2EC exp=D99F024080211CC2EC + 22942.50ns INFO [00022944] Port=1 RD @00 + 22943.50ns INFO [00022945] * RD COMPARE * port=0 adr=00 act=8301B5FD36E72A1032 exp=8301B5FD36E72A1032 + 22943.50ns INFO [00022945] Port=0 WR @06=AAC2B6D911524C6F56 + 22943.50ns INFO [00022945] Port=1 RD @03 + 22944.50ns INFO [00022946] * RD COMPARE * port=1 adr=00 act=8301B5FD36E72A1032 exp=8301B5FD36E72A1032 + 22944.50ns INFO [00022946] Port=0 RD @02 + 22945.50ns INFO [00022947] * RD COMPARE * port=1 adr=03 act=1B6AB9E4858DE25FDA exp=1B6AB9E4858DE25FDA + 22945.50ns INFO [00022947] Port=0 WR @03=2C177527B7BCCE3D63 + 22946.50ns INFO [00022948] * RD COMPARE * port=0 adr=02 act=409F6CBA45A9A2AA6B exp=409F6CBA45A9A2AA6B + 22946.50ns INFO [00022948] Port=1 RD @05 + 22947.50ns INFO [00022949] Port=0 WR @01=42E0ACC08E97E1AEB4 + 22947.50ns INFO [00022949] Port=0 RD @04 + 22947.50ns INFO [00022949] Port=1 RD @03 + 22948.50ns INFO [00022950] * RD COMPARE * port=1 adr=05 act=42BFD80C5EEC36CBA3 exp=42BFD80C5EEC36CBA3 + 22948.50ns INFO [00022950] Port=0 WR @05=36243927C0ADC47575 + 22948.50ns INFO [00022950] Port=1 RD @07 + 22949.50ns INFO [00022951] * RD COMPARE * port=0 adr=04 act=58A45711393EEBC415 exp=58A45711393EEBC415 + 22949.50ns INFO [00022951] * RD COMPARE * port=1 adr=03 act=2C177527B7BCCE3D63 exp=2C177527B7BCCE3D63 + 22950.50ns INFO [00022952] * RD COMPARE * port=1 adr=07 act=D99F024080211CC2EC exp=D99F024080211CC2EC + 22951.50ns INFO [00022953] Port=0 RD @04 + 22951.50ns INFO [00022953] Port=1 RD @02 + 22952.50ns INFO [00022954] Port=0 WR @01=A03AD0920C86FCC912 + 22952.50ns INFO [00022954] Port=1 RD @07 + 22953.50ns INFO [00022955] * RD COMPARE * port=0 adr=04 act=58A45711393EEBC415 exp=58A45711393EEBC415 + 22953.50ns INFO [00022955] * RD COMPARE * port=1 adr=02 act=409F6CBA45A9A2AA6B exp=409F6CBA45A9A2AA6B + 22953.50ns INFO [00022955] Port=0 RD @00 + 22954.50ns INFO [00022956] * RD COMPARE * port=1 adr=07 act=D99F024080211CC2EC exp=D99F024080211CC2EC + 22954.50ns INFO [00022956] Port=0 WR @00=F422872CC7C079E434 + 22954.50ns INFO [00022956] Port=0 RD @02 + 22955.50ns INFO [00022957] * RD COMPARE * port=0 adr=00 act=8301B5FD36E72A1032 exp=8301B5FD36E72A1032 + 22955.50ns INFO [00022957] Port=0 WR @01=6F7463DC4BC396E7D9 + 22955.50ns INFO [00022957] Port=0 RD @00 + 22956.50ns INFO [00022958] * RD COMPARE * port=0 adr=02 act=409F6CBA45A9A2AA6B exp=409F6CBA45A9A2AA6B + 22956.50ns INFO [00022958] Port=0 WR @03=B8CB460F69A44AFBE2 + 22956.50ns INFO [00022958] Port=1 RD @01 + 22957.50ns INFO [00022959] * RD COMPARE * port=0 adr=00 act=F422872CC7C079E434 exp=F422872CC7C079E434 + 22958.50ns INFO [00022960] * RD COMPARE * port=1 adr=01 act=6F7463DC4BC396E7D9 exp=6F7463DC4BC396E7D9 + 22958.50ns INFO [00022960] Port=0 RD @00 + 22960.50ns INFO [00022962] * RD COMPARE * port=0 adr=00 act=F422872CC7C079E434 exp=F422872CC7C079E434 + 22962.50ns INFO [00022964] Port=0 WR @04=AEB46C6CE1A8685577 + 22963.50ns INFO [00022965] Port=1 RD @05 + 22964.50ns INFO [00022966] Port=0 RD @03 + 22965.50ns INFO [00022967] * RD COMPARE * port=1 adr=05 act=36243927C0ADC47575 exp=36243927C0ADC47575 + 22966.50ns INFO [00022968] * RD COMPARE * port=0 adr=03 act=B8CB460F69A44AFBE2 exp=B8CB460F69A44AFBE2 + 22966.50ns INFO [00022968] Port=0 RD @03 + 22966.50ns INFO [00022968] Port=1 RD @00 + 22968.50ns INFO [00022970] * RD COMPARE * port=0 adr=03 act=B8CB460F69A44AFBE2 exp=B8CB460F69A44AFBE2 + 22968.50ns INFO [00022970] * RD COMPARE * port=1 adr=00 act=F422872CC7C079E434 exp=F422872CC7C079E434 + 22969.50ns INFO [00022971] Port=0 RD @03 + 22969.50ns INFO [00022971] Port=1 RD @02 + 22971.50ns INFO [00022973] * RD COMPARE * port=0 adr=03 act=B8CB460F69A44AFBE2 exp=B8CB460F69A44AFBE2 + 22971.50ns INFO [00022973] * RD COMPARE * port=1 adr=02 act=409F6CBA45A9A2AA6B exp=409F6CBA45A9A2AA6B + 22971.50ns INFO [00022973] Port=1 RD @04 + 22973.50ns INFO [00022975] * RD COMPARE * port=1 adr=04 act=AEB46C6CE1A8685577 exp=AEB46C6CE1A8685577 + 22973.50ns INFO [00022975] Port=0 WR @07=A7C5BC00CE83BC783B + 22976.50ns INFO [00022978] Port=0 WR @04=E6DE3646023066AC28 + 22976.50ns INFO [00022978] Port=0 RD @01 + 22978.50ns INFO [00022980] * RD COMPARE * port=0 adr=01 act=6F7463DC4BC396E7D9 exp=6F7463DC4BC396E7D9 + 22978.50ns INFO [00022980] Port=0 WR @04=98AEFAFD662872B809 + 22978.50ns INFO [00022980] Port=0 RD @00 + 22979.50ns INFO [00022981] Port=0 WR @05=7E679437A8F3C827DB + 22979.50ns INFO [00022981] Port=0 RD @00 + 22980.50ns INFO [00022982] * RD COMPARE * port=0 adr=00 act=F422872CC7C079E434 exp=F422872CC7C079E434 + 22980.50ns INFO [00022982] Port=0 WR @07=D7016097CB8D32953B + 22981.50ns INFO [00022983] * RD COMPARE * port=0 adr=00 act=F422872CC7C079E434 exp=F422872CC7C079E434 + 22981.50ns INFO [00022983] Port=0 RD @03 + 22981.50ns INFO [00022983] Port=1 RD @01 + 22983.50ns INFO [00022985] * RD COMPARE * port=0 adr=03 act=B8CB460F69A44AFBE2 exp=B8CB460F69A44AFBE2 + 22983.50ns INFO [00022985] * RD COMPARE * port=1 adr=01 act=6F7463DC4BC396E7D9 exp=6F7463DC4BC396E7D9 + 22983.50ns INFO [00022985] Port=1 RD @07 + 22984.50ns INFO [00022986] Port=1 RD @05 + 22985.50ns INFO [00022987] * RD COMPARE * port=1 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 22985.50ns INFO [00022987] Port=0 WR @03=EA107603AF1969CF39 + 22985.50ns INFO [00022987] Port=0 RD @04 + 22986.50ns INFO [00022988] * RD COMPARE * port=1 adr=05 act=7E679437A8F3C827DB exp=7E679437A8F3C827DB + 22986.50ns INFO [00022988] Port=0 WR @04=EE6EA957ED9A148560 + 22987.50ns INFO [00022989] * RD COMPARE * port=0 adr=04 act=98AEFAFD662872B809 exp=98AEFAFD662872B809 + 22988.50ns INFO [00022990] Port=0 RD @05 + 22989.50ns INFO [00022991] Port=0 RD @03 + 22989.50ns INFO [00022991] Port=1 RD @07 + 22990.50ns INFO [00022992] * RD COMPARE * port=0 adr=05 act=7E679437A8F3C827DB exp=7E679437A8F3C827DB + 22990.50ns INFO [00022992] Port=0 WR @02=DA740B1F2A5C492908 + 22990.50ns INFO [00022992] Port=0 RD @01 + 22991.50ns INFO [00022993] * RD COMPARE * port=0 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 22991.50ns INFO [00022993] * RD COMPARE * port=1 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 22991.50ns INFO [00022993] Port=1 RD @04 + 22992.50ns INFO [00022994] * RD COMPARE * port=0 adr=01 act=6F7463DC4BC396E7D9 exp=6F7463DC4BC396E7D9 + 22992.50ns INFO [00022994] Port=0 WR @00=45502D67EBEB18426E + 22992.50ns INFO [00022994] Port=1 RD @03 + 22993.50ns INFO [00022995] * RD COMPARE * port=1 adr=04 act=EE6EA957ED9A148560 exp=EE6EA957ED9A148560 + 22993.50ns INFO [00022995] Port=1 RD @04 + 22994.50ns INFO [00022996] * RD COMPARE * port=1 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 22994.50ns INFO [00022996] Port=0 WR @02=0B0BF6A518A11A9A15 + 22994.50ns INFO [00022996] Port=0 RD @01 + 22995.50ns INFO [00022997] * RD COMPARE * port=1 adr=04 act=EE6EA957ED9A148560 exp=EE6EA957ED9A148560 + 22996.50ns INFO [00022998] * RD COMPARE * port=0 adr=01 act=6F7463DC4BC396E7D9 exp=6F7463DC4BC396E7D9 + 22998.00ns INFO [00023000] [00023000] ...tick... + 22998.50ns INFO [00023000] Port=0 WR @05=3E55908A075E4246FC + 22998.50ns INFO [00023000] Port=0 RD @02 + 22998.50ns INFO [00023000] Port=1 RD @02 + 22999.50ns INFO [00023001] Port=0 WR @02=4F5BB81C3F443D0B8A + 22999.50ns INFO [00023001] Port=1 RD @07 + 23000.50ns INFO [00023002] * RD COMPARE * port=0 adr=02 act=0B0BF6A518A11A9A15 exp=0B0BF6A518A11A9A15 + 23000.50ns INFO [00023002] * RD COMPARE * port=1 adr=02 act=0B0BF6A518A11A9A15 exp=0B0BF6A518A11A9A15 + 23000.50ns INFO [00023002] Port=0 RD @00 + 23001.50ns INFO [00023003] * RD COMPARE * port=1 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23001.50ns INFO [00023003] Port=0 WR @06=6D25AACBAF05A56214 + 23001.50ns INFO [00023003] Port=0 RD @05 + 23001.50ns INFO [00023003] Port=1 RD @07 + 23002.50ns INFO [00023004] * RD COMPARE * port=0 adr=00 act=45502D67EBEB18426E exp=45502D67EBEB18426E + 23003.50ns INFO [00023005] * RD COMPARE * port=0 adr=05 act=3E55908A075E4246FC exp=3E55908A075E4246FC + 23003.50ns INFO [00023005] * RD COMPARE * port=1 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23003.50ns INFO [00023005] Port=0 WR @02=1930F82A76971E4938 + 23003.50ns INFO [00023005] Port=0 RD @07 + 23005.50ns INFO [00023007] * RD COMPARE * port=0 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23005.50ns INFO [00023007] Port=1 RD @06 + 23006.50ns INFO [00023008] Port=0 WR @01=CFDBC8650391BB680E + 23007.50ns INFO [00023009] * RD COMPARE * port=1 adr=06 act=6D25AACBAF05A56214 exp=6D25AACBAF05A56214 + 23007.50ns INFO [00023009] Port=0 RD @00 + 23007.50ns INFO [00023009] Port=1 RD @02 + 23008.50ns INFO [00023010] Port=1 RD @06 + 23009.50ns INFO [00023011] * RD COMPARE * port=0 adr=00 act=45502D67EBEB18426E exp=45502D67EBEB18426E + 23009.50ns INFO [00023011] * RD COMPARE * port=1 adr=02 act=1930F82A76971E4938 exp=1930F82A76971E4938 + 23009.50ns INFO [00023011] Port=0 RD @03 + 23010.50ns INFO [00023012] * RD COMPARE * port=1 adr=06 act=6D25AACBAF05A56214 exp=6D25AACBAF05A56214 + 23010.50ns INFO [00023012] Port=0 WR @05=54EB891BBA11A61E9A + 23010.50ns INFO [00023012] Port=0 RD @03 + 23011.50ns INFO [00023013] * RD COMPARE * port=0 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 23011.50ns INFO [00023013] Port=1 RD @01 + 23012.50ns INFO [00023014] * RD COMPARE * port=0 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 23013.50ns INFO [00023015] * RD COMPARE * port=1 adr=01 act=CFDBC8650391BB680E exp=CFDBC8650391BB680E + 23013.50ns INFO [00023015] Port=0 WR @05=C10A73D28D256C8A9C + 23013.50ns INFO [00023015] Port=0 RD @00 + 23013.50ns INFO [00023015] Port=1 RD @03 + 23015.50ns INFO [00023017] * RD COMPARE * port=0 adr=00 act=45502D67EBEB18426E exp=45502D67EBEB18426E + 23015.50ns INFO [00023017] * RD COMPARE * port=1 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 23015.50ns INFO [00023017] Port=1 RD @07 + 23016.50ns INFO [00023018] Port=0 WR @00=42E068B1C31538E465 + 23017.50ns INFO [00023019] * RD COMPARE * port=1 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23017.50ns INFO [00023019] Port=0 WR @05=3CE967FDB063C47987 + 23017.50ns INFO [00023019] Port=1 RD @04 + 23018.50ns INFO [00023020] Port=0 WR @05=946F1D37FD1B17499F + 23018.50ns INFO [00023020] Port=0 RD @03 + 23018.50ns INFO [00023020] Port=1 RD @00 + 23019.50ns INFO [00023021] * RD COMPARE * port=1 adr=04 act=EE6EA957ED9A148560 exp=EE6EA957ED9A148560 + 23019.50ns INFO [00023021] Port=0 RD @02 + 23020.50ns INFO [00023022] * RD COMPARE * port=0 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 23020.50ns INFO [00023022] * RD COMPARE * port=1 adr=00 act=42E068B1C31538E465 exp=42E068B1C31538E465 + 23020.50ns INFO [00023022] Port=0 WR @06=449DE179505B9B8A58 + 23020.50ns INFO [00023022] Port=1 RD @01 + 23021.50ns INFO [00023023] * RD COMPARE * port=0 adr=02 act=1930F82A76971E4938 exp=1930F82A76971E4938 + 23022.50ns INFO [00023024] * RD COMPARE * port=1 adr=01 act=CFDBC8650391BB680E exp=CFDBC8650391BB680E + 23022.50ns INFO [00023024] Port=1 RD @03 + 23023.50ns INFO [00023025] Port=0 RD @01 + 23023.50ns INFO [00023025] Port=1 RD @03 + 23024.50ns INFO [00023026] * RD COMPARE * port=1 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 23024.50ns INFO [00023026] Port=0 WR @00=4627F2C3EC6DCDF1B3 + 23025.50ns INFO [00023027] * RD COMPARE * port=0 adr=01 act=CFDBC8650391BB680E exp=CFDBC8650391BB680E + 23025.50ns INFO [00023027] * RD COMPARE * port=1 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 23025.50ns INFO [00023027] Port=0 WR @01=BC27756FCF18480A00 + 23027.50ns INFO [00023029] Port=0 RD @06 + 23027.50ns INFO [00023029] Port=1 RD @07 + 23028.50ns INFO [00023030] Port=0 WR @01=5B02C57919D4F6302C + 23029.50ns INFO [00023031] * RD COMPARE * port=0 adr=06 act=449DE179505B9B8A58 exp=449DE179505B9B8A58 + 23029.50ns INFO [00023031] * RD COMPARE * port=1 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23030.50ns INFO [00023032] Port=0 WR @05=75071254000D3C4FCC + 23030.50ns INFO [00023032] Port=0 RD @00 + 23031.50ns INFO [00023033] Port=1 RD @06 + 23032.50ns INFO [00023034] * RD COMPARE * port=0 adr=00 act=4627F2C3EC6DCDF1B3 exp=4627F2C3EC6DCDF1B3 + 23032.50ns INFO [00023034] Port=0 WR @04=09BDC7ACA0DFAC29E3 + 23032.50ns INFO [00023034] Port=0 RD @05 + 23032.50ns INFO [00023034] Port=1 RD @01 + 23033.50ns INFO [00023035] * RD COMPARE * port=1 adr=06 act=449DE179505B9B8A58 exp=449DE179505B9B8A58 + 23033.50ns INFO [00023035] Port=0 RD @01 + 23033.50ns INFO [00023035] Port=1 RD @04 + 23034.50ns INFO [00023036] * RD COMPARE * port=0 adr=05 act=75071254000D3C4FCC exp=75071254000D3C4FCC + 23034.50ns INFO [00023036] * RD COMPARE * port=1 adr=01 act=5B02C57919D4F6302C exp=5B02C57919D4F6302C + 23035.50ns INFO [00023037] * RD COMPARE * port=0 adr=01 act=5B02C57919D4F6302C exp=5B02C57919D4F6302C + 23035.50ns INFO [00023037] * RD COMPARE * port=1 adr=04 act=09BDC7ACA0DFAC29E3 exp=09BDC7ACA0DFAC29E3 + 23036.50ns INFO [00023038] Port=0 WR @05=BA055FBE89EB9DAFCD + 23036.50ns INFO [00023038] Port=1 RD @04 + 23037.50ns INFO [00023039] Port=0 RD @03 + 23038.50ns INFO [00023040] * RD COMPARE * port=1 adr=04 act=09BDC7ACA0DFAC29E3 exp=09BDC7ACA0DFAC29E3 + 23038.50ns INFO [00023040] Port=0 RD @05 + 23038.50ns INFO [00023040] Port=1 RD @01 + 23039.50ns INFO [00023041] * RD COMPARE * port=0 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 23039.50ns INFO [00023041] Port=0 WR @00=62B1E798EB670D1882 + 23039.50ns INFO [00023041] Port=0 RD @04 + 23040.50ns INFO [00023042] * RD COMPARE * port=0 adr=05 act=BA055FBE89EB9DAFCD exp=BA055FBE89EB9DAFCD + 23040.50ns INFO [00023042] * RD COMPARE * port=1 adr=01 act=5B02C57919D4F6302C exp=5B02C57919D4F6302C + 23040.50ns INFO [00023042] Port=0 WR @05=C079E46E94341F5976 + 23041.50ns INFO [00023043] * RD COMPARE * port=0 adr=04 act=09BDC7ACA0DFAC29E3 exp=09BDC7ACA0DFAC29E3 + 23041.50ns INFO [00023043] Port=0 WR @04=1478E4AD2DC987AF9E + 23041.50ns INFO [00023043] Port=0 RD @07 + 23041.50ns INFO [00023043] Port=1 RD @03 + 23042.50ns INFO [00023044] Port=0 RD @01 + 23042.50ns INFO [00023044] Port=1 RD @06 + 23043.50ns INFO [00023045] * RD COMPARE * port=0 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23043.50ns INFO [00023045] * RD COMPARE * port=1 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 23044.50ns INFO [00023046] * RD COMPARE * port=0 adr=01 act=5B02C57919D4F6302C exp=5B02C57919D4F6302C + 23044.50ns INFO [00023046] * RD COMPARE * port=1 adr=06 act=449DE179505B9B8A58 exp=449DE179505B9B8A58 + 23044.50ns INFO [00023046] Port=0 WR @04=C876B1E6EC2B67F5FE + 23044.50ns INFO [00023046] Port=0 RD @01 + 23044.50ns INFO [00023046] Port=1 RD @00 + 23045.50ns INFO [00023047] Port=1 RD @03 + 23046.50ns INFO [00023048] * RD COMPARE * port=0 adr=01 act=5B02C57919D4F6302C exp=5B02C57919D4F6302C + 23046.50ns INFO [00023048] * RD COMPARE * port=1 adr=00 act=62B1E798EB670D1882 exp=62B1E798EB670D1882 + 23047.50ns INFO [00023049] * RD COMPARE * port=1 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 23047.50ns INFO [00023049] Port=0 WR @04=DC4B46931A49A5B5D2 + 23047.50ns INFO [00023049] Port=0 RD @05 + 23048.50ns INFO [00023050] Port=0 RD @07 + 23049.50ns INFO [00023051] * RD COMPARE * port=0 adr=05 act=C079E46E94341F5976 exp=C079E46E94341F5976 + 23049.50ns INFO [00023051] Port=1 RD @07 + 23050.50ns INFO [00023052] * RD COMPARE * port=0 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23051.50ns INFO [00023053] * RD COMPARE * port=1 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23051.50ns INFO [00023053] Port=1 RD @04 + 23052.50ns INFO [00023054] Port=0 RD @01 + 23053.50ns INFO [00023055] * RD COMPARE * port=1 adr=04 act=DC4B46931A49A5B5D2 exp=DC4B46931A49A5B5D2 + 23054.50ns INFO [00023056] * RD COMPARE * port=0 adr=01 act=5B02C57919D4F6302C exp=5B02C57919D4F6302C + 23054.50ns INFO [00023056] Port=0 WR @04=041C22CBB1D13D8C39 + 23054.50ns INFO [00023056] Port=0 RD @01 + 23056.50ns INFO [00023058] * RD COMPARE * port=0 adr=01 act=5B02C57919D4F6302C exp=5B02C57919D4F6302C + 23056.50ns INFO [00023058] Port=0 RD @04 + 23057.50ns INFO [00023059] Port=0 WR @04=5A533EF1C826A80D56 + 23057.50ns INFO [00023059] Port=0 RD @07 + 23057.50ns INFO [00023059] Port=1 RD @03 + 23058.50ns INFO [00023060] * RD COMPARE * port=0 adr=04 act=041C22CBB1D13D8C39 exp=041C22CBB1D13D8C39 + 23058.50ns INFO [00023060] Port=0 WR @05=40B7E2EDFA16E8E07F + 23058.50ns INFO [00023060] Port=1 RD @07 + 23059.50ns INFO [00023061] * RD COMPARE * port=0 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23059.50ns INFO [00023061] * RD COMPARE * port=1 adr=03 act=EA107603AF1969CF39 exp=EA107603AF1969CF39 + 23059.50ns INFO [00023061] Port=0 WR @05=E69FC0E1C08A9EFDA2 + 23060.50ns INFO [00023062] * RD COMPARE * port=1 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23060.50ns INFO [00023062] Port=0 WR @03=7D3C596109FF293A9C + 23060.50ns INFO [00023062] Port=0 RD @07 + 23062.50ns INFO [00023064] * RD COMPARE * port=0 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23062.50ns INFO [00023064] Port=0 RD @07 + 23062.50ns INFO [00023064] Port=1 RD @01 + 23063.50ns INFO [00023065] Port=0 WR @07=FC17116E633C8C2357 + 23063.50ns INFO [00023065] Port=1 RD @06 + 23064.50ns INFO [00023066] * RD COMPARE * port=0 adr=07 act=D7016097CB8D32953B exp=D7016097CB8D32953B + 23064.50ns INFO [00023066] * RD COMPARE * port=1 adr=01 act=5B02C57919D4F6302C exp=5B02C57919D4F6302C + 23064.50ns INFO [00023066] Port=0 WR @07=A557177D1AD4DA7F00 + 23065.50ns INFO [00023067] * RD COMPARE * port=1 adr=06 act=449DE179505B9B8A58 exp=449DE179505B9B8A58 + 23065.50ns INFO [00023067] Port=0 WR @00=935E4E1E1EB3EC60B1 + 23065.50ns INFO [00023067] Port=0 RD @01 + 23066.50ns INFO [00023068] Port=1 RD @07 + 23067.50ns INFO [00023069] * RD COMPARE * port=0 adr=01 act=5B02C57919D4F6302C exp=5B02C57919D4F6302C + 23068.50ns INFO [00023070] * RD COMPARE * port=1 adr=07 act=A557177D1AD4DA7F00 exp=A557177D1AD4DA7F00 + 23068.50ns INFO [00023070] Port=0 WR @05=AEF8382A22E6B721E4 + 23068.50ns INFO [00023070] Port=1 RD @04 + 23069.50ns INFO [00023071] Port=0 WR @01=9B1A80135455504D52 + 23069.50ns INFO [00023071] Port=0 RD @00 + 23069.50ns INFO [00023071] Port=1 RD @06 + 23070.50ns INFO [00023072] * RD COMPARE * port=1 adr=04 act=5A533EF1C826A80D56 exp=5A533EF1C826A80D56 + 23071.50ns INFO [00023073] * RD COMPARE * port=0 adr=00 act=935E4E1E1EB3EC60B1 exp=935E4E1E1EB3EC60B1 + 23071.50ns INFO [00023073] * RD COMPARE * port=1 adr=06 act=449DE179505B9B8A58 exp=449DE179505B9B8A58 + 23071.50ns INFO [00023073] Port=0 WR @02=A88F90029A9BED3231 + 23071.50ns INFO [00023073] Port=0 RD @03 + 23072.50ns INFO [00023074] Port=1 RD @03 + 23073.50ns INFO [00023075] * RD COMPARE * port=0 adr=03 act=7D3C596109FF293A9C exp=7D3C596109FF293A9C + 23073.50ns INFO [00023075] Port=0 WR @04=A9A2A9724DCD741B4A + 23074.50ns INFO [00023076] * RD COMPARE * port=1 adr=03 act=7D3C596109FF293A9C exp=7D3C596109FF293A9C + 23074.50ns INFO [00023076] Port=0 WR @00=7FCF77F0E6A65E367D + 23074.50ns INFO [00023076] Port=1 RD @03 + 23075.50ns INFO [00023077] Port=0 WR @00=3C67D13C0998A7E9D2 + 23076.50ns INFO [00023078] * RD COMPARE * port=1 adr=03 act=7D3C596109FF293A9C exp=7D3C596109FF293A9C + 23076.50ns INFO [00023078] Port=1 RD @02 + 23077.50ns INFO [00023079] Port=0 RD @01 + 23077.50ns INFO [00023079] Port=1 RD @02 + 23078.50ns INFO [00023080] * RD COMPARE * port=1 adr=02 act=A88F90029A9BED3231 exp=A88F90029A9BED3231 + 23078.50ns INFO [00023080] Port=0 RD @07 + 23079.50ns INFO [00023081] * RD COMPARE * port=0 adr=01 act=9B1A80135455504D52 exp=9B1A80135455504D52 + 23079.50ns INFO [00023081] * RD COMPARE * port=1 adr=02 act=A88F90029A9BED3231 exp=A88F90029A9BED3231 + 23079.50ns INFO [00023081] Port=0 WR @05=06C74B9A45E3EA2957 + 23079.50ns INFO [00023081] Port=1 RD @03 + 23080.50ns INFO [00023082] * RD COMPARE * port=0 adr=07 act=A557177D1AD4DA7F00 exp=A557177D1AD4DA7F00 + 23081.50ns INFO [00023083] * RD COMPARE * port=1 adr=03 act=7D3C596109FF293A9C exp=7D3C596109FF293A9C + 23082.50ns INFO [00023084] Port=0 RD @03 + 23083.50ns INFO [00023085] Port=1 RD @06 + 23084.50ns INFO [00023086] * RD COMPARE * port=0 adr=03 act=7D3C596109FF293A9C exp=7D3C596109FF293A9C + 23084.50ns INFO [00023086] Port=0 WR @02=E90AB2C7E7DCEC4D37 + 23084.50ns INFO [00023086] Port=0 RD @01 + 23084.50ns INFO [00023086] Port=1 RD @01 + 23085.50ns INFO [00023087] * RD COMPARE * port=1 adr=06 act=449DE179505B9B8A58 exp=449DE179505B9B8A58 + 23085.50ns INFO [00023087] Port=0 WR @00=27BB611FFA51AF61B9 + 23086.50ns INFO [00023088] * RD COMPARE * port=0 adr=01 act=9B1A80135455504D52 exp=9B1A80135455504D52 + 23086.50ns INFO [00023088] * RD COMPARE * port=1 adr=01 act=9B1A80135455504D52 exp=9B1A80135455504D52 + 23086.50ns INFO [00023088] Port=0 RD @05 + 23086.50ns INFO [00023088] Port=1 RD @04 + 23088.50ns INFO [00023090] * RD COMPARE * port=0 adr=05 act=06C74B9A45E3EA2957 exp=06C74B9A45E3EA2957 + 23088.50ns INFO [00023090] * RD COMPARE * port=1 adr=04 act=A9A2A9724DCD741B4A exp=A9A2A9724DCD741B4A + 23088.50ns INFO [00023090] Port=0 RD @01 + 23088.50ns INFO [00023090] Port=1 RD @02 + 23090.50ns INFO [00023092] * RD COMPARE * port=0 adr=01 act=9B1A80135455504D52 exp=9B1A80135455504D52 + 23090.50ns INFO [00023092] * RD COMPARE * port=1 adr=02 act=E90AB2C7E7DCEC4D37 exp=E90AB2C7E7DCEC4D37 + 23090.50ns INFO [00023092] Port=1 RD @03 + 23091.50ns INFO [00023093] Port=0 WR @04=24EDC05917EB1E7C61 + 23092.50ns INFO [00023094] * RD COMPARE * port=1 adr=03 act=7D3C596109FF293A9C exp=7D3C596109FF293A9C + 23092.50ns INFO [00023094] Port=0 RD @01 + 23092.50ns INFO [00023094] Port=1 RD @00 + 23093.50ns INFO [00023095] Port=1 RD @06 + 23094.50ns INFO [00023096] * RD COMPARE * port=0 adr=01 act=9B1A80135455504D52 exp=9B1A80135455504D52 + 23094.50ns INFO [00023096] * RD COMPARE * port=1 adr=00 act=27BB611FFA51AF61B9 exp=27BB611FFA51AF61B9 + 23094.50ns INFO [00023096] Port=0 RD @03 + 23094.50ns INFO [00023096] Port=1 RD @07 + 23095.50ns INFO [00023097] * RD COMPARE * port=1 adr=06 act=449DE179505B9B8A58 exp=449DE179505B9B8A58 + 23095.50ns INFO [00023097] Port=0 RD @07 + 23095.50ns INFO [00023097] Port=1 RD @02 + 23096.50ns INFO [00023098] * RD COMPARE * port=0 adr=03 act=7D3C596109FF293A9C exp=7D3C596109FF293A9C + 23096.50ns INFO [00023098] * RD COMPARE * port=1 adr=07 act=A557177D1AD4DA7F00 exp=A557177D1AD4DA7F00 + 23096.50ns INFO [00023098] Port=1 RD @01 + 23097.50ns INFO [00023099] * RD COMPARE * port=0 adr=07 act=A557177D1AD4DA7F00 exp=A557177D1AD4DA7F00 + 23097.50ns INFO [00023099] * RD COMPARE * port=1 adr=02 act=E90AB2C7E7DCEC4D37 exp=E90AB2C7E7DCEC4D37 + 23097.50ns INFO [00023099] Port=1 RD @07 + 23098.00ns INFO [00023100] [00023100] ...tick... + 23098.50ns INFO [00023100] * RD COMPARE * port=1 adr=01 act=9B1A80135455504D52 exp=9B1A80135455504D52 + 23098.50ns INFO [00023100] Port=0 WR @05=E16034186F9DCA7E7F + 23098.50ns INFO [00023100] Port=0 RD @01 + 23099.50ns INFO [00023101] * RD COMPARE * port=1 adr=07 act=A557177D1AD4DA7F00 exp=A557177D1AD4DA7F00 + 23099.50ns INFO [00023101] Port=0 RD @05 + 23099.50ns INFO [00023101] Port=1 RD @04 + 23100.50ns INFO [00023102] * RD COMPARE * port=0 adr=01 act=9B1A80135455504D52 exp=9B1A80135455504D52 + 23100.50ns INFO [00023102] Port=0 WR @00=20B57531549C759AEC + 23100.50ns INFO [00023102] Port=1 RD @01 + 23101.50ns INFO [00023103] * RD COMPARE * port=0 adr=05 act=E16034186F9DCA7E7F exp=E16034186F9DCA7E7F + 23101.50ns INFO [00023103] * RD COMPARE * port=1 adr=04 act=24EDC05917EB1E7C61 exp=24EDC05917EB1E7C61 + 23101.50ns INFO [00023103] Port=0 WR @01=D0E3341FAFD017EB29 + 23101.50ns INFO [00023103] Port=0 RD @07 + 23102.50ns INFO [00023104] * RD COMPARE * port=1 adr=01 act=9B1A80135455504D52 exp=9B1A80135455504D52 + 23102.50ns INFO [00023104] Port=0 WR @05=8C8D7B72AA3847E854 + 23103.50ns INFO [00023105] * RD COMPARE * port=0 adr=07 act=A557177D1AD4DA7F00 exp=A557177D1AD4DA7F00 + 23103.50ns INFO [00023105] Port=0 WR @01=57B0582CDCCEF3DA3F + 23103.50ns INFO [00023105] Port=1 RD @00 + 23105.50ns INFO [00023107] * RD COMPARE * port=1 adr=00 act=20B57531549C759AEC exp=20B57531549C759AEC + 23105.50ns INFO [00023107] Port=0 WR @02=4BD334979B854319A9 + 23105.50ns INFO [00023107] Port=0 RD @00 + 23105.50ns INFO [00023107] Port=1 RD @07 + 23106.50ns INFO [00023108] Port=0 WR @05=8DB3D747129FF6A2A0 + 23107.50ns INFO [00023109] * RD COMPARE * port=0 adr=00 act=20B57531549C759AEC exp=20B57531549C759AEC + 23107.50ns INFO [00023109] * RD COMPARE * port=1 adr=07 act=A557177D1AD4DA7F00 exp=A557177D1AD4DA7F00 + 23107.50ns INFO [00023109] Port=1 RD @02 + 23108.50ns INFO [00023110] Port=0 RD @01 + 23109.50ns INFO [00023111] * RD COMPARE * port=1 adr=02 act=4BD334979B854319A9 exp=4BD334979B854319A9 + 23109.50ns INFO [00023111] Port=0 WR @02=FD0BC40F664B4660CD + 23110.50ns INFO [00023112] * RD COMPARE * port=0 adr=01 act=57B0582CDCCEF3DA3F exp=57B0582CDCCEF3DA3F + 23110.50ns INFO [00023112] Port=1 RD @01 + 23112.50ns INFO [00023114] * RD COMPARE * port=1 adr=01 act=57B0582CDCCEF3DA3F exp=57B0582CDCCEF3DA3F + 23112.50ns INFO [00023114] Port=0 RD @00 + 23114.50ns INFO [00023116] * RD COMPARE * port=0 adr=00 act=20B57531549C759AEC exp=20B57531549C759AEC + 23117.50ns INFO [00023119] Port=0 WR @07=674C93D76D3EC270EF + 23117.50ns INFO [00023119] Port=0 RD @03 + 23118.50ns INFO [00023120] Port=0 WR @04=8D7ACEAFAFF5BE4FA1 + 23118.50ns INFO [00023120] Port=0 RD @05 + 23118.50ns INFO [00023120] Port=1 RD @05 + 23119.50ns INFO [00023121] * RD COMPARE * port=0 adr=03 act=7D3C596109FF293A9C exp=7D3C596109FF293A9C + 23119.50ns INFO [00023121] Port=0 RD @03 + 23120.50ns INFO [00023122] * RD COMPARE * port=0 adr=05 act=8DB3D747129FF6A2A0 exp=8DB3D747129FF6A2A0 + 23120.50ns INFO [00023122] * RD COMPARE * port=1 adr=05 act=8DB3D747129FF6A2A0 exp=8DB3D747129FF6A2A0 + 23120.50ns INFO [00023122] Port=0 WR @06=C913134CB63C54F8C6 + 23120.50ns INFO [00023122] Port=0 RD @04 + 23120.50ns INFO [00023122] Port=1 RD @05 + 23121.50ns INFO [00023123] * RD COMPARE * port=0 adr=03 act=7D3C596109FF293A9C exp=7D3C596109FF293A9C + 23121.50ns INFO [00023123] Port=0 WR @07=96B2A50340DECC3FE4 + 23121.50ns INFO [00023123] Port=1 RD @06 + 23122.50ns INFO [00023124] * RD COMPARE * port=0 adr=04 act=8D7ACEAFAFF5BE4FA1 exp=8D7ACEAFAFF5BE4FA1 + 23122.50ns INFO [00023124] * RD COMPARE * port=1 adr=05 act=8DB3D747129FF6A2A0 exp=8DB3D747129FF6A2A0 + 23122.50ns INFO [00023124] Port=0 WR @06=3BADE4DC5451D7B153 + 23122.50ns INFO [00023124] Port=1 RD @03 + 23123.50ns INFO [00023125] * RD COMPARE * port=1 adr=06 act=C913134CB63C54F8C6 exp=C913134CB63C54F8C6 + 23123.50ns INFO [00023125] Port=0 WR @03=1FDA32FD3DB79077F3 + 23123.50ns INFO [00023125] Port=1 RD @05 + 23124.50ns INFO [00023126] * RD COMPARE * port=1 adr=03 act=7D3C596109FF293A9C exp=7D3C596109FF293A9C + 23124.50ns INFO [00023126] Port=0 RD @03 + 23124.50ns INFO [00023126] Port=1 RD @05 + 23125.50ns INFO [00023127] * RD COMPARE * port=1 adr=05 act=8DB3D747129FF6A2A0 exp=8DB3D747129FF6A2A0 + 23125.50ns INFO [00023127] Port=0 WR @06=D983CA6782B2080A42 + 23125.50ns INFO [00023127] Port=1 RD @02 + 23126.50ns INFO [00023128] * RD COMPARE * port=0 adr=03 act=1FDA32FD3DB79077F3 exp=1FDA32FD3DB79077F3 + 23126.50ns INFO [00023128] * RD COMPARE * port=1 adr=05 act=8DB3D747129FF6A2A0 exp=8DB3D747129FF6A2A0 + 23127.50ns INFO [00023129] * RD COMPARE * port=1 adr=02 act=FD0BC40F664B4660CD exp=FD0BC40F664B4660CD + 23127.50ns INFO [00023129] Port=0 WR @01=4CAB698BDDC916FEAD + 23130.50ns INFO [00023132] Port=0 WR @00=648E29C94EC705FBCA + 23132.50ns INFO [00023134] Port=0 WR @04=7C49A3FAEFEFBA18AF + 23132.50ns INFO [00023134] Port=1 RD @07 + 23133.50ns INFO [00023135] Port=0 WR @01=B5F352DE6CC4B6F185 + 23133.50ns INFO [00023135] Port=0 RD @07 + 23134.50ns INFO [00023136] * RD COMPARE * port=1 adr=07 act=96B2A50340DECC3FE4 exp=96B2A50340DECC3FE4 + 23134.50ns INFO [00023136] Port=0 WR @07=DCC4E66E2756AF5288 + 23135.50ns INFO [00023137] * RD COMPARE * port=0 adr=07 act=96B2A50340DECC3FE4 exp=96B2A50340DECC3FE4 + 23135.50ns INFO [00023137] Port=0 WR @03=950F0B2C1DEFE50061 + 23135.50ns INFO [00023137] Port=0 RD @02 + 23136.50ns INFO [00023138] Port=0 WR @03=8D908B29521B7FE849 + 23137.50ns INFO [00023139] * RD COMPARE * port=0 adr=02 act=FD0BC40F664B4660CD exp=FD0BC40F664B4660CD + 23137.50ns INFO [00023139] Port=1 RD @02 + 23138.50ns INFO [00023140] Port=1 RD @05 + 23139.50ns INFO [00023141] * RD COMPARE * port=1 adr=02 act=FD0BC40F664B4660CD exp=FD0BC40F664B4660CD + 23140.50ns INFO [00023142] * RD COMPARE * port=1 adr=05 act=8DB3D747129FF6A2A0 exp=8DB3D747129FF6A2A0 + 23140.50ns INFO [00023142] Port=0 WR @03=3A115CC91CFD27828E + 23140.50ns INFO [00023142] Port=1 RD @00 + 23141.50ns INFO [00023143] Port=0 WR @02=B939A50C90B3B41489 + 23142.50ns INFO [00023144] * RD COMPARE * port=1 adr=00 act=648E29C94EC705FBCA exp=648E29C94EC705FBCA + 23142.50ns INFO [00023144] Port=0 RD @00 + 23143.50ns INFO [00023145] Port=0 WR @07=FF423663739EF255E2 + 23143.50ns INFO [00023145] Port=0 RD @03 + 23144.50ns INFO [00023146] * RD COMPARE * port=0 adr=00 act=648E29C94EC705FBCA exp=648E29C94EC705FBCA + 23144.50ns INFO [00023146] Port=0 WR @04=942F75E9612CDB8633 + 23145.50ns INFO [00023147] * RD COMPARE * port=0 adr=03 act=3A115CC91CFD27828E exp=3A115CC91CFD27828E + 23145.50ns INFO [00023147] Port=0 RD @01 + 23145.50ns INFO [00023147] Port=1 RD @06 + 23146.50ns INFO [00023148] Port=1 RD @00 + 23147.50ns INFO [00023149] * RD COMPARE * port=0 adr=01 act=B5F352DE6CC4B6F185 exp=B5F352DE6CC4B6F185 + 23147.50ns INFO [00023149] * RD COMPARE * port=1 adr=06 act=D983CA6782B2080A42 exp=D983CA6782B2080A42 + 23147.50ns INFO [00023149] Port=0 RD @04 + 23148.50ns INFO [00023150] * RD COMPARE * port=1 adr=00 act=648E29C94EC705FBCA exp=648E29C94EC705FBCA + 23148.50ns INFO [00023150] Port=0 WR @06=910CC4061DFA5D94BC + 23148.50ns INFO [00023150] Port=0 RD @07 + 23149.50ns INFO [00023151] * RD COMPARE * port=0 adr=04 act=942F75E9612CDB8633 exp=942F75E9612CDB8633 + 23149.50ns INFO [00023151] Port=0 WR @07=0EF6E58247267A3A5C + 23150.50ns INFO [00023152] * RD COMPARE * port=0 adr=07 act=FF423663739EF255E2 exp=FF423663739EF255E2 + 23150.50ns INFO [00023152] Port=0 WR @07=CD110EBAEDF222C114 + 23150.50ns INFO [00023152] Port=0 RD @04 + 23151.50ns INFO [00023153] Port=0 WR @03=E070977931B47D4B67 + 23152.50ns INFO [00023154] * RD COMPARE * port=0 adr=04 act=942F75E9612CDB8633 exp=942F75E9612CDB8633 + 23152.50ns INFO [00023154] Port=0 WR @07=1BCC0ECF577986109D + 23152.50ns INFO [00023154] Port=1 RD @06 + 23153.50ns INFO [00023155] Port=0 WR @04=232572A2D2DFB36391 + 23154.50ns INFO [00023156] * RD COMPARE * port=1 adr=06 act=910CC4061DFA5D94BC exp=910CC4061DFA5D94BC + 23154.50ns INFO [00023156] Port=0 RD @04 + 23155.50ns INFO [00023157] Port=1 RD @06 + 23156.50ns INFO [00023158] * RD COMPARE * port=0 adr=04 act=232572A2D2DFB36391 exp=232572A2D2DFB36391 + 23156.50ns INFO [00023158] Port=0 WR @03=8DCA2B7857084229FE + 23156.50ns INFO [00023158] Port=1 RD @02 + 23157.50ns INFO [00023159] * RD COMPARE * port=1 adr=06 act=910CC4061DFA5D94BC exp=910CC4061DFA5D94BC + 23157.50ns INFO [00023159] Port=0 WR @04=5E161F2AE453CA9DC3 + 23157.50ns INFO [00023159] Port=0 RD @00 + 23158.50ns INFO [00023160] * RD COMPARE * port=1 adr=02 act=B939A50C90B3B41489 exp=B939A50C90B3B41489 + 23158.50ns INFO [00023160] Port=0 WR @02=8EADF325BAA3679601 + 23159.50ns INFO [00023161] * RD COMPARE * port=0 adr=00 act=648E29C94EC705FBCA exp=648E29C94EC705FBCA + 23159.50ns INFO [00023161] Port=0 WR @00=4EB15F4BDC4F215732 + 23161.50ns INFO [00023163] Port=0 RD @00 + 23162.50ns INFO [00023164] Port=0 WR @00=596CA7EF0B8D5BA467 + 23163.50ns INFO [00023165] * RD COMPARE * port=0 adr=00 act=4EB15F4BDC4F215732 exp=4EB15F4BDC4F215732 + 23163.50ns INFO [00023165] Port=1 RD @04 + 23164.50ns INFO [00023166] Port=0 WR @03=C90BBDB8FD2CCD6380 + 23165.50ns INFO [00023167] * RD COMPARE * port=1 adr=04 act=5E161F2AE453CA9DC3 exp=5E161F2AE453CA9DC3 + 23165.50ns INFO [00023167] Port=0 WR @06=1EBD57FC1C80F3504D + 23166.50ns INFO [00023168] Port=0 RD @03 + 23166.50ns INFO [00023168] Port=1 RD @03 + 23167.50ns INFO [00023169] Port=0 WR @02=C9AE95ADC8DD63B8AA + 23168.50ns INFO [00023170] * RD COMPARE * port=0 adr=03 act=C90BBDB8FD2CCD6380 exp=C90BBDB8FD2CCD6380 + 23168.50ns INFO [00023170] * RD COMPARE * port=1 adr=03 act=C90BBDB8FD2CCD6380 exp=C90BBDB8FD2CCD6380 + 23168.50ns INFO [00023170] Port=0 WR @07=9848D9BAAC272D252D + 23168.50ns INFO [00023170] Port=1 RD @00 + 23169.50ns INFO [00023171] Port=0 WR @02=99A0F8793249D8F9E5 + 23169.50ns INFO [00023171] Port=1 RD @07 + 23170.50ns INFO [00023172] * RD COMPARE * port=1 adr=00 act=596CA7EF0B8D5BA467 exp=596CA7EF0B8D5BA467 + 23170.50ns INFO [00023172] Port=0 WR @02=BBF7B43D075756119E + 23170.50ns INFO [00023172] Port=0 RD @07 + 23171.50ns INFO [00023173] * RD COMPARE * port=1 adr=07 act=9848D9BAAC272D252D exp=9848D9BAAC272D252D + 23171.50ns INFO [00023173] Port=1 RD @07 + 23172.50ns INFO [00023174] * RD COMPARE * port=0 adr=07 act=9848D9BAAC272D252D exp=9848D9BAAC272D252D + 23172.50ns INFO [00023174] Port=0 RD @02 + 23172.50ns INFO [00023174] Port=1 RD @02 + 23173.50ns INFO [00023175] * RD COMPARE * port=1 adr=07 act=9848D9BAAC272D252D exp=9848D9BAAC272D252D + 23173.50ns INFO [00023175] Port=0 WR @03=B36E34CA9803F33E6A + 23173.50ns INFO [00023175] Port=0 RD @04 + 23174.50ns INFO [00023176] * RD COMPARE * port=0 adr=02 act=BBF7B43D075756119E exp=BBF7B43D075756119E + 23174.50ns INFO [00023176] * RD COMPARE * port=1 adr=02 act=BBF7B43D075756119E exp=BBF7B43D075756119E + 23174.50ns INFO [00023176] Port=1 RD @06 + 23175.50ns INFO [00023177] * RD COMPARE * port=0 adr=04 act=5E161F2AE453CA9DC3 exp=5E161F2AE453CA9DC3 + 23176.50ns INFO [00023178] * RD COMPARE * port=1 adr=06 act=1EBD57FC1C80F3504D exp=1EBD57FC1C80F3504D + 23176.50ns INFO [00023178] Port=0 WR @04=658E9EE36C9A44D29C + 23177.50ns INFO [00023179] Port=0 WR @06=CC103C986F4B29F9E4 + 23177.50ns INFO [00023179] Port=0 RD @03 + 23178.50ns INFO [00023180] Port=0 WR @02=BCE01405EB6635A730 + 23179.50ns INFO [00023181] * RD COMPARE * port=0 adr=03 act=B36E34CA9803F33E6A exp=B36E34CA9803F33E6A + 23179.50ns INFO [00023181] Port=1 RD @07 + 23180.50ns INFO [00023182] Port=0 RD @02 + 23180.50ns INFO [00023182] Port=1 RD @07 + 23181.50ns INFO [00023183] * RD COMPARE * port=1 adr=07 act=9848D9BAAC272D252D exp=9848D9BAAC272D252D + 23181.50ns INFO [00023183] Port=0 WR @00=3AA422785152649BBF + 23182.50ns INFO [00023184] * RD COMPARE * port=0 adr=02 act=BCE01405EB6635A730 exp=BCE01405EB6635A730 + 23182.50ns INFO [00023184] * RD COMPARE * port=1 adr=07 act=9848D9BAAC272D252D exp=9848D9BAAC272D252D + 23182.50ns INFO [00023184] Port=0 RD @06 + 23183.50ns INFO [00023185] Port=0 WR @00=6576D03D38E1DD5828 + 23183.50ns INFO [00023185] Port=0 RD @04 + 23183.50ns INFO [00023185] Port=1 RD @03 + 23184.50ns INFO [00023186] * RD COMPARE * port=0 adr=06 act=CC103C986F4B29F9E4 exp=CC103C986F4B29F9E4 + 23185.50ns INFO [00023187] * RD COMPARE * port=0 adr=04 act=658E9EE36C9A44D29C exp=658E9EE36C9A44D29C + 23185.50ns INFO [00023187] * RD COMPARE * port=1 adr=03 act=B36E34CA9803F33E6A exp=B36E34CA9803F33E6A + 23185.50ns INFO [00023187] Port=0 WR @05=6B0E583020DC1562D9 + 23185.50ns INFO [00023187] Port=1 RD @06 + 23186.50ns INFO [00023188] Port=0 RD @05 + 23186.50ns INFO [00023188] Port=1 RD @04 + 23187.50ns INFO [00023189] * RD COMPARE * port=1 adr=06 act=CC103C986F4B29F9E4 exp=CC103C986F4B29F9E4 + 23187.50ns INFO [00023189] Port=1 RD @01 + 23188.50ns INFO [00023190] * RD COMPARE * port=0 adr=05 act=6B0E583020DC1562D9 exp=6B0E583020DC1562D9 + 23188.50ns INFO [00023190] * RD COMPARE * port=1 adr=04 act=658E9EE36C9A44D29C exp=658E9EE36C9A44D29C + 23188.50ns INFO [00023190] Port=0 RD @03 + 23189.50ns INFO [00023191] * RD COMPARE * port=1 adr=01 act=B5F352DE6CC4B6F185 exp=B5F352DE6CC4B6F185 + 23190.50ns INFO [00023192] * RD COMPARE * port=0 adr=03 act=B36E34CA9803F33E6A exp=B36E34CA9803F33E6A + 23190.50ns INFO [00023192] Port=0 RD @02 + 23192.50ns INFO [00023194] * RD COMPARE * port=0 adr=02 act=BCE01405EB6635A730 exp=BCE01405EB6635A730 + 23192.50ns INFO [00023194] Port=1 RD @07 + 23193.50ns INFO [00023195] Port=0 WR @03=BBD78A25A82255C4A2 + 23194.50ns INFO [00023196] * RD COMPARE * port=1 adr=07 act=9848D9BAAC272D252D exp=9848D9BAAC272D252D + 23194.50ns INFO [00023196] Port=0 WR @02=05E4A21C9466096FD6 + 23194.50ns INFO [00023196] Port=0 RD @05 + 23194.50ns INFO [00023196] Port=1 RD @00 + 23195.50ns INFO [00023197] Port=0 WR @03=92D4A559C961E69EDF + 23195.50ns INFO [00023197] Port=0 RD @01 + 23195.50ns INFO [00023197] Port=1 RD @00 + 23196.50ns INFO [00023198] * RD COMPARE * port=0 adr=05 act=6B0E583020DC1562D9 exp=6B0E583020DC1562D9 + 23196.50ns INFO [00023198] * RD COMPARE * port=1 adr=00 act=6576D03D38E1DD5828 exp=6576D03D38E1DD5828 + 23196.50ns INFO [00023198] Port=0 RD @07 + 23197.50ns INFO [00023199] * RD COMPARE * port=0 adr=01 act=B5F352DE6CC4B6F185 exp=B5F352DE6CC4B6F185 + 23197.50ns INFO [00023199] * RD COMPARE * port=1 adr=00 act=6576D03D38E1DD5828 exp=6576D03D38E1DD5828 + 23197.50ns INFO [00023199] Port=0 WR @01=5416361057F54F61AB + 23197.50ns INFO [00023199] Port=0 RD @06 + 23197.50ns INFO [00023199] Port=1 RD @03 + 23198.00ns INFO [00023200] [00023200] ...tick... + 23198.50ns INFO [00023200] * RD COMPARE * port=0 adr=07 act=9848D9BAAC272D252D exp=9848D9BAAC272D252D + 23198.50ns INFO [00023200] Port=0 WR @02=E7549290AD72CD2B3B + 23199.50ns INFO [00023201] * RD COMPARE * port=0 adr=06 act=CC103C986F4B29F9E4 exp=CC103C986F4B29F9E4 + 23199.50ns INFO [00023201] * RD COMPARE * port=1 adr=03 act=92D4A559C961E69EDF exp=92D4A559C961E69EDF + 23199.50ns INFO [00023201] Port=0 RD @03 + 23200.50ns INFO [00023202] Port=0 WR @02=BEE83EC31FC0EEEA74 + 23200.50ns INFO [00023202] Port=0 RD @06 + 23200.50ns INFO [00023202] Port=1 RD @05 + 23201.50ns INFO [00023203] * RD COMPARE * port=0 adr=03 act=92D4A559C961E69EDF exp=92D4A559C961E69EDF + 23201.50ns INFO [00023203] Port=0 WR @07=9D4A024095C60C4C7A + 23201.50ns INFO [00023203] Port=1 RD @02 + 23202.50ns INFO [00023204] * RD COMPARE * port=0 adr=06 act=CC103C986F4B29F9E4 exp=CC103C986F4B29F9E4 + 23202.50ns INFO [00023204] * RD COMPARE * port=1 adr=05 act=6B0E583020DC1562D9 exp=6B0E583020DC1562D9 + 23202.50ns INFO [00023204] Port=0 WR @02=48C4078C5AB9B20D66 + 23202.50ns INFO [00023204] Port=1 RD @07 + 23203.50ns INFO [00023205] * RD COMPARE * port=1 adr=02 act=BEE83EC31FC0EEEA74 exp=BEE83EC31FC0EEEA74 + 23203.50ns INFO [00023205] Port=0 RD @02 + 23204.50ns INFO [00023206] * RD COMPARE * port=1 adr=07 act=9D4A024095C60C4C7A exp=9D4A024095C60C4C7A + 23205.50ns INFO [00023207] * RD COMPARE * port=0 adr=02 act=48C4078C5AB9B20D66 exp=48C4078C5AB9B20D66 + 23205.50ns INFO [00023207] Port=0 RD @04 + 23206.50ns INFO [00023208] Port=0 RD @01 + 23207.50ns INFO [00023209] * RD COMPARE * port=0 adr=04 act=658E9EE36C9A44D29C exp=658E9EE36C9A44D29C + 23207.50ns INFO [00023209] Port=0 RD @06 + 23207.50ns INFO [00023209] Port=1 RD @05 + 23208.50ns INFO [00023210] * RD COMPARE * port=0 adr=01 act=5416361057F54F61AB exp=5416361057F54F61AB + 23208.50ns INFO [00023210] Port=0 WR @06=E1EB0E91222C005FA0 + 23209.50ns INFO [00023211] * RD COMPARE * port=0 adr=06 act=CC103C986F4B29F9E4 exp=CC103C986F4B29F9E4 + 23209.50ns INFO [00023211] * RD COMPARE * port=1 adr=05 act=6B0E583020DC1562D9 exp=6B0E583020DC1562D9 + 23211.50ns INFO [00023213] Port=0 WR @01=8C0F18412036003F2A + 23211.50ns INFO [00023213] Port=0 RD @04 + 23213.50ns INFO [00023215] * RD COMPARE * port=0 adr=04 act=658E9EE36C9A44D29C exp=658E9EE36C9A44D29C + 23213.50ns INFO [00023215] Port=1 RD @00 + 23214.50ns INFO [00023216] Port=0 RD @02 + 23215.50ns INFO [00023217] * RD COMPARE * port=1 adr=00 act=6576D03D38E1DD5828 exp=6576D03D38E1DD5828 + 23215.50ns INFO [00023217] Port=1 RD @07 + 23216.50ns INFO [00023218] * RD COMPARE * port=0 adr=02 act=48C4078C5AB9B20D66 exp=48C4078C5AB9B20D66 + 23216.50ns INFO [00023218] Port=0 WR @05=64E3310015DE6C8769 + 23216.50ns INFO [00023218] Port=0 RD @06 + 23216.50ns INFO [00023218] Port=1 RD @07 + 23217.50ns INFO [00023219] * RD COMPARE * port=1 adr=07 act=9D4A024095C60C4C7A exp=9D4A024095C60C4C7A + 23217.50ns INFO [00023219] Port=0 WR @02=A0F1E74E2B08DC692A + 23218.50ns INFO [00023220] * RD COMPARE * port=0 adr=06 act=E1EB0E91222C005FA0 exp=E1EB0E91222C005FA0 + 23218.50ns INFO [00023220] * RD COMPARE * port=1 adr=07 act=9D4A024095C60C4C7A exp=9D4A024095C60C4C7A + 23218.50ns INFO [00023220] Port=0 WR @06=021B15A9F675488659 + 23218.50ns INFO [00023220] Port=0 RD @03 + 23218.50ns INFO [00023220] Port=1 RD @02 + 23219.50ns INFO [00023221] Port=0 WR @02=9E6BEB6F5106494FFE + 23219.50ns INFO [00023221] Port=1 RD @00 + 23220.50ns INFO [00023222] * RD COMPARE * port=0 adr=03 act=92D4A559C961E69EDF exp=92D4A559C961E69EDF + 23220.50ns INFO [00023222] * RD COMPARE * port=1 adr=02 act=A0F1E74E2B08DC692A exp=A0F1E74E2B08DC692A + 23220.50ns INFO [00023222] Port=0 WR @04=019BA6EE0291788462 + 23221.50ns INFO [00023223] * RD COMPARE * port=1 adr=00 act=6576D03D38E1DD5828 exp=6576D03D38E1DD5828 + 23221.50ns INFO [00023223] Port=0 WR @02=5C75C6EE34C8D19714 + 23221.50ns INFO [00023223] Port=0 RD @01 + 23222.50ns INFO [00023224] Port=1 RD @03 + 23223.50ns INFO [00023225] * RD COMPARE * port=0 adr=01 act=8C0F18412036003F2A exp=8C0F18412036003F2A + 23224.50ns INFO [00023226] * RD COMPARE * port=1 adr=03 act=92D4A559C961E69EDF exp=92D4A559C961E69EDF + 23224.50ns INFO [00023226] Port=0 RD @06 + 23225.50ns INFO [00023227] Port=0 WR @02=C901B8BD0E5BDA0601 + 23226.50ns INFO [00023228] * RD COMPARE * port=0 adr=06 act=021B15A9F675488659 exp=021B15A9F675488659 + 23226.50ns INFO [00023228] Port=0 RD @07 + 23227.50ns INFO [00023229] Port=0 RD @01 + 23227.50ns INFO [00023229] Port=1 RD @02 + 23228.50ns INFO [00023230] * RD COMPARE * port=0 adr=07 act=9D4A024095C60C4C7A exp=9D4A024095C60C4C7A + 23228.50ns INFO [00023230] Port=0 WR @02=09375BDF07E10C0F06 + 23229.50ns INFO [00023231] * RD COMPARE * port=0 adr=01 act=8C0F18412036003F2A exp=8C0F18412036003F2A + 23229.50ns INFO [00023231] * RD COMPARE * port=1 adr=02 act=C901B8BD0E5BDA0601 exp=C901B8BD0E5BDA0601 + 23229.50ns INFO [00023231] Port=0 RD @05 + 23230.50ns INFO [00023232] Port=0 WR @05=E53F7C5D6CFD1AF9EA + 23230.50ns INFO [00023232] Port=1 RD @00 + 23231.50ns INFO [00023233] * RD COMPARE * port=0 adr=05 act=64E3310015DE6C8769 exp=64E3310015DE6C8769 + 23231.50ns INFO [00023233] Port=0 WR @00=4B08E25FE8A4E021D1 + 23232.50ns INFO [00023234] * RD COMPARE * port=1 adr=00 act=6576D03D38E1DD5828 exp=6576D03D38E1DD5828 + 23233.50ns INFO [00023235] Port=1 RD @01 + 23234.50ns INFO [00023236] Port=0 WR @06=21AE5FE6C37BCE8F17 + 23234.50ns INFO [00023236] Port=0 RD @00 + 23234.50ns INFO [00023236] Port=1 RD @07 + 23235.50ns INFO [00023237] * RD COMPARE * port=1 adr=01 act=8C0F18412036003F2A exp=8C0F18412036003F2A + 23236.50ns INFO [00023238] * RD COMPARE * port=0 adr=00 act=4B08E25FE8A4E021D1 exp=4B08E25FE8A4E021D1 + 23236.50ns INFO [00023238] * RD COMPARE * port=1 adr=07 act=9D4A024095C60C4C7A exp=9D4A024095C60C4C7A + 23236.50ns INFO [00023238] Port=0 WR @03=67FDE0E1F82A2404DF + 23238.50ns INFO [00023240] Port=0 WR @02=137AD3196B3C20E5B1 + 23240.50ns INFO [00023242] Port=0 WR @06=0EF5DB67E1217AF3AD + 23241.50ns INFO [00023243] Port=0 WR @06=233F38DC0BFDEB86DF + 23242.50ns INFO [00023244] Port=0 WR @01=6FFFBF79DA09BF1D36 + 23242.50ns INFO [00023244] Port=1 RD @05 + 23243.50ns INFO [00023245] Port=0 WR @04=B8C6A173696FAA3B33 + 23244.50ns INFO [00023246] * RD COMPARE * port=1 adr=05 act=E53F7C5D6CFD1AF9EA exp=E53F7C5D6CFD1AF9EA + 23244.50ns INFO [00023246] Port=0 RD @02 + 23245.50ns INFO [00023247] Port=0 WR @00=93B7C6A9A25990E9EB + 23245.50ns INFO [00023247] Port=0 RD @06 + 23246.50ns INFO [00023248] * RD COMPARE * port=0 adr=02 act=137AD3196B3C20E5B1 exp=137AD3196B3C20E5B1 + 23246.50ns INFO [00023248] Port=0 WR @06=09309E53895F1EA447 + 23246.50ns INFO [00023248] Port=1 RD @00 + 23247.50ns INFO [00023249] * RD COMPARE * port=0 adr=06 act=233F38DC0BFDEB86DF exp=233F38DC0BFDEB86DF + 23247.50ns INFO [00023249] Port=0 WR @05=97D82015445BBBAA48 + 23247.50ns INFO [00023249] Port=1 RD @06 + 23248.50ns INFO [00023250] * RD COMPARE * port=1 adr=00 act=93B7C6A9A25990E9EB exp=93B7C6A9A25990E9EB + 23248.50ns INFO [00023250] Port=0 RD @02 + 23249.50ns INFO [00023251] * RD COMPARE * port=1 adr=06 act=09309E53895F1EA447 exp=09309E53895F1EA447 + 23249.50ns INFO [00023251] Port=0 WR @01=5B952ECFC12FEFE294 + 23249.50ns INFO [00023251] Port=0 RD @06 + 23249.50ns INFO [00023251] Port=1 RD @06 + 23250.50ns INFO [00023252] * RD COMPARE * port=0 adr=02 act=137AD3196B3C20E5B1 exp=137AD3196B3C20E5B1 + 23250.50ns INFO [00023252] Port=0 WR @02=B7A59AFA6BC9B9888F + 23251.50ns INFO [00023253] * RD COMPARE * port=0 adr=06 act=09309E53895F1EA447 exp=09309E53895F1EA447 + 23251.50ns INFO [00023253] * RD COMPARE * port=1 adr=06 act=09309E53895F1EA447 exp=09309E53895F1EA447 + 23251.50ns INFO [00023253] Port=1 RD @02 + 23252.50ns INFO [00023254] Port=0 WR @05=9F88DEAE16BC412D34 + 23253.50ns INFO [00023255] * RD COMPARE * port=1 adr=02 act=B7A59AFA6BC9B9888F exp=B7A59AFA6BC9B9888F + 23254.50ns INFO [00023256] Port=1 RD @02 + 23255.50ns INFO [00023257] Port=0 WR @02=F8D409D9AE7D32CAEE + 23255.50ns INFO [00023257] Port=0 RD @07 + 23256.50ns INFO [00023258] * RD COMPARE * port=1 adr=02 act=B7A59AFA6BC9B9888F exp=B7A59AFA6BC9B9888F + 23256.50ns INFO [00023258] Port=0 WR @07=8D2A7ACCB040E7E848 + 23257.50ns INFO [00023259] * RD COMPARE * port=0 adr=07 act=9D4A024095C60C4C7A exp=9D4A024095C60C4C7A + 23259.50ns INFO [00023261] Port=1 RD @06 + 23260.50ns INFO [00023262] Port=0 WR @02=F2C19C2DE9A3300153 + 23260.50ns INFO [00023262] Port=0 RD @04 + 23261.50ns INFO [00023263] * RD COMPARE * port=1 adr=06 act=09309E53895F1EA447 exp=09309E53895F1EA447 + 23261.50ns INFO [00023263] Port=0 WR @06=625829B26FEA7454C1 + 23261.50ns INFO [00023263] Port=0 RD @00 + 23262.50ns INFO [00023264] * RD COMPARE * port=0 adr=04 act=B8C6A173696FAA3B33 exp=B8C6A173696FAA3B33 + 23262.50ns INFO [00023264] Port=0 RD @01 + 23262.50ns INFO [00023264] Port=1 RD @00 + 23263.50ns INFO [00023265] * RD COMPARE * port=0 adr=00 act=93B7C6A9A25990E9EB exp=93B7C6A9A25990E9EB + 23264.50ns INFO [00023266] * RD COMPARE * port=0 adr=01 act=5B952ECFC12FEFE294 exp=5B952ECFC12FEFE294 + 23264.50ns INFO [00023266] * RD COMPARE * port=1 adr=00 act=93B7C6A9A25990E9EB exp=93B7C6A9A25990E9EB + 23264.50ns INFO [00023266] Port=0 WR @03=7EE4580A03E7A5E130 + 23264.50ns INFO [00023266] Port=1 RD @05 + 23266.50ns INFO [00023268] * RD COMPARE * port=1 adr=05 act=9F88DEAE16BC412D34 exp=9F88DEAE16BC412D34 + 23267.50ns INFO [00023269] Port=0 WR @00=D213C7CCB4FA954B2F + 23267.50ns INFO [00023269] Port=0 RD @05 + 23269.50ns INFO [00023271] * RD COMPARE * port=0 adr=05 act=9F88DEAE16BC412D34 exp=9F88DEAE16BC412D34 + 23269.50ns INFO [00023271] Port=0 RD @03 + 23269.50ns INFO [00023271] Port=1 RD @06 + 23270.50ns INFO [00023272] Port=0 WR @05=36F674601477238C64 + 23270.50ns INFO [00023272] Port=1 RD @07 + 23271.50ns INFO [00023273] * RD COMPARE * port=0 adr=03 act=7EE4580A03E7A5E130 exp=7EE4580A03E7A5E130 + 23271.50ns INFO [00023273] * RD COMPARE * port=1 adr=06 act=625829B26FEA7454C1 exp=625829B26FEA7454C1 + 23271.50ns INFO [00023273] Port=0 WR @01=10B8BEE7F7FD3E1D1D + 23271.50ns INFO [00023273] Port=0 RD @00 + 23272.50ns INFO [00023274] * RD COMPARE * port=1 adr=07 act=8D2A7ACCB040E7E848 exp=8D2A7ACCB040E7E848 + 23273.50ns INFO [00023275] * RD COMPARE * port=0 adr=00 act=D213C7CCB4FA954B2F exp=D213C7CCB4FA954B2F + 23273.50ns INFO [00023275] Port=0 RD @01 + 23274.50ns INFO [00023276] Port=1 RD @01 + 23275.50ns INFO [00023277] * RD COMPARE * port=0 adr=01 act=10B8BEE7F7FD3E1D1D exp=10B8BEE7F7FD3E1D1D + 23275.50ns INFO [00023277] Port=1 RD @06 + 23276.50ns INFO [00023278] * RD COMPARE * port=1 adr=01 act=10B8BEE7F7FD3E1D1D exp=10B8BEE7F7FD3E1D1D + 23276.50ns INFO [00023278] Port=0 RD @01 + 23277.50ns INFO [00023279] * RD COMPARE * port=1 adr=06 act=625829B26FEA7454C1 exp=625829B26FEA7454C1 + 23277.50ns INFO [00023279] Port=0 WR @01=6E1CC66D00B1454615 + 23277.50ns INFO [00023279] Port=1 RD @05 + 23278.50ns INFO [00023280] * RD COMPARE * port=0 adr=01 act=10B8BEE7F7FD3E1D1D exp=10B8BEE7F7FD3E1D1D + 23278.50ns INFO [00023280] Port=1 RD @00 + 23279.50ns INFO [00023281] * RD COMPARE * port=1 adr=05 act=36F674601477238C64 exp=36F674601477238C64 + 23279.50ns INFO [00023281] Port=0 RD @04 + 23280.50ns INFO [00023282] * RD COMPARE * port=1 adr=00 act=D213C7CCB4FA954B2F exp=D213C7CCB4FA954B2F + 23280.50ns INFO [00023282] Port=0 RD @02 + 23280.50ns INFO [00023282] Port=1 RD @07 + 23281.50ns INFO [00023283] * RD COMPARE * port=0 adr=04 act=B8C6A173696FAA3B33 exp=B8C6A173696FAA3B33 + 23281.50ns INFO [00023283] Port=0 WR @06=E3689FB423D771D9DF + 23281.50ns INFO [00023283] Port=1 RD @01 + 23282.50ns INFO [00023284] * RD COMPARE * port=0 adr=02 act=F2C19C2DE9A3300153 exp=F2C19C2DE9A3300153 + 23282.50ns INFO [00023284] * RD COMPARE * port=1 adr=07 act=8D2A7ACCB040E7E848 exp=8D2A7ACCB040E7E848 + 23283.50ns INFO [00023285] * RD COMPARE * port=1 adr=01 act=6E1CC66D00B1454615 exp=6E1CC66D00B1454615 + 23283.50ns INFO [00023285] Port=0 WR @05=B3BFB3E19A2B933EB1 + 23283.50ns INFO [00023285] Port=1 RD @03 + 23285.50ns INFO [00023287] * RD COMPARE * port=1 adr=03 act=7EE4580A03E7A5E130 exp=7EE4580A03E7A5E130 + 23285.50ns INFO [00023287] Port=0 WR @04=D301BD5BE5C7B3F8D6 + 23286.50ns INFO [00023288] Port=1 RD @04 + 23287.50ns INFO [00023289] Port=0 WR @04=E42E88E001FA04877A + 23288.50ns INFO [00023290] * RD COMPARE * port=1 adr=04 act=D301BD5BE5C7B3F8D6 exp=D301BD5BE5C7B3F8D6 + 23288.50ns INFO [00023290] Port=1 RD @04 + 23289.50ns INFO [00023291] Port=0 RD @01 + 23289.50ns INFO [00023291] Port=1 RD @05 + 23290.50ns INFO [00023292] * RD COMPARE * port=1 adr=04 act=E42E88E001FA04877A exp=E42E88E001FA04877A + 23290.50ns INFO [00023292] Port=0 RD @04 + 23290.50ns INFO [00023292] Port=1 RD @07 + 23291.50ns INFO [00023293] * RD COMPARE * port=0 adr=01 act=6E1CC66D00B1454615 exp=6E1CC66D00B1454615 + 23291.50ns INFO [00023293] * RD COMPARE * port=1 adr=05 act=B3BFB3E19A2B933EB1 exp=B3BFB3E19A2B933EB1 + 23291.50ns INFO [00023293] Port=0 WR @03=6948CE89A65CEE2884 + 23292.50ns INFO [00023294] * RD COMPARE * port=0 adr=04 act=E42E88E001FA04877A exp=E42E88E001FA04877A + 23292.50ns INFO [00023294] * RD COMPARE * port=1 adr=07 act=8D2A7ACCB040E7E848 exp=8D2A7ACCB040E7E848 + 23292.50ns INFO [00023294] Port=0 WR @01=5B34BCE8236A254B52 + 23293.50ns INFO [00023295] Port=0 RD @05 + 23295.50ns INFO [00023297] * RD COMPARE * port=0 adr=05 act=B3BFB3E19A2B933EB1 exp=B3BFB3E19A2B933EB1 + 23295.50ns INFO [00023297] Port=1 RD @03 + 23296.50ns INFO [00023298] Port=0 WR @07=A361F0CBF636AC92E6 + 23296.50ns INFO [00023298] Port=1 RD @00 + 23297.50ns INFO [00023299] * RD COMPARE * port=1 adr=03 act=6948CE89A65CEE2884 exp=6948CE89A65CEE2884 + 23297.50ns INFO [00023299] Port=0 WR @04=563881E14C807A0045 + 23297.50ns INFO [00023299] Port=1 RD @00 + 23298.00ns INFO [00023300] [00023300] ...tick... + 23298.50ns INFO [00023300] * RD COMPARE * port=1 adr=00 act=D213C7CCB4FA954B2F exp=D213C7CCB4FA954B2F + 23298.50ns INFO [00023300] Port=0 RD @06 + 23299.50ns INFO [00023301] * RD COMPARE * port=1 adr=00 act=D213C7CCB4FA954B2F exp=D213C7CCB4FA954B2F + 23299.50ns INFO [00023301] Port=0 RD @04 + 23300.50ns INFO [00023302] * RD COMPARE * port=0 adr=06 act=E3689FB423D771D9DF exp=E3689FB423D771D9DF + 23300.50ns INFO [00023302] Port=0 RD @01 + 23301.50ns INFO [00023303] * RD COMPARE * port=0 adr=04 act=563881E14C807A0045 exp=563881E14C807A0045 + 23301.50ns INFO [00023303] Port=1 RD @03 + 23302.50ns INFO [00023304] * RD COMPARE * port=0 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23302.50ns INFO [00023304] Port=0 WR @07=58B31BC2907B539E80 + 23303.50ns INFO [00023305] * RD COMPARE * port=1 adr=03 act=6948CE89A65CEE2884 exp=6948CE89A65CEE2884 + 23303.50ns INFO [00023305] Port=0 WR @00=C5EBA6CE8C361F8304 + 23303.50ns INFO [00023305] Port=1 RD @07 + 23305.50ns INFO [00023307] * RD COMPARE * port=1 adr=07 act=58B31BC2907B539E80 exp=58B31BC2907B539E80 + 23305.50ns INFO [00023307] Port=0 RD @01 + 23305.50ns INFO [00023307] Port=1 RD @03 + 23306.50ns INFO [00023308] Port=0 RD @00 + 23307.50ns INFO [00023309] * RD COMPARE * port=0 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23307.50ns INFO [00023309] * RD COMPARE * port=1 adr=03 act=6948CE89A65CEE2884 exp=6948CE89A65CEE2884 + 23308.50ns INFO [00023310] * RD COMPARE * port=0 adr=00 act=C5EBA6CE8C361F8304 exp=C5EBA6CE8C361F8304 + 23308.50ns INFO [00023310] Port=0 RD @07 + 23310.50ns INFO [00023312] * RD COMPARE * port=0 adr=07 act=58B31BC2907B539E80 exp=58B31BC2907B539E80 + 23310.50ns INFO [00023312] Port=0 WR @07=298D0CA7942ED697D8 + 23311.50ns INFO [00023313] Port=0 WR @03=1B3715294062214E78 + 23311.50ns INFO [00023313] Port=0 RD @04 + 23312.50ns INFO [00023314] Port=0 WR @05=195D5E9E5ED76DA2D8 + 23312.50ns INFO [00023314] Port=0 RD @02 + 23312.50ns INFO [00023314] Port=1 RD @04 + 23313.50ns INFO [00023315] * RD COMPARE * port=0 adr=04 act=563881E14C807A0045 exp=563881E14C807A0045 + 23313.50ns INFO [00023315] Port=0 RD @03 + 23314.50ns INFO [00023316] * RD COMPARE * port=0 adr=02 act=F2C19C2DE9A3300153 exp=F2C19C2DE9A3300153 + 23314.50ns INFO [00023316] * RD COMPARE * port=1 adr=04 act=563881E14C807A0045 exp=563881E14C807A0045 + 23314.50ns INFO [00023316] Port=0 RD @04 + 23314.50ns INFO [00023316] Port=1 RD @03 + 23315.50ns INFO [00023317] * RD COMPARE * port=0 adr=03 act=1B3715294062214E78 exp=1B3715294062214E78 + 23315.50ns INFO [00023317] Port=0 WR @04=E7C7EABA39202F6417 + 23315.50ns INFO [00023317] Port=0 RD @06 + 23316.50ns INFO [00023318] * RD COMPARE * port=0 adr=04 act=563881E14C807A0045 exp=563881E14C807A0045 + 23316.50ns INFO [00023318] * RD COMPARE * port=1 adr=03 act=1B3715294062214E78 exp=1B3715294062214E78 + 23316.50ns INFO [00023318] Port=0 WR @03=8DD9071D0040393E12 + 23316.50ns INFO [00023318] Port=0 RD @01 + 23317.50ns INFO [00023319] * RD COMPARE * port=0 adr=06 act=E3689FB423D771D9DF exp=E3689FB423D771D9DF + 23317.50ns INFO [00023319] Port=0 WR @04=6F1754D7479C90F231 + 23317.50ns INFO [00023319] Port=1 RD @01 + 23318.50ns INFO [00023320] * RD COMPARE * port=0 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23318.50ns INFO [00023320] Port=0 WR @04=15779F1949626CFEB8 + 23319.50ns INFO [00023321] * RD COMPARE * port=1 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23319.50ns INFO [00023321] Port=0 WR @06=A936EB174D243FA655 + 23320.50ns INFO [00023322] Port=0 WR @05=8C6CBC28523828AC3A + 23321.50ns INFO [00023323] Port=0 RD @00 + 23322.50ns INFO [00023324] Port=0 RD @02 + 23323.50ns INFO [00023325] * RD COMPARE * port=0 adr=00 act=C5EBA6CE8C361F8304 exp=C5EBA6CE8C361F8304 + 23323.50ns INFO [00023325] Port=0 RD @03 + 23323.50ns INFO [00023325] Port=1 RD @06 + 23324.50ns INFO [00023326] * RD COMPARE * port=0 adr=02 act=F2C19C2DE9A3300153 exp=F2C19C2DE9A3300153 + 23324.50ns INFO [00023326] Port=0 RD @05 + 23325.50ns INFO [00023327] * RD COMPARE * port=0 adr=03 act=8DD9071D0040393E12 exp=8DD9071D0040393E12 + 23325.50ns INFO [00023327] * RD COMPARE * port=1 adr=06 act=A936EB174D243FA655 exp=A936EB174D243FA655 + 23325.50ns INFO [00023327] Port=0 WR @00=4EE6BF5293BDCBFE49 + 23325.50ns INFO [00023327] Port=1 RD @06 + 23326.50ns INFO [00023328] * RD COMPARE * port=0 adr=05 act=8C6CBC28523828AC3A exp=8C6CBC28523828AC3A + 23326.50ns INFO [00023328] Port=0 RD @01 + 23326.50ns INFO [00023328] Port=1 RD @05 + 23327.50ns INFO [00023329] * RD COMPARE * port=1 adr=06 act=A936EB174D243FA655 exp=A936EB174D243FA655 + 23328.50ns INFO [00023330] * RD COMPARE * port=0 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23328.50ns INFO [00023330] * RD COMPARE * port=1 adr=05 act=8C6CBC28523828AC3A exp=8C6CBC28523828AC3A + 23328.50ns INFO [00023330] Port=0 WR @02=CB84AEC0FF45B99A9B + 23329.50ns INFO [00023331] Port=0 WR @05=E9B65A34F1158C0815 + 23330.50ns INFO [00023332] Port=0 RD @06 + 23331.50ns INFO [00023333] Port=0 WR @04=D0E6163DA474EB5D82 + 23332.50ns INFO [00023334] * RD COMPARE * port=0 adr=06 act=A936EB174D243FA655 exp=A936EB174D243FA655 + 23332.50ns INFO [00023334] Port=0 RD @06 + 23333.50ns INFO [00023335] Port=0 WR @00=2360865354E4B3905B + 23334.50ns INFO [00023336] * RD COMPARE * port=0 adr=06 act=A936EB174D243FA655 exp=A936EB174D243FA655 + 23334.50ns INFO [00023336] Port=1 RD @06 + 23335.50ns INFO [00023337] Port=0 WR @07=4487DACDE5CE639F84 + 23336.50ns INFO [00023338] * RD COMPARE * port=1 adr=06 act=A936EB174D243FA655 exp=A936EB174D243FA655 + 23338.50ns INFO [00023340] Port=0 WR @04=D777E781E5BAD9E3CC + 23338.50ns INFO [00023340] Port=0 RD @03 + 23339.50ns INFO [00023341] Port=0 WR @04=EB6B51E48E8C890203 + 23339.50ns INFO [00023341] Port=0 RD @06 + 23340.50ns INFO [00023342] * RD COMPARE * port=0 adr=03 act=8DD9071D0040393E12 exp=8DD9071D0040393E12 + 23341.50ns INFO [00023343] * RD COMPARE * port=0 adr=06 act=A936EB174D243FA655 exp=A936EB174D243FA655 + 23341.50ns INFO [00023343] Port=0 WR @07=71A738265BDE2B872B + 23343.50ns INFO [00023345] Port=0 RD @05 + 23344.50ns INFO [00023346] Port=1 RD @03 + 23345.50ns INFO [00023347] * RD COMPARE * port=0 adr=05 act=E9B65A34F1158C0815 exp=E9B65A34F1158C0815 + 23345.50ns INFO [00023347] Port=0 RD @03 + 23346.50ns INFO [00023348] * RD COMPARE * port=1 adr=03 act=8DD9071D0040393E12 exp=8DD9071D0040393E12 + 23346.50ns INFO [00023348] Port=0 WR @02=2BFADA4D6B07ED0345 + 23346.50ns INFO [00023348] Port=0 RD @01 + 23346.50ns INFO [00023348] Port=1 RD @04 + 23347.50ns INFO [00023349] * RD COMPARE * port=0 adr=03 act=8DD9071D0040393E12 exp=8DD9071D0040393E12 + 23347.50ns INFO [00023349] Port=0 WR @02=99996E015D71317538 + 23348.50ns INFO [00023350] * RD COMPARE * port=0 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23348.50ns INFO [00023350] * RD COMPARE * port=1 adr=04 act=EB6B51E48E8C890203 exp=EB6B51E48E8C890203 + 23348.50ns INFO [00023350] Port=0 WR @03=15C3D46D529DA12AD5 + 23349.50ns INFO [00023351] Port=0 WR @06=86E842FEE003E50DC0 + 23349.50ns INFO [00023351] Port=1 RD @07 + 23350.50ns INFO [00023352] Port=0 RD @02 + 23351.50ns INFO [00023353] * RD COMPARE * port=1 adr=07 act=71A738265BDE2B872B exp=71A738265BDE2B872B + 23351.50ns INFO [00023353] Port=0 WR @02=90DE5F52E1DDEEAC8C + 23351.50ns INFO [00023353] Port=0 RD @07 + 23352.50ns INFO [00023354] * RD COMPARE * port=0 adr=02 act=99996E015D71317538 exp=99996E015D71317538 + 23352.50ns INFO [00023354] Port=0 WR @02=41B0CF1D23068AFB20 + 23352.50ns INFO [00023354] Port=0 RD @03 + 23353.50ns INFO [00023355] * RD COMPARE * port=0 adr=07 act=71A738265BDE2B872B exp=71A738265BDE2B872B + 23353.50ns INFO [00023355] Port=0 RD @02 + 23354.50ns INFO [00023356] * RD COMPARE * port=0 adr=03 act=15C3D46D529DA12AD5 exp=15C3D46D529DA12AD5 + 23354.50ns INFO [00023356] Port=0 WR @03=CBCE33C66227B9170C + 23354.50ns INFO [00023356] Port=0 RD @07 + 23354.50ns INFO [00023356] Port=1 RD @01 + 23355.50ns INFO [00023357] * RD COMPARE * port=0 adr=02 act=41B0CF1D23068AFB20 exp=41B0CF1D23068AFB20 + 23356.50ns INFO [00023358] * RD COMPARE * port=0 adr=07 act=71A738265BDE2B872B exp=71A738265BDE2B872B + 23356.50ns INFO [00023358] * RD COMPARE * port=1 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23356.50ns INFO [00023358] Port=0 WR @04=57A2ACD286695A98B1 + 23356.50ns INFO [00023358] Port=0 RD @00 + 23356.50ns INFO [00023358] Port=1 RD @00 + 23357.50ns INFO [00023359] Port=0 RD @04 + 23358.50ns INFO [00023360] * RD COMPARE * port=0 adr=00 act=2360865354E4B3905B exp=2360865354E4B3905B + 23358.50ns INFO [00023360] * RD COMPARE * port=1 adr=00 act=2360865354E4B3905B exp=2360865354E4B3905B + 23358.50ns INFO [00023360] Port=0 RD @07 + 23358.50ns INFO [00023360] Port=1 RD @00 + 23359.50ns INFO [00023361] * RD COMPARE * port=0 adr=04 act=57A2ACD286695A98B1 exp=57A2ACD286695A98B1 + 23360.50ns INFO [00023362] * RD COMPARE * port=0 adr=07 act=71A738265BDE2B872B exp=71A738265BDE2B872B + 23360.50ns INFO [00023362] * RD COMPARE * port=1 adr=00 act=2360865354E4B3905B exp=2360865354E4B3905B + 23360.50ns INFO [00023362] Port=0 WR @04=689A565F08F84D758C + 23361.50ns INFO [00023363] Port=0 WR @06=8C7D12679A9AD78747 + 23362.50ns INFO [00023364] Port=1 RD @07 + 23363.50ns INFO [00023365] Port=0 RD @03 + 23364.50ns INFO [00023366] * RD COMPARE * port=1 adr=07 act=71A738265BDE2B872B exp=71A738265BDE2B872B + 23364.50ns INFO [00023366] Port=0 WR @02=C326482F035840625A + 23364.50ns INFO [00023366] Port=0 RD @01 + 23364.50ns INFO [00023366] Port=1 RD @03 + 23365.50ns INFO [00023367] * RD COMPARE * port=0 adr=03 act=CBCE33C66227B9170C exp=CBCE33C66227B9170C + 23366.50ns INFO [00023368] * RD COMPARE * port=0 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23366.50ns INFO [00023368] * RD COMPARE * port=1 adr=03 act=CBCE33C66227B9170C exp=CBCE33C66227B9170C + 23366.50ns INFO [00023368] Port=0 WR @06=F73E43EBEA70B36891 + 23366.50ns INFO [00023368] Port=0 RD @05 + 23367.50ns INFO [00023369] Port=0 WR @04=EE8F674DD1CFF47021 + 23367.50ns INFO [00023369] Port=0 RD @07 + 23368.50ns INFO [00023370] * RD COMPARE * port=0 adr=05 act=E9B65A34F1158C0815 exp=E9B65A34F1158C0815 + 23368.50ns INFO [00023370] Port=0 WR @00=9D7DF2F834CBF4877F + 23368.50ns INFO [00023370] Port=1 RD @03 + 23369.50ns INFO [00023371] * RD COMPARE * port=0 adr=07 act=71A738265BDE2B872B exp=71A738265BDE2B872B + 23369.50ns INFO [00023371] Port=0 RD @02 + 23370.50ns INFO [00023372] * RD COMPARE * port=1 adr=03 act=CBCE33C66227B9170C exp=CBCE33C66227B9170C + 23370.50ns INFO [00023372] Port=0 WR @07=955A0DC8AB1C78E4E3 + 23371.50ns INFO [00023373] * RD COMPARE * port=0 adr=02 act=C326482F035840625A exp=C326482F035840625A + 23371.50ns INFO [00023373] Port=0 RD @02 + 23372.50ns INFO [00023374] Port=1 RD @02 + 23373.50ns INFO [00023375] * RD COMPARE * port=0 adr=02 act=C326482F035840625A exp=C326482F035840625A + 23374.50ns INFO [00023376] * RD COMPARE * port=1 adr=02 act=C326482F035840625A exp=C326482F035840625A + 23374.50ns INFO [00023376] Port=0 WR @02=DD5DE5AF78FDC7C3BB + 23377.50ns INFO [00023379] Port=0 RD @06 + 23378.50ns INFO [00023380] Port=1 RD @01 + 23379.50ns INFO [00023381] * RD COMPARE * port=0 adr=06 act=F73E43EBEA70B36891 exp=F73E43EBEA70B36891 + 23379.50ns INFO [00023381] Port=0 WR @07=F2A8FA35DC085B910C + 23380.50ns INFO [00023382] * RD COMPARE * port=1 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23380.50ns INFO [00023382] Port=0 WR @05=62A46B95AC95F47362 + 23380.50ns INFO [00023382] Port=0 RD @03 + 23381.50ns INFO [00023383] Port=0 RD @03 + 23382.50ns INFO [00023384] * RD COMPARE * port=0 adr=03 act=CBCE33C66227B9170C exp=CBCE33C66227B9170C + 23382.50ns INFO [00023384] Port=0 WR @04=AEB6D0574034CFCFD8 + 23383.50ns INFO [00023385] * RD COMPARE * port=0 adr=03 act=CBCE33C66227B9170C exp=CBCE33C66227B9170C + 23383.50ns INFO [00023385] Port=1 RD @04 + 23384.50ns INFO [00023386] Port=0 RD @06 + 23384.50ns INFO [00023386] Port=1 RD @02 + 23385.50ns INFO [00023387] * RD COMPARE * port=1 adr=04 act=AEB6D0574034CFCFD8 exp=AEB6D0574034CFCFD8 + 23385.50ns INFO [00023387] Port=0 WR @06=F56ED0575938B90896 + 23385.50ns INFO [00023387] Port=1 RD @04 + 23386.50ns INFO [00023388] * RD COMPARE * port=0 adr=06 act=F73E43EBEA70B36891 exp=F73E43EBEA70B36891 + 23386.50ns INFO [00023388] * RD COMPARE * port=1 adr=02 act=DD5DE5AF78FDC7C3BB exp=DD5DE5AF78FDC7C3BB + 23386.50ns INFO [00023388] Port=0 WR @06=BF1FC669AEB4D54D48 + 23387.50ns INFO [00023389] * RD COMPARE * port=1 adr=04 act=AEB6D0574034CFCFD8 exp=AEB6D0574034CFCFD8 + 23388.50ns INFO [00023390] Port=0 RD @02 + 23388.50ns INFO [00023390] Port=1 RD @01 + 23389.50ns INFO [00023391] Port=0 WR @02=6FCD930DBF15E28F4B + 23390.50ns INFO [00023392] * RD COMPARE * port=0 adr=02 act=DD5DE5AF78FDC7C3BB exp=DD5DE5AF78FDC7C3BB + 23390.50ns INFO [00023392] * RD COMPARE * port=1 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23391.50ns INFO [00023393] Port=1 RD @05 + 23393.50ns INFO [00023395] * RD COMPARE * port=1 adr=05 act=62A46B95AC95F47362 exp=62A46B95AC95F47362 + 23394.50ns INFO [00023396] Port=0 WR @05=905B33804A8CAA06BA + 23394.50ns INFO [00023396] Port=0 RD @00 + 23395.50ns INFO [00023397] Port=0 WR @00=F753E9B4A975F0FE5A + 23395.50ns INFO [00023397] Port=0 RD @02 + 23395.50ns INFO [00023397] Port=1 RD @04 + 23396.50ns INFO [00023398] * RD COMPARE * port=0 adr=00 act=9D7DF2F834CBF4877F exp=9D7DF2F834CBF4877F + 23396.50ns INFO [00023398] Port=0 RD @06 + 23397.50ns INFO [00023399] * RD COMPARE * port=0 adr=02 act=6FCD930DBF15E28F4B exp=6FCD930DBF15E28F4B + 23397.50ns INFO [00023399] * RD COMPARE * port=1 adr=04 act=AEB6D0574034CFCFD8 exp=AEB6D0574034CFCFD8 + 23398.00ns INFO [00023400] [00023400] ...tick... + 23398.50ns INFO [00023400] * RD COMPARE * port=0 adr=06 act=BF1FC669AEB4D54D48 exp=BF1FC669AEB4D54D48 + 23398.50ns INFO [00023400] Port=0 WR @05=BF5E906388CAA177E3 + 23399.50ns INFO [00023401] Port=0 WR @05=C827E374E8D0491B4F + 23400.50ns INFO [00023402] Port=0 WR @04=6B6A972CEA3A805F22 + 23400.50ns INFO [00023402] Port=0 RD @07 + 23400.50ns INFO [00023402] Port=1 RD @01 + 23401.50ns INFO [00023403] Port=0 WR @04=9256B6290694104337 + 23402.50ns INFO [00023404] * RD COMPARE * port=0 adr=07 act=F2A8FA35DC085B910C exp=F2A8FA35DC085B910C + 23402.50ns INFO [00023404] * RD COMPARE * port=1 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23402.50ns INFO [00023404] Port=0 WR @07=477377BD9F44AD8632 + 23402.50ns INFO [00023404] Port=1 RD @05 + 23403.50ns INFO [00023405] Port=0 WR @05=C25631823AB7F0029A + 23403.50ns INFO [00023405] Port=1 RD @07 + 23404.50ns INFO [00023406] * RD COMPARE * port=1 adr=05 act=C827E374E8D0491B4F exp=C827E374E8D0491B4F + 23404.50ns INFO [00023406] Port=0 RD @02 + 23404.50ns INFO [00023406] Port=1 RD @03 + 23405.50ns INFO [00023407] * RD COMPARE * port=1 adr=07 act=477377BD9F44AD8632 exp=477377BD9F44AD8632 + 23405.50ns INFO [00023407] Port=0 RD @03 + 23406.50ns INFO [00023408] * RD COMPARE * port=0 adr=02 act=6FCD930DBF15E28F4B exp=6FCD930DBF15E28F4B + 23406.50ns INFO [00023408] * RD COMPARE * port=1 adr=03 act=CBCE33C66227B9170C exp=CBCE33C66227B9170C + 23406.50ns INFO [00023408] Port=0 WR @03=16D24598C850A1481B + 23406.50ns INFO [00023408] Port=0 RD @05 + 23406.50ns INFO [00023408] Port=1 RD @02 + 23407.50ns INFO [00023409] * RD COMPARE * port=0 adr=03 act=CBCE33C66227B9170C exp=CBCE33C66227B9170C + 23407.50ns INFO [00023409] Port=0 WR @04=66DD240A18B19A4685 + 23408.50ns INFO [00023410] * RD COMPARE * port=0 adr=05 act=C25631823AB7F0029A exp=C25631823AB7F0029A + 23408.50ns INFO [00023410] * RD COMPARE * port=1 adr=02 act=6FCD930DBF15E28F4B exp=6FCD930DBF15E28F4B + 23408.50ns INFO [00023410] Port=0 WR @02=1CCEA7E505FA6704DB + 23408.50ns INFO [00023410] Port=0 RD @03 + 23409.50ns INFO [00023411] Port=0 WR @06=921B825018F3A34682 + 23410.50ns INFO [00023412] * RD COMPARE * port=0 adr=03 act=16D24598C850A1481B exp=16D24598C850A1481B + 23411.50ns INFO [00023413] Port=0 RD @05 + 23411.50ns INFO [00023413] Port=1 RD @01 + 23412.50ns INFO [00023414] Port=0 RD @05 + 23413.50ns INFO [00023415] * RD COMPARE * port=0 adr=05 act=C25631823AB7F0029A exp=C25631823AB7F0029A + 23413.50ns INFO [00023415] * RD COMPARE * port=1 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23413.50ns INFO [00023415] Port=0 WR @07=21B87F115A3537CF61 + 23413.50ns INFO [00023415] Port=1 RD @02 + 23414.50ns INFO [00023416] * RD COMPARE * port=0 adr=05 act=C25631823AB7F0029A exp=C25631823AB7F0029A + 23415.50ns INFO [00023417] * RD COMPARE * port=1 adr=02 act=1CCEA7E505FA6704DB exp=1CCEA7E505FA6704DB + 23415.50ns INFO [00023417] Port=0 RD @02 + 23416.50ns INFO [00023418] Port=0 RD @01 + 23416.50ns INFO [00023418] Port=1 RD @07 + 23417.50ns INFO [00023419] * RD COMPARE * port=0 adr=02 act=1CCEA7E505FA6704DB exp=1CCEA7E505FA6704DB + 23417.50ns INFO [00023419] Port=0 WR @07=87117F2F7D63B9F794 + 23417.50ns INFO [00023419] Port=1 RD @06 + 23418.50ns INFO [00023420] * RD COMPARE * port=0 adr=01 act=5B34BCE8236A254B52 exp=5B34BCE8236A254B52 + 23418.50ns INFO [00023420] * RD COMPARE * port=1 adr=07 act=21B87F115A3537CF61 exp=21B87F115A3537CF61 + 23418.50ns INFO [00023420] Port=0 RD @03 + 23418.50ns INFO [00023420] Port=1 RD @04 + 23419.50ns INFO [00023421] * RD COMPARE * port=1 adr=06 act=921B825018F3A34682 exp=921B825018F3A34682 + 23419.50ns INFO [00023421] Port=0 WR @04=46CFC621663EAC0ECE + 23420.50ns INFO [00023422] * RD COMPARE * port=0 adr=03 act=16D24598C850A1481B exp=16D24598C850A1481B + 23420.50ns INFO [00023422] * RD COMPARE * port=1 adr=04 act=66DD240A18B19A4685 exp=66DD240A18B19A4685 + 23420.50ns INFO [00023422] Port=1 RD @06 + 23421.50ns INFO [00023423] Port=0 RD @02 + 23422.50ns INFO [00023424] * RD COMPARE * port=1 adr=06 act=921B825018F3A34682 exp=921B825018F3A34682 + 23422.50ns INFO [00023424] Port=0 WR @07=C7E626B0C1FCE6FF25 + 23422.50ns INFO [00023424] Port=0 RD @05 + 23423.50ns INFO [00023425] * RD COMPARE * port=0 adr=02 act=1CCEA7E505FA6704DB exp=1CCEA7E505FA6704DB + 23424.50ns INFO [00023426] * RD COMPARE * port=0 adr=05 act=C25631823AB7F0029A exp=C25631823AB7F0029A + 23425.50ns INFO [00023427] Port=0 WR @06=7685A471D195A8B447 + 23426.50ns INFO [00023428] Port=0 WR @01=FD87EDD58BE5FEDF10 + 23427.50ns INFO [00023429] Port=0 WR @01=EDA99F438060CBAC2E + 23427.50ns INFO [00023429] Port=1 RD @05 + 23429.50ns INFO [00023431] * RD COMPARE * port=1 adr=05 act=C25631823AB7F0029A exp=C25631823AB7F0029A + 23429.50ns INFO [00023431] Port=0 RD @07 + 23430.50ns INFO [00023432] Port=0 WR @07=E782CA685780E995F1 + 23430.50ns INFO [00023432] Port=0 RD @05 + 23431.50ns INFO [00023433] * RD COMPARE * port=0 adr=07 act=C7E626B0C1FCE6FF25 exp=C7E626B0C1FCE6FF25 + 23431.50ns INFO [00023433] Port=0 WR @05=8A98F9BFA8AD2EEBE8 + 23431.50ns INFO [00023433] Port=0 RD @02 + 23432.50ns INFO [00023434] * RD COMPARE * port=0 adr=05 act=C25631823AB7F0029A exp=C25631823AB7F0029A + 23433.50ns INFO [00023435] * RD COMPARE * port=0 adr=02 act=1CCEA7E505FA6704DB exp=1CCEA7E505FA6704DB + 23433.50ns INFO [00023435] Port=0 WR @05=8CF526442EDA8AC1B1 + 23433.50ns INFO [00023435] Port=0 RD @02 + 23434.50ns INFO [00023436] Port=0 RD @00 + 23435.50ns INFO [00023437] * RD COMPARE * port=0 adr=02 act=1CCEA7E505FA6704DB exp=1CCEA7E505FA6704DB + 23435.50ns INFO [00023437] Port=0 RD @00 + 23435.50ns INFO [00023437] Port=1 RD @03 + 23436.50ns INFO [00023438] * RD COMPARE * port=0 adr=00 act=F753E9B4A975F0FE5A exp=F753E9B4A975F0FE5A + 23436.50ns INFO [00023438] Port=0 WR @06=0D73A40A6BE0859803 + 23436.50ns INFO [00023438] Port=1 RD @00 + 23437.50ns INFO [00023439] * RD COMPARE * port=0 adr=00 act=F753E9B4A975F0FE5A exp=F753E9B4A975F0FE5A + 23437.50ns INFO [00023439] * RD COMPARE * port=1 adr=03 act=16D24598C850A1481B exp=16D24598C850A1481B + 23438.50ns INFO [00023440] * RD COMPARE * port=1 adr=00 act=F753E9B4A975F0FE5A exp=F753E9B4A975F0FE5A + 23438.50ns INFO [00023440] Port=0 RD @03 + 23439.50ns INFO [00023441] Port=0 RD @04 + 23439.50ns INFO [00023441] Port=1 RD @01 + 23440.50ns INFO [00023442] * RD COMPARE * port=0 adr=03 act=16D24598C850A1481B exp=16D24598C850A1481B + 23440.50ns INFO [00023442] Port=1 RD @03 + 23441.50ns INFO [00023443] * RD COMPARE * port=0 adr=04 act=46CFC621663EAC0ECE exp=46CFC621663EAC0ECE + 23441.50ns INFO [00023443] * RD COMPARE * port=1 adr=01 act=EDA99F438060CBAC2E exp=EDA99F438060CBAC2E + 23441.50ns INFO [00023443] Port=0 WR @03=BED2A280E2591A9A5D + 23441.50ns INFO [00023443] Port=0 RD @00 + 23442.50ns INFO [00023444] * RD COMPARE * port=1 adr=03 act=16D24598C850A1481B exp=16D24598C850A1481B + 23442.50ns INFO [00023444] Port=0 RD @01 + 23443.50ns INFO [00023445] * RD COMPARE * port=0 adr=00 act=F753E9B4A975F0FE5A exp=F753E9B4A975F0FE5A + 23444.50ns INFO [00023446] * RD COMPARE * port=0 adr=01 act=EDA99F438060CBAC2E exp=EDA99F438060CBAC2E + 23446.50ns INFO [00023448] Port=0 WR @05=C29EE3B936AD61B3E5 + 23447.50ns INFO [00023449] Port=0 WR @02=716462B127DE8925C7 + 23447.50ns INFO [00023449] Port=0 RD @03 + 23448.50ns INFO [00023450] Port=0 RD @00 + 23449.50ns INFO [00023451] * RD COMPARE * port=0 adr=03 act=BED2A280E2591A9A5D exp=BED2A280E2591A9A5D + 23450.50ns INFO [00023452] * RD COMPARE * port=0 adr=00 act=F753E9B4A975F0FE5A exp=F753E9B4A975F0FE5A + 23450.50ns INFO [00023452] Port=0 WR @06=ABFFFDAE562156FF69 + 23450.50ns INFO [00023452] Port=1 RD @04 + 23451.50ns INFO [00023453] Port=1 RD @03 + 23452.50ns INFO [00023454] * RD COMPARE * port=1 adr=04 act=46CFC621663EAC0ECE exp=46CFC621663EAC0ECE + 23453.50ns INFO [00023455] * RD COMPARE * port=1 adr=03 act=BED2A280E2591A9A5D exp=BED2A280E2591A9A5D + 23453.50ns INFO [00023455] Port=0 RD @04 + 23453.50ns INFO [00023455] Port=1 RD @01 + 23455.50ns INFO [00023457] * RD COMPARE * port=0 adr=04 act=46CFC621663EAC0ECE exp=46CFC621663EAC0ECE + 23455.50ns INFO [00023457] * RD COMPARE * port=1 adr=01 act=EDA99F438060CBAC2E exp=EDA99F438060CBAC2E + 23455.50ns INFO [00023457] Port=0 WR @04=2B8A47B44A59C0C0D4 + 23456.50ns INFO [00023458] Port=1 RD @05 + 23457.50ns INFO [00023459] Port=0 WR @02=6B2D6910AB24C406DF + 23457.50ns INFO [00023459] Port=1 RD @00 + 23458.50ns INFO [00023460] * RD COMPARE * port=1 adr=05 act=C29EE3B936AD61B3E5 exp=C29EE3B936AD61B3E5 + 23459.50ns INFO [00023461] * RD COMPARE * port=1 adr=00 act=F753E9B4A975F0FE5A exp=F753E9B4A975F0FE5A + 23459.50ns INFO [00023461] Port=1 RD @06 + 23460.50ns INFO [00023462] Port=0 RD @01 + 23461.50ns INFO [00023463] * RD COMPARE * port=1 adr=06 act=ABFFFDAE562156FF69 exp=ABFFFDAE562156FF69 + 23461.50ns INFO [00023463] Port=0 WR @02=7C5534DF309F6AF671 + 23462.50ns INFO [00023464] * RD COMPARE * port=0 adr=01 act=EDA99F438060CBAC2E exp=EDA99F438060CBAC2E + 23462.50ns INFO [00023464] Port=0 WR @00=B429099D907338A181 + 23463.50ns INFO [00023465] Port=0 RD @00 + 23465.50ns INFO [00023467] * RD COMPARE * port=0 adr=00 act=B429099D907338A181 exp=B429099D907338A181 + 23465.50ns INFO [00023467] Port=0 WR @06=89C1CCC85A1194DCEB + 23465.50ns INFO [00023467] Port=0 RD @04 + 23466.50ns INFO [00023468] Port=0 RD @00 + 23467.50ns INFO [00023469] * RD COMPARE * port=0 adr=04 act=2B8A47B44A59C0C0D4 exp=2B8A47B44A59C0C0D4 + 23467.50ns INFO [00023469] Port=0 WR @07=9A5A2963DADFEA0C6D + 23468.50ns INFO [00023470] * RD COMPARE * port=0 adr=00 act=B429099D907338A181 exp=B429099D907338A181 + 23468.50ns INFO [00023470] Port=0 WR @05=EDD7E56E8982C1B0F6 + 23469.50ns INFO [00023471] Port=1 RD @02 + 23470.50ns INFO [00023472] Port=0 RD @02 + 23470.50ns INFO [00023472] Port=1 RD @06 + 23471.50ns INFO [00023473] * RD COMPARE * port=1 adr=02 act=7C5534DF309F6AF671 exp=7C5534DF309F6AF671 + 23471.50ns INFO [00023473] Port=0 WR @04=D32BE2AF0642F981B1 + 23472.50ns INFO [00023474] * RD COMPARE * port=0 adr=02 act=7C5534DF309F6AF671 exp=7C5534DF309F6AF671 + 23472.50ns INFO [00023474] * RD COMPARE * port=1 adr=06 act=89C1CCC85A1194DCEB exp=89C1CCC85A1194DCEB + 23474.50ns INFO [00023476] Port=0 RD @03 + 23475.50ns INFO [00023477] Port=0 WR @04=B9E32DC6A8F2641775 + 23475.50ns INFO [00023477] Port=1 RD @00 + 23476.50ns INFO [00023478] * RD COMPARE * port=0 adr=03 act=BED2A280E2591A9A5D exp=BED2A280E2591A9A5D + 23476.50ns INFO [00023478] Port=0 WR @04=22D6AEC8FCE07C50FF + 23477.50ns INFO [00023479] * RD COMPARE * port=1 adr=00 act=B429099D907338A181 exp=B429099D907338A181 + 23477.50ns INFO [00023479] Port=0 WR @03=AFE937F4DB3053A922 + 23478.50ns INFO [00023480] Port=1 RD @05 + 23480.50ns INFO [00023482] * RD COMPARE * port=1 adr=05 act=EDD7E56E8982C1B0F6 exp=EDD7E56E8982C1B0F6 + 23480.50ns INFO [00023482] Port=1 RD @02 + 23481.50ns INFO [00023483] Port=0 WR @02=18DD2F46ECEEBD2EFF + 23482.50ns INFO [00023484] * RD COMPARE * port=1 adr=02 act=7C5534DF309F6AF671 exp=7C5534DF309F6AF671 + 23482.50ns INFO [00023484] Port=0 WR @00=0138FE894B1C576E77 + 23482.50ns INFO [00023484] Port=1 RD @03 + 23483.50ns INFO [00023485] Port=0 RD @05 + 23484.50ns INFO [00023486] * RD COMPARE * port=1 adr=03 act=AFE937F4DB3053A922 exp=AFE937F4DB3053A922 + 23484.50ns INFO [00023486] Port=0 WR @02=BE81D489C1F7C79CCB + 23484.50ns INFO [00023486] Port=0 RD @00 + 23485.50ns INFO [00023487] * RD COMPARE * port=0 adr=05 act=EDD7E56E8982C1B0F6 exp=EDD7E56E8982C1B0F6 + 23485.50ns INFO [00023487] Port=0 RD @01 + 23486.50ns INFO [00023488] * RD COMPARE * port=0 adr=00 act=0138FE894B1C576E77 exp=0138FE894B1C576E77 + 23486.50ns INFO [00023488] Port=0 WR @01=5C0FB2905000712260 + 23486.50ns INFO [00023488] Port=0 RD @02 + 23487.50ns INFO [00023489] * RD COMPARE * port=0 adr=01 act=EDA99F438060CBAC2E exp=EDA99F438060CBAC2E + 23487.50ns INFO [00023489] Port=0 RD @06 + 23488.50ns INFO [00023490] * RD COMPARE * port=0 adr=02 act=BE81D489C1F7C79CCB exp=BE81D489C1F7C79CCB + 23488.50ns INFO [00023490] Port=0 RD @03 + 23488.50ns INFO [00023490] Port=1 RD @07 + 23489.50ns INFO [00023491] * RD COMPARE * port=0 adr=06 act=89C1CCC85A1194DCEB exp=89C1CCC85A1194DCEB + 23489.50ns INFO [00023491] Port=0 RD @04 + 23489.50ns INFO [00023491] Port=1 RD @06 + 23490.50ns INFO [00023492] * RD COMPARE * port=0 adr=03 act=AFE937F4DB3053A922 exp=AFE937F4DB3053A922 + 23490.50ns INFO [00023492] * RD COMPARE * port=1 adr=07 act=9A5A2963DADFEA0C6D exp=9A5A2963DADFEA0C6D + 23490.50ns INFO [00023492] Port=0 WR @06=D37B9CD1582B7A6A6D + 23491.50ns INFO [00023493] * RD COMPARE * port=0 adr=04 act=22D6AEC8FCE07C50FF exp=22D6AEC8FCE07C50FF + 23491.50ns INFO [00023493] * RD COMPARE * port=1 adr=06 act=89C1CCC85A1194DCEB exp=89C1CCC85A1194DCEB + 23492.50ns INFO [00023494] Port=0 WR @07=5A871CA5CF2B1AFD5A + 23492.50ns INFO [00023494] Port=1 RD @02 + 23493.50ns INFO [00023495] Port=0 WR @02=53B692726152F15553 + 23494.50ns INFO [00023496] * RD COMPARE * port=1 adr=02 act=BE81D489C1F7C79CCB exp=BE81D489C1F7C79CCB + 23495.50ns INFO [00023497] Port=0 WR @03=3C9D9592FBF0DBC6A0 + 23495.50ns INFO [00023497] Port=0 RD @01 + 23496.50ns INFO [00023498] Port=0 WR @06=AAA76233C36C639AE9 + 23497.50ns INFO [00023499] * RD COMPARE * port=0 adr=01 act=5C0FB2905000712260 exp=5C0FB2905000712260 + 23497.50ns INFO [00023499] Port=0 RD @03 + 23498.00ns INFO [00023500] [00023500] ...tick... + 23498.50ns INFO [00023500] Port=0 WR @06=00F8E54B2A6C1521EC + 23498.50ns INFO [00023500] Port=1 RD @05 + 23499.50ns INFO [00023501] * RD COMPARE * port=0 adr=03 act=3C9D9592FBF0DBC6A0 exp=3C9D9592FBF0DBC6A0 + 23499.50ns INFO [00023501] Port=1 RD @03 + 23500.50ns INFO [00023502] * RD COMPARE * port=1 adr=05 act=EDD7E56E8982C1B0F6 exp=EDD7E56E8982C1B0F6 + 23500.50ns INFO [00023502] Port=0 WR @02=4FC4B30D280363C2E1 + 23500.50ns INFO [00023502] Port=1 RD @07 + 23501.50ns INFO [00023503] * RD COMPARE * port=1 adr=03 act=3C9D9592FBF0DBC6A0 exp=3C9D9592FBF0DBC6A0 + 23501.50ns INFO [00023503] Port=0 RD @05 + 23501.50ns INFO [00023503] Port=1 RD @03 + 23502.50ns INFO [00023504] * RD COMPARE * port=1 adr=07 act=5A871CA5CF2B1AFD5A exp=5A871CA5CF2B1AFD5A + 23503.50ns INFO [00023505] * RD COMPARE * port=0 adr=05 act=EDD7E56E8982C1B0F6 exp=EDD7E56E8982C1B0F6 + 23503.50ns INFO [00023505] * RD COMPARE * port=1 adr=03 act=3C9D9592FBF0DBC6A0 exp=3C9D9592FBF0DBC6A0 + 23503.50ns INFO [00023505] Port=0 RD @07 + 23504.50ns INFO [00023506] Port=0 RD @07 + 23504.50ns INFO [00023506] Port=1 RD @06 + 23505.50ns INFO [00023507] * RD COMPARE * port=0 adr=07 act=5A871CA5CF2B1AFD5A exp=5A871CA5CF2B1AFD5A + 23505.50ns INFO [00023507] Port=0 WR @07=DC8F348FD681E1AB88 + 23506.50ns INFO [00023508] * RD COMPARE * port=0 adr=07 act=5A871CA5CF2B1AFD5A exp=5A871CA5CF2B1AFD5A + 23506.50ns INFO [00023508] * RD COMPARE * port=1 adr=06 act=00F8E54B2A6C1521EC exp=00F8E54B2A6C1521EC + 23506.50ns INFO [00023508] Port=0 WR @03=4C2A1D443CFAF9703F + 23506.50ns INFO [00023508] Port=0 RD @07 + 23506.50ns INFO [00023508] Port=1 RD @01 + 23507.50ns INFO [00023509] Port=1 RD @00 + 23508.50ns INFO [00023510] * RD COMPARE * port=0 adr=07 act=DC8F348FD681E1AB88 exp=DC8F348FD681E1AB88 + 23508.50ns INFO [00023510] * RD COMPARE * port=1 adr=01 act=5C0FB2905000712260 exp=5C0FB2905000712260 + 23508.50ns INFO [00023510] Port=0 WR @02=46175A4AA52D575655 + 23508.50ns INFO [00023510] Port=1 RD @00 + 23509.50ns INFO [00023511] * RD COMPARE * port=1 adr=00 act=0138FE894B1C576E77 exp=0138FE894B1C576E77 + 23509.50ns INFO [00023511] Port=1 RD @00 + 23510.50ns INFO [00023512] * RD COMPARE * port=1 adr=00 act=0138FE894B1C576E77 exp=0138FE894B1C576E77 + 23510.50ns INFO [00023512] Port=0 WR @07=4D6F5C5462945F8824 + 23510.50ns INFO [00023512] Port=0 RD @01 + 23511.50ns INFO [00023513] * RD COMPARE * port=1 adr=00 act=0138FE894B1C576E77 exp=0138FE894B1C576E77 + 23511.50ns INFO [00023513] Port=0 WR @07=7D4EF76879C8D72DB1 + 23512.50ns INFO [00023514] * RD COMPARE * port=0 adr=01 act=5C0FB2905000712260 exp=5C0FB2905000712260 + 23512.50ns INFO [00023514] Port=1 RD @05 + 23513.50ns INFO [00023515] Port=0 RD @01 + 23514.50ns INFO [00023516] * RD COMPARE * port=1 adr=05 act=EDD7E56E8982C1B0F6 exp=EDD7E56E8982C1B0F6 + 23514.50ns INFO [00023516] Port=0 WR @06=EA88E072710C738E86 + 23514.50ns INFO [00023516] Port=0 RD @04 + 23515.50ns INFO [00023517] * RD COMPARE * port=0 adr=01 act=5C0FB2905000712260 exp=5C0FB2905000712260 + 23516.50ns INFO [00023518] * RD COMPARE * port=0 adr=04 act=22D6AEC8FCE07C50FF exp=22D6AEC8FCE07C50FF + 23516.50ns INFO [00023518] Port=0 RD @02 + 23516.50ns INFO [00023518] Port=1 RD @05 + 23517.50ns INFO [00023519] Port=0 WR @06=24DE359C458C4EFE15 + 23517.50ns INFO [00023519] Port=0 RD @01 + 23518.50ns INFO [00023520] * RD COMPARE * port=0 adr=02 act=46175A4AA52D575655 exp=46175A4AA52D575655 + 23518.50ns INFO [00023520] * RD COMPARE * port=1 adr=05 act=EDD7E56E8982C1B0F6 exp=EDD7E56E8982C1B0F6 + 23518.50ns INFO [00023520] Port=0 WR @07=DBB2143D8B1FBB7B46 + 23518.50ns INFO [00023520] Port=0 RD @01 + 23519.50ns INFO [00023521] * RD COMPARE * port=0 adr=01 act=5C0FB2905000712260 exp=5C0FB2905000712260 + 23519.50ns INFO [00023521] Port=1 RD @00 + 23520.50ns INFO [00023522] * RD COMPARE * port=0 adr=01 act=5C0FB2905000712260 exp=5C0FB2905000712260 + 23520.50ns INFO [00023522] Port=0 WR @00=EFBF3E9303B80284E1 + 23521.50ns INFO [00023523] * RD COMPARE * port=1 adr=00 act=0138FE894B1C576E77 exp=0138FE894B1C576E77 + 23521.50ns INFO [00023523] Port=0 RD @00 + 23521.50ns INFO [00023523] Port=1 RD @00 + 23522.50ns INFO [00023524] Port=1 RD @06 + 23523.50ns INFO [00023525] * RD COMPARE * port=0 adr=00 act=EFBF3E9303B80284E1 exp=EFBF3E9303B80284E1 + 23523.50ns INFO [00023525] * RD COMPARE * port=1 adr=00 act=EFBF3E9303B80284E1 exp=EFBF3E9303B80284E1 + 23523.50ns INFO [00023525] Port=0 WR @07=D37E3AECBDE24CA032 + 23523.50ns INFO [00023525] Port=0 RD @02 + 23524.50ns INFO [00023526] * RD COMPARE * port=1 adr=06 act=24DE359C458C4EFE15 exp=24DE359C458C4EFE15 + 23524.50ns INFO [00023526] Port=0 WR @06=C88B0647E4E19BED1B + 23524.50ns INFO [00023526] Port=1 RD @00 + 23525.50ns INFO [00023527] * RD COMPARE * port=0 adr=02 act=46175A4AA52D575655 exp=46175A4AA52D575655 + 23525.50ns INFO [00023527] Port=1 RD @02 + 23526.50ns INFO [00023528] * RD COMPARE * port=1 adr=00 act=EFBF3E9303B80284E1 exp=EFBF3E9303B80284E1 + 23526.50ns INFO [00023528] Port=0 WR @05=352FAE97CEA2ECAC8C + 23526.50ns INFO [00023528] Port=0 RD @02 + 23527.50ns INFO [00023529] * RD COMPARE * port=1 adr=02 act=46175A4AA52D575655 exp=46175A4AA52D575655 + 23528.50ns INFO [00023530] * RD COMPARE * port=0 adr=02 act=46175A4AA52D575655 exp=46175A4AA52D575655 + 23528.50ns INFO [00023530] Port=0 WR @02=F4315253E5A0AA8335 + 23528.50ns INFO [00023530] Port=1 RD @07 + 23529.50ns INFO [00023531] Port=1 RD @05 + 23530.50ns INFO [00023532] * RD COMPARE * port=1 adr=07 act=D37E3AECBDE24CA032 exp=D37E3AECBDE24CA032 + 23530.50ns INFO [00023532] Port=0 WR @02=5EF2C00C7FAA47AEE2 + 23530.50ns INFO [00023532] Port=1 RD @06 + 23531.50ns INFO [00023533] * RD COMPARE * port=1 adr=05 act=352FAE97CEA2ECAC8C exp=352FAE97CEA2ECAC8C + 23532.50ns INFO [00023534] * RD COMPARE * port=1 adr=06 act=C88B0647E4E19BED1B exp=C88B0647E4E19BED1B + 23532.50ns INFO [00023534] Port=0 WR @00=FF3C2B039FB8E97FF6 + 23532.50ns INFO [00023534] Port=0 RD @02 + 23532.50ns INFO [00023534] Port=1 RD @05 + 23533.50ns INFO [00023535] Port=0 WR @04=193910EBA2BE342295 + 23533.50ns INFO [00023535] Port=0 RD @01 + 23533.50ns INFO [00023535] Port=1 RD @06 + 23534.50ns INFO [00023536] * RD COMPARE * port=0 adr=02 act=5EF2C00C7FAA47AEE2 exp=5EF2C00C7FAA47AEE2 + 23534.50ns INFO [00023536] * RD COMPARE * port=1 adr=05 act=352FAE97CEA2ECAC8C exp=352FAE97CEA2ECAC8C + 23534.50ns INFO [00023536] Port=1 RD @01 + 23535.50ns INFO [00023537] * RD COMPARE * port=0 adr=01 act=5C0FB2905000712260 exp=5C0FB2905000712260 + 23535.50ns INFO [00023537] * RD COMPARE * port=1 adr=06 act=C88B0647E4E19BED1B exp=C88B0647E4E19BED1B + 23535.50ns INFO [00023537] Port=0 WR @01=99FF7AE9CD7449546F + 23536.50ns INFO [00023538] * RD COMPARE * port=1 adr=01 act=5C0FB2905000712260 exp=5C0FB2905000712260 + 23536.50ns INFO [00023538] Port=1 RD @03 + 23537.50ns INFO [00023539] Port=0 WR @06=57314B5632834BCA43 + 23538.50ns INFO [00023540] * RD COMPARE * port=1 adr=03 act=4C2A1D443CFAF9703F exp=4C2A1D443CFAF9703F + 23538.50ns INFO [00023540] Port=0 WR @05=987B4E6989B413EBAF + 23539.50ns INFO [00023541] Port=0 WR @02=7281195B31C4A6F5E4 + 23539.50ns INFO [00023541] Port=0 RD @03 + 23539.50ns INFO [00023541] Port=1 RD @00 + 23540.50ns INFO [00023542] Port=1 RD @04 + 23541.50ns INFO [00023543] * RD COMPARE * port=0 adr=03 act=4C2A1D443CFAF9703F exp=4C2A1D443CFAF9703F + 23541.50ns INFO [00023543] * RD COMPARE * port=1 adr=00 act=FF3C2B039FB8E97FF6 exp=FF3C2B039FB8E97FF6 + 23541.50ns INFO [00023543] Port=0 WR @04=BF153A608D7FA66C66 + 23542.50ns INFO [00023544] * RD COMPARE * port=1 adr=04 act=193910EBA2BE342295 exp=193910EBA2BE342295 + 23542.50ns INFO [00023544] Port=0 WR @01=48ABF81B3442339C3C + 23543.50ns INFO [00023545] Port=0 WR @05=74AACC00D35C1D2226 + 23544.50ns INFO [00023546] Port=0 WR @07=E1BFAC6E1BB30C1F58 + 23546.50ns INFO [00023548] Port=1 RD @03 + 23547.50ns INFO [00023549] Port=0 WR @07=25D6693CBC82D3A015 + 23547.50ns INFO [00023549] Port=0 RD @05 + 23547.50ns INFO [00023549] Port=1 RD @03 + 23548.50ns INFO [00023550] * RD COMPARE * port=1 adr=03 act=4C2A1D443CFAF9703F exp=4C2A1D443CFAF9703F + 23548.50ns INFO [00023550] Port=0 WR @04=E21A8B6EDD55EEED00 + 23548.50ns INFO [00023550] Port=0 RD @02 + 23548.50ns INFO [00023550] Port=1 RD @01 + 23549.50ns INFO [00023551] * RD COMPARE * port=0 adr=05 act=74AACC00D35C1D2226 exp=74AACC00D35C1D2226 + 23549.50ns INFO [00023551] * RD COMPARE * port=1 adr=03 act=4C2A1D443CFAF9703F exp=4C2A1D443CFAF9703F + 23549.50ns INFO [00023551] Port=0 RD @05 + 23550.50ns INFO [00023552] * RD COMPARE * port=0 adr=02 act=7281195B31C4A6F5E4 exp=7281195B31C4A6F5E4 + 23550.50ns INFO [00023552] * RD COMPARE * port=1 adr=01 act=48ABF81B3442339C3C exp=48ABF81B3442339C3C + 23550.50ns INFO [00023552] Port=1 RD @03 + 23551.50ns INFO [00023553] * RD COMPARE * port=0 adr=05 act=74AACC00D35C1D2226 exp=74AACC00D35C1D2226 + 23551.50ns INFO [00023553] Port=0 RD @01 + 23552.50ns INFO [00023554] * RD COMPARE * port=1 adr=03 act=4C2A1D443CFAF9703F exp=4C2A1D443CFAF9703F + 23553.50ns INFO [00023555] * RD COMPARE * port=0 adr=01 act=48ABF81B3442339C3C exp=48ABF81B3442339C3C + 23554.50ns INFO [00023556] Port=0 WR @00=99D921E016472B6ED7 + 23555.50ns INFO [00023557] Port=0 RD @05 + 23555.50ns INFO [00023557] Port=1 RD @07 + 23556.50ns INFO [00023558] Port=0 WR @05=1C9B624952CE0AFDEC + 23557.50ns INFO [00023559] * RD COMPARE * port=0 adr=05 act=74AACC00D35C1D2226 exp=74AACC00D35C1D2226 + 23557.50ns INFO [00023559] * RD COMPARE * port=1 adr=07 act=25D6693CBC82D3A015 exp=25D6693CBC82D3A015 + 23557.50ns INFO [00023559] Port=0 RD @00 + 23557.50ns INFO [00023559] Port=1 RD @04 + 23559.50ns INFO [00023561] * RD COMPARE * port=0 adr=00 act=99D921E016472B6ED7 exp=99D921E016472B6ED7 + 23559.50ns INFO [00023561] * RD COMPARE * port=1 adr=04 act=E21A8B6EDD55EEED00 exp=E21A8B6EDD55EEED00 + 23559.50ns INFO [00023561] Port=0 WR @03=94E99508A5DD29303B + 23559.50ns INFO [00023561] Port=1 RD @02 + 23560.50ns INFO [00023562] Port=0 WR @02=8856435E3543D88589 + 23561.50ns INFO [00023563] * RD COMPARE * port=1 adr=02 act=7281195B31C4A6F5E4 exp=7281195B31C4A6F5E4 + 23562.50ns INFO [00023564] Port=0 RD @02 + 23563.50ns INFO [00023565] Port=0 RD @06 + 23564.50ns INFO [00023566] * RD COMPARE * port=0 adr=02 act=8856435E3543D88589 exp=8856435E3543D88589 + 23564.50ns INFO [00023566] Port=0 WR @00=68780EB624119B4BAF + 23564.50ns INFO [00023566] Port=1 RD @02 + 23565.50ns INFO [00023567] * RD COMPARE * port=0 adr=06 act=57314B5632834BCA43 exp=57314B5632834BCA43 + 23565.50ns INFO [00023567] Port=0 RD @04 + 23566.50ns INFO [00023568] * RD COMPARE * port=1 adr=02 act=8856435E3543D88589 exp=8856435E3543D88589 + 23566.50ns INFO [00023568] Port=0 WR @05=9CF8921500D29FF7D0 + 23566.50ns INFO [00023568] Port=1 RD @03 + 23567.50ns INFO [00023569] * RD COMPARE * port=0 adr=04 act=E21A8B6EDD55EEED00 exp=E21A8B6EDD55EEED00 + 23567.50ns INFO [00023569] Port=0 WR @02=0BA1D6B0D1C429E16A + 23567.50ns INFO [00023569] Port=0 RD @06 + 23568.50ns INFO [00023570] * RD COMPARE * port=1 adr=03 act=94E99508A5DD29303B exp=94E99508A5DD29303B + 23568.50ns INFO [00023570] Port=0 RD @05 + 23568.50ns INFO [00023570] Port=1 RD @03 + 23569.50ns INFO [00023571] * RD COMPARE * port=0 adr=06 act=57314B5632834BCA43 exp=57314B5632834BCA43 + 23569.50ns INFO [00023571] Port=1 RD @07 + 23570.50ns INFO [00023572] * RD COMPARE * port=0 adr=05 act=9CF8921500D29FF7D0 exp=9CF8921500D29FF7D0 + 23570.50ns INFO [00023572] * RD COMPARE * port=1 adr=03 act=94E99508A5DD29303B exp=94E99508A5DD29303B + 23570.50ns INFO [00023572] Port=1 RD @02 + 23571.50ns INFO [00023573] * RD COMPARE * port=1 adr=07 act=25D6693CBC82D3A015 exp=25D6693CBC82D3A015 + 23571.50ns INFO [00023573] Port=1 RD @06 + 23572.50ns INFO [00023574] * RD COMPARE * port=1 adr=02 act=0BA1D6B0D1C429E16A exp=0BA1D6B0D1C429E16A + 23572.50ns INFO [00023574] Port=0 WR @05=6EEFDB72A5894A20D1 + 23573.50ns INFO [00023575] * RD COMPARE * port=1 adr=06 act=57314B5632834BCA43 exp=57314B5632834BCA43 + 23573.50ns INFO [00023575] Port=0 WR @07=D4EDFBDF3CA6E365CE + 23573.50ns INFO [00023575] Port=0 RD @06 + 23575.50ns INFO [00023577] * RD COMPARE * port=0 adr=06 act=57314B5632834BCA43 exp=57314B5632834BCA43 + 23575.50ns INFO [00023577] Port=0 WR @02=C2DE09EB9A2646F2EF + 23575.50ns INFO [00023577] Port=1 RD @01 + 23576.50ns INFO [00023578] Port=0 RD @02 + 23577.50ns INFO [00023579] * RD COMPARE * port=1 adr=01 act=48ABF81B3442339C3C exp=48ABF81B3442339C3C + 23577.50ns INFO [00023579] Port=0 RD @01 + 23578.50ns INFO [00023580] * RD COMPARE * port=0 adr=02 act=C2DE09EB9A2646F2EF exp=C2DE09EB9A2646F2EF + 23579.50ns INFO [00023581] * RD COMPARE * port=0 adr=01 act=48ABF81B3442339C3C exp=48ABF81B3442339C3C + 23580.50ns INFO [00023582] Port=0 WR @00=46E759660DCE53CE8A + 23582.50ns INFO [00023584] Port=0 WR @02=014C004B3F9BC59977 + 23583.50ns INFO [00023585] Port=0 WR @06=4AE7CBDF3FE6E6EDCD + 23583.50ns INFO [00023585] Port=0 RD @07 + 23584.50ns INFO [00023586] Port=0 WR @05=0B5695210F5D026628 + 23584.50ns INFO [00023586] Port=0 RD @02 + 23585.50ns INFO [00023587] * RD COMPARE * port=0 adr=07 act=D4EDFBDF3CA6E365CE exp=D4EDFBDF3CA6E365CE + 23585.50ns INFO [00023587] Port=0 RD @07 + 23586.50ns INFO [00023588] * RD COMPARE * port=0 adr=02 act=014C004B3F9BC59977 exp=014C004B3F9BC59977 + 23586.50ns INFO [00023588] Port=0 WR @05=52954B4C90EEC6F8DA + 23586.50ns INFO [00023588] Port=1 RD @03 + 23587.50ns INFO [00023589] * RD COMPARE * port=0 adr=07 act=D4EDFBDF3CA6E365CE exp=D4EDFBDF3CA6E365CE + 23587.50ns INFO [00023589] Port=0 RD @05 + 23588.50ns INFO [00023590] * RD COMPARE * port=1 adr=03 act=94E99508A5DD29303B exp=94E99508A5DD29303B + 23588.50ns INFO [00023590] Port=0 RD @04 + 23589.50ns INFO [00023591] * RD COMPARE * port=0 adr=05 act=52954B4C90EEC6F8DA exp=52954B4C90EEC6F8DA + 23589.50ns INFO [00023591] Port=0 RD @02 + 23589.50ns INFO [00023591] Port=1 RD @03 + 23590.50ns INFO [00023592] * RD COMPARE * port=0 adr=04 act=E21A8B6EDD55EEED00 exp=E21A8B6EDD55EEED00 + 23591.50ns INFO [00023593] * RD COMPARE * port=0 adr=02 act=014C004B3F9BC59977 exp=014C004B3F9BC59977 + 23591.50ns INFO [00023593] * RD COMPARE * port=1 adr=03 act=94E99508A5DD29303B exp=94E99508A5DD29303B + 23591.50ns INFO [00023593] Port=0 RD @01 + 23591.50ns INFO [00023593] Port=1 RD @01 + 23592.50ns INFO [00023594] Port=1 RD @00 + 23593.50ns INFO [00023595] * RD COMPARE * port=0 adr=01 act=48ABF81B3442339C3C exp=48ABF81B3442339C3C + 23593.50ns INFO [00023595] * RD COMPARE * port=1 adr=01 act=48ABF81B3442339C3C exp=48ABF81B3442339C3C + 23593.50ns INFO [00023595] Port=1 RD @01 + 23594.50ns INFO [00023596] * RD COMPARE * port=1 adr=00 act=46E759660DCE53CE8A exp=46E759660DCE53CE8A + 23594.50ns INFO [00023596] Port=1 RD @06 + 23595.50ns INFO [00023597] * RD COMPARE * port=1 adr=01 act=48ABF81B3442339C3C exp=48ABF81B3442339C3C + 23595.50ns INFO [00023597] Port=0 RD @07 + 23596.50ns INFO [00023598] * RD COMPARE * port=1 adr=06 act=4AE7CBDF3FE6E6EDCD exp=4AE7CBDF3FE6E6EDCD + 23596.50ns INFO [00023598] Port=0 RD @03 + 23597.50ns INFO [00023599] * RD COMPARE * port=0 adr=07 act=D4EDFBDF3CA6E365CE exp=D4EDFBDF3CA6E365CE + 23597.50ns INFO [00023599] Port=0 WR @06=7620D1163DB62A4471 + 23597.50ns INFO [00023599] Port=0 RD @03 + 23598.00ns INFO [00023600] [00023600] ...tick... + 23598.50ns INFO [00023600] * RD COMPARE * port=0 adr=03 act=94E99508A5DD29303B exp=94E99508A5DD29303B + 23598.50ns INFO [00023600] Port=0 RD @05 + 23599.50ns INFO [00023601] * RD COMPARE * port=0 adr=03 act=94E99508A5DD29303B exp=94E99508A5DD29303B + 23599.50ns INFO [00023601] Port=0 WR @00=0FED8FF5B5106E6106 + 23600.50ns INFO [00023602] * RD COMPARE * port=0 adr=05 act=52954B4C90EEC6F8DA exp=52954B4C90EEC6F8DA + 23600.50ns INFO [00023602] Port=0 RD @01 + 23600.50ns INFO [00023602] Port=1 RD @04 + 23601.50ns INFO [00023603] Port=0 WR @00=926F8B20E7BED22926 + 23602.50ns INFO [00023604] * RD COMPARE * port=0 adr=01 act=48ABF81B3442339C3C exp=48ABF81B3442339C3C + 23602.50ns INFO [00023604] * RD COMPARE * port=1 adr=04 act=E21A8B6EDD55EEED00 exp=E21A8B6EDD55EEED00 + 23602.50ns INFO [00023604] Port=0 WR @05=4B37499A8324AF5A9C + 23603.50ns INFO [00023605] Port=0 RD @02 + 23603.50ns INFO [00023605] Port=1 RD @03 + 23604.50ns INFO [00023606] Port=1 RD @03 + 23605.50ns INFO [00023607] * RD COMPARE * port=0 adr=02 act=014C004B3F9BC59977 exp=014C004B3F9BC59977 + 23605.50ns INFO [00023607] * RD COMPARE * port=1 adr=03 act=94E99508A5DD29303B exp=94E99508A5DD29303B + 23606.50ns INFO [00023608] * RD COMPARE * port=1 adr=03 act=94E99508A5DD29303B exp=94E99508A5DD29303B + 23607.50ns INFO [00023609] Port=1 RD @03 + 23609.50ns INFO [00023611] * RD COMPARE * port=1 adr=03 act=94E99508A5DD29303B exp=94E99508A5DD29303B + 23610.50ns INFO [00023612] Port=0 WR @03=CCBD55D3BE8098CD8A + 23610.50ns INFO [00023612] Port=0 RD @02 + 23611.50ns INFO [00023613] Port=0 WR @01=EF9E3A5C7D20528D39 + 23612.50ns INFO [00023614] * RD COMPARE * port=0 adr=02 act=014C004B3F9BC59977 exp=014C004B3F9BC59977 + 23612.50ns INFO [00023614] Port=1 RD @02 + 23613.50ns INFO [00023615] Port=0 WR @06=E15B8923A8D58389B4 + 23613.50ns INFO [00023615] Port=0 RD @02 + 23614.50ns INFO [00023616] * RD COMPARE * port=1 adr=02 act=014C004B3F9BC59977 exp=014C004B3F9BC59977 + 23614.50ns INFO [00023616] Port=0 WR @06=97D6BFDD13D66D6DA0 + 23614.50ns INFO [00023616] Port=1 RD @07 + 23615.50ns INFO [00023617] * RD COMPARE * port=0 adr=02 act=014C004B3F9BC59977 exp=014C004B3F9BC59977 + 23615.50ns INFO [00023617] Port=0 WR @01=436C2F7D5619C1876D + 23616.50ns INFO [00023618] * RD COMPARE * port=1 adr=07 act=D4EDFBDF3CA6E365CE exp=D4EDFBDF3CA6E365CE + 23618.50ns INFO [00023620] Port=0 WR @06=6CB5CD956D9F98A88A + 23618.50ns INFO [00023620] Port=0 RD @01 + 23618.50ns INFO [00023620] Port=1 RD @07 + 23619.50ns INFO [00023621] Port=0 RD @04 + 23620.50ns INFO [00023622] * RD COMPARE * port=0 adr=01 act=436C2F7D5619C1876D exp=436C2F7D5619C1876D + 23620.50ns INFO [00023622] * RD COMPARE * port=1 adr=07 act=D4EDFBDF3CA6E365CE exp=D4EDFBDF3CA6E365CE + 23620.50ns INFO [00023622] Port=0 WR @06=F0F4F1F66447BEFE6C + 23620.50ns INFO [00023622] Port=0 RD @01 + 23621.50ns INFO [00023623] * RD COMPARE * port=0 adr=04 act=E21A8B6EDD55EEED00 exp=E21A8B6EDD55EEED00 + 23621.50ns INFO [00023623] Port=0 WR @07=3E0A823E6074F3CA6C + 23621.50ns INFO [00023623] Port=0 RD @04 + 23622.50ns INFO [00023624] * RD COMPARE * port=0 adr=01 act=436C2F7D5619C1876D exp=436C2F7D5619C1876D + 23622.50ns INFO [00023624] Port=0 WR @01=1F4AEBAD3D71A00F57 + 23622.50ns INFO [00023624] Port=1 RD @06 + 23623.50ns INFO [00023625] * RD COMPARE * port=0 adr=04 act=E21A8B6EDD55EEED00 exp=E21A8B6EDD55EEED00 + 23624.50ns INFO [00023626] * RD COMPARE * port=1 adr=06 act=F0F4F1F66447BEFE6C exp=F0F4F1F66447BEFE6C + 23624.50ns INFO [00023626] Port=0 WR @05=5C4BF0909B37A77FBC + 23624.50ns INFO [00023626] Port=0 RD @00 + 23626.50ns INFO [00023628] * RD COMPARE * port=0 adr=00 act=926F8B20E7BED22926 exp=926F8B20E7BED22926 + 23626.50ns INFO [00023628] Port=0 WR @04=205203DFB0E8AEDBE9 + 23627.50ns INFO [00023629] Port=0 WR @00=7CD0EF6D1ADD6D50D1 + 23627.50ns INFO [00023629] Port=1 RD @02 + 23629.50ns INFO [00023631] * RD COMPARE * port=1 adr=02 act=014C004B3F9BC59977 exp=014C004B3F9BC59977 + 23629.50ns INFO [00023631] Port=0 RD @04 + 23629.50ns INFO [00023631] Port=1 RD @02 + 23630.50ns INFO [00023632] Port=0 RD @04 + 23630.50ns INFO [00023632] Port=1 RD @03 + 23631.50ns INFO [00023633] * RD COMPARE * port=0 adr=04 act=205203DFB0E8AEDBE9 exp=205203DFB0E8AEDBE9 + 23631.50ns INFO [00023633] * RD COMPARE * port=1 adr=02 act=014C004B3F9BC59977 exp=014C004B3F9BC59977 + 23631.50ns INFO [00023633] Port=0 RD @02 + 23631.50ns INFO [00023633] Port=1 RD @03 + 23632.50ns INFO [00023634] * RD COMPARE * port=0 adr=04 act=205203DFB0E8AEDBE9 exp=205203DFB0E8AEDBE9 + 23632.50ns INFO [00023634] * RD COMPARE * port=1 adr=03 act=CCBD55D3BE8098CD8A exp=CCBD55D3BE8098CD8A + 23632.50ns INFO [00023634] Port=1 RD @06 + 23633.50ns INFO [00023635] * RD COMPARE * port=0 adr=02 act=014C004B3F9BC59977 exp=014C004B3F9BC59977 + 23633.50ns INFO [00023635] * RD COMPARE * port=1 adr=03 act=CCBD55D3BE8098CD8A exp=CCBD55D3BE8098CD8A + 23634.50ns INFO [00023636] * RD COMPARE * port=1 adr=06 act=F0F4F1F66447BEFE6C exp=F0F4F1F66447BEFE6C + 23634.50ns INFO [00023636] Port=0 WR @07=58438DC44F2B5019D4 + 23635.50ns INFO [00023637] Port=0 WR @07=7F8D70B600896478F0 + 23635.50ns INFO [00023637] Port=1 RD @00 + 23636.50ns INFO [00023638] Port=0 RD @04 + 23636.50ns INFO [00023638] Port=1 RD @05 + 23637.50ns INFO [00023639] * RD COMPARE * port=1 adr=00 act=7CD0EF6D1ADD6D50D1 exp=7CD0EF6D1ADD6D50D1 + 23637.50ns INFO [00023639] Port=0 WR @04=CC78DDF9F065B774A2 + 23637.50ns INFO [00023639] Port=1 RD @00 + 23638.50ns INFO [00023640] * RD COMPARE * port=0 adr=04 act=205203DFB0E8AEDBE9 exp=205203DFB0E8AEDBE9 + 23638.50ns INFO [00023640] * RD COMPARE * port=1 adr=05 act=5C4BF0909B37A77FBC exp=5C4BF0909B37A77FBC + 23639.50ns INFO [00023641] * RD COMPARE * port=1 adr=00 act=7CD0EF6D1ADD6D50D1 exp=7CD0EF6D1ADD6D50D1 + 23639.50ns INFO [00023641] Port=0 WR @07=D3BADDFE5AC4063B28 + 23639.50ns INFO [00023641] Port=0 RD @06 + 23639.50ns INFO [00023641] Port=1 RD @03 + 23640.50ns INFO [00023642] Port=0 RD @04 + 23640.50ns INFO [00023642] Port=1 RD @01 + 23641.50ns INFO [00023643] * RD COMPARE * port=0 adr=06 act=F0F4F1F66447BEFE6C exp=F0F4F1F66447BEFE6C + 23641.50ns INFO [00023643] * RD COMPARE * port=1 adr=03 act=CCBD55D3BE8098CD8A exp=CCBD55D3BE8098CD8A + 23642.50ns INFO [00023644] * RD COMPARE * port=0 adr=04 act=CC78DDF9F065B774A2 exp=CC78DDF9F065B774A2 + 23642.50ns INFO [00023644] * RD COMPARE * port=1 adr=01 act=1F4AEBAD3D71A00F57 exp=1F4AEBAD3D71A00F57 + 23642.50ns INFO [00023644] Port=0 WR @00=33701F015571575EE6 + 23643.50ns INFO [00023645] Port=0 RD @07 + 23643.50ns INFO [00023645] Port=1 RD @00 + 23644.50ns INFO [00023646] Port=0 WR @01=CED1E9A0476D03A6F3 + 23644.50ns INFO [00023646] Port=0 RD @00 + 23645.50ns INFO [00023647] * RD COMPARE * port=0 adr=07 act=D3BADDFE5AC4063B28 exp=D3BADDFE5AC4063B28 + 23645.50ns INFO [00023647] * RD COMPARE * port=1 adr=00 act=33701F015571575EE6 exp=33701F015571575EE6 + 23645.50ns INFO [00023647] Port=1 RD @01 + 23646.50ns INFO [00023648] * RD COMPARE * port=0 adr=00 act=33701F015571575EE6 exp=33701F015571575EE6 + 23646.50ns INFO [00023648] Port=1 RD @01 + 23647.50ns INFO [00023649] * RD COMPARE * port=1 adr=01 act=CED1E9A0476D03A6F3 exp=CED1E9A0476D03A6F3 + 23647.50ns INFO [00023649] Port=0 RD @05 + 23647.50ns INFO [00023649] Port=1 RD @00 + 23648.50ns INFO [00023650] * RD COMPARE * port=1 adr=01 act=CED1E9A0476D03A6F3 exp=CED1E9A0476D03A6F3 + 23648.50ns INFO [00023650] Port=0 RD @07 + 23648.50ns INFO [00023650] Port=1 RD @03 + 23649.50ns INFO [00023651] * RD COMPARE * port=0 adr=05 act=5C4BF0909B37A77FBC exp=5C4BF0909B37A77FBC + 23649.50ns INFO [00023651] * RD COMPARE * port=1 adr=00 act=33701F015571575EE6 exp=33701F015571575EE6 + 23649.50ns INFO [00023651] Port=0 RD @07 + 23649.50ns INFO [00023651] Port=1 RD @05 + 23650.50ns INFO [00023652] * RD COMPARE * port=0 adr=07 act=D3BADDFE5AC4063B28 exp=D3BADDFE5AC4063B28 + 23650.50ns INFO [00023652] * RD COMPARE * port=1 adr=03 act=CCBD55D3BE8098CD8A exp=CCBD55D3BE8098CD8A + 23650.50ns INFO [00023652] Port=0 RD @01 + 23650.50ns INFO [00023652] Port=1 RD @01 + 23651.50ns INFO [00023653] * RD COMPARE * port=0 adr=07 act=D3BADDFE5AC4063B28 exp=D3BADDFE5AC4063B28 + 23651.50ns INFO [00023653] * RD COMPARE * port=1 adr=05 act=5C4BF0909B37A77FBC exp=5C4BF0909B37A77FBC + 23651.50ns INFO [00023653] Port=0 RD @04 + 23651.50ns INFO [00023653] Port=1 RD @03 + 23652.50ns INFO [00023654] * RD COMPARE * port=0 adr=01 act=CED1E9A0476D03A6F3 exp=CED1E9A0476D03A6F3 + 23652.50ns INFO [00023654] * RD COMPARE * port=1 adr=01 act=CED1E9A0476D03A6F3 exp=CED1E9A0476D03A6F3 + 23652.50ns INFO [00023654] Port=1 RD @02 + 23653.50ns INFO [00023655] * RD COMPARE * port=0 adr=04 act=CC78DDF9F065B774A2 exp=CC78DDF9F065B774A2 + 23653.50ns INFO [00023655] * RD COMPARE * port=1 adr=03 act=CCBD55D3BE8098CD8A exp=CCBD55D3BE8098CD8A + 23653.50ns INFO [00023655] Port=0 WR @03=9A067CE8F914D14894 + 23653.50ns INFO [00023655] Port=0 RD @04 + 23654.50ns INFO [00023656] * RD COMPARE * port=1 adr=02 act=014C004B3F9BC59977 exp=014C004B3F9BC59977 + 23654.50ns INFO [00023656] Port=0 WR @02=EE99D9D6E9996E4829 + 23654.50ns INFO [00023656] Port=1 RD @00 + 23655.50ns INFO [00023657] * RD COMPARE * port=0 adr=04 act=CC78DDF9F065B774A2 exp=CC78DDF9F065B774A2 + 23655.50ns INFO [00023657] Port=0 WR @07=AFF2DAE56FC200E0DA + 23655.50ns INFO [00023657] Port=0 RD @03 + 23655.50ns INFO [00023657] Port=1 RD @01 + 23656.50ns INFO [00023658] * RD COMPARE * port=1 adr=00 act=33701F015571575EE6 exp=33701F015571575EE6 + 23656.50ns INFO [00023658] Port=0 WR @01=58E584C586AE82C36D + 23657.50ns INFO [00023659] * RD COMPARE * port=0 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23657.50ns INFO [00023659] * RD COMPARE * port=1 adr=01 act=CED1E9A0476D03A6F3 exp=CED1E9A0476D03A6F3 + 23657.50ns INFO [00023659] Port=0 RD @02 + 23659.50ns INFO [00023661] * RD COMPARE * port=0 adr=02 act=EE99D9D6E9996E4829 exp=EE99D9D6E9996E4829 + 23659.50ns INFO [00023661] Port=0 RD @03 + 23660.50ns INFO [00023662] Port=0 WR @02=6ED7AF4741343B0DE1 + 23660.50ns INFO [00023662] Port=0 RD @06 + 23660.50ns INFO [00023662] Port=1 RD @07 + 23661.50ns INFO [00023663] * RD COMPARE * port=0 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23661.50ns INFO [00023663] Port=0 WR @00=19369C889E1970B5EE + 23662.50ns INFO [00023664] * RD COMPARE * port=0 adr=06 act=F0F4F1F66447BEFE6C exp=F0F4F1F66447BEFE6C + 23662.50ns INFO [00023664] * RD COMPARE * port=1 adr=07 act=AFF2DAE56FC200E0DA exp=AFF2DAE56FC200E0DA + 23664.50ns INFO [00023666] Port=0 WR @04=BA39349F5A110E34C8 + 23665.50ns INFO [00023667] Port=0 WR @02=63886954D8065FC487 + 23666.50ns INFO [00023668] Port=1 RD @02 + 23668.50ns INFO [00023670] * RD COMPARE * port=1 adr=02 act=63886954D8065FC487 exp=63886954D8065FC487 + 23668.50ns INFO [00023670] Port=0 WR @02=3F0DA690B6EFDC4915 + 23670.50ns INFO [00023672] Port=0 WR @00=F800C485AC69A5A7A1 + 23673.50ns INFO [00023675] Port=0 WR @06=9466A305BC212566AF + 23673.50ns INFO [00023675] Port=1 RD @07 + 23674.50ns INFO [00023676] Port=0 WR @06=3E2289B27B8D1E01D1 + 23674.50ns INFO [00023676] Port=0 RD @00 + 23674.50ns INFO [00023676] Port=1 RD @02 + 23675.50ns INFO [00023677] * RD COMPARE * port=1 adr=07 act=AFF2DAE56FC200E0DA exp=AFF2DAE56FC200E0DA + 23675.50ns INFO [00023677] Port=0 WR @07=F578C4503905A03284 + 23675.50ns INFO [00023677] Port=0 RD @01 + 23676.50ns INFO [00023678] * RD COMPARE * port=0 adr=00 act=F800C485AC69A5A7A1 exp=F800C485AC69A5A7A1 + 23676.50ns INFO [00023678] * RD COMPARE * port=1 adr=02 act=3F0DA690B6EFDC4915 exp=3F0DA690B6EFDC4915 + 23676.50ns INFO [00023678] Port=1 RD @01 + 23677.50ns INFO [00023679] * RD COMPARE * port=0 adr=01 act=58E584C586AE82C36D exp=58E584C586AE82C36D + 23677.50ns INFO [00023679] Port=0 WR @01=EF696D0C801FE87B8D + 23677.50ns INFO [00023679] Port=0 RD @05 + 23678.50ns INFO [00023680] * RD COMPARE * port=1 adr=01 act=58E584C586AE82C36D exp=58E584C586AE82C36D + 23678.50ns INFO [00023680] Port=0 RD @01 + 23679.50ns INFO [00023681] * RD COMPARE * port=0 adr=05 act=5C4BF0909B37A77FBC exp=5C4BF0909B37A77FBC + 23680.50ns INFO [00023682] * RD COMPARE * port=0 adr=01 act=EF696D0C801FE87B8D exp=EF696D0C801FE87B8D + 23680.50ns INFO [00023682] Port=1 RD @04 + 23681.50ns INFO [00023683] Port=0 WR @04=3B0D882290089EBFA0 + 23681.50ns INFO [00023683] Port=0 RD @06 + 23681.50ns INFO [00023683] Port=1 RD @03 + 23682.50ns INFO [00023684] * RD COMPARE * port=1 adr=04 act=BA39349F5A110E34C8 exp=BA39349F5A110E34C8 + 23682.50ns INFO [00023684] Port=0 WR @06=8E0098C2D53F023D29 + 23682.50ns INFO [00023684] Port=1 RD @01 + 23683.50ns INFO [00023685] * RD COMPARE * port=0 adr=06 act=3E2289B27B8D1E01D1 exp=3E2289B27B8D1E01D1 + 23683.50ns INFO [00023685] * RD COMPARE * port=1 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23683.50ns INFO [00023685] Port=1 RD @00 + 23684.50ns INFO [00023686] * RD COMPARE * port=1 adr=01 act=EF696D0C801FE87B8D exp=EF696D0C801FE87B8D + 23685.50ns INFO [00023687] * RD COMPARE * port=1 adr=00 act=F800C485AC69A5A7A1 exp=F800C485AC69A5A7A1 + 23685.50ns INFO [00023687] Port=1 RD @03 + 23686.50ns INFO [00023688] Port=0 WR @01=20DFD8289990F5FFB7 + 23687.50ns INFO [00023689] * RD COMPARE * port=1 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23688.50ns INFO [00023690] Port=0 WR @01=B965347D8017E214F6 + 23689.50ns INFO [00023691] Port=1 RD @01 + 23690.50ns INFO [00023692] Port=0 RD @02 + 23691.50ns INFO [00023693] * RD COMPARE * port=1 adr=01 act=B965347D8017E214F6 exp=B965347D8017E214F6 + 23691.50ns INFO [00023693] Port=0 WR @05=42201DAD3C0A777998 + 23691.50ns INFO [00023693] Port=0 RD @03 + 23691.50ns INFO [00023693] Port=1 RD @00 + 23692.50ns INFO [00023694] * RD COMPARE * port=0 adr=02 act=3F0DA690B6EFDC4915 exp=3F0DA690B6EFDC4915 + 23692.50ns INFO [00023694] Port=0 WR @01=C3EC35FB32B0850D0B + 23693.50ns INFO [00023695] * RD COMPARE * port=0 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23693.50ns INFO [00023695] * RD COMPARE * port=1 adr=00 act=F800C485AC69A5A7A1 exp=F800C485AC69A5A7A1 + 23693.50ns INFO [00023695] Port=0 RD @05 + 23694.50ns INFO [00023696] Port=0 WR @00=D25987F4745D8767D3 + 23694.50ns INFO [00023696] Port=0 RD @04 + 23695.50ns INFO [00023697] * RD COMPARE * port=0 adr=05 act=42201DAD3C0A777998 exp=42201DAD3C0A777998 + 23696.50ns INFO [00023698] * RD COMPARE * port=0 adr=04 act=3B0D882290089EBFA0 exp=3B0D882290089EBFA0 + 23696.50ns INFO [00023698] Port=0 RD @03 + 23697.50ns INFO [00023699] Port=0 WR @00=823F7E1EC840EBBAE1 + 23698.00ns INFO [00023700] [00023700] ...tick... + 23698.50ns INFO [00023700] * RD COMPARE * port=0 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23698.50ns INFO [00023700] Port=0 RD @05 + 23700.50ns INFO [00023702] * RD COMPARE * port=0 adr=05 act=42201DAD3C0A777998 exp=42201DAD3C0A777998 + 23701.50ns INFO [00023703] Port=1 RD @07 + 23702.50ns INFO [00023704] Port=0 WR @07=453928C57585A4919B + 23702.50ns INFO [00023704] Port=1 RD @03 + 23703.50ns INFO [00023705] * RD COMPARE * port=1 adr=07 act=F578C4503905A03284 exp=F578C4503905A03284 + 23703.50ns INFO [00023705] Port=0 WR @05=B898D5897128B54598 + 23704.50ns INFO [00023706] * RD COMPARE * port=1 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23705.50ns INFO [00023707] Port=0 WR @02=8D203AE495130B92C0 + 23706.50ns INFO [00023708] Port=0 RD @07 + 23706.50ns INFO [00023708] Port=1 RD @01 + 23707.50ns INFO [00023709] Port=1 RD @07 + 23708.50ns INFO [00023710] * RD COMPARE * port=0 adr=07 act=453928C57585A4919B exp=453928C57585A4919B + 23708.50ns INFO [00023710] * RD COMPARE * port=1 adr=01 act=C3EC35FB32B0850D0B exp=C3EC35FB32B0850D0B + 23708.50ns INFO [00023710] Port=0 RD @07 + 23709.50ns INFO [00023711] * RD COMPARE * port=1 adr=07 act=453928C57585A4919B exp=453928C57585A4919B + 23709.50ns INFO [00023711] Port=0 WR @06=9258FBE46C72BEB5D6 + 23709.50ns INFO [00023711] Port=0 RD @00 + 23710.50ns INFO [00023712] * RD COMPARE * port=0 adr=07 act=453928C57585A4919B exp=453928C57585A4919B + 23710.50ns INFO [00023712] Port=0 WR @04=76FBF4DF4514CB4FDC + 23711.50ns INFO [00023713] * RD COMPARE * port=0 adr=00 act=823F7E1EC840EBBAE1 exp=823F7E1EC840EBBAE1 + 23711.50ns INFO [00023713] Port=1 RD @04 + 23712.50ns INFO [00023714] Port=0 RD @00 + 23713.50ns INFO [00023715] * RD COMPARE * port=1 adr=04 act=76FBF4DF4514CB4FDC exp=76FBF4DF4514CB4FDC + 23714.50ns INFO [00023716] * RD COMPARE * port=0 adr=00 act=823F7E1EC840EBBAE1 exp=823F7E1EC840EBBAE1 + 23714.50ns INFO [00023716] Port=1 RD @07 + 23715.50ns INFO [00023717] Port=0 WR @02=EF72145156ED1E7B56 + 23715.50ns INFO [00023717] Port=1 RD @03 + 23716.50ns INFO [00023718] * RD COMPARE * port=1 adr=07 act=453928C57585A4919B exp=453928C57585A4919B + 23716.50ns INFO [00023718] Port=1 RD @07 + 23717.50ns INFO [00023719] * RD COMPARE * port=1 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23717.50ns INFO [00023719] Port=0 RD @01 + 23718.50ns INFO [00023720] * RD COMPARE * port=1 adr=07 act=453928C57585A4919B exp=453928C57585A4919B + 23718.50ns INFO [00023720] Port=0 WR @07=62098796DB7039ED8A + 23719.50ns INFO [00023721] * RD COMPARE * port=0 adr=01 act=C3EC35FB32B0850D0B exp=C3EC35FB32B0850D0B + 23719.50ns INFO [00023721] Port=0 WR @04=A5C8B84DB773948406 + 23719.50ns INFO [00023721] Port=0 RD @00 + 23719.50ns INFO [00023721] Port=1 RD @03 + 23720.50ns INFO [00023722] Port=0 WR @02=E14E0AA1B7FE4900C7 + 23720.50ns INFO [00023722] Port=0 RD @07 + 23720.50ns INFO [00023722] Port=1 RD @06 + 23721.50ns INFO [00023723] * RD COMPARE * port=0 adr=00 act=823F7E1EC840EBBAE1 exp=823F7E1EC840EBBAE1 + 23721.50ns INFO [00023723] * RD COMPARE * port=1 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23722.50ns INFO [00023724] * RD COMPARE * port=0 adr=07 act=62098796DB7039ED8A exp=62098796DB7039ED8A + 23722.50ns INFO [00023724] * RD COMPARE * port=1 adr=06 act=9258FBE46C72BEB5D6 exp=9258FBE46C72BEB5D6 + 23723.50ns INFO [00023725] Port=1 RD @05 + 23725.50ns INFO [00023727] * RD COMPARE * port=1 adr=05 act=B898D5897128B54598 exp=B898D5897128B54598 + 23725.50ns INFO [00023727] Port=0 WR @05=E910E1152F1B6EFADF + 23725.50ns INFO [00023727] Port=1 RD @06 + 23727.50ns INFO [00023729] * RD COMPARE * port=1 adr=06 act=9258FBE46C72BEB5D6 exp=9258FBE46C72BEB5D6 + 23727.50ns INFO [00023729] Port=0 WR @07=9227AE8E0345734E2C + 23727.50ns INFO [00023729] Port=0 RD @03 + 23728.50ns INFO [00023730] Port=0 WR @02=48D882246D8FDA2054 + 23729.50ns INFO [00023731] * RD COMPARE * port=0 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23729.50ns INFO [00023731] Port=0 RD @07 + 23729.50ns INFO [00023731] Port=1 RD @06 + 23730.50ns INFO [00023732] Port=0 WR @07=B2EDA8CDFEA9988997 + 23730.50ns INFO [00023732] Port=0 RD @02 + 23730.50ns INFO [00023732] Port=1 RD @06 + 23731.50ns INFO [00023733] * RD COMPARE * port=0 adr=07 act=9227AE8E0345734E2C exp=9227AE8E0345734E2C + 23731.50ns INFO [00023733] * RD COMPARE * port=1 adr=06 act=9258FBE46C72BEB5D6 exp=9258FBE46C72BEB5D6 + 23732.50ns INFO [00023734] * RD COMPARE * port=0 adr=02 act=48D882246D8FDA2054 exp=48D882246D8FDA2054 + 23732.50ns INFO [00023734] * RD COMPARE * port=1 adr=06 act=9258FBE46C72BEB5D6 exp=9258FBE46C72BEB5D6 + 23732.50ns INFO [00023734] Port=0 RD @03 + 23732.50ns INFO [00023734] Port=1 RD @02 + 23733.50ns INFO [00023735] Port=0 RD @02 + 23734.50ns INFO [00023736] * RD COMPARE * port=0 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23734.50ns INFO [00023736] * RD COMPARE * port=1 adr=02 act=48D882246D8FDA2054 exp=48D882246D8FDA2054 + 23735.50ns INFO [00023737] * RD COMPARE * port=0 adr=02 act=48D882246D8FDA2054 exp=48D882246D8FDA2054 + 23736.50ns INFO [00023738] Port=0 RD @00 + 23736.50ns INFO [00023738] Port=1 RD @03 + 23738.50ns INFO [00023740] * RD COMPARE * port=0 adr=00 act=823F7E1EC840EBBAE1 exp=823F7E1EC840EBBAE1 + 23738.50ns INFO [00023740] * RD COMPARE * port=1 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23740.50ns INFO [00023742] Port=1 RD @03 + 23741.50ns INFO [00023743] Port=1 RD @04 + 23742.50ns INFO [00023744] * RD COMPARE * port=1 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23743.50ns INFO [00023745] * RD COMPARE * port=1 adr=04 act=A5C8B84DB773948406 exp=A5C8B84DB773948406 + 23743.50ns INFO [00023745] Port=0 WR @06=37E1C9837F5E075756 + 23744.50ns INFO [00023746] Port=0 RD @01 + 23745.50ns INFO [00023747] Port=0 WR @02=C606F55A8D9E807436 + 23745.50ns INFO [00023747] Port=0 RD @03 + 23746.50ns INFO [00023748] * RD COMPARE * port=0 adr=01 act=C3EC35FB32B0850D0B exp=C3EC35FB32B0850D0B + 23746.50ns INFO [00023748] Port=0 WR @01=0A7D8F353FC9C23665 + 23747.50ns INFO [00023749] * RD COMPARE * port=0 adr=03 act=9A067CE8F914D14894 exp=9A067CE8F914D14894 + 23747.50ns INFO [00023749] Port=0 WR @06=19FF843D3F965B0C7A + 23747.50ns INFO [00023749] Port=0 RD @05 + 23748.50ns INFO [00023750] Port=0 WR @07=4ED17F7222F3F9639E + 23749.50ns INFO [00023751] * RD COMPARE * port=0 adr=05 act=E910E1152F1B6EFADF exp=E910E1152F1B6EFADF + 23749.50ns INFO [00023751] Port=0 WR @03=116D00030982FF8578 + 23750.50ns INFO [00023752] Port=0 WR @04=828A50406BB3A06D4C + 23750.50ns INFO [00023752] Port=0 RD @07 + 23750.50ns INFO [00023752] Port=1 RD @07 + 23751.50ns INFO [00023753] Port=0 WR @01=E578A60C62F7A489E0 + 23752.50ns INFO [00023754] * RD COMPARE * port=0 adr=07 act=4ED17F7222F3F9639E exp=4ED17F7222F3F9639E + 23752.50ns INFO [00023754] * RD COMPARE * port=1 adr=07 act=4ED17F7222F3F9639E exp=4ED17F7222F3F9639E + 23752.50ns INFO [00023754] Port=0 RD @07 + 23752.50ns INFO [00023754] Port=1 RD @03 + 23753.50ns INFO [00023755] Port=0 RD @04 + 23754.50ns INFO [00023756] * RD COMPARE * port=0 adr=07 act=4ED17F7222F3F9639E exp=4ED17F7222F3F9639E + 23754.50ns INFO [00023756] * RD COMPARE * port=1 adr=03 act=116D00030982FF8578 exp=116D00030982FF8578 + 23755.50ns INFO [00023757] * RD COMPARE * port=0 adr=04 act=828A50406BB3A06D4C exp=828A50406BB3A06D4C + 23756.50ns INFO [00023758] Port=0 WR @00=0CD73F26C9F94CAB8E + 23756.50ns INFO [00023758] Port=0 RD @06 + 23756.50ns INFO [00023758] Port=1 RD @06 + 23758.50ns INFO [00023760] * RD COMPARE * port=0 adr=06 act=19FF843D3F965B0C7A exp=19FF843D3F965B0C7A + 23758.50ns INFO [00023760] * RD COMPARE * port=1 adr=06 act=19FF843D3F965B0C7A exp=19FF843D3F965B0C7A + 23759.50ns INFO [00023761] Port=1 RD @05 + 23760.50ns INFO [00023762] Port=0 RD @05 + 23761.50ns INFO [00023763] * RD COMPARE * port=1 adr=05 act=E910E1152F1B6EFADF exp=E910E1152F1B6EFADF + 23761.50ns INFO [00023763] Port=0 WR @07=6E9316BE7055B9A61C + 23761.50ns INFO [00023763] Port=0 RD @04 + 23762.50ns INFO [00023764] * RD COMPARE * port=0 adr=05 act=E910E1152F1B6EFADF exp=E910E1152F1B6EFADF + 23762.50ns INFO [00023764] Port=1 RD @07 + 23763.50ns INFO [00023765] * RD COMPARE * port=0 adr=04 act=828A50406BB3A06D4C exp=828A50406BB3A06D4C + 23763.50ns INFO [00023765] Port=0 WR @00=4CC6C8001A9B90E532 + 23764.50ns INFO [00023766] * RD COMPARE * port=1 adr=07 act=6E9316BE7055B9A61C exp=6E9316BE7055B9A61C + 23764.50ns INFO [00023766] Port=1 RD @02 + 23766.50ns INFO [00023768] * RD COMPARE * port=1 adr=02 act=C606F55A8D9E807436 exp=C606F55A8D9E807436 + 23766.50ns INFO [00023768] Port=0 RD @00 + 23767.50ns INFO [00023769] Port=0 RD @00 + 23767.50ns INFO [00023769] Port=1 RD @01 + 23768.50ns INFO [00023770] * RD COMPARE * port=0 adr=00 act=4CC6C8001A9B90E532 exp=4CC6C8001A9B90E532 + 23768.50ns INFO [00023770] Port=0 RD @03 + 23769.50ns INFO [00023771] * RD COMPARE * port=0 adr=00 act=4CC6C8001A9B90E532 exp=4CC6C8001A9B90E532 + 23769.50ns INFO [00023771] * RD COMPARE * port=1 adr=01 act=E578A60C62F7A489E0 exp=E578A60C62F7A489E0 + 23769.50ns INFO [00023771] Port=1 RD @03 + 23770.50ns INFO [00023772] * RD COMPARE * port=0 adr=03 act=116D00030982FF8578 exp=116D00030982FF8578 + 23770.50ns INFO [00023772] Port=0 WR @01=3DCAF5D9B0F13D3DD6 + 23771.50ns INFO [00023773] * RD COMPARE * port=1 adr=03 act=116D00030982FF8578 exp=116D00030982FF8578 + 23771.50ns INFO [00023773] Port=1 RD @05 + 23773.50ns INFO [00023775] * RD COMPARE * port=1 adr=05 act=E910E1152F1B6EFADF exp=E910E1152F1B6EFADF + 23773.50ns INFO [00023775] Port=0 WR @07=9BA43E2CBDE9F00889 + 23773.50ns INFO [00023775] Port=1 RD @06 + 23774.50ns INFO [00023776] Port=0 RD @02 + 23775.50ns INFO [00023777] * RD COMPARE * port=1 adr=06 act=19FF843D3F965B0C7A exp=19FF843D3F965B0C7A + 23775.50ns INFO [00023777] Port=0 WR @02=61C6E196830FD5F5B7 + 23775.50ns INFO [00023777] Port=0 RD @04 + 23775.50ns INFO [00023777] Port=1 RD @04 + 23776.50ns INFO [00023778] * RD COMPARE * port=0 adr=02 act=C606F55A8D9E807436 exp=C606F55A8D9E807436 + 23777.50ns INFO [00023779] * RD COMPARE * port=0 adr=04 act=828A50406BB3A06D4C exp=828A50406BB3A06D4C + 23777.50ns INFO [00023779] * RD COMPARE * port=1 adr=04 act=828A50406BB3A06D4C exp=828A50406BB3A06D4C + 23778.50ns INFO [00023780] Port=0 RD @06 + 23780.50ns INFO [00023782] * RD COMPARE * port=0 adr=06 act=19FF843D3F965B0C7A exp=19FF843D3F965B0C7A + 23781.50ns INFO [00023783] Port=1 RD @00 + 23782.50ns INFO [00023784] Port=1 RD @05 + 23783.50ns INFO [00023785] * RD COMPARE * port=1 adr=00 act=4CC6C8001A9B90E532 exp=4CC6C8001A9B90E532 + 23784.50ns INFO [00023786] * RD COMPARE * port=1 adr=05 act=E910E1152F1B6EFADF exp=E910E1152F1B6EFADF + 23784.50ns INFO [00023786] Port=0 WR @03=09AE0487E702F27D12 + 23785.50ns INFO [00023787] Port=0 WR @06=9F9E34AB77574357EC + 23785.50ns INFO [00023787] Port=0 RD @07 + 23785.50ns INFO [00023787] Port=1 RD @05 + 23786.50ns INFO [00023788] Port=0 RD @00 + 23787.50ns INFO [00023789] * RD COMPARE * port=0 adr=07 act=9BA43E2CBDE9F00889 exp=9BA43E2CBDE9F00889 + 23787.50ns INFO [00023789] * RD COMPARE * port=1 adr=05 act=E910E1152F1B6EFADF exp=E910E1152F1B6EFADF + 23788.50ns INFO [00023790] * RD COMPARE * port=0 adr=00 act=4CC6C8001A9B90E532 exp=4CC6C8001A9B90E532 + 23789.50ns INFO [00023791] Port=0 WR @07=AB27FC2E4C00F74631 + 23789.50ns INFO [00023791] Port=0 RD @00 + 23789.50ns INFO [00023791] Port=1 RD @01 + 23791.50ns INFO [00023793] * RD COMPARE * port=0 adr=00 act=4CC6C8001A9B90E532 exp=4CC6C8001A9B90E532 + 23791.50ns INFO [00023793] * RD COMPARE * port=1 adr=01 act=3DCAF5D9B0F13D3DD6 exp=3DCAF5D9B0F13D3DD6 + 23793.50ns INFO [00023795] Port=0 RD @04 + 23793.50ns INFO [00023795] Port=1 RD @01 + 23794.50ns INFO [00023796] Port=0 RD @00 + 23794.50ns INFO [00023796] Port=1 RD @02 + 23795.50ns INFO [00023797] * RD COMPARE * port=0 adr=04 act=828A50406BB3A06D4C exp=828A50406BB3A06D4C + 23795.50ns INFO [00023797] * RD COMPARE * port=1 adr=01 act=3DCAF5D9B0F13D3DD6 exp=3DCAF5D9B0F13D3DD6 + 23795.50ns INFO [00023797] Port=0 RD @04 + 23796.50ns INFO [00023798] * RD COMPARE * port=0 adr=00 act=4CC6C8001A9B90E532 exp=4CC6C8001A9B90E532 + 23796.50ns INFO [00023798] * RD COMPARE * port=1 adr=02 act=61C6E196830FD5F5B7 exp=61C6E196830FD5F5B7 + 23797.50ns INFO [00023799] * RD COMPARE * port=0 adr=04 act=828A50406BB3A06D4C exp=828A50406BB3A06D4C + 23797.50ns INFO [00023799] Port=1 RD @02 + 23798.00ns INFO [00023800] [00023800] ...tick... + 23798.50ns INFO [00023800] Port=0 WR @04=8E7A7B12A28B3C5025 + 23798.50ns INFO [00023800] Port=0 RD @01 + 23798.50ns INFO [00023800] Port=1 RD @01 + 23799.50ns INFO [00023801] * RD COMPARE * port=1 adr=02 act=61C6E196830FD5F5B7 exp=61C6E196830FD5F5B7 + 23800.50ns INFO [00023802] * RD COMPARE * port=0 adr=01 act=3DCAF5D9B0F13D3DD6 exp=3DCAF5D9B0F13D3DD6 + 23800.50ns INFO [00023802] * RD COMPARE * port=1 adr=01 act=3DCAF5D9B0F13D3DD6 exp=3DCAF5D9B0F13D3DD6 + 23800.50ns INFO [00023802] Port=0 RD @00 + 23800.50ns INFO [00023802] Port=1 RD @02 + 23802.50ns INFO [00023804] * RD COMPARE * port=0 adr=00 act=4CC6C8001A9B90E532 exp=4CC6C8001A9B90E532 + 23802.50ns INFO [00023804] * RD COMPARE * port=1 adr=02 act=61C6E196830FD5F5B7 exp=61C6E196830FD5F5B7 + 23802.50ns INFO [00023804] Port=1 RD @01 + 23803.50ns INFO [00023805] Port=0 RD @02 + 23804.50ns INFO [00023806] * RD COMPARE * port=1 adr=01 act=3DCAF5D9B0F13D3DD6 exp=3DCAF5D9B0F13D3DD6 + 23805.50ns INFO [00023807] * RD COMPARE * port=0 adr=02 act=61C6E196830FD5F5B7 exp=61C6E196830FD5F5B7 + 23805.50ns INFO [00023807] Port=0 WR @04=EA05889B4F8D30BE35 + 23805.50ns INFO [00023807] Port=0 RD @00 + 23806.50ns INFO [00023808] Port=0 WR @00=0515D769E6140975E0 + 23806.50ns INFO [00023808] Port=1 RD @03 + 23807.50ns INFO [00023809] * RD COMPARE * port=0 adr=00 act=4CC6C8001A9B90E532 exp=4CC6C8001A9B90E532 + 23808.50ns INFO [00023810] * RD COMPARE * port=1 adr=03 act=09AE0487E702F27D12 exp=09AE0487E702F27D12 + 23808.50ns INFO [00023810] Port=0 WR @06=15C0195EA6C1DACE19 + 23808.50ns INFO [00023810] Port=0 RD @05 + 23808.50ns INFO [00023810] Port=1 RD @05 + 23810.50ns INFO [00023812] * RD COMPARE * port=0 adr=05 act=E910E1152F1B6EFADF exp=E910E1152F1B6EFADF + 23810.50ns INFO [00023812] * RD COMPARE * port=1 adr=05 act=E910E1152F1B6EFADF exp=E910E1152F1B6EFADF + 23810.50ns INFO [00023812] Port=0 WR @07=B3F66FA334D16363E4 + 23811.50ns INFO [00023813] Port=0 WR @01=9D9ECAA80C728C5166 + 23811.50ns INFO [00023813] Port=0 RD @05 + 23811.50ns INFO [00023813] Port=1 RD @04 + 23812.50ns INFO [00023814] Port=0 WR @04=5EB79B6D1774674D9D + 23813.50ns INFO [00023815] * RD COMPARE * port=0 adr=05 act=E910E1152F1B6EFADF exp=E910E1152F1B6EFADF + 23813.50ns INFO [00023815] * RD COMPARE * port=1 adr=04 act=EA05889B4F8D30BE35 exp=EA05889B4F8D30BE35 + 23813.50ns INFO [00023815] Port=0 WR @03=E285BE6623B08B499C + 23813.50ns INFO [00023815] Port=0 RD @02 + 23813.50ns INFO [00023815] Port=1 RD @04 + 23814.50ns INFO [00023816] Port=0 WR @04=DE23EE321FD39D8990 + 23815.50ns INFO [00023817] * RD COMPARE * port=0 adr=02 act=61C6E196830FD5F5B7 exp=61C6E196830FD5F5B7 + 23815.50ns INFO [00023817] * RD COMPARE * port=1 adr=04 act=5EB79B6D1774674D9D exp=5EB79B6D1774674D9D + 23816.50ns INFO [00023818] Port=0 RD @05 + 23816.50ns INFO [00023818] Port=1 RD @01 + 23817.50ns INFO [00023819] Port=0 WR @05=28BB419DC5198662AA + 23817.50ns INFO [00023819] Port=0 RD @02 + 23817.50ns INFO [00023819] Port=1 RD @02 + 23818.50ns INFO [00023820] * RD COMPARE * port=0 adr=05 act=E910E1152F1B6EFADF exp=E910E1152F1B6EFADF + 23818.50ns INFO [00023820] * RD COMPARE * port=1 adr=01 act=9D9ECAA80C728C5166 exp=9D9ECAA80C728C5166 + 23819.50ns INFO [00023821] * RD COMPARE * port=0 adr=02 act=61C6E196830FD5F5B7 exp=61C6E196830FD5F5B7 + 23819.50ns INFO [00023821] * RD COMPARE * port=1 adr=02 act=61C6E196830FD5F5B7 exp=61C6E196830FD5F5B7 + 23821.50ns INFO [00023823] Port=0 WR @01=8FCA857A549139E3B6 + 23822.50ns INFO [00023824] Port=0 WR @04=556B7A2E5D1BC9DE57 + 23822.50ns INFO [00023824] Port=0 RD @01 + 23823.50ns INFO [00023825] Port=0 WR @06=11E8E567DD88F4D499 + 23823.50ns INFO [00023825] Port=0 RD @05 + 23823.50ns INFO [00023825] Port=1 RD @07 + 23824.50ns INFO [00023826] * RD COMPARE * port=0 adr=01 act=8FCA857A549139E3B6 exp=8FCA857A549139E3B6 + 23824.50ns INFO [00023826] Port=0 WR @01=BDAA0EA151E84E921D + 23824.50ns INFO [00023826] Port=1 RD @05 + 23825.50ns INFO [00023827] * RD COMPARE * port=0 adr=05 act=28BB419DC5198662AA exp=28BB419DC5198662AA + 23825.50ns INFO [00023827] * RD COMPARE * port=1 adr=07 act=B3F66FA334D16363E4 exp=B3F66FA334D16363E4 + 23825.50ns INFO [00023827] Port=0 RD @05 + 23825.50ns INFO [00023827] Port=1 RD @02 + 23826.50ns INFO [00023828] * RD COMPARE * port=1 adr=05 act=28BB419DC5198662AA exp=28BB419DC5198662AA + 23826.50ns INFO [00023828] Port=0 WR @04=511A884383D641BBFA + 23826.50ns INFO [00023828] Port=1 RD @03 + 23827.50ns INFO [00023829] * RD COMPARE * port=0 adr=05 act=28BB419DC5198662AA exp=28BB419DC5198662AA + 23827.50ns INFO [00023829] * RD COMPARE * port=1 adr=02 act=61C6E196830FD5F5B7 exp=61C6E196830FD5F5B7 + 23828.50ns INFO [00023830] * RD COMPARE * port=1 adr=03 act=E285BE6623B08B499C exp=E285BE6623B08B499C + 23828.50ns INFO [00023830] Port=0 RD @01 + 23829.50ns INFO [00023831] Port=0 RD @06 + 23830.50ns INFO [00023832] * RD COMPARE * port=0 adr=01 act=BDAA0EA151E84E921D exp=BDAA0EA151E84E921D + 23830.50ns INFO [00023832] Port=0 WR @01=E60184812E69EAC69A + 23830.50ns INFO [00023832] Port=0 RD @04 + 23831.50ns INFO [00023833] * RD COMPARE * port=0 adr=06 act=11E8E567DD88F4D499 exp=11E8E567DD88F4D499 + 23831.50ns INFO [00023833] Port=0 RD @00 + 23831.50ns INFO [00023833] Port=1 RD @05 + 23832.50ns INFO [00023834] * RD COMPARE * port=0 adr=04 act=511A884383D641BBFA exp=511A884383D641BBFA + 23832.50ns INFO [00023834] Port=0 WR @02=20489E2E300613C478 + 23832.50ns INFO [00023834] Port=0 RD @06 + 23833.50ns INFO [00023835] * RD COMPARE * port=0 adr=00 act=0515D769E6140975E0 exp=0515D769E6140975E0 + 23833.50ns INFO [00023835] * RD COMPARE * port=1 adr=05 act=28BB419DC5198662AA exp=28BB419DC5198662AA + 23833.50ns INFO [00023835] Port=1 RD @01 + 23834.50ns INFO [00023836] * RD COMPARE * port=0 adr=06 act=11E8E567DD88F4D499 exp=11E8E567DD88F4D499 + 23835.50ns INFO [00023837] * RD COMPARE * port=1 adr=01 act=E60184812E69EAC69A exp=E60184812E69EAC69A + 23836.50ns INFO [00023838] Port=0 RD @01 + 23837.50ns INFO [00023839] Port=0 WR @06=E429A79BD130ACB123 + 23838.50ns INFO [00023840] * RD COMPARE * port=0 adr=01 act=E60184812E69EAC69A exp=E60184812E69EAC69A + 23838.50ns INFO [00023840] Port=0 RD @04 + 23838.50ns INFO [00023840] Port=1 RD @06 + 23839.50ns INFO [00023841] Port=1 RD @01 + 23840.50ns INFO [00023842] * RD COMPARE * port=0 adr=04 act=511A884383D641BBFA exp=511A884383D641BBFA + 23840.50ns INFO [00023842] * RD COMPARE * port=1 adr=06 act=E429A79BD130ACB123 exp=E429A79BD130ACB123 + 23840.50ns INFO [00023842] Port=0 RD @07 + 23840.50ns INFO [00023842] Port=1 RD @05 + 23841.50ns INFO [00023843] * RD COMPARE * port=1 adr=01 act=E60184812E69EAC69A exp=E60184812E69EAC69A + 23842.50ns INFO [00023844] * RD COMPARE * port=0 adr=07 act=B3F66FA334D16363E4 exp=B3F66FA334D16363E4 + 23842.50ns INFO [00023844] * RD COMPARE * port=1 adr=05 act=28BB419DC5198662AA exp=28BB419DC5198662AA + 23842.50ns INFO [00023844] Port=0 WR @05=2A6FC0FA0FC25A1531 + 23842.50ns INFO [00023844] Port=0 RD @07 + 23843.50ns INFO [00023845] Port=0 WR @00=9C7F1413734A7D5A05 + 23844.50ns INFO [00023846] * RD COMPARE * port=0 adr=07 act=B3F66FA334D16363E4 exp=B3F66FA334D16363E4 + 23845.50ns INFO [00023847] Port=1 RD @06 + 23846.50ns INFO [00023848] Port=0 RD @07 + 23847.50ns INFO [00023849] * RD COMPARE * port=1 adr=06 act=E429A79BD130ACB123 exp=E429A79BD130ACB123 + 23847.50ns INFO [00023849] Port=0 RD @05 + 23848.50ns INFO [00023850] * RD COMPARE * port=0 adr=07 act=B3F66FA334D16363E4 exp=B3F66FA334D16363E4 + 23848.50ns INFO [00023850] Port=0 WR @05=455BF2FE01E485CC96 + 23849.50ns INFO [00023851] * RD COMPARE * port=0 adr=05 act=2A6FC0FA0FC25A1531 exp=2A6FC0FA0FC25A1531 + 23849.50ns INFO [00023851] Port=0 WR @04=E54B5113DE546D7020 + 23849.50ns INFO [00023851] Port=0 RD @01 + 23850.50ns INFO [00023852] Port=0 WR @05=454477D1DDDFA3A722 + 23851.50ns INFO [00023853] * RD COMPARE * port=0 adr=01 act=E60184812E69EAC69A exp=E60184812E69EAC69A + 23851.50ns INFO [00023853] Port=0 WR @05=3C5D4D326622E2D2BC + 23851.50ns INFO [00023853] Port=1 RD @02 + 23852.50ns INFO [00023854] Port=0 RD @04 + 23853.50ns INFO [00023855] * RD COMPARE * port=1 adr=02 act=20489E2E300613C478 exp=20489E2E300613C478 + 23853.50ns INFO [00023855] Port=0 RD @07 + 23853.50ns INFO [00023855] Port=1 RD @01 + 23854.50ns INFO [00023856] * RD COMPARE * port=0 adr=04 act=E54B5113DE546D7020 exp=E54B5113DE546D7020 + 23854.50ns INFO [00023856] Port=0 WR @01=8D733903F7D84423FC + 23855.50ns INFO [00023857] * RD COMPARE * port=0 adr=07 act=B3F66FA334D16363E4 exp=B3F66FA334D16363E4 + 23855.50ns INFO [00023857] * RD COMPARE * port=1 adr=01 act=E60184812E69EAC69A exp=E60184812E69EAC69A + 23858.50ns INFO [00023860] Port=0 WR @02=B574E4FCDA15A4E0F4 + 23859.50ns INFO [00023861] Port=0 RD @02 + 23859.50ns INFO [00023861] Port=1 RD @04 + 23860.50ns INFO [00023862] Port=0 RD @03 + 23861.50ns INFO [00023863] * RD COMPARE * port=0 adr=02 act=B574E4FCDA15A4E0F4 exp=B574E4FCDA15A4E0F4 + 23861.50ns INFO [00023863] * RD COMPARE * port=1 adr=04 act=E54B5113DE546D7020 exp=E54B5113DE546D7020 + 23862.50ns INFO [00023864] * RD COMPARE * port=0 adr=03 act=E285BE6623B08B499C exp=E285BE6623B08B499C + 23862.50ns INFO [00023864] Port=1 RD @04 + 23863.50ns INFO [00023865] Port=0 RD @03 + 23863.50ns INFO [00023865] Port=1 RD @05 + 23864.50ns INFO [00023866] * RD COMPARE * port=1 adr=04 act=E54B5113DE546D7020 exp=E54B5113DE546D7020 + 23864.50ns INFO [00023866] Port=1 RD @06 + 23865.50ns INFO [00023867] * RD COMPARE * port=0 adr=03 act=E285BE6623B08B499C exp=E285BE6623B08B499C + 23865.50ns INFO [00023867] * RD COMPARE * port=1 adr=05 act=3C5D4D326622E2D2BC exp=3C5D4D326622E2D2BC + 23865.50ns INFO [00023867] Port=0 WR @03=F58015DC90A7BACC78 + 23866.50ns INFO [00023868] * RD COMPARE * port=1 adr=06 act=E429A79BD130ACB123 exp=E429A79BD130ACB123 + 23866.50ns INFO [00023868] Port=0 WR @01=0BA58290C0BA313E84 + 23866.50ns INFO [00023868] Port=0 RD @04 + 23868.50ns INFO [00023870] * RD COMPARE * port=0 adr=04 act=E54B5113DE546D7020 exp=E54B5113DE546D7020 + 23869.50ns INFO [00023871] Port=0 WR @01=C4FE2692F273F420A8 + 23869.50ns INFO [00023871] Port=1 RD @03 + 23870.50ns INFO [00023872] Port=1 RD @02 + 23871.50ns INFO [00023873] * RD COMPARE * port=1 adr=03 act=F58015DC90A7BACC78 exp=F58015DC90A7BACC78 + 23871.50ns INFO [00023873] Port=0 RD @05 + 23871.50ns INFO [00023873] Port=1 RD @04 + 23872.50ns INFO [00023874] * RD COMPARE * port=1 adr=02 act=B574E4FCDA15A4E0F4 exp=B574E4FCDA15A4E0F4 + 23872.50ns INFO [00023874] Port=0 RD @00 + 23873.50ns INFO [00023875] * RD COMPARE * port=0 adr=05 act=3C5D4D326622E2D2BC exp=3C5D4D326622E2D2BC + 23873.50ns INFO [00023875] * RD COMPARE * port=1 adr=04 act=E54B5113DE546D7020 exp=E54B5113DE546D7020 + 23873.50ns INFO [00023875] Port=1 RD @07 + 23874.50ns INFO [00023876] * RD COMPARE * port=0 adr=00 act=9C7F1413734A7D5A05 exp=9C7F1413734A7D5A05 + 23874.50ns INFO [00023876] Port=0 RD @02 + 23874.50ns INFO [00023876] Port=1 RD @03 + 23875.50ns INFO [00023877] * RD COMPARE * port=1 adr=07 act=B3F66FA334D16363E4 exp=B3F66FA334D16363E4 + 23876.50ns INFO [00023878] * RD COMPARE * port=0 adr=02 act=B574E4FCDA15A4E0F4 exp=B574E4FCDA15A4E0F4 + 23876.50ns INFO [00023878] * RD COMPARE * port=1 adr=03 act=F58015DC90A7BACC78 exp=F58015DC90A7BACC78 + 23876.50ns INFO [00023878] Port=0 WR @00=003CA10FD6BE670DE4 + 23876.50ns INFO [00023878] Port=0 RD @05 + 23877.50ns INFO [00023879] Port=0 WR @00=FEBC28ECA1F8169C73 + 23878.50ns INFO [00023880] * RD COMPARE * port=0 adr=05 act=3C5D4D326622E2D2BC exp=3C5D4D326622E2D2BC + 23878.50ns INFO [00023880] Port=0 WR @00=1612270BD0C3D20AAC + 23878.50ns INFO [00023880] Port=1 RD @02 + 23879.50ns INFO [00023881] Port=1 RD @01 + 23880.50ns INFO [00023882] * RD COMPARE * port=1 adr=02 act=B574E4FCDA15A4E0F4 exp=B574E4FCDA15A4E0F4 + 23880.50ns INFO [00023882] Port=1 RD @06 + 23881.50ns INFO [00023883] * RD COMPARE * port=1 adr=01 act=C4FE2692F273F420A8 exp=C4FE2692F273F420A8 + 23881.50ns INFO [00023883] Port=0 RD @06 + 23882.50ns INFO [00023884] * RD COMPARE * port=1 adr=06 act=E429A79BD130ACB123 exp=E429A79BD130ACB123 + 23882.50ns INFO [00023884] Port=0 WR @01=28635088E4CEBE938A + 23882.50ns INFO [00023884] Port=0 RD @04 + 23883.50ns INFO [00023885] * RD COMPARE * port=0 adr=06 act=E429A79BD130ACB123 exp=E429A79BD130ACB123 + 23883.50ns INFO [00023885] Port=0 WR @06=809290E7D1038C4987 + 23884.50ns INFO [00023886] * RD COMPARE * port=0 adr=04 act=E54B5113DE546D7020 exp=E54B5113DE546D7020 + 23884.50ns INFO [00023886] Port=0 RD @06 + 23885.50ns INFO [00023887] Port=1 RD @07 + 23886.50ns INFO [00023888] * RD COMPARE * port=0 adr=06 act=809290E7D1038C4987 exp=809290E7D1038C4987 + 23886.50ns INFO [00023888] Port=0 WR @02=64E1D7643C9A501A9A + 23886.50ns INFO [00023888] Port=0 RD @05 + 23887.50ns INFO [00023889] * RD COMPARE * port=1 adr=07 act=B3F66FA334D16363E4 exp=B3F66FA334D16363E4 + 23887.50ns INFO [00023889] Port=0 RD @04 + 23887.50ns INFO [00023889] Port=1 RD @03 + 23888.50ns INFO [00023890] * RD COMPARE * port=0 adr=05 act=3C5D4D326622E2D2BC exp=3C5D4D326622E2D2BC + 23888.50ns INFO [00023890] Port=0 WR @03=F0FB07823FC98E32F1 + 23889.50ns INFO [00023891] * RD COMPARE * port=0 adr=04 act=E54B5113DE546D7020 exp=E54B5113DE546D7020 + 23889.50ns INFO [00023891] * RD COMPARE * port=1 adr=03 act=F58015DC90A7BACC78 exp=F58015DC90A7BACC78 + 23890.50ns INFO [00023892] Port=0 WR @07=0A4A2A8C27A1597AA5 + 23890.50ns INFO [00023892] Port=1 RD @00 + 23891.50ns INFO [00023893] Port=0 RD @01 + 23891.50ns INFO [00023893] Port=1 RD @01 + 23892.50ns INFO [00023894] * RD COMPARE * port=1 adr=00 act=1612270BD0C3D20AAC exp=1612270BD0C3D20AAC + 23893.50ns INFO [00023895] * RD COMPARE * port=0 adr=01 act=28635088E4CEBE938A exp=28635088E4CEBE938A + 23893.50ns INFO [00023895] * RD COMPARE * port=1 adr=01 act=28635088E4CEBE938A exp=28635088E4CEBE938A + 23894.50ns INFO [00023896] Port=0 WR @02=80249FC0CFD8495319 + 23895.50ns INFO [00023897] Port=1 RD @01 + 23896.50ns INFO [00023898] Port=0 WR @05=4A24AECA0F469E179E + 23897.50ns INFO [00023899] * RD COMPARE * port=1 adr=01 act=28635088E4CEBE938A exp=28635088E4CEBE938A + 23898.00ns INFO [00023900] [00023900] ...tick... + 23898.50ns INFO [00023900] Port=0 WR @07=4F2E8238EE5F41B985 + 23898.50ns INFO [00023900] Port=1 RD @05 + 23899.50ns INFO [00023901] Port=1 RD @02 + 23900.50ns INFO [00023902] * RD COMPARE * port=1 adr=05 act=4A24AECA0F469E179E exp=4A24AECA0F469E179E + 23900.50ns INFO [00023902] Port=0 RD @01 + 23900.50ns INFO [00023902] Port=1 RD @05 + 23901.50ns INFO [00023903] * RD COMPARE * port=1 adr=02 act=80249FC0CFD8495319 exp=80249FC0CFD8495319 + 23901.50ns INFO [00023903] Port=0 WR @04=0FAD8DCAD2B80A8E74 + 23901.50ns INFO [00023903] Port=0 RD @06 + 23901.50ns INFO [00023903] Port=1 RD @05 + 23902.50ns INFO [00023904] * RD COMPARE * port=0 adr=01 act=28635088E4CEBE938A exp=28635088E4CEBE938A + 23902.50ns INFO [00023904] * RD COMPARE * port=1 adr=05 act=4A24AECA0F469E179E exp=4A24AECA0F469E179E + 23903.50ns INFO [00023905] * RD COMPARE * port=0 adr=06 act=809290E7D1038C4987 exp=809290E7D1038C4987 + 23903.50ns INFO [00023905] * RD COMPARE * port=1 adr=05 act=4A24AECA0F469E179E exp=4A24AECA0F469E179E + 23903.50ns INFO [00023905] Port=0 WR @01=C70FB360857317DA8A + 23903.50ns INFO [00023905] Port=0 RD @00 + 23903.50ns INFO [00023905] Port=1 RD @05 + 23904.50ns INFO [00023906] Port=0 WR @07=D98F84890033EAB8C0 + 23904.50ns INFO [00023906] Port=0 RD @01 + 23905.50ns INFO [00023907] * RD COMPARE * port=0 adr=00 act=1612270BD0C3D20AAC exp=1612270BD0C3D20AAC + 23905.50ns INFO [00023907] * RD COMPARE * port=1 adr=05 act=4A24AECA0F469E179E exp=4A24AECA0F469E179E + 23905.50ns INFO [00023907] Port=1 RD @05 + 23906.50ns INFO [00023908] * RD COMPARE * port=0 adr=01 act=C70FB360857317DA8A exp=C70FB360857317DA8A + 23907.50ns INFO [00023909] * RD COMPARE * port=1 adr=05 act=4A24AECA0F469E179E exp=4A24AECA0F469E179E + 23908.50ns INFO [00023910] Port=1 RD @05 + 23909.50ns INFO [00023911] Port=0 RD @00 + 23909.50ns INFO [00023911] Port=1 RD @05 + 23910.50ns INFO [00023912] * RD COMPARE * port=1 adr=05 act=4A24AECA0F469E179E exp=4A24AECA0F469E179E + 23911.50ns INFO [00023913] * RD COMPARE * port=0 adr=00 act=1612270BD0C3D20AAC exp=1612270BD0C3D20AAC + 23911.50ns INFO [00023913] * RD COMPARE * port=1 adr=05 act=4A24AECA0F469E179E exp=4A24AECA0F469E179E + 23911.50ns INFO [00023913] Port=0 RD @07 + 23911.50ns INFO [00023913] Port=1 RD @02 + 23912.50ns INFO [00023914] Port=0 WR @02=40B10EA08E11E5D5C6 + 23913.50ns INFO [00023915] * RD COMPARE * port=0 adr=07 act=D98F84890033EAB8C0 exp=D98F84890033EAB8C0 + 23913.50ns INFO [00023915] * RD COMPARE * port=1 adr=02 act=80249FC0CFD8495319 exp=80249FC0CFD8495319 + 23913.50ns INFO [00023915] Port=0 WR @02=208C82337CABC49A8D + 23914.50ns INFO [00023916] Port=1 RD @05 + 23915.50ns INFO [00023917] Port=0 WR @00=A5B0D8F3436A20B562 + 23915.50ns INFO [00023917] Port=0 RD @03 + 23916.50ns INFO [00023918] * RD COMPARE * port=1 adr=05 act=4A24AECA0F469E179E exp=4A24AECA0F469E179E + 23917.50ns INFO [00023919] * RD COMPARE * port=0 adr=03 act=F0FB07823FC98E32F1 exp=F0FB07823FC98E32F1 + 23917.50ns INFO [00023919] Port=0 RD @00 + 23917.50ns INFO [00023919] Port=1 RD @06 + 23918.50ns INFO [00023920] Port=0 RD @03 + 23919.50ns INFO [00023921] * RD COMPARE * port=0 adr=00 act=A5B0D8F3436A20B562 exp=A5B0D8F3436A20B562 + 23919.50ns INFO [00023921] * RD COMPARE * port=1 adr=06 act=809290E7D1038C4987 exp=809290E7D1038C4987 + 23919.50ns INFO [00023921] Port=1 RD @03 + 23920.50ns INFO [00023922] * RD COMPARE * port=0 adr=03 act=F0FB07823FC98E32F1 exp=F0FB07823FC98E32F1 + 23921.50ns INFO [00023923] * RD COMPARE * port=1 adr=03 act=F0FB07823FC98E32F1 exp=F0FB07823FC98E32F1 + 23921.50ns INFO [00023923] Port=0 WR @00=7AB514C4D330E5950C + 23922.50ns INFO [00023924] Port=0 RD @03 + 23923.50ns INFO [00023925] Port=1 RD @01 + 23924.50ns INFO [00023926] * RD COMPARE * port=0 adr=03 act=F0FB07823FC98E32F1 exp=F0FB07823FC98E32F1 + 23925.50ns INFO [00023927] * RD COMPARE * port=1 adr=01 act=C70FB360857317DA8A exp=C70FB360857317DA8A + 23925.50ns INFO [00023927] Port=0 WR @07=8077B2BF65DFBFABC8 + 23925.50ns INFO [00023927] Port=0 RD @00 + 23927.50ns INFO [00023929] * RD COMPARE * port=0 adr=00 act=7AB514C4D330E5950C exp=7AB514C4D330E5950C + 23927.50ns INFO [00023929] Port=1 RD @01 + 23928.50ns INFO [00023930] Port=0 WR @05=EF8178BE92DCBBE9E2 + 23929.50ns INFO [00023931] * RD COMPARE * port=1 adr=01 act=C70FB360857317DA8A exp=C70FB360857317DA8A + 23929.50ns INFO [00023931] Port=0 RD @07 + 23930.50ns INFO [00023932] Port=0 WR @07=5A9DC3BB6B14455D1A + 23931.50ns INFO [00023933] * RD COMPARE * port=0 adr=07 act=8077B2BF65DFBFABC8 exp=8077B2BF65DFBFABC8 + 23931.50ns INFO [00023933] Port=0 RD @01 + 23932.50ns INFO [00023934] Port=0 WR @06=6827CC7C4F745D4697 + 23932.50ns INFO [00023934] Port=1 RD @00 + 23933.50ns INFO [00023935] * RD COMPARE * port=0 adr=01 act=C70FB360857317DA8A exp=C70FB360857317DA8A + 23933.50ns INFO [00023935] Port=0 RD @07 + 23933.50ns INFO [00023935] Port=1 RD @07 + 23934.50ns INFO [00023936] * RD COMPARE * port=1 adr=00 act=7AB514C4D330E5950C exp=7AB514C4D330E5950C + 23934.50ns INFO [00023936] Port=0 WR @04=EDF54F3E1F66575B44 + 23935.50ns INFO [00023937] * RD COMPARE * port=0 adr=07 act=5A9DC3BB6B14455D1A exp=5A9DC3BB6B14455D1A + 23935.50ns INFO [00023937] * RD COMPARE * port=1 adr=07 act=5A9DC3BB6B14455D1A exp=5A9DC3BB6B14455D1A + 23935.50ns INFO [00023937] Port=0 RD @04 + 23936.50ns INFO [00023938] Port=1 RD @03 + 23937.50ns INFO [00023939] * RD COMPARE * port=0 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23937.50ns INFO [00023939] Port=0 WR @00=952569E8464825EC59 + 23938.50ns INFO [00023940] * RD COMPARE * port=1 adr=03 act=F0FB07823FC98E32F1 exp=F0FB07823FC98E32F1 + 23938.50ns INFO [00023940] Port=1 RD @02 + 23939.50ns INFO [00023941] Port=0 RD @06 + 23939.50ns INFO [00023941] Port=1 RD @02 + 23940.50ns INFO [00023942] * RD COMPARE * port=1 adr=02 act=208C82337CABC49A8D exp=208C82337CABC49A8D + 23940.50ns INFO [00023942] Port=1 RD @05 + 23941.50ns INFO [00023943] * RD COMPARE * port=0 adr=06 act=6827CC7C4F745D4697 exp=6827CC7C4F745D4697 + 23941.50ns INFO [00023943] * RD COMPARE * port=1 adr=02 act=208C82337CABC49A8D exp=208C82337CABC49A8D + 23941.50ns INFO [00023943] Port=0 WR @03=B45BA1AE1285AE7F58 + 23941.50ns INFO [00023943] Port=1 RD @05 + 23942.50ns INFO [00023944] * RD COMPARE * port=1 adr=05 act=EF8178BE92DCBBE9E2 exp=EF8178BE92DCBBE9E2 + 23942.50ns INFO [00023944] Port=0 WR @06=BBBAE2F022338FE7D6 + 23942.50ns INFO [00023944] Port=0 RD @00 + 23942.50ns INFO [00023944] Port=1 RD @03 + 23943.50ns INFO [00023945] * RD COMPARE * port=1 adr=05 act=EF8178BE92DCBBE9E2 exp=EF8178BE92DCBBE9E2 + 23943.50ns INFO [00023945] Port=0 WR @07=2BDB41262802D677A8 + 23943.50ns INFO [00023945] Port=0 RD @01 + 23944.50ns INFO [00023946] * RD COMPARE * port=0 adr=00 act=952569E8464825EC59 exp=952569E8464825EC59 + 23944.50ns INFO [00023946] * RD COMPARE * port=1 adr=03 act=B45BA1AE1285AE7F58 exp=B45BA1AE1285AE7F58 + 23944.50ns INFO [00023946] Port=0 RD @00 + 23945.50ns INFO [00023947] * RD COMPARE * port=0 adr=01 act=C70FB360857317DA8A exp=C70FB360857317DA8A + 23945.50ns INFO [00023947] Port=0 WR @05=DBB0E9BB50C705D0D1 + 23945.50ns INFO [00023947] Port=0 RD @02 + 23946.50ns INFO [00023948] * RD COMPARE * port=0 adr=00 act=952569E8464825EC59 exp=952569E8464825EC59 + 23946.50ns INFO [00023948] Port=0 RD @04 + 23946.50ns INFO [00023948] Port=1 RD @03 + 23947.50ns INFO [00023949] * RD COMPARE * port=0 adr=02 act=208C82337CABC49A8D exp=208C82337CABC49A8D + 23948.50ns INFO [00023950] * RD COMPARE * port=0 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23948.50ns INFO [00023950] * RD COMPARE * port=1 adr=03 act=B45BA1AE1285AE7F58 exp=B45BA1AE1285AE7F58 + 23949.50ns INFO [00023951] Port=0 WR @02=5FFB2ABA51BD7607D2 + 23951.50ns INFO [00023953] Port=0 WR @01=02F8FEBA9A92FD5234 + 23951.50ns INFO [00023953] Port=0 RD @07 + 23952.50ns INFO [00023954] Port=0 RD @06 + 23952.50ns INFO [00023954] Port=1 RD @05 + 23953.50ns INFO [00023955] * RD COMPARE * port=0 adr=07 act=2BDB41262802D677A8 exp=2BDB41262802D677A8 + 23953.50ns INFO [00023955] Port=1 RD @02 + 23954.50ns INFO [00023956] * RD COMPARE * port=0 adr=06 act=BBBAE2F022338FE7D6 exp=BBBAE2F022338FE7D6 + 23954.50ns INFO [00023956] * RD COMPARE * port=1 adr=05 act=DBB0E9BB50C705D0D1 exp=DBB0E9BB50C705D0D1 + 23954.50ns INFO [00023956] Port=0 WR @01=4C70189B016B840F3E + 23954.50ns INFO [00023956] Port=0 RD @02 + 23954.50ns INFO [00023956] Port=1 RD @07 + 23955.50ns INFO [00023957] * RD COMPARE * port=1 adr=02 act=5FFB2ABA51BD7607D2 exp=5FFB2ABA51BD7607D2 + 23955.50ns INFO [00023957] Port=0 WR @03=5079B0EE7B715A29BF + 23955.50ns INFO [00023957] Port=0 RD @01 + 23955.50ns INFO [00023957] Port=1 RD @01 + 23956.50ns INFO [00023958] * RD COMPARE * port=0 adr=02 act=5FFB2ABA51BD7607D2 exp=5FFB2ABA51BD7607D2 + 23956.50ns INFO [00023958] * RD COMPARE * port=1 adr=07 act=2BDB41262802D677A8 exp=2BDB41262802D677A8 + 23956.50ns INFO [00023958] Port=0 RD @02 + 23957.50ns INFO [00023959] * RD COMPARE * port=0 adr=01 act=4C70189B016B840F3E exp=4C70189B016B840F3E + 23957.50ns INFO [00023959] * RD COMPARE * port=1 adr=01 act=4C70189B016B840F3E exp=4C70189B016B840F3E + 23957.50ns INFO [00023959] Port=0 RD @06 + 23957.50ns INFO [00023959] Port=1 RD @01 + 23958.50ns INFO [00023960] * RD COMPARE * port=0 adr=02 act=5FFB2ABA51BD7607D2 exp=5FFB2ABA51BD7607D2 + 23958.50ns INFO [00023960] Port=0 WR @00=D99EAC22B11F54CD3E + 23958.50ns INFO [00023960] Port=0 RD @04 + 23959.50ns INFO [00023961] * RD COMPARE * port=0 adr=06 act=BBBAE2F022338FE7D6 exp=BBBAE2F022338FE7D6 + 23959.50ns INFO [00023961] * RD COMPARE * port=1 adr=01 act=4C70189B016B840F3E exp=4C70189B016B840F3E + 23959.50ns INFO [00023961] Port=0 WR @07=F40FDBFD766B2EF729 + 23960.50ns INFO [00023962] * RD COMPARE * port=0 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23960.50ns INFO [00023962] Port=0 RD @00 + 23962.50ns INFO [00023964] * RD COMPARE * port=0 adr=00 act=D99EAC22B11F54CD3E exp=D99EAC22B11F54CD3E + 23962.50ns INFO [00023964] Port=0 RD @00 + 23962.50ns INFO [00023964] Port=1 RD @04 + 23963.50ns INFO [00023965] Port=0 WR @05=2B678F56915B80E961 + 23964.50ns INFO [00023966] * RD COMPARE * port=0 adr=00 act=D99EAC22B11F54CD3E exp=D99EAC22B11F54CD3E + 23964.50ns INFO [00023966] * RD COMPARE * port=1 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23964.50ns INFO [00023966] Port=1 RD @04 + 23966.50ns INFO [00023968] * RD COMPARE * port=1 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23966.50ns INFO [00023968] Port=1 RD @04 + 23967.50ns INFO [00023969] Port=0 WR @05=E7ABC1FA9D44D3AF5A + 23968.50ns INFO [00023970] * RD COMPARE * port=1 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23968.50ns INFO [00023970] Port=0 RD @03 + 23968.50ns INFO [00023970] Port=1 RD @02 + 23969.50ns INFO [00023971] Port=1 RD @06 + 23970.50ns INFO [00023972] * RD COMPARE * port=0 adr=03 act=5079B0EE7B715A29BF exp=5079B0EE7B715A29BF + 23970.50ns INFO [00023972] * RD COMPARE * port=1 adr=02 act=5FFB2ABA51BD7607D2 exp=5FFB2ABA51BD7607D2 + 23970.50ns INFO [00023972] Port=0 RD @05 + 23970.50ns INFO [00023972] Port=1 RD @04 + 23971.50ns INFO [00023973] * RD COMPARE * port=1 adr=06 act=BBBAE2F022338FE7D6 exp=BBBAE2F022338FE7D6 + 23972.50ns INFO [00023974] * RD COMPARE * port=0 adr=05 act=E7ABC1FA9D44D3AF5A exp=E7ABC1FA9D44D3AF5A + 23972.50ns INFO [00023974] * RD COMPARE * port=1 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23973.50ns INFO [00023975] Port=0 WR @05=E7629BD0A0E3BB812D + 23975.50ns INFO [00023977] Port=0 WR @05=B89542A0FDCC34C670 + 23975.50ns INFO [00023977] Port=0 RD @06 + 23975.50ns INFO [00023977] Port=1 RD @03 + 23976.50ns INFO [00023978] Port=0 RD @01 + 23977.50ns INFO [00023979] * RD COMPARE * port=0 adr=06 act=BBBAE2F022338FE7D6 exp=BBBAE2F022338FE7D6 + 23977.50ns INFO [00023979] * RD COMPARE * port=1 adr=03 act=5079B0EE7B715A29BF exp=5079B0EE7B715A29BF + 23977.50ns INFO [00023979] Port=0 RD @00 + 23978.50ns INFO [00023980] * RD COMPARE * port=0 adr=01 act=4C70189B016B840F3E exp=4C70189B016B840F3E + 23978.50ns INFO [00023980] Port=0 RD @06 + 23979.50ns INFO [00023981] * RD COMPARE * port=0 adr=00 act=D99EAC22B11F54CD3E exp=D99EAC22B11F54CD3E + 23980.50ns INFO [00023982] * RD COMPARE * port=0 adr=06 act=BBBAE2F022338FE7D6 exp=BBBAE2F022338FE7D6 + 23981.50ns INFO [00023983] Port=0 WR @03=BEE72D6842B87683DD + 23982.50ns INFO [00023984] Port=1 RD @01 + 23983.50ns INFO [00023985] Port=0 RD @04 + 23983.50ns INFO [00023985] Port=1 RD @01 + 23984.50ns INFO [00023986] * RD COMPARE * port=1 adr=01 act=4C70189B016B840F3E exp=4C70189B016B840F3E + 23984.50ns INFO [00023986] Port=0 WR @07=A7C0E501E5B516D513 + 23984.50ns INFO [00023986] Port=1 RD @04 + 23985.50ns INFO [00023987] * RD COMPARE * port=0 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23985.50ns INFO [00023987] * RD COMPARE * port=1 adr=01 act=4C70189B016B840F3E exp=4C70189B016B840F3E + 23985.50ns INFO [00023987] Port=0 RD @06 + 23985.50ns INFO [00023987] Port=1 RD @03 + 23986.50ns INFO [00023988] * RD COMPARE * port=1 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23986.50ns INFO [00023988] Port=1 RD @05 + 23987.50ns INFO [00023989] * RD COMPARE * port=0 adr=06 act=BBBAE2F022338FE7D6 exp=BBBAE2F022338FE7D6 + 23987.50ns INFO [00023989] * RD COMPARE * port=1 adr=03 act=BEE72D6842B87683DD exp=BEE72D6842B87683DD + 23987.50ns INFO [00023989] Port=0 RD @04 + 23988.50ns INFO [00023990] * RD COMPARE * port=1 adr=05 act=B89542A0FDCC34C670 exp=B89542A0FDCC34C670 + 23988.50ns INFO [00023990] Port=0 RD @01 + 23988.50ns INFO [00023990] Port=1 RD @06 + 23989.50ns INFO [00023991] * RD COMPARE * port=0 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23990.50ns INFO [00023992] * RD COMPARE * port=0 adr=01 act=4C70189B016B840F3E exp=4C70189B016B840F3E + 23990.50ns INFO [00023992] * RD COMPARE * port=1 adr=06 act=BBBAE2F022338FE7D6 exp=BBBAE2F022338FE7D6 + 23992.50ns INFO [00023994] Port=0 WR @01=CB9F4CED520D079E28 + 23992.50ns INFO [00023994] Port=0 RD @04 + 23994.50ns INFO [00023996] * RD COMPARE * port=0 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 23998.00ns INFO [00024000] [00024000] ...tick... + 23998.50ns INFO [00024000] Port=0 RD @04 + 23999.50ns INFO [00024001] Port=0 RD @00 + 24000.50ns INFO [00024002] * RD COMPARE * port=0 adr=04 act=EDF54F3E1F66575B44 exp=EDF54F3E1F66575B44 + 24000.50ns INFO [00024002] Port=0 WR @04=6830D1D533EEF9FCFC + 24001.50ns INFO [00024003] * RD COMPARE * port=0 adr=00 act=D99EAC22B11F54CD3E exp=D99EAC22B11F54CD3E + 24001.50ns INFO [00024003] Port=1 RD @07 + 24002.50ns INFO [00024004] Port=0 WR @05=FE995A939FCEC5CB66 + 24003.50ns INFO [00024005] * RD COMPARE * port=1 adr=07 act=A7C0E501E5B516D513 exp=A7C0E501E5B516D513 + 24003.50ns INFO [00024005] Port=0 RD @03 + 24003.50ns INFO [00024005] Port=1 RD @07 + 24004.50ns INFO [00024006] Port=0 WR @07=7273D9F6C446C64E48 + 24005.50ns INFO [00024007] * RD COMPARE * port=0 adr=03 act=BEE72D6842B87683DD exp=BEE72D6842B87683DD + 24005.50ns INFO [00024007] * RD COMPARE * port=1 adr=07 act=A7C0E501E5B516D513 exp=A7C0E501E5B516D513 + 24005.50ns INFO [00024007] Port=0 WR @06=7164E062225D4F6A4A + 24005.50ns INFO [00024007] Port=0 RD @02 + 24006.50ns INFO [00024008] Port=0 WR @03=55277F8EB4A2AED0C9 + 24006.50ns INFO [00024008] Port=1 RD @05 + 24007.50ns INFO [00024009] * RD COMPARE * port=0 adr=02 act=5FFB2ABA51BD7607D2 exp=5FFB2ABA51BD7607D2 + 24007.50ns INFO [00024009] Port=1 RD @05 + 24008.50ns INFO [00024010] * RD COMPARE * port=1 adr=05 act=FE995A939FCEC5CB66 exp=FE995A939FCEC5CB66 + 24008.50ns INFO [00024010] Port=0 WR @00=765A03195D57F489FA + 24008.50ns INFO [00024010] Port=0 RD @05 + 24009.50ns INFO [00024011] * RD COMPARE * port=1 adr=05 act=FE995A939FCEC5CB66 exp=FE995A939FCEC5CB66 + 24010.50ns INFO [00024012] * RD COMPARE * port=0 adr=05 act=FE995A939FCEC5CB66 exp=FE995A939FCEC5CB66 + 24010.50ns INFO [00024012] Port=0 WR @06=F2D1CCB0678D2EA25A + 24010.50ns INFO [00024012] Port=1 RD @01 + 24012.50ns INFO [00024014] * RD COMPARE * port=1 adr=01 act=CB9F4CED520D079E28 exp=CB9F4CED520D079E28 + 24012.50ns INFO [00024014] Port=0 RD @05 + 24012.50ns INFO [00024014] Port=1 RD @07 + 24013.50ns INFO [00024015] Port=0 WR @01=30F2AC719B99BF0547 + 24014.50ns INFO [00024016] * RD COMPARE * port=0 adr=05 act=FE995A939FCEC5CB66 exp=FE995A939FCEC5CB66 + 24014.50ns INFO [00024016] * RD COMPARE * port=1 adr=07 act=7273D9F6C446C64E48 exp=7273D9F6C446C64E48 + 24014.50ns INFO [00024016] Port=1 RD @00 + 24015.50ns INFO [00024017] Port=0 RD @06 + 24015.50ns INFO [00024017] Port=1 RD @00 + 24016.50ns INFO [00024018] * RD COMPARE * port=1 adr=00 act=765A03195D57F489FA exp=765A03195D57F489FA + 24016.50ns INFO [00024018] Port=0 WR @06=75D63C7899B2D989C4 + 24017.50ns INFO [00024019] * RD COMPARE * port=0 adr=06 act=F2D1CCB0678D2EA25A exp=F2D1CCB0678D2EA25A + 24017.50ns INFO [00024019] * RD COMPARE * port=1 adr=00 act=765A03195D57F489FA exp=765A03195D57F489FA + 24017.50ns INFO [00024019] Port=1 RD @06 + 24019.50ns INFO [00024021] * RD COMPARE * port=1 adr=06 act=75D63C7899B2D989C4 exp=75D63C7899B2D989C4 + 24019.50ns INFO [00024021] Port=0 WR @01=B6E1210601C02C544D + 24021.50ns INFO [00024023] Port=0 WR @02=9F61FFAA58876008DB + 24021.50ns INFO [00024023] Port=1 RD @00 + 24022.50ns INFO [00024024] Port=0 WR @01=CF2FF2705AF0A7CCD0 + 24023.50ns INFO [00024025] * RD COMPARE * port=1 adr=00 act=765A03195D57F489FA exp=765A03195D57F489FA + 24023.50ns INFO [00024025] Port=0 WR @03=5BAB6A1930E2C8E93C + 24023.50ns INFO [00024025] Port=0 RD @02 + 24024.50ns INFO [00024026] Port=0 RD @02 + 24025.50ns INFO [00024027] * RD COMPARE * port=0 adr=02 act=9F61FFAA58876008DB exp=9F61FFAA58876008DB + 24025.50ns INFO [00024027] Port=1 RD @03 + 24026.50ns INFO [00024028] * RD COMPARE * port=0 adr=02 act=9F61FFAA58876008DB exp=9F61FFAA58876008DB + 24026.50ns INFO [00024028] Port=0 WR @01=7405171D0EAEEEF831 + 24026.50ns INFO [00024028] Port=0 RD @04 + 24027.50ns INFO [00024029] * RD COMPARE * port=1 adr=03 act=5BAB6A1930E2C8E93C exp=5BAB6A1930E2C8E93C + 24027.50ns INFO [00024029] Port=0 RD @01 + 24028.50ns INFO [00024030] * RD COMPARE * port=0 adr=04 act=6830D1D533EEF9FCFC exp=6830D1D533EEF9FCFC + 24028.50ns INFO [00024030] Port=0 RD @06 + 24028.50ns INFO [00024030] Port=1 RD @07 + 24029.50ns INFO [00024031] * RD COMPARE * port=0 adr=01 act=7405171D0EAEEEF831 exp=7405171D0EAEEEF831 + 24029.50ns INFO [00024031] Port=1 RD @07 + 24030.50ns INFO [00024032] * RD COMPARE * port=0 adr=06 act=75D63C7899B2D989C4 exp=75D63C7899B2D989C4 + 24030.50ns INFO [00024032] * RD COMPARE * port=1 adr=07 act=7273D9F6C446C64E48 exp=7273D9F6C446C64E48 + 24030.50ns INFO [00024032] Port=0 WR @04=1D0103009BDAD1202F + 24031.50ns INFO [00024033] * RD COMPARE * port=1 adr=07 act=7273D9F6C446C64E48 exp=7273D9F6C446C64E48 + 24031.50ns INFO [00024033] Port=0 WR @04=694337FD8A8C47B0F2 + 24031.50ns INFO [00024033] Port=1 RD @00 + 24032.50ns INFO [00024034] Port=0 WR @00=36B7BACDD587D7CD4B + 24032.50ns INFO [00024034] Port=1 RD @05 + 24033.50ns INFO [00024035] * RD COMPARE * port=1 adr=00 act=765A03195D57F489FA exp=765A03195D57F489FA + 24033.50ns INFO [00024035] Port=1 RD @02 + 24034.50ns INFO [00024036] * RD COMPARE * port=1 adr=05 act=FE995A939FCEC5CB66 exp=FE995A939FCEC5CB66 + 24034.50ns INFO [00024036] Port=0 WR @01=9AB7209A802C47B482 + 24035.50ns INFO [00024037] * RD COMPARE * port=1 adr=02 act=9F61FFAA58876008DB exp=9F61FFAA58876008DB + 24038.50ns INFO [00024040] Port=0 WR @07=F0BA13DB0654DE42A2 + 24039.50ns INFO [00024041] Port=1 RD @01 + 24040.50ns INFO [00024042] Port=0 RD @02 + 24041.50ns INFO [00024043] * RD COMPARE * port=1 adr=01 act=9AB7209A802C47B482 exp=9AB7209A802C47B482 + 24042.50ns INFO [00024044] * RD COMPARE * port=0 adr=02 act=9F61FFAA58876008DB exp=9F61FFAA58876008DB + 24043.50ns INFO [00024045] Port=1 RD @06 + 24044.50ns INFO [00024046] Port=0 WR @05=627225A7582F303209 + 24045.50ns INFO [00024047] * RD COMPARE * port=1 adr=06 act=75D63C7899B2D989C4 exp=75D63C7899B2D989C4 + 24045.50ns INFO [00024047] Port=0 WR @05=D467EA54577574A065 + 24045.50ns INFO [00024047] Port=1 RD @07 + 24047.50ns INFO [00024049] * RD COMPARE * port=1 adr=07 act=F0BA13DB0654DE42A2 exp=F0BA13DB0654DE42A2 + 24047.50ns INFO [00024049] Port=1 RD @04 + 24049.50ns INFO [00024051] * RD COMPARE * port=1 adr=04 act=694337FD8A8C47B0F2 exp=694337FD8A8C47B0F2 + 24049.50ns INFO [00024051] Port=0 WR @03=CE58420E7722E6C1ED + 24052.50ns INFO [00024054] Port=0 RD @04 + 24053.50ns INFO [00024055] Port=1 RD @00 + 24054.50ns INFO [00024056] * RD COMPARE * port=0 adr=04 act=694337FD8A8C47B0F2 exp=694337FD8A8C47B0F2 + 24055.50ns INFO [00024057] * RD COMPARE * port=1 adr=00 act=36B7BACDD587D7CD4B exp=36B7BACDD587D7CD4B + 24055.50ns INFO [00024057] Port=0 RD @07 + 24057.50ns INFO [00024059] * RD COMPARE * port=0 adr=07 act=F0BA13DB0654DE42A2 exp=F0BA13DB0654DE42A2 + 24057.50ns INFO [00024059] Port=0 WR @02=9CDFDB81756F2DA0AB + 24057.50ns INFO [00024059] Port=1 RD @07 + 24058.50ns INFO [00024060] Port=0 RD @06 + 24059.50ns INFO [00024061] * RD COMPARE * port=1 adr=07 act=F0BA13DB0654DE42A2 exp=F0BA13DB0654DE42A2 + 24060.50ns INFO [00024062] * RD COMPARE * port=0 adr=06 act=75D63C7899B2D989C4 exp=75D63C7899B2D989C4 + 24060.50ns INFO [00024062] Port=0 WR @07=DDB0D3DCD09DDD20EB + 24060.50ns INFO [00024062] Port=0 RD @03 + 24060.50ns INFO [00024062] Port=1 RD @03 + 24061.50ns INFO [00024063] Port=0 WR @01=B2C6E5B6561CB431C3 + 24061.50ns INFO [00024063] Port=1 RD @04 + 24062.50ns INFO [00024064] * RD COMPARE * port=0 adr=03 act=CE58420E7722E6C1ED exp=CE58420E7722E6C1ED + 24062.50ns INFO [00024064] * RD COMPARE * port=1 adr=03 act=CE58420E7722E6C1ED exp=CE58420E7722E6C1ED + 24062.50ns INFO [00024064] Port=1 RD @01 + 24063.50ns INFO [00024065] * RD COMPARE * port=1 adr=04 act=694337FD8A8C47B0F2 exp=694337FD8A8C47B0F2 + 24063.50ns INFO [00024065] Port=1 RD @03 + 24064.50ns INFO [00024066] * RD COMPARE * port=1 adr=01 act=B2C6E5B6561CB431C3 exp=B2C6E5B6561CB431C3 + 24064.50ns INFO [00024066] Port=0 RD @01 + 24064.50ns INFO [00024066] Port=1 RD @03 + 24065.50ns INFO [00024067] * RD COMPARE * port=1 adr=03 act=CE58420E7722E6C1ED exp=CE58420E7722E6C1ED + 24065.50ns INFO [00024067] Port=0 WR @01=21BDD0F7C01B5EBE40 + 24066.50ns INFO [00024068] * RD COMPARE * port=0 adr=01 act=B2C6E5B6561CB431C3 exp=B2C6E5B6561CB431C3 + 24066.50ns INFO [00024068] * RD COMPARE * port=1 adr=03 act=CE58420E7722E6C1ED exp=CE58420E7722E6C1ED + 24066.50ns INFO [00024068] Port=0 RD @00 + 24066.50ns INFO [00024068] Port=1 RD @01 + 24067.50ns INFO [00024069] Port=0 RD @01 + 24068.50ns INFO [00024070] * RD COMPARE * port=0 adr=00 act=36B7BACDD587D7CD4B exp=36B7BACDD587D7CD4B + 24068.50ns INFO [00024070] * RD COMPARE * port=1 adr=01 act=21BDD0F7C01B5EBE40 exp=21BDD0F7C01B5EBE40 + 24069.50ns INFO [00024071] * RD COMPARE * port=0 adr=01 act=21BDD0F7C01B5EBE40 exp=21BDD0F7C01B5EBE40 + 24071.50ns INFO [00024073] Port=0 WR @06=A3A2FDB1DA023012B0 + 24071.50ns INFO [00024073] Port=1 RD @02 + 24072.50ns INFO [00024074] Port=0 RD @07 + 24072.50ns INFO [00024074] Port=1 RD @04 + 24073.50ns INFO [00024075] * RD COMPARE * port=1 adr=02 act=9CDFDB81756F2DA0AB exp=9CDFDB81756F2DA0AB + 24073.50ns INFO [00024075] Port=0 RD @03 + 24073.50ns INFO [00024075] Port=1 RD @02 + 24074.50ns INFO [00024076] * RD COMPARE * port=0 adr=07 act=DDB0D3DCD09DDD20EB exp=DDB0D3DCD09DDD20EB + 24074.50ns INFO [00024076] * RD COMPARE * port=1 adr=04 act=694337FD8A8C47B0F2 exp=694337FD8A8C47B0F2 + 24074.50ns INFO [00024076] Port=1 RD @06 + 24075.50ns INFO [00024077] * RD COMPARE * port=0 adr=03 act=CE58420E7722E6C1ED exp=CE58420E7722E6C1ED + 24075.50ns INFO [00024077] * RD COMPARE * port=1 adr=02 act=9CDFDB81756F2DA0AB exp=9CDFDB81756F2DA0AB + 24075.50ns INFO [00024077] Port=1 RD @01 + 24076.50ns INFO [00024078] * RD COMPARE * port=1 adr=06 act=A3A2FDB1DA023012B0 exp=A3A2FDB1DA023012B0 + 24076.50ns INFO [00024078] Port=0 WR @00=88E8F8DF37B1543AEC + 24076.50ns INFO [00024078] Port=1 RD @05 + 24077.50ns INFO [00024079] * RD COMPARE * port=1 adr=01 act=21BDD0F7C01B5EBE40 exp=21BDD0F7C01B5EBE40 + 24077.50ns INFO [00024079] Port=0 WR @03=F6B538A168527EE7BD + 24077.50ns INFO [00024079] Port=0 RD @07 + 24078.50ns INFO [00024080] * RD COMPARE * port=1 adr=05 act=D467EA54577574A065 exp=D467EA54577574A065 + 24079.50ns INFO [00024081] * RD COMPARE * port=0 adr=07 act=DDB0D3DCD09DDD20EB exp=DDB0D3DCD09DDD20EB + 24079.50ns INFO [00024081] Port=1 RD @01 + 24080.50ns INFO [00024082] Port=1 RD @05 + 24081.50ns INFO [00024083] * RD COMPARE * port=1 adr=01 act=21BDD0F7C01B5EBE40 exp=21BDD0F7C01B5EBE40 + 24081.50ns INFO [00024083] Port=0 WR @06=13D2D94B990B6ABFDA + 24082.50ns INFO [00024084] * RD COMPARE * port=1 adr=05 act=D467EA54577574A065 exp=D467EA54577574A065 + 24082.50ns INFO [00024084] Port=1 RD @04 + 24083.50ns INFO [00024085] Port=0 WR @07=CA95589B59736F3037 + 24083.50ns INFO [00024085] Port=0 RD @02 + 24084.50ns INFO [00024086] * RD COMPARE * port=1 adr=04 act=694337FD8A8C47B0F2 exp=694337FD8A8C47B0F2 + 24084.50ns INFO [00024086] Port=0 WR @04=57509CC54D95D2C1B2 + 24085.50ns INFO [00024087] * RD COMPARE * port=0 adr=02 act=9CDFDB81756F2DA0AB exp=9CDFDB81756F2DA0AB + 24085.50ns INFO [00024087] Port=1 RD @07 + 24086.50ns INFO [00024088] Port=0 RD @02 + 24087.50ns INFO [00024089] * RD COMPARE * port=1 adr=07 act=CA95589B59736F3037 exp=CA95589B59736F3037 + 24087.50ns INFO [00024089] Port=0 WR @02=F4D1B5FE4406E7539F + 24088.50ns INFO [00024090] * RD COMPARE * port=0 adr=02 act=9CDFDB81756F2DA0AB exp=9CDFDB81756F2DA0AB + 24088.50ns INFO [00024090] Port=0 WR @06=92681BAD94C724EA7B + 24088.50ns INFO [00024090] Port=0 RD @05 + 24088.50ns INFO [00024090] Port=1 RD @00 + 24089.50ns INFO [00024091] Port=0 RD @00 + 24089.50ns INFO [00024091] Port=1 RD @03 + 24090.50ns INFO [00024092] * RD COMPARE * port=0 adr=05 act=D467EA54577574A065 exp=D467EA54577574A065 + 24090.50ns INFO [00024092] * RD COMPARE * port=1 adr=00 act=88E8F8DF37B1543AEC exp=88E8F8DF37B1543AEC + 24091.50ns INFO [00024093] * RD COMPARE * port=0 adr=00 act=88E8F8DF37B1543AEC exp=88E8F8DF37B1543AEC + 24091.50ns INFO [00024093] * RD COMPARE * port=1 adr=03 act=F6B538A168527EE7BD exp=F6B538A168527EE7BD + 24091.50ns INFO [00024093] Port=0 RD @01 + 24091.50ns INFO [00024093] Port=1 RD @00 + 24092.50ns INFO [00024094] Port=1 RD @07 + 24093.50ns INFO [00024095] * RD COMPARE * port=0 adr=01 act=21BDD0F7C01B5EBE40 exp=21BDD0F7C01B5EBE40 + 24093.50ns INFO [00024095] * RD COMPARE * port=1 adr=00 act=88E8F8DF37B1543AEC exp=88E8F8DF37B1543AEC + 24094.50ns INFO [00024096] * RD COMPARE * port=1 adr=07 act=CA95589B59736F3037 exp=CA95589B59736F3037 + 24094.50ns INFO [00024096] Port=0 RD @06 + 24094.50ns INFO [00024096] Port=1 RD @01 + 24095.50ns INFO [00024097] Port=0 WR @02=8A50F41E85D81D7A3F + 24096.50ns INFO [00024098] * RD COMPARE * port=0 adr=06 act=92681BAD94C724EA7B exp=92681BAD94C724EA7B + 24096.50ns INFO [00024098] * RD COMPARE * port=1 adr=01 act=21BDD0F7C01B5EBE40 exp=21BDD0F7C01B5EBE40 + 24096.50ns INFO [00024098] Port=1 RD @03 + 24098.00ns INFO [00024100] [00024100] ...tick... + 24098.50ns INFO [00024100] * RD COMPARE * port=1 adr=03 act=F6B538A168527EE7BD exp=F6B538A168527EE7BD + 24098.50ns INFO [00024100] Port=0 WR @04=3A5AD07622D59840CB + 24098.50ns INFO [00024100] Port=0 RD @06 + 24099.50ns INFO [00024101] Port=0 WR @06=E9BF65FD6CF84397DE + 24100.50ns INFO [00024102] * RD COMPARE * port=0 adr=06 act=92681BAD94C724EA7B exp=92681BAD94C724EA7B + 24100.50ns INFO [00024102] Port=0 RD @04 + 24101.50ns INFO [00024103] Port=0 RD @01 + 24101.50ns INFO [00024103] Port=1 RD @01 + 24102.50ns INFO [00024104] * RD COMPARE * port=0 adr=04 act=3A5AD07622D59840CB exp=3A5AD07622D59840CB + 24102.50ns INFO [00024104] Port=0 RD @07 + 24103.50ns INFO [00024105] * RD COMPARE * port=0 adr=01 act=21BDD0F7C01B5EBE40 exp=21BDD0F7C01B5EBE40 + 24103.50ns INFO [00024105] * RD COMPARE * port=1 adr=01 act=21BDD0F7C01B5EBE40 exp=21BDD0F7C01B5EBE40 + 24103.50ns INFO [00024105] Port=0 WR @06=FDC33043C6F819FF38 + 24103.50ns INFO [00024105] Port=0 RD @07 + 24104.50ns INFO [00024106] * RD COMPARE * port=0 adr=07 act=CA95589B59736F3037 exp=CA95589B59736F3037 + 24105.50ns INFO [00024107] * RD COMPARE * port=0 adr=07 act=CA95589B59736F3037 exp=CA95589B59736F3037 + 24105.50ns INFO [00024107] Port=0 RD @00 + 24105.50ns INFO [00024107] Port=1 RD @02 + 24106.50ns INFO [00024108] Port=0 RD @00 + 24106.50ns INFO [00024108] Port=1 RD @02 + 24107.50ns INFO [00024109] * RD COMPARE * port=0 adr=00 act=88E8F8DF37B1543AEC exp=88E8F8DF37B1543AEC + 24107.50ns INFO [00024109] * RD COMPARE * port=1 adr=02 act=8A50F41E85D81D7A3F exp=8A50F41E85D81D7A3F + 24107.50ns INFO [00024109] Port=0 WR @04=72124E7E98EA262E2F + 24108.50ns INFO [00024110] * RD COMPARE * port=0 adr=00 act=88E8F8DF37B1543AEC exp=88E8F8DF37B1543AEC + 24108.50ns INFO [00024110] * RD COMPARE * port=1 adr=02 act=8A50F41E85D81D7A3F exp=8A50F41E85D81D7A3F + 24108.50ns INFO [00024110] Port=0 RD @01 + 24108.50ns INFO [00024110] Port=1 RD @01 + 24110.50ns INFO [00024112] * RD COMPARE * port=0 adr=01 act=21BDD0F7C01B5EBE40 exp=21BDD0F7C01B5EBE40 + 24110.50ns INFO [00024112] * RD COMPARE * port=1 adr=01 act=21BDD0F7C01B5EBE40 exp=21BDD0F7C01B5EBE40 + 24110.50ns INFO [00024112] Port=1 RD @05 + 24111.50ns INFO [00024113] Port=0 WR @01=0E1BBD401EDFF0FDBE + 24112.50ns INFO [00024114] * RD COMPARE * port=1 adr=05 act=D467EA54577574A065 exp=D467EA54577574A065 + 24114.50ns INFO [00024116] Port=1 RD @03 + 24115.50ns INFO [00024117] Port=0 WR @06=6EC156FCF3051933BE + 24115.50ns INFO [00024117] Port=0 RD @07 + 24116.50ns INFO [00024118] * RD COMPARE * port=1 adr=03 act=F6B538A168527EE7BD exp=F6B538A168527EE7BD + 24117.50ns INFO [00024119] * RD COMPARE * port=0 adr=07 act=CA95589B59736F3037 exp=CA95589B59736F3037 + 24117.50ns INFO [00024119] Port=1 RD @07 + 24118.50ns INFO [00024120] Port=0 RD @00 + 24119.50ns INFO [00024121] * RD COMPARE * port=1 adr=07 act=CA95589B59736F3037 exp=CA95589B59736F3037 + 24119.50ns INFO [00024121] Port=0 WR @01=B7F10AE8F149A75C4D + 24120.50ns INFO [00024122] * RD COMPARE * port=0 adr=00 act=88E8F8DF37B1543AEC exp=88E8F8DF37B1543AEC + 24120.50ns INFO [00024122] Port=0 RD @06 + 24120.50ns INFO [00024122] Port=1 RD @05 + 24121.50ns INFO [00024123] Port=0 WR @04=224D66A77BD43CF1C4 + 24122.50ns INFO [00024124] * RD COMPARE * port=0 adr=06 act=6EC156FCF3051933BE exp=6EC156FCF3051933BE + 24122.50ns INFO [00024124] * RD COMPARE * port=1 adr=05 act=D467EA54577574A065 exp=D467EA54577574A065 + 24122.50ns INFO [00024124] Port=1 RD @06 + 24123.50ns INFO [00024125] Port=0 WR @07=50C5451A2EE1B7E159 + 24123.50ns INFO [00024125] Port=1 RD @05 + 24124.50ns INFO [00024126] * RD COMPARE * port=1 adr=06 act=6EC156FCF3051933BE exp=6EC156FCF3051933BE + 24124.50ns INFO [00024126] Port=0 RD @00 + 24125.50ns INFO [00024127] * RD COMPARE * port=1 adr=05 act=D467EA54577574A065 exp=D467EA54577574A065 + 24125.50ns INFO [00024127] Port=0 RD @04 + 24125.50ns INFO [00024127] Port=1 RD @05 + 24126.50ns INFO [00024128] * RD COMPARE * port=0 adr=00 act=88E8F8DF37B1543AEC exp=88E8F8DF37B1543AEC + 24126.50ns INFO [00024128] Port=0 WR @05=9AEE47633D0DE5EC75 + 24126.50ns INFO [00024128] Port=1 RD @02 + 24127.50ns INFO [00024129] * RD COMPARE * port=0 adr=04 act=224D66A77BD43CF1C4 exp=224D66A77BD43CF1C4 + 24127.50ns INFO [00024129] * RD COMPARE * port=1 adr=05 act=D467EA54577574A065 exp=D467EA54577574A065 + 24127.50ns INFO [00024129] Port=0 WR @00=0163595699D2E524BF + 24127.50ns INFO [00024129] Port=1 RD @06 + 24128.50ns INFO [00024130] * RD COMPARE * port=1 adr=02 act=8A50F41E85D81D7A3F exp=8A50F41E85D81D7A3F + 24128.50ns INFO [00024130] Port=0 RD @00 + 24129.50ns INFO [00024131] * RD COMPARE * port=1 adr=06 act=6EC156FCF3051933BE exp=6EC156FCF3051933BE + 24129.50ns INFO [00024131] Port=0 WR @00=214749689A157C3D32 + 24129.50ns INFO [00024131] Port=0 RD @01 + 24129.50ns INFO [00024131] Port=1 RD @04 + 24130.50ns INFO [00024132] * RD COMPARE * port=0 adr=00 act=0163595699D2E524BF exp=0163595699D2E524BF + 24131.50ns INFO [00024133] * RD COMPARE * port=0 adr=01 act=B7F10AE8F149A75C4D exp=B7F10AE8F149A75C4D + 24131.50ns INFO [00024133] * RD COMPARE * port=1 adr=04 act=224D66A77BD43CF1C4 exp=224D66A77BD43CF1C4 + 24131.50ns INFO [00024133] Port=1 RD @06 + 24132.50ns INFO [00024134] Port=1 RD @07 + 24133.50ns INFO [00024135] * RD COMPARE * port=1 adr=06 act=6EC156FCF3051933BE exp=6EC156FCF3051933BE + 24133.50ns INFO [00024135] Port=0 WR @02=FF75A9D999CC07EEC2 + 24133.50ns INFO [00024135] Port=1 RD @06 + 24134.50ns INFO [00024136] * RD COMPARE * port=1 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24134.50ns INFO [00024136] Port=0 WR @04=3DF2264B6D44CB2C12 + 24134.50ns INFO [00024136] Port=0 RD @07 + 24135.50ns INFO [00024137] * RD COMPARE * port=1 adr=06 act=6EC156FCF3051933BE exp=6EC156FCF3051933BE + 24135.50ns INFO [00024137] Port=0 WR @02=BB1AF1200045D5B627 + 24135.50ns INFO [00024137] Port=0 RD @04 + 24136.50ns INFO [00024138] * RD COMPARE * port=0 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24136.50ns INFO [00024138] Port=0 WR @00=BB53BBB4333A3445E8 + 24137.50ns INFO [00024139] * RD COMPARE * port=0 adr=04 act=3DF2264B6D44CB2C12 exp=3DF2264B6D44CB2C12 + 24137.50ns INFO [00024139] Port=0 RD @05 + 24138.50ns INFO [00024140] Port=0 RD @02 + 24138.50ns INFO [00024140] Port=1 RD @01 + 24139.50ns INFO [00024141] * RD COMPARE * port=0 adr=05 act=9AEE47633D0DE5EC75 exp=9AEE47633D0DE5EC75 + 24139.50ns INFO [00024141] Port=0 WR @01=89CCBF14DA5CC436E7 + 24139.50ns INFO [00024141] Port=0 RD @04 + 24140.50ns INFO [00024142] * RD COMPARE * port=0 adr=02 act=BB1AF1200045D5B627 exp=BB1AF1200045D5B627 + 24140.50ns INFO [00024142] * RD COMPARE * port=1 adr=01 act=B7F10AE8F149A75C4D exp=B7F10AE8F149A75C4D + 24140.50ns INFO [00024142] Port=0 WR @00=64D496FCBE796FC4FF + 24140.50ns INFO [00024142] Port=0 RD @06 + 24140.50ns INFO [00024142] Port=1 RD @05 + 24141.50ns INFO [00024143] * RD COMPARE * port=0 adr=04 act=3DF2264B6D44CB2C12 exp=3DF2264B6D44CB2C12 + 24141.50ns INFO [00024143] Port=0 RD @00 + 24142.50ns INFO [00024144] * RD COMPARE * port=0 adr=06 act=6EC156FCF3051933BE exp=6EC156FCF3051933BE + 24142.50ns INFO [00024144] * RD COMPARE * port=1 adr=05 act=9AEE47633D0DE5EC75 exp=9AEE47633D0DE5EC75 + 24142.50ns INFO [00024144] Port=0 WR @05=1242A408DA22896F95 + 24142.50ns INFO [00024144] Port=0 RD @01 + 24142.50ns INFO [00024144] Port=1 RD @07 + 24143.50ns INFO [00024145] * RD COMPARE * port=0 adr=00 act=64D496FCBE796FC4FF exp=64D496FCBE796FC4FF + 24143.50ns INFO [00024145] Port=0 WR @04=DF6BE1024BF4FE065D + 24143.50ns INFO [00024145] Port=1 RD @00 + 24144.50ns INFO [00024146] * RD COMPARE * port=0 adr=01 act=89CCBF14DA5CC436E7 exp=89CCBF14DA5CC436E7 + 24144.50ns INFO [00024146] * RD COMPARE * port=1 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24144.50ns INFO [00024146] Port=0 WR @02=6D81DDCDBE1652227D + 24144.50ns INFO [00024146] Port=0 RD @01 + 24145.50ns INFO [00024147] * RD COMPARE * port=1 adr=00 act=64D496FCBE796FC4FF exp=64D496FCBE796FC4FF + 24145.50ns INFO [00024147] Port=0 WR @00=4BF42E50663F6F7A2C + 24146.50ns INFO [00024148] * RD COMPARE * port=0 adr=01 act=89CCBF14DA5CC436E7 exp=89CCBF14DA5CC436E7 + 24147.50ns INFO [00024149] Port=0 WR @02=640594697DFBB5B276 + 24148.50ns INFO [00024150] Port=0 RD @04 + 24148.50ns INFO [00024150] Port=1 RD @03 + 24149.50ns INFO [00024151] Port=0 RD @04 + 24150.50ns INFO [00024152] * RD COMPARE * port=0 adr=04 act=DF6BE1024BF4FE065D exp=DF6BE1024BF4FE065D + 24150.50ns INFO [00024152] * RD COMPARE * port=1 adr=03 act=F6B538A168527EE7BD exp=F6B538A168527EE7BD + 24150.50ns INFO [00024152] Port=0 WR @05=0DE8FCC3854B2093AA + 24150.50ns INFO [00024152] Port=0 RD @02 + 24151.50ns INFO [00024153] * RD COMPARE * port=0 adr=04 act=DF6BE1024BF4FE065D exp=DF6BE1024BF4FE065D + 24151.50ns INFO [00024153] Port=0 RD @04 + 24152.50ns INFO [00024154] * RD COMPARE * port=0 adr=02 act=640594697DFBB5B276 exp=640594697DFBB5B276 + 24152.50ns INFO [00024154] Port=0 WR @01=DC308D02043CCC67B3 + 24152.50ns INFO [00024154] Port=0 RD @07 + 24152.50ns INFO [00024154] Port=1 RD @02 + 24153.50ns INFO [00024155] * RD COMPARE * port=0 adr=04 act=DF6BE1024BF4FE065D exp=DF6BE1024BF4FE065D + 24154.50ns INFO [00024156] * RD COMPARE * port=0 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24154.50ns INFO [00024156] * RD COMPARE * port=1 adr=02 act=640594697DFBB5B276 exp=640594697DFBB5B276 + 24154.50ns INFO [00024156] Port=0 RD @05 + 24154.50ns INFO [00024156] Port=1 RD @05 + 24155.50ns INFO [00024157] Port=0 RD @00 + 24155.50ns INFO [00024157] Port=1 RD @01 + 24156.50ns INFO [00024158] * RD COMPARE * port=0 adr=05 act=0DE8FCC3854B2093AA exp=0DE8FCC3854B2093AA + 24156.50ns INFO [00024158] * RD COMPARE * port=1 adr=05 act=0DE8FCC3854B2093AA exp=0DE8FCC3854B2093AA + 24157.50ns INFO [00024159] * RD COMPARE * port=0 adr=00 act=4BF42E50663F6F7A2C exp=4BF42E50663F6F7A2C + 24157.50ns INFO [00024159] * RD COMPARE * port=1 adr=01 act=DC308D02043CCC67B3 exp=DC308D02043CCC67B3 + 24157.50ns INFO [00024159] Port=0 WR @03=F8E54CEA4C4D4C5DCF + 24157.50ns INFO [00024159] Port=0 RD @01 + 24158.50ns INFO [00024160] Port=0 WR @05=6FE5CA9B272A87CD02 + 24159.50ns INFO [00024161] * RD COMPARE * port=0 adr=01 act=DC308D02043CCC67B3 exp=DC308D02043CCC67B3 + 24159.50ns INFO [00024161] Port=0 RD @01 + 24161.50ns INFO [00024163] * RD COMPARE * port=0 adr=01 act=DC308D02043CCC67B3 exp=DC308D02043CCC67B3 + 24161.50ns INFO [00024163] Port=0 WR @05=6AAADFDDAAC0C746AA + 24161.50ns INFO [00024163] Port=0 RD @04 + 24163.50ns INFO [00024165] * RD COMPARE * port=0 adr=04 act=DF6BE1024BF4FE065D exp=DF6BE1024BF4FE065D + 24163.50ns INFO [00024165] Port=0 WR @02=A6B7E1E6D7C3D5C858 + 24163.50ns INFO [00024165] Port=1 RD @03 + 24164.50ns INFO [00024166] Port=1 RD @07 + 24165.50ns INFO [00024167] * RD COMPARE * port=1 adr=03 act=F8E54CEA4C4D4C5DCF exp=F8E54CEA4C4D4C5DCF + 24166.50ns INFO [00024168] * RD COMPARE * port=1 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24166.50ns INFO [00024168] Port=0 WR @01=A0354A8BE33A9A9D6A + 24166.50ns INFO [00024168] Port=0 RD @04 + 24166.50ns INFO [00024168] Port=1 RD @04 + 24167.50ns INFO [00024169] Port=0 WR @02=8166AC17F6D9B28E65 + 24168.50ns INFO [00024170] * RD COMPARE * port=0 adr=04 act=DF6BE1024BF4FE065D exp=DF6BE1024BF4FE065D + 24168.50ns INFO [00024170] * RD COMPARE * port=1 adr=04 act=DF6BE1024BF4FE065D exp=DF6BE1024BF4FE065D + 24168.50ns INFO [00024170] Port=0 RD @03 + 24168.50ns INFO [00024170] Port=1 RD @02 + 24169.50ns INFO [00024171] Port=1 RD @07 + 24170.50ns INFO [00024172] * RD COMPARE * port=0 adr=03 act=F8E54CEA4C4D4C5DCF exp=F8E54CEA4C4D4C5DCF + 24170.50ns INFO [00024172] * RD COMPARE * port=1 adr=02 act=8166AC17F6D9B28E65 exp=8166AC17F6D9B28E65 + 24170.50ns INFO [00024172] Port=0 WR @06=B72803F1FC0C485FE4 + 24170.50ns INFO [00024172] Port=1 RD @00 + 24171.50ns INFO [00024173] * RD COMPARE * port=1 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24171.50ns INFO [00024173] Port=0 WR @01=0A5049C938AED8BDDB + 24172.50ns INFO [00024174] * RD COMPARE * port=1 adr=00 act=4BF42E50663F6F7A2C exp=4BF42E50663F6F7A2C + 24173.50ns INFO [00024175] Port=0 RD @05 + 24175.50ns INFO [00024177] * RD COMPARE * port=0 adr=05 act=6AAADFDDAAC0C746AA exp=6AAADFDDAAC0C746AA + 24175.50ns INFO [00024177] Port=1 RD @04 + 24176.50ns INFO [00024178] Port=0 RD @02 + 24176.50ns INFO [00024178] Port=1 RD @05 + 24177.50ns INFO [00024179] * RD COMPARE * port=1 adr=04 act=DF6BE1024BF4FE065D exp=DF6BE1024BF4FE065D + 24177.50ns INFO [00024179] Port=0 WR @00=60D9E19546D48D3167 + 24177.50ns INFO [00024179] Port=1 RD @03 + 24178.50ns INFO [00024180] * RD COMPARE * port=0 adr=02 act=8166AC17F6D9B28E65 exp=8166AC17F6D9B28E65 + 24178.50ns INFO [00024180] * RD COMPARE * port=1 adr=05 act=6AAADFDDAAC0C746AA exp=6AAADFDDAAC0C746AA + 24178.50ns INFO [00024180] Port=0 WR @03=4AB4AAD88CE2B30AC8 + 24178.50ns INFO [00024180] Port=1 RD @06 + 24179.50ns INFO [00024181] * RD COMPARE * port=1 adr=03 act=F8E54CEA4C4D4C5DCF exp=F8E54CEA4C4D4C5DCF + 24179.50ns INFO [00024181] Port=0 RD @01 + 24180.50ns INFO [00024182] * RD COMPARE * port=1 adr=06 act=B72803F1FC0C485FE4 exp=B72803F1FC0C485FE4 + 24180.50ns INFO [00024182] Port=1 RD @01 + 24181.50ns INFO [00024183] * RD COMPARE * port=0 adr=01 act=0A5049C938AED8BDDB exp=0A5049C938AED8BDDB + 24182.50ns INFO [00024184] * RD COMPARE * port=1 adr=01 act=0A5049C938AED8BDDB exp=0A5049C938AED8BDDB + 24182.50ns INFO [00024184] Port=0 RD @01 + 24182.50ns INFO [00024184] Port=1 RD @07 + 24184.50ns INFO [00024186] * RD COMPARE * port=0 adr=01 act=0A5049C938AED8BDDB exp=0A5049C938AED8BDDB + 24184.50ns INFO [00024186] * RD COMPARE * port=1 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24184.50ns INFO [00024186] Port=0 RD @07 + 24184.50ns INFO [00024186] Port=1 RD @02 + 24185.50ns INFO [00024187] Port=0 RD @07 + 24186.50ns INFO [00024188] * RD COMPARE * port=0 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24186.50ns INFO [00024188] * RD COMPARE * port=1 adr=02 act=8166AC17F6D9B28E65 exp=8166AC17F6D9B28E65 + 24186.50ns INFO [00024188] Port=0 WR @06=371385E5D8AA7C883E + 24186.50ns INFO [00024188] Port=0 RD @07 + 24187.50ns INFO [00024189] * RD COMPARE * port=0 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24187.50ns INFO [00024189] Port=0 WR @01=FF3754E7B86393721C + 24188.50ns INFO [00024190] * RD COMPARE * port=0 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24188.50ns INFO [00024190] Port=0 WR @05=3486FBD9B5F7098BA4 + 24189.50ns INFO [00024191] Port=1 RD @07 + 24190.50ns INFO [00024192] Port=0 WR @07=D293F4E760381E8D0E + 24190.50ns INFO [00024192] Port=0 RD @04 + 24191.50ns INFO [00024193] * RD COMPARE * port=1 adr=07 act=50C5451A2EE1B7E159 exp=50C5451A2EE1B7E159 + 24191.50ns INFO [00024193] Port=0 WR @06=C0BD58FED229715735 + 24191.50ns INFO [00024193] Port=0 RD @07 + 24192.50ns INFO [00024194] * RD COMPARE * port=0 adr=04 act=DF6BE1024BF4FE065D exp=DF6BE1024BF4FE065D + 24193.50ns INFO [00024195] * RD COMPARE * port=0 adr=07 act=D293F4E760381E8D0E exp=D293F4E760381E8D0E + 24194.50ns INFO [00024196] Port=0 RD @05 + 24194.50ns INFO [00024196] Port=1 RD @05 + 24195.50ns INFO [00024197] Port=0 WR @06=7A3B83F66D0337C56A + 24196.50ns INFO [00024198] * RD COMPARE * port=0 adr=05 act=3486FBD9B5F7098BA4 exp=3486FBD9B5F7098BA4 + 24196.50ns INFO [00024198] * RD COMPARE * port=1 adr=05 act=3486FBD9B5F7098BA4 exp=3486FBD9B5F7098BA4 + 24196.50ns INFO [00024198] Port=0 RD @02 + 24196.50ns INFO [00024198] Port=1 RD @01 + 24198.00ns INFO [00024200] [00024200] ...tick... + 24198.50ns INFO [00024200] * RD COMPARE * port=0 adr=02 act=8166AC17F6D9B28E65 exp=8166AC17F6D9B28E65 + 24198.50ns INFO [00024200] * RD COMPARE * port=1 adr=01 act=FF3754E7B86393721C exp=FF3754E7B86393721C + 24198.50ns INFO [00024200] Port=0 WR @04=70D43FA07AB17B662E + 24198.50ns INFO [00024200] Port=1 RD @02 + 24199.50ns INFO [00024201] Port=0 WR @02=36F458806326EE9DCE + 24199.50ns INFO [00024201] Port=1 RD @00 + 24200.50ns INFO [00024202] * RD COMPARE * port=1 adr=02 act=8166AC17F6D9B28E65 exp=8166AC17F6D9B28E65 + 24200.50ns INFO [00024202] Port=0 RD @02 + 24200.50ns INFO [00024202] Port=1 RD @01 + 24201.50ns INFO [00024203] * RD COMPARE * port=1 adr=00 act=60D9E19546D48D3167 exp=60D9E19546D48D3167 + 24201.50ns INFO [00024203] Port=0 RD @01 + 24202.50ns INFO [00024204] * RD COMPARE * port=0 adr=02 act=36F458806326EE9DCE exp=36F458806326EE9DCE + 24202.50ns INFO [00024204] * RD COMPARE * port=1 adr=01 act=FF3754E7B86393721C exp=FF3754E7B86393721C + 24202.50ns INFO [00024204] Port=1 RD @02 + 24203.50ns INFO [00024205] * RD COMPARE * port=0 adr=01 act=FF3754E7B86393721C exp=FF3754E7B86393721C + 24203.50ns INFO [00024205] Port=0 RD @02 + 24204.50ns INFO [00024206] * RD COMPARE * port=1 adr=02 act=36F458806326EE9DCE exp=36F458806326EE9DCE + 24204.50ns INFO [00024206] Port=1 RD @03 + 24205.50ns INFO [00024207] * RD COMPARE * port=0 adr=02 act=36F458806326EE9DCE exp=36F458806326EE9DCE + 24205.50ns INFO [00024207] Port=0 WR @07=BE21D4AE4908319D92 + 24206.50ns INFO [00024208] * RD COMPARE * port=1 adr=03 act=4AB4AAD88CE2B30AC8 exp=4AB4AAD88CE2B30AC8 + 24206.50ns INFO [00024208] Port=0 WR @06=66EB07FBF4BA4452A0 + 24206.50ns INFO [00024208] Port=0 RD @02 + 24207.50ns INFO [00024209] Port=1 RD @06 + 24208.50ns INFO [00024210] * RD COMPARE * port=0 adr=02 act=36F458806326EE9DCE exp=36F458806326EE9DCE + 24209.50ns INFO [00024211] * RD COMPARE * port=1 adr=06 act=66EB07FBF4BA4452A0 exp=66EB07FBF4BA4452A0 + 24209.50ns INFO [00024211] Port=1 RD @06 + 24210.50ns INFO [00024212] Port=1 RD @00 + 24211.50ns INFO [00024213] * RD COMPARE * port=1 adr=06 act=66EB07FBF4BA4452A0 exp=66EB07FBF4BA4452A0 + 24211.50ns INFO [00024213] Port=0 WR @07=B079F3BDF2627E4F4F + 24212.50ns INFO [00024214] * RD COMPARE * port=1 adr=00 act=60D9E19546D48D3167 exp=60D9E19546D48D3167 + 24213.50ns INFO [00024215] Port=0 WR @01=3E5052E7B6F6582C88 + 24213.50ns INFO [00024215] Port=0 RD @07 + 24215.50ns INFO [00024217] * RD COMPARE * port=0 adr=07 act=B079F3BDF2627E4F4F exp=B079F3BDF2627E4F4F + 24215.50ns INFO [00024217] Port=0 RD @01 + 24216.50ns INFO [00024218] Port=0 WR @01=0E0CE9FDCABC87BDEA + 24216.50ns INFO [00024218] Port=0 RD @07 + 24217.50ns INFO [00024219] * RD COMPARE * port=0 adr=01 act=3E5052E7B6F6582C88 exp=3E5052E7B6F6582C88 + 24217.50ns INFO [00024219] Port=1 RD @03 + 24218.50ns INFO [00024220] * RD COMPARE * port=0 adr=07 act=B079F3BDF2627E4F4F exp=B079F3BDF2627E4F4F + 24219.50ns INFO [00024221] * RD COMPARE * port=1 adr=03 act=4AB4AAD88CE2B30AC8 exp=4AB4AAD88CE2B30AC8 + 24219.50ns INFO [00024221] Port=0 WR @03=089E538C10C9821C96 + 24219.50ns INFO [00024221] Port=1 RD @00 + 24220.50ns INFO [00024222] Port=0 WR @07=9E0F3E118D4B833B0B + 24220.50ns INFO [00024222] Port=1 RD @05 + 24221.50ns INFO [00024223] * RD COMPARE * port=1 adr=00 act=60D9E19546D48D3167 exp=60D9E19546D48D3167 + 24221.50ns INFO [00024223] Port=0 WR @06=55F5917863F162CC56 + 24221.50ns INFO [00024223] Port=1 RD @07 + 24222.50ns INFO [00024224] * RD COMPARE * port=1 adr=05 act=3486FBD9B5F7098BA4 exp=3486FBD9B5F7098BA4 + 24222.50ns INFO [00024224] Port=0 WR @00=2BE2BFD9B023D0A9FA + 24222.50ns INFO [00024224] Port=0 RD @05 + 24223.50ns INFO [00024225] * RD COMPARE * port=1 adr=07 act=9E0F3E118D4B833B0B exp=9E0F3E118D4B833B0B + 24224.50ns INFO [00024226] * RD COMPARE * port=0 adr=05 act=3486FBD9B5F7098BA4 exp=3486FBD9B5F7098BA4 + 24224.50ns INFO [00024226] Port=0 WR @04=0892A8B0005AA27ED0 + 24224.50ns INFO [00024226] Port=0 RD @05 + 24224.50ns INFO [00024226] Port=1 RD @02 + 24225.50ns INFO [00024227] Port=0 RD @02 + 24225.50ns INFO [00024227] Port=1 RD @04 + 24226.50ns INFO [00024228] * RD COMPARE * port=0 adr=05 act=3486FBD9B5F7098BA4 exp=3486FBD9B5F7098BA4 + 24226.50ns INFO [00024228] * RD COMPARE * port=1 adr=02 act=36F458806326EE9DCE exp=36F458806326EE9DCE + 24226.50ns INFO [00024228] Port=0 WR @05=C7FBD0AD379E40279C + 24227.50ns INFO [00024229] * RD COMPARE * port=0 adr=02 act=36F458806326EE9DCE exp=36F458806326EE9DCE + 24227.50ns INFO [00024229] * RD COMPARE * port=1 adr=04 act=0892A8B0005AA27ED0 exp=0892A8B0005AA27ED0 + 24227.50ns INFO [00024229] Port=1 RD @02 + 24228.50ns INFO [00024230] Port=0 RD @03 + 24229.50ns INFO [00024231] * RD COMPARE * port=1 adr=02 act=36F458806326EE9DCE exp=36F458806326EE9DCE + 24229.50ns INFO [00024231] Port=0 RD @07 + 24230.50ns INFO [00024232] * RD COMPARE * port=0 adr=03 act=089E538C10C9821C96 exp=089E538C10C9821C96 + 24230.50ns INFO [00024232] Port=0 WR @04=F11FA08E2189D9B5A0 + 24230.50ns INFO [00024232] Port=0 RD @07 + 24231.50ns INFO [00024233] * RD COMPARE * port=0 adr=07 act=9E0F3E118D4B833B0B exp=9E0F3E118D4B833B0B + 24232.50ns INFO [00024234] * RD COMPARE * port=0 adr=07 act=9E0F3E118D4B833B0B exp=9E0F3E118D4B833B0B + 24232.50ns INFO [00024234] Port=1 RD @06 + 24233.50ns INFO [00024235] Port=0 RD @06 + 24234.50ns INFO [00024236] * RD COMPARE * port=1 adr=06 act=55F5917863F162CC56 exp=55F5917863F162CC56 + 24234.50ns INFO [00024236] Port=0 WR @03=3212596245EFA5BEC9 + 24234.50ns INFO [00024236] Port=1 RD @01 + 24235.50ns INFO [00024237] * RD COMPARE * port=0 adr=06 act=55F5917863F162CC56 exp=55F5917863F162CC56 + 24235.50ns INFO [00024237] Port=0 WR @00=4F8B1F5E6F34E2B818 + 24236.50ns INFO [00024238] * RD COMPARE * port=1 adr=01 act=0E0CE9FDCABC87BDEA exp=0E0CE9FDCABC87BDEA + 24237.50ns INFO [00024239] Port=0 RD @01 + 24238.50ns INFO [00024240] Port=0 WR @07=222CF3DDEB19E03F63 + 24238.50ns INFO [00024240] Port=0 RD @03 + 24239.50ns INFO [00024241] * RD COMPARE * port=0 adr=01 act=0E0CE9FDCABC87BDEA exp=0E0CE9FDCABC87BDEA + 24239.50ns INFO [00024241] Port=0 RD @06 + 24240.50ns INFO [00024242] * RD COMPARE * port=0 adr=03 act=3212596245EFA5BEC9 exp=3212596245EFA5BEC9 + 24241.50ns INFO [00024243] * RD COMPARE * port=0 adr=06 act=55F5917863F162CC56 exp=55F5917863F162CC56 + 24241.50ns INFO [00024243] Port=0 RD @04 + 24242.50ns INFO [00024244] Port=0 WR @03=CA767F8B51C32EA1BD + 24242.50ns INFO [00024244] Port=1 RD @05 + 24243.50ns INFO [00024245] * RD COMPARE * port=0 adr=04 act=F11FA08E2189D9B5A0 exp=F11FA08E2189D9B5A0 + 24243.50ns INFO [00024245] Port=0 RD @01 + 24243.50ns INFO [00024245] Port=1 RD @00 + 24244.50ns INFO [00024246] * RD COMPARE * port=1 adr=05 act=C7FBD0AD379E40279C exp=C7FBD0AD379E40279C + 24244.50ns INFO [00024246] Port=0 WR @03=938E3657EF6A32CB6F + 24245.50ns INFO [00024247] * RD COMPARE * port=0 adr=01 act=0E0CE9FDCABC87BDEA exp=0E0CE9FDCABC87BDEA + 24245.50ns INFO [00024247] * RD COMPARE * port=1 adr=00 act=4F8B1F5E6F34E2B818 exp=4F8B1F5E6F34E2B818 + 24245.50ns INFO [00024247] Port=0 RD @06 + 24245.50ns INFO [00024247] Port=1 RD @06 + 24246.50ns INFO [00024248] Port=0 WR @02=B753D508A1964184B4 + 24246.50ns INFO [00024248] Port=0 RD @07 + 24246.50ns INFO [00024248] Port=1 RD @03 + 24247.50ns INFO [00024249] * RD COMPARE * port=0 adr=06 act=55F5917863F162CC56 exp=55F5917863F162CC56 + 24247.50ns INFO [00024249] * RD COMPARE * port=1 adr=06 act=55F5917863F162CC56 exp=55F5917863F162CC56 + 24248.50ns INFO [00024250] * RD COMPARE * port=0 adr=07 act=222CF3DDEB19E03F63 exp=222CF3DDEB19E03F63 + 24248.50ns INFO [00024250] * RD COMPARE * port=1 adr=03 act=938E3657EF6A32CB6F exp=938E3657EF6A32CB6F + 24249.50ns INFO [00024251] Port=0 WR @04=8247A328CFB5707347 + 24249.50ns INFO [00024251] Port=0 RD @05 + 24250.50ns INFO [00024252] Port=0 WR @02=DC8AAF1F42F6C05D06 + 24250.50ns INFO [00024252] Port=0 RD @03 + 24251.50ns INFO [00024253] * RD COMPARE * port=0 adr=05 act=C7FBD0AD379E40279C exp=C7FBD0AD379E40279C + 24251.50ns INFO [00024253] Port=0 WR @06=6783DF577DA146A104 + 24252.50ns INFO [00024254] * RD COMPARE * port=0 adr=03 act=938E3657EF6A32CB6F exp=938E3657EF6A32CB6F + 24254.50ns INFO [00024256] Port=0 WR @01=5B8DA5B2E31E627A54 + 24255.50ns INFO [00024257] Port=0 RD @00 + 24256.50ns INFO [00024258] Port=0 WR @02=87717655777151C4CE + 24257.50ns INFO [00024259] * RD COMPARE * port=0 adr=00 act=4F8B1F5E6F34E2B818 exp=4F8B1F5E6F34E2B818 + 24257.50ns INFO [00024259] Port=0 WR @00=BE220DEC0138CBCA79 + 24257.50ns INFO [00024259] Port=1 RD @07 + 24258.50ns INFO [00024260] Port=0 WR @04=9515C7BF75BC5AA461 + 24258.50ns INFO [00024260] Port=0 RD @07 + 24259.50ns INFO [00024261] * RD COMPARE * port=1 adr=07 act=222CF3DDEB19E03F63 exp=222CF3DDEB19E03F63 + 24260.50ns INFO [00024262] * RD COMPARE * port=0 adr=07 act=222CF3DDEB19E03F63 exp=222CF3DDEB19E03F63 + 24261.50ns INFO [00024263] Port=0 RD @03 + 24262.50ns INFO [00024264] Port=0 RD @01 + 24263.50ns INFO [00024265] * RD COMPARE * port=0 adr=03 act=938E3657EF6A32CB6F exp=938E3657EF6A32CB6F + 24263.50ns INFO [00024265] Port=0 WR @04=9B79B4193095ABF2FF + 24263.50ns INFO [00024265] Port=0 RD @05 + 24264.50ns INFO [00024266] * RD COMPARE * port=0 adr=01 act=5B8DA5B2E31E627A54 exp=5B8DA5B2E31E627A54 + 24264.50ns INFO [00024266] Port=0 WR @05=B2897E683EE31DED8F + 24264.50ns INFO [00024266] Port=0 RD @07 + 24264.50ns INFO [00024266] Port=1 RD @01 + 24265.50ns INFO [00024267] * RD COMPARE * port=0 adr=05 act=C7FBD0AD379E40279C exp=C7FBD0AD379E40279C + 24265.50ns INFO [00024267] Port=0 WR @07=E7957C2DFB72ACA06E + 24265.50ns INFO [00024267] Port=0 RD @05 + 24266.50ns INFO [00024268] * RD COMPARE * port=0 adr=07 act=222CF3DDEB19E03F63 exp=222CF3DDEB19E03F63 + 24266.50ns INFO [00024268] * RD COMPARE * port=1 adr=01 act=5B8DA5B2E31E627A54 exp=5B8DA5B2E31E627A54 + 24266.50ns INFO [00024268] Port=0 WR @07=FCF01BF490ED9CC6AC + 24267.50ns INFO [00024269] * RD COMPARE * port=0 adr=05 act=B2897E683EE31DED8F exp=B2897E683EE31DED8F + 24267.50ns INFO [00024269] Port=1 RD @06 + 24269.50ns INFO [00024271] * RD COMPARE * port=1 adr=06 act=6783DF577DA146A104 exp=6783DF577DA146A104 + 24269.50ns INFO [00024271] Port=1 RD @07 + 24270.50ns INFO [00024272] Port=1 RD @04 + 24271.50ns INFO [00024273] * RD COMPARE * port=1 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24271.50ns INFO [00024273] Port=0 WR @03=F3FD19579DBEC4294E + 24271.50ns INFO [00024273] Port=1 RD @06 + 24272.50ns INFO [00024274] * RD COMPARE * port=1 adr=04 act=9B79B4193095ABF2FF exp=9B79B4193095ABF2FF + 24272.50ns INFO [00024274] Port=0 RD @03 + 24273.50ns INFO [00024275] * RD COMPARE * port=1 adr=06 act=6783DF577DA146A104 exp=6783DF577DA146A104 + 24273.50ns INFO [00024275] Port=1 RD @01 + 24274.50ns INFO [00024276] * RD COMPARE * port=0 adr=03 act=F3FD19579DBEC4294E exp=F3FD19579DBEC4294E + 24274.50ns INFO [00024276] Port=0 WR @05=3B6A166EFC0CA7F80F + 24274.50ns INFO [00024276] Port=0 RD @07 + 24274.50ns INFO [00024276] Port=1 RD @07 + 24275.50ns INFO [00024277] * RD COMPARE * port=1 adr=01 act=5B8DA5B2E31E627A54 exp=5B8DA5B2E31E627A54 + 24275.50ns INFO [00024277] Port=0 WR @01=614567A46089309108 + 24275.50ns INFO [00024277] Port=0 RD @05 + 24275.50ns INFO [00024277] Port=1 RD @04 + 24276.50ns INFO [00024278] * RD COMPARE * port=0 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24276.50ns INFO [00024278] * RD COMPARE * port=1 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24277.50ns INFO [00024279] * RD COMPARE * port=0 adr=05 act=3B6A166EFC0CA7F80F exp=3B6A166EFC0CA7F80F + 24277.50ns INFO [00024279] * RD COMPARE * port=1 adr=04 act=9B79B4193095ABF2FF exp=9B79B4193095ABF2FF + 24277.50ns INFO [00024279] Port=0 WR @01=3012982221F2560042 + 24277.50ns INFO [00024279] Port=1 RD @05 + 24278.50ns INFO [00024280] Port=0 RD @04 + 24279.50ns INFO [00024281] * RD COMPARE * port=1 adr=05 act=3B6A166EFC0CA7F80F exp=3B6A166EFC0CA7F80F + 24279.50ns INFO [00024281] Port=0 WR @03=11030720F42197CDDC + 24279.50ns INFO [00024281] Port=1 RD @01 + 24280.50ns INFO [00024282] * RD COMPARE * port=0 adr=04 act=9B79B4193095ABF2FF exp=9B79B4193095ABF2FF + 24280.50ns INFO [00024282] Port=0 WR @01=B55C06FD2677A5DB18 + 24281.50ns INFO [00024283] * RD COMPARE * port=1 adr=01 act=3012982221F2560042 exp=3012982221F2560042 + 24281.50ns INFO [00024283] Port=0 RD @00 + 24282.50ns INFO [00024284] Port=0 WR @02=557D60C0207750F750 + 24283.50ns INFO [00024285] * RD COMPARE * port=0 adr=00 act=BE220DEC0138CBCA79 exp=BE220DEC0138CBCA79 + 24283.50ns INFO [00024285] Port=1 RD @00 + 24284.50ns INFO [00024286] Port=0 WR @06=D294A618C5CF132BD7 + 24285.50ns INFO [00024287] * RD COMPARE * port=1 adr=00 act=BE220DEC0138CBCA79 exp=BE220DEC0138CBCA79 + 24285.50ns INFO [00024287] Port=0 WR @00=ACDEA6FCB7595EFB33 + 24285.50ns INFO [00024287] Port=0 RD @03 + 24286.50ns INFO [00024288] Port=0 WR @05=E50194AEBCE3A6C88D + 24287.50ns INFO [00024289] * RD COMPARE * port=0 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24287.50ns INFO [00024289] Port=0 RD @03 + 24289.50ns INFO [00024291] * RD COMPARE * port=0 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24289.50ns INFO [00024291] Port=0 WR @06=28A8CBEA1FE82F6A97 + 24289.50ns INFO [00024291] Port=0 RD @07 + 24290.50ns INFO [00024292] Port=0 WR @05=36308188397ED6BF2B + 24291.50ns INFO [00024293] * RD COMPARE * port=0 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24291.50ns INFO [00024293] Port=0 RD @05 + 24291.50ns INFO [00024293] Port=1 RD @07 + 24292.50ns INFO [00024294] Port=0 WR @04=6467D77DF80BB3937D + 24292.50ns INFO [00024294] Port=1 RD @07 + 24293.50ns INFO [00024295] * RD COMPARE * port=0 adr=05 act=36308188397ED6BF2B exp=36308188397ED6BF2B + 24293.50ns INFO [00024295] * RD COMPARE * port=1 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24294.50ns INFO [00024296] * RD COMPARE * port=1 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24294.50ns INFO [00024296] Port=0 RD @03 + 24294.50ns INFO [00024296] Port=1 RD @01 + 24296.50ns INFO [00024298] * RD COMPARE * port=0 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24296.50ns INFO [00024298] * RD COMPARE * port=1 adr=01 act=B55C06FD2677A5DB18 exp=B55C06FD2677A5DB18 + 24296.50ns INFO [00024298] Port=1 RD @04 + 24297.50ns INFO [00024299] Port=0 RD @02 + 24298.00ns INFO [00024300] [00024300] ...tick... + 24298.50ns INFO [00024300] * RD COMPARE * port=1 adr=04 act=6467D77DF80BB3937D exp=6467D77DF80BB3937D + 24298.50ns INFO [00024300] Port=0 RD @03 + 24299.50ns INFO [00024301] * RD COMPARE * port=0 adr=02 act=557D60C0207750F750 exp=557D60C0207750F750 + 24299.50ns INFO [00024301] Port=0 RD @01 + 24300.50ns INFO [00024302] * RD COMPARE * port=0 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24300.50ns INFO [00024302] Port=0 RD @02 + 24300.50ns INFO [00024302] Port=1 RD @05 + 24301.50ns INFO [00024303] * RD COMPARE * port=0 adr=01 act=B55C06FD2677A5DB18 exp=B55C06FD2677A5DB18 + 24301.50ns INFO [00024303] Port=0 RD @02 + 24301.50ns INFO [00024303] Port=1 RD @01 + 24302.50ns INFO [00024304] * RD COMPARE * port=0 adr=02 act=557D60C0207750F750 exp=557D60C0207750F750 + 24302.50ns INFO [00024304] * RD COMPARE * port=1 adr=05 act=36308188397ED6BF2B exp=36308188397ED6BF2B + 24302.50ns INFO [00024304] Port=0 RD @07 + 24302.50ns INFO [00024304] Port=1 RD @06 + 24303.50ns INFO [00024305] * RD COMPARE * port=0 adr=02 act=557D60C0207750F750 exp=557D60C0207750F750 + 24303.50ns INFO [00024305] * RD COMPARE * port=1 adr=01 act=B55C06FD2677A5DB18 exp=B55C06FD2677A5DB18 + 24303.50ns INFO [00024305] Port=0 WR @05=AC7B312251B0EEC2F5 + 24304.50ns INFO [00024306] * RD COMPARE * port=0 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24304.50ns INFO [00024306] * RD COMPARE * port=1 adr=06 act=28A8CBEA1FE82F6A97 exp=28A8CBEA1FE82F6A97 + 24304.50ns INFO [00024306] Port=0 WR @00=2E88BBBA09A2518A04 + 24305.50ns INFO [00024307] Port=0 WR @06=5615462FF6BCE92D22 + 24306.50ns INFO [00024308] Port=0 WR @01=03A706BA2AA0FF4279 + 24306.50ns INFO [00024308] Port=0 RD @07 + 24307.50ns INFO [00024309] Port=0 WR @01=9F7E3E76B8066276D0 + 24307.50ns INFO [00024309] Port=0 RD @00 + 24308.50ns INFO [00024310] * RD COMPARE * port=0 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24309.50ns INFO [00024311] * RD COMPARE * port=0 adr=00 act=2E88BBBA09A2518A04 exp=2E88BBBA09A2518A04 + 24309.50ns INFO [00024311] Port=0 WR @00=9EB395AC947A056FE5 + 24309.50ns INFO [00024311] Port=0 RD @03 + 24310.50ns INFO [00024312] Port=1 RD @05 + 24311.50ns INFO [00024313] * RD COMPARE * port=0 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24312.50ns INFO [00024314] * RD COMPARE * port=1 adr=05 act=AC7B312251B0EEC2F5 exp=AC7B312251B0EEC2F5 + 24312.50ns INFO [00024314] Port=0 RD @02 + 24313.50ns INFO [00024315] Port=0 RD @01 + 24314.50ns INFO [00024316] * RD COMPARE * port=0 adr=02 act=557D60C0207750F750 exp=557D60C0207750F750 + 24315.50ns INFO [00024317] * RD COMPARE * port=0 adr=01 act=9F7E3E76B8066276D0 exp=9F7E3E76B8066276D0 + 24315.50ns INFO [00024317] Port=1 RD @03 + 24316.50ns INFO [00024318] Port=0 RD @00 + 24317.50ns INFO [00024319] * RD COMPARE * port=1 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24317.50ns INFO [00024319] Port=0 WR @00=291411680E0D8E3F00 + 24317.50ns INFO [00024319] Port=0 RD @07 + 24317.50ns INFO [00024319] Port=1 RD @03 + 24318.50ns INFO [00024320] * RD COMPARE * port=0 adr=00 act=9EB395AC947A056FE5 exp=9EB395AC947A056FE5 + 24318.50ns INFO [00024320] Port=0 WR @02=EC8A56560911EBA844 + 24318.50ns INFO [00024320] Port=0 RD @07 + 24318.50ns INFO [00024320] Port=1 RD @05 + 24319.50ns INFO [00024321] * RD COMPARE * port=0 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24319.50ns INFO [00024321] * RD COMPARE * port=1 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24320.50ns INFO [00024322] * RD COMPARE * port=0 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24320.50ns INFO [00024322] * RD COMPARE * port=1 adr=05 act=AC7B312251B0EEC2F5 exp=AC7B312251B0EEC2F5 + 24320.50ns INFO [00024322] Port=0 WR @05=CE9D67E526A758A5A8 + 24320.50ns INFO [00024322] Port=1 RD @07 + 24321.50ns INFO [00024323] Port=0 RD @07 + 24322.50ns INFO [00024324] * RD COMPARE * port=1 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24322.50ns INFO [00024324] Port=1 RD @01 + 24323.50ns INFO [00024325] * RD COMPARE * port=0 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24323.50ns INFO [00024325] Port=0 WR @04=57ECFAF1B4F4F64973 + 24324.50ns INFO [00024326] * RD COMPARE * port=1 adr=01 act=9F7E3E76B8066276D0 exp=9F7E3E76B8066276D0 + 24324.50ns INFO [00024326] Port=1 RD @00 + 24325.50ns INFO [00024327] Port=0 RD @04 + 24326.50ns INFO [00024328] * RD COMPARE * port=1 adr=00 act=291411680E0D8E3F00 exp=291411680E0D8E3F00 + 24326.50ns INFO [00024328] Port=0 WR @04=18B4C8D83053C0A26E + 24326.50ns INFO [00024328] Port=1 RD @07 + 24327.50ns INFO [00024329] * RD COMPARE * port=0 adr=04 act=57ECFAF1B4F4F64973 exp=57ECFAF1B4F4F64973 + 24328.50ns INFO [00024330] * RD COMPARE * port=1 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24328.50ns INFO [00024330] Port=0 WR @00=F16143370D935564C0 + 24328.50ns INFO [00024330] Port=0 RD @03 + 24328.50ns INFO [00024330] Port=1 RD @04 + 24329.50ns INFO [00024331] Port=0 WR @01=FEC53F981825DE60F0 + 24329.50ns INFO [00024331] Port=0 RD @02 + 24330.50ns INFO [00024332] * RD COMPARE * port=0 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24330.50ns INFO [00024332] * RD COMPARE * port=1 adr=04 act=18B4C8D83053C0A26E exp=18B4C8D83053C0A26E + 24330.50ns INFO [00024332] Port=0 WR @02=4D6964CE3BC83455DD + 24331.50ns INFO [00024333] * RD COMPARE * port=0 adr=02 act=EC8A56560911EBA844 exp=EC8A56560911EBA844 + 24332.50ns INFO [00024334] Port=0 WR @06=4391BA3355A70AFD6A + 24332.50ns INFO [00024334] Port=0 RD @00 + 24332.50ns INFO [00024334] Port=1 RD @03 + 24333.50ns INFO [00024335] Port=1 RD @06 + 24334.50ns INFO [00024336] * RD COMPARE * port=0 adr=00 act=F16143370D935564C0 exp=F16143370D935564C0 + 24334.50ns INFO [00024336] * RD COMPARE * port=1 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24334.50ns INFO [00024336] Port=1 RD @03 + 24335.50ns INFO [00024337] * RD COMPARE * port=1 adr=06 act=4391BA3355A70AFD6A exp=4391BA3355A70AFD6A + 24336.50ns INFO [00024338] * RD COMPARE * port=1 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24337.50ns INFO [00024339] Port=0 WR @02=B0E113E021A14F38B9 + 24338.50ns INFO [00024340] Port=1 RD @01 + 24340.50ns INFO [00024342] * RD COMPARE * port=1 adr=01 act=FEC53F981825DE60F0 exp=FEC53F981825DE60F0 + 24340.50ns INFO [00024342] Port=1 RD @03 + 24341.50ns INFO [00024343] Port=0 WR @06=34CA77310B8B1EE212 + 24342.50ns INFO [00024344] * RD COMPARE * port=1 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24343.50ns INFO [00024345] Port=1 RD @07 + 24344.50ns INFO [00024346] Port=0 WR @00=1EC573C388E5958C3A + 24344.50ns INFO [00024346] Port=0 RD @04 + 24345.50ns INFO [00024347] * RD COMPARE * port=1 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24345.50ns INFO [00024347] Port=1 RD @03 + 24346.50ns INFO [00024348] * RD COMPARE * port=0 adr=04 act=18B4C8D83053C0A26E exp=18B4C8D83053C0A26E + 24346.50ns INFO [00024348] Port=0 WR @05=F6986ADA97D0F87371 + 24347.50ns INFO [00024349] * RD COMPARE * port=1 adr=03 act=11030720F42197CDDC exp=11030720F42197CDDC + 24347.50ns INFO [00024349] Port=0 WR @00=83FA71CC6B18C91018 + 24348.50ns INFO [00024350] Port=0 WR @06=14D38F7BC7F04FD99B + 24348.50ns INFO [00024350] Port=1 RD @04 + 24349.50ns INFO [00024351] Port=0 WR @01=B61BE0528C7FF652EF + 24349.50ns INFO [00024351] Port=0 RD @05 + 24350.50ns INFO [00024352] * RD COMPARE * port=1 adr=04 act=18B4C8D83053C0A26E exp=18B4C8D83053C0A26E + 24350.50ns INFO [00024352] Port=0 WR @04=EDDE0039A006769647 + 24351.50ns INFO [00024353] * RD COMPARE * port=0 adr=05 act=F6986ADA97D0F87371 exp=F6986ADA97D0F87371 + 24351.50ns INFO [00024353] Port=0 WR @05=E6EDFF54054E49C9E0 + 24351.50ns INFO [00024353] Port=0 RD @06 + 24352.50ns INFO [00024354] Port=0 WR @06=1CCAF2F673EBE20D2A + 24353.50ns INFO [00024355] * RD COMPARE * port=0 adr=06 act=14D38F7BC7F04FD99B exp=14D38F7BC7F04FD99B + 24353.50ns INFO [00024355] Port=0 WR @03=352CB3C736CBF8D7D9 + 24353.50ns INFO [00024355] Port=0 RD @06 + 24353.50ns INFO [00024355] Port=1 RD @02 + 24354.50ns INFO [00024356] Port=0 RD @07 + 24354.50ns INFO [00024356] Port=1 RD @05 + 24355.50ns INFO [00024357] * RD COMPARE * port=0 adr=06 act=1CCAF2F673EBE20D2A exp=1CCAF2F673EBE20D2A + 24355.50ns INFO [00024357] * RD COMPARE * port=1 adr=02 act=B0E113E021A14F38B9 exp=B0E113E021A14F38B9 + 24355.50ns INFO [00024357] Port=0 WR @06=9BB257B918E49FDCFE + 24355.50ns INFO [00024357] Port=0 RD @01 + 24356.50ns INFO [00024358] * RD COMPARE * port=0 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24356.50ns INFO [00024358] * RD COMPARE * port=1 adr=05 act=E6EDFF54054E49C9E0 exp=E6EDFF54054E49C9E0 + 24357.50ns INFO [00024359] * RD COMPARE * port=0 adr=01 act=B61BE0528C7FF652EF exp=B61BE0528C7FF652EF + 24357.50ns INFO [00024359] Port=1 RD @06 + 24358.50ns INFO [00024360] Port=0 WR @05=DD282A5DDA4CFEFEC8 + 24358.50ns INFO [00024360] Port=0 RD @07 + 24359.50ns INFO [00024361] * RD COMPARE * port=1 adr=06 act=9BB257B918E49FDCFE exp=9BB257B918E49FDCFE + 24360.50ns INFO [00024362] * RD COMPARE * port=0 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24360.50ns INFO [00024362] Port=0 RD @05 + 24361.50ns INFO [00024363] Port=1 RD @06 + 24362.50ns INFO [00024364] * RD COMPARE * port=0 adr=05 act=DD282A5DDA4CFEFEC8 exp=DD282A5DDA4CFEFEC8 + 24362.50ns INFO [00024364] Port=0 RD @04 + 24362.50ns INFO [00024364] Port=1 RD @00 + 24363.50ns INFO [00024365] * RD COMPARE * port=1 adr=06 act=9BB257B918E49FDCFE exp=9BB257B918E49FDCFE + 24363.50ns INFO [00024365] Port=0 RD @05 + 24363.50ns INFO [00024365] Port=1 RD @01 + 24364.50ns INFO [00024366] * RD COMPARE * port=0 adr=04 act=EDDE0039A006769647 exp=EDDE0039A006769647 + 24364.50ns INFO [00024366] * RD COMPARE * port=1 adr=00 act=83FA71CC6B18C91018 exp=83FA71CC6B18C91018 + 24364.50ns INFO [00024366] Port=0 WR @00=E5A9F0F56FA4191DDC + 24364.50ns INFO [00024366] Port=0 RD @06 + 24364.50ns INFO [00024366] Port=1 RD @05 + 24365.50ns INFO [00024367] * RD COMPARE * port=0 adr=05 act=DD282A5DDA4CFEFEC8 exp=DD282A5DDA4CFEFEC8 + 24365.50ns INFO [00024367] * RD COMPARE * port=1 adr=01 act=B61BE0528C7FF652EF exp=B61BE0528C7FF652EF + 24365.50ns INFO [00024367] Port=0 WR @03=87AA390AB8885BB576 + 24365.50ns INFO [00024367] Port=0 RD @04 + 24365.50ns INFO [00024367] Port=1 RD @07 + 24366.50ns INFO [00024368] * RD COMPARE * port=0 adr=06 act=9BB257B918E49FDCFE exp=9BB257B918E49FDCFE + 24366.50ns INFO [00024368] * RD COMPARE * port=1 adr=05 act=DD282A5DDA4CFEFEC8 exp=DD282A5DDA4CFEFEC8 + 24366.50ns INFO [00024368] Port=0 RD @03 + 24366.50ns INFO [00024368] Port=1 RD @04 + 24367.50ns INFO [00024369] * RD COMPARE * port=0 adr=04 act=EDDE0039A006769647 exp=EDDE0039A006769647 + 24367.50ns INFO [00024369] * RD COMPARE * port=1 adr=07 act=FCF01BF490ED9CC6AC exp=FCF01BF490ED9CC6AC + 24367.50ns INFO [00024369] Port=0 WR @01=F249CFE198CD65D454 + 24367.50ns INFO [00024369] Port=0 RD @06 + 24368.50ns INFO [00024370] * RD COMPARE * port=0 adr=03 act=87AA390AB8885BB576 exp=87AA390AB8885BB576 + 24368.50ns INFO [00024370] * RD COMPARE * port=1 adr=04 act=EDDE0039A006769647 exp=EDDE0039A006769647 + 24369.50ns INFO [00024371] * RD COMPARE * port=0 adr=06 act=9BB257B918E49FDCFE exp=9BB257B918E49FDCFE + 24370.50ns INFO [00024372] Port=0 WR @07=CCE5682AB81249C402 + 24371.50ns INFO [00024373] Port=0 WR @04=758EDD5911E47FEF97 + 24372.50ns INFO [00024374] Port=0 WR @00=22341244F698ED2844 + 24373.50ns INFO [00024375] Port=0 WR @06=44E682119CD895EA8C + 24373.50ns INFO [00024375] Port=0 RD @02 + 24374.50ns INFO [00024376] Port=0 RD @05 + 24375.50ns INFO [00024377] * RD COMPARE * port=0 adr=02 act=B0E113E021A14F38B9 exp=B0E113E021A14F38B9 + 24375.50ns INFO [00024377] Port=0 WR @05=A317BE933D76717906 + 24376.50ns INFO [00024378] * RD COMPARE * port=0 adr=05 act=DD282A5DDA4CFEFEC8 exp=DD282A5DDA4CFEFEC8 + 24376.50ns INFO [00024378] Port=0 WR @01=6681F35073F112FD21 + 24376.50ns INFO [00024378] Port=1 RD @02 + 24377.50ns INFO [00024379] Port=1 RD @00 + 24378.50ns INFO [00024380] * RD COMPARE * port=1 adr=02 act=B0E113E021A14F38B9 exp=B0E113E021A14F38B9 + 24378.50ns INFO [00024380] Port=1 RD @03 + 24379.50ns INFO [00024381] * RD COMPARE * port=1 adr=00 act=22341244F698ED2844 exp=22341244F698ED2844 + 24379.50ns INFO [00024381] Port=0 WR @04=4ABB86B0D503E7FD94 + 24379.50ns INFO [00024381] Port=0 RD @07 + 24379.50ns INFO [00024381] Port=1 RD @05 + 24380.50ns INFO [00024382] * RD COMPARE * port=1 adr=03 act=87AA390AB8885BB576 exp=87AA390AB8885BB576 + 24380.50ns INFO [00024382] Port=0 WR @05=F9BF95F587E1E6DE2B + 24381.50ns INFO [00024383] * RD COMPARE * port=0 adr=07 act=CCE5682AB81249C402 exp=CCE5682AB81249C402 + 24381.50ns INFO [00024383] * RD COMPARE * port=1 adr=05 act=A317BE933D76717906 exp=A317BE933D76717906 + 24381.50ns INFO [00024383] Port=0 WR @04=6AFBB0226C1F41B762 + 24382.50ns INFO [00024384] Port=0 WR @02=3447E195B78AF64EEE + 24382.50ns INFO [00024384] Port=0 RD @04 + 24383.50ns INFO [00024385] Port=1 RD @02 + 24384.50ns INFO [00024386] * RD COMPARE * port=0 adr=04 act=6AFBB0226C1F41B762 exp=6AFBB0226C1F41B762 + 24384.50ns INFO [00024386] Port=0 RD @05 + 24385.50ns INFO [00024387] * RD COMPARE * port=1 adr=02 act=3447E195B78AF64EEE exp=3447E195B78AF64EEE + 24385.50ns INFO [00024387] Port=0 WR @01=1B6B2E0A73A2A075B3 + 24385.50ns INFO [00024387] Port=1 RD @02 + 24386.50ns INFO [00024388] * RD COMPARE * port=0 adr=05 act=F9BF95F587E1E6DE2B exp=F9BF95F587E1E6DE2B + 24386.50ns INFO [00024388] Port=1 RD @07 + 24387.50ns INFO [00024389] * RD COMPARE * port=1 adr=02 act=3447E195B78AF64EEE exp=3447E195B78AF64EEE + 24387.50ns INFO [00024389] Port=0 WR @05=8C97045ED9D65C7EEC + 24387.50ns INFO [00024389] Port=1 RD @00 + 24388.50ns INFO [00024390] * RD COMPARE * port=1 adr=07 act=CCE5682AB81249C402 exp=CCE5682AB81249C402 + 24388.50ns INFO [00024390] Port=0 RD @04 + 24388.50ns INFO [00024390] Port=1 RD @07 + 24389.50ns INFO [00024391] * RD COMPARE * port=1 adr=00 act=22341244F698ED2844 exp=22341244F698ED2844 + 24389.50ns INFO [00024391] Port=0 WR @01=71B71B782381314035 + 24389.50ns INFO [00024391] Port=0 RD @04 + 24390.50ns INFO [00024392] * RD COMPARE * port=0 adr=04 act=6AFBB0226C1F41B762 exp=6AFBB0226C1F41B762 + 24390.50ns INFO [00024392] * RD COMPARE * port=1 adr=07 act=CCE5682AB81249C402 exp=CCE5682AB81249C402 + 24390.50ns INFO [00024392] Port=0 RD @03 + 24391.50ns INFO [00024393] * RD COMPARE * port=0 adr=04 act=6AFBB0226C1F41B762 exp=6AFBB0226C1F41B762 + 24392.50ns INFO [00024394] * RD COMPARE * port=0 adr=03 act=87AA390AB8885BB576 exp=87AA390AB8885BB576 + 24392.50ns INFO [00024394] Port=0 RD @02 + 24392.50ns INFO [00024394] Port=1 RD @01 + 24393.50ns INFO [00024395] Port=0 WR @00=30A6AFD264B80FFA70 + 24393.50ns INFO [00024395] Port=0 RD @01 + 24394.50ns INFO [00024396] * RD COMPARE * port=0 adr=02 act=3447E195B78AF64EEE exp=3447E195B78AF64EEE + 24394.50ns INFO [00024396] * RD COMPARE * port=1 adr=01 act=71B71B782381314035 exp=71B71B782381314035 + 24395.50ns INFO [00024397] * RD COMPARE * port=0 adr=01 act=71B71B782381314035 exp=71B71B782381314035 + 24397.50ns INFO [00024399] Port=0 WR @04=324356CF23B45C6AA0 + 24398.00ns INFO [00024400] [00024400] ...tick... + 24398.50ns INFO [00024400] Port=0 WR @04=BB021F24A718D6ECFE + 24399.50ns INFO [00024401] Port=1 RD @05 + 24400.50ns INFO [00024402] Port=0 RD @02 + 24400.50ns INFO [00024402] Port=1 RD @00 + 24401.50ns INFO [00024403] * RD COMPARE * port=1 adr=05 act=8C97045ED9D65C7EEC exp=8C97045ED9D65C7EEC + 24402.50ns INFO [00024404] * RD COMPARE * port=0 adr=02 act=3447E195B78AF64EEE exp=3447E195B78AF64EEE + 24402.50ns INFO [00024404] * RD COMPARE * port=1 adr=00 act=30A6AFD264B80FFA70 exp=30A6AFD264B80FFA70 + 24402.50ns INFO [00024404] Port=1 RD @03 + 24403.50ns INFO [00024405] Port=1 RD @02 + 24404.50ns INFO [00024406] * RD COMPARE * port=1 adr=03 act=87AA390AB8885BB576 exp=87AA390AB8885BB576 + 24404.50ns INFO [00024406] Port=0 RD @07 + 24404.50ns INFO [00024406] Port=1 RD @06 + 24405.50ns INFO [00024407] * RD COMPARE * port=1 adr=02 act=3447E195B78AF64EEE exp=3447E195B78AF64EEE + 24406.50ns INFO [00024408] * RD COMPARE * port=0 adr=07 act=CCE5682AB81249C402 exp=CCE5682AB81249C402 + 24406.50ns INFO [00024408] * RD COMPARE * port=1 adr=06 act=44E682119CD895EA8C exp=44E682119CD895EA8C + 24406.50ns INFO [00024408] Port=0 RD @00 + 24406.50ns INFO [00024408] Port=1 RD @03 + 24407.50ns INFO [00024409] Port=0 RD @05 + 24407.50ns INFO [00024409] Port=1 RD @01 + 24408.50ns INFO [00024410] * RD COMPARE * port=0 adr=00 act=30A6AFD264B80FFA70 exp=30A6AFD264B80FFA70 + 24408.50ns INFO [00024410] * RD COMPARE * port=1 adr=03 act=87AA390AB8885BB576 exp=87AA390AB8885BB576 + 24408.50ns INFO [00024410] Port=0 WR @05=14C76CC710A0667EBA + 24408.50ns INFO [00024410] Port=0 RD @03 + 24409.50ns INFO [00024411] * RD COMPARE * port=0 adr=05 act=8C97045ED9D65C7EEC exp=8C97045ED9D65C7EEC + 24409.50ns INFO [00024411] * RD COMPARE * port=1 adr=01 act=71B71B782381314035 exp=71B71B782381314035 + 24409.50ns INFO [00024411] Port=0 RD @06 + 24409.50ns INFO [00024411] Port=1 RD @06 + 24410.50ns INFO [00024412] * RD COMPARE * port=0 adr=03 act=87AA390AB8885BB576 exp=87AA390AB8885BB576 + 24410.50ns INFO [00024412] Port=0 WR @06=F42F293089BEF43CDB + 24410.50ns INFO [00024412] Port=1 RD @01 + 24411.50ns INFO [00024413] * RD COMPARE * port=0 adr=06 act=44E682119CD895EA8C exp=44E682119CD895EA8C + 24411.50ns INFO [00024413] * RD COMPARE * port=1 adr=06 act=44E682119CD895EA8C exp=44E682119CD895EA8C + 24412.50ns INFO [00024414] * RD COMPARE * port=1 adr=01 act=71B71B782381314035 exp=71B71B782381314035 + 24412.50ns INFO [00024414] Port=1 RD @05 + 24413.50ns INFO [00024415] Port=0 WR @01=866066A149DAE53E1A + 24414.50ns INFO [00024416] * RD COMPARE * port=1 adr=05 act=14C76CC710A0667EBA exp=14C76CC710A0667EBA + 24414.50ns INFO [00024416] Port=0 RD @00 + 24415.50ns INFO [00024417] Port=1 RD @03 + 24416.50ns INFO [00024418] * RD COMPARE * port=0 adr=00 act=30A6AFD264B80FFA70 exp=30A6AFD264B80FFA70 + 24416.50ns INFO [00024418] Port=0 RD @07 + 24417.50ns INFO [00024419] * RD COMPARE * port=1 adr=03 act=87AA390AB8885BB576 exp=87AA390AB8885BB576 + 24418.50ns INFO [00024420] * RD COMPARE * port=0 adr=07 act=CCE5682AB81249C402 exp=CCE5682AB81249C402 + 24419.50ns INFO [00024421] Port=0 WR @02=704771E8663B8568CA + 24419.50ns INFO [00024421] Port=0 RD @06 + 24419.50ns INFO [00024421] Port=1 RD @00 + 24420.50ns INFO [00024422] Port=0 WR @01=A39BE89916455BFA3A + 24420.50ns INFO [00024422] Port=1 RD @03 + 24421.50ns INFO [00024423] * RD COMPARE * port=0 adr=06 act=F42F293089BEF43CDB exp=F42F293089BEF43CDB + 24421.50ns INFO [00024423] * RD COMPARE * port=1 adr=00 act=30A6AFD264B80FFA70 exp=30A6AFD264B80FFA70 + 24422.50ns INFO [00024424] * RD COMPARE * port=1 adr=03 act=87AA390AB8885BB576 exp=87AA390AB8885BB576 + 24423.50ns INFO [00024425] Port=0 WR @05=D179A41B3A29637D9C + 24423.50ns INFO [00024425] Port=0 RD @01 + 24424.50ns INFO [00024426] Port=1 RD @02 + 24425.50ns INFO [00024427] * RD COMPARE * port=0 adr=01 act=A39BE89916455BFA3A exp=A39BE89916455BFA3A + 24426.50ns INFO [00024428] * RD COMPARE * port=1 adr=02 act=704771E8663B8568CA exp=704771E8663B8568CA + 24426.50ns INFO [00024428] Port=0 WR @05=3B92CA14783CFB5B02 + 24427.50ns INFO [00024429] Port=1 RD @07 + 24428.50ns INFO [00024430] Port=0 WR @00=D6836676DC8E75B996 + 24429.50ns INFO [00024431] * RD COMPARE * port=1 adr=07 act=CCE5682AB81249C402 exp=CCE5682AB81249C402 + 24429.50ns INFO [00024431] Port=0 WR @06=197E60A1D8E4E3DB41 + 24429.50ns INFO [00024431] Port=1 RD @05 + 24431.50ns INFO [00024433] * RD COMPARE * port=1 adr=05 act=3B92CA14783CFB5B02 exp=3B92CA14783CFB5B02 + 24431.50ns INFO [00024433] Port=0 RD @00 + 24432.50ns INFO [00024434] Port=0 RD @00 + 24433.50ns INFO [00024435] * RD COMPARE * port=0 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24433.50ns INFO [00024435] Port=0 WR @04=F30486DA03926AA425 + 24433.50ns INFO [00024435] Port=1 RD @07 + 24434.50ns INFO [00024436] * RD COMPARE * port=0 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24434.50ns INFO [00024436] Port=0 RD @04 + 24435.50ns INFO [00024437] * RD COMPARE * port=1 adr=07 act=CCE5682AB81249C402 exp=CCE5682AB81249C402 + 24435.50ns INFO [00024437] Port=0 WR @07=5F3655C29A2991DBA5 + 24436.50ns INFO [00024438] * RD COMPARE * port=0 adr=04 act=F30486DA03926AA425 exp=F30486DA03926AA425 + 24436.50ns INFO [00024438] Port=0 WR @02=75B70B5A71A297553C + 24436.50ns INFO [00024438] Port=0 RD @06 + 24437.50ns INFO [00024439] Port=0 WR @05=6BF4C8B942012EA051 + 24437.50ns INFO [00024439] Port=1 RD @04 + 24438.50ns INFO [00024440] * RD COMPARE * port=0 adr=06 act=197E60A1D8E4E3DB41 exp=197E60A1D8E4E3DB41 + 24438.50ns INFO [00024440] Port=0 WR @03=E89DF6597B2212C126 + 24438.50ns INFO [00024440] Port=0 RD @02 + 24438.50ns INFO [00024440] Port=1 RD @00 + 24439.50ns INFO [00024441] * RD COMPARE * port=1 adr=04 act=F30486DA03926AA425 exp=F30486DA03926AA425 + 24439.50ns INFO [00024441] Port=0 WR @05=E2E6DAA66A567E8D49 + 24439.50ns INFO [00024441] Port=0 RD @01 + 24440.50ns INFO [00024442] * RD COMPARE * port=0 adr=02 act=75B70B5A71A297553C exp=75B70B5A71A297553C + 24440.50ns INFO [00024442] * RD COMPARE * port=1 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24440.50ns INFO [00024442] Port=0 RD @03 + 24440.50ns INFO [00024442] Port=1 RD @05 + 24441.50ns INFO [00024443] * RD COMPARE * port=0 adr=01 act=A39BE89916455BFA3A exp=A39BE89916455BFA3A + 24441.50ns INFO [00024443] Port=0 WR @07=B442A3DA9DF2DD8176 + 24441.50ns INFO [00024443] Port=0 RD @06 + 24441.50ns INFO [00024443] Port=1 RD @00 + 24442.50ns INFO [00024444] * RD COMPARE * port=0 adr=03 act=E89DF6597B2212C126 exp=E89DF6597B2212C126 + 24442.50ns INFO [00024444] * RD COMPARE * port=1 adr=05 act=E2E6DAA66A567E8D49 exp=E2E6DAA66A567E8D49 + 24442.50ns INFO [00024444] Port=0 WR @02=86986DFED5A67A3862 + 24443.50ns INFO [00024445] * RD COMPARE * port=0 adr=06 act=197E60A1D8E4E3DB41 exp=197E60A1D8E4E3DB41 + 24443.50ns INFO [00024445] * RD COMPARE * port=1 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24444.50ns INFO [00024446] Port=0 WR @01=51ECCCDE33399C3550 + 24445.50ns INFO [00024447] Port=0 RD @00 + 24445.50ns INFO [00024447] Port=1 RD @04 + 24446.50ns INFO [00024448] Port=0 WR @02=2A24EB1FCF2CE0C9C5 + 24447.50ns INFO [00024449] * RD COMPARE * port=0 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24447.50ns INFO [00024449] * RD COMPARE * port=1 adr=04 act=F30486DA03926AA425 exp=F30486DA03926AA425 + 24447.50ns INFO [00024449] Port=0 WR @05=13B741CCB57DCF997E + 24447.50ns INFO [00024449] Port=0 RD @02 + 24448.50ns INFO [00024450] Port=0 WR @05=3576A694B122675D21 + 24448.50ns INFO [00024450] Port=0 RD @02 + 24449.50ns INFO [00024451] * RD COMPARE * port=0 adr=02 act=2A24EB1FCF2CE0C9C5 exp=2A24EB1FCF2CE0C9C5 + 24449.50ns INFO [00024451] Port=0 WR @03=291C58045ABB21A504 + 24449.50ns INFO [00024451] Port=0 RD @00 + 24449.50ns INFO [00024451] Port=1 RD @01 + 24450.50ns INFO [00024452] * RD COMPARE * port=0 adr=02 act=2A24EB1FCF2CE0C9C5 exp=2A24EB1FCF2CE0C9C5 + 24450.50ns INFO [00024452] Port=1 RD @07 + 24451.50ns INFO [00024453] * RD COMPARE * port=0 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24451.50ns INFO [00024453] * RD COMPARE * port=1 adr=01 act=51ECCCDE33399C3550 exp=51ECCCDE33399C3550 + 24451.50ns INFO [00024453] Port=0 WR @07=67CBAD6617DAC0C3B4 + 24451.50ns INFO [00024453] Port=0 RD @01 + 24451.50ns INFO [00024453] Port=1 RD @03 + 24452.50ns INFO [00024454] * RD COMPARE * port=1 adr=07 act=B442A3DA9DF2DD8176 exp=B442A3DA9DF2DD8176 + 24452.50ns INFO [00024454] Port=0 RD @07 + 24452.50ns INFO [00024454] Port=1 RD @00 + 24453.50ns INFO [00024455] * RD COMPARE * port=0 adr=01 act=51ECCCDE33399C3550 exp=51ECCCDE33399C3550 + 24453.50ns INFO [00024455] * RD COMPARE * port=1 adr=03 act=291C58045ABB21A504 exp=291C58045ABB21A504 + 24453.50ns INFO [00024455] Port=0 RD @01 + 24453.50ns INFO [00024455] Port=1 RD @05 + 24454.50ns INFO [00024456] * RD COMPARE * port=0 adr=07 act=67CBAD6617DAC0C3B4 exp=67CBAD6617DAC0C3B4 + 24454.50ns INFO [00024456] * RD COMPARE * port=1 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24454.50ns INFO [00024456] Port=0 RD @06 + 24455.50ns INFO [00024457] * RD COMPARE * port=0 adr=01 act=51ECCCDE33399C3550 exp=51ECCCDE33399C3550 + 24455.50ns INFO [00024457] * RD COMPARE * port=1 adr=05 act=3576A694B122675D21 exp=3576A694B122675D21 + 24456.50ns INFO [00024458] * RD COMPARE * port=0 adr=06 act=197E60A1D8E4E3DB41 exp=197E60A1D8E4E3DB41 + 24456.50ns INFO [00024458] Port=0 RD @05 + 24457.50ns INFO [00024459] Port=1 RD @05 + 24458.50ns INFO [00024460] * RD COMPARE * port=0 adr=05 act=3576A694B122675D21 exp=3576A694B122675D21 + 24458.50ns INFO [00024460] Port=0 WR @05=BDC72980C9D7282F1A + 24458.50ns INFO [00024460] Port=1 RD @06 + 24459.50ns INFO [00024461] * RD COMPARE * port=1 adr=05 act=3576A694B122675D21 exp=3576A694B122675D21 + 24459.50ns INFO [00024461] Port=0 RD @07 + 24459.50ns INFO [00024461] Port=1 RD @06 + 24460.50ns INFO [00024462] * RD COMPARE * port=1 adr=06 act=197E60A1D8E4E3DB41 exp=197E60A1D8E4E3DB41 + 24461.50ns INFO [00024463] * RD COMPARE * port=0 adr=07 act=67CBAD6617DAC0C3B4 exp=67CBAD6617DAC0C3B4 + 24461.50ns INFO [00024463] * RD COMPARE * port=1 adr=06 act=197E60A1D8E4E3DB41 exp=197E60A1D8E4E3DB41 + 24461.50ns INFO [00024463] Port=0 RD @01 + 24461.50ns INFO [00024463] Port=1 RD @06 + 24462.50ns INFO [00024464] Port=1 RD @03 + 24463.50ns INFO [00024465] * RD COMPARE * port=0 adr=01 act=51ECCCDE33399C3550 exp=51ECCCDE33399C3550 + 24463.50ns INFO [00024465] * RD COMPARE * port=1 adr=06 act=197E60A1D8E4E3DB41 exp=197E60A1D8E4E3DB41 + 24463.50ns INFO [00024465] Port=0 WR @01=C8F955FE1832C4A482 + 24463.50ns INFO [00024465] Port=1 RD @06 + 24464.50ns INFO [00024466] * RD COMPARE * port=1 adr=03 act=291C58045ABB21A504 exp=291C58045ABB21A504 + 24465.50ns INFO [00024467] * RD COMPARE * port=1 adr=06 act=197E60A1D8E4E3DB41 exp=197E60A1D8E4E3DB41 + 24465.50ns INFO [00024467] Port=0 WR @02=C826C35A6DE7B4B009 + 24465.50ns INFO [00024467] Port=0 RD @00 + 24466.50ns INFO [00024468] Port=0 RD @06 + 24467.50ns INFO [00024469] * RD COMPARE * port=0 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24468.50ns INFO [00024470] * RD COMPARE * port=0 adr=06 act=197E60A1D8E4E3DB41 exp=197E60A1D8E4E3DB41 + 24469.50ns INFO [00024471] Port=0 WR @05=826B847D0D7DD27C3B + 24469.50ns INFO [00024471] Port=0 RD @00 + 24469.50ns INFO [00024471] Port=1 RD @01 + 24471.50ns INFO [00024473] * RD COMPARE * port=0 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24471.50ns INFO [00024473] * RD COMPARE * port=1 adr=01 act=C8F955FE1832C4A482 exp=C8F955FE1832C4A482 + 24471.50ns INFO [00024473] Port=0 WR @07=F49DEA22B14F145FAF + 24472.50ns INFO [00024474] Port=0 WR @07=FA19B574714A7E909A + 24473.50ns INFO [00024475] Port=1 RD @07 + 24475.50ns INFO [00024477] * RD COMPARE * port=1 adr=07 act=FA19B574714A7E909A exp=FA19B574714A7E909A + 24475.50ns INFO [00024477] Port=1 RD @03 + 24476.50ns INFO [00024478] Port=0 WR @06=2DC5D974532A9E8BF2 + 24476.50ns INFO [00024478] Port=1 RD @05 + 24477.50ns INFO [00024479] * RD COMPARE * port=1 adr=03 act=291C58045ABB21A504 exp=291C58045ABB21A504 + 24478.50ns INFO [00024480] * RD COMPARE * port=1 adr=05 act=826B847D0D7DD27C3B exp=826B847D0D7DD27C3B + 24478.50ns INFO [00024480] Port=0 WR @04=C75EB723A7F7975949 + 24478.50ns INFO [00024480] Port=1 RD @00 + 24479.50ns INFO [00024481] Port=1 RD @07 + 24480.50ns INFO [00024482] * RD COMPARE * port=1 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24480.50ns INFO [00024482] Port=0 RD @05 + 24480.50ns INFO [00024482] Port=1 RD @00 + 24481.50ns INFO [00024483] * RD COMPARE * port=1 adr=07 act=FA19B574714A7E909A exp=FA19B574714A7E909A + 24482.50ns INFO [00024484] * RD COMPARE * port=0 adr=05 act=826B847D0D7DD27C3B exp=826B847D0D7DD27C3B + 24482.50ns INFO [00024484] * RD COMPARE * port=1 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24482.50ns INFO [00024484] Port=0 RD @00 + 24483.50ns INFO [00024485] Port=1 RD @02 + 24484.50ns INFO [00024486] * RD COMPARE * port=0 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24485.50ns INFO [00024487] * RD COMPARE * port=1 adr=02 act=C826C35A6DE7B4B009 exp=C826C35A6DE7B4B009 + 24486.50ns INFO [00024488] Port=0 WR @06=49113199B54BE498F4 + 24486.50ns INFO [00024488] Port=0 RD @04 + 24487.50ns INFO [00024489] Port=1 RD @00 + 24488.50ns INFO [00024490] * RD COMPARE * port=0 adr=04 act=C75EB723A7F7975949 exp=C75EB723A7F7975949 + 24488.50ns INFO [00024490] Port=0 WR @04=8D157B813C23743B8A + 24488.50ns INFO [00024490] Port=0 RD @03 + 24489.50ns INFO [00024491] * RD COMPARE * port=1 adr=00 act=D6836676DC8E75B996 exp=D6836676DC8E75B996 + 24489.50ns INFO [00024491] Port=0 WR @07=42736AF4D3EC377FA3 + 24490.50ns INFO [00024492] * RD COMPARE * port=0 adr=03 act=291C58045ABB21A504 exp=291C58045ABB21A504 + 24490.50ns INFO [00024492] Port=0 WR @04=BE83242B9E8A2247D9 + 24490.50ns INFO [00024492] Port=1 RD @06 + 24491.50ns INFO [00024493] Port=0 RD @07 + 24492.50ns INFO [00024494] * RD COMPARE * port=1 adr=06 act=49113199B54BE498F4 exp=49113199B54BE498F4 + 24492.50ns INFO [00024494] Port=0 RD @01 + 24492.50ns INFO [00024494] Port=1 RD @01 + 24493.50ns INFO [00024495] * RD COMPARE * port=0 adr=07 act=42736AF4D3EC377FA3 exp=42736AF4D3EC377FA3 + 24493.50ns INFO [00024495] Port=1 RD @06 + 24494.50ns INFO [00024496] * RD COMPARE * port=0 adr=01 act=C8F955FE1832C4A482 exp=C8F955FE1832C4A482 + 24494.50ns INFO [00024496] * RD COMPARE * port=1 adr=01 act=C8F955FE1832C4A482 exp=C8F955FE1832C4A482 + 24495.50ns INFO [00024497] * RD COMPARE * port=1 adr=06 act=49113199B54BE498F4 exp=49113199B54BE498F4 + 24496.50ns INFO [00024498] Port=1 RD @01 + 24497.50ns INFO [00024499] Port=0 RD @02 + 24497.50ns INFO [00024499] Port=1 RD @02 + 24498.00ns INFO [00024500] [00024500] ...tick... + 24498.50ns INFO [00024500] * RD COMPARE * port=1 adr=01 act=C8F955FE1832C4A482 exp=C8F955FE1832C4A482 + 24498.50ns INFO [00024500] Port=0 WR @03=547E767211E7914602 + 24499.50ns INFO [00024501] * RD COMPARE * port=0 adr=02 act=C826C35A6DE7B4B009 exp=C826C35A6DE7B4B009 + 24499.50ns INFO [00024501] * RD COMPARE * port=1 adr=02 act=C826C35A6DE7B4B009 exp=C826C35A6DE7B4B009 + 24499.50ns INFO [00024501] Port=0 WR @00=C2BFF742D10487A5B5 + 24499.50ns INFO [00024501] Port=1 RD @07 + 24500.50ns INFO [00024502] Port=1 RD @01 + 24501.50ns INFO [00024503] * RD COMPARE * port=1 adr=07 act=42736AF4D3EC377FA3 exp=42736AF4D3EC377FA3 + 24501.50ns INFO [00024503] Port=0 RD @01 + 24502.50ns INFO [00024504] * RD COMPARE * port=1 adr=01 act=C8F955FE1832C4A482 exp=C8F955FE1832C4A482 + 24502.50ns INFO [00024504] Port=0 RD @07 + 24502.50ns INFO [00024504] Port=1 RD @06 + 24503.50ns INFO [00024505] * RD COMPARE * port=0 adr=01 act=C8F955FE1832C4A482 exp=C8F955FE1832C4A482 + 24503.50ns INFO [00024505] Port=0 RD @07 + 24504.50ns INFO [00024506] * RD COMPARE * port=0 adr=07 act=42736AF4D3EC377FA3 exp=42736AF4D3EC377FA3 + 24504.50ns INFO [00024506] * RD COMPARE * port=1 adr=06 act=49113199B54BE498F4 exp=49113199B54BE498F4 + 24504.50ns INFO [00024506] Port=0 RD @02 + 24505.50ns INFO [00024507] * RD COMPARE * port=0 adr=07 act=42736AF4D3EC377FA3 exp=42736AF4D3EC377FA3 + 24505.50ns INFO [00024507] Port=0 WR @01=529E23681E7E5DB4E6 + 24506.50ns INFO [00024508] * RD COMPARE * port=0 adr=02 act=C826C35A6DE7B4B009 exp=C826C35A6DE7B4B009 + 24507.50ns INFO [00024509] Port=0 WR @07=482AA0739F6AC91209 + 24507.50ns INFO [00024509] Port=1 RD @02 + 24508.50ns INFO [00024510] Port=0 WR @05=22608B42C815DA3DFE + 24508.50ns INFO [00024510] Port=1 RD @00 + 24509.50ns INFO [00024511] * RD COMPARE * port=1 adr=02 act=C826C35A6DE7B4B009 exp=C826C35A6DE7B4B009 + 24510.50ns INFO [00024512] * RD COMPARE * port=1 adr=00 act=C2BFF742D10487A5B5 exp=C2BFF742D10487A5B5 + 24510.50ns INFO [00024512] Port=0 WR @03=A0AFF576616CF9BF19 + 24510.50ns INFO [00024512] Port=1 RD @00 + 24511.50ns INFO [00024513] Port=0 WR @01=A1D63E434D5FB55D2D + 24512.50ns INFO [00024514] * RD COMPARE * port=1 adr=00 act=C2BFF742D10487A5B5 exp=C2BFF742D10487A5B5 + 24512.50ns INFO [00024514] Port=0 RD @00 + 24512.50ns INFO [00024514] Port=1 RD @01 + 24514.50ns INFO [00024516] * RD COMPARE * port=0 adr=00 act=C2BFF742D10487A5B5 exp=C2BFF742D10487A5B5 + 24514.50ns INFO [00024516] * RD COMPARE * port=1 adr=01 act=A1D63E434D5FB55D2D exp=A1D63E434D5FB55D2D + 24514.50ns INFO [00024516] Port=0 RD @07 + 24514.50ns INFO [00024516] Port=1 RD @00 + 24515.50ns INFO [00024517] Port=0 WR @04=8F48F845C0FA86038F + 24516.50ns INFO [00024518] * RD COMPARE * port=0 adr=07 act=482AA0739F6AC91209 exp=482AA0739F6AC91209 + 24516.50ns INFO [00024518] * RD COMPARE * port=1 adr=00 act=C2BFF742D10487A5B5 exp=C2BFF742D10487A5B5 + 24516.50ns INFO [00024518] Port=0 WR @05=B563C4A64A82299901 + 24516.50ns INFO [00024518] Port=1 RD @01 + 24518.50ns INFO [00024520] * RD COMPARE * port=1 adr=01 act=A1D63E434D5FB55D2D exp=A1D63E434D5FB55D2D + 24518.50ns INFO [00024520] Port=0 WR @04=44A362A255DAAE1200 + 24520.50ns INFO [00024522] Port=0 RD @04 + 24521.50ns INFO [00024523] Port=0 WR @03=D4279536E5433BE528 + 24521.50ns INFO [00024523] Port=0 RD @02 + 24522.50ns INFO [00024524] * RD COMPARE * port=0 adr=04 act=44A362A255DAAE1200 exp=44A362A255DAAE1200 + 24523.50ns INFO [00024525] * RD COMPARE * port=0 adr=02 act=C826C35A6DE7B4B009 exp=C826C35A6DE7B4B009 + 24524.50ns INFO [00024526] Port=0 WR @03=D51150B3F7B0C1AABF + 24524.50ns INFO [00024526] Port=0 RD @05 + 24524.50ns INFO [00024526] Port=1 RD @06 + 24525.50ns INFO [00024527] Port=1 RD @03 + 24526.50ns INFO [00024528] * RD COMPARE * port=0 adr=05 act=B563C4A64A82299901 exp=B563C4A64A82299901 + 24526.50ns INFO [00024528] * RD COMPARE * port=1 adr=06 act=49113199B54BE498F4 exp=49113199B54BE498F4 + 24526.50ns INFO [00024528] Port=0 RD @06 + 24527.50ns INFO [00024529] * RD COMPARE * port=1 adr=03 act=D51150B3F7B0C1AABF exp=D51150B3F7B0C1AABF + 24527.50ns INFO [00024529] Port=0 WR @02=4FF06D8F3DAF3C721C + 24528.50ns INFO [00024530] * RD COMPARE * port=0 adr=06 act=49113199B54BE498F4 exp=49113199B54BE498F4 + 24528.50ns INFO [00024530] Port=0 WR @03=F23610394E04B77170 + 24528.50ns INFO [00024530] Port=0 RD @05 + 24529.50ns INFO [00024531] Port=1 RD @06 + 24530.50ns INFO [00024532] * RD COMPARE * port=0 adr=05 act=B563C4A64A82299901 exp=B563C4A64A82299901 + 24531.50ns INFO [00024533] * RD COMPARE * port=1 adr=06 act=49113199B54BE498F4 exp=49113199B54BE498F4 + 24532.50ns INFO [00024534] Port=1 RD @03 + 24533.50ns INFO [00024535] Port=0 RD @03 + 24533.50ns INFO [00024535] Port=1 RD @05 + 24534.50ns INFO [00024536] * RD COMPARE * port=1 adr=03 act=F23610394E04B77170 exp=F23610394E04B77170 + 24534.50ns INFO [00024536] Port=1 RD @02 + 24535.50ns INFO [00024537] * RD COMPARE * port=0 adr=03 act=F23610394E04B77170 exp=F23610394E04B77170 + 24535.50ns INFO [00024537] * RD COMPARE * port=1 adr=05 act=B563C4A64A82299901 exp=B563C4A64A82299901 + 24535.50ns INFO [00024537] Port=0 RD @02 + 24535.50ns INFO [00024537] Port=1 RD @05 + 24536.50ns INFO [00024538] * RD COMPARE * port=1 adr=02 act=4FF06D8F3DAF3C721C exp=4FF06D8F3DAF3C721C + 24536.50ns INFO [00024538] Port=0 WR @07=7D80730975ED3FE9B1 + 24537.50ns INFO [00024539] * RD COMPARE * port=0 adr=02 act=4FF06D8F3DAF3C721C exp=4FF06D8F3DAF3C721C + 24537.50ns INFO [00024539] * RD COMPARE * port=1 adr=05 act=B563C4A64A82299901 exp=B563C4A64A82299901 + 24537.50ns INFO [00024539] Port=0 WR @05=5C58DA4DAAC63C5412 + 24539.50ns INFO [00024541] Port=0 RD @01 + 24540.50ns INFO [00024542] Port=0 WR @04=BD7EBF3ED3E413704D + 24540.50ns INFO [00024542] Port=1 RD @00 + 24541.50ns INFO [00024543] * RD COMPARE * port=0 adr=01 act=A1D63E434D5FB55D2D exp=A1D63E434D5FB55D2D + 24541.50ns INFO [00024543] Port=0 WR @02=A1EAE34A0D8DFED4B9 + 24541.50ns INFO [00024543] Port=0 RD @00 + 24542.50ns INFO [00024544] * RD COMPARE * port=1 adr=00 act=C2BFF742D10487A5B5 exp=C2BFF742D10487A5B5 + 24542.50ns INFO [00024544] Port=0 RD @05 + 24543.50ns INFO [00024545] * RD COMPARE * port=0 adr=00 act=C2BFF742D10487A5B5 exp=C2BFF742D10487A5B5 + 24543.50ns INFO [00024545] Port=0 RD @02 + 24543.50ns INFO [00024545] Port=1 RD @01 + 24544.50ns INFO [00024546] * RD COMPARE * port=0 adr=05 act=5C58DA4DAAC63C5412 exp=5C58DA4DAAC63C5412 + 24545.50ns INFO [00024547] * RD COMPARE * port=0 adr=02 act=A1EAE34A0D8DFED4B9 exp=A1EAE34A0D8DFED4B9 + 24545.50ns INFO [00024547] * RD COMPARE * port=1 adr=01 act=A1D63E434D5FB55D2D exp=A1D63E434D5FB55D2D + 24545.50ns INFO [00024547] Port=0 WR @03=BFF2A09AF6D38E5225 + 24546.50ns INFO [00024548] Port=0 RD @03 + 24547.50ns INFO [00024549] Port=1 RD @06 + 24548.50ns INFO [00024550] * RD COMPARE * port=0 adr=03 act=BFF2A09AF6D38E5225 exp=BFF2A09AF6D38E5225 + 24549.50ns INFO [00024551] * RD COMPARE * port=1 adr=06 act=49113199B54BE498F4 exp=49113199B54BE498F4 + 24549.50ns INFO [00024551] Port=0 WR @07=48CF0504F2EBA8485A + 24550.50ns INFO [00024552] Port=0 WR @03=9DB8BD99119E23757F + 24550.50ns INFO [00024552] Port=0 RD @02 + 24550.50ns INFO [00024552] Port=1 RD @07 + 24551.50ns INFO [00024553] Port=0 WR @07=D71BA0A9B5CCE4F836 + 24551.50ns INFO [00024553] Port=0 RD @03 + 24551.50ns INFO [00024553] Port=1 RD @04 + 24552.50ns INFO [00024554] * RD COMPARE * port=0 adr=02 act=A1EAE34A0D8DFED4B9 exp=A1EAE34A0D8DFED4B9 + 24552.50ns INFO [00024554] * RD COMPARE * port=1 adr=07 act=48CF0504F2EBA8485A exp=48CF0504F2EBA8485A + 24552.50ns INFO [00024554] Port=0 WR @07=6861B9A8A0BA88F2DD + 24552.50ns INFO [00024554] Port=0 RD @01 + 24552.50ns INFO [00024554] Port=1 RD @03 + 24553.50ns INFO [00024555] * RD COMPARE * port=0 adr=03 act=9DB8BD99119E23757F exp=9DB8BD99119E23757F + 24553.50ns INFO [00024555] * RD COMPARE * port=1 adr=04 act=BD7EBF3ED3E413704D exp=BD7EBF3ED3E413704D + 24554.50ns INFO [00024556] * RD COMPARE * port=0 adr=01 act=A1D63E434D5FB55D2D exp=A1D63E434D5FB55D2D + 24554.50ns INFO [00024556] * RD COMPARE * port=1 adr=03 act=9DB8BD99119E23757F exp=9DB8BD99119E23757F + 24555.50ns INFO [00024557] Port=0 WR @00=58C6DF879385B539DE + 24555.50ns INFO [00024557] Port=1 RD @07 + 24556.50ns INFO [00024558] Port=0 WR @00=B13E9C9FDC96BCCA8C + 24556.50ns INFO [00024558] Port=0 RD @01 + 24556.50ns INFO [00024558] Port=1 RD @06 + 24557.50ns INFO [00024559] * RD COMPARE * port=1 adr=07 act=6861B9A8A0BA88F2DD exp=6861B9A8A0BA88F2DD + 24558.50ns INFO [00024560] * RD COMPARE * port=0 adr=01 act=A1D63E434D5FB55D2D exp=A1D63E434D5FB55D2D + 24558.50ns INFO [00024560] * RD COMPARE * port=1 adr=06 act=49113199B54BE498F4 exp=49113199B54BE498F4 + 24558.50ns INFO [00024560] Port=1 RD @01 + 24559.50ns INFO [00024561] Port=1 RD @07 + 24560.50ns INFO [00024562] * RD COMPARE * port=1 adr=01 act=A1D63E434D5FB55D2D exp=A1D63E434D5FB55D2D + 24561.50ns INFO [00024563] * RD COMPARE * port=1 adr=07 act=6861B9A8A0BA88F2DD exp=6861B9A8A0BA88F2DD + 24561.50ns INFO [00024563] Port=0 RD @03 + 24562.50ns INFO [00024564] Port=0 WR @01=F6BB4B6A7FC0D018ED + 24563.50ns INFO [00024565] * RD COMPARE * port=0 adr=03 act=9DB8BD99119E23757F exp=9DB8BD99119E23757F + 24563.50ns INFO [00024565] Port=0 WR @06=EB223C675072829FAE + 24563.50ns INFO [00024565] Port=1 RD @00 + 24565.50ns INFO [00024567] * RD COMPARE * port=1 adr=00 act=B13E9C9FDC96BCCA8C exp=B13E9C9FDC96BCCA8C + 24566.50ns INFO [00024568] Port=0 WR @07=546B90C952D5180F23 + 24567.50ns INFO [00024569] Port=1 RD @01 + 24569.50ns INFO [00024571] * RD COMPARE * port=1 adr=01 act=F6BB4B6A7FC0D018ED exp=F6BB4B6A7FC0D018ED + 24569.50ns INFO [00024571] Port=0 WR @06=39BE46FF8CFF7BA153 + 24571.50ns INFO [00024573] Port=0 WR @06=2948ED13A5D1B334F3 + 24571.50ns INFO [00024573] Port=1 RD @07 + 24572.50ns INFO [00024574] Port=0 WR @03=892661BD90BF7B0385 + 24573.50ns INFO [00024575] * RD COMPARE * port=1 adr=07 act=546B90C952D5180F23 exp=546B90C952D5180F23 + 24573.50ns INFO [00024575] Port=0 WR @02=D16CF969867E254348 + 24573.50ns INFO [00024575] Port=0 RD @01 + 24573.50ns INFO [00024575] Port=1 RD @01 + 24574.50ns INFO [00024576] Port=0 WR @07=91A6174FA6D9984ADD + 24574.50ns INFO [00024576] Port=0 RD @02 + 24575.50ns INFO [00024577] * RD COMPARE * port=0 adr=01 act=F6BB4B6A7FC0D018ED exp=F6BB4B6A7FC0D018ED + 24575.50ns INFO [00024577] * RD COMPARE * port=1 adr=01 act=F6BB4B6A7FC0D018ED exp=F6BB4B6A7FC0D018ED + 24575.50ns INFO [00024577] Port=0 WR @03=FF8CE1738BB8C6BF48 + 24575.50ns INFO [00024577] Port=1 RD @05 + 24576.50ns INFO [00024578] * RD COMPARE * port=0 adr=02 act=D16CF969867E254348 exp=D16CF969867E254348 + 24576.50ns INFO [00024578] Port=0 WR @02=4E58AD92ECB11FB208 + 24577.50ns INFO [00024579] * RD COMPARE * port=1 adr=05 act=5C58DA4DAAC63C5412 exp=5C58DA4DAAC63C5412 + 24577.50ns INFO [00024579] Port=1 RD @03 + 24578.50ns INFO [00024580] Port=0 WR @05=34B933722EC21C87D0 + 24578.50ns INFO [00024580] Port=1 RD @01 + 24579.50ns INFO [00024581] * RD COMPARE * port=1 adr=03 act=FF8CE1738BB8C6BF48 exp=FF8CE1738BB8C6BF48 + 24579.50ns INFO [00024581] Port=0 RD @05 + 24579.50ns INFO [00024581] Port=1 RD @07 + 24580.50ns INFO [00024582] * RD COMPARE * port=1 adr=01 act=F6BB4B6A7FC0D018ED exp=F6BB4B6A7FC0D018ED + 24580.50ns INFO [00024582] Port=1 RD @05 + 24581.50ns INFO [00024583] * RD COMPARE * port=0 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24581.50ns INFO [00024583] * RD COMPARE * port=1 adr=07 act=91A6174FA6D9984ADD exp=91A6174FA6D9984ADD + 24581.50ns INFO [00024583] Port=0 WR @03=7287DBE8AFA9F2E7DC + 24581.50ns INFO [00024583] Port=1 RD @04 + 24582.50ns INFO [00024584] * RD COMPARE * port=1 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24583.50ns INFO [00024585] * RD COMPARE * port=1 adr=04 act=BD7EBF3ED3E413704D exp=BD7EBF3ED3E413704D + 24583.50ns INFO [00024585] Port=0 WR @00=1983AD2BA15D3B0453 + 24584.50ns INFO [00024586] Port=0 WR @01=48021D2C9D0FD78E93 + 24584.50ns INFO [00024586] Port=0 RD @04 + 24585.50ns INFO [00024587] Port=0 WR @07=AA9BFEB215152DAA1F + 24585.50ns INFO [00024587] Port=1 RD @04 + 24586.50ns INFO [00024588] * RD COMPARE * port=0 adr=04 act=BD7EBF3ED3E413704D exp=BD7EBF3ED3E413704D + 24586.50ns INFO [00024588] Port=1 RD @07 + 24587.50ns INFO [00024589] * RD COMPARE * port=1 adr=04 act=BD7EBF3ED3E413704D exp=BD7EBF3ED3E413704D + 24587.50ns INFO [00024589] Port=0 WR @06=51641E720D593BAA3D + 24587.50ns INFO [00024589] Port=0 RD @00 + 24588.50ns INFO [00024590] * RD COMPARE * port=1 adr=07 act=AA9BFEB215152DAA1F exp=AA9BFEB215152DAA1F + 24588.50ns INFO [00024590] Port=1 RD @06 + 24589.50ns INFO [00024591] * RD COMPARE * port=0 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24589.50ns INFO [00024591] Port=1 RD @03 + 24590.50ns INFO [00024592] * RD COMPARE * port=1 adr=06 act=51641E720D593BAA3D exp=51641E720D593BAA3D + 24590.50ns INFO [00024592] Port=0 RD @05 + 24591.50ns INFO [00024593] * RD COMPARE * port=1 adr=03 act=7287DBE8AFA9F2E7DC exp=7287DBE8AFA9F2E7DC + 24591.50ns INFO [00024593] Port=0 WR @07=84E6C59D9B4CA8A87B + 24591.50ns INFO [00024593] Port=1 RD @03 + 24592.50ns INFO [00024594] * RD COMPARE * port=0 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24592.50ns INFO [00024594] Port=1 RD @05 + 24593.50ns INFO [00024595] * RD COMPARE * port=1 adr=03 act=7287DBE8AFA9F2E7DC exp=7287DBE8AFA9F2E7DC + 24593.50ns INFO [00024595] Port=1 RD @02 + 24594.50ns INFO [00024596] * RD COMPARE * port=1 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24595.50ns INFO [00024597] * RD COMPARE * port=1 adr=02 act=4E58AD92ECB11FB208 exp=4E58AD92ECB11FB208 + 24596.50ns INFO [00024598] Port=0 RD @00 + 24597.50ns INFO [00024599] Port=0 RD @01 + 24597.50ns INFO [00024599] Port=1 RD @05 + 24598.00ns INFO [00024600] [00024600] ...tick... + 24598.50ns INFO [00024600] * RD COMPARE * port=0 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24598.50ns INFO [00024600] Port=0 RD @00 + 24599.50ns INFO [00024601] * RD COMPARE * port=0 adr=01 act=48021D2C9D0FD78E93 exp=48021D2C9D0FD78E93 + 24599.50ns INFO [00024601] * RD COMPARE * port=1 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24599.50ns INFO [00024601] Port=0 WR @04=1F700A2FF20B9DBEB1 + 24600.50ns INFO [00024602] * RD COMPARE * port=0 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24600.50ns INFO [00024602] Port=0 WR @04=3964E31AACE66E6282 + 24601.50ns INFO [00024603] Port=0 RD @05 + 24601.50ns INFO [00024603] Port=1 RD @03 + 24602.50ns INFO [00024604] Port=0 WR @04=FCF0E4E0D9DF7FC64A + 24603.50ns INFO [00024605] * RD COMPARE * port=0 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24603.50ns INFO [00024605] * RD COMPARE * port=1 adr=03 act=7287DBE8AFA9F2E7DC exp=7287DBE8AFA9F2E7DC + 24603.50ns INFO [00024605] Port=0 WR @03=064E6B0D89019A8BDD + 24603.50ns INFO [00024605] Port=1 RD @01 + 24604.50ns INFO [00024606] Port=0 WR @07=30FDD6292993D36314 + 24605.50ns INFO [00024607] * RD COMPARE * port=1 adr=01 act=48021D2C9D0FD78E93 exp=48021D2C9D0FD78E93 + 24605.50ns INFO [00024607] Port=0 RD @00 + 24605.50ns INFO [00024607] Port=1 RD @00 + 24606.50ns INFO [00024608] Port=0 WR @03=AD2FB77235D33B60A0 + 24606.50ns INFO [00024608] Port=0 RD @05 + 24607.50ns INFO [00024609] * RD COMPARE * port=0 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24607.50ns INFO [00024609] * RD COMPARE * port=1 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24607.50ns INFO [00024609] Port=0 RD @01 + 24608.50ns INFO [00024610] * RD COMPARE * port=0 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24608.50ns INFO [00024610] Port=0 RD @00 + 24609.50ns INFO [00024611] * RD COMPARE * port=0 adr=01 act=48021D2C9D0FD78E93 exp=48021D2C9D0FD78E93 + 24610.50ns INFO [00024612] * RD COMPARE * port=0 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24610.50ns INFO [00024612] Port=0 WR @02=3E2EF20E1B3FBFFB3B + 24610.50ns INFO [00024612] Port=0 RD @06 + 24611.50ns INFO [00024613] Port=0 RD @06 + 24612.50ns INFO [00024614] * RD COMPARE * port=0 adr=06 act=51641E720D593BAA3D exp=51641E720D593BAA3D + 24612.50ns INFO [00024614] Port=0 RD @02 + 24612.50ns INFO [00024614] Port=1 RD @07 + 24613.50ns INFO [00024615] * RD COMPARE * port=0 adr=06 act=51641E720D593BAA3D exp=51641E720D593BAA3D + 24613.50ns INFO [00024615] Port=0 RD @05 + 24613.50ns INFO [00024615] Port=1 RD @02 + 24614.50ns INFO [00024616] * RD COMPARE * port=0 adr=02 act=3E2EF20E1B3FBFFB3B exp=3E2EF20E1B3FBFFB3B + 24614.50ns INFO [00024616] * RD COMPARE * port=1 adr=07 act=30FDD6292993D36314 exp=30FDD6292993D36314 + 24614.50ns INFO [00024616] Port=0 RD @06 + 24615.50ns INFO [00024617] * RD COMPARE * port=0 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24615.50ns INFO [00024617] * RD COMPARE * port=1 adr=02 act=3E2EF20E1B3FBFFB3B exp=3E2EF20E1B3FBFFB3B + 24615.50ns INFO [00024617] Port=1 RD @01 + 24616.50ns INFO [00024618] * RD COMPARE * port=0 adr=06 act=51641E720D593BAA3D exp=51641E720D593BAA3D + 24616.50ns INFO [00024618] Port=0 WR @01=BEA9C07E39FC971A8C + 24616.50ns INFO [00024618] Port=0 RD @06 + 24617.50ns INFO [00024619] * RD COMPARE * port=1 adr=01 act=48021D2C9D0FD78E93 exp=48021D2C9D0FD78E93 + 24617.50ns INFO [00024619] Port=0 WR @03=8608E2057B85A7CAD9 + 24617.50ns INFO [00024619] Port=0 RD @06 + 24618.50ns INFO [00024620] * RD COMPARE * port=0 adr=06 act=51641E720D593BAA3D exp=51641E720D593BAA3D + 24618.50ns INFO [00024620] Port=0 WR @03=2E21389ABF8858A57B + 24619.50ns INFO [00024621] * RD COMPARE * port=0 adr=06 act=51641E720D593BAA3D exp=51641E720D593BAA3D + 24619.50ns INFO [00024621] Port=0 WR @01=D3960C143507FE95A6 + 24619.50ns INFO [00024621] Port=1 RD @00 + 24620.50ns INFO [00024622] Port=1 RD @01 + 24621.50ns INFO [00024623] * RD COMPARE * port=1 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24622.50ns INFO [00024624] * RD COMPARE * port=1 adr=01 act=D3960C143507FE95A6 exp=D3960C143507FE95A6 + 24622.50ns INFO [00024624] Port=0 WR @04=398EF5A3EFF051244D + 24622.50ns INFO [00024624] Port=1 RD @07 + 24623.50ns INFO [00024625] Port=1 RD @02 + 24624.50ns INFO [00024626] * RD COMPARE * port=1 adr=07 act=30FDD6292993D36314 exp=30FDD6292993D36314 + 24624.50ns INFO [00024626] Port=1 RD @03 + 24625.50ns INFO [00024627] * RD COMPARE * port=1 adr=02 act=3E2EF20E1B3FBFFB3B exp=3E2EF20E1B3FBFFB3B + 24625.50ns INFO [00024627] Port=0 WR @04=0552C75BC1335C686B + 24625.50ns INFO [00024627] Port=1 RD @05 + 24626.50ns INFO [00024628] * RD COMPARE * port=1 adr=03 act=2E21389ABF8858A57B exp=2E21389ABF8858A57B + 24626.50ns INFO [00024628] Port=0 RD @07 + 24627.50ns INFO [00024629] * RD COMPARE * port=1 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24627.50ns INFO [00024629] Port=0 RD @03 + 24627.50ns INFO [00024629] Port=1 RD @04 + 24628.50ns INFO [00024630] * RD COMPARE * port=0 adr=07 act=30FDD6292993D36314 exp=30FDD6292993D36314 + 24628.50ns INFO [00024630] Port=0 RD @06 + 24628.50ns INFO [00024630] Port=1 RD @06 + 24629.50ns INFO [00024631] * RD COMPARE * port=0 adr=03 act=2E21389ABF8858A57B exp=2E21389ABF8858A57B + 24629.50ns INFO [00024631] * RD COMPARE * port=1 adr=04 act=0552C75BC1335C686B exp=0552C75BC1335C686B + 24629.50ns INFO [00024631] Port=0 RD @01 + 24630.50ns INFO [00024632] * RD COMPARE * port=0 adr=06 act=51641E720D593BAA3D exp=51641E720D593BAA3D + 24630.50ns INFO [00024632] * RD COMPARE * port=1 adr=06 act=51641E720D593BAA3D exp=51641E720D593BAA3D + 24630.50ns INFO [00024632] Port=0 RD @07 + 24630.50ns INFO [00024632] Port=1 RD @06 + 24631.50ns INFO [00024633] * RD COMPARE * port=0 adr=01 act=D3960C143507FE95A6 exp=D3960C143507FE95A6 + 24632.50ns INFO [00024634] * RD COMPARE * port=0 adr=07 act=30FDD6292993D36314 exp=30FDD6292993D36314 + 24632.50ns INFO [00024634] * RD COMPARE * port=1 adr=06 act=51641E720D593BAA3D exp=51641E720D593BAA3D + 24632.50ns INFO [00024634] Port=0 RD @00 + 24632.50ns INFO [00024634] Port=1 RD @05 + 24633.50ns INFO [00024635] Port=1 RD @01 + 24634.50ns INFO [00024636] * RD COMPARE * port=0 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24634.50ns INFO [00024636] * RD COMPARE * port=1 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24634.50ns INFO [00024636] Port=0 RD @01 + 24634.50ns INFO [00024636] Port=1 RD @00 + 24635.50ns INFO [00024637] * RD COMPARE * port=1 adr=01 act=D3960C143507FE95A6 exp=D3960C143507FE95A6 + 24635.50ns INFO [00024637] Port=0 WR @06=B0FA92B1249668924C + 24636.50ns INFO [00024638] * RD COMPARE * port=0 adr=01 act=D3960C143507FE95A6 exp=D3960C143507FE95A6 + 24636.50ns INFO [00024638] * RD COMPARE * port=1 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24636.50ns INFO [00024638] Port=1 RD @00 + 24637.50ns INFO [00024639] Port=0 RD @06 + 24638.50ns INFO [00024640] * RD COMPARE * port=1 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24638.50ns INFO [00024640] Port=0 RD @01 + 24639.50ns INFO [00024641] * RD COMPARE * port=0 adr=06 act=B0FA92B1249668924C exp=B0FA92B1249668924C + 24640.50ns INFO [00024642] * RD COMPARE * port=0 adr=01 act=D3960C143507FE95A6 exp=D3960C143507FE95A6 + 24641.50ns INFO [00024643] Port=1 RD @00 + 24643.50ns INFO [00024645] * RD COMPARE * port=1 adr=00 act=1983AD2BA15D3B0453 exp=1983AD2BA15D3B0453 + 24643.50ns INFO [00024645] Port=0 WR @03=2FA88B8D84FC5E678E + 24644.50ns INFO [00024646] Port=0 RD @06 + 24644.50ns INFO [00024646] Port=1 RD @02 + 24646.50ns INFO [00024648] * RD COMPARE * port=0 adr=06 act=B0FA92B1249668924C exp=B0FA92B1249668924C + 24646.50ns INFO [00024648] * RD COMPARE * port=1 adr=02 act=3E2EF20E1B3FBFFB3B exp=3E2EF20E1B3FBFFB3B + 24646.50ns INFO [00024648] Port=1 RD @02 + 24647.50ns INFO [00024649] Port=0 WR @03=E06C2D65B5A45B47DB + 24647.50ns INFO [00024649] Port=0 RD @01 + 24648.50ns INFO [00024650] * RD COMPARE * port=1 adr=02 act=3E2EF20E1B3FBFFB3B exp=3E2EF20E1B3FBFFB3B + 24649.50ns INFO [00024651] * RD COMPARE * port=0 adr=01 act=D3960C143507FE95A6 exp=D3960C143507FE95A6 + 24649.50ns INFO [00024651] Port=1 RD @01 + 24650.50ns INFO [00024652] Port=0 RD @03 + 24651.50ns INFO [00024653] * RD COMPARE * port=1 adr=01 act=D3960C143507FE95A6 exp=D3960C143507FE95A6 + 24651.50ns INFO [00024653] Port=0 WR @07=3EBE200DCD1A9108E0 + 24651.50ns INFO [00024653] Port=0 RD @04 + 24652.50ns INFO [00024654] * RD COMPARE * port=0 adr=03 act=E06C2D65B5A45B47DB exp=E06C2D65B5A45B47DB + 24652.50ns INFO [00024654] Port=0 WR @02=BB219832F5D8429325 + 24652.50ns INFO [00024654] Port=1 RD @07 + 24653.50ns INFO [00024655] * RD COMPARE * port=0 adr=04 act=0552C75BC1335C686B exp=0552C75BC1335C686B + 24653.50ns INFO [00024655] Port=0 WR @02=3D99DE25C72AAD7348 + 24654.50ns INFO [00024656] * RD COMPARE * port=1 adr=07 act=3EBE200DCD1A9108E0 exp=3EBE200DCD1A9108E0 + 24655.50ns INFO [00024657] Port=1 RD @02 + 24656.50ns INFO [00024658] Port=1 RD @01 + 24657.50ns INFO [00024659] * RD COMPARE * port=1 adr=02 act=3D99DE25C72AAD7348 exp=3D99DE25C72AAD7348 + 24657.50ns INFO [00024659] Port=0 RD @05 + 24658.50ns INFO [00024660] * RD COMPARE * port=1 adr=01 act=D3960C143507FE95A6 exp=D3960C143507FE95A6 + 24658.50ns INFO [00024660] Port=0 RD @05 + 24659.50ns INFO [00024661] * RD COMPARE * port=0 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24660.50ns INFO [00024662] * RD COMPARE * port=0 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24660.50ns INFO [00024662] Port=0 WR @00=BC4CFE2765C2C4D9EA + 24661.50ns INFO [00024663] Port=0 WR @01=434504F3E524D387A3 + 24662.50ns INFO [00024664] Port=1 RD @06 + 24663.50ns INFO [00024665] Port=0 WR @02=3120F61B08BDFFEC17 + 24664.50ns INFO [00024666] * RD COMPARE * port=1 adr=06 act=B0FA92B1249668924C exp=B0FA92B1249668924C + 24664.50ns INFO [00024666] Port=0 WR @01=487E6544AED117EF13 + 24665.50ns INFO [00024667] Port=0 WR @01=C38234CC280EFB910F + 24665.50ns INFO [00024667] Port=0 RD @00 + 24665.50ns INFO [00024667] Port=1 RD @05 + 24666.50ns INFO [00024668] Port=0 RD @06 + 24666.50ns INFO [00024668] Port=1 RD @02 + 24667.50ns INFO [00024669] * RD COMPARE * port=0 adr=00 act=BC4CFE2765C2C4D9EA exp=BC4CFE2765C2C4D9EA + 24667.50ns INFO [00024669] * RD COMPARE * port=1 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24667.50ns INFO [00024669] Port=0 WR @06=02938E67E9B8047C54 + 24667.50ns INFO [00024669] Port=0 RD @01 + 24668.50ns INFO [00024670] * RD COMPARE * port=0 adr=06 act=B0FA92B1249668924C exp=B0FA92B1249668924C + 24668.50ns INFO [00024670] * RD COMPARE * port=1 adr=02 act=3120F61B08BDFFEC17 exp=3120F61B08BDFFEC17 + 24668.50ns INFO [00024670] Port=0 WR @00=E3A9837AAA8105530A + 24668.50ns INFO [00024670] Port=0 RD @01 + 24669.50ns INFO [00024671] * RD COMPARE * port=0 adr=01 act=C38234CC280EFB910F exp=C38234CC280EFB910F + 24670.50ns INFO [00024672] * RD COMPARE * port=0 adr=01 act=C38234CC280EFB910F exp=C38234CC280EFB910F + 24670.50ns INFO [00024672] Port=0 RD @03 + 24670.50ns INFO [00024672] Port=1 RD @01 + 24671.50ns INFO [00024673] Port=1 RD @01 + 24672.50ns INFO [00024674] * RD COMPARE * port=0 adr=03 act=E06C2D65B5A45B47DB exp=E06C2D65B5A45B47DB + 24672.50ns INFO [00024674] * RD COMPARE * port=1 adr=01 act=C38234CC280EFB910F exp=C38234CC280EFB910F + 24672.50ns INFO [00024674] Port=1 RD @06 + 24673.50ns INFO [00024675] * RD COMPARE * port=1 adr=01 act=C38234CC280EFB910F exp=C38234CC280EFB910F + 24674.50ns INFO [00024676] * RD COMPARE * port=1 adr=06 act=02938E67E9B8047C54 exp=02938E67E9B8047C54 + 24675.50ns INFO [00024677] Port=0 WR @03=73D81C94981AF3642E + 24678.50ns INFO [00024680] Port=1 RD @00 + 24679.50ns INFO [00024681] Port=0 RD @00 + 24680.50ns INFO [00024682] * RD COMPARE * port=1 adr=00 act=E3A9837AAA8105530A exp=E3A9837AAA8105530A + 24680.50ns INFO [00024682] Port=0 RD @06 + 24681.50ns INFO [00024683] * RD COMPARE * port=0 adr=00 act=E3A9837AAA8105530A exp=E3A9837AAA8105530A + 24681.50ns INFO [00024683] Port=0 RD @01 + 24682.50ns INFO [00024684] * RD COMPARE * port=0 adr=06 act=02938E67E9B8047C54 exp=02938E67E9B8047C54 + 24682.50ns INFO [00024684] Port=0 RD @06 + 24682.50ns INFO [00024684] Port=1 RD @03 + 24683.50ns INFO [00024685] * RD COMPARE * port=0 adr=01 act=C38234CC280EFB910F exp=C38234CC280EFB910F + 24683.50ns INFO [00024685] Port=1 RD @01 + 24684.50ns INFO [00024686] * RD COMPARE * port=0 adr=06 act=02938E67E9B8047C54 exp=02938E67E9B8047C54 + 24684.50ns INFO [00024686] * RD COMPARE * port=1 adr=03 act=73D81C94981AF3642E exp=73D81C94981AF3642E + 24684.50ns INFO [00024686] Port=0 WR @04=79F08169A0059682E1 + 24685.50ns INFO [00024687] * RD COMPARE * port=1 adr=01 act=C38234CC280EFB910F exp=C38234CC280EFB910F + 24685.50ns INFO [00024687] Port=0 WR @04=B820C2CDF125346AC3 + 24687.50ns INFO [00024689] Port=0 RD @05 + 24689.50ns INFO [00024691] * RD COMPARE * port=0 adr=05 act=34B933722EC21C87D0 exp=34B933722EC21C87D0 + 24689.50ns INFO [00024691] Port=0 WR @00=9138BE356843E2980F + 24690.50ns INFO [00024692] Port=0 WR @05=34786620DA6208D458 + 24690.50ns INFO [00024692] Port=1 RD @03 + 24692.50ns INFO [00024694] * RD COMPARE * port=1 adr=03 act=73D81C94981AF3642E exp=73D81C94981AF3642E + 24692.50ns INFO [00024694] Port=0 RD @03 + 24694.50ns INFO [00024696] * RD COMPARE * port=0 adr=03 act=73D81C94981AF3642E exp=73D81C94981AF3642E + 24694.50ns INFO [00024696] Port=0 WR @07=BCFCC4B6943F7B2C4C + 24695.50ns INFO [00024697] Port=0 RD @07 + 24696.50ns INFO [00024698] Port=0 WR @03=984E3FF661CC349544 + 24696.50ns INFO [00024698] Port=1 RD @07 + 24697.50ns INFO [00024699] * RD COMPARE * port=0 adr=07 act=BCFCC4B6943F7B2C4C exp=BCFCC4B6943F7B2C4C + 24697.50ns INFO [00024699] Port=0 WR @04=A009D8219FEFE8611E + 24698.00ns INFO [00024700] [00024700] ...tick... + 24698.50ns INFO [00024700] * RD COMPARE * port=1 adr=07 act=BCFCC4B6943F7B2C4C exp=BCFCC4B6943F7B2C4C + 24698.50ns INFO [00024700] Port=0 WR @04=8A885462D12023CA16 + 24698.50ns INFO [00024700] Port=1 RD @07 + 24699.50ns INFO [00024701] Port=0 WR @06=A77BB3763891D048E2 + 24699.50ns INFO [00024701] Port=1 RD @01 + 24700.50ns INFO [00024702] * RD COMPARE * port=1 adr=07 act=BCFCC4B6943F7B2C4C exp=BCFCC4B6943F7B2C4C + 24700.50ns INFO [00024702] Port=0 WR @06=9665D8CA570EA3B688 + 24701.50ns INFO [00024703] * RD COMPARE * port=1 adr=01 act=C38234CC280EFB910F exp=C38234CC280EFB910F + 24701.50ns INFO [00024703] Port=0 WR @00=D6A6DC5E6B95565F3C + 24702.50ns INFO [00024704] Port=0 WR @03=7F15A5017B0E053F78 + 24702.50ns INFO [00024704] Port=0 RD @01 + 24703.50ns INFO [00024705] Port=0 WR @03=2D5F40CE089AF21189 + 24704.50ns INFO [00024706] * RD COMPARE * port=0 adr=01 act=C38234CC280EFB910F exp=C38234CC280EFB910F + 24706.50ns INFO [00024708] Port=0 RD @02 + 24707.50ns INFO [00024709] Port=0 WR @02=9A06786ADE446ECC3E + 24707.50ns INFO [00024709] Port=1 RD @03 + 24708.50ns INFO [00024710] * RD COMPARE * port=0 adr=02 act=3120F61B08BDFFEC17 exp=3120F61B08BDFFEC17 + 24708.50ns INFO [00024710] Port=0 RD @01 + 24708.50ns INFO [00024710] Port=1 RD @06 + 24709.50ns INFO [00024711] * RD COMPARE * port=1 adr=03 act=2D5F40CE089AF21189 exp=2D5F40CE089AF21189 + 24710.50ns INFO [00024712] * RD COMPARE * port=0 adr=01 act=C38234CC280EFB910F exp=C38234CC280EFB910F + 24710.50ns INFO [00024712] * RD COMPARE * port=1 adr=06 act=9665D8CA570EA3B688 exp=9665D8CA570EA3B688 + 24711.50ns INFO [00024713] Port=0 RD @02 + 24711.50ns INFO [00024713] Port=1 RD @00 + 24712.50ns INFO [00024714] Port=0 WR @00=1B9E443FF6803E1A1C + 24712.50ns INFO [00024714] Port=1 RD @06 + 24713.50ns INFO [00024715] * RD COMPARE * port=0 adr=02 act=9A06786ADE446ECC3E exp=9A06786ADE446ECC3E + 24713.50ns INFO [00024715] * RD COMPARE * port=1 adr=00 act=D6A6DC5E6B95565F3C exp=D6A6DC5E6B95565F3C + 24713.50ns INFO [00024715] Port=1 RD @02 + 24714.50ns INFO [00024716] * RD COMPARE * port=1 adr=06 act=9665D8CA570EA3B688 exp=9665D8CA570EA3B688 + 24714.50ns INFO [00024716] Port=0 WR @00=03674590311EED6FC2 + 24714.50ns INFO [00024716] Port=1 RD @07 + 24715.50ns INFO [00024717] * RD COMPARE * port=1 adr=02 act=9A06786ADE446ECC3E exp=9A06786ADE446ECC3E + 24715.50ns INFO [00024717] Port=0 WR @01=7E2E2B60E7D16A2CDA + 24715.50ns INFO [00024717] Port=0 RD @06 + 24716.50ns INFO [00024718] * RD COMPARE * port=1 adr=07 act=BCFCC4B6943F7B2C4C exp=BCFCC4B6943F7B2C4C + 24716.50ns INFO [00024718] Port=0 WR @03=C4B0826786EF12489B + 24717.50ns INFO [00024719] * RD COMPARE * port=0 adr=06 act=9665D8CA570EA3B688 exp=9665D8CA570EA3B688 + 24717.50ns INFO [00024719] Port=1 RD @05 + 24719.50ns INFO [00024721] * RD COMPARE * port=1 adr=05 act=34786620DA6208D458 exp=34786620DA6208D458 + 24720.50ns INFO [00024722] Port=0 WR @04=C6950407AC08B09F33 + 24720.50ns INFO [00024722] Port=0 RD @03 + 24721.50ns INFO [00024723] Port=0 RD @02 + 24722.50ns INFO [00024724] * RD COMPARE * port=0 adr=03 act=C4B0826786EF12489B exp=C4B0826786EF12489B + 24722.50ns INFO [00024724] Port=0 WR @07=E1ACFA441B19090D98 + 24722.50ns INFO [00024724] Port=0 RD @00 + 24723.50ns INFO [00024725] * RD COMPARE * port=0 adr=02 act=9A06786ADE446ECC3E exp=9A06786ADE446ECC3E + 24723.50ns INFO [00024725] Port=0 RD @02 + 24723.50ns INFO [00024725] Port=1 RD @04 + 24724.50ns INFO [00024726] * RD COMPARE * port=0 adr=00 act=03674590311EED6FC2 exp=03674590311EED6FC2 + 24724.50ns INFO [00024726] Port=0 RD @03 + 24725.50ns INFO [00024727] * RD COMPARE * port=0 adr=02 act=9A06786ADE446ECC3E exp=9A06786ADE446ECC3E + 24725.50ns INFO [00024727] * RD COMPARE * port=1 adr=04 act=C6950407AC08B09F33 exp=C6950407AC08B09F33 + 24726.50ns INFO [00024728] * RD COMPARE * port=0 adr=03 act=C4B0826786EF12489B exp=C4B0826786EF12489B + 24726.50ns INFO [00024728] Port=0 RD @06 + 24727.50ns INFO [00024729] Port=1 RD @06 + 24728.50ns INFO [00024730] * RD COMPARE * port=0 adr=06 act=9665D8CA570EA3B688 exp=9665D8CA570EA3B688 + 24728.50ns INFO [00024730] Port=0 RD @04 + 24729.50ns INFO [00024731] * RD COMPARE * port=1 adr=06 act=9665D8CA570EA3B688 exp=9665D8CA570EA3B688 + 24729.50ns INFO [00024731] Port=0 WR @01=C3DCB200A60C7F69F5 + 24730.50ns INFO [00024732] * RD COMPARE * port=0 adr=04 act=C6950407AC08B09F33 exp=C6950407AC08B09F33 + 24730.50ns INFO [00024732] Port=0 WR @04=229C76AC2DE08E304A + 24730.50ns INFO [00024732] Port=0 RD @07 + 24730.50ns INFO [00024732] Port=1 RD @02 + 24731.50ns INFO [00024733] Port=1 RD @04 + 24732.50ns INFO [00024734] * RD COMPARE * port=0 adr=07 act=E1ACFA441B19090D98 exp=E1ACFA441B19090D98 + 24732.50ns INFO [00024734] * RD COMPARE * port=1 adr=02 act=9A06786ADE446ECC3E exp=9A06786ADE446ECC3E + 24732.50ns INFO [00024734] Port=1 RD @00 + 24733.50ns INFO [00024735] * RD COMPARE * port=1 adr=04 act=229C76AC2DE08E304A exp=229C76AC2DE08E304A + 24733.50ns INFO [00024735] Port=0 RD @06 + 24734.50ns INFO [00024736] * RD COMPARE * port=1 adr=00 act=03674590311EED6FC2 exp=03674590311EED6FC2 + 24734.50ns INFO [00024736] Port=1 RD @00 + 24735.50ns INFO [00024737] * RD COMPARE * port=0 adr=06 act=9665D8CA570EA3B688 exp=9665D8CA570EA3B688 + 24736.50ns INFO [00024738] * RD COMPARE * port=1 adr=00 act=03674590311EED6FC2 exp=03674590311EED6FC2 + 24736.50ns INFO [00024738] Port=0 WR @03=9DE6F8C8A6E9ABB82A + 24737.50ns INFO [00024739] Port=0 WR @01=7EF2F610118AB57F77 + 24737.50ns INFO [00024739] Port=0 RD @00 + 24738.50ns INFO [00024740] Port=0 WR @05=657148BD083F95B57E + 24738.50ns INFO [00024740] Port=1 RD @03 + 24739.50ns INFO [00024741] * RD COMPARE * port=0 adr=00 act=03674590311EED6FC2 exp=03674590311EED6FC2 + 24739.50ns INFO [00024741] Port=0 WR @03=74D982225D54147B45 + 24740.50ns INFO [00024742] * RD COMPARE * port=1 adr=03 act=9DE6F8C8A6E9ABB82A exp=9DE6F8C8A6E9ABB82A + 24740.50ns INFO [00024742] Port=0 RD @03 + 24740.50ns INFO [00024742] Port=1 RD @04 + 24741.50ns INFO [00024743] Port=1 RD @05 + 24742.50ns INFO [00024744] * RD COMPARE * port=0 adr=03 act=74D982225D54147B45 exp=74D982225D54147B45 + 24742.50ns INFO [00024744] * RD COMPARE * port=1 adr=04 act=229C76AC2DE08E304A exp=229C76AC2DE08E304A + 24742.50ns INFO [00024744] Port=0 RD @03 + 24742.50ns INFO [00024744] Port=1 RD @03 + 24743.50ns INFO [00024745] * RD COMPARE * port=1 adr=05 act=657148BD083F95B57E exp=657148BD083F95B57E + 24743.50ns INFO [00024745] Port=0 WR @01=14BB567468C0E44E47 + 24743.50ns INFO [00024745] Port=0 RD @05 + 24744.50ns INFO [00024746] * RD COMPARE * port=0 adr=03 act=74D982225D54147B45 exp=74D982225D54147B45 + 24744.50ns INFO [00024746] * RD COMPARE * port=1 adr=03 act=74D982225D54147B45 exp=74D982225D54147B45 + 24744.50ns INFO [00024746] Port=0 WR @06=74C22E9315998DDA26 + 24744.50ns INFO [00024746] Port=0 RD @00 + 24745.50ns INFO [00024747] * RD COMPARE * port=0 adr=05 act=657148BD083F95B57E exp=657148BD083F95B57E + 24745.50ns INFO [00024747] Port=0 RD @06 + 24746.50ns INFO [00024748] * RD COMPARE * port=0 adr=00 act=03674590311EED6FC2 exp=03674590311EED6FC2 + 24746.50ns INFO [00024748] Port=1 RD @03 + 24747.50ns INFO [00024749] * RD COMPARE * port=0 adr=06 act=74C22E9315998DDA26 exp=74C22E9315998DDA26 + 24747.50ns INFO [00024749] Port=0 WR @00=B3047E5CAB53F8D447 + 24747.50ns INFO [00024749] Port=0 RD @05 + 24747.50ns INFO [00024749] Port=1 RD @03 + 24748.50ns INFO [00024750] * RD COMPARE * port=1 adr=03 act=74D982225D54147B45 exp=74D982225D54147B45 + 24748.50ns INFO [00024750] Port=0 RD @03 + 24749.50ns INFO [00024751] * RD COMPARE * port=0 adr=05 act=657148BD083F95B57E exp=657148BD083F95B57E + 24749.50ns INFO [00024751] * RD COMPARE * port=1 adr=03 act=74D982225D54147B45 exp=74D982225D54147B45 + 24749.50ns INFO [00024751] Port=1 RD @01 + 24750.50ns INFO [00024752] * RD COMPARE * port=0 adr=03 act=74D982225D54147B45 exp=74D982225D54147B45 + 24750.50ns INFO [00024752] Port=0 RD @02 + 24751.50ns INFO [00024753] * RD COMPARE * port=1 adr=01 act=14BB567468C0E44E47 exp=14BB567468C0E44E47 + 24751.50ns INFO [00024753] Port=0 WR @06=0EC02A173D5165E0DC + 24751.50ns INFO [00024753] Port=1 RD @01 + 24752.50ns INFO [00024754] * RD COMPARE * port=0 adr=02 act=9A06786ADE446ECC3E exp=9A06786ADE446ECC3E + 24752.50ns INFO [00024754] Port=0 RD @03 + 24753.50ns INFO [00024755] * RD COMPARE * port=1 adr=01 act=14BB567468C0E44E47 exp=14BB567468C0E44E47 + 24753.50ns INFO [00024755] Port=0 RD @02 + 24753.50ns INFO [00024755] Port=1 RD @06 + 24754.50ns INFO [00024756] * RD COMPARE * port=0 adr=03 act=74D982225D54147B45 exp=74D982225D54147B45 + 24754.50ns INFO [00024756] Port=0 RD @00 + 24755.50ns INFO [00024757] * RD COMPARE * port=0 adr=02 act=9A06786ADE446ECC3E exp=9A06786ADE446ECC3E + 24755.50ns INFO [00024757] * RD COMPARE * port=1 adr=06 act=0EC02A173D5165E0DC exp=0EC02A173D5165E0DC + 24756.50ns INFO [00024758] * RD COMPARE * port=0 adr=00 act=B3047E5CAB53F8D447 exp=B3047E5CAB53F8D447 + 24756.50ns INFO [00024758] Port=0 WR @03=8DC36E6FCF601F25E7 + 24757.50ns INFO [00024759] Port=0 WR @07=CB5B598778BDCBC0F1 + 24757.50ns INFO [00024759] Port=1 RD @05 + 24758.50ns INFO [00024760] Port=0 RD @05 + 24759.50ns INFO [00024761] * RD COMPARE * port=1 adr=05 act=657148BD083F95B57E exp=657148BD083F95B57E + 24760.50ns INFO [00024762] * RD COMPARE * port=0 adr=05 act=657148BD083F95B57E exp=657148BD083F95B57E + 24760.50ns INFO [00024762] Port=0 RD @00 + 24761.50ns INFO [00024763] Port=0 RD @06 + 24761.50ns INFO [00024763] Port=1 RD @03 + 24762.50ns INFO [00024764] * RD COMPARE * port=0 adr=00 act=B3047E5CAB53F8D447 exp=B3047E5CAB53F8D447 + 24762.50ns INFO [00024764] Port=0 WR @04=E037484269997B8DDD + 24763.50ns INFO [00024765] * RD COMPARE * port=0 adr=06 act=0EC02A173D5165E0DC exp=0EC02A173D5165E0DC + 24763.50ns INFO [00024765] * RD COMPARE * port=1 adr=03 act=8DC36E6FCF601F25E7 exp=8DC36E6FCF601F25E7 + 24763.50ns INFO [00024765] Port=0 RD @02 + 24765.50ns INFO [00024767] * RD COMPARE * port=0 adr=02 act=9A06786ADE446ECC3E exp=9A06786ADE446ECC3E + 24765.50ns INFO [00024767] Port=0 RD @04 + 24765.50ns INFO [00024767] Port=1 RD @00 + 24766.50ns INFO [00024768] Port=0 WR @05=94AC092BB363F99F82 + 24766.50ns INFO [00024768] Port=1 RD @06 + 24767.50ns INFO [00024769] * RD COMPARE * port=0 adr=04 act=E037484269997B8DDD exp=E037484269997B8DDD + 24767.50ns INFO [00024769] * RD COMPARE * port=1 adr=00 act=B3047E5CAB53F8D447 exp=B3047E5CAB53F8D447 + 24767.50ns INFO [00024769] Port=0 WR @04=078C5B01EAD059832E + 24767.50ns INFO [00024769] Port=1 RD @02 + 24768.50ns INFO [00024770] * RD COMPARE * port=1 adr=06 act=0EC02A173D5165E0DC exp=0EC02A173D5165E0DC + 24769.50ns INFO [00024771] * RD COMPARE * port=1 adr=02 act=9A06786ADE446ECC3E exp=9A06786ADE446ECC3E + 24769.50ns INFO [00024771] Port=1 RD @00 + 24770.50ns INFO [00024772] Port=0 WR @06=8CCC179CF1AD9912CB + 24770.50ns INFO [00024772] Port=1 RD @05 + 24771.50ns INFO [00024773] * RD COMPARE * port=1 adr=00 act=B3047E5CAB53F8D447 exp=B3047E5CAB53F8D447 + 24771.50ns INFO [00024773] Port=1 RD @07 + 24772.50ns INFO [00024774] * RD COMPARE * port=1 adr=05 act=94AC092BB363F99F82 exp=94AC092BB363F99F82 + 24772.50ns INFO [00024774] Port=0 RD @06 + 24773.50ns INFO [00024775] * RD COMPARE * port=1 adr=07 act=CB5B598778BDCBC0F1 exp=CB5B598778BDCBC0F1 + 24773.50ns INFO [00024775] Port=0 WR @03=741E47428A3F04BD99 + 24774.50ns INFO [00024776] * RD COMPARE * port=0 adr=06 act=8CCC179CF1AD9912CB exp=8CCC179CF1AD9912CB + 24774.50ns INFO [00024776] Port=0 WR @06=F0D4FC9FC7E9C530FE + 24775.50ns INFO [00024777] Port=0 RD @00 + 24775.50ns INFO [00024777] Port=1 RD @03 + 24776.50ns INFO [00024778] Port=0 RD @05 + 24777.50ns INFO [00024779] * RD COMPARE * port=0 adr=00 act=B3047E5CAB53F8D447 exp=B3047E5CAB53F8D447 + 24777.50ns INFO [00024779] * RD COMPARE * port=1 adr=03 act=741E47428A3F04BD99 exp=741E47428A3F04BD99 + 24777.50ns INFO [00024779] Port=0 WR @00=C8E53DA23F1C4F7D6B + 24777.50ns INFO [00024779] Port=1 RD @01 + 24778.50ns INFO [00024780] * RD COMPARE * port=0 adr=05 act=94AC092BB363F99F82 exp=94AC092BB363F99F82 + 24778.50ns INFO [00024780] Port=0 RD @06 + 24779.50ns INFO [00024781] * RD COMPARE * port=1 adr=01 act=14BB567468C0E44E47 exp=14BB567468C0E44E47 + 24779.50ns INFO [00024781] Port=0 WR @03=4A46F40C4D685F0F5B + 24780.50ns INFO [00024782] * RD COMPARE * port=0 adr=06 act=F0D4FC9FC7E9C530FE exp=F0D4FC9FC7E9C530FE + 24780.50ns INFO [00024782] Port=0 WR @00=88C474391711DFB1AF + 24780.50ns INFO [00024782] Port=1 RD @04 + 24781.50ns INFO [00024783] Port=0 RD @06 + 24782.50ns INFO [00024784] * RD COMPARE * port=1 adr=04 act=078C5B01EAD059832E exp=078C5B01EAD059832E + 24783.50ns INFO [00024785] * RD COMPARE * port=0 adr=06 act=F0D4FC9FC7E9C530FE exp=F0D4FC9FC7E9C530FE + 24783.50ns INFO [00024785] Port=0 WR @05=6A688F5AE95F2E9BBA + 24783.50ns INFO [00024785] Port=1 RD @07 + 24784.50ns INFO [00024786] Port=1 RD @07 + 24785.50ns INFO [00024787] * RD COMPARE * port=1 adr=07 act=CB5B598778BDCBC0F1 exp=CB5B598778BDCBC0F1 + 24785.50ns INFO [00024787] Port=0 RD @04 + 24786.50ns INFO [00024788] * RD COMPARE * port=1 adr=07 act=CB5B598778BDCBC0F1 exp=CB5B598778BDCBC0F1 + 24787.50ns INFO [00024789] * RD COMPARE * port=0 adr=04 act=078C5B01EAD059832E exp=078C5B01EAD059832E + 24787.50ns INFO [00024789] Port=0 WR @03=B5D78B26A98B676707 + 24789.50ns INFO [00024791] Port=0 WR @05=0486119B24D2D63BCD + 24791.50ns INFO [00024793] Port=0 WR @04=F441448F6E98440D85 + 24792.50ns INFO [00024794] Port=1 RD @04 + 24793.50ns INFO [00024795] Port=0 WR @06=F27C66A3D474B0A15D + 24793.50ns INFO [00024795] Port=1 RD @03 + 24794.50ns INFO [00024796] * RD COMPARE * port=1 adr=04 act=F441448F6E98440D85 exp=F441448F6E98440D85 + 24794.50ns INFO [00024796] Port=0 RD @01 + 24795.50ns INFO [00024797] * RD COMPARE * port=1 adr=03 act=B5D78B26A98B676707 exp=B5D78B26A98B676707 + 24795.50ns INFO [00024797] Port=0 WR @02=5C04482E46BF71F1DB + 24795.50ns INFO [00024797] Port=1 RD @07 + 24796.50ns INFO [00024798] * RD COMPARE * port=0 adr=01 act=14BB567468C0E44E47 exp=14BB567468C0E44E47 + 24796.50ns INFO [00024798] Port=0 WR @01=3E42B6C6B3ACF0990A + 24796.50ns INFO [00024798] Port=0 RD @07 + 24797.50ns INFO [00024799] * RD COMPARE * port=1 adr=07 act=CB5B598778BDCBC0F1 exp=CB5B598778BDCBC0F1 + 24797.50ns INFO [00024799] Port=1 RD @01 + 24798.00ns INFO [00024800] [00024800] ...tick... + 24798.50ns INFO [00024800] * RD COMPARE * port=0 adr=07 act=CB5B598778BDCBC0F1 exp=CB5B598778BDCBC0F1 + 24798.50ns INFO [00024800] Port=0 RD @01 + 24799.50ns INFO [00024801] * RD COMPARE * port=1 adr=01 act=3E42B6C6B3ACF0990A exp=3E42B6C6B3ACF0990A + 24800.50ns INFO [00024802] * RD COMPARE * port=0 adr=01 act=3E42B6C6B3ACF0990A exp=3E42B6C6B3ACF0990A + 24800.50ns INFO [00024802] Port=0 RD @02 + 24801.50ns INFO [00024803] Port=0 WR @05=681959387401E42B5F + 24802.50ns INFO [00024804] * RD COMPARE * port=0 adr=02 act=5C04482E46BF71F1DB exp=5C04482E46BF71F1DB + 24802.50ns INFO [00024804] Port=0 WR @02=3A0455E98D1A4DC2E1 + 24804.50ns INFO [00024806] Port=0 WR @00=272935AFED776C239F + 24805.50ns INFO [00024807] Port=1 RD @03 + 24806.50ns INFO [00024808] Port=0 WR @02=C4ACEBD334EFEAA8D7 + 24806.50ns INFO [00024808] Port=0 RD @01 + 24807.50ns INFO [00024809] * RD COMPARE * port=1 adr=03 act=B5D78B26A98B676707 exp=B5D78B26A98B676707 + 24807.50ns INFO [00024809] Port=0 WR @05=A3E31E605FC5FE866A + 24808.50ns INFO [00024810] * RD COMPARE * port=0 adr=01 act=3E42B6C6B3ACF0990A exp=3E42B6C6B3ACF0990A + 24808.50ns INFO [00024810] Port=0 RD @06 + 24809.50ns INFO [00024811] Port=0 WR @01=C822F2554374DA46B0 + 24809.50ns INFO [00024811] Port=1 RD @05 + 24810.50ns INFO [00024812] * RD COMPARE * port=0 adr=06 act=F27C66A3D474B0A15D exp=F27C66A3D474B0A15D + 24810.50ns INFO [00024812] Port=0 RD @03 + 24811.50ns INFO [00024813] * RD COMPARE * port=1 adr=05 act=A3E31E605FC5FE866A exp=A3E31E605FC5FE866A + 24811.50ns INFO [00024813] Port=0 WR @03=B6249FB95A8D373989 + 24811.50ns INFO [00024813] Port=1 RD @00 + 24812.50ns INFO [00024814] * RD COMPARE * port=0 adr=03 act=B5D78B26A98B676707 exp=B5D78B26A98B676707 + 24812.50ns INFO [00024814] Port=0 WR @06=FA69D7C062E59260C3 + 24812.50ns INFO [00024814] Port=0 RD @02 + 24812.50ns INFO [00024814] Port=1 RD @03 + 24813.50ns INFO [00024815] * RD COMPARE * port=1 adr=00 act=272935AFED776C239F exp=272935AFED776C239F + 24814.50ns INFO [00024816] * RD COMPARE * port=0 adr=02 act=C4ACEBD334EFEAA8D7 exp=C4ACEBD334EFEAA8D7 + 24814.50ns INFO [00024816] * RD COMPARE * port=1 adr=03 act=B6249FB95A8D373989 exp=B6249FB95A8D373989 + 24814.50ns INFO [00024816] Port=0 WR @03=840DE52D05E52FE680 + 24814.50ns INFO [00024816] Port=1 RD @05 + 24815.50ns INFO [00024817] Port=0 WR @06=B192C6D3767796D145 + 24815.50ns INFO [00024817] Port=0 RD @00 + 24816.50ns INFO [00024818] * RD COMPARE * port=1 adr=05 act=A3E31E605FC5FE866A exp=A3E31E605FC5FE866A + 24816.50ns INFO [00024818] Port=0 WR @01=F66074DE31033649B3 + 24816.50ns INFO [00024818] Port=1 RD @03 + 24817.50ns INFO [00024819] * RD COMPARE * port=0 adr=00 act=272935AFED776C239F exp=272935AFED776C239F + 24817.50ns INFO [00024819] Port=0 RD @02 + 24818.50ns INFO [00024820] * RD COMPARE * port=1 adr=03 act=840DE52D05E52FE680 exp=840DE52D05E52FE680 + 24818.50ns INFO [00024820] Port=0 RD @02 + 24818.50ns INFO [00024820] Port=1 RD @01 + 24819.50ns INFO [00024821] * RD COMPARE * port=0 adr=02 act=C4ACEBD334EFEAA8D7 exp=C4ACEBD334EFEAA8D7 + 24819.50ns INFO [00024821] Port=0 WR @02=A450D274EDCE04A667 + 24819.50ns INFO [00024821] Port=0 RD @06 + 24819.50ns INFO [00024821] Port=1 RD @01 + 24820.50ns INFO [00024822] * RD COMPARE * port=0 adr=02 act=C4ACEBD334EFEAA8D7 exp=C4ACEBD334EFEAA8D7 + 24820.50ns INFO [00024822] * RD COMPARE * port=1 adr=01 act=F66074DE31033649B3 exp=F66074DE31033649B3 + 24820.50ns INFO [00024822] Port=0 WR @06=A8A397A44105523C16 + 24820.50ns INFO [00024822] Port=0 RD @05 + 24821.50ns INFO [00024823] * RD COMPARE * port=0 adr=06 act=B192C6D3767796D145 exp=B192C6D3767796D145 + 24821.50ns INFO [00024823] * RD COMPARE * port=1 adr=01 act=F66074DE31033649B3 exp=F66074DE31033649B3 + 24821.50ns INFO [00024823] Port=0 WR @02=F1A8EE31A69AF17846 + 24821.50ns INFO [00024823] Port=0 RD @06 + 24822.50ns INFO [00024824] * RD COMPARE * port=0 adr=05 act=A3E31E605FC5FE866A exp=A3E31E605FC5FE866A + 24822.50ns INFO [00024824] Port=1 RD @04 + 24823.50ns INFO [00024825] * RD COMPARE * port=0 adr=06 act=A8A397A44105523C16 exp=A8A397A44105523C16 + 24823.50ns INFO [00024825] Port=0 WR @07=9F9C71E96E1C073432 + 24823.50ns INFO [00024825] Port=0 RD @06 + 24824.50ns INFO [00024826] * RD COMPARE * port=1 adr=04 act=F441448F6E98440D85 exp=F441448F6E98440D85 + 24824.50ns INFO [00024826] Port=0 WR @06=CADDC865F44375B092 + 24824.50ns INFO [00024826] Port=0 RD @03 + 24825.50ns INFO [00024827] * RD COMPARE * port=0 adr=06 act=A8A397A44105523C16 exp=A8A397A44105523C16 + 24825.50ns INFO [00024827] Port=0 WR @00=C5D13A40A9A327CAB3 + 24825.50ns INFO [00024827] Port=1 RD @02 + 24826.50ns INFO [00024828] * RD COMPARE * port=0 adr=03 act=840DE52D05E52FE680 exp=840DE52D05E52FE680 + 24826.50ns INFO [00024828] Port=0 RD @04 + 24826.50ns INFO [00024828] Port=1 RD @03 + 24827.50ns INFO [00024829] * RD COMPARE * port=1 adr=02 act=F1A8EE31A69AF17846 exp=F1A8EE31A69AF17846 + 24827.50ns INFO [00024829] Port=1 RD @05 + 24828.50ns INFO [00024830] * RD COMPARE * port=0 adr=04 act=F441448F6E98440D85 exp=F441448F6E98440D85 + 24828.50ns INFO [00024830] * RD COMPARE * port=1 adr=03 act=840DE52D05E52FE680 exp=840DE52D05E52FE680 + 24828.50ns INFO [00024830] Port=0 WR @02=D5BDA35E2B1C1FE9AA + 24829.50ns INFO [00024831] * RD COMPARE * port=1 adr=05 act=A3E31E605FC5FE866A exp=A3E31E605FC5FE866A + 24829.50ns INFO [00024831] Port=0 WR @05=9B5C2495A9208EBE6B + 24830.50ns INFO [00024832] Port=0 WR @04=932833D3B9B0C7A2C8 + 24832.50ns INFO [00024834] Port=1 RD @03 + 24834.50ns INFO [00024836] * RD COMPARE * port=1 adr=03 act=840DE52D05E52FE680 exp=840DE52D05E52FE680 + 24834.50ns INFO [00024836] Port=0 WR @00=EF9A7C516FB34622F6 + 24834.50ns INFO [00024836] Port=0 RD @02 + 24835.50ns INFO [00024837] Port=0 WR @01=868A0953A1667F3EB5 + 24835.50ns INFO [00024837] Port=0 RD @07 + 24836.50ns INFO [00024838] * RD COMPARE * port=0 adr=02 act=D5BDA35E2B1C1FE9AA exp=D5BDA35E2B1C1FE9AA + 24836.50ns INFO [00024838] Port=0 WR @03=FA4E29A2286193D8A8 + 24837.50ns INFO [00024839] * RD COMPARE * port=0 adr=07 act=9F9C71E96E1C073432 exp=9F9C71E96E1C073432 + 24837.50ns INFO [00024839] Port=1 RD @07 + 24838.50ns INFO [00024840] Port=0 WR @07=8D187938E27D6FE252 + 24839.50ns INFO [00024841] * RD COMPARE * port=1 adr=07 act=9F9C71E96E1C073432 exp=9F9C71E96E1C073432 + 24841.50ns INFO [00024843] Port=0 RD @06 + 24842.50ns INFO [00024844] Port=0 WR @05=0C11A5A3CDEA96A229 + 24842.50ns INFO [00024844] Port=0 RD @07 + 24842.50ns INFO [00024844] Port=1 RD @04 + 24843.50ns INFO [00024845] * RD COMPARE * port=0 adr=06 act=CADDC865F44375B092 exp=CADDC865F44375B092 + 24843.50ns INFO [00024845] Port=0 WR @03=23F57687DBE66C5081 + 24843.50ns INFO [00024845] Port=0 RD @06 + 24844.50ns INFO [00024846] * RD COMPARE * port=0 adr=07 act=8D187938E27D6FE252 exp=8D187938E27D6FE252 + 24844.50ns INFO [00024846] * RD COMPARE * port=1 adr=04 act=932833D3B9B0C7A2C8 exp=932833D3B9B0C7A2C8 + 24844.50ns INFO [00024846] Port=0 RD @06 + 24844.50ns INFO [00024846] Port=1 RD @02 + 24845.50ns INFO [00024847] * RD COMPARE * port=0 adr=06 act=CADDC865F44375B092 exp=CADDC865F44375B092 + 24846.50ns INFO [00024848] * RD COMPARE * port=0 adr=06 act=CADDC865F44375B092 exp=CADDC865F44375B092 + 24846.50ns INFO [00024848] * RD COMPARE * port=1 adr=02 act=D5BDA35E2B1C1FE9AA exp=D5BDA35E2B1C1FE9AA + 24846.50ns INFO [00024848] Port=0 WR @01=DC91660C7CBA768F1B + 24846.50ns INFO [00024848] Port=1 RD @00 + 24847.50ns INFO [00024849] Port=0 WR @03=5609EA6831C815A24B + 24847.50ns INFO [00024849] Port=0 RD @06 + 24848.50ns INFO [00024850] * RD COMPARE * port=1 adr=00 act=EF9A7C516FB34622F6 exp=EF9A7C516FB34622F6 + 24848.50ns INFO [00024850] Port=0 RD @07 + 24848.50ns INFO [00024850] Port=1 RD @02 + 24849.50ns INFO [00024851] * RD COMPARE * port=0 adr=06 act=CADDC865F44375B092 exp=CADDC865F44375B092 + 24849.50ns INFO [00024851] Port=0 WR @03=673046B2E4BEFEED6A + 24850.50ns INFO [00024852] * RD COMPARE * port=0 adr=07 act=8D187938E27D6FE252 exp=8D187938E27D6FE252 + 24850.50ns INFO [00024852] * RD COMPARE * port=1 adr=02 act=D5BDA35E2B1C1FE9AA exp=D5BDA35E2B1C1FE9AA + 24850.50ns INFO [00024852] Port=0 WR @02=5811D35D6A5AAB65EC + 24851.50ns INFO [00024853] Port=0 RD @00 + 24852.50ns INFO [00024854] Port=1 RD @04 + 24853.50ns INFO [00024855] * RD COMPARE * port=0 adr=00 act=EF9A7C516FB34622F6 exp=EF9A7C516FB34622F6 + 24853.50ns INFO [00024855] Port=0 WR @05=21234055F502CEA813 + 24853.50ns INFO [00024855] Port=0 RD @06 + 24854.50ns INFO [00024856] * RD COMPARE * port=1 adr=04 act=932833D3B9B0C7A2C8 exp=932833D3B9B0C7A2C8 + 24855.50ns INFO [00024857] * RD COMPARE * port=0 adr=06 act=CADDC865F44375B092 exp=CADDC865F44375B092 + 24855.50ns INFO [00024857] Port=1 RD @04 + 24856.50ns INFO [00024858] Port=0 RD @02 + 24857.50ns INFO [00024859] * RD COMPARE * port=1 adr=04 act=932833D3B9B0C7A2C8 exp=932833D3B9B0C7A2C8 + 24857.50ns INFO [00024859] Port=1 RD @04 + 24858.50ns INFO [00024860] * RD COMPARE * port=0 adr=02 act=5811D35D6A5AAB65EC exp=5811D35D6A5AAB65EC + 24858.50ns INFO [00024860] Port=0 WR @00=A77413A2B18D527EFF + 24858.50ns INFO [00024860] Port=0 RD @07 + 24858.50ns INFO [00024860] Port=1 RD @04 + 24859.50ns INFO [00024861] * RD COMPARE * port=1 adr=04 act=932833D3B9B0C7A2C8 exp=932833D3B9B0C7A2C8 + 24859.50ns INFO [00024861] Port=0 RD @01 + 24859.50ns INFO [00024861] Port=1 RD @05 + 24860.50ns INFO [00024862] * RD COMPARE * port=0 adr=07 act=8D187938E27D6FE252 exp=8D187938E27D6FE252 + 24860.50ns INFO [00024862] * RD COMPARE * port=1 adr=04 act=932833D3B9B0C7A2C8 exp=932833D3B9B0C7A2C8 + 24860.50ns INFO [00024862] Port=1 RD @07 + 24861.50ns INFO [00024863] * RD COMPARE * port=0 adr=01 act=DC91660C7CBA768F1B exp=DC91660C7CBA768F1B + 24861.50ns INFO [00024863] * RD COMPARE * port=1 adr=05 act=21234055F502CEA813 exp=21234055F502CEA813 + 24861.50ns INFO [00024863] Port=0 RD @01 + 24862.50ns INFO [00024864] * RD COMPARE * port=1 adr=07 act=8D187938E27D6FE252 exp=8D187938E27D6FE252 + 24862.50ns INFO [00024864] Port=1 RD @04 + 24863.50ns INFO [00024865] * RD COMPARE * port=0 adr=01 act=DC91660C7CBA768F1B exp=DC91660C7CBA768F1B + 24864.50ns INFO [00024866] * RD COMPARE * port=1 adr=04 act=932833D3B9B0C7A2C8 exp=932833D3B9B0C7A2C8 + 24864.50ns INFO [00024866] Port=1 RD @04 + 24865.50ns INFO [00024867] Port=0 RD @04 + 24865.50ns INFO [00024867] Port=1 RD @02 + 24866.50ns INFO [00024868] * RD COMPARE * port=1 adr=04 act=932833D3B9B0C7A2C8 exp=932833D3B9B0C7A2C8 + 24867.50ns INFO [00024869] * RD COMPARE * port=0 adr=04 act=932833D3B9B0C7A2C8 exp=932833D3B9B0C7A2C8 + 24867.50ns INFO [00024869] * RD COMPARE * port=1 adr=02 act=5811D35D6A5AAB65EC exp=5811D35D6A5AAB65EC + 24867.50ns INFO [00024869] Port=0 WR @07=A3958BA0A16E66DC66 + 24867.50ns INFO [00024869] Port=0 RD @03 + 24868.50ns INFO [00024870] Port=0 RD @05 + 24869.50ns INFO [00024871] * RD COMPARE * port=0 adr=03 act=673046B2E4BEFEED6A exp=673046B2E4BEFEED6A + 24869.50ns INFO [00024871] Port=0 WR @02=33854AD7E32020405A + 24869.50ns INFO [00024871] Port=1 RD @05 + 24870.50ns INFO [00024872] * RD COMPARE * port=0 adr=05 act=21234055F502CEA813 exp=21234055F502CEA813 + 24871.50ns INFO [00024873] * RD COMPARE * port=1 adr=05 act=21234055F502CEA813 exp=21234055F502CEA813 + 24871.50ns INFO [00024873] Port=0 WR @02=1E2BCDDE11E41212F1 + 24871.50ns INFO [00024873] Port=0 RD @01 + 24871.50ns INFO [00024873] Port=1 RD @07 + 24872.50ns INFO [00024874] Port=0 RD @03 + 24873.50ns INFO [00024875] * RD COMPARE * port=0 adr=01 act=DC91660C7CBA768F1B exp=DC91660C7CBA768F1B + 24873.50ns INFO [00024875] * RD COMPARE * port=1 adr=07 act=A3958BA0A16E66DC66 exp=A3958BA0A16E66DC66 + 24874.50ns INFO [00024876] * RD COMPARE * port=0 adr=03 act=673046B2E4BEFEED6A exp=673046B2E4BEFEED6A + 24874.50ns INFO [00024876] Port=0 RD @00 + 24874.50ns INFO [00024876] Port=1 RD @00 + 24875.50ns INFO [00024877] Port=0 WR @03=A85CB447329D812852 + 24876.50ns INFO [00024878] * RD COMPARE * port=0 adr=00 act=A77413A2B18D527EFF exp=A77413A2B18D527EFF + 24876.50ns INFO [00024878] * RD COMPARE * port=1 adr=00 act=A77413A2B18D527EFF exp=A77413A2B18D527EFF + 24876.50ns INFO [00024878] Port=0 WR @01=76B1B9187302DE7243 + 24876.50ns INFO [00024878] Port=0 RD @00 + 24878.50ns INFO [00024880] * RD COMPARE * port=0 adr=00 act=A77413A2B18D527EFF exp=A77413A2B18D527EFF + 24878.50ns INFO [00024880] Port=0 RD @06 + 24878.50ns INFO [00024880] Port=1 RD @03 + 24880.50ns INFO [00024882] * RD COMPARE * port=0 adr=06 act=CADDC865F44375B092 exp=CADDC865F44375B092 + 24880.50ns INFO [00024882] * RD COMPARE * port=1 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24880.50ns INFO [00024882] Port=0 WR @01=3CB359102A7B7AE369 + 24882.50ns INFO [00024884] Port=0 RD @02 + 24884.50ns INFO [00024886] * RD COMPARE * port=0 adr=02 act=1E2BCDDE11E41212F1 exp=1E2BCDDE11E41212F1 + 24884.50ns INFO [00024886] Port=0 WR @04=567D4086E20C58834D + 24885.50ns INFO [00024887] Port=0 WR @01=1F15826D72852E4809 + 24886.50ns INFO [00024888] Port=0 WR @01=A3E16E0E8F5BE1D513 + 24886.50ns INFO [00024888] Port=0 RD @04 + 24886.50ns INFO [00024888] Port=1 RD @06 + 24887.50ns INFO [00024889] Port=0 RD @06 + 24888.50ns INFO [00024890] * RD COMPARE * port=0 adr=04 act=567D4086E20C58834D exp=567D4086E20C58834D + 24888.50ns INFO [00024890] * RD COMPARE * port=1 adr=06 act=CADDC865F44375B092 exp=CADDC865F44375B092 + 24889.50ns INFO [00024891] * RD COMPARE * port=0 adr=06 act=CADDC865F44375B092 exp=CADDC865F44375B092 + 24891.50ns INFO [00024893] Port=0 RD @04 + 24892.50ns INFO [00024894] Port=0 WR @00=C5D6BF176E5BD3A423 + 24893.50ns INFO [00024895] * RD COMPARE * port=0 adr=04 act=567D4086E20C58834D exp=567D4086E20C58834D + 24893.50ns INFO [00024895] Port=0 WR @00=CFB7A6FF9526FDEC85 + 24895.50ns INFO [00024897] Port=0 WR @01=492EA7A2831AD75D72 + 24896.50ns INFO [00024898] Port=0 RD @04 + 24896.50ns INFO [00024898] Port=1 RD @05 + 24897.50ns INFO [00024899] Port=1 RD @05 + 24898.00ns INFO [00024900] [00024900] ...tick... + 24898.50ns INFO [00024900] * RD COMPARE * port=0 adr=04 act=567D4086E20C58834D exp=567D4086E20C58834D + 24898.50ns INFO [00024900] * RD COMPARE * port=1 adr=05 act=21234055F502CEA813 exp=21234055F502CEA813 + 24898.50ns INFO [00024900] Port=0 RD @03 + 24899.50ns INFO [00024901] * RD COMPARE * port=1 adr=05 act=21234055F502CEA813 exp=21234055F502CEA813 + 24899.50ns INFO [00024901] Port=0 WR @02=6A6BEF98B5F229BF6E + 24900.50ns INFO [00024902] * RD COMPARE * port=0 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24901.50ns INFO [00024903] Port=0 RD @01 + 24901.50ns INFO [00024903] Port=1 RD @05 + 24902.50ns INFO [00024904] Port=0 RD @03 + 24903.50ns INFO [00024905] * RD COMPARE * port=0 adr=01 act=492EA7A2831AD75D72 exp=492EA7A2831AD75D72 + 24903.50ns INFO [00024905] * RD COMPARE * port=1 adr=05 act=21234055F502CEA813 exp=21234055F502CEA813 + 24904.50ns INFO [00024906] * RD COMPARE * port=0 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24904.50ns INFO [00024906] Port=0 WR @02=E1E565B4171FC2C8FF + 24904.50ns INFO [00024906] Port=1 RD @04 + 24905.50ns INFO [00024907] Port=1 RD @03 + 24906.50ns INFO [00024908] * RD COMPARE * port=1 adr=04 act=567D4086E20C58834D exp=567D4086E20C58834D + 24906.50ns INFO [00024908] Port=0 WR @01=768FC738A8813A2FF7 + 24906.50ns INFO [00024908] Port=1 RD @07 + 24907.50ns INFO [00024909] * RD COMPARE * port=1 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24907.50ns INFO [00024909] Port=0 RD @01 + 24907.50ns INFO [00024909] Port=1 RD @03 + 24908.50ns INFO [00024910] * RD COMPARE * port=1 adr=07 act=A3958BA0A16E66DC66 exp=A3958BA0A16E66DC66 + 24908.50ns INFO [00024910] Port=0 RD @07 + 24908.50ns INFO [00024910] Port=1 RD @00 + 24909.50ns INFO [00024911] * RD COMPARE * port=0 adr=01 act=768FC738A8813A2FF7 exp=768FC738A8813A2FF7 + 24909.50ns INFO [00024911] * RD COMPARE * port=1 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24909.50ns INFO [00024911] Port=0 WR @04=6C7CC940600E6A8E26 + 24909.50ns INFO [00024911] Port=1 RD @07 + 24910.50ns INFO [00024912] * RD COMPARE * port=0 adr=07 act=A3958BA0A16E66DC66 exp=A3958BA0A16E66DC66 + 24910.50ns INFO [00024912] * RD COMPARE * port=1 adr=00 act=CFB7A6FF9526FDEC85 exp=CFB7A6FF9526FDEC85 + 24910.50ns INFO [00024912] Port=0 RD @00 + 24911.50ns INFO [00024913] * RD COMPARE * port=1 adr=07 act=A3958BA0A16E66DC66 exp=A3958BA0A16E66DC66 + 24911.50ns INFO [00024913] Port=0 RD @07 + 24912.50ns INFO [00024914] * RD COMPARE * port=0 adr=00 act=CFB7A6FF9526FDEC85 exp=CFB7A6FF9526FDEC85 + 24913.50ns INFO [00024915] * RD COMPARE * port=0 adr=07 act=A3958BA0A16E66DC66 exp=A3958BA0A16E66DC66 + 24913.50ns INFO [00024915] Port=0 WR @05=4F12AE8C87F70311FE + 24913.50ns INFO [00024915] Port=0 RD @02 + 24914.50ns INFO [00024916] Port=0 RD @05 + 24915.50ns INFO [00024917] * RD COMPARE * port=0 adr=02 act=E1E565B4171FC2C8FF exp=E1E565B4171FC2C8FF + 24916.50ns INFO [00024918] * RD COMPARE * port=0 adr=05 act=4F12AE8C87F70311FE exp=4F12AE8C87F70311FE + 24916.50ns INFO [00024918] Port=1 RD @01 + 24917.50ns INFO [00024919] Port=0 WR @00=443F8D0BA3C1FC31D1 + 24917.50ns INFO [00024919] Port=0 RD @03 + 24917.50ns INFO [00024919] Port=1 RD @03 + 24918.50ns INFO [00024920] * RD COMPARE * port=1 adr=01 act=768FC738A8813A2FF7 exp=768FC738A8813A2FF7 + 24918.50ns INFO [00024920] Port=0 WR @06=6E747DE864B73FE9B4 + 24919.50ns INFO [00024921] * RD COMPARE * port=0 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24919.50ns INFO [00024921] * RD COMPARE * port=1 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24919.50ns INFO [00024921] Port=0 WR @05=82F03B485481176CC0 + 24920.50ns INFO [00024922] Port=0 RD @03 + 24920.50ns INFO [00024922] Port=1 RD @02 + 24921.50ns INFO [00024923] Port=0 RD @02 + 24921.50ns INFO [00024923] Port=1 RD @07 + 24922.50ns INFO [00024924] * RD COMPARE * port=0 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24922.50ns INFO [00024924] * RD COMPARE * port=1 adr=02 act=E1E565B4171FC2C8FF exp=E1E565B4171FC2C8FF + 24922.50ns INFO [00024924] Port=0 WR @01=EAD0B8366E12E919A5 + 24923.50ns INFO [00024925] * RD COMPARE * port=0 adr=02 act=E1E565B4171FC2C8FF exp=E1E565B4171FC2C8FF + 24923.50ns INFO [00024925] * RD COMPARE * port=1 adr=07 act=A3958BA0A16E66DC66 exp=A3958BA0A16E66DC66 + 24925.50ns INFO [00024927] Port=0 WR @02=BBDB73B92438C0EA7B + 24925.50ns INFO [00024927] Port=0 RD @04 + 24925.50ns INFO [00024927] Port=1 RD @04 + 24926.50ns INFO [00024928] Port=0 RD @07 + 24927.50ns INFO [00024929] * RD COMPARE * port=0 adr=04 act=6C7CC940600E6A8E26 exp=6C7CC940600E6A8E26 + 24927.50ns INFO [00024929] * RD COMPARE * port=1 adr=04 act=6C7CC940600E6A8E26 exp=6C7CC940600E6A8E26 + 24927.50ns INFO [00024929] Port=1 RD @04 + 24928.50ns INFO [00024930] * RD COMPARE * port=0 adr=07 act=A3958BA0A16E66DC66 exp=A3958BA0A16E66DC66 + 24929.50ns INFO [00024931] * RD COMPARE * port=1 adr=04 act=6C7CC940600E6A8E26 exp=6C7CC940600E6A8E26 + 24929.50ns INFO [00024931] Port=0 WR @04=77DCD83C8562023682 + 24929.50ns INFO [00024931] Port=1 RD @00 + 24930.50ns INFO [00024932] Port=0 WR @05=81EE3CA9B6F190E424 + 24931.50ns INFO [00024933] * RD COMPARE * port=1 adr=00 act=443F8D0BA3C1FC31D1 exp=443F8D0BA3C1FC31D1 + 24931.50ns INFO [00024933] Port=0 RD @03 + 24932.50ns INFO [00024934] Port=1 RD @07 + 24933.50ns INFO [00024935] * RD COMPARE * port=0 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24933.50ns INFO [00024935] Port=1 RD @00 + 24934.50ns INFO [00024936] * RD COMPARE * port=1 adr=07 act=A3958BA0A16E66DC66 exp=A3958BA0A16E66DC66 + 24935.50ns INFO [00024937] * RD COMPARE * port=1 adr=00 act=443F8D0BA3C1FC31D1 exp=443F8D0BA3C1FC31D1 + 24935.50ns INFO [00024937] Port=0 WR @02=DE0BD177189F016353 + 24935.50ns INFO [00024937] Port=1 RD @03 + 24936.50ns INFO [00024938] Port=0 WR @07=BF86B8BED7B2222FB6 + 24936.50ns INFO [00024938] Port=1 RD @05 + 24937.50ns INFO [00024939] * RD COMPARE * port=1 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24937.50ns INFO [00024939] Port=1 RD @06 + 24938.50ns INFO [00024940] * RD COMPARE * port=1 adr=05 act=81EE3CA9B6F190E424 exp=81EE3CA9B6F190E424 + 24939.50ns INFO [00024941] * RD COMPARE * port=1 adr=06 act=6E747DE864B73FE9B4 exp=6E747DE864B73FE9B4 + 24939.50ns INFO [00024941] Port=0 WR @04=30BF366226FD426F71 + 24939.50ns INFO [00024941] Port=0 RD @02 + 24939.50ns INFO [00024941] Port=1 RD @00 + 24940.50ns INFO [00024942] Port=0 WR @02=15C6A9422B0C41834E + 24940.50ns INFO [00024942] Port=0 RD @05 + 24941.50ns INFO [00024943] * RD COMPARE * port=0 adr=02 act=DE0BD177189F016353 exp=DE0BD177189F016353 + 24941.50ns INFO [00024943] * RD COMPARE * port=1 adr=00 act=443F8D0BA3C1FC31D1 exp=443F8D0BA3C1FC31D1 + 24941.50ns INFO [00024943] Port=0 RD @04 + 24942.50ns INFO [00024944] * RD COMPARE * port=0 adr=05 act=81EE3CA9B6F190E424 exp=81EE3CA9B6F190E424 + 24942.50ns INFO [00024944] Port=0 RD @07 + 24942.50ns INFO [00024944] Port=1 RD @02 + 24943.50ns INFO [00024945] * RD COMPARE * port=0 adr=04 act=30BF366226FD426F71 exp=30BF366226FD426F71 + 24943.50ns INFO [00024945] Port=1 RD @01 + 24944.50ns INFO [00024946] * RD COMPARE * port=0 adr=07 act=BF86B8BED7B2222FB6 exp=BF86B8BED7B2222FB6 + 24944.50ns INFO [00024946] * RD COMPARE * port=1 adr=02 act=15C6A9422B0C41834E exp=15C6A9422B0C41834E + 24944.50ns INFO [00024946] Port=0 RD @02 + 24944.50ns INFO [00024946] Port=1 RD @01 + 24945.50ns INFO [00024947] * RD COMPARE * port=1 adr=01 act=EAD0B8366E12E919A5 exp=EAD0B8366E12E919A5 + 24945.50ns INFO [00024947] Port=0 RD @00 + 24945.50ns INFO [00024947] Port=1 RD @02 + 24946.50ns INFO [00024948] * RD COMPARE * port=0 adr=02 act=15C6A9422B0C41834E exp=15C6A9422B0C41834E + 24946.50ns INFO [00024948] * RD COMPARE * port=1 adr=01 act=EAD0B8366E12E919A5 exp=EAD0B8366E12E919A5 + 24947.50ns INFO [00024949] * RD COMPARE * port=0 adr=00 act=443F8D0BA3C1FC31D1 exp=443F8D0BA3C1FC31D1 + 24947.50ns INFO [00024949] * RD COMPARE * port=1 adr=02 act=15C6A9422B0C41834E exp=15C6A9422B0C41834E + 24947.50ns INFO [00024949] Port=0 WR @04=23FAE8BB5DFECE8266 + 24947.50ns INFO [00024949] Port=0 RD @01 + 24948.50ns INFO [00024950] Port=0 WR @02=6C4CF40523F49941F5 + 24948.50ns INFO [00024950] Port=0 RD @03 + 24948.50ns INFO [00024950] Port=1 RD @07 + 24949.50ns INFO [00024951] * RD COMPARE * port=0 adr=01 act=EAD0B8366E12E919A5 exp=EAD0B8366E12E919A5 + 24949.50ns INFO [00024951] Port=0 WR @03=AFEE42995BB80812FF + 24950.50ns INFO [00024952] * RD COMPARE * port=0 adr=03 act=A85CB447329D812852 exp=A85CB447329D812852 + 24950.50ns INFO [00024952] * RD COMPARE * port=1 adr=07 act=BF86B8BED7B2222FB6 exp=BF86B8BED7B2222FB6 + 24950.50ns INFO [00024952] Port=0 WR @06=85200204965BB4328A + 24951.50ns INFO [00024953] Port=0 WR @04=864DA2FB46EAC037C2 + 24951.50ns INFO [00024953] Port=0 RD @02 + 24952.50ns INFO [00024954] Port=0 RD @06 + 24952.50ns INFO [00024954] Port=1 RD @06 + 24953.50ns INFO [00024955] * RD COMPARE * port=0 adr=02 act=6C4CF40523F49941F5 exp=6C4CF40523F49941F5 + 24953.50ns INFO [00024955] Port=0 RD @03 + 24954.50ns INFO [00024956] * RD COMPARE * port=0 adr=06 act=85200204965BB4328A exp=85200204965BB4328A + 24954.50ns INFO [00024956] * RD COMPARE * port=1 adr=06 act=85200204965BB4328A exp=85200204965BB4328A + 24954.50ns INFO [00024956] Port=1 RD @06 + 24955.50ns INFO [00024957] * RD COMPARE * port=0 adr=03 act=AFEE42995BB80812FF exp=AFEE42995BB80812FF + 24955.50ns INFO [00024957] Port=0 WR @03=23D036225256F053F0 + 24955.50ns INFO [00024957] Port=1 RD @02 + 24956.50ns INFO [00024958] * RD COMPARE * port=1 adr=06 act=85200204965BB4328A exp=85200204965BB4328A + 24956.50ns INFO [00024958] Port=1 RD @07 + 24957.50ns INFO [00024959] * RD COMPARE * port=1 adr=02 act=6C4CF40523F49941F5 exp=6C4CF40523F49941F5 + 24957.50ns INFO [00024959] Port=1 RD @03 + 24958.50ns INFO [00024960] * RD COMPARE * port=1 adr=07 act=BF86B8BED7B2222FB6 exp=BF86B8BED7B2222FB6 + 24958.50ns INFO [00024960] Port=1 RD @07 + 24959.50ns INFO [00024961] * RD COMPARE * port=1 adr=03 act=23D036225256F053F0 exp=23D036225256F053F0 + 24959.50ns INFO [00024961] Port=0 RD @06 + 24959.50ns INFO [00024961] Port=1 RD @04 + 24960.50ns INFO [00024962] * RD COMPARE * port=1 adr=07 act=BF86B8BED7B2222FB6 exp=BF86B8BED7B2222FB6 + 24960.50ns INFO [00024962] Port=0 RD @06 + 24961.50ns INFO [00024963] * RD COMPARE * port=0 adr=06 act=85200204965BB4328A exp=85200204965BB4328A + 24961.50ns INFO [00024963] * RD COMPARE * port=1 adr=04 act=864DA2FB46EAC037C2 exp=864DA2FB46EAC037C2 + 24961.50ns INFO [00024963] Port=0 WR @07=0BE72D45D8374CC72F + 24962.50ns INFO [00024964] * RD COMPARE * port=0 adr=06 act=85200204965BB4328A exp=85200204965BB4328A + 24962.50ns INFO [00024964] Port=0 WR @04=7C494FC829F9CD90AF + 24962.50ns INFO [00024964] Port=0 RD @00 + 24963.50ns INFO [00024965] Port=1 RD @04 + 24964.50ns INFO [00024966] * RD COMPARE * port=0 adr=00 act=443F8D0BA3C1FC31D1 exp=443F8D0BA3C1FC31D1 + 24964.50ns INFO [00024966] Port=0 RD @06 + 24965.50ns INFO [00024967] * RD COMPARE * port=1 adr=04 act=7C494FC829F9CD90AF exp=7C494FC829F9CD90AF + 24965.50ns INFO [00024967] Port=0 RD @07 + 24966.50ns INFO [00024968] * RD COMPARE * port=0 adr=06 act=85200204965BB4328A exp=85200204965BB4328A + 24966.50ns INFO [00024968] Port=1 RD @04 + 24967.50ns INFO [00024969] * RD COMPARE * port=0 adr=07 act=0BE72D45D8374CC72F exp=0BE72D45D8374CC72F + 24967.50ns INFO [00024969] Port=0 WR @01=08307B3F2667782A94 + 24968.50ns INFO [00024970] * RD COMPARE * port=1 adr=04 act=7C494FC829F9CD90AF exp=7C494FC829F9CD90AF + 24968.50ns INFO [00024970] Port=0 WR @00=A7565922C8AAF2710B + 24970.50ns INFO [00024972] Port=0 RD @05 + 24971.50ns INFO [00024973] Port=0 WR @04=7CFE653ACAAE9DFF69 + 24971.50ns INFO [00024973] Port=1 RD @07 + 24972.50ns INFO [00024974] * RD COMPARE * port=0 adr=05 act=81EE3CA9B6F190E424 exp=81EE3CA9B6F190E424 + 24972.50ns INFO [00024974] Port=0 WR @05=052C59FDAD36B3CAE4 + 24972.50ns INFO [00024974] Port=0 RD @04 + 24972.50ns INFO [00024974] Port=1 RD @01 + 24973.50ns INFO [00024975] * RD COMPARE * port=1 adr=07 act=0BE72D45D8374CC72F exp=0BE72D45D8374CC72F + 24974.50ns INFO [00024976] * RD COMPARE * port=0 adr=04 act=7CFE653ACAAE9DFF69 exp=7CFE653ACAAE9DFF69 + 24974.50ns INFO [00024976] * RD COMPARE * port=1 adr=01 act=08307B3F2667782A94 exp=08307B3F2667782A94 + 24974.50ns INFO [00024976] Port=1 RD @04 + 24975.50ns INFO [00024977] Port=0 WR @03=319BA1360120514033 + 24976.50ns INFO [00024978] * RD COMPARE * port=1 adr=04 act=7CFE653ACAAE9DFF69 exp=7CFE653ACAAE9DFF69 + 24976.50ns INFO [00024978] Port=0 WR @07=1327BC5F1A26DFD14B + 24976.50ns INFO [00024978] Port=0 RD @06 + 24978.50ns INFO [00024980] * RD COMPARE * port=0 adr=06 act=85200204965BB4328A exp=85200204965BB4328A + 24979.50ns INFO [00024981] Port=0 WR @02=E3B2E6DD069EC08E83 + 24981.50ns INFO [00024983] Port=0 WR @01=A3DE91809B1FB05CBE + 24981.50ns INFO [00024983] Port=0 RD @02 + 24981.50ns INFO [00024983] Port=1 RD @03 + 24982.50ns INFO [00024984] Port=1 RD @03 + 24983.50ns INFO [00024985] * RD COMPARE * port=0 adr=02 act=E3B2E6DD069EC08E83 exp=E3B2E6DD069EC08E83 + 24983.50ns INFO [00024985] * RD COMPARE * port=1 adr=03 act=319BA1360120514033 exp=319BA1360120514033 + 24983.50ns INFO [00024985] Port=0 WR @05=091745E0E0E467CC8B + 24984.50ns INFO [00024986] * RD COMPARE * port=1 adr=03 act=319BA1360120514033 exp=319BA1360120514033 + 24984.50ns INFO [00024986] Port=0 RD @01 + 24984.50ns INFO [00024986] Port=1 RD @00 + 24986.50ns INFO [00024988] * RD COMPARE * port=0 adr=01 act=A3DE91809B1FB05CBE exp=A3DE91809B1FB05CBE + 24986.50ns INFO [00024988] * RD COMPARE * port=1 adr=00 act=A7565922C8AAF2710B exp=A7565922C8AAF2710B + 24986.50ns INFO [00024988] Port=0 WR @01=8B90A5C796307382BD + 24987.50ns INFO [00024989] Port=0 WR @05=FC73EB5666AAB3EA03 + 24988.50ns INFO [00024990] Port=0 WR @04=762CDC1ED5F656176E + 24989.50ns INFO [00024991] Port=0 RD @06 + 24990.50ns INFO [00024992] Port=0 WR @06=8EA942B4ECA54DAE91 + 24991.50ns INFO [00024993] * RD COMPARE * port=0 adr=06 act=85200204965BB4328A exp=85200204965BB4328A + 24991.50ns INFO [00024993] Port=0 WR @04=98345D278F0D596410 + 24992.50ns INFO [00024994] Port=1 RD @03 + 24993.50ns INFO [00024995] Port=1 RD @00 + 24994.50ns INFO [00024996] * RD COMPARE * port=1 adr=03 act=319BA1360120514033 exp=319BA1360120514033 + 24994.50ns INFO [00024996] Port=0 WR @00=641D5F81C3650F3F7D + 24994.50ns INFO [00024996] Port=1 RD @04 + 24995.50ns INFO [00024997] * RD COMPARE * port=1 adr=00 act=A7565922C8AAF2710B exp=A7565922C8AAF2710B + 24996.50ns INFO [00024998] * RD COMPARE * port=1 adr=04 act=98345D278F0D596410 exp=98345D278F0D596410 + 24996.50ns INFO [00024998] Port=0 WR @07=28ED38B2EDB24BF076 + 24996.50ns INFO [00024998] Port=0 RD @01 + 24997.50ns INFO [00024999] Port=1 RD @02 + 24998.00ns INFO [00025000] [00025000] ...tick... + 24998.50ns INFO [00025000] * RD COMPARE * port=0 adr=01 act=8B90A5C796307382BD exp=8B90A5C796307382BD + 24998.50ns INFO [00025000] Port=0 WR @04=04ECB03BCFB72E15B8 + 24999.50ns INFO [00025001] * RD COMPARE * port=1 adr=02 act=E3B2E6DD069EC08E83 exp=E3B2E6DD069EC08E83 + 24999.50ns INFO [00025001] Port=0 WR @03=4ADB708AEEC69643F2 + 25000.50ns INFO [00025002] Port=1 RD @02 + 25001.50ns INFO [00025003] Port=0 WR @02=92328D629A02C137FB + 25002.50ns INFO [00025004] * RD COMPARE * port=1 adr=02 act=E3B2E6DD069EC08E83 exp=E3B2E6DD069EC08E83 + 25002.50ns INFO [00025004] Port=0 WR @03=95764B02E2EB1CAA20 + 25003.50ns INFO [00025005] Port=1 RD @07 + 25004.50ns INFO [00025006] Port=1 RD @01 + 25005.50ns INFO [00025007] * RD COMPARE * port=1 adr=07 act=28ED38B2EDB24BF076 exp=28ED38B2EDB24BF076 + 25005.50ns INFO [00025007] Port=1 RD @07 + 25006.50ns INFO [00025008] * RD COMPARE * port=1 adr=01 act=8B90A5C796307382BD exp=8B90A5C796307382BD + 25006.50ns INFO [00025008] Port=0 RD @03 + 25007.50ns INFO [00025009] * RD COMPARE * port=1 adr=07 act=28ED38B2EDB24BF076 exp=28ED38B2EDB24BF076 + 25007.50ns INFO [00025009] Port=1 RD @04 + 25008.50ns INFO [00025010] * RD COMPARE * port=0 adr=03 act=95764B02E2EB1CAA20 exp=95764B02E2EB1CAA20 + 25009.50ns INFO [00025011] * RD COMPARE * port=1 adr=04 act=04ECB03BCFB72E15B8 exp=04ECB03BCFB72E15B8 + 25009.50ns INFO [00025011] Port=0 RD @03 + 25011.50ns INFO [00025013] * RD COMPARE * port=0 adr=03 act=95764B02E2EB1CAA20 exp=95764B02E2EB1CAA20 + 25011.50ns INFO [00025013] Port=0 WR @01=7B0E27ECC46B5AFBB0 + 25011.50ns INFO [00025013] Port=1 RD @02 + 25012.50ns INFO [00025014] Port=0 WR @05=450CF826BBD58D5EB5 + 25012.50ns INFO [00025014] Port=1 RD @01 + 25013.50ns INFO [00025015] * RD COMPARE * port=1 adr=02 act=92328D629A02C137FB exp=92328D629A02C137FB + 25013.50ns INFO [00025015] Port=0 WR @04=480EB4A21B664A8BB7 + 25013.50ns INFO [00025015] Port=1 RD @00 + 25014.50ns INFO [00025016] * RD COMPARE * port=1 adr=01 act=7B0E27ECC46B5AFBB0 exp=7B0E27ECC46B5AFBB0 + 25014.50ns INFO [00025016] Port=0 WR @05=97B02A0AB26CC35083 + 25014.50ns INFO [00025016] Port=1 RD @04 + 25015.50ns INFO [00025017] * RD COMPARE * port=1 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25015.50ns INFO [00025017] Port=0 RD @06 + 25015.50ns INFO [00025017] Port=1 RD @04 + 25016.50ns INFO [00025018] * RD COMPARE * port=1 adr=04 act=480EB4A21B664A8BB7 exp=480EB4A21B664A8BB7 + 25016.50ns INFO [00025018] Port=0 WR @06=AD0D3E333BCA6A9BA5 + 25017.50ns INFO [00025019] * RD COMPARE * port=0 adr=06 act=8EA942B4ECA54DAE91 exp=8EA942B4ECA54DAE91 + 25017.50ns INFO [00025019] * RD COMPARE * port=1 adr=04 act=480EB4A21B664A8BB7 exp=480EB4A21B664A8BB7 + 25017.50ns INFO [00025019] Port=0 RD @05 + 25019.50ns INFO [00025021] * RD COMPARE * port=0 adr=05 act=97B02A0AB26CC35083 exp=97B02A0AB26CC35083 + 25020.50ns INFO [00025022] Port=0 RD @05 + 25021.50ns INFO [00025023] Port=0 WR @05=BF101A312DC0EF6297 + 25021.50ns INFO [00025023] Port=0 RD @02 + 25022.50ns INFO [00025024] * RD COMPARE * port=0 adr=05 act=97B02A0AB26CC35083 exp=97B02A0AB26CC35083 + 25023.50ns INFO [00025025] * RD COMPARE * port=0 adr=02 act=92328D629A02C137FB exp=92328D629A02C137FB + 25023.50ns INFO [00025025] Port=1 RD @04 + 25024.50ns INFO [00025026] Port=0 RD @02 + 25024.50ns INFO [00025026] Port=1 RD @01 + 25025.50ns INFO [00025027] * RD COMPARE * port=1 adr=04 act=480EB4A21B664A8BB7 exp=480EB4A21B664A8BB7 + 25025.50ns INFO [00025027] Port=0 RD @05 + 25025.50ns INFO [00025027] Port=1 RD @00 + 25026.50ns INFO [00025028] * RD COMPARE * port=0 adr=02 act=92328D629A02C137FB exp=92328D629A02C137FB + 25026.50ns INFO [00025028] * RD COMPARE * port=1 adr=01 act=7B0E27ECC46B5AFBB0 exp=7B0E27ECC46B5AFBB0 + 25026.50ns INFO [00025028] Port=0 WR @05=D9443C50C41E4AFD73 + 25026.50ns INFO [00025028] Port=0 RD @06 + 25027.50ns INFO [00025029] * RD COMPARE * port=0 adr=05 act=BF101A312DC0EF6297 exp=BF101A312DC0EF6297 + 25027.50ns INFO [00025029] * RD COMPARE * port=1 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25027.50ns INFO [00025029] Port=0 WR @01=5D57B79ED137ADAFFD + 25027.50ns INFO [00025029] Port=0 RD @03 + 25027.50ns INFO [00025029] Port=1 RD @00 + 25028.50ns INFO [00025030] * RD COMPARE * port=0 adr=06 act=AD0D3E333BCA6A9BA5 exp=AD0D3E333BCA6A9BA5 + 25028.50ns INFO [00025030] Port=0 WR @04=80F6FD0D71B3309D7A + 25028.50ns INFO [00025030] Port=0 RD @03 + 25029.50ns INFO [00025031] * RD COMPARE * port=0 adr=03 act=95764B02E2EB1CAA20 exp=95764B02E2EB1CAA20 + 25029.50ns INFO [00025031] * RD COMPARE * port=1 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25029.50ns INFO [00025031] Port=0 RD @05 + 25030.50ns INFO [00025032] * RD COMPARE * port=0 adr=03 act=95764B02E2EB1CAA20 exp=95764B02E2EB1CAA20 + 25030.50ns INFO [00025032] Port=1 RD @05 + 25031.50ns INFO [00025033] * RD COMPARE * port=0 adr=05 act=D9443C50C41E4AFD73 exp=D9443C50C41E4AFD73 + 25031.50ns INFO [00025033] Port=0 RD @05 + 25032.50ns INFO [00025034] * RD COMPARE * port=1 adr=05 act=D9443C50C41E4AFD73 exp=D9443C50C41E4AFD73 + 25032.50ns INFO [00025034] Port=0 WR @01=BDB21FFA305CA0E37C + 25033.50ns INFO [00025035] * RD COMPARE * port=0 adr=05 act=D9443C50C41E4AFD73 exp=D9443C50C41E4AFD73 + 25033.50ns INFO [00025035] Port=0 WR @07=D07B30BCA8976870D2 + 25033.50ns INFO [00025035] Port=1 RD @01 + 25035.50ns INFO [00025037] * RD COMPARE * port=1 adr=01 act=BDB21FFA305CA0E37C exp=BDB21FFA305CA0E37C + 25036.50ns INFO [00025038] Port=0 WR @05=189A692DB3F0C036F9 + 25036.50ns INFO [00025038] Port=1 RD @00 + 25037.50ns INFO [00025039] Port=0 WR @03=C6CC0D4272C21B7D15 + 25038.50ns INFO [00025040] * RD COMPARE * port=1 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25039.50ns INFO [00025041] Port=0 WR @02=8A84F8A2DD26B1A94E + 25039.50ns INFO [00025041] Port=0 RD @06 + 25040.50ns INFO [00025042] Port=1 RD @05 + 25041.50ns INFO [00025043] * RD COMPARE * port=0 adr=06 act=AD0D3E333BCA6A9BA5 exp=AD0D3E333BCA6A9BA5 + 25042.50ns INFO [00025044] * RD COMPARE * port=1 adr=05 act=189A692DB3F0C036F9 exp=189A692DB3F0C036F9 + 25042.50ns INFO [00025044] Port=1 RD @06 + 25043.50ns INFO [00025045] Port=0 RD @00 + 25044.50ns INFO [00025046] * RD COMPARE * port=1 adr=06 act=AD0D3E333BCA6A9BA5 exp=AD0D3E333BCA6A9BA5 + 25044.50ns INFO [00025046] Port=1 RD @07 + 25045.50ns INFO [00025047] * RD COMPARE * port=0 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25045.50ns INFO [00025047] Port=0 WR @06=6BE5D8B02680A6BF51 + 25046.50ns INFO [00025048] * RD COMPARE * port=1 adr=07 act=D07B30BCA8976870D2 exp=D07B30BCA8976870D2 + 25046.50ns INFO [00025048] Port=1 RD @00 + 25047.50ns INFO [00025049] Port=0 RD @01 + 25048.50ns INFO [00025050] * RD COMPARE * port=1 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25048.50ns INFO [00025050] Port=0 WR @03=13F7D2EA21CBF365E4 + 25048.50ns INFO [00025050] Port=0 RD @00 + 25049.50ns INFO [00025051] * RD COMPARE * port=0 adr=01 act=BDB21FFA305CA0E37C exp=BDB21FFA305CA0E37C + 25049.50ns INFO [00025051] Port=0 WR @06=B2DEE1F55AA9EAD275 + 25050.50ns INFO [00025052] * RD COMPARE * port=0 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25050.50ns INFO [00025052] Port=0 WR @01=9B4D987956CA054B42 + 25050.50ns INFO [00025052] Port=0 RD @02 + 25050.50ns INFO [00025052] Port=1 RD @00 + 25052.50ns INFO [00025054] * RD COMPARE * port=0 adr=02 act=8A84F8A2DD26B1A94E exp=8A84F8A2DD26B1A94E + 25052.50ns INFO [00025054] * RD COMPARE * port=1 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25053.50ns INFO [00025055] Port=0 WR @04=CDF1A731B20C7D7FF6 + 25053.50ns INFO [00025055] Port=0 RD @01 + 25055.50ns INFO [00025057] * RD COMPARE * port=0 adr=01 act=9B4D987956CA054B42 exp=9B4D987956CA054B42 + 25057.50ns INFO [00025059] Port=1 RD @01 + 25058.50ns INFO [00025060] Port=0 RD @00 + 25058.50ns INFO [00025060] Port=1 RD @00 + 25059.50ns INFO [00025061] * RD COMPARE * port=1 adr=01 act=9B4D987956CA054B42 exp=9B4D987956CA054B42 + 25060.50ns INFO [00025062] * RD COMPARE * port=0 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25060.50ns INFO [00025062] * RD COMPARE * port=1 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25060.50ns INFO [00025062] Port=0 RD @00 + 25060.50ns INFO [00025062] Port=1 RD @03 + 25061.50ns INFO [00025063] Port=0 RD @05 + 25062.50ns INFO [00025064] * RD COMPARE * port=0 adr=00 act=641D5F81C3650F3F7D exp=641D5F81C3650F3F7D + 25062.50ns INFO [00025064] * RD COMPARE * port=1 adr=03 act=13F7D2EA21CBF365E4 exp=13F7D2EA21CBF365E4 + 25062.50ns INFO [00025064] Port=0 WR @07=5F1640D9F576C15372 + 25062.50ns INFO [00025064] Port=0 RD @06 + 25062.50ns INFO [00025064] Port=1 RD @02 + 25063.50ns INFO [00025065] * RD COMPARE * port=0 adr=05 act=189A692DB3F0C036F9 exp=189A692DB3F0C036F9 + 25063.50ns INFO [00025065] Port=0 WR @05=90DCA4238FD7970A00 + 25063.50ns INFO [00025065] Port=0 RD @06 + 25064.50ns INFO [00025066] * RD COMPARE * port=0 adr=06 act=B2DEE1F55AA9EAD275 exp=B2DEE1F55AA9EAD275 + 25064.50ns INFO [00025066] * RD COMPARE * port=1 adr=02 act=8A84F8A2DD26B1A94E exp=8A84F8A2DD26B1A94E + 25065.50ns INFO [00025067] * RD COMPARE * port=0 adr=06 act=B2DEE1F55AA9EAD275 exp=B2DEE1F55AA9EAD275 + 25065.50ns INFO [00025067] Port=0 RD @05 + 25065.50ns INFO [00025067] Port=1 RD @04 + 25066.50ns INFO [00025068] Port=0 WR @04=BC978934B74595ACFE + 25066.50ns INFO [00025068] Port=0 RD @03 + 25066.50ns INFO [00025068] Port=1 RD @06 + 25067.50ns INFO [00025069] * RD COMPARE * port=0 adr=05 act=90DCA4238FD7970A00 exp=90DCA4238FD7970A00 + 25067.50ns INFO [00025069] * RD COMPARE * port=1 adr=04 act=CDF1A731B20C7D7FF6 exp=CDF1A731B20C7D7FF6 + 25067.50ns INFO [00025069] Port=1 RD @03 + 25068.50ns INFO [00025070] * RD COMPARE * port=0 adr=03 act=13F7D2EA21CBF365E4 exp=13F7D2EA21CBF365E4 + 25068.50ns INFO [00025070] * RD COMPARE * port=1 adr=06 act=B2DEE1F55AA9EAD275 exp=B2DEE1F55AA9EAD275 + 25069.50ns INFO [00025071] * RD COMPARE * port=1 adr=03 act=13F7D2EA21CBF365E4 exp=13F7D2EA21CBF365E4 + 25069.50ns INFO [00025071] Port=0 WR @02=CB6ACDEF76D921178A + 25070.50ns INFO [00025072] Port=0 WR @00=13654E7679DCD288DF + 25070.50ns INFO [00025072] Port=0 RD @06 + 25070.50ns INFO [00025072] Port=1 RD @07 + 25071.50ns INFO [00025073] Port=0 RD @00 + 25071.50ns INFO [00025073] Port=1 RD @04 + 25072.50ns INFO [00025074] * RD COMPARE * port=0 adr=06 act=B2DEE1F55AA9EAD275 exp=B2DEE1F55AA9EAD275 + 25072.50ns INFO [00025074] * RD COMPARE * port=1 adr=07 act=5F1640D9F576C15372 exp=5F1640D9F576C15372 + 25072.50ns INFO [00025074] Port=0 WR @00=34D2203AED018F2368 + 25072.50ns INFO [00025074] Port=1 RD @03 + 25073.50ns INFO [00025075] * RD COMPARE * port=0 adr=00 act=13654E7679DCD288DF exp=13654E7679DCD288DF + 25073.50ns INFO [00025075] * RD COMPARE * port=1 adr=04 act=BC978934B74595ACFE exp=BC978934B74595ACFE + 25073.50ns INFO [00025075] Port=0 RD @02 + 25074.50ns INFO [00025076] * RD COMPARE * port=1 adr=03 act=13F7D2EA21CBF365E4 exp=13F7D2EA21CBF365E4 + 25074.50ns INFO [00025076] Port=0 RD @02 + 25074.50ns INFO [00025076] Port=1 RD @01 + 25075.50ns INFO [00025077] * RD COMPARE * port=0 adr=02 act=CB6ACDEF76D921178A exp=CB6ACDEF76D921178A + 25075.50ns INFO [00025077] Port=0 RD @01 + 25076.50ns INFO [00025078] * RD COMPARE * port=0 adr=02 act=CB6ACDEF76D921178A exp=CB6ACDEF76D921178A + 25076.50ns INFO [00025078] * RD COMPARE * port=1 adr=01 act=9B4D987956CA054B42 exp=9B4D987956CA054B42 + 25076.50ns INFO [00025078] Port=0 RD @06 + 25077.50ns INFO [00025079] * RD COMPARE * port=0 adr=01 act=9B4D987956CA054B42 exp=9B4D987956CA054B42 + 25077.50ns INFO [00025079] Port=0 WR @00=4224EAA32C9AA3F1B6 + 25077.50ns INFO [00025079] Port=0 RD @01 + 25078.50ns INFO [00025080] * RD COMPARE * port=0 adr=06 act=B2DEE1F55AA9EAD275 exp=B2DEE1F55AA9EAD275 + 25078.50ns INFO [00025080] Port=0 RD @07 + 25079.50ns INFO [00025081] * RD COMPARE * port=0 adr=01 act=9B4D987956CA054B42 exp=9B4D987956CA054B42 + 25079.50ns INFO [00025081] Port=0 RD @07 + 25080.50ns INFO [00025082] * RD COMPARE * port=0 adr=07 act=5F1640D9F576C15372 exp=5F1640D9F576C15372 + 25080.50ns INFO [00025082] Port=0 RD @05 + 25081.50ns INFO [00025083] * RD COMPARE * port=0 adr=07 act=5F1640D9F576C15372 exp=5F1640D9F576C15372 + 25081.50ns INFO [00025083] Port=0 RD @06 + 25081.50ns INFO [00025083] Port=1 RD @00 + 25082.50ns INFO [00025084] * RD COMPARE * port=0 adr=05 act=90DCA4238FD7970A00 exp=90DCA4238FD7970A00 + 25082.50ns INFO [00025084] Port=0 WR @06=E243AA3BE4400E54F6 + 25082.50ns INFO [00025084] Port=0 RD @05 + 25082.50ns INFO [00025084] Port=1 RD @00 + 25083.50ns INFO [00025085] * RD COMPARE * port=0 adr=06 act=B2DEE1F55AA9EAD275 exp=B2DEE1F55AA9EAD275 + 25083.50ns INFO [00025085] * RD COMPARE * port=1 adr=00 act=4224EAA32C9AA3F1B6 exp=4224EAA32C9AA3F1B6 + 25083.50ns INFO [00025085] Port=0 RD @00 + 25084.50ns INFO [00025086] * RD COMPARE * port=0 adr=05 act=90DCA4238FD7970A00 exp=90DCA4238FD7970A00 + 25084.50ns INFO [00025086] * RD COMPARE * port=1 adr=00 act=4224EAA32C9AA3F1B6 exp=4224EAA32C9AA3F1B6 + 25085.50ns INFO [00025087] * RD COMPARE * port=0 adr=00 act=4224EAA32C9AA3F1B6 exp=4224EAA32C9AA3F1B6 + 25085.50ns INFO [00025087] Port=1 RD @04 + 25086.50ns INFO [00025088] Port=0 RD @01 + 25087.50ns INFO [00025089] * RD COMPARE * port=1 adr=04 act=BC978934B74595ACFE exp=BC978934B74595ACFE + 25087.50ns INFO [00025089] Port=0 WR @01=0FD5CEA5B15A9BB4B3 + 25087.50ns INFO [00025089] Port=0 RD @02 + 25088.50ns INFO [00025090] * RD COMPARE * port=0 adr=01 act=9B4D987956CA054B42 exp=9B4D987956CA054B42 + 25088.50ns INFO [00025090] Port=1 RD @07 + 25089.50ns INFO [00025091] * RD COMPARE * port=0 adr=02 act=CB6ACDEF76D921178A exp=CB6ACDEF76D921178A + 25089.50ns INFO [00025091] Port=0 WR @05=E039FDCB9646606968 + 25089.50ns INFO [00025091] Port=1 RD @02 + 25090.50ns INFO [00025092] * RD COMPARE * port=1 adr=07 act=5F1640D9F576C15372 exp=5F1640D9F576C15372 + 25090.50ns INFO [00025092] Port=0 RD @05 + 25090.50ns INFO [00025092] Port=1 RD @07 + 25091.50ns INFO [00025093] * RD COMPARE * port=1 adr=02 act=CB6ACDEF76D921178A exp=CB6ACDEF76D921178A + 25091.50ns INFO [00025093] Port=0 RD @03 + 25092.50ns INFO [00025094] * RD COMPARE * port=0 adr=05 act=E039FDCB9646606968 exp=E039FDCB9646606968 + 25092.50ns INFO [00025094] * RD COMPARE * port=1 adr=07 act=5F1640D9F576C15372 exp=5F1640D9F576C15372 + 25092.50ns INFO [00025094] Port=0 RD @02 + 25093.50ns INFO [00025095] * RD COMPARE * port=0 adr=03 act=13F7D2EA21CBF365E4 exp=13F7D2EA21CBF365E4 + 25093.50ns INFO [00025095] Port=0 WR @03=22A4D9AB1CDCD72DB2 + 25093.50ns INFO [00025095] Port=1 RD @01 + 25094.50ns INFO [00025096] * RD COMPARE * port=0 adr=02 act=CB6ACDEF76D921178A exp=CB6ACDEF76D921178A + 25094.50ns INFO [00025096] Port=0 WR @03=FBF7EE06CF4488EC45 + 25095.50ns INFO [00025097] * RD COMPARE * port=1 adr=01 act=0FD5CEA5B15A9BB4B3 exp=0FD5CEA5B15A9BB4B3 + 25095.50ns INFO [00025097] Port=0 WR @07=E9A038C0064269FD4B + 25095.50ns INFO [00025097] Port=1 RD @03 + 25096.50ns INFO [00025098] Port=0 WR @05=43858D51D846A2E590 + 25096.50ns INFO [00025098] Port=0 RD @07 + 25097.50ns INFO [00025099] * RD COMPARE * port=1 adr=03 act=FBF7EE06CF4488EC45 exp=FBF7EE06CF4488EC45 + 25097.50ns INFO [00025099] Port=1 RD @02 + 25098.00ns INFO [00025100] [00025100] ...tick... + 25098.50ns INFO [00025100] * RD COMPARE * port=0 adr=07 act=E9A038C0064269FD4B exp=E9A038C0064269FD4B + 25098.50ns INFO [00025100] Port=1 RD @07 + 25099.50ns INFO [00025101] * RD COMPARE * port=1 adr=02 act=CB6ACDEF76D921178A exp=CB6ACDEF76D921178A + 25099.50ns INFO [00025101] Port=0 RD @05 + 25099.50ns INFO [00025101] Port=1 RD @02 + 25100.50ns INFO [00025102] * RD COMPARE * port=1 adr=07 act=E9A038C0064269FD4B exp=E9A038C0064269FD4B + 25100.50ns INFO [00025102] Port=0 RD @04 + 25101.50ns INFO [00025103] * RD COMPARE * port=0 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25101.50ns INFO [00025103] * RD COMPARE * port=1 adr=02 act=CB6ACDEF76D921178A exp=CB6ACDEF76D921178A + 25102.50ns INFO [00025104] * RD COMPARE * port=0 adr=04 act=BC978934B74595ACFE exp=BC978934B74595ACFE + 25102.50ns INFO [00025104] Port=0 WR @01=802FCEE4E0CF253377 + 25102.50ns INFO [00025104] Port=1 RD @07 + 25103.50ns INFO [00025105] Port=1 RD @05 + 25104.50ns INFO [00025106] * RD COMPARE * port=1 adr=07 act=E9A038C0064269FD4B exp=E9A038C0064269FD4B + 25105.50ns INFO [00025107] * RD COMPARE * port=1 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25105.50ns INFO [00025107] Port=1 RD @06 + 25106.50ns INFO [00025108] Port=0 WR @03=AD9F9A3E9B411698C5 + 25107.50ns INFO [00025109] * RD COMPARE * port=1 adr=06 act=E243AA3BE4400E54F6 exp=E243AA3BE4400E54F6 + 25107.50ns INFO [00025109] Port=1 RD @05 + 25108.50ns INFO [00025110] Port=1 RD @05 + 25109.50ns INFO [00025111] * RD COMPARE * port=1 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25109.50ns INFO [00025111] Port=0 WR @06=12B2195E3251D049A2 + 25110.50ns INFO [00025112] * RD COMPARE * port=1 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25110.50ns INFO [00025112] Port=0 WR @03=CFD298D6EB725C254E + 25112.50ns INFO [00025114] Port=0 WR @01=FA1A13238130D5593D + 25113.50ns INFO [00025115] Port=1 RD @05 + 25114.50ns INFO [00025116] Port=0 WR @02=B92C96C934342C9E3C + 25115.50ns INFO [00025117] * RD COMPARE * port=1 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25115.50ns INFO [00025117] Port=0 WR @06=614573949A5774EA35 + 25115.50ns INFO [00025117] Port=0 RD @03 + 25117.50ns INFO [00025119] * RD COMPARE * port=0 adr=03 act=CFD298D6EB725C254E exp=CFD298D6EB725C254E + 25117.50ns INFO [00025119] Port=1 RD @06 + 25118.50ns INFO [00025120] Port=0 WR @02=4F0E246C2403711C66 + 25118.50ns INFO [00025120] Port=0 RD @00 + 25119.50ns INFO [00025121] * RD COMPARE * port=1 adr=06 act=614573949A5774EA35 exp=614573949A5774EA35 + 25120.50ns INFO [00025122] * RD COMPARE * port=0 adr=00 act=4224EAA32C9AA3F1B6 exp=4224EAA32C9AA3F1B6 + 25122.50ns INFO [00025124] Port=0 WR @01=DC64DD5F091B99D168 + 25122.50ns INFO [00025124] Port=0 RD @06 + 25123.50ns INFO [00025125] Port=0 WR @02=93AF7593C7DF30A055 + 25124.50ns INFO [00025126] * RD COMPARE * port=0 adr=06 act=614573949A5774EA35 exp=614573949A5774EA35 + 25124.50ns INFO [00025126] Port=0 WR @01=1BEF08D19928F501B6 + 25125.50ns INFO [00025127] Port=1 RD @06 + 25127.50ns INFO [00025129] * RD COMPARE * port=1 adr=06 act=614573949A5774EA35 exp=614573949A5774EA35 + 25128.50ns INFO [00025130] Port=1 RD @04 + 25130.50ns INFO [00025132] * RD COMPARE * port=1 adr=04 act=BC978934B74595ACFE exp=BC978934B74595ACFE + 25130.50ns INFO [00025132] Port=0 WR @07=F53A857109B84848FA + 25130.50ns INFO [00025132] Port=0 RD @02 + 25130.50ns INFO [00025132] Port=1 RD @06 + 25132.50ns INFO [00025134] * RD COMPARE * port=0 adr=02 act=93AF7593C7DF30A055 exp=93AF7593C7DF30A055 + 25132.50ns INFO [00025134] * RD COMPARE * port=1 adr=06 act=614573949A5774EA35 exp=614573949A5774EA35 + 25133.50ns INFO [00025135] Port=0 RD @01 + 25135.50ns INFO [00025137] * RD COMPARE * port=0 adr=01 act=1BEF08D19928F501B6 exp=1BEF08D19928F501B6 + 25135.50ns INFO [00025137] Port=0 WR @03=13EF1A1F6823D8F38D + 25135.50ns INFO [00025137] Port=1 RD @06 + 25137.50ns INFO [00025139] * RD COMPARE * port=1 adr=06 act=614573949A5774EA35 exp=614573949A5774EA35 + 25137.50ns INFO [00025139] Port=0 RD @00 + 25138.50ns INFO [00025140] Port=0 WR @00=92320838E055D696A5 + 25138.50ns INFO [00025140] Port=1 RD @07 + 25139.50ns INFO [00025141] * RD COMPARE * port=0 adr=00 act=4224EAA32C9AA3F1B6 exp=4224EAA32C9AA3F1B6 + 25139.50ns INFO [00025141] Port=0 RD @04 + 25140.50ns INFO [00025142] * RD COMPARE * port=1 adr=07 act=F53A857109B84848FA exp=F53A857109B84848FA + 25140.50ns INFO [00025142] Port=0 WR @07=1B555415FFD13C8178 + 25140.50ns INFO [00025142] Port=1 RD @00 + 25141.50ns INFO [00025143] * RD COMPARE * port=0 adr=04 act=BC978934B74595ACFE exp=BC978934B74595ACFE + 25141.50ns INFO [00025143] Port=0 WR @06=0EB5AC485BB4E1A0C7 + 25142.50ns INFO [00025144] * RD COMPARE * port=1 adr=00 act=92320838E055D696A5 exp=92320838E055D696A5 + 25143.50ns INFO [00025145] Port=0 WR @07=C6E54767F1E650292C + 25143.50ns INFO [00025145] Port=1 RD @06 + 25144.50ns INFO [00025146] Port=1 RD @00 + 25145.50ns INFO [00025147] * RD COMPARE * port=1 adr=06 act=0EB5AC485BB4E1A0C7 exp=0EB5AC485BB4E1A0C7 + 25145.50ns INFO [00025147] Port=1 RD @07 + 25146.50ns INFO [00025148] * RD COMPARE * port=1 adr=00 act=92320838E055D696A5 exp=92320838E055D696A5 + 25146.50ns INFO [00025148] Port=0 RD @06 + 25147.50ns INFO [00025149] * RD COMPARE * port=1 adr=07 act=C6E54767F1E650292C exp=C6E54767F1E650292C + 25147.50ns INFO [00025149] Port=1 RD @07 + 25148.50ns INFO [00025150] * RD COMPARE * port=0 adr=06 act=0EB5AC485BB4E1A0C7 exp=0EB5AC485BB4E1A0C7 + 25148.50ns INFO [00025150] Port=0 RD @06 + 25148.50ns INFO [00025150] Port=1 RD @03 + 25149.50ns INFO [00025151] * RD COMPARE * port=1 adr=07 act=C6E54767F1E650292C exp=C6E54767F1E650292C + 25149.50ns INFO [00025151] Port=1 RD @07 + 25150.50ns INFO [00025152] * RD COMPARE * port=0 adr=06 act=0EB5AC485BB4E1A0C7 exp=0EB5AC485BB4E1A0C7 + 25150.50ns INFO [00025152] * RD COMPARE * port=1 adr=03 act=13EF1A1F6823D8F38D exp=13EF1A1F6823D8F38D + 25150.50ns INFO [00025152] Port=0 RD @03 + 25151.50ns INFO [00025153] * RD COMPARE * port=1 adr=07 act=C6E54767F1E650292C exp=C6E54767F1E650292C + 25151.50ns INFO [00025153] Port=0 WR @03=C3E2C91729B3FC298F + 25151.50ns INFO [00025153] Port=1 RD @04 + 25152.50ns INFO [00025154] * RD COMPARE * port=0 adr=03 act=13EF1A1F6823D8F38D exp=13EF1A1F6823D8F38D + 25152.50ns INFO [00025154] Port=1 RD @00 + 25153.50ns INFO [00025155] * RD COMPARE * port=1 adr=04 act=BC978934B74595ACFE exp=BC978934B74595ACFE + 25153.50ns INFO [00025155] Port=0 RD @05 + 25153.50ns INFO [00025155] Port=1 RD @03 + 25154.50ns INFO [00025156] * RD COMPARE * port=1 adr=00 act=92320838E055D696A5 exp=92320838E055D696A5 + 25154.50ns INFO [00025156] Port=0 WR @07=1269455540DC8DB5EA + 25155.50ns INFO [00025157] * RD COMPARE * port=0 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25155.50ns INFO [00025157] * RD COMPARE * port=1 adr=03 act=C3E2C91729B3FC298F exp=C3E2C91729B3FC298F + 25155.50ns INFO [00025157] Port=1 RD @06 + 25156.50ns INFO [00025158] Port=0 WR @02=089B2C18D511E797E9 + 25156.50ns INFO [00025158] Port=1 RD @03 + 25157.50ns INFO [00025159] * RD COMPARE * port=1 adr=06 act=0EB5AC485BB4E1A0C7 exp=0EB5AC485BB4E1A0C7 + 25157.50ns INFO [00025159] Port=0 WR @02=E0B2D6F4567CA1C216 + 25157.50ns INFO [00025159] Port=0 RD @05 + 25158.50ns INFO [00025160] * RD COMPARE * port=1 adr=03 act=C3E2C91729B3FC298F exp=C3E2C91729B3FC298F + 25159.50ns INFO [00025161] * RD COMPARE * port=0 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25159.50ns INFO [00025161] Port=0 WR @03=D671534FC7FF539A1A + 25159.50ns INFO [00025161] Port=0 RD @07 + 25161.50ns INFO [00025163] * RD COMPARE * port=0 adr=07 act=1269455540DC8DB5EA exp=1269455540DC8DB5EA + 25161.50ns INFO [00025163] Port=0 WR @00=207E80A337FA106380 + 25161.50ns INFO [00025163] Port=0 RD @02 + 25163.50ns INFO [00025165] * RD COMPARE * port=0 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25163.50ns INFO [00025165] Port=0 WR @07=CE34CF0758C1D52CA8 + 25163.50ns INFO [00025165] Port=1 RD @00 + 25164.50ns INFO [00025166] Port=1 RD @05 + 25165.50ns INFO [00025167] * RD COMPARE * port=1 adr=00 act=207E80A337FA106380 exp=207E80A337FA106380 + 25166.50ns INFO [00025168] * RD COMPARE * port=1 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25166.50ns INFO [00025168] Port=0 RD @01 + 25167.50ns INFO [00025169] Port=0 RD @03 + 25167.50ns INFO [00025169] Port=1 RD @04 + 25168.50ns INFO [00025170] * RD COMPARE * port=0 adr=01 act=1BEF08D19928F501B6 exp=1BEF08D19928F501B6 + 25168.50ns INFO [00025170] Port=0 RD @02 + 25169.50ns INFO [00025171] * RD COMPARE * port=0 adr=03 act=D671534FC7FF539A1A exp=D671534FC7FF539A1A + 25169.50ns INFO [00025171] * RD COMPARE * port=1 adr=04 act=BC978934B74595ACFE exp=BC978934B74595ACFE + 25169.50ns INFO [00025171] Port=0 WR @04=C4A7C329827E9D56A7 + 25169.50ns INFO [00025171] Port=0 RD @05 + 25170.50ns INFO [00025172] * RD COMPARE * port=0 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25170.50ns INFO [00025172] Port=0 WR @00=591EFC5E6987715362 + 25170.50ns INFO [00025172] Port=0 RD @04 + 25171.50ns INFO [00025173] * RD COMPARE * port=0 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25171.50ns INFO [00025173] Port=0 WR @01=1D6DC71ABEAC22A81F + 25172.50ns INFO [00025174] * RD COMPARE * port=0 adr=04 act=C4A7C329827E9D56A7 exp=C4A7C329827E9D56A7 + 25173.50ns INFO [00025175] Port=0 WR @04=2996A0F064CE357EB5 + 25173.50ns INFO [00025175] Port=1 RD @07 + 25174.50ns INFO [00025176] Port=0 RD @00 + 25175.50ns INFO [00025177] * RD COMPARE * port=1 adr=07 act=CE34CF0758C1D52CA8 exp=CE34CF0758C1D52CA8 + 25175.50ns INFO [00025177] Port=1 RD @06 + 25176.50ns INFO [00025178] * RD COMPARE * port=0 adr=00 act=591EFC5E6987715362 exp=591EFC5E6987715362 + 25176.50ns INFO [00025178] Port=0 WR @00=5C6A9C445FEEE32724 + 25176.50ns INFO [00025178] Port=1 RD @01 + 25177.50ns INFO [00025179] * RD COMPARE * port=1 adr=06 act=0EB5AC485BB4E1A0C7 exp=0EB5AC485BB4E1A0C7 + 25177.50ns INFO [00025179] Port=0 WR @07=7837E668EF80E1FF98 + 25177.50ns INFO [00025179] Port=1 RD @03 + 25178.50ns INFO [00025180] * RD COMPARE * port=1 adr=01 act=1D6DC71ABEAC22A81F exp=1D6DC71ABEAC22A81F + 25178.50ns INFO [00025180] Port=0 RD @06 + 25178.50ns INFO [00025180] Port=1 RD @05 + 25179.50ns INFO [00025181] * RD COMPARE * port=1 adr=03 act=D671534FC7FF539A1A exp=D671534FC7FF539A1A + 25179.50ns INFO [00025181] Port=0 RD @06 + 25179.50ns INFO [00025181] Port=1 RD @04 + 25180.50ns INFO [00025182] * RD COMPARE * port=0 adr=06 act=0EB5AC485BB4E1A0C7 exp=0EB5AC485BB4E1A0C7 + 25180.50ns INFO [00025182] * RD COMPARE * port=1 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25180.50ns INFO [00025182] Port=0 WR @03=E2D0F38E4DB33612F1 + 25180.50ns INFO [00025182] Port=1 RD @00 + 25181.50ns INFO [00025183] * RD COMPARE * port=0 adr=06 act=0EB5AC485BB4E1A0C7 exp=0EB5AC485BB4E1A0C7 + 25181.50ns INFO [00025183] * RD COMPARE * port=1 adr=04 act=2996A0F064CE357EB5 exp=2996A0F064CE357EB5 + 25181.50ns INFO [00025183] Port=0 RD @07 + 25182.50ns INFO [00025184] * RD COMPARE * port=1 adr=00 act=5C6A9C445FEEE32724 exp=5C6A9C445FEEE32724 + 25183.50ns INFO [00025185] * RD COMPARE * port=0 adr=07 act=7837E668EF80E1FF98 exp=7837E668EF80E1FF98 + 25183.50ns INFO [00025185] Port=0 WR @01=3AEC3D69DBBDA3CE0E + 25187.50ns INFO [00025189] Port=0 RD @04 + 25187.50ns INFO [00025189] Port=1 RD @07 + 25188.50ns INFO [00025190] Port=0 WR @07=AFDE0082BB414A506D + 25188.50ns INFO [00025190] Port=0 RD @06 + 25189.50ns INFO [00025191] * RD COMPARE * port=0 adr=04 act=2996A0F064CE357EB5 exp=2996A0F064CE357EB5 + 25189.50ns INFO [00025191] * RD COMPARE * port=1 adr=07 act=7837E668EF80E1FF98 exp=7837E668EF80E1FF98 + 25189.50ns INFO [00025191] Port=0 WR @06=253D2AA68089350C4D + 25190.50ns INFO [00025192] * RD COMPARE * port=0 adr=06 act=0EB5AC485BB4E1A0C7 exp=0EB5AC485BB4E1A0C7 + 25190.50ns INFO [00025192] Port=1 RD @04 + 25191.50ns INFO [00025193] Port=1 RD @04 + 25192.50ns INFO [00025194] * RD COMPARE * port=1 adr=04 act=2996A0F064CE357EB5 exp=2996A0F064CE357EB5 + 25192.50ns INFO [00025194] Port=0 WR @06=3E92D8D7699B42EC22 + 25192.50ns INFO [00025194] Port=0 RD @02 + 25193.50ns INFO [00025195] * RD COMPARE * port=1 adr=04 act=2996A0F064CE357EB5 exp=2996A0F064CE357EB5 + 25193.50ns INFO [00025195] Port=0 RD @05 + 25194.50ns INFO [00025196] * RD COMPARE * port=0 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25194.50ns INFO [00025196] Port=1 RD @05 + 25195.50ns INFO [00025197] * RD COMPARE * port=0 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25195.50ns INFO [00025197] Port=0 RD @05 + 25196.50ns INFO [00025198] * RD COMPARE * port=1 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25196.50ns INFO [00025198] Port=0 RD @05 + 25197.50ns INFO [00025199] * RD COMPARE * port=0 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25197.50ns INFO [00025199] Port=0 WR @05=5ABE3512C018DFC2FD + 25198.00ns INFO [00025200] [00025200] ...tick... + 25198.50ns INFO [00025200] * RD COMPARE * port=0 adr=05 act=43858D51D846A2E590 exp=43858D51D846A2E590 + 25199.50ns INFO [00025201] Port=0 WR @06=B7F22377B89817E726 + 25199.50ns INFO [00025201] Port=0 RD @04 + 25199.50ns INFO [00025201] Port=1 RD @01 + 25200.50ns INFO [00025202] Port=0 WR @00=6FC8133CFEAE36F1EF + 25200.50ns INFO [00025202] Port=0 RD @02 + 25201.50ns INFO [00025203] * RD COMPARE * port=0 adr=04 act=2996A0F064CE357EB5 exp=2996A0F064CE357EB5 + 25201.50ns INFO [00025203] * RD COMPARE * port=1 adr=01 act=3AEC3D69DBBDA3CE0E exp=3AEC3D69DBBDA3CE0E + 25201.50ns INFO [00025203] Port=0 RD @06 + 25202.50ns INFO [00025204] * RD COMPARE * port=0 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25202.50ns INFO [00025204] Port=0 WR @04=DB29827D7DC2F9B0D9 + 25203.50ns INFO [00025205] * RD COMPARE * port=0 adr=06 act=B7F22377B89817E726 exp=B7F22377B89817E726 + 25203.50ns INFO [00025205] Port=0 WR @00=A9C67498B453C8E3D5 + 25203.50ns INFO [00025205] Port=0 RD @02 + 25203.50ns INFO [00025205] Port=1 RD @01 + 25204.50ns INFO [00025206] Port=0 WR @05=B5C96E985F320B9336 + 25204.50ns INFO [00025206] Port=0 RD @03 + 25205.50ns INFO [00025207] * RD COMPARE * port=0 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25205.50ns INFO [00025207] * RD COMPARE * port=1 adr=01 act=3AEC3D69DBBDA3CE0E exp=3AEC3D69DBBDA3CE0E + 25205.50ns INFO [00025207] Port=0 WR @05=CF5794555D2C6B6E84 + 25205.50ns INFO [00025207] Port=0 RD @02 + 25205.50ns INFO [00025207] Port=1 RD @02 + 25206.50ns INFO [00025208] * RD COMPARE * port=0 adr=03 act=E2D0F38E4DB33612F1 exp=E2D0F38E4DB33612F1 + 25206.50ns INFO [00025208] Port=0 RD @02 + 25207.50ns INFO [00025209] * RD COMPARE * port=0 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25207.50ns INFO [00025209] * RD COMPARE * port=1 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25207.50ns INFO [00025209] Port=1 RD @05 + 25208.50ns INFO [00025210] * RD COMPARE * port=0 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25208.50ns INFO [00025210] Port=1 RD @05 + 25209.50ns INFO [00025211] * RD COMPARE * port=1 adr=05 act=CF5794555D2C6B6E84 exp=CF5794555D2C6B6E84 + 25209.50ns INFO [00025211] Port=0 WR @03=F568673E1BC9E75726 + 25209.50ns INFO [00025211] Port=1 RD @07 + 25210.50ns INFO [00025212] * RD COMPARE * port=1 adr=05 act=CF5794555D2C6B6E84 exp=CF5794555D2C6B6E84 + 25210.50ns INFO [00025212] Port=1 RD @00 + 25211.50ns INFO [00025213] * RD COMPARE * port=1 adr=07 act=AFDE0082BB414A506D exp=AFDE0082BB414A506D + 25212.50ns INFO [00025214] * RD COMPARE * port=1 adr=00 act=A9C67498B453C8E3D5 exp=A9C67498B453C8E3D5 + 25213.50ns INFO [00025215] Port=0 WR @06=D94970D443BE40A6A5 + 25214.50ns INFO [00025216] Port=0 WR @06=077C35264E77976AF0 + 25214.50ns INFO [00025216] Port=0 RD @00 + 25214.50ns INFO [00025216] Port=1 RD @07 + 25216.50ns INFO [00025218] * RD COMPARE * port=0 adr=00 act=A9C67498B453C8E3D5 exp=A9C67498B453C8E3D5 + 25216.50ns INFO [00025218] * RD COMPARE * port=1 adr=07 act=AFDE0082BB414A506D exp=AFDE0082BB414A506D + 25216.50ns INFO [00025218] Port=0 WR @04=59C993CBD6AD751B23 + 25216.50ns INFO [00025218] Port=0 RD @05 + 25217.50ns INFO [00025219] Port=0 RD @07 + 25217.50ns INFO [00025219] Port=1 RD @02 + 25218.50ns INFO [00025220] * RD COMPARE * port=0 adr=05 act=CF5794555D2C6B6E84 exp=CF5794555D2C6B6E84 + 25218.50ns INFO [00025220] Port=0 WR @05=A74AEF3EC799A45C11 + 25218.50ns INFO [00025220] Port=0 RD @00 + 25219.50ns INFO [00025221] * RD COMPARE * port=0 adr=07 act=AFDE0082BB414A506D exp=AFDE0082BB414A506D + 25219.50ns INFO [00025221] * RD COMPARE * port=1 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25219.50ns INFO [00025221] Port=0 RD @02 + 25220.50ns INFO [00025222] * RD COMPARE * port=0 adr=00 act=A9C67498B453C8E3D5 exp=A9C67498B453C8E3D5 + 25220.50ns INFO [00025222] Port=0 WR @04=2E1DB700BA2D6D9A3F + 25220.50ns INFO [00025222] Port=0 RD @03 + 25221.50ns INFO [00025223] * RD COMPARE * port=0 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25221.50ns INFO [00025223] Port=0 WR @00=F858B38AAB69262A48 + 25221.50ns INFO [00025223] Port=0 RD @06 + 25221.50ns INFO [00025223] Port=1 RD @04 + 25222.50ns INFO [00025224] * RD COMPARE * port=0 adr=03 act=F568673E1BC9E75726 exp=F568673E1BC9E75726 + 25222.50ns INFO [00025224] Port=0 WR @05=4E08A84999883A63C2 + 25222.50ns INFO [00025224] Port=1 RD @03 + 25223.50ns INFO [00025225] * RD COMPARE * port=0 adr=06 act=077C35264E77976AF0 exp=077C35264E77976AF0 + 25223.50ns INFO [00025225] * RD COMPARE * port=1 adr=04 act=2E1DB700BA2D6D9A3F exp=2E1DB700BA2D6D9A3F + 25223.50ns INFO [00025225] Port=0 WR @05=31FDC99FA2F78EDA70 + 25224.50ns INFO [00025226] * RD COMPARE * port=1 adr=03 act=F568673E1BC9E75726 exp=F568673E1BC9E75726 + 25224.50ns INFO [00025226] Port=0 WR @03=5C34380DA0218A97A2 + 25224.50ns INFO [00025226] Port=1 RD @04 + 25225.50ns INFO [00025227] Port=0 RD @06 + 25225.50ns INFO [00025227] Port=1 RD @07 + 25226.50ns INFO [00025228] * RD COMPARE * port=1 adr=04 act=2E1DB700BA2D6D9A3F exp=2E1DB700BA2D6D9A3F + 25226.50ns INFO [00025228] Port=0 WR @05=D6C1CC496911DEF0DC + 25226.50ns INFO [00025228] Port=1 RD @03 + 25227.50ns INFO [00025229] * RD COMPARE * port=0 adr=06 act=077C35264E77976AF0 exp=077C35264E77976AF0 + 25227.50ns INFO [00025229] * RD COMPARE * port=1 adr=07 act=AFDE0082BB414A506D exp=AFDE0082BB414A506D + 25227.50ns INFO [00025229] Port=1 RD @07 + 25228.50ns INFO [00025230] * RD COMPARE * port=1 adr=03 act=5C34380DA0218A97A2 exp=5C34380DA0218A97A2 + 25228.50ns INFO [00025230] Port=0 WR @01=847D3A922F0CD24ACC + 25228.50ns INFO [00025230] Port=0 RD @06 + 25228.50ns INFO [00025230] Port=1 RD @03 + 25229.50ns INFO [00025231] * RD COMPARE * port=1 adr=07 act=AFDE0082BB414A506D exp=AFDE0082BB414A506D + 25230.50ns INFO [00025232] * RD COMPARE * port=0 adr=06 act=077C35264E77976AF0 exp=077C35264E77976AF0 + 25230.50ns INFO [00025232] * RD COMPARE * port=1 adr=03 act=5C34380DA0218A97A2 exp=5C34380DA0218A97A2 + 25230.50ns INFO [00025232] Port=0 RD @03 + 25232.50ns INFO [00025234] * RD COMPARE * port=0 adr=03 act=5C34380DA0218A97A2 exp=5C34380DA0218A97A2 + 25232.50ns INFO [00025234] Port=0 RD @02 + 25233.50ns INFO [00025235] Port=0 WR @01=31845FAE6731DDB7B2 + 25233.50ns INFO [00025235] Port=0 RD @04 + 25233.50ns INFO [00025235] Port=1 RD @05 + 25234.50ns INFO [00025236] * RD COMPARE * port=0 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25234.50ns INFO [00025236] Port=0 RD @02 + 25234.50ns INFO [00025236] Port=1 RD @07 + 25235.50ns INFO [00025237] * RD COMPARE * port=0 adr=04 act=2E1DB700BA2D6D9A3F exp=2E1DB700BA2D6D9A3F + 25235.50ns INFO [00025237] * RD COMPARE * port=1 adr=05 act=D6C1CC496911DEF0DC exp=D6C1CC496911DEF0DC + 25235.50ns INFO [00025237] Port=0 WR @02=95EE3DFD12D5801BF2 + 25236.50ns INFO [00025238] * RD COMPARE * port=0 adr=02 act=E0B2D6F4567CA1C216 exp=E0B2D6F4567CA1C216 + 25236.50ns INFO [00025238] * RD COMPARE * port=1 adr=07 act=AFDE0082BB414A506D exp=AFDE0082BB414A506D + 25236.50ns INFO [00025238] Port=1 RD @03 + 25237.50ns INFO [00025239] Port=0 WR @05=D777C4A682DC9631D3 + 25238.50ns INFO [00025240] * RD COMPARE * port=1 adr=03 act=5C34380DA0218A97A2 exp=5C34380DA0218A97A2 + 25238.50ns INFO [00025240] Port=0 RD @07 + 25239.50ns INFO [00025241] Port=0 WR @03=5CF014DBF2496096A2 + 25240.50ns INFO [00025242] * RD COMPARE * port=0 adr=07 act=AFDE0082BB414A506D exp=AFDE0082BB414A506D + 25240.50ns INFO [00025242] Port=0 WR @02=E5D6F4B675B4048986 + 25240.50ns INFO [00025242] Port=1 RD @06 + 25241.50ns INFO [00025243] Port=0 WR @02=549D5E34EA00C6CA8A + 25241.50ns INFO [00025243] Port=0 RD @05 + 25242.50ns INFO [00025244] * RD COMPARE * port=1 adr=06 act=077C35264E77976AF0 exp=077C35264E77976AF0 + 25242.50ns INFO [00025244] Port=0 WR @03=D827C1D77757CB1D21 + 25242.50ns INFO [00025244] Port=0 RD @00 + 25243.50ns INFO [00025245] * RD COMPARE * port=0 adr=05 act=D777C4A682DC9631D3 exp=D777C4A682DC9631D3 + 25243.50ns INFO [00025245] Port=0 WR @07=FE27F3BED9A52279F9 + 25243.50ns INFO [00025245] Port=0 RD @00 + 25243.50ns INFO [00025245] Port=1 RD @04 + 25244.50ns INFO [00025246] * RD COMPARE * port=0 adr=00 act=F858B38AAB69262A48 exp=F858B38AAB69262A48 + 25244.50ns INFO [00025246] Port=0 RD @02 + 25244.50ns INFO [00025246] Port=1 RD @01 + 25245.50ns INFO [00025247] * RD COMPARE * port=0 adr=00 act=F858B38AAB69262A48 exp=F858B38AAB69262A48 + 25245.50ns INFO [00025247] * RD COMPARE * port=1 adr=04 act=2E1DB700BA2D6D9A3F exp=2E1DB700BA2D6D9A3F + 25245.50ns INFO [00025247] Port=0 RD @04 + 25245.50ns INFO [00025247] Port=1 RD @06 + 25246.50ns INFO [00025248] * RD COMPARE * port=0 adr=02 act=549D5E34EA00C6CA8A exp=549D5E34EA00C6CA8A + 25246.50ns INFO [00025248] * RD COMPARE * port=1 adr=01 act=31845FAE6731DDB7B2 exp=31845FAE6731DDB7B2 + 25246.50ns INFO [00025248] Port=0 WR @04=BBF7BE07CB84A04894 + 25246.50ns INFO [00025248] Port=0 RD @05 + 25246.50ns INFO [00025248] Port=1 RD @06 + 25247.50ns INFO [00025249] * RD COMPARE * port=0 adr=04 act=2E1DB700BA2D6D9A3F exp=2E1DB700BA2D6D9A3F + 25247.50ns INFO [00025249] * RD COMPARE * port=1 adr=06 act=077C35264E77976AF0 exp=077C35264E77976AF0 + 25247.50ns INFO [00025249] Port=0 WR @00=539CAC00F53168F567 + 25247.50ns INFO [00025249] Port=0 RD @06 + 25247.50ns INFO [00025249] Port=1 RD @01 + 25248.50ns INFO [00025250] * RD COMPARE * port=0 adr=05 act=D777C4A682DC9631D3 exp=D777C4A682DC9631D3 + 25248.50ns INFO [00025250] * RD COMPARE * port=1 adr=06 act=077C35264E77976AF0 exp=077C35264E77976AF0 + 25248.50ns INFO [00025250] Port=0 WR @06=EDA023DE129D6174BD + 25248.50ns INFO [00025250] Port=1 RD @02 + 25249.50ns INFO [00025251] * RD COMPARE * port=0 adr=06 act=077C35264E77976AF0 exp=077C35264E77976AF0 + 25249.50ns INFO [00025251] * RD COMPARE * port=1 adr=01 act=31845FAE6731DDB7B2 exp=31845FAE6731DDB7B2 + 25249.50ns INFO [00025251] Port=0 WR @04=2F4ECFD4674200B14A + 25249.50ns INFO [00025251] Port=0 RD @06 + 25249.50ns INFO [00025251] Port=1 RD @01 + 25250.50ns INFO [00025252] * RD COMPARE * port=1 adr=02 act=549D5E34EA00C6CA8A exp=549D5E34EA00C6CA8A + 25251.50ns INFO [00025253] * RD COMPARE * port=0 adr=06 act=EDA023DE129D6174BD exp=EDA023DE129D6174BD + 25251.50ns INFO [00025253] * RD COMPARE * port=1 adr=01 act=31845FAE6731DDB7B2 exp=31845FAE6731DDB7B2 + 25251.50ns INFO [00025253] Port=0 WR @06=F25CED32D678939FBE + 25252.50ns INFO [00025254] Port=0 WR @07=0DBA63CEED7FD55231 + 25252.50ns INFO [00025254] Port=0 RD @05 + 25252.50ns INFO [00025254] Port=1 RD @03 + 25253.50ns INFO [00025255] Port=0 WR @04=DA72037A0735C0637C + 25254.50ns INFO [00025256] * RD COMPARE * port=0 adr=05 act=D777C4A682DC9631D3 exp=D777C4A682DC9631D3 + 25254.50ns INFO [00025256] * RD COMPARE * port=1 adr=03 act=D827C1D77757CB1D21 exp=D827C1D77757CB1D21 + 25254.50ns INFO [00025256] Port=0 WR @05=F3FA793F1B97E59231 + 25255.50ns INFO [00025257] Port=0 RD @02 + 25255.50ns INFO [00025257] Port=1 RD @07 + 25257.50ns INFO [00025259] * RD COMPARE * port=0 adr=02 act=549D5E34EA00C6CA8A exp=549D5E34EA00C6CA8A + 25257.50ns INFO [00025259] * RD COMPARE * port=1 adr=07 act=0DBA63CEED7FD55231 exp=0DBA63CEED7FD55231 + 25257.50ns INFO [00025259] Port=0 WR @00=82A80867E076AAB2CA + 25257.50ns INFO [00025259] Port=0 RD @04 + 25258.50ns INFO [00025260] Port=0 WR @05=0375DB0BEEE237AC16 + 25258.50ns INFO [00025260] Port=0 RD @00 + 25259.50ns INFO [00025261] * RD COMPARE * port=0 adr=04 act=DA72037A0735C0637C exp=DA72037A0735C0637C + 25259.50ns INFO [00025261] Port=0 RD @01 + 25260.50ns INFO [00025262] * RD COMPARE * port=0 adr=00 act=82A80867E076AAB2CA exp=82A80867E076AAB2CA + 25260.50ns INFO [00025262] Port=0 WR @03=482F7C6B09FB84EF78 + 25260.50ns INFO [00025262] Port=0 RD @02 + 25260.50ns INFO [00025262] Port=1 RD @01 + 25261.50ns INFO [00025263] * RD COMPARE * port=0 adr=01 act=31845FAE6731DDB7B2 exp=31845FAE6731DDB7B2 + 25261.50ns INFO [00025263] Port=0 WR @07=6F871A38266788ED6D + 25261.50ns INFO [00025263] Port=1 RD @06 + 25262.50ns INFO [00025264] * RD COMPARE * port=0 adr=02 act=549D5E34EA00C6CA8A exp=549D5E34EA00C6CA8A + 25262.50ns INFO [00025264] * RD COMPARE * port=1 adr=01 act=31845FAE6731DDB7B2 exp=31845FAE6731DDB7B2 + 25262.50ns INFO [00025264] Port=0 WR @03=7BF872787CB38EC7DB + 25262.50ns INFO [00025264] Port=0 RD @07 + 25262.50ns INFO [00025264] Port=1 RD @07 + 25263.50ns INFO [00025265] * RD COMPARE * port=1 adr=06 act=F25CED32D678939FBE exp=F25CED32D678939FBE + 25263.50ns INFO [00025265] Port=0 RD @02 + 25263.50ns INFO [00025265] Port=1 RD @06 + 25264.50ns INFO [00025266] * RD COMPARE * port=0 adr=07 act=6F871A38266788ED6D exp=6F871A38266788ED6D + 25264.50ns INFO [00025266] * RD COMPARE * port=1 adr=07 act=6F871A38266788ED6D exp=6F871A38266788ED6D + 25264.50ns INFO [00025266] Port=0 WR @07=111D846D4F7EA1BEAD + 25264.50ns INFO [00025266] Port=1 RD @00 + 25265.50ns INFO [00025267] * RD COMPARE * port=0 adr=02 act=549D5E34EA00C6CA8A exp=549D5E34EA00C6CA8A + 25265.50ns INFO [00025267] * RD COMPARE * port=1 adr=06 act=F25CED32D678939FBE exp=F25CED32D678939FBE + 25265.50ns INFO [00025267] Port=0 WR @03=885BE632B01978DB28 + 25266.50ns INFO [00025268] * RD COMPARE * port=1 adr=00 act=82A80867E076AAB2CA exp=82A80867E076AAB2CA + 25266.50ns INFO [00025268] Port=0 WR @03=CFE9E3ED9281382558 + 25266.50ns INFO [00025268] Port=0 RD @01 + 25267.50ns INFO [00025269] Port=0 RD @04 + 25267.50ns INFO [00025269] Port=1 RD @06 + 25268.50ns INFO [00025270] * RD COMPARE * port=0 adr=01 act=31845FAE6731DDB7B2 exp=31845FAE6731DDB7B2 + 25269.50ns INFO [00025271] * RD COMPARE * port=0 adr=04 act=DA72037A0735C0637C exp=DA72037A0735C0637C + 25269.50ns INFO [00025271] * RD COMPARE * port=1 adr=06 act=F25CED32D678939FBE exp=F25CED32D678939FBE + 25269.50ns INFO [00025271] Port=0 WR @07=3728F0719AE72572EC + 25270.50ns INFO [00025272] Port=0 RD @04 + 25270.50ns INFO [00025272] Port=1 RD @05 + 25272.50ns INFO [00025274] * RD COMPARE * port=0 adr=04 act=DA72037A0735C0637C exp=DA72037A0735C0637C + 25272.50ns INFO [00025274] * RD COMPARE * port=1 adr=05 act=0375DB0BEEE237AC16 exp=0375DB0BEEE237AC16 + 25272.50ns INFO [00025274] Port=0 RD @03 + 25274.50ns INFO [00025276] * RD COMPARE * port=0 adr=03 act=CFE9E3ED9281382558 exp=CFE9E3ED9281382558 + 25274.50ns INFO [00025276] Port=0 WR @04=D6CA5B4CD4807E4A86 + 25275.50ns INFO [00025277] Port=0 RD @05 + 25276.50ns INFO [00025278] Port=0 WR @01=47CBFE1154B22AF046 + 25277.50ns INFO [00025279] * RD COMPARE * port=0 adr=05 act=0375DB0BEEE237AC16 exp=0375DB0BEEE237AC16 + 25277.50ns INFO [00025279] Port=1 RD @06 + 25278.50ns INFO [00025280] Port=0 WR @06=7C5279F5224DF89702 + 25278.50ns INFO [00025280] Port=0 RD @04 + 25279.50ns INFO [00025281] * RD COMPARE * port=1 adr=06 act=F25CED32D678939FBE exp=F25CED32D678939FBE + 25280.50ns INFO [00025282] * RD COMPARE * port=0 adr=04 act=D6CA5B4CD4807E4A86 exp=D6CA5B4CD4807E4A86 + 25280.50ns INFO [00025282] Port=0 WR @00=B2B6ED9E44B904D89F + 25280.50ns INFO [00025282] Port=0 RD @06 + 25281.50ns INFO [00025283] Port=0 WR @07=79BCD70FDF80D93BB9 + 25282.50ns INFO [00025284] * RD COMPARE * port=0 adr=06 act=7C5279F5224DF89702 exp=7C5279F5224DF89702 + 25282.50ns INFO [00025284] Port=0 WR @07=E60C8DDB8DF74441E5 + 25283.50ns INFO [00025285] Port=1 RD @05 + 25284.50ns INFO [00025286] Port=1 RD @03 + 25285.50ns INFO [00025287] * RD COMPARE * port=1 adr=05 act=0375DB0BEEE237AC16 exp=0375DB0BEEE237AC16 + 25285.50ns INFO [00025287] Port=0 WR @05=852C115172C2B92C68 + 25285.50ns INFO [00025287] Port=1 RD @03 + 25286.50ns INFO [00025288] * RD COMPARE * port=1 adr=03 act=CFE9E3ED9281382558 exp=CFE9E3ED9281382558 + 25287.50ns INFO [00025289] * RD COMPARE * port=1 adr=03 act=CFE9E3ED9281382558 exp=CFE9E3ED9281382558 + 25287.50ns INFO [00025289] Port=0 RD @00 + 25288.50ns INFO [00025290] Port=0 WR @03=CEEFB8D9937F10FA74 + 25288.50ns INFO [00025290] Port=0 RD @07 + 25289.50ns INFO [00025291] * RD COMPARE * port=0 adr=00 act=B2B6ED9E44B904D89F exp=B2B6ED9E44B904D89F + 25289.50ns INFO [00025291] Port=0 WR @07=DF7268C2AD373612E1 + 25289.50ns INFO [00025291] Port=1 RD @00 + 25290.50ns INFO [00025292] * RD COMPARE * port=0 adr=07 act=E60C8DDB8DF74441E5 exp=E60C8DDB8DF74441E5 + 25291.50ns INFO [00025293] * RD COMPARE * port=1 adr=00 act=B2B6ED9E44B904D89F exp=B2B6ED9E44B904D89F + 25291.50ns INFO [00025293] Port=0 RD @04 + 25292.50ns INFO [00025294] Port=0 WR @03=A1CE87C6D1920C3B70 + 25292.50ns INFO [00025294] Port=1 RD @04 + 25293.50ns INFO [00025295] * RD COMPARE * port=0 adr=04 act=D6CA5B4CD4807E4A86 exp=D6CA5B4CD4807E4A86 + 25293.50ns INFO [00025295] Port=0 WR @05=98CD3DD192B6E118D3 + 25294.50ns INFO [00025296] * RD COMPARE * port=1 adr=04 act=D6CA5B4CD4807E4A86 exp=D6CA5B4CD4807E4A86 + 25296.50ns INFO [00025298] Port=0 WR @06=E34E56DFB1BB94CAAA + 25297.50ns INFO [00025299] Port=1 RD @07 + 25298.00ns INFO [00025300] [00025300] ...tick... + 25298.50ns INFO [00025300] Port=0 RD @06 + 25298.50ns INFO [00025300] Port=1 RD @04 + 25299.50ns INFO [00025301] * RD COMPARE * port=1 adr=07 act=DF7268C2AD373612E1 exp=DF7268C2AD373612E1 + 25299.50ns INFO [00025301] Port=0 WR @00=B03652B006BE2CFADF + 25299.50ns INFO [00025301] Port=1 RD @06 + 25300.50ns INFO [00025302] * RD COMPARE * port=0 adr=06 act=E34E56DFB1BB94CAAA exp=E34E56DFB1BB94CAAA + 25300.50ns INFO [00025302] * RD COMPARE * port=1 adr=04 act=D6CA5B4CD4807E4A86 exp=D6CA5B4CD4807E4A86 + 25300.50ns INFO [00025302] Port=0 WR @03=EEB39DD104B56D597E + 25300.50ns INFO [00025302] Port=1 RD @02 + 25301.50ns INFO [00025303] * RD COMPARE * port=1 adr=06 act=E34E56DFB1BB94CAAA exp=E34E56DFB1BB94CAAA + 25301.50ns INFO [00025303] Port=1 RD @00 + 25302.50ns INFO [00025304] * RD COMPARE * port=1 adr=02 act=549D5E34EA00C6CA8A exp=549D5E34EA00C6CA8A + 25302.50ns INFO [00025304] Port=0 RD @02 + 25302.50ns INFO [00025304] Port=1 RD @05 + 25303.50ns INFO [00025305] * RD COMPARE * port=1 adr=00 act=B03652B006BE2CFADF exp=B03652B006BE2CFADF + 25303.50ns INFO [00025305] Port=0 WR @02=5D9360BAA29857FE7F + 25304.50ns INFO [00025306] * RD COMPARE * port=0 adr=02 act=549D5E34EA00C6CA8A exp=549D5E34EA00C6CA8A + 25304.50ns INFO [00025306] * RD COMPARE * port=1 adr=05 act=98CD3DD192B6E118D3 exp=98CD3DD192B6E118D3 + 25304.50ns INFO [00025306] Port=0 RD @02 + 25305.50ns INFO [00025307] Port=0 RD @07 + 25306.50ns INFO [00025308] * RD COMPARE * port=0 adr=02 act=5D9360BAA29857FE7F exp=5D9360BAA29857FE7F + 25306.50ns INFO [00025308] Port=1 RD @03 + 25307.50ns INFO [00025309] * RD COMPARE * port=0 adr=07 act=DF7268C2AD373612E1 exp=DF7268C2AD373612E1 + 25307.50ns INFO [00025309] Port=0 RD @01 + 25307.50ns INFO [00025309] Port=1 RD @00 + 25308.50ns INFO [00025310] * RD COMPARE * port=1 adr=03 act=EEB39DD104B56D597E exp=EEB39DD104B56D597E + 25308.50ns INFO [00025310] Port=1 RD @00 + 25309.50ns INFO [00025311] * RD COMPARE * port=0 adr=01 act=47CBFE1154B22AF046 exp=47CBFE1154B22AF046 + 25309.50ns INFO [00025311] * RD COMPARE * port=1 adr=00 act=B03652B006BE2CFADF exp=B03652B006BE2CFADF + 25309.50ns INFO [00025311] Port=0 RD @03 + 25310.50ns INFO [00025312] * RD COMPARE * port=1 adr=00 act=B03652B006BE2CFADF exp=B03652B006BE2CFADF + 25310.50ns INFO [00025312] Port=0 WR @01=C8C881F664803ABE0B + 25310.50ns INFO [00025312] Port=1 RD @05 + 25311.50ns INFO [00025313] * RD COMPARE * port=0 adr=03 act=EEB39DD104B56D597E exp=EEB39DD104B56D597E + 25311.50ns INFO [00025313] Port=0 RD @04 + 25311.50ns INFO [00025313] Port=1 RD @02 + 25312.50ns INFO [00025314] * RD COMPARE * port=1 adr=05 act=98CD3DD192B6E118D3 exp=98CD3DD192B6E118D3 + 25313.50ns INFO [00025315] * RD COMPARE * port=0 adr=04 act=D6CA5B4CD4807E4A86 exp=D6CA5B4CD4807E4A86 + 25313.50ns INFO [00025315] * RD COMPARE * port=1 adr=02 act=5D9360BAA29857FE7F exp=5D9360BAA29857FE7F + 25313.50ns INFO [00025315] Port=1 RD @03 + 25315.50ns INFO [00025317] * RD COMPARE * port=1 adr=03 act=EEB39DD104B56D597E exp=EEB39DD104B56D597E + 25316.50ns INFO [00025318] Port=0 WR @06=76E1C873EAC07B6CAC + 25316.50ns INFO [00025318] Port=1 RD @02 + 25317.50ns INFO [00025319] Port=0 WR @02=4048EC013DF9EC2B51 + 25318.50ns INFO [00025320] * RD COMPARE * port=1 adr=02 act=5D9360BAA29857FE7F exp=5D9360BAA29857FE7F + 25318.50ns INFO [00025320] Port=0 WR @04=99A0E7224DB595B7D0 + 25318.50ns INFO [00025320] Port=0 RD @07 + 25319.50ns INFO [00025321] Port=0 WR @06=C2CF3F4C748014E93A + 25320.50ns INFO [00025322] * RD COMPARE * port=0 adr=07 act=DF7268C2AD373612E1 exp=DF7268C2AD373612E1 + 25320.50ns INFO [00025322] Port=0 RD @00 + 25322.50ns INFO [00025324] * RD COMPARE * port=0 adr=00 act=B03652B006BE2CFADF exp=B03652B006BE2CFADF + 25323.50ns INFO [00025325] Port=1 RD @03 + 25324.50ns INFO [00025326] Port=0 WR @04=EDBE36981B714933C5 + 25325.50ns INFO [00025327] * RD COMPARE * port=1 adr=03 act=EEB39DD104B56D597E exp=EEB39DD104B56D597E + 25328.50ns INFO [00025330] Port=0 WR @03=797D02933CB71FC962 + 25328.50ns INFO [00025330] Port=0 RD @05 + 25329.50ns INFO [00025331] Port=0 WR @03=E2AD5970D3CCAEDEFC + 25329.50ns INFO [00025331] Port=0 RD @05 + 25330.50ns INFO [00025332] * RD COMPARE * port=0 adr=05 act=98CD3DD192B6E118D3 exp=98CD3DD192B6E118D3 + 25330.50ns INFO [00025332] Port=0 RD @02 + 25331.50ns INFO [00025333] * RD COMPARE * port=0 adr=05 act=98CD3DD192B6E118D3 exp=98CD3DD192B6E118D3 + 25331.50ns INFO [00025333] Port=0 WR @03=0544B0470314716FC0 + 25331.50ns INFO [00025333] Port=1 RD @02 + 25332.50ns INFO [00025334] * RD COMPARE * port=0 adr=02 act=4048EC013DF9EC2B51 exp=4048EC013DF9EC2B51 + 25333.50ns INFO [00025335] * RD COMPARE * port=1 adr=02 act=4048EC013DF9EC2B51 exp=4048EC013DF9EC2B51 + 25333.50ns INFO [00025335] Port=1 RD @01 + 25334.50ns INFO [00025336] Port=0 WR @02=C189DF69E160B039B7 + 25335.50ns INFO [00025337] * RD COMPARE * port=1 adr=01 act=C8C881F664803ABE0B exp=C8C881F664803ABE0B + 25335.50ns INFO [00025337] Port=1 RD @02 + 25337.50ns INFO [00025339] * RD COMPARE * port=1 adr=02 act=C189DF69E160B039B7 exp=C189DF69E160B039B7 + 25337.50ns INFO [00025339] Port=1 RD @07 + 25338.50ns INFO [00025340] Port=0 WR @00=E68F03343662E827E6 + 25339.50ns INFO [00025341] * RD COMPARE * port=1 adr=07 act=DF7268C2AD373612E1 exp=DF7268C2AD373612E1 + 25341.50ns INFO [00025343] Port=0 RD @07 + 25341.50ns INFO [00025343] Port=1 RD @01 + 25342.50ns INFO [00025344] Port=0 RD @00 + 25343.50ns INFO [00025345] * RD COMPARE * port=0 adr=07 act=DF7268C2AD373612E1 exp=DF7268C2AD373612E1 + 25343.50ns INFO [00025345] * RD COMPARE * port=1 adr=01 act=C8C881F664803ABE0B exp=C8C881F664803ABE0B + 25343.50ns INFO [00025345] Port=0 WR @06=68D8CE4F25603D7E8A + 25344.50ns INFO [00025346] * RD COMPARE * port=0 adr=00 act=E68F03343662E827E6 exp=E68F03343662E827E6 + 25345.50ns INFO [00025347] Port=0 RD @05 + 25345.50ns INFO [00025347] Port=1 RD @03 + 25346.50ns INFO [00025348] Port=0 WR @05=FDBA4D4022C206ACA3 + 25347.50ns INFO [00025349] * RD COMPARE * port=0 adr=05 act=98CD3DD192B6E118D3 exp=98CD3DD192B6E118D3 + 25347.50ns INFO [00025349] * RD COMPARE * port=1 adr=03 act=0544B0470314716FC0 exp=0544B0470314716FC0 + 25347.50ns INFO [00025349] Port=0 WR @00=9DD9D673D63C1BF649 + 25347.50ns INFO [00025349] Port=1 RD @07 + 25348.50ns INFO [00025350] Port=1 RD @05 + 25349.50ns INFO [00025351] * RD COMPARE * port=1 adr=07 act=DF7268C2AD373612E1 exp=DF7268C2AD373612E1 + 25350.50ns INFO [00025352] * RD COMPARE * port=1 adr=05 act=FDBA4D4022C206ACA3 exp=FDBA4D4022C206ACA3 + 25350.50ns INFO [00025352] Port=0 WR @07=485CB053FD0E9D3F0F + 25350.50ns INFO [00025352] Port=0 RD @01 + 25350.50ns INFO [00025352] Port=1 RD @06 + 25352.50ns INFO [00025354] * RD COMPARE * port=0 adr=01 act=C8C881F664803ABE0B exp=C8C881F664803ABE0B + 25352.50ns INFO [00025354] * RD COMPARE * port=1 adr=06 act=68D8CE4F25603D7E8A exp=68D8CE4F25603D7E8A + 25352.50ns INFO [00025354] Port=0 WR @03=C09EBC4C959C286525 + 25353.50ns INFO [00025355] Port=1 RD @05 + 25354.50ns INFO [00025356] Port=0 RD @01 + 25355.50ns INFO [00025357] * RD COMPARE * port=1 adr=05 act=FDBA4D4022C206ACA3 exp=FDBA4D4022C206ACA3 + 25355.50ns INFO [00025357] Port=0 WR @02=3D991B44BA76C05A1F + 25355.50ns INFO [00025357] Port=0 RD @03 + 25356.50ns INFO [00025358] * RD COMPARE * port=0 adr=01 act=C8C881F664803ABE0B exp=C8C881F664803ABE0B + 25356.50ns INFO [00025358] Port=0 RD @02 + 25357.50ns INFO [00025359] * RD COMPARE * port=0 adr=03 act=C09EBC4C959C286525 exp=C09EBC4C959C286525 + 25357.50ns INFO [00025359] Port=0 WR @02=AF49A33A68C10CE7D7 + 25357.50ns INFO [00025359] Port=1 RD @00 + 25358.50ns INFO [00025360] * RD COMPARE * port=0 adr=02 act=3D991B44BA76C05A1F exp=3D991B44BA76C05A1F + 25358.50ns INFO [00025360] Port=0 WR @03=1AB20F861A4CE83BDE + 25358.50ns INFO [00025360] Port=1 RD @05 + 25359.50ns INFO [00025361] * RD COMPARE * port=1 adr=00 act=9DD9D673D63C1BF649 exp=9DD9D673D63C1BF649 + 25359.50ns INFO [00025361] Port=0 WR @00=9145ADE58A0026402D + 25360.50ns INFO [00025362] * RD COMPARE * port=1 adr=05 act=FDBA4D4022C206ACA3 exp=FDBA4D4022C206ACA3 + 25362.50ns INFO [00025364] Port=0 RD @03 + 25362.50ns INFO [00025364] Port=1 RD @06 + 25363.50ns INFO [00025365] Port=0 WR @04=0230A5CFF3BD6B2820 + 25364.50ns INFO [00025366] * RD COMPARE * port=0 adr=03 act=1AB20F861A4CE83BDE exp=1AB20F861A4CE83BDE + 25364.50ns INFO [00025366] * RD COMPARE * port=1 adr=06 act=68D8CE4F25603D7E8A exp=68D8CE4F25603D7E8A + 25364.50ns INFO [00025366] Port=0 WR @06=150B19A3DD30AEDE13 + 25364.50ns INFO [00025366] Port=0 RD @01 + 25365.50ns INFO [00025367] Port=1 RD @02 + 25366.50ns INFO [00025368] * RD COMPARE * port=0 adr=01 act=C8C881F664803ABE0B exp=C8C881F664803ABE0B + 25366.50ns INFO [00025368] Port=0 RD @06 + 25367.50ns INFO [00025369] * RD COMPARE * port=1 adr=02 act=AF49A33A68C10CE7D7 exp=AF49A33A68C10CE7D7 + 25368.50ns INFO [00025370] * RD COMPARE * port=0 adr=06 act=150B19A3DD30AEDE13 exp=150B19A3DD30AEDE13 + 25368.50ns INFO [00025370] Port=0 WR @07=0161C00F65EF710206 + 25368.50ns INFO [00025370] Port=1 RD @00 + 25369.50ns INFO [00025371] Port=0 RD @05 + 25369.50ns INFO [00025371] Port=1 RD @05 + 25370.50ns INFO [00025372] * RD COMPARE * port=1 adr=00 act=9145ADE58A0026402D exp=9145ADE58A0026402D + 25370.50ns INFO [00025372] Port=0 RD @07 + 25371.50ns INFO [00025373] * RD COMPARE * port=0 adr=05 act=FDBA4D4022C206ACA3 exp=FDBA4D4022C206ACA3 + 25371.50ns INFO [00025373] * RD COMPARE * port=1 adr=05 act=FDBA4D4022C206ACA3 exp=FDBA4D4022C206ACA3 + 25371.50ns INFO [00025373] Port=0 WR @07=CB7068DB9F19635D2E + 25371.50ns INFO [00025373] Port=1 RD @01 + 25372.50ns INFO [00025374] * RD COMPARE * port=0 adr=07 act=0161C00F65EF710206 exp=0161C00F65EF710206 + 25373.50ns INFO [00025375] * RD COMPARE * port=1 adr=01 act=C8C881F664803ABE0B exp=C8C881F664803ABE0B + 25373.50ns INFO [00025375] Port=0 RD @01 + 25373.50ns INFO [00025375] Port=1 RD @02 + 25374.50ns INFO [00025376] Port=0 WR @04=A68FED8D752265C66B + 25374.50ns INFO [00025376] Port=0 RD @02 + 25374.50ns INFO [00025376] Port=1 RD @03 + 25375.50ns INFO [00025377] * RD COMPARE * port=0 adr=01 act=C8C881F664803ABE0B exp=C8C881F664803ABE0B + 25375.50ns INFO [00025377] * RD COMPARE * port=1 adr=02 act=AF49A33A68C10CE7D7 exp=AF49A33A68C10CE7D7 + 25375.50ns INFO [00025377] Port=0 RD @02 + 25376.50ns INFO [00025378] * RD COMPARE * port=0 adr=02 act=AF49A33A68C10CE7D7 exp=AF49A33A68C10CE7D7 + 25376.50ns INFO [00025378] * RD COMPARE * port=1 adr=03 act=1AB20F861A4CE83BDE exp=1AB20F861A4CE83BDE + 25377.50ns INFO [00025379] * RD COMPARE * port=0 adr=02 act=AF49A33A68C10CE7D7 exp=AF49A33A68C10CE7D7 + 25378.50ns INFO [00025380] Port=0 RD @02 + 25378.50ns INFO [00025380] Port=1 RD @00 + 25379.50ns INFO [00025381] Port=1 RD @00 + 25380.50ns INFO [00025382] * RD COMPARE * port=0 adr=02 act=AF49A33A68C10CE7D7 exp=AF49A33A68C10CE7D7 + 25380.50ns INFO [00025382] * RD COMPARE * port=1 adr=00 act=9145ADE58A0026402D exp=9145ADE58A0026402D + 25380.50ns INFO [00025382] Port=0 WR @06=9B1395E2BF7E3639F6 + 25380.50ns INFO [00025382] Port=1 RD @01 + 25381.50ns INFO [00025383] * RD COMPARE * port=1 adr=00 act=9145ADE58A0026402D exp=9145ADE58A0026402D + 25381.50ns INFO [00025383] Port=1 RD @02 + 25382.50ns INFO [00025384] * RD COMPARE * port=1 adr=01 act=C8C881F664803ABE0B exp=C8C881F664803ABE0B + 25382.50ns INFO [00025384] Port=0 RD @00 + 25382.50ns INFO [00025384] Port=1 RD @02 + 25383.50ns INFO [00025385] * RD COMPARE * port=1 adr=02 act=AF49A33A68C10CE7D7 exp=AF49A33A68C10CE7D7 + 25384.50ns INFO [00025386] * RD COMPARE * port=0 adr=00 act=9145ADE58A0026402D exp=9145ADE58A0026402D + 25384.50ns INFO [00025386] * RD COMPARE * port=1 adr=02 act=AF49A33A68C10CE7D7 exp=AF49A33A68C10CE7D7 + 25384.50ns INFO [00025386] Port=0 WR @01=244F320FE113636874 + 25384.50ns INFO [00025386] Port=0 RD @02 + 25385.50ns INFO [00025387] Port=1 RD @05 + 25386.50ns INFO [00025388] * RD COMPARE * port=0 adr=02 act=AF49A33A68C10CE7D7 exp=AF49A33A68C10CE7D7 + 25386.50ns INFO [00025388] Port=0 RD @07 + 25386.50ns INFO [00025388] Port=1 RD @04 + 25387.50ns INFO [00025389] * RD COMPARE * port=1 adr=05 act=FDBA4D4022C206ACA3 exp=FDBA4D4022C206ACA3 + 25388.50ns INFO [00025390] * RD COMPARE * port=0 adr=07 act=CB7068DB9F19635D2E exp=CB7068DB9F19635D2E + 25388.50ns INFO [00025390] * RD COMPARE * port=1 adr=04 act=A68FED8D752265C66B exp=A68FED8D752265C66B + 25389.50ns INFO [00025391] Port=0 WR @03=4198A32F6C4AABDA64 + 25389.50ns INFO [00025391] Port=1 RD @04 + 25390.50ns INFO [00025392] Port=1 RD @03 + 25391.50ns INFO [00025393] * RD COMPARE * port=1 adr=04 act=A68FED8D752265C66B exp=A68FED8D752265C66B + 25391.50ns INFO [00025393] Port=0 WR @06=E679EE184EBBF6D1B4 + 25391.50ns INFO [00025393] Port=0 RD @07 + 25392.50ns INFO [00025394] * RD COMPARE * port=1 adr=03 act=4198A32F6C4AABDA64 exp=4198A32F6C4AABDA64 + 25392.50ns INFO [00025394] Port=0 WR @07=41FA232AEB84034F5E + 25393.50ns INFO [00025395] * RD COMPARE * port=0 adr=07 act=CB7068DB9F19635D2E exp=CB7068DB9F19635D2E + 25393.50ns INFO [00025395] Port=1 RD @06 + 25395.50ns INFO [00025397] * RD COMPARE * port=1 adr=06 act=E679EE184EBBF6D1B4 exp=E679EE184EBBF6D1B4 + 25395.50ns INFO [00025397] Port=1 RD @03 + 25397.50ns INFO [00025399] * RD COMPARE * port=1 adr=03 act=4198A32F6C4AABDA64 exp=4198A32F6C4AABDA64 + 25398.00ns INFO [00025400] [00025400] ...tick... + 25398.50ns INFO [00025400] Port=0 RD @04 + 25400.50ns INFO [00025402] * RD COMPARE * port=0 adr=04 act=A68FED8D752265C66B exp=A68FED8D752265C66B + 25400.50ns INFO [00025402] Port=1 RD @07 + 25401.50ns INFO [00025403] Port=0 RD @06 + 25401.50ns INFO [00025403] Port=1 RD @03 + 25402.50ns INFO [00025404] * RD COMPARE * port=1 adr=07 act=41FA232AEB84034F5E exp=41FA232AEB84034F5E + 25402.50ns INFO [00025404] Port=1 RD @02 + 25403.50ns INFO [00025405] * RD COMPARE * port=0 adr=06 act=E679EE184EBBF6D1B4 exp=E679EE184EBBF6D1B4 + 25403.50ns INFO [00025405] * RD COMPARE * port=1 adr=03 act=4198A32F6C4AABDA64 exp=4198A32F6C4AABDA64 + 25404.50ns INFO [00025406] * RD COMPARE * port=1 adr=02 act=AF49A33A68C10CE7D7 exp=AF49A33A68C10CE7D7 + 25404.50ns INFO [00025406] Port=0 WR @05=1BE0366204063D188C + 25405.50ns INFO [00025407] Port=1 RD @02 + 25406.50ns INFO [00025408] Port=0 RD @04 + 25406.50ns INFO [00025408] Port=1 RD @03 + 25407.50ns INFO [00025409] * RD COMPARE * port=1 adr=02 act=AF49A33A68C10CE7D7 exp=AF49A33A68C10CE7D7 + 25408.50ns INFO [00025410] * RD COMPARE * port=0 adr=04 act=A68FED8D752265C66B exp=A68FED8D752265C66B + 25408.50ns INFO [00025410] * RD COMPARE * port=1 adr=03 act=4198A32F6C4AABDA64 exp=4198A32F6C4AABDA64 + 25408.50ns INFO [00025410] Port=0 WR @04=D259243755142B04E8 + 25409.50ns INFO [00025411] Port=0 RD @07 + 25409.50ns INFO [00025411] Port=1 RD @03 + 25410.50ns INFO [00025412] Port=0 WR @03=BC0FE880F37FD2DCBA + 25411.50ns INFO [00025413] * RD COMPARE * port=0 adr=07 act=41FA232AEB84034F5E exp=41FA232AEB84034F5E + 25411.50ns INFO [00025413] * RD COMPARE * port=1 adr=03 act=4198A32F6C4AABDA64 exp=4198A32F6C4AABDA64 + 25412.50ns INFO [00025414] Port=1 RD @04 + 25413.50ns INFO [00025415] Port=0 WR @06=D408E92108925D894D + 25413.50ns INFO [00025415] Port=1 RD @03 + 25414.50ns INFO [00025416] * RD COMPARE * port=1 adr=04 act=D259243755142B04E8 exp=D259243755142B04E8 + 25414.50ns INFO [00025416] Port=0 RD @04 + 25415.50ns INFO [00025417] * RD COMPARE * port=1 adr=03 act=BC0FE880F37FD2DCBA exp=BC0FE880F37FD2DCBA + 25415.50ns INFO [00025417] Port=0 RD @06 + 25415.50ns INFO [00025417] Port=1 RD @01 + 25416.50ns INFO [00025418] * RD COMPARE * port=0 adr=04 act=D259243755142B04E8 exp=D259243755142B04E8 + 25416.50ns INFO [00025418] Port=1 RD @04 + 25417.50ns INFO [00025419] * RD COMPARE * port=0 adr=06 act=D408E92108925D894D exp=D408E92108925D894D + 25417.50ns INFO [00025419] * RD COMPARE * port=1 adr=01 act=244F320FE113636874 exp=244F320FE113636874 + 25417.50ns INFO [00025419] Port=0 WR @04=55F5C93BF6FD79E9B6 + 25417.50ns INFO [00025419] Port=0 RD @06 + 25418.50ns INFO [00025420] * RD COMPARE * port=1 adr=04 act=D259243755142B04E8 exp=D259243755142B04E8 + 25418.50ns INFO [00025420] Port=1 RD @04 + 25419.50ns INFO [00025421] * RD COMPARE * port=0 adr=06 act=D408E92108925D894D exp=D408E92108925D894D + 25419.50ns INFO [00025421] Port=0 WR @02=1298F1DF7051A374B6 + 25419.50ns INFO [00025421] Port=1 RD @04 + 25420.50ns INFO [00025422] * RD COMPARE * port=1 adr=04 act=55F5C93BF6FD79E9B6 exp=55F5C93BF6FD79E9B6 + 25421.50ns INFO [00025423] * RD COMPARE * port=1 adr=04 act=55F5C93BF6FD79E9B6 exp=55F5C93BF6FD79E9B6 + 25421.50ns INFO [00025423] Port=0 RD @02 + 25421.50ns INFO [00025423] Port=1 RD @02 + 25422.50ns INFO [00025424] Port=0 WR @07=2E903D67D35C1A288A + 25422.50ns INFO [00025424] Port=1 RD @01 + 25423.50ns INFO [00025425] * RD COMPARE * port=0 adr=02 act=1298F1DF7051A374B6 exp=1298F1DF7051A374B6 + 25423.50ns INFO [00025425] * RD COMPARE * port=1 adr=02 act=1298F1DF7051A374B6 exp=1298F1DF7051A374B6 + 25424.50ns INFO [00025426] * RD COMPARE * port=1 adr=01 act=244F320FE113636874 exp=244F320FE113636874 + 25425.50ns INFO [00025427] Port=0 WR @03=FB27C722AF7936C62F + 25427.50ns INFO [00025429] Port=0 WR @01=4FB4A0CF7F578E841C + 25427.50ns INFO [00025429] Port=1 RD @02 + 25428.50ns INFO [00025430] Port=1 RD @05 + 25429.50ns INFO [00025431] * RD COMPARE * port=1 adr=02 act=1298F1DF7051A374B6 exp=1298F1DF7051A374B6 + 25429.50ns INFO [00025431] Port=0 RD @01 + 25430.50ns INFO [00025432] * RD COMPARE * port=1 adr=05 act=1BE0366204063D188C exp=1BE0366204063D188C + 25430.50ns INFO [00025432] Port=0 WR @05=33FBCD46AF6587B806 + 25430.50ns INFO [00025432] Port=0 RD @03 + 25431.50ns INFO [00025433] * RD COMPARE * port=0 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25431.50ns INFO [00025433] Port=0 RD @07 + 25432.50ns INFO [00025434] * RD COMPARE * port=0 adr=03 act=FB27C722AF7936C62F exp=FB27C722AF7936C62F + 25432.50ns INFO [00025434] Port=0 WR @05=7BE95FA0E6C08AA118 + 25432.50ns INFO [00025434] Port=1 RD @01 + 25433.50ns INFO [00025435] * RD COMPARE * port=0 adr=07 act=2E903D67D35C1A288A exp=2E903D67D35C1A288A + 25433.50ns INFO [00025435] Port=0 WR @02=7DBA104DAD4CF5EC8B + 25433.50ns INFO [00025435] Port=1 RD @01 + 25434.50ns INFO [00025436] * RD COMPARE * port=1 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25434.50ns INFO [00025436] Port=1 RD @00 + 25435.50ns INFO [00025437] * RD COMPARE * port=1 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25435.50ns INFO [00025437] Port=1 RD @05 + 25436.50ns INFO [00025438] * RD COMPARE * port=1 adr=00 act=9145ADE58A0026402D exp=9145ADE58A0026402D + 25436.50ns INFO [00025438] Port=1 RD @06 + 25437.50ns INFO [00025439] * RD COMPARE * port=1 adr=05 act=7BE95FA0E6C08AA118 exp=7BE95FA0E6C08AA118 + 25437.50ns INFO [00025439] Port=1 RD @00 + 25438.50ns INFO [00025440] * RD COMPARE * port=1 adr=06 act=D408E92108925D894D exp=D408E92108925D894D + 25438.50ns INFO [00025440] Port=0 RD @05 + 25438.50ns INFO [00025440] Port=1 RD @07 + 25439.50ns INFO [00025441] * RD COMPARE * port=1 adr=00 act=9145ADE58A0026402D exp=9145ADE58A0026402D + 25440.50ns INFO [00025442] * RD COMPARE * port=0 adr=05 act=7BE95FA0E6C08AA118 exp=7BE95FA0E6C08AA118 + 25440.50ns INFO [00025442] * RD COMPARE * port=1 adr=07 act=2E903D67D35C1A288A exp=2E903D67D35C1A288A + 25440.50ns INFO [00025442] Port=0 WR @04=B68E1676D7BAFD0B90 + 25440.50ns INFO [00025442] Port=1 RD @01 + 25441.50ns INFO [00025443] Port=0 WR @00=22C11EAE8DA8DF0AC1 + 25441.50ns INFO [00025443] Port=0 RD @07 + 25442.50ns INFO [00025444] * RD COMPARE * port=1 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25442.50ns INFO [00025444] Port=0 WR @06=B5906BDB5A6624AF7E + 25442.50ns INFO [00025444] Port=0 RD @01 + 25442.50ns INFO [00025444] Port=1 RD @02 + 25443.50ns INFO [00025445] * RD COMPARE * port=0 adr=07 act=2E903D67D35C1A288A exp=2E903D67D35C1A288A + 25444.50ns INFO [00025446] * RD COMPARE * port=0 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25444.50ns INFO [00025446] * RD COMPARE * port=1 adr=02 act=7DBA104DAD4CF5EC8B exp=7DBA104DAD4CF5EC8B + 25444.50ns INFO [00025446] Port=0 RD @00 + 25445.50ns INFO [00025447] Port=0 WR @02=3634300FC0CE6942C0 + 25445.50ns INFO [00025447] Port=0 RD @06 + 25446.50ns INFO [00025448] * RD COMPARE * port=0 adr=00 act=22C11EAE8DA8DF0AC1 exp=22C11EAE8DA8DF0AC1 + 25446.50ns INFO [00025448] Port=0 WR @00=222E84FA2A1D0A6D96 + 25446.50ns INFO [00025448] Port=0 RD @07 + 25446.50ns INFO [00025448] Port=1 RD @03 + 25447.50ns INFO [00025449] * RD COMPARE * port=0 adr=06 act=B5906BDB5A6624AF7E exp=B5906BDB5A6624AF7E + 25448.50ns INFO [00025450] * RD COMPARE * port=0 adr=07 act=2E903D67D35C1A288A exp=2E903D67D35C1A288A + 25448.50ns INFO [00025450] * RD COMPARE * port=1 adr=03 act=FB27C722AF7936C62F exp=FB27C722AF7936C62F + 25448.50ns INFO [00025450] Port=0 WR @04=F8A6F94DEE7AB75E4D + 25448.50ns INFO [00025450] Port=1 RD @02 + 25449.50ns INFO [00025451] Port=0 RD @00 + 25450.50ns INFO [00025452] * RD COMPARE * port=1 adr=02 act=3634300FC0CE6942C0 exp=3634300FC0CE6942C0 + 25451.50ns INFO [00025453] * RD COMPARE * port=0 adr=00 act=222E84FA2A1D0A6D96 exp=222E84FA2A1D0A6D96 + 25451.50ns INFO [00025453] Port=1 RD @03 + 25452.50ns INFO [00025454] Port=0 WR @04=D875B62EC3BB9023B8 + 25452.50ns INFO [00025454] Port=1 RD @01 + 25453.50ns INFO [00025455] * RD COMPARE * port=1 adr=03 act=FB27C722AF7936C62F exp=FB27C722AF7936C62F + 25453.50ns INFO [00025455] Port=0 WR @06=03C9B41036C84D2427 + 25454.50ns INFO [00025456] * RD COMPARE * port=1 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25454.50ns INFO [00025456] Port=1 RD @03 + 25455.50ns INFO [00025457] Port=0 RD @00 + 25455.50ns INFO [00025457] Port=1 RD @00 + 25456.50ns INFO [00025458] * RD COMPARE * port=1 adr=03 act=FB27C722AF7936C62F exp=FB27C722AF7936C62F + 25456.50ns INFO [00025458] Port=0 RD @03 + 25456.50ns INFO [00025458] Port=1 RD @04 + 25457.50ns INFO [00025459] * RD COMPARE * port=0 adr=00 act=222E84FA2A1D0A6D96 exp=222E84FA2A1D0A6D96 + 25457.50ns INFO [00025459] * RD COMPARE * port=1 adr=00 act=222E84FA2A1D0A6D96 exp=222E84FA2A1D0A6D96 + 25457.50ns INFO [00025459] Port=0 WR @07=70CDFD52F8459DC02E + 25457.50ns INFO [00025459] Port=0 RD @04 + 25457.50ns INFO [00025459] Port=1 RD @03 + 25458.50ns INFO [00025460] * RD COMPARE * port=0 adr=03 act=FB27C722AF7936C62F exp=FB27C722AF7936C62F + 25458.50ns INFO [00025460] * RD COMPARE * port=1 adr=04 act=D875B62EC3BB9023B8 exp=D875B62EC3BB9023B8 + 25459.50ns INFO [00025461] * RD COMPARE * port=0 adr=04 act=D875B62EC3BB9023B8 exp=D875B62EC3BB9023B8 + 25459.50ns INFO [00025461] * RD COMPARE * port=1 adr=03 act=FB27C722AF7936C62F exp=FB27C722AF7936C62F + 25459.50ns INFO [00025461] Port=1 RD @00 + 25460.50ns INFO [00025462] Port=1 RD @04 + 25461.50ns INFO [00025463] * RD COMPARE * port=1 adr=00 act=222E84FA2A1D0A6D96 exp=222E84FA2A1D0A6D96 + 25461.50ns INFO [00025463] Port=0 WR @00=3FFE70F89C07DB9E50 + 25461.50ns INFO [00025463] Port=0 RD @06 + 25462.50ns INFO [00025464] * RD COMPARE * port=1 adr=04 act=D875B62EC3BB9023B8 exp=D875B62EC3BB9023B8 + 25463.50ns INFO [00025465] * RD COMPARE * port=0 adr=06 act=03C9B41036C84D2427 exp=03C9B41036C84D2427 + 25463.50ns INFO [00025465] Port=1 RD @03 + 25464.50ns INFO [00025466] Port=0 RD @05 + 25465.50ns INFO [00025467] * RD COMPARE * port=1 adr=03 act=FB27C722AF7936C62F exp=FB27C722AF7936C62F + 25465.50ns INFO [00025467] Port=1 RD @07 + 25466.50ns INFO [00025468] * RD COMPARE * port=0 adr=05 act=7BE95FA0E6C08AA118 exp=7BE95FA0E6C08AA118 + 25466.50ns INFO [00025468] Port=0 WR @03=AD47D6B506E28296D5 + 25466.50ns INFO [00025468] Port=0 RD @00 + 25467.50ns INFO [00025469] * RD COMPARE * port=1 adr=07 act=70CDFD52F8459DC02E exp=70CDFD52F8459DC02E + 25468.50ns INFO [00025470] * RD COMPARE * port=0 adr=00 act=3FFE70F89C07DB9E50 exp=3FFE70F89C07DB9E50 + 25468.50ns INFO [00025470] Port=0 WR @05=E11FBC63F5F9A5D19E + 25469.50ns INFO [00025471] Port=0 WR @00=ABD27617824B295E91 + 25469.50ns INFO [00025471] Port=1 RD @02 + 25470.50ns INFO [00025472] Port=0 WR @03=B4672A14334480D5B3 + 25471.50ns INFO [00025473] * RD COMPARE * port=1 adr=02 act=3634300FC0CE6942C0 exp=3634300FC0CE6942C0 + 25471.50ns INFO [00025473] Port=1 RD @02 + 25472.50ns INFO [00025474] Port=0 WR @03=F7A15DD31BA5AF5B1C + 25473.50ns INFO [00025475] * RD COMPARE * port=1 adr=02 act=3634300FC0CE6942C0 exp=3634300FC0CE6942C0 + 25473.50ns INFO [00025475] Port=0 RD @03 + 25473.50ns INFO [00025475] Port=1 RD @00 + 25475.50ns INFO [00025477] * RD COMPARE * port=0 adr=03 act=F7A15DD31BA5AF5B1C exp=F7A15DD31BA5AF5B1C + 25475.50ns INFO [00025477] * RD COMPARE * port=1 adr=00 act=ABD27617824B295E91 exp=ABD27617824B295E91 + 25475.50ns INFO [00025477] Port=0 WR @02=A574C93EF022F89ACF + 25478.50ns INFO [00025480] Port=0 WR @07=9C050951164D79F3CA + 25478.50ns INFO [00025480] Port=1 RD @04 + 25479.50ns INFO [00025481] Port=0 RD @01 + 25480.50ns INFO [00025482] * RD COMPARE * port=1 adr=04 act=D875B62EC3BB9023B8 exp=D875B62EC3BB9023B8 + 25480.50ns INFO [00025482] Port=0 WR @07=944D3D5A97681AE2B6 + 25480.50ns INFO [00025482] Port=1 RD @02 + 25481.50ns INFO [00025483] * RD COMPARE * port=0 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25481.50ns INFO [00025483] Port=0 RD @03 + 25482.50ns INFO [00025484] * RD COMPARE * port=1 adr=02 act=A574C93EF022F89ACF exp=A574C93EF022F89ACF + 25482.50ns INFO [00025484] Port=0 RD @03 + 25482.50ns INFO [00025484] Port=1 RD @02 + 25483.50ns INFO [00025485] * RD COMPARE * port=0 adr=03 act=F7A15DD31BA5AF5B1C exp=F7A15DD31BA5AF5B1C + 25483.50ns INFO [00025485] Port=0 WR @02=952D37F6472B83A688 + 25484.50ns INFO [00025486] * RD COMPARE * port=0 adr=03 act=F7A15DD31BA5AF5B1C exp=F7A15DD31BA5AF5B1C + 25484.50ns INFO [00025486] * RD COMPARE * port=1 adr=02 act=A574C93EF022F89ACF exp=A574C93EF022F89ACF + 25484.50ns INFO [00025486] Port=0 RD @01 + 25485.50ns INFO [00025487] Port=0 WR @00=3C185E993119A73959 + 25486.50ns INFO [00025488] * RD COMPARE * port=0 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25486.50ns INFO [00025488] Port=0 WR @04=2352DC7127E1B4F303 + 25486.50ns INFO [00025488] Port=0 RD @03 + 25486.50ns INFO [00025488] Port=1 RD @06 + 25488.50ns INFO [00025490] * RD COMPARE * port=0 adr=03 act=F7A15DD31BA5AF5B1C exp=F7A15DD31BA5AF5B1C + 25488.50ns INFO [00025490] * RD COMPARE * port=1 adr=06 act=03C9B41036C84D2427 exp=03C9B41036C84D2427 + 25488.50ns INFO [00025490] Port=0 WR @00=8F7D6495ADEEE595EF + 25488.50ns INFO [00025490] Port=0 RD @01 + 25488.50ns INFO [00025490] Port=1 RD @04 + 25490.50ns INFO [00025492] * RD COMPARE * port=0 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25490.50ns INFO [00025492] * RD COMPARE * port=1 adr=04 act=2352DC7127E1B4F303 exp=2352DC7127E1B4F303 + 25491.50ns INFO [00025493] Port=0 WR @05=E9FD75B6002FB590D6 + 25491.50ns INFO [00025493] Port=0 RD @07 + 25492.50ns INFO [00025494] Port=1 RD @06 + 25493.50ns INFO [00025495] * RD COMPARE * port=0 adr=07 act=944D3D5A97681AE2B6 exp=944D3D5A97681AE2B6 + 25493.50ns INFO [00025495] Port=0 RD @05 + 25494.50ns INFO [00025496] * RD COMPARE * port=1 adr=06 act=03C9B41036C84D2427 exp=03C9B41036C84D2427 + 25494.50ns INFO [00025496] Port=0 WR @05=52472BC591703353AA + 25494.50ns INFO [00025496] Port=0 RD @03 + 25495.50ns INFO [00025497] * RD COMPARE * port=0 adr=05 act=E9FD75B6002FB590D6 exp=E9FD75B6002FB590D6 + 25495.50ns INFO [00025497] Port=0 WR @06=E8884BD7D470BE9885 + 25495.50ns INFO [00025497] Port=0 RD @07 + 25496.50ns INFO [00025498] * RD COMPARE * port=0 adr=03 act=F7A15DD31BA5AF5B1C exp=F7A15DD31BA5AF5B1C + 25496.50ns INFO [00025498] Port=1 RD @07 + 25497.50ns INFO [00025499] * RD COMPARE * port=0 adr=07 act=944D3D5A97681AE2B6 exp=944D3D5A97681AE2B6 + 25498.00ns INFO [00025500] [00025500] ...tick... + 25498.50ns INFO [00025500] * RD COMPARE * port=1 adr=07 act=944D3D5A97681AE2B6 exp=944D3D5A97681AE2B6 + 25499.50ns INFO [00025501] Port=0 WR @06=223F79230B60055272 + 25500.50ns INFO [00025502] Port=0 RD @01 + 25500.50ns INFO [00025502] Port=1 RD @03 + 25501.50ns INFO [00025503] Port=0 RD @06 + 25502.50ns INFO [00025504] * RD COMPARE * port=0 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25502.50ns INFO [00025504] * RD COMPARE * port=1 adr=03 act=F7A15DD31BA5AF5B1C exp=F7A15DD31BA5AF5B1C + 25502.50ns INFO [00025504] Port=0 RD @06 + 25502.50ns INFO [00025504] Port=1 RD @06 + 25503.50ns INFO [00025505] * RD COMPARE * port=0 adr=06 act=223F79230B60055272 exp=223F79230B60055272 + 25503.50ns INFO [00025505] Port=0 WR @00=ED005B453B20693A55 + 25503.50ns INFO [00025505] Port=1 RD @01 + 25504.50ns INFO [00025506] * RD COMPARE * port=0 adr=06 act=223F79230B60055272 exp=223F79230B60055272 + 25504.50ns INFO [00025506] * RD COMPARE * port=1 adr=06 act=223F79230B60055272 exp=223F79230B60055272 + 25504.50ns INFO [00025506] Port=0 RD @00 + 25504.50ns INFO [00025506] Port=1 RD @07 + 25505.50ns INFO [00025507] * RD COMPARE * port=1 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25505.50ns INFO [00025507] Port=0 RD @07 + 25506.50ns INFO [00025508] * RD COMPARE * port=0 adr=00 act=ED005B453B20693A55 exp=ED005B453B20693A55 + 25506.50ns INFO [00025508] * RD COMPARE * port=1 adr=07 act=944D3D5A97681AE2B6 exp=944D3D5A97681AE2B6 + 25506.50ns INFO [00025508] Port=1 RD @01 + 25507.50ns INFO [00025509] * RD COMPARE * port=0 adr=07 act=944D3D5A97681AE2B6 exp=944D3D5A97681AE2B6 + 25507.50ns INFO [00025509] Port=0 RD @04 + 25508.50ns INFO [00025510] * RD COMPARE * port=1 adr=01 act=4FB4A0CF7F578E841C exp=4FB4A0CF7F578E841C + 25509.50ns INFO [00025511] * RD COMPARE * port=0 adr=04 act=2352DC7127E1B4F303 exp=2352DC7127E1B4F303 + 25509.50ns INFO [00025511] Port=0 WR @07=7882D9D91AC7768929 + 25509.50ns INFO [00025511] Port=1 RD @02 + 25511.50ns INFO [00025513] * RD COMPARE * port=1 adr=02 act=952D37F6472B83A688 exp=952D37F6472B83A688 + 25511.50ns INFO [00025513] Port=0 RD @02 + 25511.50ns INFO [00025513] Port=1 RD @03 + 25512.50ns INFO [00025514] Port=0 WR @03=AE59B754AAA7AAE0F4 + 25513.50ns INFO [00025515] * RD COMPARE * port=0 adr=02 act=952D37F6472B83A688 exp=952D37F6472B83A688 + 25513.50ns INFO [00025515] * RD COMPARE * port=1 adr=03 act=F7A15DD31BA5AF5B1C exp=F7A15DD31BA5AF5B1C + 25514.50ns INFO [00025516] Port=0 WR @01=28B1C6144D2BA5E0A1 + 25514.50ns INFO [00025516] Port=1 RD @02 + 25516.50ns INFO [00025518] * RD COMPARE * port=1 adr=02 act=952D37F6472B83A688 exp=952D37F6472B83A688 + 25516.50ns INFO [00025518] Port=0 WR @05=C7E958E30F19D57EAC + 25517.50ns INFO [00025519] Port=0 WR @07=1E05C9B50A187E8B78 + 25520.50ns INFO [00025522] Port=0 WR @04=603CCDEA6B3588D3DC + 25520.50ns INFO [00025522] Port=1 RD @03 + 25522.50ns INFO [00025524] * RD COMPARE * port=1 adr=03 act=AE59B754AAA7AAE0F4 exp=AE59B754AAA7AAE0F4 + 25523.50ns INFO [00025525] Port=1 RD @05 + 25524.50ns INFO [00025526] Port=0 WR @03=89DB2FAD514E1F41CD + 25524.50ns INFO [00025526] Port=1 RD @06 + 25525.50ns INFO [00025527] * RD COMPARE * port=1 adr=05 act=C7E958E30F19D57EAC exp=C7E958E30F19D57EAC + 25525.50ns INFO [00025527] Port=0 RD @07 + 25526.50ns INFO [00025528] * RD COMPARE * port=1 adr=06 act=223F79230B60055272 exp=223F79230B60055272 + 25526.50ns INFO [00025528] Port=0 WR @01=9D2E60A3FB67029430 + 25526.50ns INFO [00025528] Port=1 RD @05 + 25527.50ns INFO [00025529] * RD COMPARE * port=0 adr=07 act=1E05C9B50A187E8B78 exp=1E05C9B50A187E8B78 + 25528.50ns INFO [00025530] * RD COMPARE * port=1 adr=05 act=C7E958E30F19D57EAC exp=C7E958E30F19D57EAC + 25528.50ns INFO [00025530] Port=0 RD @02 + 25528.50ns INFO [00025530] Port=1 RD @00 + 25529.50ns INFO [00025531] Port=0 WR @04=E85F3F6DFA4309AE1C + 25529.50ns INFO [00025531] Port=1 RD @06 + 25530.50ns INFO [00025532] * RD COMPARE * port=0 adr=02 act=952D37F6472B83A688 exp=952D37F6472B83A688 + 25530.50ns INFO [00025532] * RD COMPARE * port=1 adr=00 act=ED005B453B20693A55 exp=ED005B453B20693A55 + 25530.50ns INFO [00025532] Port=0 WR @00=F6CD378EF31585511F + 25530.50ns INFO [00025532] Port=0 RD @04 + 25530.50ns INFO [00025532] Port=1 RD @06 + 25531.50ns INFO [00025533] * RD COMPARE * port=1 adr=06 act=223F79230B60055272 exp=223F79230B60055272 + 25531.50ns INFO [00025533] Port=0 WR @04=812FEF7738EC78DB70 + 25531.50ns INFO [00025533] Port=0 RD @00 + 25532.50ns INFO [00025534] * RD COMPARE * port=0 adr=04 act=E85F3F6DFA4309AE1C exp=E85F3F6DFA4309AE1C + 25532.50ns INFO [00025534] * RD COMPARE * port=1 adr=06 act=223F79230B60055272 exp=223F79230B60055272 + 25533.50ns INFO [00025535] * RD COMPARE * port=0 adr=00 act=F6CD378EF31585511F exp=F6CD378EF31585511F + 25533.50ns INFO [00025535] Port=0 WR @00=A25BBD47CB59F0EC87 + 25533.50ns INFO [00025535] Port=1 RD @04 + 25534.50ns INFO [00025536] Port=0 WR @03=0B0A04C8D2E0F62350 + 25534.50ns INFO [00025536] Port=0 RD @05 + 25534.50ns INFO [00025536] Port=1 RD @00 + 25535.50ns INFO [00025537] * RD COMPARE * port=1 adr=04 act=812FEF7738EC78DB70 exp=812FEF7738EC78DB70 + 25535.50ns INFO [00025537] Port=0 WR @06=DA6A7D742741569A1F + 25536.50ns INFO [00025538] * RD COMPARE * port=0 adr=05 act=C7E958E30F19D57EAC exp=C7E958E30F19D57EAC + 25536.50ns INFO [00025538] * RD COMPARE * port=1 adr=00 act=A25BBD47CB59F0EC87 exp=A25BBD47CB59F0EC87 + 25536.50ns INFO [00025538] Port=1 RD @06 + 25537.50ns INFO [00025539] Port=1 RD @00 + 25538.50ns INFO [00025540] * RD COMPARE * port=1 adr=06 act=DA6A7D742741569A1F exp=DA6A7D742741569A1F + 25538.50ns INFO [00025540] Port=0 WR @01=6E759A22BDB06898A1 + 25538.50ns INFO [00025540] Port=1 RD @04 + 25539.50ns INFO [00025541] * RD COMPARE * port=1 adr=00 act=A25BBD47CB59F0EC87 exp=A25BBD47CB59F0EC87 + 25539.50ns INFO [00025541] Port=0 WR @06=642D18A44A124BD872 + 25540.50ns INFO [00025542] * RD COMPARE * port=1 adr=04 act=812FEF7738EC78DB70 exp=812FEF7738EC78DB70 + 25540.50ns INFO [00025542] Port=0 WR @01=796C2FE387E314A174 + 25541.50ns INFO [00025543] Port=0 RD @03 + 25542.50ns INFO [00025544] Port=0 WR @00=8258707A1F917C8353 + 25542.50ns INFO [00025544] Port=1 RD @04 + 25543.50ns INFO [00025545] * RD COMPARE * port=0 adr=03 act=0B0A04C8D2E0F62350 exp=0B0A04C8D2E0F62350 + 25544.50ns INFO [00025546] * RD COMPARE * port=1 adr=04 act=812FEF7738EC78DB70 exp=812FEF7738EC78DB70 + 25544.50ns INFO [00025546] Port=0 RD @03 + 25544.50ns INFO [00025546] Port=1 RD @06 + 25545.50ns INFO [00025547] Port=0 WR @02=8846E7824BC02EB3F7 + 25545.50ns INFO [00025547] Port=0 RD @01 + 25546.50ns INFO [00025548] * RD COMPARE * port=0 adr=03 act=0B0A04C8D2E0F62350 exp=0B0A04C8D2E0F62350 + 25546.50ns INFO [00025548] * RD COMPARE * port=1 adr=06 act=642D18A44A124BD872 exp=642D18A44A124BD872 + 25547.50ns INFO [00025549] * RD COMPARE * port=0 adr=01 act=796C2FE387E314A174 exp=796C2FE387E314A174 + 25547.50ns INFO [00025549] Port=0 RD @05 + 25548.50ns INFO [00025550] Port=0 RD @05 + 25549.50ns INFO [00025551] * RD COMPARE * port=0 adr=05 act=C7E958E30F19D57EAC exp=C7E958E30F19D57EAC + 25549.50ns INFO [00025551] Port=0 WR @07=5A613B2B1D7B7BCC0C + 25549.50ns INFO [00025551] Port=1 RD @00 + 25550.50ns INFO [00025552] * RD COMPARE * port=0 adr=05 act=C7E958E30F19D57EAC exp=C7E958E30F19D57EAC + 25550.50ns INFO [00025552] Port=0 RD @01 + 25550.50ns INFO [00025552] Port=1 RD @07 + 25551.50ns INFO [00025553] * RD COMPARE * port=1 adr=00 act=8258707A1F917C8353 exp=8258707A1F917C8353 + 25551.50ns INFO [00025553] Port=0 WR @00=669E8C6939B443C5E0 + 25551.50ns INFO [00025553] Port=1 RD @03 + 25552.50ns INFO [00025554] * RD COMPARE * port=0 adr=01 act=796C2FE387E314A174 exp=796C2FE387E314A174 + 25552.50ns INFO [00025554] * RD COMPARE * port=1 adr=07 act=5A613B2B1D7B7BCC0C exp=5A613B2B1D7B7BCC0C + 25552.50ns INFO [00025554] Port=0 WR @00=C3EEFC5BA9D929832F + 25553.50ns INFO [00025555] * RD COMPARE * port=1 adr=03 act=0B0A04C8D2E0F62350 exp=0B0A04C8D2E0F62350 + 25553.50ns INFO [00025555] Port=1 RD @05 + 25555.50ns INFO [00025557] * RD COMPARE * port=1 adr=05 act=C7E958E30F19D57EAC exp=C7E958E30F19D57EAC + 25555.50ns INFO [00025557] Port=0 RD @06 + 25555.50ns INFO [00025557] Port=1 RD @07 + 25556.50ns INFO [00025558] Port=0 WR @06=F2927DDADE5D6449E2 + 25557.50ns INFO [00025559] * RD COMPARE * port=0 adr=06 act=642D18A44A124BD872 exp=642D18A44A124BD872 + 25557.50ns INFO [00025559] * RD COMPARE * port=1 adr=07 act=5A613B2B1D7B7BCC0C exp=5A613B2B1D7B7BCC0C + 25558.50ns INFO [00025560] Port=0 RD @04 + 25559.50ns INFO [00025561] Port=0 WR @00=AAB50122537CC637F0 + 25559.50ns INFO [00025561] Port=0 RD @03 + 25560.50ns INFO [00025562] * RD COMPARE * port=0 adr=04 act=812FEF7738EC78DB70 exp=812FEF7738EC78DB70 + 25560.50ns INFO [00025562] Port=1 RD @00 + 25561.50ns INFO [00025563] * RD COMPARE * port=0 adr=03 act=0B0A04C8D2E0F62350 exp=0B0A04C8D2E0F62350 + 25562.50ns INFO [00025564] * RD COMPARE * port=1 adr=00 act=AAB50122537CC637F0 exp=AAB50122537CC637F0 + 25563.50ns INFO [00025565] Port=0 WR @07=1395E586B0E2EA8DC1 + 25563.50ns INFO [00025565] Port=1 RD @04 + 25565.50ns INFO [00025567] * RD COMPARE * port=1 adr=04 act=812FEF7738EC78DB70 exp=812FEF7738EC78DB70 + 25566.50ns INFO [00025568] Port=0 WR @02=1F2F627B447B66065A + 25566.50ns INFO [00025568] Port=0 RD @03 + 25568.50ns INFO [00025570] * RD COMPARE * port=0 adr=03 act=0B0A04C8D2E0F62350 exp=0B0A04C8D2E0F62350 + 25568.50ns INFO [00025570] Port=1 RD @03 + 25569.50ns INFO [00025571] Port=1 RD @05 + 25570.50ns INFO [00025572] * RD COMPARE * port=1 adr=03 act=0B0A04C8D2E0F62350 exp=0B0A04C8D2E0F62350 + 25571.50ns INFO [00025573] * RD COMPARE * port=1 adr=05 act=C7E958E30F19D57EAC exp=C7E958E30F19D57EAC + 25571.50ns INFO [00025573] Port=0 RD @06 + 25572.50ns INFO [00025574] Port=0 WR @05=882DD3E9DC727D2E2B + 25573.50ns INFO [00025575] * RD COMPARE * port=0 adr=06 act=F2927DDADE5D6449E2 exp=F2927DDADE5D6449E2 + 25575.50ns INFO [00025577] Port=0 RD @04 + 25576.50ns INFO [00025578] Port=0 RD @05 + 25577.50ns INFO [00025579] * RD COMPARE * port=0 adr=04 act=812FEF7738EC78DB70 exp=812FEF7738EC78DB70 + 25577.50ns INFO [00025579] Port=0 WR @02=7B7B30F380F75EB409 + 25577.50ns INFO [00025579] Port=1 RD @00 + 25578.50ns INFO [00025580] * RD COMPARE * port=0 adr=05 act=882DD3E9DC727D2E2B exp=882DD3E9DC727D2E2B + 25578.50ns INFO [00025580] Port=0 WR @07=D40993F26E98F56E9F + 25579.50ns INFO [00025581] * RD COMPARE * port=1 adr=00 act=AAB50122537CC637F0 exp=AAB50122537CC637F0 + 25579.50ns INFO [00025581] Port=0 WR @02=33B13F21CD9D03232B + 25579.50ns INFO [00025581] Port=1 RD @06 + 25580.50ns INFO [00025582] Port=0 WR @00=6F569827186736A86C + 25580.50ns INFO [00025582] Port=0 RD @06 + 25580.50ns INFO [00025582] Port=1 RD @06 + 25581.50ns INFO [00025583] * RD COMPARE * port=1 adr=06 act=F2927DDADE5D6449E2 exp=F2927DDADE5D6449E2 + 25581.50ns INFO [00025583] Port=0 WR @06=D1AC65A3F99F9734BB + 25582.50ns INFO [00025584] * RD COMPARE * port=0 adr=06 act=F2927DDADE5D6449E2 exp=F2927DDADE5D6449E2 + 25582.50ns INFO [00025584] * RD COMPARE * port=1 adr=06 act=F2927DDADE5D6449E2 exp=F2927DDADE5D6449E2 + 25583.50ns INFO [00025585] Port=1 RD @03 + 25584.50ns INFO [00025586] Port=0 WR @07=ADE22CDFD0D384A1EF + 25584.50ns INFO [00025586] Port=0 RD @06 + 25585.50ns INFO [00025587] * RD COMPARE * port=1 adr=03 act=0B0A04C8D2E0F62350 exp=0B0A04C8D2E0F62350 + 25586.50ns INFO [00025588] * RD COMPARE * port=0 adr=06 act=D1AC65A3F99F9734BB exp=D1AC65A3F99F9734BB + 25586.50ns INFO [00025588] Port=0 WR @05=86416E149A8A79B224 + 25587.50ns INFO [00025589] Port=0 WR @00=9AE871332C3833C241 + 25587.50ns INFO [00025589] Port=0 RD @01 + 25588.50ns INFO [00025590] Port=0 WR @07=43262B5058B660F595 + 25589.50ns INFO [00025591] * RD COMPARE * port=0 adr=01 act=796C2FE387E314A174 exp=796C2FE387E314A174 + 25589.50ns INFO [00025591] Port=1 RD @02 + 25591.50ns INFO [00025593] * RD COMPARE * port=1 adr=02 act=33B13F21CD9D03232B exp=33B13F21CD9D03232B + 25591.50ns INFO [00025593] Port=0 WR @04=189678E5D6A9C541E3 + 25592.50ns INFO [00025594] Port=0 WR @02=FCF50C97188E066C2E + 25592.50ns INFO [00025594] Port=0 RD @03 + 25593.50ns INFO [00025595] Port=0 WR @04=F9DBCFD1502F089CB0 + 25593.50ns INFO [00025595] Port=1 RD @06 + 25594.50ns INFO [00025596] * RD COMPARE * port=0 adr=03 act=0B0A04C8D2E0F62350 exp=0B0A04C8D2E0F62350 + 25594.50ns INFO [00025596] Port=0 RD @00 + 25594.50ns INFO [00025596] Port=1 RD @00 + 25595.50ns INFO [00025597] * RD COMPARE * port=1 adr=06 act=D1AC65A3F99F9734BB exp=D1AC65A3F99F9734BB + 25595.50ns INFO [00025597] Port=0 RD @04 + 25595.50ns INFO [00025597] Port=1 RD @00 + 25596.50ns INFO [00025598] * RD COMPARE * port=0 adr=00 act=9AE871332C3833C241 exp=9AE871332C3833C241 + 25596.50ns INFO [00025598] * RD COMPARE * port=1 adr=00 act=9AE871332C3833C241 exp=9AE871332C3833C241 + 25596.50ns INFO [00025598] Port=0 RD @07 + 25597.50ns INFO [00025599] * RD COMPARE * port=0 adr=04 act=F9DBCFD1502F089CB0 exp=F9DBCFD1502F089CB0 + 25597.50ns INFO [00025599] * RD COMPARE * port=1 adr=00 act=9AE871332C3833C241 exp=9AE871332C3833C241 + 25597.50ns INFO [00025599] Port=1 RD @00 + 25598.00ns INFO [00025600] [00025600] ...tick... + 25598.50ns INFO [00025600] * RD COMPARE * port=0 adr=07 act=43262B5058B660F595 exp=43262B5058B660F595 + 25598.50ns INFO [00025600] Port=0 WR @01=3AE69A7F706541E10A + 25599.50ns INFO [00025601] * RD COMPARE * port=1 adr=00 act=9AE871332C3833C241 exp=9AE871332C3833C241 + 25599.50ns INFO [00025601] Port=1 RD @03 + 25600.50ns INFO [00025602] Port=1 RD @05 + 25601.50ns INFO [00025603] * RD COMPARE * port=1 adr=03 act=0B0A04C8D2E0F62350 exp=0B0A04C8D2E0F62350 + 25601.50ns INFO [00025603] Port=0 WR @03=45F3C5BE6107FC5F55 + 25602.50ns INFO [00025604] * RD COMPARE * port=1 adr=05 act=86416E149A8A79B224 exp=86416E149A8A79B224 + 25602.50ns INFO [00025604] Port=0 RD @05 + 25602.50ns INFO [00025604] Port=1 RD @07 + 25603.50ns INFO [00025605] Port=0 RD @05 + 25604.50ns INFO [00025606] * RD COMPARE * port=0 adr=05 act=86416E149A8A79B224 exp=86416E149A8A79B224 + 25604.50ns INFO [00025606] * RD COMPARE * port=1 adr=07 act=43262B5058B660F595 exp=43262B5058B660F595 + 25604.50ns INFO [00025606] Port=0 RD @00 + 25604.50ns INFO [00025606] Port=1 RD @03 + 25605.50ns INFO [00025607] * RD COMPARE * port=0 adr=05 act=86416E149A8A79B224 exp=86416E149A8A79B224 + 25605.50ns INFO [00025607] Port=0 WR @02=A3E6729806405DDF20 + 25606.50ns INFO [00025608] * RD COMPARE * port=0 adr=00 act=9AE871332C3833C241 exp=9AE871332C3833C241 + 25606.50ns INFO [00025608] * RD COMPARE * port=1 adr=03 act=45F3C5BE6107FC5F55 exp=45F3C5BE6107FC5F55 + 25606.50ns INFO [00025608] Port=0 WR @02=0D2F107F9636843E32 + 25607.50ns INFO [00025609] Port=0 WR @00=96E6963C1768ABECA5 + 25607.50ns INFO [00025609] Port=0 RD @05 + 25608.50ns INFO [00025610] Port=0 WR @03=82D6225A38E6D4F34A + 25608.50ns INFO [00025610] Port=0 RD @05 + 25608.50ns INFO [00025610] Port=1 RD @05 + 25609.50ns INFO [00025611] * RD COMPARE * port=0 adr=05 act=86416E149A8A79B224 exp=86416E149A8A79B224 + 25609.50ns INFO [00025611] Port=0 WR @05=49B6F606C55A57506C + 25610.50ns INFO [00025612] * RD COMPARE * port=0 adr=05 act=86416E149A8A79B224 exp=86416E149A8A79B224 + 25610.50ns INFO [00025612] * RD COMPARE * port=1 adr=05 act=86416E149A8A79B224 exp=86416E149A8A79B224 + 25610.50ns INFO [00025612] Port=0 RD @03 + 25611.50ns INFO [00025613] Port=1 RD @03 + 25612.50ns INFO [00025614] * RD COMPARE * port=0 adr=03 act=82D6225A38E6D4F34A exp=82D6225A38E6D4F34A + 25612.50ns INFO [00025614] Port=0 RD @04 + 25612.50ns INFO [00025614] Port=1 RD @01 + 25613.50ns INFO [00025615] * RD COMPARE * port=1 adr=03 act=82D6225A38E6D4F34A exp=82D6225A38E6D4F34A + 25613.50ns INFO [00025615] Port=0 RD @05 + 25613.50ns INFO [00025615] Port=1 RD @04 + 25614.50ns INFO [00025616] * RD COMPARE * port=0 adr=04 act=F9DBCFD1502F089CB0 exp=F9DBCFD1502F089CB0 + 25614.50ns INFO [00025616] * RD COMPARE * port=1 adr=01 act=3AE69A7F706541E10A exp=3AE69A7F706541E10A + 25614.50ns INFO [00025616] Port=0 WR @05=BB989463CACB4D6B5F + 25615.50ns INFO [00025617] * RD COMPARE * port=0 adr=05 act=49B6F606C55A57506C exp=49B6F606C55A57506C + 25615.50ns INFO [00025617] * RD COMPARE * port=1 adr=04 act=F9DBCFD1502F089CB0 exp=F9DBCFD1502F089CB0 + 25615.50ns INFO [00025617] Port=0 WR @00=646FE36926E5F9CD97 + 25616.50ns INFO [00025618] Port=0 RD @06 + 25617.50ns INFO [00025619] Port=1 RD @07 + 25618.50ns INFO [00025620] * RD COMPARE * port=0 adr=06 act=D1AC65A3F99F9734BB exp=D1AC65A3F99F9734BB + 25618.50ns INFO [00025620] Port=0 WR @06=3074F83CC644F4E7A9 + 25618.50ns INFO [00025620] Port=0 RD @01 + 25618.50ns INFO [00025620] Port=1 RD @07 + 25619.50ns INFO [00025621] * RD COMPARE * port=1 adr=07 act=43262B5058B660F595 exp=43262B5058B660F595 + 25619.50ns INFO [00025621] Port=0 RD @03 + 25620.50ns INFO [00025622] * RD COMPARE * port=0 adr=01 act=3AE69A7F706541E10A exp=3AE69A7F706541E10A + 25620.50ns INFO [00025622] * RD COMPARE * port=1 adr=07 act=43262B5058B660F595 exp=43262B5058B660F595 + 25620.50ns INFO [00025622] Port=0 WR @03=D99AB52EC93B3EB0F4 + 25620.50ns INFO [00025622] Port=0 RD @07 + 25621.50ns INFO [00025623] * RD COMPARE * port=0 adr=03 act=82D6225A38E6D4F34A exp=82D6225A38E6D4F34A + 25621.50ns INFO [00025623] Port=0 WR @05=88893318B632D4C522 + 25622.50ns INFO [00025624] * RD COMPARE * port=0 adr=07 act=43262B5058B660F595 exp=43262B5058B660F595 + 25622.50ns INFO [00025624] Port=0 WR @06=BAF8940B9B4D9D5D52 + 25622.50ns INFO [00025624] Port=0 RD @03 + 25623.50ns INFO [00025625] Port=0 WR @00=D08012D07B743877AB + 25624.50ns INFO [00025626] * RD COMPARE * port=0 adr=03 act=D99AB52EC93B3EB0F4 exp=D99AB52EC93B3EB0F4 + 25624.50ns INFO [00025626] Port=0 WR @04=0D2E1337057BC1E8E0 + 25624.50ns INFO [00025626] Port=1 RD @07 + 25625.50ns INFO [00025627] Port=0 RD @04 + 25625.50ns INFO [00025627] Port=1 RD @04 + 25626.50ns INFO [00025628] * RD COMPARE * port=1 adr=07 act=43262B5058B660F595 exp=43262B5058B660F595 + 25626.50ns INFO [00025628] Port=0 WR @02=EDF67174A56F2E334C + 25626.50ns INFO [00025628] Port=1 RD @01 + 25627.50ns INFO [00025629] * RD COMPARE * port=0 adr=04 act=0D2E1337057BC1E8E0 exp=0D2E1337057BC1E8E0 + 25627.50ns INFO [00025629] * RD COMPARE * port=1 adr=04 act=0D2E1337057BC1E8E0 exp=0D2E1337057BC1E8E0 + 25627.50ns INFO [00025629] Port=0 RD @03 + 25628.50ns INFO [00025630] * RD COMPARE * port=1 adr=01 act=3AE69A7F706541E10A exp=3AE69A7F706541E10A + 25629.50ns INFO [00025631] * RD COMPARE * port=0 adr=03 act=D99AB52EC93B3EB0F4 exp=D99AB52EC93B3EB0F4 + 25629.50ns INFO [00025631] Port=0 WR @00=005FCC691DC36E5992 + 25629.50ns INFO [00025631] Port=0 RD @06 + 25629.50ns INFO [00025631] Port=1 RD @03 + 25630.50ns INFO [00025632] Port=1 RD @03 + 25631.50ns INFO [00025633] * RD COMPARE * port=0 adr=06 act=BAF8940B9B4D9D5D52 exp=BAF8940B9B4D9D5D52 + 25631.50ns INFO [00025633] * RD COMPARE * port=1 adr=03 act=D99AB52EC93B3EB0F4 exp=D99AB52EC93B3EB0F4 + 25631.50ns INFO [00025633] Port=0 WR @00=9563EFC1A93D7C8183 + 25632.50ns INFO [00025634] * RD COMPARE * port=1 adr=03 act=D99AB52EC93B3EB0F4 exp=D99AB52EC93B3EB0F4 + 25633.50ns INFO [00025635] Port=1 RD @07 + 25634.50ns INFO [00025636] Port=1 RD @03 + 25635.50ns INFO [00025637] * RD COMPARE * port=1 adr=07 act=43262B5058B660F595 exp=43262B5058B660F595 + 25635.50ns INFO [00025637] Port=0 WR @04=BE580637E7970D4CE2 + 25635.50ns INFO [00025637] Port=1 RD @07 + 25636.50ns INFO [00025638] * RD COMPARE * port=1 adr=03 act=D99AB52EC93B3EB0F4 exp=D99AB52EC93B3EB0F4 + 25636.50ns INFO [00025638] Port=0 WR @05=FDDD4134A568490222 + 25636.50ns INFO [00025638] Port=0 RD @07 + 25637.50ns INFO [00025639] * RD COMPARE * port=1 adr=07 act=43262B5058B660F595 exp=43262B5058B660F595 + 25637.50ns INFO [00025639] Port=0 RD @04 + 25637.50ns INFO [00025639] Port=1 RD @01 + 25638.50ns INFO [00025640] * RD COMPARE * port=0 adr=07 act=43262B5058B660F595 exp=43262B5058B660F595 + 25639.50ns INFO [00025641] * RD COMPARE * port=0 adr=04 act=BE580637E7970D4CE2 exp=BE580637E7970D4CE2 + 25639.50ns INFO [00025641] * RD COMPARE * port=1 adr=01 act=3AE69A7F706541E10A exp=3AE69A7F706541E10A + 25639.50ns INFO [00025641] Port=1 RD @03 + 25640.50ns INFO [00025642] Port=0 WR @05=9654C966136FFBE600 + 25641.50ns INFO [00025643] * RD COMPARE * port=1 adr=03 act=D99AB52EC93B3EB0F4 exp=D99AB52EC93B3EB0F4 + 25641.50ns INFO [00025643] Port=1 RD @04 + 25642.50ns INFO [00025644] Port=0 RD @06 + 25642.50ns INFO [00025644] Port=1 RD @00 + 25643.50ns INFO [00025645] * RD COMPARE * port=1 adr=04 act=BE580637E7970D4CE2 exp=BE580637E7970D4CE2 + 25643.50ns INFO [00025645] Port=0 RD @06 + 25644.50ns INFO [00025646] * RD COMPARE * port=0 adr=06 act=BAF8940B9B4D9D5D52 exp=BAF8940B9B4D9D5D52 + 25644.50ns INFO [00025646] * RD COMPARE * port=1 adr=00 act=9563EFC1A93D7C8183 exp=9563EFC1A93D7C8183 + 25644.50ns INFO [00025646] Port=0 RD @02 + 25645.50ns INFO [00025647] * RD COMPARE * port=0 adr=06 act=BAF8940B9B4D9D5D52 exp=BAF8940B9B4D9D5D52 + 25645.50ns INFO [00025647] Port=1 RD @03 + 25646.50ns INFO [00025648] * RD COMPARE * port=0 adr=02 act=EDF67174A56F2E334C exp=EDF67174A56F2E334C + 25646.50ns INFO [00025648] Port=0 WR @02=1F2E3E165B4E460DC1 + 25647.50ns INFO [00025649] * RD COMPARE * port=1 adr=03 act=D99AB52EC93B3EB0F4 exp=D99AB52EC93B3EB0F4 + 25648.50ns INFO [00025650] Port=0 WR @00=6649DFB395FFC26003 + 25648.50ns INFO [00025650] Port=0 RD @02 + 25648.50ns INFO [00025650] Port=1 RD @07 + 25649.50ns INFO [00025651] Port=0 WR @02=F5F577BA8BD6007F83 + 25650.50ns INFO [00025652] * RD COMPARE * port=0 adr=02 act=1F2E3E165B4E460DC1 exp=1F2E3E165B4E460DC1 + 25650.50ns INFO [00025652] * RD COMPARE * port=1 adr=07 act=43262B5058B660F595 exp=43262B5058B660F595 + 25650.50ns INFO [00025652] Port=0 WR @05=559498142937BDD25B + 25650.50ns INFO [00025652] Port=0 RD @06 + 25650.50ns INFO [00025652] Port=1 RD @04 + 25651.50ns INFO [00025653] Port=0 WR @05=776032700BCA57F68C + 25652.50ns INFO [00025654] * RD COMPARE * port=0 adr=06 act=BAF8940B9B4D9D5D52 exp=BAF8940B9B4D9D5D52 + 25652.50ns INFO [00025654] * RD COMPARE * port=1 adr=04 act=BE580637E7970D4CE2 exp=BE580637E7970D4CE2 + 25652.50ns INFO [00025654] Port=0 RD @04 + 25652.50ns INFO [00025654] Port=1 RD @00 + 25653.50ns INFO [00025655] Port=1 RD @04 + 25654.50ns INFO [00025656] * RD COMPARE * port=0 adr=04 act=BE580637E7970D4CE2 exp=BE580637E7970D4CE2 + 25654.50ns INFO [00025656] * RD COMPARE * port=1 adr=00 act=6649DFB395FFC26003 exp=6649DFB395FFC26003 + 25654.50ns INFO [00025656] Port=1 RD @06 + 25655.50ns INFO [00025657] * RD COMPARE * port=1 adr=04 act=BE580637E7970D4CE2 exp=BE580637E7970D4CE2 + 25656.50ns INFO [00025658] * RD COMPARE * port=1 adr=06 act=BAF8940B9B4D9D5D52 exp=BAF8940B9B4D9D5D52 + 25656.50ns INFO [00025658] Port=1 RD @06 + 25657.50ns INFO [00025659] Port=0 WR @00=5F3254E3F896850F53 + 25657.50ns INFO [00025659] Port=0 RD @03 + 25658.50ns INFO [00025660] * RD COMPARE * port=1 adr=06 act=BAF8940B9B4D9D5D52 exp=BAF8940B9B4D9D5D52 + 25658.50ns INFO [00025660] Port=0 WR @04=04BBC4D8F2E7710DC2 + 25659.50ns INFO [00025661] * RD COMPARE * port=0 adr=03 act=D99AB52EC93B3EB0F4 exp=D99AB52EC93B3EB0F4 + 25659.50ns INFO [00025661] Port=0 WR @06=CE561F90A15844B872 + 25659.50ns INFO [00025661] Port=0 RD @03 + 25660.50ns INFO [00025662] Port=0 WR @07=88BBC968EBF8B41A98 + 25661.50ns INFO [00025663] * RD COMPARE * port=0 adr=03 act=D99AB52EC93B3EB0F4 exp=D99AB52EC93B3EB0F4 + 25663.50ns INFO [00025665] Port=0 WR @00=67885EE41E52C9845B + 25664.50ns INFO [00025666] Port=0 WR @02=AA33A840398BF36CA8 + 25664.50ns INFO [00025666] Port=0 RD @07 + 25665.50ns INFO [00025667] Port=0 RD @04 + 25665.50ns INFO [00025667] Port=1 RD @03 + 25666.50ns INFO [00025668] * RD COMPARE * port=0 adr=07 act=88BBC968EBF8B41A98 exp=88BBC968EBF8B41A98 + 25666.50ns INFO [00025668] Port=0 WR @03=DDC2214827EAE0FF20 + 25666.50ns INFO [00025668] Port=0 RD @00 + 25666.50ns INFO [00025668] Port=1 RD @01 + 25667.50ns INFO [00025669] * RD COMPARE * port=0 adr=04 act=04BBC4D8F2E7710DC2 exp=04BBC4D8F2E7710DC2 + 25667.50ns INFO [00025669] * RD COMPARE * port=1 adr=03 act=D99AB52EC93B3EB0F4 exp=D99AB52EC93B3EB0F4 + 25667.50ns INFO [00025669] Port=0 RD @03 + 25667.50ns INFO [00025669] Port=1 RD @07 + 25668.50ns INFO [00025670] * RD COMPARE * port=0 adr=00 act=67885EE41E52C9845B exp=67885EE41E52C9845B + 25668.50ns INFO [00025670] * RD COMPARE * port=1 adr=01 act=3AE69A7F706541E10A exp=3AE69A7F706541E10A + 25668.50ns INFO [00025670] Port=0 RD @02 + 25669.50ns INFO [00025671] * RD COMPARE * port=0 adr=03 act=DDC2214827EAE0FF20 exp=DDC2214827EAE0FF20 + 25669.50ns INFO [00025671] * RD COMPARE * port=1 adr=07 act=88BBC968EBF8B41A98 exp=88BBC968EBF8B41A98 + 25669.50ns INFO [00025671] Port=0 WR @06=E69CD423D112DCDE8B + 25669.50ns INFO [00025671] Port=0 RD @00 + 25670.50ns INFO [00025672] * RD COMPARE * port=0 adr=02 act=AA33A840398BF36CA8 exp=AA33A840398BF36CA8 + 25670.50ns INFO [00025672] Port=0 WR @03=B73410E5A3DFA88499 + 25670.50ns INFO [00025672] Port=1 RD @00 + 25671.50ns INFO [00025673] * RD COMPARE * port=0 adr=00 act=67885EE41E52C9845B exp=67885EE41E52C9845B + 25672.50ns INFO [00025674] * RD COMPARE * port=1 adr=00 act=67885EE41E52C9845B exp=67885EE41E52C9845B + 25672.50ns INFO [00025674] Port=0 RD @04 + 25672.50ns INFO [00025674] Port=1 RD @01 + 25673.50ns INFO [00025675] Port=0 RD @06 + 25673.50ns INFO [00025675] Port=1 RD @05 + 25674.50ns INFO [00025676] * RD COMPARE * port=0 adr=04 act=04BBC4D8F2E7710DC2 exp=04BBC4D8F2E7710DC2 + 25674.50ns INFO [00025676] * RD COMPARE * port=1 adr=01 act=3AE69A7F706541E10A exp=3AE69A7F706541E10A + 25674.50ns INFO [00025676] Port=0 RD @01 + 25674.50ns INFO [00025676] Port=1 RD @07 + 25675.50ns INFO [00025677] * RD COMPARE * port=0 adr=06 act=E69CD423D112DCDE8B exp=E69CD423D112DCDE8B + 25675.50ns INFO [00025677] * RD COMPARE * port=1 adr=05 act=776032700BCA57F68C exp=776032700BCA57F68C + 25675.50ns INFO [00025677] Port=0 WR @05=05F8886CA264617B46 + 25675.50ns INFO [00025677] Port=1 RD @04 + 25676.50ns INFO [00025678] * RD COMPARE * port=0 adr=01 act=3AE69A7F706541E10A exp=3AE69A7F706541E10A + 25676.50ns INFO [00025678] * RD COMPARE * port=1 adr=07 act=88BBC968EBF8B41A98 exp=88BBC968EBF8B41A98 + 25676.50ns INFO [00025678] Port=0 RD @04 + 25677.50ns INFO [00025679] * RD COMPARE * port=1 adr=04 act=04BBC4D8F2E7710DC2 exp=04BBC4D8F2E7710DC2 + 25677.50ns INFO [00025679] Port=0 WR @07=DC589E065202334535 + 25678.50ns INFO [00025680] * RD COMPARE * port=0 adr=04 act=04BBC4D8F2E7710DC2 exp=04BBC4D8F2E7710DC2 + 25678.50ns INFO [00025680] Port=0 WR @04=EE1B410CE60064A29C + 25679.50ns INFO [00025681] Port=0 RD @00 + 25680.50ns INFO [00025682] Port=0 WR @06=ACC45796CC12DA46B2 + 25681.50ns INFO [00025683] * RD COMPARE * port=0 adr=00 act=67885EE41E52C9845B exp=67885EE41E52C9845B + 25681.50ns INFO [00025683] Port=1 RD @00 + 25682.50ns INFO [00025684] Port=0 WR @05=E10B8F7038194277CA + 25682.50ns INFO [00025684] Port=0 RD @03 + 25683.50ns INFO [00025685] * RD COMPARE * port=1 adr=00 act=67885EE41E52C9845B exp=67885EE41E52C9845B + 25684.50ns INFO [00025686] * RD COMPARE * port=0 adr=03 act=B73410E5A3DFA88499 exp=B73410E5A3DFA88499 + 25684.50ns INFO [00025686] Port=0 RD @00 + 25684.50ns INFO [00025686] Port=1 RD @01 + 25685.50ns INFO [00025687] Port=0 RD @07 + 25686.50ns INFO [00025688] * RD COMPARE * port=0 adr=00 act=67885EE41E52C9845B exp=67885EE41E52C9845B + 25686.50ns INFO [00025688] * RD COMPARE * port=1 adr=01 act=3AE69A7F706541E10A exp=3AE69A7F706541E10A + 25687.50ns INFO [00025689] * RD COMPARE * port=0 adr=07 act=DC589E065202334535 exp=DC589E065202334535 + 25687.50ns INFO [00025689] Port=0 WR @00=7401C305F448CED049 + 25687.50ns INFO [00025689] Port=1 RD @03 + 25688.50ns INFO [00025690] Port=1 RD @03 + 25689.50ns INFO [00025691] * RD COMPARE * port=1 adr=03 act=B73410E5A3DFA88499 exp=B73410E5A3DFA88499 + 25689.50ns INFO [00025691] Port=0 WR @04=94F34E91EFB0A93154 + 25689.50ns INFO [00025691] Port=1 RD @05 + 25690.50ns INFO [00025692] * RD COMPARE * port=1 adr=03 act=B73410E5A3DFA88499 exp=B73410E5A3DFA88499 + 25691.50ns INFO [00025693] * RD COMPARE * port=1 adr=05 act=E10B8F7038194277CA exp=E10B8F7038194277CA + 25691.50ns INFO [00025693] Port=0 WR @05=6501867B728CCD6E30 + 25693.50ns INFO [00025695] Port=0 WR @07=108F5EA7B837FC5849 + 25695.50ns INFO [00025697] Port=1 RD @01 + 25696.50ns INFO [00025698] Port=0 WR @05=7F635BC2D9B83499E0 + 25696.50ns INFO [00025698] Port=0 RD @06 + 25697.50ns INFO [00025699] * RD COMPARE * port=1 adr=01 act=3AE69A7F706541E10A exp=3AE69A7F706541E10A + 25697.50ns INFO [00025699] Port=1 RD @01 + 25698.00ns INFO [00025700] [00025700] ...tick... + 25698.50ns INFO [00025700] * RD COMPARE * port=0 adr=06 act=ACC45796CC12DA46B2 exp=ACC45796CC12DA46B2 + 25698.50ns INFO [00025700] Port=0 WR @02=68021AC21DB43F5E31 + 25698.50ns INFO [00025700] Port=0 RD @06 + 25699.50ns INFO [00025701] * RD COMPARE * port=1 adr=01 act=3AE69A7F706541E10A exp=3AE69A7F706541E10A + 25699.50ns INFO [00025701] Port=0 WR @01=E2FD398865BF651740 + 25700.50ns INFO [00025702] * RD COMPARE * port=0 adr=06 act=ACC45796CC12DA46B2 exp=ACC45796CC12DA46B2 + 25700.50ns INFO [00025702] Port=0 RD @07 + 25701.50ns INFO [00025703] Port=0 RD @02 + 25702.50ns INFO [00025704] * RD COMPARE * port=0 adr=07 act=108F5EA7B837FC5849 exp=108F5EA7B837FC5849 + 25702.50ns INFO [00025704] Port=0 WR @00=EDC379B63CB7CB4CD1 + 25703.50ns INFO [00025705] * RD COMPARE * port=0 adr=02 act=68021AC21DB43F5E31 exp=68021AC21DB43F5E31 + 25704.50ns INFO [00025706] Port=0 WR @05=27F31402A979271A8D + 25704.50ns INFO [00025706] Port=0 RD @06 + 25706.50ns INFO [00025708] * RD COMPARE * port=0 adr=06 act=ACC45796CC12DA46B2 exp=ACC45796CC12DA46B2 + 25706.50ns INFO [00025708] Port=0 WR @03=B4E48377633C6F1C88 + 25706.50ns INFO [00025708] Port=1 RD @06 + 25707.50ns INFO [00025709] Port=0 WR @01=C4ECB282906D585C3B + 25707.50ns INFO [00025709] Port=1 RD @04 + 25708.50ns INFO [00025710] * RD COMPARE * port=1 adr=06 act=ACC45796CC12DA46B2 exp=ACC45796CC12DA46B2 + 25708.50ns INFO [00025710] Port=0 RD @02 + 25708.50ns INFO [00025710] Port=1 RD @06 + 25709.50ns INFO [00025711] * RD COMPARE * port=1 adr=04 act=94F34E91EFB0A93154 exp=94F34E91EFB0A93154 + 25709.50ns INFO [00025711] Port=1 RD @03 + 25710.50ns INFO [00025712] * RD COMPARE * port=0 adr=02 act=68021AC21DB43F5E31 exp=68021AC21DB43F5E31 + 25710.50ns INFO [00025712] * RD COMPARE * port=1 adr=06 act=ACC45796CC12DA46B2 exp=ACC45796CC12DA46B2 + 25710.50ns INFO [00025712] Port=0 WR @06=541DE86EE8E5DAD9C0 + 25711.50ns INFO [00025713] * RD COMPARE * port=1 adr=03 act=B4E48377633C6F1C88 exp=B4E48377633C6F1C88 + 25711.50ns INFO [00025713] Port=0 WR @07=EBA8C254CFEF1686C2 + 25711.50ns INFO [00025713] Port=0 RD @04 + 25712.50ns INFO [00025714] Port=0 WR @01=873FFC89702785A881 + 25712.50ns INFO [00025714] Port=1 RD @02 + 25713.50ns INFO [00025715] * RD COMPARE * port=0 adr=04 act=94F34E91EFB0A93154 exp=94F34E91EFB0A93154 + 25713.50ns INFO [00025715] Port=0 WR @00=E77D11BCECD52B4B05 + 25713.50ns INFO [00025715] Port=0 RD @05 + 25713.50ns INFO [00025715] Port=1 RD @02 + 25714.50ns INFO [00025716] * RD COMPARE * port=1 adr=02 act=68021AC21DB43F5E31 exp=68021AC21DB43F5E31 + 25715.50ns INFO [00025717] * RD COMPARE * port=0 adr=05 act=27F31402A979271A8D exp=27F31402A979271A8D + 25715.50ns INFO [00025717] * RD COMPARE * port=1 adr=02 act=68021AC21DB43F5E31 exp=68021AC21DB43F5E31 + 25716.50ns INFO [00025718] Port=1 RD @01 + 25717.50ns INFO [00025719] Port=1 RD @02 + 25718.50ns INFO [00025720] * RD COMPARE * port=1 adr=01 act=873FFC89702785A881 exp=873FFC89702785A881 + 25718.50ns INFO [00025720] Port=0 WR @05=C57266CBFE215B15B6 + 25718.50ns INFO [00025720] Port=0 RD @07 + 25719.50ns INFO [00025721] * RD COMPARE * port=1 adr=02 act=68021AC21DB43F5E31 exp=68021AC21DB43F5E31 + 25719.50ns INFO [00025721] Port=1 RD @06 + 25720.50ns INFO [00025722] * RD COMPARE * port=0 adr=07 act=EBA8C254CFEF1686C2 exp=EBA8C254CFEF1686C2 + 25720.50ns INFO [00025722] Port=0 RD @04 + 25721.50ns INFO [00025723] * RD COMPARE * port=1 adr=06 act=541DE86EE8E5DAD9C0 exp=541DE86EE8E5DAD9C0 + 25721.50ns INFO [00025723] Port=0 WR @06=95C09181D80D2FDA3A + 25721.50ns INFO [00025723] Port=1 RD @01 + 25722.50ns INFO [00025724] * RD COMPARE * port=0 adr=04 act=94F34E91EFB0A93154 exp=94F34E91EFB0A93154 + 25722.50ns INFO [00025724] Port=0 RD @06 + 25723.50ns INFO [00025725] * RD COMPARE * port=1 adr=01 act=873FFC89702785A881 exp=873FFC89702785A881 + 25723.50ns INFO [00025725] Port=1 RD @00 + 25724.50ns INFO [00025726] * RD COMPARE * port=0 adr=06 act=95C09181D80D2FDA3A exp=95C09181D80D2FDA3A + 25725.50ns INFO [00025727] * RD COMPARE * port=1 adr=00 act=E77D11BCECD52B4B05 exp=E77D11BCECD52B4B05 + 25725.50ns INFO [00025727] Port=0 RD @06 + 25726.50ns INFO [00025728] Port=0 RD @02 + 25726.50ns INFO [00025728] Port=1 RD @02 + 25727.50ns INFO [00025729] * RD COMPARE * port=0 adr=06 act=95C09181D80D2FDA3A exp=95C09181D80D2FDA3A + 25727.50ns INFO [00025729] Port=0 WR @01=38258956C6B234BDEA + 25727.50ns INFO [00025729] Port=1 RD @05 + 25728.50ns INFO [00025730] * RD COMPARE * port=0 adr=02 act=68021AC21DB43F5E31 exp=68021AC21DB43F5E31 + 25728.50ns INFO [00025730] * RD COMPARE * port=1 adr=02 act=68021AC21DB43F5E31 exp=68021AC21DB43F5E31 + 25728.50ns INFO [00025730] Port=0 WR @01=09BACCED4CFC43F7A5 + 25729.50ns INFO [00025731] * RD COMPARE * port=1 adr=05 act=C57266CBFE215B15B6 exp=C57266CBFE215B15B6 + 25729.50ns INFO [00025731] Port=0 RD @01 + 25729.50ns INFO [00025731] Port=1 RD @07 + 25730.50ns INFO [00025732] Port=0 RD @01 + 25730.50ns INFO [00025732] Port=1 RD @02 + 25731.50ns INFO [00025733] * RD COMPARE * port=0 adr=01 act=09BACCED4CFC43F7A5 exp=09BACCED4CFC43F7A5 + 25731.50ns INFO [00025733] * RD COMPARE * port=1 adr=07 act=EBA8C254CFEF1686C2 exp=EBA8C254CFEF1686C2 + 25732.50ns INFO [00025734] * RD COMPARE * port=0 adr=01 act=09BACCED4CFC43F7A5 exp=09BACCED4CFC43F7A5 + 25732.50ns INFO [00025734] * RD COMPARE * port=1 adr=02 act=68021AC21DB43F5E31 exp=68021AC21DB43F5E31 + 25732.50ns INFO [00025734] Port=0 RD @05 + 25733.50ns INFO [00025735] Port=0 RD @00 + 25734.50ns INFO [00025736] * RD COMPARE * port=0 adr=05 act=C57266CBFE215B15B6 exp=C57266CBFE215B15B6 + 25734.50ns INFO [00025736] Port=0 WR @01=D68792D40BC861460B + 25735.50ns INFO [00025737] * RD COMPARE * port=0 adr=00 act=E77D11BCECD52B4B05 exp=E77D11BCECD52B4B05 + 25735.50ns INFO [00025737] Port=0 RD @02 + 25736.50ns INFO [00025738] Port=0 WR @01=DE092D2A3CE617B53D + 25736.50ns INFO [00025738] Port=0 RD @03 + 25737.50ns INFO [00025739] * RD COMPARE * port=0 adr=02 act=68021AC21DB43F5E31 exp=68021AC21DB43F5E31 + 25738.50ns INFO [00025740] * RD COMPARE * port=0 adr=03 act=B4E48377633C6F1C88 exp=B4E48377633C6F1C88 + 25739.50ns INFO [00025741] Port=0 WR @01=72E91FE6711D61160B + 25739.50ns INFO [00025741] Port=0 RD @06 + 25740.50ns INFO [00025742] Port=0 WR @04=D940558EA021CF0E1D + 25740.50ns INFO [00025742] Port=0 RD @01 + 25741.50ns INFO [00025743] * RD COMPARE * port=0 adr=06 act=95C09181D80D2FDA3A exp=95C09181D80D2FDA3A + 25742.50ns INFO [00025744] * RD COMPARE * port=0 adr=01 act=72E91FE6711D61160B exp=72E91FE6711D61160B + 25743.50ns INFO [00025745] Port=0 WR @00=02C1183E8F0F86BBE5 + 25743.50ns INFO [00025745] Port=1 RD @06 + 25744.50ns INFO [00025746] Port=0 RD @01 + 25744.50ns INFO [00025746] Port=1 RD @04 + 25745.50ns INFO [00025747] * RD COMPARE * port=1 adr=06 act=95C09181D80D2FDA3A exp=95C09181D80D2FDA3A + 25745.50ns INFO [00025747] Port=0 WR @07=7362E6D39998D3A546 + 25745.50ns INFO [00025747] Port=0 RD @03 + 25745.50ns INFO [00025747] Port=1 RD @04 + 25746.50ns INFO [00025748] * RD COMPARE * port=0 adr=01 act=72E91FE6711D61160B exp=72E91FE6711D61160B + 25746.50ns INFO [00025748] * RD COMPARE * port=1 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25747.50ns INFO [00025749] * RD COMPARE * port=0 adr=03 act=B4E48377633C6F1C88 exp=B4E48377633C6F1C88 + 25747.50ns INFO [00025749] * RD COMPARE * port=1 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25747.50ns INFO [00025749] Port=1 RD @00 + 25748.50ns INFO [00025750] Port=0 WR @07=CC125D73555DEFFAC9 + 25749.50ns INFO [00025751] * RD COMPARE * port=1 adr=00 act=02C1183E8F0F86BBE5 exp=02C1183E8F0F86BBE5 + 25749.50ns INFO [00025751] Port=0 RD @00 + 25750.50ns INFO [00025752] Port=0 RD @03 + 25751.50ns INFO [00025753] * RD COMPARE * port=0 adr=00 act=02C1183E8F0F86BBE5 exp=02C1183E8F0F86BBE5 + 25751.50ns INFO [00025753] Port=0 WR @05=98639582A3967F40C8 + 25751.50ns INFO [00025753] Port=1 RD @02 + 25752.50ns INFO [00025754] * RD COMPARE * port=0 adr=03 act=B4E48377633C6F1C88 exp=B4E48377633C6F1C88 + 25752.50ns INFO [00025754] Port=0 WR @02=DDB2A7C4E38385B629 + 25753.50ns INFO [00025755] * RD COMPARE * port=1 adr=02 act=68021AC21DB43F5E31 exp=68021AC21DB43F5E31 + 25753.50ns INFO [00025755] Port=1 RD @01 + 25754.50ns INFO [00025756] Port=0 WR @02=A1799CB9BE8964C493 + 25754.50ns INFO [00025756] Port=0 RD @07 + 25755.50ns INFO [00025757] * RD COMPARE * port=1 adr=01 act=72E91FE6711D61160B exp=72E91FE6711D61160B + 25755.50ns INFO [00025757] Port=0 WR @03=CF1A704661F1347511 + 25755.50ns INFO [00025757] Port=0 RD @00 + 25755.50ns INFO [00025757] Port=1 RD @07 + 25756.50ns INFO [00025758] * RD COMPARE * port=0 adr=07 act=CC125D73555DEFFAC9 exp=CC125D73555DEFFAC9 + 25756.50ns INFO [00025758] Port=0 WR @01=67ED1525D3DEBB74CC + 25756.50ns INFO [00025758] Port=1 RD @07 + 25757.50ns INFO [00025759] * RD COMPARE * port=0 adr=00 act=02C1183E8F0F86BBE5 exp=02C1183E8F0F86BBE5 + 25757.50ns INFO [00025759] * RD COMPARE * port=1 adr=07 act=CC125D73555DEFFAC9 exp=CC125D73555DEFFAC9 + 25757.50ns INFO [00025759] Port=1 RD @00 + 25758.50ns INFO [00025760] * RD COMPARE * port=1 adr=07 act=CC125D73555DEFFAC9 exp=CC125D73555DEFFAC9 + 25758.50ns INFO [00025760] Port=0 WR @03=3450E7EEE85498C346 + 25758.50ns INFO [00025760] Port=1 RD @06 + 25759.50ns INFO [00025761] * RD COMPARE * port=1 adr=00 act=02C1183E8F0F86BBE5 exp=02C1183E8F0F86BBE5 + 25760.50ns INFO [00025762] * RD COMPARE * port=1 adr=06 act=95C09181D80D2FDA3A exp=95C09181D80D2FDA3A + 25761.50ns INFO [00025763] Port=0 RD @02 + 25762.50ns INFO [00025764] Port=0 RD @04 + 25762.50ns INFO [00025764] Port=1 RD @00 + 25763.50ns INFO [00025765] * RD COMPARE * port=0 adr=02 act=A1799CB9BE8964C493 exp=A1799CB9BE8964C493 + 25763.50ns INFO [00025765] Port=1 RD @02 + 25764.50ns INFO [00025766] * RD COMPARE * port=0 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25764.50ns INFO [00025766] * RD COMPARE * port=1 adr=00 act=02C1183E8F0F86BBE5 exp=02C1183E8F0F86BBE5 + 25764.50ns INFO [00025766] Port=1 RD @03 + 25765.50ns INFO [00025767] * RD COMPARE * port=1 adr=02 act=A1799CB9BE8964C493 exp=A1799CB9BE8964C493 + 25765.50ns INFO [00025767] Port=0 RD @00 + 25766.50ns INFO [00025768] * RD COMPARE * port=1 adr=03 act=3450E7EEE85498C346 exp=3450E7EEE85498C346 + 25766.50ns INFO [00025768] Port=0 RD @00 + 25767.50ns INFO [00025769] * RD COMPARE * port=0 adr=00 act=02C1183E8F0F86BBE5 exp=02C1183E8F0F86BBE5 + 25767.50ns INFO [00025769] Port=0 WR @05=134EFDD4CD774FD9B1 + 25767.50ns INFO [00025769] Port=0 RD @07 + 25768.50ns INFO [00025770] * RD COMPARE * port=0 adr=00 act=02C1183E8F0F86BBE5 exp=02C1183E8F0F86BBE5 + 25768.50ns INFO [00025770] Port=0 WR @03=69FD0A7695E5568FD8 + 25768.50ns INFO [00025770] Port=1 RD @04 + 25769.50ns INFO [00025771] * RD COMPARE * port=0 adr=07 act=CC125D73555DEFFAC9 exp=CC125D73555DEFFAC9 + 25770.50ns INFO [00025772] * RD COMPARE * port=1 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25770.50ns INFO [00025772] Port=0 RD @04 + 25771.50ns INFO [00025773] Port=1 RD @03 + 25772.50ns INFO [00025774] * RD COMPARE * port=0 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25772.50ns INFO [00025774] Port=0 RD @00 + 25773.50ns INFO [00025775] * RD COMPARE * port=1 adr=03 act=69FD0A7695E5568FD8 exp=69FD0A7695E5568FD8 + 25774.50ns INFO [00025776] * RD COMPARE * port=0 adr=00 act=02C1183E8F0F86BBE5 exp=02C1183E8F0F86BBE5 + 25774.50ns INFO [00025776] Port=0 WR @03=5B94DAC47528CAFF2A + 25775.50ns INFO [00025777] Port=0 WR @05=8FF5AEA587E0C492E4 + 25777.50ns INFO [00025779] Port=0 WR @02=342A45B3541C402145 + 25777.50ns INFO [00025779] Port=1 RD @06 + 25779.50ns INFO [00025781] * RD COMPARE * port=1 adr=06 act=95C09181D80D2FDA3A exp=95C09181D80D2FDA3A + 25780.50ns INFO [00025782] Port=0 RD @06 + 25780.50ns INFO [00025782] Port=1 RD @03 + 25781.50ns INFO [00025783] Port=0 WR @03=884C0F62BB79F1229D + 25782.50ns INFO [00025784] * RD COMPARE * port=0 adr=06 act=95C09181D80D2FDA3A exp=95C09181D80D2FDA3A + 25782.50ns INFO [00025784] * RD COMPARE * port=1 adr=03 act=5B94DAC47528CAFF2A exp=5B94DAC47528CAFF2A + 25782.50ns INFO [00025784] Port=0 RD @03 + 25783.50ns INFO [00025785] Port=0 RD @03 + 25784.50ns INFO [00025786] * RD COMPARE * port=0 adr=03 act=884C0F62BB79F1229D exp=884C0F62BB79F1229D + 25784.50ns INFO [00025786] Port=0 WR @00=3D9C59C63698A2AE7D + 25785.50ns INFO [00025787] * RD COMPARE * port=0 adr=03 act=884C0F62BB79F1229D exp=884C0F62BB79F1229D + 25786.50ns INFO [00025788] Port=1 RD @01 + 25787.50ns INFO [00025789] Port=1 RD @02 + 25788.50ns INFO [00025790] * RD COMPARE * port=1 adr=01 act=67ED1525D3DEBB74CC exp=67ED1525D3DEBB74CC + 25788.50ns INFO [00025790] Port=0 WR @01=53E0054A60D3CF5EF1 + 25789.50ns INFO [00025791] * RD COMPARE * port=1 adr=02 act=342A45B3541C402145 exp=342A45B3541C402145 + 25790.50ns INFO [00025792] Port=0 WR @03=1A37E0EC19284565CB + 25790.50ns INFO [00025792] Port=0 RD @02 + 25791.50ns INFO [00025793] Port=0 WR @07=22403592F3E0F9326E + 25791.50ns INFO [00025793] Port=0 RD @01 + 25792.50ns INFO [00025794] * RD COMPARE * port=0 adr=02 act=342A45B3541C402145 exp=342A45B3541C402145 + 25792.50ns INFO [00025794] Port=0 WR @00=92476635A8C86CE39A + 25793.50ns INFO [00025795] * RD COMPARE * port=0 adr=01 act=53E0054A60D3CF5EF1 exp=53E0054A60D3CF5EF1 + 25794.50ns INFO [00025796] Port=0 WR @01=16A47C030B2F6984F9 + 25795.50ns INFO [00025797] Port=0 RD @04 + 25795.50ns INFO [00025797] Port=1 RD @02 + 25796.50ns INFO [00025798] Port=0 WR @01=89C926A8B3BBCDC894 + 25796.50ns INFO [00025798] Port=0 RD @00 + 25796.50ns INFO [00025798] Port=1 RD @06 + 25797.50ns INFO [00025799] * RD COMPARE * port=0 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25797.50ns INFO [00025799] * RD COMPARE * port=1 adr=02 act=342A45B3541C402145 exp=342A45B3541C402145 + 25798.00ns INFO [00025800] [00025800] ...tick... + 25798.50ns INFO [00025800] * RD COMPARE * port=0 adr=00 act=92476635A8C86CE39A exp=92476635A8C86CE39A + 25798.50ns INFO [00025800] * RD COMPARE * port=1 adr=06 act=95C09181D80D2FDA3A exp=95C09181D80D2FDA3A + 25798.50ns INFO [00025800] Port=1 RD @00 + 25799.50ns INFO [00025801] Port=0 WR @06=B131EB6A15ED431641 + 25799.50ns INFO [00025801] Port=0 RD @07 + 25799.50ns INFO [00025801] Port=1 RD @04 + 25800.50ns INFO [00025802] * RD COMPARE * port=1 adr=00 act=92476635A8C86CE39A exp=92476635A8C86CE39A + 25801.50ns INFO [00025803] * RD COMPARE * port=0 adr=07 act=22403592F3E0F9326E exp=22403592F3E0F9326E + 25801.50ns INFO [00025803] * RD COMPARE * port=1 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25801.50ns INFO [00025803] Port=0 WR @00=4A14FC532819C85748 + 25802.50ns INFO [00025804] Port=0 RD @01 + 25802.50ns INFO [00025804] Port=1 RD @02 + 25803.50ns INFO [00025805] Port=0 WR @06=C7640DA6432B8D16AB + 25803.50ns INFO [00025805] Port=1 RD @02 + 25804.50ns INFO [00025806] * RD COMPARE * port=0 adr=01 act=89C926A8B3BBCDC894 exp=89C926A8B3BBCDC894 + 25804.50ns INFO [00025806] * RD COMPARE * port=1 adr=02 act=342A45B3541C402145 exp=342A45B3541C402145 + 25804.50ns INFO [00025806] Port=0 RD @02 + 25805.50ns INFO [00025807] * RD COMPARE * port=1 adr=02 act=342A45B3541C402145 exp=342A45B3541C402145 + 25805.50ns INFO [00025807] Port=0 WR @05=1CAB2A935747AB5192 + 25806.50ns INFO [00025808] * RD COMPARE * port=0 adr=02 act=342A45B3541C402145 exp=342A45B3541C402145 + 25807.50ns INFO [00025809] Port=0 RD @04 + 25808.50ns INFO [00025810] Port=0 WR @01=73B50E98EEB1D177E2 + 25809.50ns INFO [00025811] * RD COMPARE * port=0 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25809.50ns INFO [00025811] Port=0 WR @07=4A8CF2317C71394F3B + 25810.50ns INFO [00025812] Port=0 WR @00=04E5BB0DB2B89A7346 + 25811.50ns INFO [00025813] Port=1 RD @03 + 25813.50ns INFO [00025815] * RD COMPARE * port=1 adr=03 act=1A37E0EC19284565CB exp=1A37E0EC19284565CB + 25813.50ns INFO [00025815] Port=0 WR @07=52ABA023204F4457C0 + 25813.50ns INFO [00025815] Port=0 RD @00 + 25814.50ns INFO [00025816] Port=0 WR @01=183C0EAA013261C50A + 25814.50ns INFO [00025816] Port=1 RD @07 + 25815.50ns INFO [00025817] * RD COMPARE * port=0 adr=00 act=04E5BB0DB2B89A7346 exp=04E5BB0DB2B89A7346 + 25816.50ns INFO [00025818] * RD COMPARE * port=1 adr=07 act=52ABA023204F4457C0 exp=52ABA023204F4457C0 + 25816.50ns INFO [00025818] Port=0 WR @03=47A778E0E2B6FFA779 + 25816.50ns INFO [00025818] Port=1 RD @04 + 25817.50ns INFO [00025819] Port=0 RD @00 + 25818.50ns INFO [00025820] * RD COMPARE * port=1 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25818.50ns INFO [00025820] Port=1 RD @00 + 25819.50ns INFO [00025821] * RD COMPARE * port=0 adr=00 act=04E5BB0DB2B89A7346 exp=04E5BB0DB2B89A7346 + 25819.50ns INFO [00025821] Port=0 RD @00 + 25819.50ns INFO [00025821] Port=1 RD @04 + 25820.50ns INFO [00025822] * RD COMPARE * port=1 adr=00 act=04E5BB0DB2B89A7346 exp=04E5BB0DB2B89A7346 + 25820.50ns INFO [00025822] Port=0 RD @00 + 25820.50ns INFO [00025822] Port=1 RD @07 + 25821.50ns INFO [00025823] * RD COMPARE * port=0 adr=00 act=04E5BB0DB2B89A7346 exp=04E5BB0DB2B89A7346 + 25821.50ns INFO [00025823] * RD COMPARE * port=1 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25822.50ns INFO [00025824] * RD COMPARE * port=0 adr=00 act=04E5BB0DB2B89A7346 exp=04E5BB0DB2B89A7346 + 25822.50ns INFO [00025824] * RD COMPARE * port=1 adr=07 act=52ABA023204F4457C0 exp=52ABA023204F4457C0 + 25822.50ns INFO [00025824] Port=0 RD @06 + 25822.50ns INFO [00025824] Port=1 RD @06 + 25823.50ns INFO [00025825] Port=0 RD @04 + 25823.50ns INFO [00025825] Port=1 RD @01 + 25824.50ns INFO [00025826] * RD COMPARE * port=0 adr=06 act=C7640DA6432B8D16AB exp=C7640DA6432B8D16AB + 25824.50ns INFO [00025826] * RD COMPARE * port=1 adr=06 act=C7640DA6432B8D16AB exp=C7640DA6432B8D16AB + 25825.50ns INFO [00025827] * RD COMPARE * port=0 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25825.50ns INFO [00025827] * RD COMPARE * port=1 adr=01 act=183C0EAA013261C50A exp=183C0EAA013261C50A + 25825.50ns INFO [00025827] Port=0 RD @02 + 25826.50ns INFO [00025828] Port=0 WR @01=DBAF67EFD33CF42FB3 + 25827.50ns INFO [00025829] * RD COMPARE * port=0 adr=02 act=342A45B3541C402145 exp=342A45B3541C402145 + 25829.50ns INFO [00025831] Port=0 RD @01 + 25830.50ns INFO [00025832] Port=1 RD @03 + 25831.50ns INFO [00025833] * RD COMPARE * port=0 adr=01 act=DBAF67EFD33CF42FB3 exp=DBAF67EFD33CF42FB3 + 25831.50ns INFO [00025833] Port=0 RD @01 + 25832.50ns INFO [00025834] * RD COMPARE * port=1 adr=03 act=47A778E0E2B6FFA779 exp=47A778E0E2B6FFA779 + 25832.50ns INFO [00025834] Port=1 RD @07 + 25833.50ns INFO [00025835] * RD COMPARE * port=0 adr=01 act=DBAF67EFD33CF42FB3 exp=DBAF67EFD33CF42FB3 + 25833.50ns INFO [00025835] Port=0 RD @07 + 25834.50ns INFO [00025836] * RD COMPARE * port=1 adr=07 act=52ABA023204F4457C0 exp=52ABA023204F4457C0 + 25834.50ns INFO [00025836] Port=0 WR @03=3EB38FDB645AD0D246 + 25834.50ns INFO [00025836] Port=1 RD @00 + 25835.50ns INFO [00025837] * RD COMPARE * port=0 adr=07 act=52ABA023204F4457C0 exp=52ABA023204F4457C0 + 25835.50ns INFO [00025837] Port=0 RD @04 + 25836.50ns INFO [00025838] * RD COMPARE * port=1 adr=00 act=04E5BB0DB2B89A7346 exp=04E5BB0DB2B89A7346 + 25836.50ns INFO [00025838] Port=1 RD @03 + 25837.50ns INFO [00025839] * RD COMPARE * port=0 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25837.50ns INFO [00025839] Port=0 WR @03=28C9F0E3010A352057 + 25838.50ns INFO [00025840] * RD COMPARE * port=1 adr=03 act=3EB38FDB645AD0D246 exp=3EB38FDB645AD0D246 + 25839.50ns INFO [00025841] Port=0 WR @01=1C414AD68C656AF080 + 25839.50ns INFO [00025841] Port=1 RD @03 + 25840.50ns INFO [00025842] Port=0 RD @02 + 25841.50ns INFO [00025843] * RD COMPARE * port=1 adr=03 act=28C9F0E3010A352057 exp=28C9F0E3010A352057 + 25841.50ns INFO [00025843] Port=0 RD @06 + 25842.50ns INFO [00025844] * RD COMPARE * port=0 adr=02 act=342A45B3541C402145 exp=342A45B3541C402145 + 25842.50ns INFO [00025844] Port=0 WR @00=5D04108DC0222A2A30 + 25842.50ns INFO [00025844] Port=1 RD @06 + 25843.50ns INFO [00025845] * RD COMPARE * port=0 adr=06 act=C7640DA6432B8D16AB exp=C7640DA6432B8D16AB + 25843.50ns INFO [00025845] Port=0 WR @06=CC36B848B0E7679522 + 25844.50ns INFO [00025846] * RD COMPARE * port=1 adr=06 act=C7640DA6432B8D16AB exp=C7640DA6432B8D16AB + 25844.50ns INFO [00025846] Port=0 WR @07=5F88D4246A3A3BE8A6 + 25844.50ns INFO [00025846] Port=1 RD @04 + 25845.50ns INFO [00025847] Port=0 WR @05=A17592B0AE4EBEB75B + 25845.50ns INFO [00025847] Port=0 RD @06 + 25846.50ns INFO [00025848] * RD COMPARE * port=1 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25846.50ns INFO [00025848] Port=0 WR @03=BC4B0CFAF7A72B7B03 + 25846.50ns INFO [00025848] Port=0 RD @06 + 25847.50ns INFO [00025849] * RD COMPARE * port=0 adr=06 act=CC36B848B0E7679522 exp=CC36B848B0E7679522 + 25847.50ns INFO [00025849] Port=1 RD @01 + 25848.50ns INFO [00025850] * RD COMPARE * port=0 adr=06 act=CC36B848B0E7679522 exp=CC36B848B0E7679522 + 25849.50ns INFO [00025851] * RD COMPARE * port=1 adr=01 act=1C414AD68C656AF080 exp=1C414AD68C656AF080 + 25851.50ns INFO [00025853] Port=1 RD @07 + 25852.50ns INFO [00025854] Port=0 WR @05=F4895C46BE38EF9F29 + 25852.50ns INFO [00025854] Port=1 RD @00 + 25853.50ns INFO [00025855] * RD COMPARE * port=1 adr=07 act=5F88D4246A3A3BE8A6 exp=5F88D4246A3A3BE8A6 + 25853.50ns INFO [00025855] Port=1 RD @04 + 25854.50ns INFO [00025856] * RD COMPARE * port=1 adr=00 act=5D04108DC0222A2A30 exp=5D04108DC0222A2A30 + 25855.50ns INFO [00025857] * RD COMPARE * port=1 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25855.50ns INFO [00025857] Port=0 WR @03=3B46E70AFF38D0B688 + 25855.50ns INFO [00025857] Port=1 RD @06 + 25856.50ns INFO [00025858] Port=1 RD @01 + 25857.50ns INFO [00025859] * RD COMPARE * port=1 adr=06 act=CC36B848B0E7679522 exp=CC36B848B0E7679522 + 25857.50ns INFO [00025859] Port=0 RD @00 + 25858.50ns INFO [00025860] * RD COMPARE * port=1 adr=01 act=1C414AD68C656AF080 exp=1C414AD68C656AF080 + 25858.50ns INFO [00025860] Port=0 RD @05 + 25858.50ns INFO [00025860] Port=1 RD @06 + 25859.50ns INFO [00025861] * RD COMPARE * port=0 adr=00 act=5D04108DC0222A2A30 exp=5D04108DC0222A2A30 + 25859.50ns INFO [00025861] Port=0 WR @01=2D702452CEE6C7ED42 + 25859.50ns INFO [00025861] Port=0 RD @04 + 25859.50ns INFO [00025861] Port=1 RD @00 + 25860.50ns INFO [00025862] * RD COMPARE * port=0 adr=05 act=F4895C46BE38EF9F29 exp=F4895C46BE38EF9F29 + 25860.50ns INFO [00025862] * RD COMPARE * port=1 adr=06 act=CC36B848B0E7679522 exp=CC36B848B0E7679522 + 25860.50ns INFO [00025862] Port=0 WR @03=198234E5BE89B1863F + 25861.50ns INFO [00025863] * RD COMPARE * port=0 adr=04 act=D940558EA021CF0E1D exp=D940558EA021CF0E1D + 25861.50ns INFO [00025863] * RD COMPARE * port=1 adr=00 act=5D04108DC0222A2A30 exp=5D04108DC0222A2A30 + 25861.50ns INFO [00025863] Port=0 WR @02=B92271999017DBC18B + 25862.50ns INFO [00025864] Port=0 RD @00 + 25863.50ns INFO [00025865] Port=0 WR @01=E3CC9B4C9A7B0AAD0B + 25863.50ns INFO [00025865] Port=0 RD @06 + 25864.50ns INFO [00025866] * RD COMPARE * port=0 adr=00 act=5D04108DC0222A2A30 exp=5D04108DC0222A2A30 + 25864.50ns INFO [00025866] Port=0 WR @03=B9BD63301B149B6DD0 + 25865.50ns INFO [00025867] * RD COMPARE * port=0 adr=06 act=CC36B848B0E7679522 exp=CC36B848B0E7679522 + 25865.50ns INFO [00025867] Port=0 WR @07=87EA6BC1EE046ACA01 + 25865.50ns INFO [00025867] Port=0 RD @00 + 25865.50ns INFO [00025867] Port=1 RD @03 + 25866.50ns INFO [00025868] Port=0 WR @05=D09D69445A04685592 + 25866.50ns INFO [00025868] Port=0 RD @07 + 25867.50ns INFO [00025869] * RD COMPARE * port=0 adr=00 act=5D04108DC0222A2A30 exp=5D04108DC0222A2A30 + 25867.50ns INFO [00025869] * RD COMPARE * port=1 adr=03 act=B9BD63301B149B6DD0 exp=B9BD63301B149B6DD0 + 25868.50ns INFO [00025870] * RD COMPARE * port=0 adr=07 act=87EA6BC1EE046ACA01 exp=87EA6BC1EE046ACA01 + 25869.50ns INFO [00025871] Port=0 WR @02=CA6FC82EA136ECFB03 + 25870.50ns INFO [00025872] Port=0 RD @00 + 25870.50ns INFO [00025872] Port=1 RD @03 + 25871.50ns INFO [00025873] Port=0 WR @00=82AB100AC3599BA1BB + 25871.50ns INFO [00025873] Port=0 RD @02 + 25872.50ns INFO [00025874] * RD COMPARE * port=0 adr=00 act=5D04108DC0222A2A30 exp=5D04108DC0222A2A30 + 25872.50ns INFO [00025874] * RD COMPARE * port=1 adr=03 act=B9BD63301B149B6DD0 exp=B9BD63301B149B6DD0 + 25872.50ns INFO [00025874] Port=0 WR @06=41A233441401E6200B + 25873.50ns INFO [00025875] * RD COMPARE * port=0 adr=02 act=CA6FC82EA136ECFB03 exp=CA6FC82EA136ECFB03 + 25873.50ns INFO [00025875] Port=0 WR @05=28EE170B99A27EE29E + 25875.50ns INFO [00025877] Port=0 WR @05=A9CBD73D60DB22E0A1 + 25875.50ns INFO [00025877] Port=1 RD @01 + 25877.50ns INFO [00025879] * RD COMPARE * port=1 adr=01 act=E3CC9B4C9A7B0AAD0B exp=E3CC9B4C9A7B0AAD0B + 25877.50ns INFO [00025879] Port=0 WR @01=FD23FB76AF5CA69566 + 25877.50ns INFO [00025879] Port=1 RD @05 + 25879.50ns INFO [00025881] * RD COMPARE * port=1 adr=05 act=A9CBD73D60DB22E0A1 exp=A9CBD73D60DB22E0A1 + 25879.50ns INFO [00025881] Port=0 RD @01 + 25879.50ns INFO [00025881] Port=1 RD @05 + 25881.50ns INFO [00025883] * RD COMPARE * port=0 adr=01 act=FD23FB76AF5CA69566 exp=FD23FB76AF5CA69566 + 25881.50ns INFO [00025883] * RD COMPARE * port=1 adr=05 act=A9CBD73D60DB22E0A1 exp=A9CBD73D60DB22E0A1 + 25881.50ns INFO [00025883] Port=0 WR @05=E1FC5B3E288C673DA6 + 25881.50ns INFO [00025883] Port=1 RD @06 + 25882.50ns INFO [00025884] Port=0 WR @05=CD14BA6E7BDA703851 + 25882.50ns INFO [00025884] Port=0 RD @00 + 25882.50ns INFO [00025884] Port=1 RD @03 + 25883.50ns INFO [00025885] * RD COMPARE * port=1 adr=06 act=41A233441401E6200B exp=41A233441401E6200B + 25883.50ns INFO [00025885] Port=0 WR @02=64094DC428265DF6D9 + 25883.50ns INFO [00025885] Port=1 RD @01 + 25884.50ns INFO [00025886] * RD COMPARE * port=0 adr=00 act=82AB100AC3599BA1BB exp=82AB100AC3599BA1BB + 25884.50ns INFO [00025886] * RD COMPARE * port=1 adr=03 act=B9BD63301B149B6DD0 exp=B9BD63301B149B6DD0 + 25884.50ns INFO [00025886] Port=0 RD @06 + 25885.50ns INFO [00025887] * RD COMPARE * port=1 adr=01 act=FD23FB76AF5CA69566 exp=FD23FB76AF5CA69566 + 25885.50ns INFO [00025887] Port=1 RD @00 + 25886.50ns INFO [00025888] * RD COMPARE * port=0 adr=06 act=41A233441401E6200B exp=41A233441401E6200B + 25886.50ns INFO [00025888] Port=0 WR @04=F0C858EFF97C568114 + 25887.50ns INFO [00025889] * RD COMPARE * port=1 adr=00 act=82AB100AC3599BA1BB exp=82AB100AC3599BA1BB + 25888.50ns INFO [00025890] Port=0 RD @00 + 25888.50ns INFO [00025890] Port=1 RD @07 + 25890.50ns INFO [00025892] * RD COMPARE * port=0 adr=00 act=82AB100AC3599BA1BB exp=82AB100AC3599BA1BB + 25890.50ns INFO [00025892] * RD COMPARE * port=1 adr=07 act=87EA6BC1EE046ACA01 exp=87EA6BC1EE046ACA01 + 25890.50ns INFO [00025892] Port=0 RD @03 + 25890.50ns INFO [00025892] Port=1 RD @05 + 25891.50ns INFO [00025893] Port=0 WR @02=B05E09AA2A6152B96D + 25892.50ns INFO [00025894] * RD COMPARE * port=0 adr=03 act=B9BD63301B149B6DD0 exp=B9BD63301B149B6DD0 + 25892.50ns INFO [00025894] * RD COMPARE * port=1 adr=05 act=CD14BA6E7BDA703851 exp=CD14BA6E7BDA703851 + 25892.50ns INFO [00025894] Port=0 RD @03 + 25893.50ns INFO [00025895] Port=0 WR @05=C9300D77A25257EE39 + 25893.50ns INFO [00025895] Port=1 RD @01 + 25894.50ns INFO [00025896] * RD COMPARE * port=0 adr=03 act=B9BD63301B149B6DD0 exp=B9BD63301B149B6DD0 + 25894.50ns INFO [00025896] Port=0 RD @02 + 25895.50ns INFO [00025897] * RD COMPARE * port=1 adr=01 act=FD23FB76AF5CA69566 exp=FD23FB76AF5CA69566 + 25895.50ns INFO [00025897] Port=1 RD @06 + 25896.50ns INFO [00025898] * RD COMPARE * port=0 adr=02 act=B05E09AA2A6152B96D exp=B05E09AA2A6152B96D + 25896.50ns INFO [00025898] Port=0 WR @04=160221D07592F4D2CD + 25897.50ns INFO [00025899] * RD COMPARE * port=1 adr=06 act=41A233441401E6200B exp=41A233441401E6200B + 25897.50ns INFO [00025899] Port=1 RD @05 + 25898.00ns INFO [00025900] [00025900] ...tick... + 25899.50ns INFO [00025901] * RD COMPARE * port=1 adr=05 act=C9300D77A25257EE39 exp=C9300D77A25257EE39 + 25899.50ns INFO [00025901] Port=0 WR @03=9908B83C7FE2EBE924 + 25900.50ns INFO [00025902] Port=0 WR @00=950684BF45A5BF6347 + 25900.50ns INFO [00025902] Port=1 RD @03 + 25901.50ns INFO [00025903] Port=1 RD @07 + 25902.50ns INFO [00025904] * RD COMPARE * port=1 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25902.50ns INFO [00025904] Port=0 WR @06=82F1B811122B6DCE34 + 25903.50ns INFO [00025905] * RD COMPARE * port=1 adr=07 act=87EA6BC1EE046ACA01 exp=87EA6BC1EE046ACA01 + 25904.50ns INFO [00025906] Port=0 RD @03 + 25905.50ns INFO [00025907] Port=0 RD @07 + 25906.50ns INFO [00025908] * RD COMPARE * port=0 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25906.50ns INFO [00025908] Port=0 RD @05 + 25907.50ns INFO [00025909] * RD COMPARE * port=0 adr=07 act=87EA6BC1EE046ACA01 exp=87EA6BC1EE046ACA01 + 25907.50ns INFO [00025909] Port=0 RD @07 + 25907.50ns INFO [00025909] Port=1 RD @06 + 25908.50ns INFO [00025910] * RD COMPARE * port=0 adr=05 act=C9300D77A25257EE39 exp=C9300D77A25257EE39 + 25908.50ns INFO [00025910] Port=0 WR @06=CC157050F1A74DD02C + 25909.50ns INFO [00025911] * RD COMPARE * port=0 adr=07 act=87EA6BC1EE046ACA01 exp=87EA6BC1EE046ACA01 + 25909.50ns INFO [00025911] * RD COMPARE * port=1 adr=06 act=82F1B811122B6DCE34 exp=82F1B811122B6DCE34 + 25909.50ns INFO [00025911] Port=0 RD @01 + 25910.50ns INFO [00025912] Port=0 RD @06 + 25911.50ns INFO [00025913] * RD COMPARE * port=0 adr=01 act=FD23FB76AF5CA69566 exp=FD23FB76AF5CA69566 + 25912.50ns INFO [00025914] * RD COMPARE * port=0 adr=06 act=CC157050F1A74DD02C exp=CC157050F1A74DD02C + 25912.50ns INFO [00025914] Port=0 WR @05=D3D1D611B90F30A438 + 25912.50ns INFO [00025914] Port=1 RD @02 + 25913.50ns INFO [00025915] Port=0 RD @00 + 25914.50ns INFO [00025916] * RD COMPARE * port=1 adr=02 act=B05E09AA2A6152B96D exp=B05E09AA2A6152B96D + 25914.50ns INFO [00025916] Port=0 RD @01 + 25914.50ns INFO [00025916] Port=1 RD @03 + 25915.50ns INFO [00025917] * RD COMPARE * port=0 adr=00 act=950684BF45A5BF6347 exp=950684BF45A5BF6347 + 25916.50ns INFO [00025918] * RD COMPARE * port=0 adr=01 act=FD23FB76AF5CA69566 exp=FD23FB76AF5CA69566 + 25916.50ns INFO [00025918] * RD COMPARE * port=1 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25916.50ns INFO [00025918] Port=0 RD @02 + 25917.50ns INFO [00025919] Port=1 RD @06 + 25918.50ns INFO [00025920] * RD COMPARE * port=0 adr=02 act=B05E09AA2A6152B96D exp=B05E09AA2A6152B96D + 25918.50ns INFO [00025920] Port=0 RD @00 + 25918.50ns INFO [00025920] Port=1 RD @06 + 25919.50ns INFO [00025921] * RD COMPARE * port=1 adr=06 act=CC157050F1A74DD02C exp=CC157050F1A74DD02C + 25919.50ns INFO [00025921] Port=0 RD @03 + 25920.50ns INFO [00025922] * RD COMPARE * port=0 adr=00 act=950684BF45A5BF6347 exp=950684BF45A5BF6347 + 25920.50ns INFO [00025922] * RD COMPARE * port=1 adr=06 act=CC157050F1A74DD02C exp=CC157050F1A74DD02C + 25921.50ns INFO [00025923] * RD COMPARE * port=0 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25921.50ns INFO [00025923] Port=0 WR @01=08A5226FC6CBBFC7E1 + 25921.50ns INFO [00025923] Port=1 RD @04 + 25922.50ns INFO [00025924] Port=1 RD @00 + 25923.50ns INFO [00025925] * RD COMPARE * port=1 adr=04 act=160221D07592F4D2CD exp=160221D07592F4D2CD + 25923.50ns INFO [00025925] Port=0 WR @06=D5782FD2D648876F04 + 25924.50ns INFO [00025926] * RD COMPARE * port=1 adr=00 act=950684BF45A5BF6347 exp=950684BF45A5BF6347 + 25925.50ns INFO [00025927] Port=0 WR @02=71A02A1A85BDC9EB71 + 25925.50ns INFO [00025927] Port=0 RD @04 + 25927.50ns INFO [00025929] * RD COMPARE * port=0 adr=04 act=160221D07592F4D2CD exp=160221D07592F4D2CD + 25927.50ns INFO [00025929] Port=0 RD @05 + 25927.50ns INFO [00025929] Port=1 RD @07 + 25928.50ns INFO [00025930] Port=1 RD @07 + 25929.50ns INFO [00025931] * RD COMPARE * port=0 adr=05 act=D3D1D611B90F30A438 exp=D3D1D611B90F30A438 + 25929.50ns INFO [00025931] * RD COMPARE * port=1 adr=07 act=87EA6BC1EE046ACA01 exp=87EA6BC1EE046ACA01 + 25929.50ns INFO [00025931] Port=0 WR @07=47BDAA012963FA5239 + 25929.50ns INFO [00025931] Port=0 RD @04 + 25930.50ns INFO [00025932] * RD COMPARE * port=1 adr=07 act=87EA6BC1EE046ACA01 exp=87EA6BC1EE046ACA01 + 25930.50ns INFO [00025932] Port=0 WR @06=2F51F96ABAAFF4FBEF + 25930.50ns INFO [00025932] Port=0 RD @03 + 25930.50ns INFO [00025932] Port=1 RD @07 + 25931.50ns INFO [00025933] * RD COMPARE * port=0 adr=04 act=160221D07592F4D2CD exp=160221D07592F4D2CD + 25931.50ns INFO [00025933] Port=0 WR @00=BAE514462F7FC98940 + 25931.50ns INFO [00025933] Port=1 RD @02 + 25932.50ns INFO [00025934] * RD COMPARE * port=0 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25932.50ns INFO [00025934] * RD COMPARE * port=1 adr=07 act=47BDAA012963FA5239 exp=47BDAA012963FA5239 + 25932.50ns INFO [00025934] Port=1 RD @06 + 25933.50ns INFO [00025935] * RD COMPARE * port=1 adr=02 act=71A02A1A85BDC9EB71 exp=71A02A1A85BDC9EB71 + 25934.50ns INFO [00025936] * RD COMPARE * port=1 adr=06 act=2F51F96ABAAFF4FBEF exp=2F51F96ABAAFF4FBEF + 25934.50ns INFO [00025936] Port=0 RD @04 + 25935.50ns INFO [00025937] Port=1 RD @00 + 25936.50ns INFO [00025938] * RD COMPARE * port=0 adr=04 act=160221D07592F4D2CD exp=160221D07592F4D2CD + 25936.50ns INFO [00025938] Port=0 RD @03 + 25936.50ns INFO [00025938] Port=1 RD @07 + 25937.50ns INFO [00025939] * RD COMPARE * port=1 adr=00 act=BAE514462F7FC98940 exp=BAE514462F7FC98940 + 25937.50ns INFO [00025939] Port=0 RD @00 + 25938.50ns INFO [00025940] * RD COMPARE * port=0 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25938.50ns INFO [00025940] * RD COMPARE * port=1 adr=07 act=47BDAA012963FA5239 exp=47BDAA012963FA5239 + 25938.50ns INFO [00025940] Port=0 RD @04 + 25939.50ns INFO [00025941] * RD COMPARE * port=0 adr=00 act=BAE514462F7FC98940 exp=BAE514462F7FC98940 + 25939.50ns INFO [00025941] Port=1 RD @07 + 25940.50ns INFO [00025942] * RD COMPARE * port=0 adr=04 act=160221D07592F4D2CD exp=160221D07592F4D2CD + 25941.50ns INFO [00025943] * RD COMPARE * port=1 adr=07 act=47BDAA012963FA5239 exp=47BDAA012963FA5239 + 25941.50ns INFO [00025943] Port=0 RD @03 + 25942.50ns INFO [00025944] Port=0 WR @01=AB23E1D404BA1D4EA7 + 25943.50ns INFO [00025945] * RD COMPARE * port=0 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25943.50ns INFO [00025945] Port=0 RD @03 + 25944.50ns INFO [00025946] Port=0 RD @03 + 25945.50ns INFO [00025947] * RD COMPARE * port=0 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25945.50ns INFO [00025947] Port=0 WR @05=5E8BD17CC8656A66D0 + 25945.50ns INFO [00025947] Port=0 RD @04 + 25946.50ns INFO [00025948] * RD COMPARE * port=0 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25946.50ns INFO [00025948] Port=0 RD @07 + 25946.50ns INFO [00025948] Port=1 RD @03 + 25947.50ns INFO [00025949] * RD COMPARE * port=0 adr=04 act=160221D07592F4D2CD exp=160221D07592F4D2CD + 25947.50ns INFO [00025949] Port=0 WR @07=7B156D61D5012D844F + 25947.50ns INFO [00025949] Port=0 RD @00 + 25948.50ns INFO [00025950] * RD COMPARE * port=0 adr=07 act=47BDAA012963FA5239 exp=47BDAA012963FA5239 + 25948.50ns INFO [00025950] * RD COMPARE * port=1 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25949.50ns INFO [00025951] * RD COMPARE * port=0 adr=00 act=BAE514462F7FC98940 exp=BAE514462F7FC98940 + 25949.50ns INFO [00025951] Port=0 WR @06=BE865A88585CEF1302 + 25949.50ns INFO [00025951] Port=0 RD @04 + 25949.50ns INFO [00025951] Port=1 RD @02 + 25950.50ns INFO [00025952] Port=0 WR @01=C8CC7F5045F47C9789 + 25951.50ns INFO [00025953] * RD COMPARE * port=0 adr=04 act=160221D07592F4D2CD exp=160221D07592F4D2CD + 25951.50ns INFO [00025953] * RD COMPARE * port=1 adr=02 act=71A02A1A85BDC9EB71 exp=71A02A1A85BDC9EB71 + 25951.50ns INFO [00025953] Port=1 RD @00 + 25953.50ns INFO [00025955] * RD COMPARE * port=1 adr=00 act=BAE514462F7FC98940 exp=BAE514462F7FC98940 + 25953.50ns INFO [00025955] Port=0 RD @07 + 25953.50ns INFO [00025955] Port=1 RD @07 + 25954.50ns INFO [00025956] Port=0 WR @02=0896B856F3A2ABB5DB + 25954.50ns INFO [00025956] Port=1 RD @06 + 25955.50ns INFO [00025957] * RD COMPARE * port=0 adr=07 act=7B156D61D5012D844F exp=7B156D61D5012D844F + 25955.50ns INFO [00025957] * RD COMPARE * port=1 adr=07 act=7B156D61D5012D844F exp=7B156D61D5012D844F + 25955.50ns INFO [00025957] Port=1 RD @07 + 25956.50ns INFO [00025958] * RD COMPARE * port=1 adr=06 act=BE865A88585CEF1302 exp=BE865A88585CEF1302 + 25956.50ns INFO [00025958] Port=0 RD @00 + 25957.50ns INFO [00025959] * RD COMPARE * port=1 adr=07 act=7B156D61D5012D844F exp=7B156D61D5012D844F + 25957.50ns INFO [00025959] Port=0 WR @04=108D96097DDAF6C521 + 25957.50ns INFO [00025959] Port=1 RD @07 + 25958.50ns INFO [00025960] * RD COMPARE * port=0 adr=00 act=BAE514462F7FC98940 exp=BAE514462F7FC98940 + 25959.50ns INFO [00025961] * RD COMPARE * port=1 adr=07 act=7B156D61D5012D844F exp=7B156D61D5012D844F + 25959.50ns INFO [00025961] Port=0 WR @01=26EF74FC951A9F98CC + 25959.50ns INFO [00025961] Port=1 RD @03 + 25960.50ns INFO [00025962] Port=0 WR @05=FC21B5B70075B83CAB + 25960.50ns INFO [00025962] Port=1 RD @03 + 25961.50ns INFO [00025963] * RD COMPARE * port=1 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25962.50ns INFO [00025964] * RD COMPARE * port=1 adr=03 act=9908B83C7FE2EBE924 exp=9908B83C7FE2EBE924 + 25962.50ns INFO [00025964] Port=0 WR @03=B00640795ADA7A49F4 + 25962.50ns INFO [00025964] Port=0 RD @01 + 25964.50ns INFO [00025966] * RD COMPARE * port=0 adr=01 act=26EF74FC951A9F98CC exp=26EF74FC951A9F98CC + 25968.50ns INFO [00025970] Port=0 WR @04=5620DAF4546CABC954 + 25969.50ns INFO [00025971] Port=0 RD @07 + 25970.50ns INFO [00025972] Port=0 RD @05 + 25970.50ns INFO [00025972] Port=1 RD @02 + 25971.50ns INFO [00025973] * RD COMPARE * port=0 adr=07 act=7B156D61D5012D844F exp=7B156D61D5012D844F + 25972.50ns INFO [00025974] * RD COMPARE * port=0 adr=05 act=FC21B5B70075B83CAB exp=FC21B5B70075B83CAB + 25972.50ns INFO [00025974] * RD COMPARE * port=1 adr=02 act=0896B856F3A2ABB5DB exp=0896B856F3A2ABB5DB + 25972.50ns INFO [00025974] Port=1 RD @01 + 25973.50ns INFO [00025975] Port=0 WR @01=039BEB567E5A2789B4 + 25973.50ns INFO [00025975] Port=0 RD @07 + 25974.50ns INFO [00025976] * RD COMPARE * port=1 adr=01 act=26EF74FC951A9F98CC exp=26EF74FC951A9F98CC + 25974.50ns INFO [00025976] Port=0 RD @06 + 25975.50ns INFO [00025977] * RD COMPARE * port=0 adr=07 act=7B156D61D5012D844F exp=7B156D61D5012D844F + 25975.50ns INFO [00025977] Port=1 RD @01 + 25976.50ns INFO [00025978] * RD COMPARE * port=0 adr=06 act=BE865A88585CEF1302 exp=BE865A88585CEF1302 + 25977.50ns INFO [00025979] * RD COMPARE * port=1 adr=01 act=039BEB567E5A2789B4 exp=039BEB567E5A2789B4 + 25977.50ns INFO [00025979] Port=0 WR @06=2F7655AB3F196E23F3 + 25979.50ns INFO [00025981] Port=0 WR @06=545791A046B282A19E + 25980.50ns INFO [00025982] Port=0 RD @02 + 25981.50ns INFO [00025983] Port=0 WR @07=87738930B0CE3854B9 + 25981.50ns INFO [00025983] Port=1 RD @02 + 25982.50ns INFO [00025984] * RD COMPARE * port=0 adr=02 act=0896B856F3A2ABB5DB exp=0896B856F3A2ABB5DB + 25982.50ns INFO [00025984] Port=0 WR @04=2565567B2A6C738B66 + 25982.50ns INFO [00025984] Port=0 RD @01 + 25983.50ns INFO [00025985] * RD COMPARE * port=1 adr=02 act=0896B856F3A2ABB5DB exp=0896B856F3A2ABB5DB + 25984.50ns INFO [00025986] * RD COMPARE * port=0 adr=01 act=039BEB567E5A2789B4 exp=039BEB567E5A2789B4 + 25984.50ns INFO [00025986] Port=1 RD @00 + 25985.50ns INFO [00025987] Port=0 WR @06=5F5C145D76D3EF168F + 25985.50ns INFO [00025987] Port=1 RD @00 + 25986.50ns INFO [00025988] * RD COMPARE * port=1 adr=00 act=BAE514462F7FC98940 exp=BAE514462F7FC98940 + 25986.50ns INFO [00025988] Port=0 RD @02 + 25987.50ns INFO [00025989] * RD COMPARE * port=1 adr=00 act=BAE514462F7FC98940 exp=BAE514462F7FC98940 + 25987.50ns INFO [00025989] Port=1 RD @02 + 25988.50ns INFO [00025990] * RD COMPARE * port=0 adr=02 act=0896B856F3A2ABB5DB exp=0896B856F3A2ABB5DB + 25989.50ns INFO [00025991] * RD COMPARE * port=1 adr=02 act=0896B856F3A2ABB5DB exp=0896B856F3A2ABB5DB + 25989.50ns INFO [00025991] Port=1 RD @04 + 25990.50ns INFO [00025992] Port=0 RD @00 + 25991.50ns INFO [00025993] * RD COMPARE * port=1 adr=04 act=2565567B2A6C738B66 exp=2565567B2A6C738B66 + 25991.50ns INFO [00025993] Port=0 WR @03=77A226AE1E80A0EC74 + 25991.50ns INFO [00025993] Port=0 RD @05 + 25992.50ns INFO [00025994] * RD COMPARE * port=0 adr=00 act=BAE514462F7FC98940 exp=BAE514462F7FC98940 + 25992.50ns INFO [00025994] Port=0 RD @01 + 25993.50ns INFO [00025995] * RD COMPARE * port=0 adr=05 act=FC21B5B70075B83CAB exp=FC21B5B70075B83CAB + 25993.50ns INFO [00025995] Port=0 WR @04=1F227E8DD74BAFC5AE + 25993.50ns INFO [00025995] Port=1 RD @05 + 25994.50ns INFO [00025996] * RD COMPARE * port=0 adr=01 act=039BEB567E5A2789B4 exp=039BEB567E5A2789B4 + 25994.50ns INFO [00025996] Port=0 WR @07=1A1AA41C53B5F85967 + 25995.50ns INFO [00025997] * RD COMPARE * port=1 adr=05 act=FC21B5B70075B83CAB exp=FC21B5B70075B83CAB + 25996.50ns INFO [00025998] Port=0 WR @05=671277120D8E7771B9 + 25996.50ns INFO [00025998] Port=1 RD @00 + 25997.50ns INFO [00025999] Port=1 RD @06 + 25998.00ns INFO [00026000] [00026000] ...tick... + 25998.50ns INFO [00026000] * RD COMPARE * port=1 adr=00 act=BAE514462F7FC98940 exp=BAE514462F7FC98940 + 25998.50ns INFO [00026000] Port=0 RD @03 + 25999.50ns INFO [00026001] * RD COMPARE * port=1 adr=06 act=5F5C145D76D3EF168F exp=5F5C145D76D3EF168F + 25999.50ns INFO [00026001] Port=0 RD @02 + 26000.50ns INFO [00026002] * RD COMPARE * port=0 adr=03 act=77A226AE1E80A0EC74 exp=77A226AE1E80A0EC74 + 26000.50ns INFO [00026002] Port=0 RD @00 + 26001.50ns INFO [00026003] * RD COMPARE * port=0 adr=02 act=0896B856F3A2ABB5DB exp=0896B856F3A2ABB5DB + 26001.50ns INFO [00026003] Port=0 RD @04 + 26001.50ns INFO [00026003] Port=1 RD @01 + 26002.50ns INFO [00026004] * RD COMPARE * port=0 adr=00 act=BAE514462F7FC98940 exp=BAE514462F7FC98940 + 26002.50ns INFO [00026004] Port=0 RD @05 + 26003.50ns INFO [00026005] * RD COMPARE * port=0 adr=04 act=1F227E8DD74BAFC5AE exp=1F227E8DD74BAFC5AE + 26003.50ns INFO [00026005] * RD COMPARE * port=1 adr=01 act=039BEB567E5A2789B4 exp=039BEB567E5A2789B4 + 26003.50ns INFO [00026005] Port=1 RD @04 + 26004.50ns INFO [00026006] * RD COMPARE * port=0 adr=05 act=671277120D8E7771B9 exp=671277120D8E7771B9 + 26004.50ns INFO [00026006] Port=0 RD @01 + 26004.50ns INFO [00026006] Port=1 RD @07 + 26005.50ns INFO [00026007] * RD COMPARE * port=1 adr=04 act=1F227E8DD74BAFC5AE exp=1F227E8DD74BAFC5AE + 26005.50ns INFO [00026007] Port=0 WR @01=0247F9DA2D3F3A362B + 26005.50ns INFO [00026007] Port=0 RD @06 + 26006.50ns INFO [00026008] * RD COMPARE * port=0 adr=01 act=039BEB567E5A2789B4 exp=039BEB567E5A2789B4 + 26006.50ns INFO [00026008] * RD COMPARE * port=1 adr=07 act=1A1AA41C53B5F85967 exp=1A1AA41C53B5F85967 + 26006.50ns INFO [00026008] Port=0 WR @00=9983EC7696D54A1575 + 26006.50ns INFO [00026008] Port=0 RD @06 + 26006.50ns INFO [00026008] Port=1 RD @04 + 26007.50ns INFO [00026009] * RD COMPARE * port=0 adr=06 act=5F5C145D76D3EF168F exp=5F5C145D76D3EF168F + 26008.50ns INFO [00026010] * RD COMPARE * port=0 adr=06 act=5F5C145D76D3EF168F exp=5F5C145D76D3EF168F + 26008.50ns INFO [00026010] * RD COMPARE * port=1 adr=04 act=1F227E8DD74BAFC5AE exp=1F227E8DD74BAFC5AE + 26008.50ns INFO [00026010] Port=0 WR @04=7B5652D710C5B5EADE + 26009.50ns INFO [00026011] Port=1 RD @02 + 26010.50ns INFO [00026012] Port=0 RD @02 + 26011.50ns INFO [00026013] * RD COMPARE * port=1 adr=02 act=0896B856F3A2ABB5DB exp=0896B856F3A2ABB5DB + 26011.50ns INFO [00026013] Port=0 WR @05=FDB1BCF536B0D9DF6B + 26012.50ns INFO [00026014] * RD COMPARE * port=0 adr=02 act=0896B856F3A2ABB5DB exp=0896B856F3A2ABB5DB + 26012.50ns INFO [00026014] Port=0 WR @07=8C4BC6B46B12472B45 + 26012.50ns INFO [00026014] Port=0 RD @01 + 26013.50ns INFO [00026015] Port=0 RD @00 + 26014.50ns INFO [00026016] * RD COMPARE * port=0 adr=01 act=0247F9DA2D3F3A362B exp=0247F9DA2D3F3A362B + 26014.50ns INFO [00026016] Port=0 WR @06=54B9CFAC4AAF909973 + 26014.50ns INFO [00026016] Port=0 RD @04 + 26014.50ns INFO [00026016] Port=1 RD @07 + 26015.50ns INFO [00026017] * RD COMPARE * port=0 adr=00 act=9983EC7696D54A1575 exp=9983EC7696D54A1575 + 26015.50ns INFO [00026017] Port=0 WR @01=B25C52E3C22C1730F7 + 26015.50ns INFO [00026017] Port=0 RD @05 + 26015.50ns INFO [00026017] Port=1 RD @03 + 26016.50ns INFO [00026018] * RD COMPARE * port=0 adr=04 act=7B5652D710C5B5EADE exp=7B5652D710C5B5EADE + 26016.50ns INFO [00026018] * RD COMPARE * port=1 adr=07 act=8C4BC6B46B12472B45 exp=8C4BC6B46B12472B45 + 26016.50ns INFO [00026018] Port=0 RD @04 + 26017.50ns INFO [00026019] * RD COMPARE * port=0 adr=05 act=FDB1BCF536B0D9DF6B exp=FDB1BCF536B0D9DF6B + 26017.50ns INFO [00026019] * RD COMPARE * port=1 adr=03 act=77A226AE1E80A0EC74 exp=77A226AE1E80A0EC74 + 26017.50ns INFO [00026019] Port=0 WR @03=4CD6C182FCC2231627 + 26018.50ns INFO [00026020] * RD COMPARE * port=0 adr=04 act=7B5652D710C5B5EADE exp=7B5652D710C5B5EADE + 26018.50ns INFO [00026020] Port=0 WR @01=1EB4EF5BA2DB9CB78A + 26019.50ns INFO [00026021] Port=0 WR @07=11649A60EE863E5848 + 26020.50ns INFO [00026022] Port=0 RD @02 + 26020.50ns INFO [00026022] Port=1 RD @06 + 26022.50ns INFO [00026024] * RD COMPARE * port=0 adr=02 act=0896B856F3A2ABB5DB exp=0896B856F3A2ABB5DB + 26022.50ns INFO [00026024] * RD COMPARE * port=1 adr=06 act=54B9CFAC4AAF909973 exp=54B9CFAC4AAF909973 + 26022.50ns INFO [00026024] Port=0 WR @02=4B072CF8BBB2E59871 + 26022.50ns INFO [00026024] Port=1 RD @06 + 26023.50ns INFO [00026025] Port=1 RD @05 + 26024.50ns INFO [00026026] * RD COMPARE * port=1 adr=06 act=54B9CFAC4AAF909973 exp=54B9CFAC4AAF909973 + 26024.50ns INFO [00026026] Port=0 WR @00=06E3F501238D8A884D + 26024.50ns INFO [00026026] Port=0 RD @01 + 26025.50ns INFO [00026027] * RD COMPARE * port=1 adr=05 act=FDB1BCF536B0D9DF6B exp=FDB1BCF536B0D9DF6B + 26026.50ns INFO [00026028] * RD COMPARE * port=0 adr=01 act=1EB4EF5BA2DB9CB78A exp=1EB4EF5BA2DB9CB78A + 26026.50ns INFO [00026028] Port=0 RD @03 + 26027.50ns INFO [00026029] Port=1 RD @06 + 26028.50ns INFO [00026030] * RD COMPARE * port=0 adr=03 act=4CD6C182FCC2231627 exp=4CD6C182FCC2231627 + 26028.50ns INFO [00026030] Port=0 RD @04 + 26029.50ns INFO [00026031] * RD COMPARE * port=1 adr=06 act=54B9CFAC4AAF909973 exp=54B9CFAC4AAF909973 + 26029.50ns INFO [00026031] Port=0 WR @03=E96E87A30F1542E6F7 + 26029.50ns INFO [00026031] Port=0 RD @05 + 26030.50ns INFO [00026032] * RD COMPARE * port=0 adr=04 act=7B5652D710C5B5EADE exp=7B5652D710C5B5EADE + 26030.50ns INFO [00026032] Port=0 RD @00 + 26031.50ns INFO [00026033] * RD COMPARE * port=0 adr=05 act=FDB1BCF536B0D9DF6B exp=FDB1BCF536B0D9DF6B + 26031.50ns INFO [00026033] Port=0 WR @00=04AE69C90654290DE6 + 26031.50ns INFO [00026033] Port=0 RD @07 + 26031.50ns INFO [00026033] Port=1 RD @03 + 26032.50ns INFO [00026034] * RD COMPARE * port=0 adr=00 act=06E3F501238D8A884D exp=06E3F501238D8A884D + 26032.50ns INFO [00026034] Port=0 WR @01=0C30F977E87CAC0F42 + 26032.50ns INFO [00026034] Port=1 RD @06 + 26033.50ns INFO [00026035] * RD COMPARE * port=0 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26033.50ns INFO [00026035] * RD COMPARE * port=1 adr=03 act=E96E87A30F1542E6F7 exp=E96E87A30F1542E6F7 + 26033.50ns INFO [00026035] Port=0 WR @04=8F67849D08241A778A + 26033.50ns INFO [00026035] Port=0 RD @05 + 26034.50ns INFO [00026036] * RD COMPARE * port=1 adr=06 act=54B9CFAC4AAF909973 exp=54B9CFAC4AAF909973 + 26034.50ns INFO [00026036] Port=1 RD @07 + 26035.50ns INFO [00026037] * RD COMPARE * port=0 adr=05 act=FDB1BCF536B0D9DF6B exp=FDB1BCF536B0D9DF6B + 26035.50ns INFO [00026037] Port=1 RD @03 + 26036.50ns INFO [00026038] * RD COMPARE * port=1 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26036.50ns INFO [00026038] Port=1 RD @04 + 26037.50ns INFO [00026039] * RD COMPARE * port=1 adr=03 act=E96E87A30F1542E6F7 exp=E96E87A30F1542E6F7 + 26038.50ns INFO [00026040] * RD COMPARE * port=1 adr=04 act=8F67849D08241A778A exp=8F67849D08241A778A + 26038.50ns INFO [00026040] Port=0 RD @00 + 26038.50ns INFO [00026040] Port=1 RD @05 + 26040.50ns INFO [00026042] * RD COMPARE * port=0 adr=00 act=04AE69C90654290DE6 exp=04AE69C90654290DE6 + 26040.50ns INFO [00026042] * RD COMPARE * port=1 adr=05 act=FDB1BCF536B0D9DF6B exp=FDB1BCF536B0D9DF6B + 26040.50ns INFO [00026042] Port=0 RD @04 + 26041.50ns INFO [00026043] Port=1 RD @04 + 26042.50ns INFO [00026044] * RD COMPARE * port=0 adr=04 act=8F67849D08241A778A exp=8F67849D08241A778A + 26043.50ns INFO [00026045] * RD COMPARE * port=1 adr=04 act=8F67849D08241A778A exp=8F67849D08241A778A + 26043.50ns INFO [00026045] Port=1 RD @02 + 26044.50ns INFO [00026046] Port=0 RD @03 + 26044.50ns INFO [00026046] Port=1 RD @03 + 26045.50ns INFO [00026047] * RD COMPARE * port=1 adr=02 act=4B072CF8BBB2E59871 exp=4B072CF8BBB2E59871 + 26045.50ns INFO [00026047] Port=0 RD @07 + 26045.50ns INFO [00026047] Port=1 RD @05 + 26046.50ns INFO [00026048] * RD COMPARE * port=0 adr=03 act=E96E87A30F1542E6F7 exp=E96E87A30F1542E6F7 + 26046.50ns INFO [00026048] * RD COMPARE * port=1 adr=03 act=E96E87A30F1542E6F7 exp=E96E87A30F1542E6F7 + 26046.50ns INFO [00026048] Port=1 RD @04 + 26047.50ns INFO [00026049] * RD COMPARE * port=0 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26047.50ns INFO [00026049] * RD COMPARE * port=1 adr=05 act=FDB1BCF536B0D9DF6B exp=FDB1BCF536B0D9DF6B + 26047.50ns INFO [00026049] Port=1 RD @07 + 26048.50ns INFO [00026050] * RD COMPARE * port=1 adr=04 act=8F67849D08241A778A exp=8F67849D08241A778A + 26048.50ns INFO [00026050] Port=1 RD @02 + 26049.50ns INFO [00026051] * RD COMPARE * port=1 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26050.50ns INFO [00026052] * RD COMPARE * port=1 adr=02 act=4B072CF8BBB2E59871 exp=4B072CF8BBB2E59871 + 26050.50ns INFO [00026052] Port=0 RD @04 + 26052.50ns INFO [00026054] * RD COMPARE * port=0 adr=04 act=8F67849D08241A778A exp=8F67849D08241A778A + 26052.50ns INFO [00026054] Port=1 RD @06 + 26053.50ns INFO [00026055] Port=0 WR @00=B038088440A526BBB2 + 26054.50ns INFO [00026056] * RD COMPARE * port=1 adr=06 act=54B9CFAC4AAF909973 exp=54B9CFAC4AAF909973 + 26054.50ns INFO [00026056] Port=0 WR @01=DE4277C63C94A06CB5 + 26055.50ns INFO [00026057] Port=1 RD @04 + 26056.50ns INFO [00026058] Port=0 WR @06=0055B2D78E4368B01D + 26056.50ns INFO [00026058] Port=0 RD @03 + 26056.50ns INFO [00026058] Port=1 RD @00 + 26057.50ns INFO [00026059] * RD COMPARE * port=1 adr=04 act=8F67849D08241A778A exp=8F67849D08241A778A + 26057.50ns INFO [00026059] Port=1 RD @07 + 26058.50ns INFO [00026060] * RD COMPARE * port=0 adr=03 act=E96E87A30F1542E6F7 exp=E96E87A30F1542E6F7 + 26058.50ns INFO [00026060] * RD COMPARE * port=1 adr=00 act=B038088440A526BBB2 exp=B038088440A526BBB2 + 26058.50ns INFO [00026060] Port=0 RD @05 + 26059.50ns INFO [00026061] * RD COMPARE * port=1 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26059.50ns INFO [00026061] Port=1 RD @04 + 26060.50ns INFO [00026062] * RD COMPARE * port=0 adr=05 act=FDB1BCF536B0D9DF6B exp=FDB1BCF536B0D9DF6B + 26060.50ns INFO [00026062] Port=0 RD @01 + 26061.50ns INFO [00026063] * RD COMPARE * port=1 adr=04 act=8F67849D08241A778A exp=8F67849D08241A778A + 26062.50ns INFO [00026064] * RD COMPARE * port=0 adr=01 act=DE4277C63C94A06CB5 exp=DE4277C63C94A06CB5 + 26062.50ns INFO [00026064] Port=0 RD @05 + 26063.50ns INFO [00026065] Port=0 WR @00=AA0DAD2196497E2BCA + 26063.50ns INFO [00026065] Port=0 RD @05 + 26064.50ns INFO [00026066] * RD COMPARE * port=0 adr=05 act=FDB1BCF536B0D9DF6B exp=FDB1BCF536B0D9DF6B + 26064.50ns INFO [00026066] Port=0 RD @02 + 26064.50ns INFO [00026066] Port=1 RD @06 + 26065.50ns INFO [00026067] * RD COMPARE * port=0 adr=05 act=FDB1BCF536B0D9DF6B exp=FDB1BCF536B0D9DF6B + 26066.50ns INFO [00026068] * RD COMPARE * port=0 adr=02 act=4B072CF8BBB2E59871 exp=4B072CF8BBB2E59871 + 26066.50ns INFO [00026068] * RD COMPARE * port=1 adr=06 act=0055B2D78E4368B01D exp=0055B2D78E4368B01D + 26067.50ns INFO [00026069] Port=0 WR @04=B808F6FDF1BAC479B6 + 26067.50ns INFO [00026069] Port=1 RD @00 + 26068.50ns INFO [00026070] Port=0 WR @05=BE77110E8E005E6BFE + 26069.50ns INFO [00026071] * RD COMPARE * port=1 adr=00 act=AA0DAD2196497E2BCA exp=AA0DAD2196497E2BCA + 26069.50ns INFO [00026071] Port=0 WR @05=EF9614C6ED31B6C11A + 26069.50ns INFO [00026071] Port=1 RD @02 + 26070.50ns INFO [00026072] Port=1 RD @07 + 26071.50ns INFO [00026073] * RD COMPARE * port=1 adr=02 act=4B072CF8BBB2E59871 exp=4B072CF8BBB2E59871 + 26071.50ns INFO [00026073] Port=0 RD @04 + 26071.50ns INFO [00026073] Port=1 RD @03 + 26072.50ns INFO [00026074] * RD COMPARE * port=1 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26072.50ns INFO [00026074] Port=0 RD @07 + 26072.50ns INFO [00026074] Port=1 RD @01 + 26073.50ns INFO [00026075] * RD COMPARE * port=0 adr=04 act=B808F6FDF1BAC479B6 exp=B808F6FDF1BAC479B6 + 26073.50ns INFO [00026075] * RD COMPARE * port=1 adr=03 act=E96E87A30F1542E6F7 exp=E96E87A30F1542E6F7 + 26073.50ns INFO [00026075] Port=0 WR @03=9F6E1D7D86CBB6552E + 26073.50ns INFO [00026075] Port=0 RD @01 + 26074.50ns INFO [00026076] * RD COMPARE * port=0 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26074.50ns INFO [00026076] * RD COMPARE * port=1 adr=01 act=DE4277C63C94A06CB5 exp=DE4277C63C94A06CB5 + 26074.50ns INFO [00026076] Port=1 RD @06 + 26075.50ns INFO [00026077] * RD COMPARE * port=0 adr=01 act=DE4277C63C94A06CB5 exp=DE4277C63C94A06CB5 + 26075.50ns INFO [00026077] Port=0 RD @05 + 26075.50ns INFO [00026077] Port=1 RD @01 + 26076.50ns INFO [00026078] * RD COMPARE * port=1 adr=06 act=0055B2D78E4368B01D exp=0055B2D78E4368B01D + 26077.50ns INFO [00026079] * RD COMPARE * port=0 adr=05 act=EF9614C6ED31B6C11A exp=EF9614C6ED31B6C11A + 26077.50ns INFO [00026079] * RD COMPARE * port=1 adr=01 act=DE4277C63C94A06CB5 exp=DE4277C63C94A06CB5 + 26077.50ns INFO [00026079] Port=0 WR @03=87FEAEC82A3E5DB5D7 + 26077.50ns INFO [00026079] Port=0 RD @07 + 26078.50ns INFO [00026080] Port=0 WR @02=0D81576E19151C3782 + 26079.50ns INFO [00026081] * RD COMPARE * port=0 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26080.50ns INFO [00026082] Port=0 RD @07 + 26081.50ns INFO [00026083] Port=0 WR @01=1AA653E69CCF4CE1C5 + 26081.50ns INFO [00026083] Port=1 RD @05 + 26082.50ns INFO [00026084] * RD COMPARE * port=0 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26082.50ns INFO [00026084] Port=0 WR @05=80E1101E0CB31F7297 + 26083.50ns INFO [00026085] * RD COMPARE * port=1 adr=05 act=EF9614C6ED31B6C11A exp=EF9614C6ED31B6C11A + 26084.50ns INFO [00026086] Port=0 RD @03 + 26085.50ns INFO [00026087] Port=0 WR @01=819674E216EF27C64B + 26086.50ns INFO [00026088] * RD COMPARE * port=0 adr=03 act=87FEAEC82A3E5DB5D7 exp=87FEAEC82A3E5DB5D7 + 26086.50ns INFO [00026088] Port=0 RD @01 + 26087.50ns INFO [00026089] Port=0 RD @06 + 26087.50ns INFO [00026089] Port=1 RD @04 + 26088.50ns INFO [00026090] * RD COMPARE * port=0 adr=01 act=819674E216EF27C64B exp=819674E216EF27C64B + 26088.50ns INFO [00026090] Port=0 RD @07 + 26088.50ns INFO [00026090] Port=1 RD @06 + 26089.50ns INFO [00026091] * RD COMPARE * port=0 adr=06 act=0055B2D78E4368B01D exp=0055B2D78E4368B01D + 26089.50ns INFO [00026091] * RD COMPARE * port=1 adr=04 act=B808F6FDF1BAC479B6 exp=B808F6FDF1BAC479B6 + 26089.50ns INFO [00026091] Port=0 WR @06=A9A59CBFF77F5862DF + 26089.50ns INFO [00026091] Port=1 RD @01 + 26090.50ns INFO [00026092] * RD COMPARE * port=0 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26090.50ns INFO [00026092] * RD COMPARE * port=1 adr=06 act=0055B2D78E4368B01D exp=0055B2D78E4368B01D + 26091.50ns INFO [00026093] * RD COMPARE * port=1 adr=01 act=819674E216EF27C64B exp=819674E216EF27C64B + 26091.50ns INFO [00026093] Port=0 RD @00 + 26092.50ns INFO [00026094] Port=1 RD @07 + 26093.50ns INFO [00026095] * RD COMPARE * port=0 adr=00 act=AA0DAD2196497E2BCA exp=AA0DAD2196497E2BCA + 26094.50ns INFO [00026096] * RD COMPARE * port=1 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26094.50ns INFO [00026096] Port=0 RD @04 + 26096.50ns INFO [00026098] * RD COMPARE * port=0 adr=04 act=B808F6FDF1BAC479B6 exp=B808F6FDF1BAC479B6 + 26096.50ns INFO [00026098] Port=0 WR @06=1F9ED65C3001AB15E1 + 26097.50ns INFO [00026099] Port=1 RD @04 + 26098.00ns INFO [00026100] [00026100] ...tick... + 26099.50ns INFO [00026101] * RD COMPARE * port=1 adr=04 act=B808F6FDF1BAC479B6 exp=B808F6FDF1BAC479B6 + 26099.50ns INFO [00026101] Port=0 WR @03=19617D86D5F9D42873 + 26100.50ns INFO [00026102] Port=0 WR @03=124CB5FA42DFD10B47 + 26100.50ns INFO [00026102] Port=1 RD @05 + 26101.50ns INFO [00026103] Port=0 RD @06 + 26102.50ns INFO [00026104] * RD COMPARE * port=1 adr=05 act=80E1101E0CB31F7297 exp=80E1101E0CB31F7297 + 26102.50ns INFO [00026104] Port=0 WR @01=4DB1EA0BDD25094F28 + 26102.50ns INFO [00026104] Port=0 RD @03 + 26103.50ns INFO [00026105] * RD COMPARE * port=0 adr=06 act=1F9ED65C3001AB15E1 exp=1F9ED65C3001AB15E1 + 26103.50ns INFO [00026105] Port=1 RD @04 + 26104.50ns INFO [00026106] * RD COMPARE * port=0 adr=03 act=124CB5FA42DFD10B47 exp=124CB5FA42DFD10B47 + 26104.50ns INFO [00026106] Port=0 WR @02=29F4B6285302943446 + 26104.50ns INFO [00026106] Port=1 RD @05 + 26105.50ns INFO [00026107] * RD COMPARE * port=1 adr=04 act=B808F6FDF1BAC479B6 exp=B808F6FDF1BAC479B6 + 26105.50ns INFO [00026107] Port=0 WR @03=8D069FAC3B9DB97B2A + 26105.50ns INFO [00026107] Port=0 RD @05 + 26106.50ns INFO [00026108] * RD COMPARE * port=1 adr=05 act=80E1101E0CB31F7297 exp=80E1101E0CB31F7297 + 26106.50ns INFO [00026108] Port=0 WR @04=70BC55AD68B5DFE179 + 26107.50ns INFO [00026109] * RD COMPARE * port=0 adr=05 act=80E1101E0CB31F7297 exp=80E1101E0CB31F7297 + 26107.50ns INFO [00026109] Port=1 RD @03 + 26108.50ns INFO [00026110] Port=1 RD @07 + 26109.50ns INFO [00026111] * RD COMPARE * port=1 adr=03 act=8D069FAC3B9DB97B2A exp=8D069FAC3B9DB97B2A + 26109.50ns INFO [00026111] Port=0 RD @03 + 26110.50ns INFO [00026112] * RD COMPARE * port=1 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26110.50ns INFO [00026112] Port=0 WR @02=537F673DE09A14492E + 26111.50ns INFO [00026113] * RD COMPARE * port=0 adr=03 act=8D069FAC3B9DB97B2A exp=8D069FAC3B9DB97B2A + 26112.50ns INFO [00026114] Port=0 WR @02=0BFA0D6641E4E63177 + 26113.50ns INFO [00026115] Port=0 RD @05 + 26113.50ns INFO [00026115] Port=1 RD @00 + 26114.50ns INFO [00026116] Port=0 WR @03=6903DDD38FC81DB741 + 26115.50ns INFO [00026117] * RD COMPARE * port=0 adr=05 act=80E1101E0CB31F7297 exp=80E1101E0CB31F7297 + 26115.50ns INFO [00026117] * RD COMPARE * port=1 adr=00 act=AA0DAD2196497E2BCA exp=AA0DAD2196497E2BCA + 26115.50ns INFO [00026117] Port=0 RD @04 + 26116.50ns INFO [00026118] Port=0 WR @03=ACEFA565795A2C34CA + 26117.50ns INFO [00026119] * RD COMPARE * port=0 adr=04 act=70BC55AD68B5DFE179 exp=70BC55AD68B5DFE179 + 26117.50ns INFO [00026119] Port=0 WR @06=A1B6731893BB420EC3 + 26117.50ns INFO [00026119] Port=1 RD @03 + 26118.50ns INFO [00026120] Port=0 WR @03=7EB952EC588CB0B73E + 26119.50ns INFO [00026121] * RD COMPARE * port=1 adr=03 act=ACEFA565795A2C34CA exp=ACEFA565795A2C34CA + 26119.50ns INFO [00026121] Port=0 WR @05=69F016C0931F83CC4A + 26119.50ns INFO [00026121] Port=0 RD @04 + 26120.50ns INFO [00026122] Port=0 WR @05=F79FFD2410CCE14D5E + 26121.50ns INFO [00026123] * RD COMPARE * port=0 adr=04 act=70BC55AD68B5DFE179 exp=70BC55AD68B5DFE179 + 26121.50ns INFO [00026123] Port=0 WR @03=9BA316003F5EA90AB5 + 26121.50ns INFO [00026123] Port=0 RD @01 + 26122.50ns INFO [00026124] Port=0 WR @03=A9135179DCEE9BA9AF + 26122.50ns INFO [00026124] Port=0 RD @02 + 26123.50ns INFO [00026125] * RD COMPARE * port=0 adr=01 act=4DB1EA0BDD25094F28 exp=4DB1EA0BDD25094F28 + 26123.50ns INFO [00026125] Port=0 WR @04=5373AB6ECDF0ADFC57 + 26124.50ns INFO [00026126] * RD COMPARE * port=0 adr=02 act=0BFA0D6641E4E63177 exp=0BFA0D6641E4E63177 + 26124.50ns INFO [00026126] Port=0 WR @01=BC6483321D595F0381 + 26124.50ns INFO [00026126] Port=0 RD @06 + 26125.50ns INFO [00026127] Port=0 RD @06 + 26126.50ns INFO [00026128] * RD COMPARE * port=0 adr=06 act=A1B6731893BB420EC3 exp=A1B6731893BB420EC3 + 26126.50ns INFO [00026128] Port=0 WR @06=4256F77DBBC4F19D64 + 26126.50ns INFO [00026128] Port=0 RD @07 + 26127.50ns INFO [00026129] * RD COMPARE * port=0 adr=06 act=A1B6731893BB420EC3 exp=A1B6731893BB420EC3 + 26127.50ns INFO [00026129] Port=0 WR @06=167EF5B98ECC472434 + 26127.50ns INFO [00026129] Port=1 RD @02 + 26128.50ns INFO [00026130] * RD COMPARE * port=0 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26129.50ns INFO [00026131] * RD COMPARE * port=1 adr=02 act=0BFA0D6641E4E63177 exp=0BFA0D6641E4E63177 + 26129.50ns INFO [00026131] Port=0 WR @03=5C50840A04D61011F2 + 26129.50ns INFO [00026131] Port=0 RD @06 + 26130.50ns INFO [00026132] Port=0 RD @00 + 26130.50ns INFO [00026132] Port=1 RD @05 + 26131.50ns INFO [00026133] * RD COMPARE * port=0 adr=06 act=167EF5B98ECC472434 exp=167EF5B98ECC472434 + 26132.50ns INFO [00026134] * RD COMPARE * port=0 adr=00 act=AA0DAD2196497E2BCA exp=AA0DAD2196497E2BCA + 26132.50ns INFO [00026134] * RD COMPARE * port=1 adr=05 act=F79FFD2410CCE14D5E exp=F79FFD2410CCE14D5E + 26132.50ns INFO [00026134] Port=0 WR @05=C0CC812FFB7DF2388D + 26133.50ns INFO [00026135] Port=0 WR @03=95932DE3127DE5C076 + 26133.50ns INFO [00026135] Port=0 RD @02 + 26133.50ns INFO [00026135] Port=1 RD @01 + 26134.50ns INFO [00026136] Port=0 WR @04=06B0315DB96E465A23 + 26134.50ns INFO [00026136] Port=0 RD @02 + 26135.50ns INFO [00026137] * RD COMPARE * port=0 adr=02 act=0BFA0D6641E4E63177 exp=0BFA0D6641E4E63177 + 26135.50ns INFO [00026137] * RD COMPARE * port=1 adr=01 act=BC6483321D595F0381 exp=BC6483321D595F0381 + 26135.50ns INFO [00026137] Port=0 RD @07 + 26136.50ns INFO [00026138] * RD COMPARE * port=0 adr=02 act=0BFA0D6641E4E63177 exp=0BFA0D6641E4E63177 + 26136.50ns INFO [00026138] Port=0 RD @01 + 26137.50ns INFO [00026139] * RD COMPARE * port=0 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26137.50ns INFO [00026139] Port=0 WR @00=7EE81C7A92F1AAFE3B + 26137.50ns INFO [00026139] Port=0 RD @06 + 26138.50ns INFO [00026140] * RD COMPARE * port=0 adr=01 act=BC6483321D595F0381 exp=BC6483321D595F0381 + 26138.50ns INFO [00026140] Port=0 WR @06=DB7EC5CDB888607564 + 26139.50ns INFO [00026141] * RD COMPARE * port=0 adr=06 act=167EF5B98ECC472434 exp=167EF5B98ECC472434 + 26139.50ns INFO [00026141] Port=1 RD @03 + 26140.50ns INFO [00026142] Port=0 RD @04 + 26141.50ns INFO [00026143] * RD COMPARE * port=1 adr=03 act=95932DE3127DE5C076 exp=95932DE3127DE5C076 + 26142.50ns INFO [00026144] * RD COMPARE * port=0 adr=04 act=06B0315DB96E465A23 exp=06B0315DB96E465A23 + 26142.50ns INFO [00026144] Port=0 RD @03 + 26142.50ns INFO [00026144] Port=1 RD @06 + 26143.50ns INFO [00026145] Port=0 RD @01 + 26144.50ns INFO [00026146] * RD COMPARE * port=0 adr=03 act=95932DE3127DE5C076 exp=95932DE3127DE5C076 + 26144.50ns INFO [00026146] * RD COMPARE * port=1 adr=06 act=DB7EC5CDB888607564 exp=DB7EC5CDB888607564 + 26144.50ns INFO [00026146] Port=0 WR @03=588ACFCAFA27C5D324 + 26144.50ns INFO [00026146] Port=0 RD @00 + 26145.50ns INFO [00026147] * RD COMPARE * port=0 adr=01 act=BC6483321D595F0381 exp=BC6483321D595F0381 + 26145.50ns INFO [00026147] Port=0 WR @02=8C8FCE5FD16EF3DEDD + 26145.50ns INFO [00026147] Port=1 RD @07 + 26146.50ns INFO [00026148] * RD COMPARE * port=0 adr=00 act=7EE81C7A92F1AAFE3B exp=7EE81C7A92F1AAFE3B + 26147.50ns INFO [00026149] * RD COMPARE * port=1 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26147.50ns INFO [00026149] Port=0 WR @05=CEF0F8AF2AA15DA349 + 26147.50ns INFO [00026149] Port=1 RD @00 + 26148.50ns INFO [00026150] Port=0 WR @01=70E95E6FA8B7B68863 + 26148.50ns INFO [00026150] Port=1 RD @02 + 26149.50ns INFO [00026151] * RD COMPARE * port=1 adr=00 act=7EE81C7A92F1AAFE3B exp=7EE81C7A92F1AAFE3B + 26150.50ns INFO [00026152] * RD COMPARE * port=1 adr=02 act=8C8FCE5FD16EF3DEDD exp=8C8FCE5FD16EF3DEDD + 26150.50ns INFO [00026152] Port=0 WR @01=D63A144DB2B8D5B6D1 + 26151.50ns INFO [00026153] Port=0 WR @05=05981F83DB4B4AB333 + 26151.50ns INFO [00026153] Port=0 RD @03 + 26152.50ns INFO [00026154] Port=0 RD @05 + 26153.50ns INFO [00026155] * RD COMPARE * port=0 adr=03 act=588ACFCAFA27C5D324 exp=588ACFCAFA27C5D324 + 26153.50ns INFO [00026155] Port=0 WR @04=1492F1C511C5E074FF + 26153.50ns INFO [00026155] Port=1 RD @03 + 26154.50ns INFO [00026156] * RD COMPARE * port=0 adr=05 act=05981F83DB4B4AB333 exp=05981F83DB4B4AB333 + 26154.50ns INFO [00026156] Port=0 RD @05 + 26154.50ns INFO [00026156] Port=1 RD @07 + 26155.50ns INFO [00026157] * RD COMPARE * port=1 adr=03 act=588ACFCAFA27C5D324 exp=588ACFCAFA27C5D324 + 26155.50ns INFO [00026157] Port=0 WR @04=3F268AC574081CE20B + 26155.50ns INFO [00026157] Port=0 RD @07 + 26156.50ns INFO [00026158] * RD COMPARE * port=0 adr=05 act=05981F83DB4B4AB333 exp=05981F83DB4B4AB333 + 26156.50ns INFO [00026158] * RD COMPARE * port=1 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26156.50ns INFO [00026158] Port=0 RD @06 + 26156.50ns INFO [00026158] Port=1 RD @07 + 26157.50ns INFO [00026159] * RD COMPARE * port=0 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26157.50ns INFO [00026159] Port=0 WR @05=382B7E76BD879C7044 + 26158.50ns INFO [00026160] * RD COMPARE * port=0 adr=06 act=DB7EC5CDB888607564 exp=DB7EC5CDB888607564 + 26158.50ns INFO [00026160] * RD COMPARE * port=1 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26158.50ns INFO [00026160] Port=1 RD @01 + 26159.50ns INFO [00026161] Port=0 WR @01=9EF37C79CAD15EC9CC + 26159.50ns INFO [00026161] Port=1 RD @07 + 26160.50ns INFO [00026162] * RD COMPARE * port=1 adr=01 act=D63A144DB2B8D5B6D1 exp=D63A144DB2B8D5B6D1 + 26160.50ns INFO [00026162] Port=0 WR @02=50BD099D885C7448A9 + 26161.50ns INFO [00026163] * RD COMPARE * port=1 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26161.50ns INFO [00026163] Port=0 WR @03=6E799F763A8ECD9AAB + 26162.50ns INFO [00026164] Port=0 WR @00=7F439AC5FE6C42A7F7 + 26162.50ns INFO [00026164] Port=0 RD @07 + 26163.50ns INFO [00026165] Port=1 RD @03 + 26164.50ns INFO [00026166] * RD COMPARE * port=0 adr=07 act=11649A60EE863E5848 exp=11649A60EE863E5848 + 26165.50ns INFO [00026167] * RD COMPARE * port=1 adr=03 act=6E799F763A8ECD9AAB exp=6E799F763A8ECD9AAB + 26165.50ns INFO [00026167] Port=0 WR @02=4CCC1B2B2620292D22 + 26166.50ns INFO [00026168] Port=0 WR @00=D367073337CC4DAD81 + 26166.50ns INFO [00026168] Port=0 RD @05 + 26166.50ns INFO [00026168] Port=1 RD @03 + 26167.50ns INFO [00026169] Port=0 WR @07=49B161282242F503CB + 26168.50ns INFO [00026170] * RD COMPARE * port=0 adr=05 act=382B7E76BD879C7044 exp=382B7E76BD879C7044 + 26168.50ns INFO [00026170] * RD COMPARE * port=1 adr=03 act=6E799F763A8ECD9AAB exp=6E799F763A8ECD9AAB + 26168.50ns INFO [00026170] Port=0 WR @01=30CE6EFBE7B0C0887D + 26170.50ns INFO [00026172] Port=0 RD @05 + 26171.50ns INFO [00026173] Port=0 WR @01=BF7BEDD3BF4233BE60 + 26172.50ns INFO [00026174] * RD COMPARE * port=0 adr=05 act=382B7E76BD879C7044 exp=382B7E76BD879C7044 + 26172.50ns INFO [00026174] Port=0 WR @00=635C58642F7D3139CA + 26172.50ns INFO [00026174] Port=0 RD @05 + 26173.50ns INFO [00026175] Port=0 WR @03=C5A730C1263F0D2C56 + 26174.50ns INFO [00026176] * RD COMPARE * port=0 adr=05 act=382B7E76BD879C7044 exp=382B7E76BD879C7044 + 26174.50ns INFO [00026176] Port=0 WR @04=F77F795EF58F4F85C5 + 26174.50ns INFO [00026176] Port=1 RD @03 + 26175.50ns INFO [00026177] Port=0 WR @06=BF0B9179D0A1FA3036 + 26176.50ns INFO [00026178] * RD COMPARE * port=1 adr=03 act=C5A730C1263F0D2C56 exp=C5A730C1263F0D2C56 + 26176.50ns INFO [00026178] Port=0 WR @06=51EF5187CC16E6E332 + 26176.50ns INFO [00026178] Port=1 RD @07 + 26177.50ns INFO [00026179] Port=0 WR @04=2E2FA65B207EBFB925 + 26178.50ns INFO [00026180] * RD COMPARE * port=1 adr=07 act=49B161282242F503CB exp=49B161282242F503CB + 26178.50ns INFO [00026180] Port=0 WR @01=408116D66D339423F3 + 26178.50ns INFO [00026180] Port=0 RD @04 + 26180.50ns INFO [00026182] * RD COMPARE * port=0 adr=04 act=2E2FA65B207EBFB925 exp=2E2FA65B207EBFB925 + 26180.50ns INFO [00026182] Port=0 WR @03=CD04F087CC8A213C54 + 26180.50ns INFO [00026182] Port=0 RD @01 + 26181.50ns INFO [00026183] Port=0 RD @04 + 26182.50ns INFO [00026184] * RD COMPARE * port=0 adr=01 act=408116D66D339423F3 exp=408116D66D339423F3 + 26182.50ns INFO [00026184] Port=0 RD @03 + 26182.50ns INFO [00026184] Port=1 RD @07 + 26183.50ns INFO [00026185] * RD COMPARE * port=0 adr=04 act=2E2FA65B207EBFB925 exp=2E2FA65B207EBFB925 + 26183.50ns INFO [00026185] Port=0 RD @02 + 26184.50ns INFO [00026186] * RD COMPARE * port=0 adr=03 act=CD04F087CC8A213C54 exp=CD04F087CC8A213C54 + 26184.50ns INFO [00026186] * RD COMPARE * port=1 adr=07 act=49B161282242F503CB exp=49B161282242F503CB + 26185.50ns INFO [00026187] * RD COMPARE * port=0 adr=02 act=4CCC1B2B2620292D22 exp=4CCC1B2B2620292D22 + 26185.50ns INFO [00026187] Port=0 WR @01=5A5A7D24DE99E16FF5 + 26186.50ns INFO [00026188] Port=0 RD @00 + 26186.50ns INFO [00026188] Port=1 RD @01 + 26187.50ns INFO [00026189] Port=1 RD @01 + 26188.50ns INFO [00026190] * RD COMPARE * port=0 adr=00 act=635C58642F7D3139CA exp=635C58642F7D3139CA + 26188.50ns INFO [00026190] * RD COMPARE * port=1 adr=01 act=5A5A7D24DE99E16FF5 exp=5A5A7D24DE99E16FF5 + 26188.50ns INFO [00026190] Port=0 WR @00=D0C289651FF756B306 + 26188.50ns INFO [00026190] Port=1 RD @04 + 26189.50ns INFO [00026191] * RD COMPARE * port=1 adr=01 act=5A5A7D24DE99E16FF5 exp=5A5A7D24DE99E16FF5 + 26189.50ns INFO [00026191] Port=0 WR @04=7087BD00C064EAD69A + 26189.50ns INFO [00026191] Port=0 RD @00 + 26190.50ns INFO [00026192] * RD COMPARE * port=1 adr=04 act=2E2FA65B207EBFB925 exp=2E2FA65B207EBFB925 + 26190.50ns INFO [00026192] Port=1 RD @07 + 26191.50ns INFO [00026193] * RD COMPARE * port=0 adr=00 act=D0C289651FF756B306 exp=D0C289651FF756B306 + 26192.50ns INFO [00026194] * RD COMPARE * port=1 adr=07 act=49B161282242F503CB exp=49B161282242F503CB + 26192.50ns INFO [00026194] Port=0 RD @01 + 26193.50ns INFO [00026195] Port=0 WR @02=60103C4F03530AED86 + 26193.50ns INFO [00026195] Port=1 RD @05 + 26194.50ns INFO [00026196] * RD COMPARE * port=0 adr=01 act=5A5A7D24DE99E16FF5 exp=5A5A7D24DE99E16FF5 + 26194.50ns INFO [00026196] Port=0 WR @04=67E8358B3D6ECE5044 + 26194.50ns INFO [00026196] Port=1 RD @06 + 26195.50ns INFO [00026197] * RD COMPARE * port=1 adr=05 act=382B7E76BD879C7044 exp=382B7E76BD879C7044 + 26195.50ns INFO [00026197] Port=0 WR @06=48A59B3209AA48E458 + 26196.50ns INFO [00026198] * RD COMPARE * port=1 adr=06 act=51EF5187CC16E6E332 exp=51EF5187CC16E6E332 + 26196.50ns INFO [00026198] Port=0 WR @07=877A569275AA8BEEF6 + 26196.50ns INFO [00026198] Port=0 RD @04 + 26198.00ns INFO [00026200] [00026200] ...tick... + 26198.50ns INFO [00026200] * RD COMPARE * port=0 adr=04 act=67E8358B3D6ECE5044 exp=67E8358B3D6ECE5044 + 26198.50ns INFO [00026200] Port=1 RD @03 + 26199.50ns INFO [00026201] Port=0 WR @05=88662B0071E0FEDD26 + 26200.50ns INFO [00026202] * RD COMPARE * port=1 adr=03 act=CD04F087CC8A213C54 exp=CD04F087CC8A213C54 + 26200.50ns INFO [00026202] Port=0 RD @07 + 26201.50ns INFO [00026203] Port=0 RD @03 + 26202.50ns INFO [00026204] * RD COMPARE * port=0 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26203.50ns INFO [00026205] * RD COMPARE * port=0 adr=03 act=CD04F087CC8A213C54 exp=CD04F087CC8A213C54 + 26203.50ns INFO [00026205] Port=0 WR @04=DB43B1D6C373245E55 + 26203.50ns INFO [00026205] Port=1 RD @07 + 26204.50ns INFO [00026206] Port=0 WR @00=A621B6C34D6335BF44 + 26205.50ns INFO [00026207] * RD COMPARE * port=1 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26206.50ns INFO [00026208] Port=1 RD @03 + 26207.50ns INFO [00026209] Port=0 WR @04=4681C57F56F5E7CFE9 + 26208.50ns INFO [00026210] * RD COMPARE * port=1 adr=03 act=CD04F087CC8A213C54 exp=CD04F087CC8A213C54 + 26208.50ns INFO [00026210] Port=1 RD @06 + 26209.50ns INFO [00026211] Port=0 WR @06=8FAB667030FB71655F + 26210.50ns INFO [00026212] * RD COMPARE * port=1 adr=06 act=48A59B3209AA48E458 exp=48A59B3209AA48E458 + 26210.50ns INFO [00026212] Port=0 WR @06=896E77377FA12F9532 + 26210.50ns INFO [00026212] Port=1 RD @04 + 26211.50ns INFO [00026213] Port=0 WR @05=3032A3360D79CB204A + 26211.50ns INFO [00026213] Port=0 RD @06 + 26212.50ns INFO [00026214] * RD COMPARE * port=1 adr=04 act=4681C57F56F5E7CFE9 exp=4681C57F56F5E7CFE9 + 26212.50ns INFO [00026214] Port=0 WR @05=234AD4653ED1BBFA0E + 26213.50ns INFO [00026215] * RD COMPARE * port=0 adr=06 act=896E77377FA12F9532 exp=896E77377FA12F9532 + 26213.50ns INFO [00026215] Port=0 RD @00 + 26215.50ns INFO [00026217] * RD COMPARE * port=0 adr=00 act=A621B6C34D6335BF44 exp=A621B6C34D6335BF44 + 26216.50ns INFO [00026218] Port=0 WR @05=E49EC660A54601681D + 26217.50ns INFO [00026219] Port=0 WR @01=9B477E3AD844B31315 + 26217.50ns INFO [00026219] Port=0 RD @07 + 26218.50ns INFO [00026220] Port=0 WR @03=70C0C15DC0E0CFE022 + 26218.50ns INFO [00026220] Port=0 RD @00 + 26218.50ns INFO [00026220] Port=1 RD @05 + 26219.50ns INFO [00026221] * RD COMPARE * port=0 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26219.50ns INFO [00026221] Port=0 WR @06=3985C70B564D9A36A6 + 26220.50ns INFO [00026222] * RD COMPARE * port=0 adr=00 act=A621B6C34D6335BF44 exp=A621B6C34D6335BF44 + 26220.50ns INFO [00026222] * RD COMPARE * port=1 adr=05 act=E49EC660A54601681D exp=E49EC660A54601681D + 26220.50ns INFO [00026222] Port=1 RD @06 + 26221.50ns INFO [00026223] Port=0 RD @01 + 26221.50ns INFO [00026223] Port=1 RD @06 + 26222.50ns INFO [00026224] * RD COMPARE * port=1 adr=06 act=3985C70B564D9A36A6 exp=3985C70B564D9A36A6 + 26222.50ns INFO [00026224] Port=1 RD @04 + 26223.50ns INFO [00026225] * RD COMPARE * port=0 adr=01 act=9B477E3AD844B31315 exp=9B477E3AD844B31315 + 26223.50ns INFO [00026225] * RD COMPARE * port=1 adr=06 act=3985C70B564D9A36A6 exp=3985C70B564D9A36A6 + 26223.50ns INFO [00026225] Port=0 RD @04 + 26223.50ns INFO [00026225] Port=1 RD @05 + 26224.50ns INFO [00026226] * RD COMPARE * port=1 adr=04 act=4681C57F56F5E7CFE9 exp=4681C57F56F5E7CFE9 + 26225.50ns INFO [00026227] * RD COMPARE * port=0 adr=04 act=4681C57F56F5E7CFE9 exp=4681C57F56F5E7CFE9 + 26225.50ns INFO [00026227] * RD COMPARE * port=1 adr=05 act=E49EC660A54601681D exp=E49EC660A54601681D + 26225.50ns INFO [00026227] Port=0 WR @04=9C57440299514B56BD + 26225.50ns INFO [00026227] Port=0 RD @07 + 26226.50ns INFO [00026228] Port=0 RD @07 + 26226.50ns INFO [00026228] Port=1 RD @01 + 26227.50ns INFO [00026229] * RD COMPARE * port=0 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26227.50ns INFO [00026229] Port=0 RD @02 + 26227.50ns INFO [00026229] Port=1 RD @03 + 26228.50ns INFO [00026230] * RD COMPARE * port=0 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26228.50ns INFO [00026230] * RD COMPARE * port=1 adr=01 act=9B477E3AD844B31315 exp=9B477E3AD844B31315 + 26228.50ns INFO [00026230] Port=0 WR @01=FC4FDDEE8BCB293E9C + 26228.50ns INFO [00026230] Port=1 RD @03 + 26229.50ns INFO [00026231] * RD COMPARE * port=0 adr=02 act=60103C4F03530AED86 exp=60103C4F03530AED86 + 26229.50ns INFO [00026231] * RD COMPARE * port=1 adr=03 act=70C0C15DC0E0CFE022 exp=70C0C15DC0E0CFE022 + 26230.50ns INFO [00026232] * RD COMPARE * port=1 adr=03 act=70C0C15DC0E0CFE022 exp=70C0C15DC0E0CFE022 + 26230.50ns INFO [00026232] Port=1 RD @01 + 26232.50ns INFO [00026234] * RD COMPARE * port=1 adr=01 act=FC4FDDEE8BCB293E9C exp=FC4FDDEE8BCB293E9C + 26232.50ns INFO [00026234] Port=0 RD @03 + 26232.50ns INFO [00026234] Port=1 RD @04 + 26233.50ns INFO [00026235] Port=0 WR @04=27A81CBB47F5C3F6CA + 26234.50ns INFO [00026236] * RD COMPARE * port=0 adr=03 act=70C0C15DC0E0CFE022 exp=70C0C15DC0E0CFE022 + 26234.50ns INFO [00026236] * RD COMPARE * port=1 adr=04 act=9C57440299514B56BD exp=9C57440299514B56BD + 26234.50ns INFO [00026236] Port=0 RD @00 + 26235.50ns INFO [00026237] Port=0 WR @00=69D333564799C668D4 + 26236.50ns INFO [00026238] * RD COMPARE * port=0 adr=00 act=A621B6C34D6335BF44 exp=A621B6C34D6335BF44 + 26236.50ns INFO [00026238] Port=0 WR @05=3765A89C7398735A3B + 26238.50ns INFO [00026240] Port=1 RD @05 + 26239.50ns INFO [00026241] Port=1 RD @06 + 26240.50ns INFO [00026242] * RD COMPARE * port=1 adr=05 act=3765A89C7398735A3B exp=3765A89C7398735A3B + 26240.50ns INFO [00026242] Port=0 RD @04 + 26241.50ns INFO [00026243] * RD COMPARE * port=1 adr=06 act=3985C70B564D9A36A6 exp=3985C70B564D9A36A6 + 26241.50ns INFO [00026243] Port=0 WR @03=1CEFFCC17320B69015 + 26241.50ns INFO [00026243] Port=0 RD @01 + 26241.50ns INFO [00026243] Port=1 RD @07 + 26242.50ns INFO [00026244] * RD COMPARE * port=0 adr=04 act=27A81CBB47F5C3F6CA exp=27A81CBB47F5C3F6CA + 26242.50ns INFO [00026244] Port=0 RD @05 + 26243.50ns INFO [00026245] * RD COMPARE * port=0 adr=01 act=FC4FDDEE8BCB293E9C exp=FC4FDDEE8BCB293E9C + 26243.50ns INFO [00026245] * RD COMPARE * port=1 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26244.50ns INFO [00026246] * RD COMPARE * port=0 adr=05 act=3765A89C7398735A3B exp=3765A89C7398735A3B + 26244.50ns INFO [00026246] Port=0 WR @04=AA6E6730EC6F8D9D0D + 26244.50ns INFO [00026246] Port=0 RD @00 + 26245.50ns INFO [00026247] Port=1 RD @06 + 26246.50ns INFO [00026248] * RD COMPARE * port=0 adr=00 act=69D333564799C668D4 exp=69D333564799C668D4 + 26246.50ns INFO [00026248] Port=0 RD @07 + 26246.50ns INFO [00026248] Port=1 RD @00 + 26247.50ns INFO [00026249] * RD COMPARE * port=1 adr=06 act=3985C70B564D9A36A6 exp=3985C70B564D9A36A6 + 26247.50ns INFO [00026249] Port=0 RD @03 + 26248.50ns INFO [00026250] * RD COMPARE * port=0 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26248.50ns INFO [00026250] * RD COMPARE * port=1 adr=00 act=69D333564799C668D4 exp=69D333564799C668D4 + 26248.50ns INFO [00026250] Port=0 WR @02=60A8CDAB673A82D935 + 26248.50ns INFO [00026250] Port=1 RD @04 + 26249.50ns INFO [00026251] * RD COMPARE * port=0 adr=03 act=1CEFFCC17320B69015 exp=1CEFFCC17320B69015 + 26249.50ns INFO [00026251] Port=0 WR @00=98DAC33EDFE1108693 + 26249.50ns INFO [00026251] Port=0 RD @05 + 26249.50ns INFO [00026251] Port=1 RD @07 + 26250.50ns INFO [00026252] * RD COMPARE * port=1 adr=04 act=AA6E6730EC6F8D9D0D exp=AA6E6730EC6F8D9D0D + 26250.50ns INFO [00026252] Port=0 WR @06=01672880508DA08573 + 26251.50ns INFO [00026253] * RD COMPARE * port=0 adr=05 act=3765A89C7398735A3B exp=3765A89C7398735A3B + 26251.50ns INFO [00026253] * RD COMPARE * port=1 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26251.50ns INFO [00026253] Port=0 WR @00=9E06E1F824316617AE + 26251.50ns INFO [00026253] Port=1 RD @05 + 26253.50ns INFO [00026255] * RD COMPARE * port=1 adr=05 act=3765A89C7398735A3B exp=3765A89C7398735A3B + 26253.50ns INFO [00026255] Port=0 RD @07 + 26254.50ns INFO [00026256] Port=0 WR @03=15E4CB44F681DFB467 + 26254.50ns INFO [00026256] Port=1 RD @04 + 26255.50ns INFO [00026257] * RD COMPARE * port=0 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26255.50ns INFO [00026257] Port=0 WR @06=AD63F9F10A66A41320 + 26256.50ns INFO [00026258] * RD COMPARE * port=1 adr=04 act=AA6E6730EC6F8D9D0D exp=AA6E6730EC6F8D9D0D + 26257.50ns INFO [00026259] Port=0 RD @01 + 26258.50ns INFO [00026260] Port=0 RD @06 + 26258.50ns INFO [00026260] Port=1 RD @06 + 26259.50ns INFO [00026261] * RD COMPARE * port=0 adr=01 act=FC4FDDEE8BCB293E9C exp=FC4FDDEE8BCB293E9C + 26259.50ns INFO [00026261] Port=0 WR @03=28C7167C2BEB8AD2A8 + 26259.50ns INFO [00026261] Port=1 RD @05 + 26260.50ns INFO [00026262] * RD COMPARE * port=0 adr=06 act=AD63F9F10A66A41320 exp=AD63F9F10A66A41320 + 26260.50ns INFO [00026262] * RD COMPARE * port=1 adr=06 act=AD63F9F10A66A41320 exp=AD63F9F10A66A41320 + 26260.50ns INFO [00026262] Port=0 WR @06=AB5B3D48169BAEEFE9 + 26260.50ns INFO [00026262] Port=0 RD @05 + 26261.50ns INFO [00026263] * RD COMPARE * port=1 adr=05 act=3765A89C7398735A3B exp=3765A89C7398735A3B + 26261.50ns INFO [00026263] Port=1 RD @03 + 26262.50ns INFO [00026264] * RD COMPARE * port=0 adr=05 act=3765A89C7398735A3B exp=3765A89C7398735A3B + 26262.50ns INFO [00026264] Port=0 WR @01=101CDFED16C10EEB47 + 26262.50ns INFO [00026264] Port=1 RD @06 + 26263.50ns INFO [00026265] * RD COMPARE * port=1 adr=03 act=28C7167C2BEB8AD2A8 exp=28C7167C2BEB8AD2A8 + 26263.50ns INFO [00026265] Port=0 WR @02=A7ED32D2FA4BEE2595 + 26263.50ns INFO [00026265] Port=1 RD @07 + 26264.50ns INFO [00026266] * RD COMPARE * port=1 adr=06 act=AB5B3D48169BAEEFE9 exp=AB5B3D48169BAEEFE9 + 26265.50ns INFO [00026267] * RD COMPARE * port=1 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26265.50ns INFO [00026267] Port=0 RD @00 + 26265.50ns INFO [00026267] Port=1 RD @00 + 26266.50ns INFO [00026268] Port=0 RD @01 + 26266.50ns INFO [00026268] Port=1 RD @03 + 26267.50ns INFO [00026269] * RD COMPARE * port=0 adr=00 act=9E06E1F824316617AE exp=9E06E1F824316617AE + 26267.50ns INFO [00026269] * RD COMPARE * port=1 adr=00 act=9E06E1F824316617AE exp=9E06E1F824316617AE + 26267.50ns INFO [00026269] Port=1 RD @01 + 26268.50ns INFO [00026270] * RD COMPARE * port=0 adr=01 act=101CDFED16C10EEB47 exp=101CDFED16C10EEB47 + 26268.50ns INFO [00026270] * RD COMPARE * port=1 adr=03 act=28C7167C2BEB8AD2A8 exp=28C7167C2BEB8AD2A8 + 26268.50ns INFO [00026270] Port=0 RD @00 + 26269.50ns INFO [00026271] * RD COMPARE * port=1 adr=01 act=101CDFED16C10EEB47 exp=101CDFED16C10EEB47 + 26269.50ns INFO [00026271] Port=1 RD @07 + 26270.50ns INFO [00026272] * RD COMPARE * port=0 adr=00 act=9E06E1F824316617AE exp=9E06E1F824316617AE + 26270.50ns INFO [00026272] Port=0 RD @03 + 26270.50ns INFO [00026272] Port=1 RD @01 + 26271.50ns INFO [00026273] * RD COMPARE * port=1 adr=07 act=877A569275AA8BEEF6 exp=877A569275AA8BEEF6 + 26271.50ns INFO [00026273] Port=0 WR @07=73D8898A6D0660ADD3 + 26271.50ns INFO [00026273] Port=0 RD @01 + 26272.50ns INFO [00026274] * RD COMPARE * port=0 adr=03 act=28C7167C2BEB8AD2A8 exp=28C7167C2BEB8AD2A8 + 26272.50ns INFO [00026274] * RD COMPARE * port=1 adr=01 act=101CDFED16C10EEB47 exp=101CDFED16C10EEB47 + 26273.50ns INFO [00026275] * RD COMPARE * port=0 adr=01 act=101CDFED16C10EEB47 exp=101CDFED16C10EEB47 + 26273.50ns INFO [00026275] Port=0 WR @02=3D1A800B6FD6857A7A + 26275.50ns INFO [00026277] Port=0 RD @06 + 26275.50ns INFO [00026277] Port=1 RD @07 + 26277.50ns INFO [00026279] * RD COMPARE * port=0 adr=06 act=AB5B3D48169BAEEFE9 exp=AB5B3D48169BAEEFE9 + 26277.50ns INFO [00026279] * RD COMPARE * port=1 adr=07 act=73D8898A6D0660ADD3 exp=73D8898A6D0660ADD3 + 26279.50ns INFO [00026281] Port=0 WR @02=80C800012B53B6368F + 26280.50ns INFO [00026282] Port=0 RD @04 + 26280.50ns INFO [00026282] Port=1 RD @06 + 26281.50ns INFO [00026283] Port=0 RD @06 + 26281.50ns INFO [00026283] Port=1 RD @03 + 26282.50ns INFO [00026284] * RD COMPARE * port=0 adr=04 act=AA6E6730EC6F8D9D0D exp=AA6E6730EC6F8D9D0D + 26282.50ns INFO [00026284] * RD COMPARE * port=1 adr=06 act=AB5B3D48169BAEEFE9 exp=AB5B3D48169BAEEFE9 + 26282.50ns INFO [00026284] Port=0 WR @02=C84B288CA19811C4AD + 26283.50ns INFO [00026285] * RD COMPARE * port=0 adr=06 act=AB5B3D48169BAEEFE9 exp=AB5B3D48169BAEEFE9 + 26283.50ns INFO [00026285] * RD COMPARE * port=1 adr=03 act=28C7167C2BEB8AD2A8 exp=28C7167C2BEB8AD2A8 + 26284.50ns INFO [00026286] Port=0 WR @05=506503A81D999B5463 + 26285.50ns INFO [00026287] Port=0 RD @06 + 26285.50ns INFO [00026287] Port=1 RD @02 + 26286.50ns INFO [00026288] Port=0 WR @03=09DDE406298FDE041D + 26286.50ns INFO [00026288] Port=0 RD @01 + 26286.50ns INFO [00026288] Port=1 RD @00 + 26287.50ns INFO [00026289] * RD COMPARE * port=0 adr=06 act=AB5B3D48169BAEEFE9 exp=AB5B3D48169BAEEFE9 + 26287.50ns INFO [00026289] * RD COMPARE * port=1 adr=02 act=C84B288CA19811C4AD exp=C84B288CA19811C4AD + 26287.50ns INFO [00026289] Port=0 RD @02 + 26288.50ns INFO [00026290] * RD COMPARE * port=0 adr=01 act=101CDFED16C10EEB47 exp=101CDFED16C10EEB47 + 26288.50ns INFO [00026290] * RD COMPARE * port=1 adr=00 act=9E06E1F824316617AE exp=9E06E1F824316617AE + 26288.50ns INFO [00026290] Port=1 RD @06 + 26289.50ns INFO [00026291] * RD COMPARE * port=0 adr=02 act=C84B288CA19811C4AD exp=C84B288CA19811C4AD + 26289.50ns INFO [00026291] Port=0 RD @07 + 26290.50ns INFO [00026292] * RD COMPARE * port=1 adr=06 act=AB5B3D48169BAEEFE9 exp=AB5B3D48169BAEEFE9 + 26291.50ns INFO [00026293] * RD COMPARE * port=0 adr=07 act=73D8898A6D0660ADD3 exp=73D8898A6D0660ADD3 + 26291.50ns INFO [00026293] Port=0 RD @07 + 26293.50ns INFO [00026295] * RD COMPARE * port=0 adr=07 act=73D8898A6D0660ADD3 exp=73D8898A6D0660ADD3 + 26293.50ns INFO [00026295] Port=0 RD @06 + 26294.50ns INFO [00026296] Port=1 RD @01 + 26295.50ns INFO [00026297] * RD COMPARE * port=0 adr=06 act=AB5B3D48169BAEEFE9 exp=AB5B3D48169BAEEFE9 + 26295.50ns INFO [00026297] Port=1 RD @00 + 26296.50ns INFO [00026298] * RD COMPARE * port=1 adr=01 act=101CDFED16C10EEB47 exp=101CDFED16C10EEB47 + 26296.50ns INFO [00026298] Port=0 RD @02 + 26297.50ns INFO [00026299] * RD COMPARE * port=1 adr=00 act=9E06E1F824316617AE exp=9E06E1F824316617AE + 26297.50ns INFO [00026299] Port=0 RD @04 + 26297.50ns INFO [00026299] Port=1 RD @06 + 26298.00ns INFO [00026300] [00026300] ...tick... + 26298.50ns INFO [00026300] * RD COMPARE * port=0 adr=02 act=C84B288CA19811C4AD exp=C84B288CA19811C4AD + 26298.50ns INFO [00026300] Port=0 RD @03 + 26298.50ns INFO [00026300] Port=1 RD @02 + 26299.50ns INFO [00026301] * RD COMPARE * port=0 adr=04 act=AA6E6730EC6F8D9D0D exp=AA6E6730EC6F8D9D0D + 26299.50ns INFO [00026301] * RD COMPARE * port=1 adr=06 act=AB5B3D48169BAEEFE9 exp=AB5B3D48169BAEEFE9 + 26300.50ns INFO [00026302] * RD COMPARE * port=0 adr=03 act=09DDE406298FDE041D exp=09DDE406298FDE041D + 26300.50ns INFO [00026302] * RD COMPARE * port=1 adr=02 act=C84B288CA19811C4AD exp=C84B288CA19811C4AD + 26300.50ns INFO [00026302] Port=0 RD @02 + 26300.50ns INFO [00026302] Port=1 RD @07 + 26301.50ns INFO [00026303] Port=0 WR @07=FF9FF4A793819B30B3 + 26302.50ns INFO [00026304] * RD COMPARE * port=0 adr=02 act=C84B288CA19811C4AD exp=C84B288CA19811C4AD + 26302.50ns INFO [00026304] * RD COMPARE * port=1 adr=07 act=73D8898A6D0660ADD3 exp=73D8898A6D0660ADD3 + 26303.50ns INFO [00026305] Port=0 WR @06=998D37F4F466933C8B + 26303.50ns INFO [00026305] Port=0 RD @02 + 26305.50ns INFO [00026307] * RD COMPARE * port=0 adr=02 act=C84B288CA19811C4AD exp=C84B288CA19811C4AD + 26305.50ns INFO [00026307] Port=0 WR @03=2B352789EE9243F547 + 26307.50ns INFO [00026309] Port=0 RD @04 + 26308.50ns INFO [00026310] Port=0 WR @01=F2073815657F1A353A + 26308.50ns INFO [00026310] Port=1 RD @04 + 26309.50ns INFO [00026311] * RD COMPARE * port=0 adr=04 act=AA6E6730EC6F8D9D0D exp=AA6E6730EC6F8D9D0D + 26309.50ns INFO [00026311] Port=1 RD @04 + 26310.50ns INFO [00026312] * RD COMPARE * port=1 adr=04 act=AA6E6730EC6F8D9D0D exp=AA6E6730EC6F8D9D0D + 26311.50ns INFO [00026313] * RD COMPARE * port=1 adr=04 act=AA6E6730EC6F8D9D0D exp=AA6E6730EC6F8D9D0D + 26311.50ns INFO [00026313] Port=0 WR @05=4A5184291244F0E27E + 26311.50ns INFO [00026313] Port=0 RD @06 + 26312.50ns INFO [00026314] Port=0 WR @03=B4E712A03AA3B9A653 + 26313.50ns INFO [00026315] * RD COMPARE * port=0 adr=06 act=998D37F4F466933C8B exp=998D37F4F466933C8B + 26313.50ns INFO [00026315] Port=0 RD @06 + 26313.50ns INFO [00026315] Port=1 RD @07 + 26314.50ns INFO [00026316] Port=0 WR @03=B5D72FA0D3CD6AC734 + 26314.50ns INFO [00026316] Port=0 RD @07 + 26315.50ns INFO [00026317] * RD COMPARE * port=0 adr=06 act=998D37F4F466933C8B exp=998D37F4F466933C8B + 26315.50ns INFO [00026317] * RD COMPARE * port=1 adr=07 act=FF9FF4A793819B30B3 exp=FF9FF4A793819B30B3 + 26315.50ns INFO [00026317] Port=0 RD @04 + 26316.50ns INFO [00026318] * RD COMPARE * port=0 adr=07 act=FF9FF4A793819B30B3 exp=FF9FF4A793819B30B3 + 26316.50ns INFO [00026318] Port=0 WR @06=D7C20CEEE99EA96939 + 26317.50ns INFO [00026319] * RD COMPARE * port=0 adr=04 act=AA6E6730EC6F8D9D0D exp=AA6E6730EC6F8D9D0D + 26317.50ns INFO [00026319] Port=1 RD @00 + 26319.50ns INFO [00026321] * RD COMPARE * port=1 adr=00 act=9E06E1F824316617AE exp=9E06E1F824316617AE + 26320.50ns INFO [00026322] Port=1 RD @05 + 26322.50ns INFO [00026324] * RD COMPARE * port=1 adr=05 act=4A5184291244F0E27E exp=4A5184291244F0E27E + 26322.50ns INFO [00026324] Port=0 WR @02=4E118A0B40552EBE9C + 26322.50ns INFO [00026324] Port=1 RD @07 + 26323.50ns INFO [00026325] Port=0 RD @06 + 26324.50ns INFO [00026326] * RD COMPARE * port=1 adr=07 act=FF9FF4A793819B30B3 exp=FF9FF4A793819B30B3 + 26325.50ns INFO [00026327] * RD COMPARE * port=0 adr=06 act=D7C20CEEE99EA96939 exp=D7C20CEEE99EA96939 + 26325.50ns INFO [00026327] Port=1 RD @07 + 26327.50ns INFO [00026329] * RD COMPARE * port=1 adr=07 act=FF9FF4A793819B30B3 exp=FF9FF4A793819B30B3 + 26327.50ns INFO [00026329] Port=0 WR @03=B906B0C45DC5C6C3CE + 26328.50ns INFO [00026330] Port=0 WR @07=1ECDF4A5904547CC7A + 26329.50ns INFO [00026331] Port=1 RD @03 + 26330.50ns INFO [00026332] Port=0 WR @03=F858E4406F02B758BA + 26331.50ns INFO [00026333] * RD COMPARE * port=1 adr=03 act=B906B0C45DC5C6C3CE exp=B906B0C45DC5C6C3CE + 26331.50ns INFO [00026333] Port=0 WR @00=4DE4B131BAF4F2AC0F + 26331.50ns INFO [00026333] Port=0 RD @06 + 26332.50ns INFO [00026334] Port=0 WR @04=E7D4ECB831F4218DF2 + 26333.50ns INFO [00026335] * RD COMPARE * port=0 adr=06 act=D7C20CEEE99EA96939 exp=D7C20CEEE99EA96939 + 26333.50ns INFO [00026335] Port=0 WR @00=5BEA78BE5CC45F191F + 26333.50ns INFO [00026335] Port=1 RD @05 + 26334.50ns INFO [00026336] Port=0 RD @07 + 26334.50ns INFO [00026336] Port=1 RD @06 + 26335.50ns INFO [00026337] * RD COMPARE * port=1 adr=05 act=4A5184291244F0E27E exp=4A5184291244F0E27E + 26335.50ns INFO [00026337] Port=0 WR @04=8F9CB1E6FB92F3FD8D + 26335.50ns INFO [00026337] Port=0 RD @05 + 26335.50ns INFO [00026337] Port=1 RD @06 + 26336.50ns INFO [00026338] * RD COMPARE * port=0 adr=07 act=1ECDF4A5904547CC7A exp=1ECDF4A5904547CC7A + 26336.50ns INFO [00026338] * RD COMPARE * port=1 adr=06 act=D7C20CEEE99EA96939 exp=D7C20CEEE99EA96939 + 26336.50ns INFO [00026338] Port=0 RD @04 + 26336.50ns INFO [00026338] Port=1 RD @05 + 26337.50ns INFO [00026339] * RD COMPARE * port=0 adr=05 act=4A5184291244F0E27E exp=4A5184291244F0E27E + 26337.50ns INFO [00026339] * RD COMPARE * port=1 adr=06 act=D7C20CEEE99EA96939 exp=D7C20CEEE99EA96939 + 26338.50ns INFO [00026340] * RD COMPARE * port=0 adr=04 act=8F9CB1E6FB92F3FD8D exp=8F9CB1E6FB92F3FD8D + 26338.50ns INFO [00026340] * RD COMPARE * port=1 adr=05 act=4A5184291244F0E27E exp=4A5184291244F0E27E + 26338.50ns INFO [00026340] Port=0 WR @04=FEEB14BCE341247629 + 26338.50ns INFO [00026340] Port=0 RD @01 + 26340.50ns INFO [00026342] * RD COMPARE * port=0 adr=01 act=F2073815657F1A353A exp=F2073815657F1A353A + 26340.50ns INFO [00026342] Port=0 RD @02 + 26341.50ns INFO [00026343] Port=0 WR @06=54770165202FD43B0C + 26341.50ns INFO [00026343] Port=0 RD @05 + 26342.50ns INFO [00026344] * RD COMPARE * port=0 adr=02 act=4E118A0B40552EBE9C exp=4E118A0B40552EBE9C + 26343.50ns INFO [00026345] * RD COMPARE * port=0 adr=05 act=4A5184291244F0E27E exp=4A5184291244F0E27E + 26344.50ns INFO [00026346] Port=0 WR @07=9E8908CE09A3E3AEE8 + 26344.50ns INFO [00026346] Port=0 RD @03 + 26346.50ns INFO [00026348] * RD COMPARE * port=0 adr=03 act=F858E4406F02B758BA exp=F858E4406F02B758BA + 26347.50ns INFO [00026349] Port=0 WR @05=74C06A4251046D2550 + 26347.50ns INFO [00026349] Port=0 RD @01 + 26348.50ns INFO [00026350] Port=0 RD @00 + 26349.50ns INFO [00026351] * RD COMPARE * port=0 adr=01 act=F2073815657F1A353A exp=F2073815657F1A353A + 26350.50ns INFO [00026352] * RD COMPARE * port=0 adr=00 act=5BEA78BE5CC45F191F exp=5BEA78BE5CC45F191F + 26350.50ns INFO [00026352] Port=0 WR @06=16A6C1C7C5B5935366 + 26350.50ns INFO [00026352] Port=0 RD @05 + 26351.50ns INFO [00026353] Port=0 RD @01 + 26351.50ns INFO [00026353] Port=1 RD @06 + 26352.50ns INFO [00026354] * RD COMPARE * port=0 adr=05 act=74C06A4251046D2550 exp=74C06A4251046D2550 + 26352.50ns INFO [00026354] Port=0 WR @01=1FF3172D4B293FA6C0 + 26352.50ns INFO [00026354] Port=1 RD @07 + 26353.50ns INFO [00026355] * RD COMPARE * port=0 adr=01 act=F2073815657F1A353A exp=F2073815657F1A353A + 26353.50ns INFO [00026355] * RD COMPARE * port=1 adr=06 act=16A6C1C7C5B5935366 exp=16A6C1C7C5B5935366 + 26353.50ns INFO [00026355] Port=0 RD @04 + 26354.50ns INFO [00026356] * RD COMPARE * port=1 adr=07 act=9E8908CE09A3E3AEE8 exp=9E8908CE09A3E3AEE8 + 26354.50ns INFO [00026356] Port=0 WR @02=A35B147318AEEF457D + 26355.50ns INFO [00026357] * RD COMPARE * port=0 adr=04 act=FEEB14BCE341247629 exp=FEEB14BCE341247629 + 26355.50ns INFO [00026357] Port=0 WR @07=28B196700142D411D8 + 26355.50ns INFO [00026357] Port=1 RD @03 + 26356.50ns INFO [00026358] Port=0 RD @01 + 26356.50ns INFO [00026358] Port=1 RD @05 + 26357.50ns INFO [00026359] * RD COMPARE * port=1 adr=03 act=F858E4406F02B758BA exp=F858E4406F02B758BA + 26357.50ns INFO [00026359] Port=0 WR @02=2D06929A5D20D0D62E + 26357.50ns INFO [00026359] Port=0 RD @04 + 26358.50ns INFO [00026360] * RD COMPARE * port=0 adr=01 act=1FF3172D4B293FA6C0 exp=1FF3172D4B293FA6C0 + 26358.50ns INFO [00026360] * RD COMPARE * port=1 adr=05 act=74C06A4251046D2550 exp=74C06A4251046D2550 + 26358.50ns INFO [00026360] Port=0 RD @04 + 26359.50ns INFO [00026361] * RD COMPARE * port=0 adr=04 act=FEEB14BCE341247629 exp=FEEB14BCE341247629 + 26359.50ns INFO [00026361] Port=1 RD @02 + 26360.50ns INFO [00026362] * RD COMPARE * port=0 adr=04 act=FEEB14BCE341247629 exp=FEEB14BCE341247629 + 26361.50ns INFO [00026363] * RD COMPARE * port=1 adr=02 act=2D06929A5D20D0D62E exp=2D06929A5D20D0D62E + 26361.50ns INFO [00026363] Port=0 RD @00 + 26362.50ns INFO [00026364] Port=0 RD @00 + 26363.50ns INFO [00026365] * RD COMPARE * port=0 adr=00 act=5BEA78BE5CC45F191F exp=5BEA78BE5CC45F191F + 26363.50ns INFO [00026365] Port=0 WR @05=32F2611D988CC59F14 + 26364.50ns INFO [00026366] * RD COMPARE * port=0 adr=00 act=5BEA78BE5CC45F191F exp=5BEA78BE5CC45F191F + 26364.50ns INFO [00026366] Port=0 WR @00=22CABC2C8A49CEB171 + 26367.50ns INFO [00026369] Port=0 WR @01=0CB07DD4A015A9E6F8 + 26367.50ns INFO [00026369] Port=0 RD @05 + 26368.50ns INFO [00026370] Port=0 WR @07=76F0D996BADC789E4A + 26368.50ns INFO [00026370] Port=0 RD @02 + 26368.50ns INFO [00026370] Port=1 RD @00 + 26369.50ns INFO [00026371] * RD COMPARE * port=0 adr=05 act=32F2611D988CC59F14 exp=32F2611D988CC59F14 + 26369.50ns INFO [00026371] Port=1 RD @07 + 26370.50ns INFO [00026372] * RD COMPARE * port=0 adr=02 act=2D06929A5D20D0D62E exp=2D06929A5D20D0D62E + 26370.50ns INFO [00026372] * RD COMPARE * port=1 adr=00 act=22CABC2C8A49CEB171 exp=22CABC2C8A49CEB171 + 26370.50ns INFO [00026372] Port=0 WR @04=6E2E7C222A8A41C9E8 + 26371.50ns INFO [00026373] * RD COMPARE * port=1 adr=07 act=76F0D996BADC789E4A exp=76F0D996BADC789E4A + 26371.50ns INFO [00026373] Port=0 RD @04 + 26371.50ns INFO [00026373] Port=1 RD @01 + 26372.50ns INFO [00026374] Port=0 WR @05=E205CEC48EF9EE9C23 + 26372.50ns INFO [00026374] Port=0 RD @01 + 26373.50ns INFO [00026375] * RD COMPARE * port=0 adr=04 act=6E2E7C222A8A41C9E8 exp=6E2E7C222A8A41C9E8 + 26373.50ns INFO [00026375] * RD COMPARE * port=1 adr=01 act=0CB07DD4A015A9E6F8 exp=0CB07DD4A015A9E6F8 + 26374.50ns INFO [00026376] * RD COMPARE * port=0 adr=01 act=0CB07DD4A015A9E6F8 exp=0CB07DD4A015A9E6F8 + 26374.50ns INFO [00026376] Port=0 WR @01=E740ABEE32F80961A0 + 26374.50ns INFO [00026376] Port=1 RD @04 + 26376.50ns INFO [00026378] * RD COMPARE * port=1 adr=04 act=6E2E7C222A8A41C9E8 exp=6E2E7C222A8A41C9E8 + 26376.50ns INFO [00026378] Port=0 RD @06 + 26376.50ns INFO [00026378] Port=1 RD @06 + 26377.50ns INFO [00026379] Port=0 WR @01=BBA9FBB3C9866582B3 + 26377.50ns INFO [00026379] Port=1 RD @05 + 26378.50ns INFO [00026380] * RD COMPARE * port=0 adr=06 act=16A6C1C7C5B5935366 exp=16A6C1C7C5B5935366 + 26378.50ns INFO [00026380] * RD COMPARE * port=1 adr=06 act=16A6C1C7C5B5935366 exp=16A6C1C7C5B5935366 + 26378.50ns INFO [00026380] Port=0 WR @04=3B94888C17CDFF7D9F + 26378.50ns INFO [00026380] Port=1 RD @01 + 26379.50ns INFO [00026381] * RD COMPARE * port=1 adr=05 act=E205CEC48EF9EE9C23 exp=E205CEC48EF9EE9C23 + 26380.50ns INFO [00026382] * RD COMPARE * port=1 adr=01 act=BBA9FBB3C9866582B3 exp=BBA9FBB3C9866582B3 + 26383.50ns INFO [00026385] Port=0 WR @07=3D12AEA1C0ABD07C72 + 26384.50ns INFO [00026386] Port=0 WR @02=EF546184651CABC47F + 26386.50ns INFO [00026388] Port=0 RD @05 + 26386.50ns INFO [00026388] Port=1 RD @05 + 26388.50ns INFO [00026390] * RD COMPARE * port=0 adr=05 act=E205CEC48EF9EE9C23 exp=E205CEC48EF9EE9C23 + 26388.50ns INFO [00026390] * RD COMPARE * port=1 adr=05 act=E205CEC48EF9EE9C23 exp=E205CEC48EF9EE9C23 + 26388.50ns INFO [00026390] Port=0 WR @01=A10BF95D28C4500F57 + 26388.50ns INFO [00026390] Port=0 RD @05 + 26390.50ns INFO [00026392] * RD COMPARE * port=0 adr=05 act=E205CEC48EF9EE9C23 exp=E205CEC48EF9EE9C23 + 26390.50ns INFO [00026392] Port=1 RD @04 + 26391.50ns INFO [00026393] Port=0 WR @02=3ED7E51E11F6566FAD + 26391.50ns INFO [00026393] Port=0 RD @07 + 26392.50ns INFO [00026394] * RD COMPARE * port=1 adr=04 act=3B94888C17CDFF7D9F exp=3B94888C17CDFF7D9F + 26392.50ns INFO [00026394] Port=0 WR @03=0239A8192DA598E525 + 26392.50ns INFO [00026394] Port=1 RD @04 + 26393.50ns INFO [00026395] * RD COMPARE * port=0 adr=07 act=3D12AEA1C0ABD07C72 exp=3D12AEA1C0ABD07C72 + 26393.50ns INFO [00026395] Port=0 WR @02=C0E9E07A3E4EE7241B + 26393.50ns INFO [00026395] Port=0 RD @05 + 26394.50ns INFO [00026396] * RD COMPARE * port=1 adr=04 act=3B94888C17CDFF7D9F exp=3B94888C17CDFF7D9F + 26395.50ns INFO [00026397] * RD COMPARE * port=0 adr=05 act=E205CEC48EF9EE9C23 exp=E205CEC48EF9EE9C23 + 26395.50ns INFO [00026397] Port=0 WR @02=7EC09A48C4D5EC4EAC + 26395.50ns INFO [00026397] Port=0 RD @06 + 26396.50ns INFO [00026398] Port=1 RD @01 + 26397.50ns INFO [00026399] * RD COMPARE * port=0 adr=06 act=16A6C1C7C5B5935366 exp=16A6C1C7C5B5935366 + 26397.50ns INFO [00026399] Port=0 WR @03=7286D3F8150672C664 + 26398.00ns INFO [00026400] [00026400] ...tick... + 26398.50ns INFO [00026400] * RD COMPARE * port=1 adr=01 act=A10BF95D28C4500F57 exp=A10BF95D28C4500F57 + 26398.50ns INFO [00026400] Port=0 RD @03 + 26399.50ns INFO [00026401] Port=0 RD @06 + 26399.50ns INFO [00026401] Port=1 RD @06 + 26400.50ns INFO [00026402] * RD COMPARE * port=0 adr=03 act=7286D3F8150672C664 exp=7286D3F8150672C664 + 26401.50ns INFO [00026403] * RD COMPARE * port=0 adr=06 act=16A6C1C7C5B5935366 exp=16A6C1C7C5B5935366 + 26401.50ns INFO [00026403] * RD COMPARE * port=1 adr=06 act=16A6C1C7C5B5935366 exp=16A6C1C7C5B5935366 + 26401.50ns INFO [00026403] Port=0 RD @03 + 26401.50ns INFO [00026403] Port=1 RD @03 + 26402.50ns INFO [00026404] Port=1 RD @02 + 26403.50ns INFO [00026405] * RD COMPARE * port=0 adr=03 act=7286D3F8150672C664 exp=7286D3F8150672C664 + 26403.50ns INFO [00026405] * RD COMPARE * port=1 adr=03 act=7286D3F8150672C664 exp=7286D3F8150672C664 + 26404.50ns INFO [00026406] * RD COMPARE * port=1 adr=02 act=7EC09A48C4D5EC4EAC exp=7EC09A48C4D5EC4EAC + 26405.50ns INFO [00026407] Port=0 WR @05=3AC88F7A4942B1E6D4 + 26405.50ns INFO [00026407] Port=0 RD @03 + 26406.50ns INFO [00026408] Port=0 WR @04=CC5233701385007C04 + 26407.50ns INFO [00026409] * RD COMPARE * port=0 adr=03 act=7286D3F8150672C664 exp=7286D3F8150672C664 + 26408.50ns INFO [00026410] Port=0 WR @00=DB925E4E8BF9643C8F + 26408.50ns INFO [00026410] Port=0 RD @07 + 26409.50ns INFO [00026411] Port=0 WR @06=C9528FCD1128A2AE39 + 26409.50ns INFO [00026411] Port=0 RD @05 + 26410.50ns INFO [00026412] * RD COMPARE * port=0 adr=07 act=3D12AEA1C0ABD07C72 exp=3D12AEA1C0ABD07C72 + 26410.50ns INFO [00026412] Port=0 RD @06 + 26411.50ns INFO [00026413] * RD COMPARE * port=0 adr=05 act=3AC88F7A4942B1E6D4 exp=3AC88F7A4942B1E6D4 + 26412.50ns INFO [00026414] * RD COMPARE * port=0 adr=06 act=C9528FCD1128A2AE39 exp=C9528FCD1128A2AE39 + 26413.50ns INFO [00026415] Port=0 RD @04 + 26413.50ns INFO [00026415] Port=1 RD @07 + 26415.50ns INFO [00026417] * RD COMPARE * port=0 adr=04 act=CC5233701385007C04 exp=CC5233701385007C04 + 26415.50ns INFO [00026417] * RD COMPARE * port=1 adr=07 act=3D12AEA1C0ABD07C72 exp=3D12AEA1C0ABD07C72 + 26415.50ns INFO [00026417] Port=0 RD @03 + 26415.50ns INFO [00026417] Port=1 RD @03 + 26417.50ns INFO [00026419] * RD COMPARE * port=0 adr=03 act=7286D3F8150672C664 exp=7286D3F8150672C664 + 26417.50ns INFO [00026419] * RD COMPARE * port=1 adr=03 act=7286D3F8150672C664 exp=7286D3F8150672C664 + 26417.50ns INFO [00026419] Port=0 WR @07=9A3A7A0B5C7EF2A58F + 26417.50ns INFO [00026419] Port=0 RD @00 + 26419.50ns INFO [00026421] * RD COMPARE * port=0 adr=00 act=DB925E4E8BF9643C8F exp=DB925E4E8BF9643C8F + 26421.50ns INFO [00026423] Port=0 RD @05 + 26421.50ns INFO [00026423] Port=1 RD @02 + 26422.50ns INFO [00026424] Port=0 WR @06=A662654E5F66EE65D4 + 26422.50ns INFO [00026424] Port=0 RD @07 + 26423.50ns INFO [00026425] * RD COMPARE * port=0 adr=05 act=3AC88F7A4942B1E6D4 exp=3AC88F7A4942B1E6D4 + 26423.50ns INFO [00026425] * RD COMPARE * port=1 adr=02 act=7EC09A48C4D5EC4EAC exp=7EC09A48C4D5EC4EAC + 26423.50ns INFO [00026425] Port=0 WR @07=654716D40EDF154D17 + 26423.50ns INFO [00026425] Port=1 RD @02 + 26424.50ns INFO [00026426] * RD COMPARE * port=0 adr=07 act=9A3A7A0B5C7EF2A58F exp=9A3A7A0B5C7EF2A58F + 26424.50ns INFO [00026426] Port=0 WR @03=EB16A001430074CB00 + 26424.50ns INFO [00026426] Port=0 RD @04 + 26424.50ns INFO [00026426] Port=1 RD @06 + 26425.50ns INFO [00026427] * RD COMPARE * port=1 adr=02 act=7EC09A48C4D5EC4EAC exp=7EC09A48C4D5EC4EAC + 26425.50ns INFO [00026427] Port=1 RD @06 + 26426.50ns INFO [00026428] * RD COMPARE * port=0 adr=04 act=CC5233701385007C04 exp=CC5233701385007C04 + 26426.50ns INFO [00026428] * RD COMPARE * port=1 adr=06 act=A662654E5F66EE65D4 exp=A662654E5F66EE65D4 + 26426.50ns INFO [00026428] Port=0 WR @07=66D6A53258ECD6CB93 + 26426.50ns INFO [00026428] Port=1 RD @01 + 26427.50ns INFO [00026429] * RD COMPARE * port=1 adr=06 act=A662654E5F66EE65D4 exp=A662654E5F66EE65D4 + 26427.50ns INFO [00026429] Port=0 RD @04 + 26427.50ns INFO [00026429] Port=1 RD @00 + 26428.50ns INFO [00026430] * RD COMPARE * port=1 adr=01 act=A10BF95D28C4500F57 exp=A10BF95D28C4500F57 + 26428.50ns INFO [00026430] Port=0 RD @07 + 26429.50ns INFO [00026431] * RD COMPARE * port=0 adr=04 act=CC5233701385007C04 exp=CC5233701385007C04 + 26429.50ns INFO [00026431] * RD COMPARE * port=1 adr=00 act=DB925E4E8BF9643C8F exp=DB925E4E8BF9643C8F + 26429.50ns INFO [00026431] Port=1 RD @00 + 26430.50ns INFO [00026432] * RD COMPARE * port=0 adr=07 act=66D6A53258ECD6CB93 exp=66D6A53258ECD6CB93 + 26430.50ns INFO [00026432] Port=1 RD @07 + 26431.50ns INFO [00026433] * RD COMPARE * port=1 adr=00 act=DB925E4E8BF9643C8F exp=DB925E4E8BF9643C8F + 26431.50ns INFO [00026433] Port=0 RD @05 + 26431.50ns INFO [00026433] Port=1 RD @06 + 26432.50ns INFO [00026434] * RD COMPARE * port=1 adr=07 act=66D6A53258ECD6CB93 exp=66D6A53258ECD6CB93 + 26432.50ns INFO [00026434] Port=1 RD @07 + 26433.50ns INFO [00026435] * RD COMPARE * port=0 adr=05 act=3AC88F7A4942B1E6D4 exp=3AC88F7A4942B1E6D4 + 26433.50ns INFO [00026435] * RD COMPARE * port=1 adr=06 act=A662654E5F66EE65D4 exp=A662654E5F66EE65D4 + 26433.50ns INFO [00026435] Port=0 WR @02=FCEB575134DE7D6D82 + 26433.50ns INFO [00026435] Port=0 RD @03 + 26433.50ns INFO [00026435] Port=1 RD @04 + 26434.50ns INFO [00026436] * RD COMPARE * port=1 adr=07 act=66D6A53258ECD6CB93 exp=66D6A53258ECD6CB93 + 26435.50ns INFO [00026437] * RD COMPARE * port=0 adr=03 act=EB16A001430074CB00 exp=EB16A001430074CB00 + 26435.50ns INFO [00026437] * RD COMPARE * port=1 adr=04 act=CC5233701385007C04 exp=CC5233701385007C04 + 26435.50ns INFO [00026437] Port=0 WR @06=A720053540C177B040 + 26435.50ns INFO [00026437] Port=0 RD @02 + 26436.50ns INFO [00026438] Port=1 RD @07 + 26437.50ns INFO [00026439] * RD COMPARE * port=0 adr=02 act=FCEB575134DE7D6D82 exp=FCEB575134DE7D6D82 + 26437.50ns INFO [00026439] Port=0 WR @01=C8DC807ED5F5A13FA4 + 26438.50ns INFO [00026440] * RD COMPARE * port=1 adr=07 act=66D6A53258ECD6CB93 exp=66D6A53258ECD6CB93 + 26438.50ns INFO [00026440] Port=0 WR @07=F72CAC03F6F4A91A63 + 26439.50ns INFO [00026441] Port=1 RD @00 + 26440.50ns INFO [00026442] Port=0 RD @03 + 26440.50ns INFO [00026442] Port=1 RD @03 + 26441.50ns INFO [00026443] * RD COMPARE * port=1 adr=00 act=DB925E4E8BF9643C8F exp=DB925E4E8BF9643C8F + 26441.50ns INFO [00026443] Port=0 RD @03 + 26442.50ns INFO [00026444] * RD COMPARE * port=0 adr=03 act=EB16A001430074CB00 exp=EB16A001430074CB00 + 26442.50ns INFO [00026444] * RD COMPARE * port=1 adr=03 act=EB16A001430074CB00 exp=EB16A001430074CB00 + 26442.50ns INFO [00026444] Port=0 WR @03=2E5E764B9161E37D30 + 26442.50ns INFO [00026444] Port=1 RD @06 + 26443.50ns INFO [00026445] * RD COMPARE * port=0 adr=03 act=EB16A001430074CB00 exp=EB16A001430074CB00 + 26443.50ns INFO [00026445] Port=0 RD @02 + 26444.50ns INFO [00026446] * RD COMPARE * port=1 adr=06 act=A720053540C177B040 exp=A720053540C177B040 + 26445.50ns INFO [00026447] * RD COMPARE * port=0 adr=02 act=FCEB575134DE7D6D82 exp=FCEB575134DE7D6D82 + 26445.50ns INFO [00026447] Port=1 RD @04 + 26446.50ns INFO [00026448] Port=1 RD @05 + 26447.50ns INFO [00026449] * RD COMPARE * port=1 adr=04 act=CC5233701385007C04 exp=CC5233701385007C04 + 26447.50ns INFO [00026449] Port=0 RD @00 + 26447.50ns INFO [00026449] Port=1 RD @03 + 26448.50ns INFO [00026450] * RD COMPARE * port=1 adr=05 act=3AC88F7A4942B1E6D4 exp=3AC88F7A4942B1E6D4 + 26449.50ns INFO [00026451] * RD COMPARE * port=0 adr=00 act=DB925E4E8BF9643C8F exp=DB925E4E8BF9643C8F + 26449.50ns INFO [00026451] * RD COMPARE * port=1 adr=03 act=2E5E764B9161E37D30 exp=2E5E764B9161E37D30 + 26452.50ns INFO [00026454] Port=1 RD @07 + 26453.50ns INFO [00026455] Port=0 WR @05=B6CE8E6FAAC6B2B5B1 + 26454.50ns INFO [00026456] * RD COMPARE * port=1 adr=07 act=F72CAC03F6F4A91A63 exp=F72CAC03F6F4A91A63 + 26454.50ns INFO [00026456] Port=0 WR @05=20F683AE4089741BFE + 26454.50ns INFO [00026456] Port=0 RD @06 + 26455.50ns INFO [00026457] Port=0 RD @01 + 26456.50ns INFO [00026458] * RD COMPARE * port=0 adr=06 act=A720053540C177B040 exp=A720053540C177B040 + 26457.50ns INFO [00026459] * RD COMPARE * port=0 adr=01 act=C8DC807ED5F5A13FA4 exp=C8DC807ED5F5A13FA4 + 26457.50ns INFO [00026459] Port=0 RD @07 + 26457.50ns INFO [00026459] Port=1 RD @04 + 26458.50ns INFO [00026460] Port=0 WR @05=52A6BCC8247CB3AF99 + 26459.50ns INFO [00026461] * RD COMPARE * port=0 adr=07 act=F72CAC03F6F4A91A63 exp=F72CAC03F6F4A91A63 + 26459.50ns INFO [00026461] * RD COMPARE * port=1 adr=04 act=CC5233701385007C04 exp=CC5233701385007C04 + 26459.50ns INFO [00026461] Port=0 WR @01=2DE3685DDCC9A0906B + 26459.50ns INFO [00026461] Port=0 RD @05 + 26460.50ns INFO [00026462] Port=0 RD @06 + 26461.50ns INFO [00026463] * RD COMPARE * port=0 adr=05 act=52A6BCC8247CB3AF99 exp=52A6BCC8247CB3AF99 + 26461.50ns INFO [00026463] Port=1 RD @01 + 26462.50ns INFO [00026464] * RD COMPARE * port=0 adr=06 act=A720053540C177B040 exp=A720053540C177B040 + 26463.50ns INFO [00026465] * RD COMPARE * port=1 adr=01 act=2DE3685DDCC9A0906B exp=2DE3685DDCC9A0906B + 26464.50ns INFO [00026466] Port=0 RD @07 + 26465.50ns INFO [00026467] Port=0 WR @03=FCD80614947D70F9B3 + 26466.50ns INFO [00026468] * RD COMPARE * port=0 adr=07 act=F72CAC03F6F4A91A63 exp=F72CAC03F6F4A91A63 + 26466.50ns INFO [00026468] Port=1 RD @05 + 26467.50ns INFO [00026469] Port=0 WR @05=4C4C5B4CB2B66A81AD + 26467.50ns INFO [00026469] Port=1 RD @03 + 26468.50ns INFO [00026470] * RD COMPARE * port=1 adr=05 act=52A6BCC8247CB3AF99 exp=52A6BCC8247CB3AF99 + 26468.50ns INFO [00026470] Port=0 WR @06=A98DCA168F4E1D650F + 26468.50ns INFO [00026470] Port=0 RD @04 + 26468.50ns INFO [00026470] Port=1 RD @03 + 26469.50ns INFO [00026471] * RD COMPARE * port=1 adr=03 act=FCD80614947D70F9B3 exp=FCD80614947D70F9B3 + 26470.50ns INFO [00026472] * RD COMPARE * port=0 adr=04 act=CC5233701385007C04 exp=CC5233701385007C04 + 26470.50ns INFO [00026472] * RD COMPARE * port=1 adr=03 act=FCD80614947D70F9B3 exp=FCD80614947D70F9B3 + 26470.50ns INFO [00026472] Port=0 WR @02=2B8111CE347E108689 + 26470.50ns INFO [00026472] Port=1 RD @03 + 26471.50ns INFO [00026473] Port=0 WR @01=D01B763F3DBF5C483C + 26471.50ns INFO [00026473] Port=0 RD @00 + 26472.50ns INFO [00026474] * RD COMPARE * port=1 adr=03 act=FCD80614947D70F9B3 exp=FCD80614947D70F9B3 + 26472.50ns INFO [00026474] Port=1 RD @01 + 26473.50ns INFO [00026475] * RD COMPARE * port=0 adr=00 act=DB925E4E8BF9643C8F exp=DB925E4E8BF9643C8F + 26473.50ns INFO [00026475] Port=1 RD @03 + 26474.50ns INFO [00026476] * RD COMPARE * port=1 adr=01 act=D01B763F3DBF5C483C exp=D01B763F3DBF5C483C + 26474.50ns INFO [00026476] Port=0 WR @05=B3584D917D19716AFD + 26474.50ns INFO [00026476] Port=0 RD @06 + 26475.50ns INFO [00026477] * RD COMPARE * port=1 adr=03 act=FCD80614947D70F9B3 exp=FCD80614947D70F9B3 + 26476.50ns INFO [00026478] * RD COMPARE * port=0 adr=06 act=A98DCA168F4E1D650F exp=A98DCA168F4E1D650F + 26476.50ns INFO [00026478] Port=0 WR @03=2F20C6A76ADCD556F8 + 26477.50ns INFO [00026479] Port=0 RD @06 + 26477.50ns INFO [00026479] Port=1 RD @06 + 26478.50ns INFO [00026480] Port=0 WR @00=D7BA8BA68C35DC6493 + 26478.50ns INFO [00026480] Port=1 RD @03 + 26479.50ns INFO [00026481] * RD COMPARE * port=0 adr=06 act=A98DCA168F4E1D650F exp=A98DCA168F4E1D650F + 26479.50ns INFO [00026481] * RD COMPARE * port=1 adr=06 act=A98DCA168F4E1D650F exp=A98DCA168F4E1D650F + 26479.50ns INFO [00026481] Port=0 WR @04=810E699435FF085997 + 26480.50ns INFO [00026482] * RD COMPARE * port=1 adr=03 act=2F20C6A76ADCD556F8 exp=2F20C6A76ADCD556F8 + 26481.50ns INFO [00026483] Port=0 WR @05=7F5920DC8F680470EC + 26483.50ns INFO [00026485] Port=0 WR @04=706D51DDE3E36FD013 + 26486.50ns INFO [00026488] Port=0 WR @04=50E7DBFDBE1E7D9C20 + 26486.50ns INFO [00026488] Port=0 RD @00 + 26487.50ns INFO [00026489] Port=0 WR @05=AF0E6619A43007C7C7 + 26488.50ns INFO [00026490] * RD COMPARE * port=0 adr=00 act=D7BA8BA68C35DC6493 exp=D7BA8BA68C35DC6493 + 26488.50ns INFO [00026490] Port=0 RD @04 + 26488.50ns INFO [00026490] Port=1 RD @07 + 26489.50ns INFO [00026491] Port=0 WR @07=24F7136F57DBBC5C92 + 26490.50ns INFO [00026492] * RD COMPARE * port=0 adr=04 act=50E7DBFDBE1E7D9C20 exp=50E7DBFDBE1E7D9C20 + 26490.50ns INFO [00026492] * RD COMPARE * port=1 adr=07 act=F72CAC03F6F4A91A63 exp=F72CAC03F6F4A91A63 + 26490.50ns INFO [00026492] Port=0 WR @04=4516B0ACC3DF6D5B27 + 26490.50ns INFO [00026492] Port=1 RD @06 + 26491.50ns INFO [00026493] Port=0 RD @04 + 26491.50ns INFO [00026493] Port=1 RD @07 + 26492.50ns INFO [00026494] * RD COMPARE * port=1 adr=06 act=A98DCA168F4E1D650F exp=A98DCA168F4E1D650F + 26492.50ns INFO [00026494] Port=0 RD @05 + 26492.50ns INFO [00026494] Port=1 RD @03 + 26493.50ns INFO [00026495] * RD COMPARE * port=0 adr=04 act=4516B0ACC3DF6D5B27 exp=4516B0ACC3DF6D5B27 + 26493.50ns INFO [00026495] * RD COMPARE * port=1 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26494.50ns INFO [00026496] * RD COMPARE * port=0 adr=05 act=AF0E6619A43007C7C7 exp=AF0E6619A43007C7C7 + 26494.50ns INFO [00026496] * RD COMPARE * port=1 adr=03 act=2F20C6A76ADCD556F8 exp=2F20C6A76ADCD556F8 + 26494.50ns INFO [00026496] Port=0 WR @00=3E53258B628D0E179F + 26496.50ns INFO [00026498] Port=0 WR @05=48D1386F8638813706 + 26496.50ns INFO [00026498] Port=1 RD @01 + 26497.50ns INFO [00026499] Port=0 RD @00 + 26497.50ns INFO [00026499] Port=1 RD @01 + 26498.00ns INFO [00026500] [00026500] ...tick... + 26498.50ns INFO [00026500] * RD COMPARE * port=1 adr=01 act=D01B763F3DBF5C483C exp=D01B763F3DBF5C483C + 26498.50ns INFO [00026500] Port=0 WR @00=E77D63B90E535CE855 + 26498.50ns INFO [00026500] Port=0 RD @04 + 26499.50ns INFO [00026501] * RD COMPARE * port=0 adr=00 act=3E53258B628D0E179F exp=3E53258B628D0E179F + 26499.50ns INFO [00026501] * RD COMPARE * port=1 adr=01 act=D01B763F3DBF5C483C exp=D01B763F3DBF5C483C + 26499.50ns INFO [00026501] Port=1 RD @02 + 26500.50ns INFO [00026502] * RD COMPARE * port=0 adr=04 act=4516B0ACC3DF6D5B27 exp=4516B0ACC3DF6D5B27 + 26500.50ns INFO [00026502] Port=1 RD @01 + 26501.50ns INFO [00026503] * RD COMPARE * port=1 adr=02 act=2B8111CE347E108689 exp=2B8111CE347E108689 + 26501.50ns INFO [00026503] Port=0 RD @05 + 26502.50ns INFO [00026504] * RD COMPARE * port=1 adr=01 act=D01B763F3DBF5C483C exp=D01B763F3DBF5C483C + 26502.50ns INFO [00026504] Port=0 WR @05=E289C97FA9F7E7EAD4 + 26502.50ns INFO [00026504] Port=1 RD @02 + 26503.50ns INFO [00026505] * RD COMPARE * port=0 adr=05 act=48D1386F8638813706 exp=48D1386F8638813706 + 26504.50ns INFO [00026506] * RD COMPARE * port=1 adr=02 act=2B8111CE347E108689 exp=2B8111CE347E108689 + 26504.50ns INFO [00026506] Port=0 RD @03 + 26506.50ns INFO [00026508] * RD COMPARE * port=0 adr=03 act=2F20C6A76ADCD556F8 exp=2F20C6A76ADCD556F8 + 26506.50ns INFO [00026508] Port=0 WR @02=8D364E1F2249706843 + 26509.50ns INFO [00026511] Port=0 WR @06=036DA112A4897F21AD + 26510.50ns INFO [00026512] Port=0 RD @00 + 26510.50ns INFO [00026512] Port=1 RD @07 + 26512.50ns INFO [00026514] * RD COMPARE * port=0 adr=00 act=E77D63B90E535CE855 exp=E77D63B90E535CE855 + 26512.50ns INFO [00026514] * RD COMPARE * port=1 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26512.50ns INFO [00026514] Port=0 WR @04=6F23BE00AB7A09BE88 + 26512.50ns INFO [00026514] Port=1 RD @07 + 26513.50ns INFO [00026515] Port=0 WR @03=1C8ADD3B1F8E865D65 + 26514.50ns INFO [00026516] * RD COMPARE * port=1 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26514.50ns INFO [00026516] Port=0 RD @07 + 26515.50ns INFO [00026517] Port=0 WR @05=9E8219F97DFCA134DC + 26515.50ns INFO [00026517] Port=0 RD @07 + 26516.50ns INFO [00026518] * RD COMPARE * port=0 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26516.50ns INFO [00026518] Port=1 RD @04 + 26517.50ns INFO [00026519] * RD COMPARE * port=0 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26517.50ns INFO [00026519] Port=0 WR @04=C8BAA5C323A0C5542B + 26517.50ns INFO [00026519] Port=0 RD @05 + 26517.50ns INFO [00026519] Port=1 RD @03 + 26518.50ns INFO [00026520] * RD COMPARE * port=1 adr=04 act=6F23BE00AB7A09BE88 exp=6F23BE00AB7A09BE88 + 26518.50ns INFO [00026520] Port=0 RD @00 + 26519.50ns INFO [00026521] * RD COMPARE * port=0 adr=05 act=9E8219F97DFCA134DC exp=9E8219F97DFCA134DC + 26519.50ns INFO [00026521] * RD COMPARE * port=1 adr=03 act=1C8ADD3B1F8E865D65 exp=1C8ADD3B1F8E865D65 + 26519.50ns INFO [00026521] Port=0 WR @04=F30A7F6998DDDE3690 + 26519.50ns INFO [00026521] Port=0 RD @00 + 26519.50ns INFO [00026521] Port=1 RD @05 + 26520.50ns INFO [00026522] * RD COMPARE * port=0 adr=00 act=E77D63B90E535CE855 exp=E77D63B90E535CE855 + 26520.50ns INFO [00026522] Port=1 RD @03 + 26521.50ns INFO [00026523] * RD COMPARE * port=0 adr=00 act=E77D63B90E535CE855 exp=E77D63B90E535CE855 + 26521.50ns INFO [00026523] * RD COMPARE * port=1 adr=05 act=9E8219F97DFCA134DC exp=9E8219F97DFCA134DC + 26522.50ns INFO [00026524] * RD COMPARE * port=1 adr=03 act=1C8ADD3B1F8E865D65 exp=1C8ADD3B1F8E865D65 + 26522.50ns INFO [00026524] Port=0 RD @07 + 26522.50ns INFO [00026524] Port=1 RD @07 + 26523.50ns INFO [00026525] Port=0 WR @00=F80A73461E71EE5FD7 + 26523.50ns INFO [00026525] Port=1 RD @04 + 26524.50ns INFO [00026526] * RD COMPARE * port=0 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26524.50ns INFO [00026526] * RD COMPARE * port=1 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26524.50ns INFO [00026526] Port=0 WR @05=7304B410DB7DC7E836 + 26524.50ns INFO [00026526] Port=1 RD @03 + 26525.50ns INFO [00026527] * RD COMPARE * port=1 adr=04 act=F30A7F6998DDDE3690 exp=F30A7F6998DDDE3690 + 26526.50ns INFO [00026528] * RD COMPARE * port=1 adr=03 act=1C8ADD3B1F8E865D65 exp=1C8ADD3B1F8E865D65 + 26526.50ns INFO [00026528] Port=0 RD @05 + 26526.50ns INFO [00026528] Port=1 RD @00 + 26527.50ns INFO [00026529] Port=0 RD @07 + 26528.50ns INFO [00026530] * RD COMPARE * port=0 adr=05 act=7304B410DB7DC7E836 exp=7304B410DB7DC7E836 + 26528.50ns INFO [00026530] * RD COMPARE * port=1 adr=00 act=F80A73461E71EE5FD7 exp=F80A73461E71EE5FD7 + 26528.50ns INFO [00026530] Port=0 WR @02=B7A43970ED8CB26709 + 26528.50ns INFO [00026530] Port=0 RD @06 + 26528.50ns INFO [00026530] Port=1 RD @04 + 26529.50ns INFO [00026531] * RD COMPARE * port=0 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26529.50ns INFO [00026531] Port=0 RD @07 + 26529.50ns INFO [00026531] Port=1 RD @05 + 26530.50ns INFO [00026532] * RD COMPARE * port=0 adr=06 act=036DA112A4897F21AD exp=036DA112A4897F21AD + 26530.50ns INFO [00026532] * RD COMPARE * port=1 adr=04 act=F30A7F6998DDDE3690 exp=F30A7F6998DDDE3690 + 26531.50ns INFO [00026533] * RD COMPARE * port=0 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26531.50ns INFO [00026533] * RD COMPARE * port=1 adr=05 act=7304B410DB7DC7E836 exp=7304B410DB7DC7E836 + 26531.50ns INFO [00026533] Port=0 WR @03=4F8D9EF4826BC64CF9 + 26531.50ns INFO [00026533] Port=0 RD @06 + 26532.50ns INFO [00026534] Port=0 WR @00=77A85B05CCEC51EFBB + 26532.50ns INFO [00026534] Port=1 RD @06 + 26533.50ns INFO [00026535] * RD COMPARE * port=0 adr=06 act=036DA112A4897F21AD exp=036DA112A4897F21AD + 26533.50ns INFO [00026535] Port=0 RD @03 + 26534.50ns INFO [00026536] * RD COMPARE * port=1 adr=06 act=036DA112A4897F21AD exp=036DA112A4897F21AD + 26534.50ns INFO [00026536] Port=0 RD @07 + 26535.50ns INFO [00026537] * RD COMPARE * port=0 adr=03 act=4F8D9EF4826BC64CF9 exp=4F8D9EF4826BC64CF9 + 26536.50ns INFO [00026538] * RD COMPARE * port=0 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26538.50ns INFO [00026540] Port=0 WR @01=3CB5F0804CB1606A88 + 26538.50ns INFO [00026540] Port=0 RD @02 + 26540.50ns INFO [00026542] * RD COMPARE * port=0 adr=02 act=B7A43970ED8CB26709 exp=B7A43970ED8CB26709 + 26541.50ns INFO [00026543] Port=0 WR @00=37D6C0E5B207054901 + 26542.50ns INFO [00026544] Port=0 RD @00 + 26544.50ns INFO [00026546] * RD COMPARE * port=0 adr=00 act=37D6C0E5B207054901 exp=37D6C0E5B207054901 + 26544.50ns INFO [00026546] Port=0 WR @03=88447DF4F6AF31C87C + 26544.50ns INFO [00026546] Port=0 RD @06 + 26544.50ns INFO [00026546] Port=1 RD @06 + 26545.50ns INFO [00026547] Port=1 RD @04 + 26546.50ns INFO [00026548] * RD COMPARE * port=0 adr=06 act=036DA112A4897F21AD exp=036DA112A4897F21AD + 26546.50ns INFO [00026548] * RD COMPARE * port=1 adr=06 act=036DA112A4897F21AD exp=036DA112A4897F21AD + 26547.50ns INFO [00026549] * RD COMPARE * port=1 adr=04 act=F30A7F6998DDDE3690 exp=F30A7F6998DDDE3690 + 26547.50ns INFO [00026549] Port=0 WR @05=59592121842826732E + 26547.50ns INFO [00026549] Port=0 RD @03 + 26549.50ns INFO [00026551] * RD COMPARE * port=0 adr=03 act=88447DF4F6AF31C87C exp=88447DF4F6AF31C87C + 26549.50ns INFO [00026551] Port=0 WR @03=DA99D67154043DA59D + 26549.50ns INFO [00026551] Port=1 RD @01 + 26551.50ns INFO [00026553] * RD COMPARE * port=1 adr=01 act=3CB5F0804CB1606A88 exp=3CB5F0804CB1606A88 + 26551.50ns INFO [00026553] Port=0 RD @01 + 26552.50ns INFO [00026554] Port=0 RD @02 + 26553.50ns INFO [00026555] * RD COMPARE * port=0 adr=01 act=3CB5F0804CB1606A88 exp=3CB5F0804CB1606A88 + 26553.50ns INFO [00026555] Port=0 WR @02=B8BD72FFA904622589 + 26553.50ns INFO [00026555] Port=0 RD @07 + 26554.50ns INFO [00026556] * RD COMPARE * port=0 adr=02 act=B7A43970ED8CB26709 exp=B7A43970ED8CB26709 + 26554.50ns INFO [00026556] Port=0 WR @00=6F7F50DCBAB6C3B3DA + 26555.50ns INFO [00026557] * RD COMPARE * port=0 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26555.50ns INFO [00026557] Port=0 WR @00=376D60DA745926AB2E + 26556.50ns INFO [00026558] Port=1 RD @02 + 26557.50ns INFO [00026559] Port=0 RD @07 + 26557.50ns INFO [00026559] Port=1 RD @02 + 26558.50ns INFO [00026560] * RD COMPARE * port=1 adr=02 act=B8BD72FFA904622589 exp=B8BD72FFA904622589 + 26558.50ns INFO [00026560] Port=1 RD @07 + 26559.50ns INFO [00026561] * RD COMPARE * port=0 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26559.50ns INFO [00026561] * RD COMPARE * port=1 adr=02 act=B8BD72FFA904622589 exp=B8BD72FFA904622589 + 26559.50ns INFO [00026561] Port=0 WR @04=A6F8B6503BEDA03B44 + 26559.50ns INFO [00026561] Port=0 RD @06 + 26560.50ns INFO [00026562] * RD COMPARE * port=1 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26560.50ns INFO [00026562] Port=1 RD @07 + 26561.50ns INFO [00026563] * RD COMPARE * port=0 adr=06 act=036DA112A4897F21AD exp=036DA112A4897F21AD + 26562.50ns INFO [00026564] * RD COMPARE * port=1 adr=07 act=24F7136F57DBBC5C92 exp=24F7136F57DBBC5C92 + 26562.50ns INFO [00026564] Port=0 WR @07=74FBEC27DA08C814E5 + 26562.50ns INFO [00026564] Port=1 RD @01 + 26563.50ns INFO [00026565] Port=1 RD @02 + 26564.50ns INFO [00026566] * RD COMPARE * port=1 adr=01 act=3CB5F0804CB1606A88 exp=3CB5F0804CB1606A88 + 26564.50ns INFO [00026566] Port=0 RD @07 + 26564.50ns INFO [00026566] Port=1 RD @02 + 26565.50ns INFO [00026567] * RD COMPARE * port=1 adr=02 act=B8BD72FFA904622589 exp=B8BD72FFA904622589 + 26565.50ns INFO [00026567] Port=0 WR @06=ABDAE0023C401C6DEF + 26565.50ns INFO [00026567] Port=0 RD @03 + 26566.50ns INFO [00026568] * RD COMPARE * port=0 adr=07 act=74FBEC27DA08C814E5 exp=74FBEC27DA08C814E5 + 26566.50ns INFO [00026568] * RD COMPARE * port=1 adr=02 act=B8BD72FFA904622589 exp=B8BD72FFA904622589 + 26566.50ns INFO [00026568] Port=0 WR @06=5FCAF980E816E54D20 + 26566.50ns INFO [00026568] Port=1 RD @03 + 26567.50ns INFO [00026569] * RD COMPARE * port=0 adr=03 act=DA99D67154043DA59D exp=DA99D67154043DA59D + 26567.50ns INFO [00026569] Port=0 WR @02=9F22681E7EEB092770 + 26567.50ns INFO [00026569] Port=0 RD @00 + 26568.50ns INFO [00026570] * RD COMPARE * port=1 adr=03 act=DA99D67154043DA59D exp=DA99D67154043DA59D + 26569.50ns INFO [00026571] * RD COMPARE * port=0 adr=00 act=376D60DA745926AB2E exp=376D60DA745926AB2E + 26570.50ns INFO [00026572] Port=0 WR @01=8FC63DC7DC137A0BE0 + 26570.50ns INFO [00026572] Port=0 RD @00 + 26571.50ns INFO [00026573] Port=0 WR @02=E62B298F5DE52F979C + 26571.50ns INFO [00026573] Port=1 RD @01 + 26572.50ns INFO [00026574] * RD COMPARE * port=0 adr=00 act=376D60DA745926AB2E exp=376D60DA745926AB2E + 26572.50ns INFO [00026574] Port=1 RD @06 + 26573.50ns INFO [00026575] * RD COMPARE * port=1 adr=01 act=8FC63DC7DC137A0BE0 exp=8FC63DC7DC137A0BE0 + 26573.50ns INFO [00026575] Port=0 RD @04 + 26573.50ns INFO [00026575] Port=1 RD @06 + 26574.50ns INFO [00026576] * RD COMPARE * port=1 adr=06 act=5FCAF980E816E54D20 exp=5FCAF980E816E54D20 + 26574.50ns INFO [00026576] Port=0 WR @03=A99093DDB7531360A5 + 26575.50ns INFO [00026577] * RD COMPARE * port=0 adr=04 act=A6F8B6503BEDA03B44 exp=A6F8B6503BEDA03B44 + 26575.50ns INFO [00026577] * RD COMPARE * port=1 adr=06 act=5FCAF980E816E54D20 exp=5FCAF980E816E54D20 + 26575.50ns INFO [00026577] Port=0 WR @05=EAFF01482DEF7C87DE + 26575.50ns INFO [00026577] Port=1 RD @04 + 26576.50ns INFO [00026578] Port=1 RD @06 + 26577.50ns INFO [00026579] * RD COMPARE * port=1 adr=04 act=A6F8B6503BEDA03B44 exp=A6F8B6503BEDA03B44 + 26578.50ns INFO [00026580] * RD COMPARE * port=1 adr=06 act=5FCAF980E816E54D20 exp=5FCAF980E816E54D20 + 26578.50ns INFO [00026580] Port=0 RD @06 + 26579.50ns INFO [00026581] Port=0 WR @02=372D1FEB8C63C74923 + 26580.50ns INFO [00026582] * RD COMPARE * port=0 adr=06 act=5FCAF980E816E54D20 exp=5FCAF980E816E54D20 + 26580.50ns INFO [00026582] Port=0 WR @03=09CFBC7DCFBC124E9E + 26580.50ns INFO [00026582] Port=0 RD @07 + 26582.50ns INFO [00026584] * RD COMPARE * port=0 adr=07 act=74FBEC27DA08C814E5 exp=74FBEC27DA08C814E5 + 26582.50ns INFO [00026584] Port=0 RD @02 + 26583.50ns INFO [00026585] Port=0 WR @07=F0F9196D7E3389FFE5 + 26584.50ns INFO [00026586] * RD COMPARE * port=0 adr=02 act=372D1FEB8C63C74923 exp=372D1FEB8C63C74923 + 26584.50ns INFO [00026586] Port=0 WR @03=690C2A10283D45DACB + 26585.50ns INFO [00026587] Port=1 RD @05 + 26587.50ns INFO [00026589] * RD COMPARE * port=1 adr=05 act=EAFF01482DEF7C87DE exp=EAFF01482DEF7C87DE + 26587.50ns INFO [00026589] Port=0 WR @02=1039623BEBA0F1B5FC + 26587.50ns INFO [00026589] Port=1 RD @00 + 26588.50ns INFO [00026590] Port=0 WR @05=567F1FE29605FDB959 + 26588.50ns INFO [00026590] Port=0 RD @02 + 26589.50ns INFO [00026591] * RD COMPARE * port=1 adr=00 act=376D60DA745926AB2E exp=376D60DA745926AB2E + 26589.50ns INFO [00026591] Port=0 RD @00 + 26590.50ns INFO [00026592] * RD COMPARE * port=0 adr=02 act=1039623BEBA0F1B5FC exp=1039623BEBA0F1B5FC + 26590.50ns INFO [00026592] Port=0 WR @07=305CC25C09350B53A9 + 26590.50ns INFO [00026592] Port=0 RD @06 + 26590.50ns INFO [00026592] Port=1 RD @05 + 26591.50ns INFO [00026593] * RD COMPARE * port=0 adr=00 act=376D60DA745926AB2E exp=376D60DA745926AB2E + 26591.50ns INFO [00026593] Port=0 WR @04=1FCAC5F2B605A44FC3 + 26591.50ns INFO [00026593] Port=1 RD @02 + 26592.50ns INFO [00026594] * RD COMPARE * port=0 adr=06 act=5FCAF980E816E54D20 exp=5FCAF980E816E54D20 + 26592.50ns INFO [00026594] * RD COMPARE * port=1 adr=05 act=567F1FE29605FDB959 exp=567F1FE29605FDB959 + 26592.50ns INFO [00026594] Port=0 WR @00=4DD5776DA5B39437B7 + 26592.50ns INFO [00026594] Port=1 RD @06 + 26593.50ns INFO [00026595] * RD COMPARE * port=1 adr=02 act=1039623BEBA0F1B5FC exp=1039623BEBA0F1B5FC + 26593.50ns INFO [00026595] Port=0 WR @00=A91F87FEBFCA98C755 + 26593.50ns INFO [00026595] Port=0 RD @07 + 26593.50ns INFO [00026595] Port=1 RD @02 + 26594.50ns INFO [00026596] * RD COMPARE * port=1 adr=06 act=5FCAF980E816E54D20 exp=5FCAF980E816E54D20 + 26594.50ns INFO [00026596] Port=0 RD @01 + 26595.50ns INFO [00026597] * RD COMPARE * port=0 adr=07 act=305CC25C09350B53A9 exp=305CC25C09350B53A9 + 26595.50ns INFO [00026597] * RD COMPARE * port=1 adr=02 act=1039623BEBA0F1B5FC exp=1039623BEBA0F1B5FC + 26595.50ns INFO [00026597] Port=0 WR @06=F1751DD84380C9A320 + 26596.50ns INFO [00026598] * RD COMPARE * port=0 adr=01 act=8FC63DC7DC137A0BE0 exp=8FC63DC7DC137A0BE0 + 26597.50ns INFO [00026599] Port=0 WR @01=F2031DF704E4E06B55 + 26597.50ns INFO [00026599] Port=0 RD @03 + 26598.00ns INFO [00026600] [00026600] ...tick... + 26598.50ns INFO [00026600] Port=0 WR @03=F9A3A1F471F842497F + 26598.50ns INFO [00026600] Port=1 RD @01 + 26599.50ns INFO [00026601] * RD COMPARE * port=0 adr=03 act=690C2A10283D45DACB exp=690C2A10283D45DACB + 26600.50ns INFO [00026602] * RD COMPARE * port=1 adr=01 act=F2031DF704E4E06B55 exp=F2031DF704E4E06B55 + 26600.50ns INFO [00026602] Port=0 RD @04 + 26601.50ns INFO [00026603] Port=0 WR @00=D109AE11C548534F58 + 26601.50ns INFO [00026603] Port=1 RD @05 + 26602.50ns INFO [00026604] * RD COMPARE * port=0 adr=04 act=1FCAC5F2B605A44FC3 exp=1FCAC5F2B605A44FC3 + 26603.50ns INFO [00026605] * RD COMPARE * port=1 adr=05 act=567F1FE29605FDB959 exp=567F1FE29605FDB959 + 26603.50ns INFO [00026605] Port=0 RD @00 + 26603.50ns INFO [00026605] Port=1 RD @07 + 26605.50ns INFO [00026607] * RD COMPARE * port=0 adr=00 act=D109AE11C548534F58 exp=D109AE11C548534F58 + 26605.50ns INFO [00026607] * RD COMPARE * port=1 adr=07 act=305CC25C09350B53A9 exp=305CC25C09350B53A9 + 26605.50ns INFO [00026607] Port=0 WR @01=D18CC4B4389CAC75FE + 26606.50ns INFO [00026608] Port=0 RD @06 + 26606.50ns INFO [00026608] Port=1 RD @03 + 26608.50ns INFO [00026610] * RD COMPARE * port=0 adr=06 act=F1751DD84380C9A320 exp=F1751DD84380C9A320 + 26608.50ns INFO [00026610] * RD COMPARE * port=1 adr=03 act=F9A3A1F471F842497F exp=F9A3A1F471F842497F + 26608.50ns INFO [00026610] Port=0 WR @05=3B46F7D982BD9918B7 + 26608.50ns INFO [00026610] Port=0 RD @07 + 26608.50ns INFO [00026610] Port=1 RD @06 + 26610.50ns INFO [00026612] * RD COMPARE * port=0 adr=07 act=305CC25C09350B53A9 exp=305CC25C09350B53A9 + 26610.50ns INFO [00026612] * RD COMPARE * port=1 adr=06 act=F1751DD84380C9A320 exp=F1751DD84380C9A320 + 26610.50ns INFO [00026612] Port=0 WR @03=CB30EB79EF4F6E046D + 26611.50ns INFO [00026613] Port=0 RD @00 + 26612.50ns INFO [00026614] Port=0 RD @04 + 26613.50ns INFO [00026615] * RD COMPARE * port=0 adr=00 act=D109AE11C548534F58 exp=D109AE11C548534F58 + 26613.50ns INFO [00026615] Port=0 RD @04 + 26614.50ns INFO [00026616] * RD COMPARE * port=0 adr=04 act=1FCAC5F2B605A44FC3 exp=1FCAC5F2B605A44FC3 + 26614.50ns INFO [00026616] Port=0 WR @01=3D1DFBC22328E21B2F + 26614.50ns INFO [00026616] Port=0 RD @04 + 26615.50ns INFO [00026617] * RD COMPARE * port=0 adr=04 act=1FCAC5F2B605A44FC3 exp=1FCAC5F2B605A44FC3 + 26615.50ns INFO [00026617] Port=0 WR @03=CF8CAAD72570B1C152 + 26616.50ns INFO [00026618] * RD COMPARE * port=0 adr=04 act=1FCAC5F2B605A44FC3 exp=1FCAC5F2B605A44FC3 + 26616.50ns INFO [00026618] Port=0 WR @00=1DC6E25F112EF9EAC7 + 26616.50ns INFO [00026618] Port=0 RD @03 + 26617.50ns INFO [00026619] Port=0 WR @06=AF5D1A000C04F224CD + 26618.50ns INFO [00026620] * RD COMPARE * port=0 adr=03 act=CF8CAAD72570B1C152 exp=CF8CAAD72570B1C152 + 26618.50ns INFO [00026620] Port=0 RD @06 + 26619.50ns INFO [00026621] Port=0 RD @02 + 26619.50ns INFO [00026621] Port=1 RD @04 + 26620.50ns INFO [00026622] * RD COMPARE * port=0 adr=06 act=AF5D1A000C04F224CD exp=AF5D1A000C04F224CD + 26620.50ns INFO [00026622] Port=0 RD @05 + 26621.50ns INFO [00026623] * RD COMPARE * port=0 adr=02 act=1039623BEBA0F1B5FC exp=1039623BEBA0F1B5FC + 26621.50ns INFO [00026623] * RD COMPARE * port=1 adr=04 act=1FCAC5F2B605A44FC3 exp=1FCAC5F2B605A44FC3 + 26622.50ns INFO [00026624] * RD COMPARE * port=0 adr=05 act=3B46F7D982BD9918B7 exp=3B46F7D982BD9918B7 + 26623.50ns INFO [00026625] Port=1 RD @07 + 26624.50ns INFO [00026626] Port=1 RD @01 + 26625.50ns INFO [00026627] * RD COMPARE * port=1 adr=07 act=305CC25C09350B53A9 exp=305CC25C09350B53A9 + 26626.50ns INFO [00026628] * RD COMPARE * port=1 adr=01 act=3D1DFBC22328E21B2F exp=3D1DFBC22328E21B2F + 26626.50ns INFO [00026628] Port=1 RD @01 + 26627.50ns INFO [00026629] Port=0 WR @06=3C7028608E22234C5F + 26628.50ns INFO [00026630] * RD COMPARE * port=1 adr=01 act=3D1DFBC22328E21B2F exp=3D1DFBC22328E21B2F + 26629.50ns INFO [00026631] Port=0 RD @07 + 26629.50ns INFO [00026631] Port=1 RD @05 + 26630.50ns INFO [00026632] Port=0 WR @06=58EBACE37F00F03DA2 + 26631.50ns INFO [00026633] * RD COMPARE * port=0 adr=07 act=305CC25C09350B53A9 exp=305CC25C09350B53A9 + 26631.50ns INFO [00026633] * RD COMPARE * port=1 adr=05 act=3B46F7D982BD9918B7 exp=3B46F7D982BD9918B7 + 26631.50ns INFO [00026633] Port=0 WR @04=E82CFE37F96392D97A + 26632.50ns INFO [00026634] Port=0 WR @00=250D5A0652EB3200AB + 26632.50ns INFO [00026634] Port=1 RD @02 + 26634.50ns INFO [00026636] * RD COMPARE * port=1 adr=02 act=1039623BEBA0F1B5FC exp=1039623BEBA0F1B5FC + 26634.50ns INFO [00026636] Port=0 RD @05 + 26635.50ns INFO [00026637] Port=0 WR @01=26DD8431BC552D6C02 + 26635.50ns INFO [00026637] Port=1 RD @00 + 26636.50ns INFO [00026638] * RD COMPARE * port=0 adr=05 act=3B46F7D982BD9918B7 exp=3B46F7D982BD9918B7 + 26636.50ns INFO [00026638] Port=0 RD @03 + 26636.50ns INFO [00026638] Port=1 RD @00 + 26637.50ns INFO [00026639] * RD COMPARE * port=1 adr=00 act=250D5A0652EB3200AB exp=250D5A0652EB3200AB + 26637.50ns INFO [00026639] Port=0 RD @03 + 26638.50ns INFO [00026640] * RD COMPARE * port=0 adr=03 act=CF8CAAD72570B1C152 exp=CF8CAAD72570B1C152 + 26638.50ns INFO [00026640] * RD COMPARE * port=1 adr=00 act=250D5A0652EB3200AB exp=250D5A0652EB3200AB + 26639.50ns INFO [00026641] * RD COMPARE * port=0 adr=03 act=CF8CAAD72570B1C152 exp=CF8CAAD72570B1C152 + 26639.50ns INFO [00026641] Port=0 RD @01 + 26640.50ns INFO [00026642] Port=0 RD @00 + 26640.50ns INFO [00026642] Port=1 RD @02 + 26641.50ns INFO [00026643] * RD COMPARE * port=0 adr=01 act=26DD8431BC552D6C02 exp=26DD8431BC552D6C02 + 26641.50ns INFO [00026643] Port=0 WR @03=558BDD60BD098BB67F + 26642.50ns INFO [00026644] * RD COMPARE * port=0 adr=00 act=250D5A0652EB3200AB exp=250D5A0652EB3200AB + 26642.50ns INFO [00026644] * RD COMPARE * port=1 adr=02 act=1039623BEBA0F1B5FC exp=1039623BEBA0F1B5FC + 26642.50ns INFO [00026644] Port=0 RD @04 + 26642.50ns INFO [00026644] Port=1 RD @00 + 26643.50ns INFO [00026645] Port=0 RD @05 + 26643.50ns INFO [00026645] Port=1 RD @03 + 26644.50ns INFO [00026646] * RD COMPARE * port=0 adr=04 act=E82CFE37F96392D97A exp=E82CFE37F96392D97A + 26644.50ns INFO [00026646] * RD COMPARE * port=1 adr=00 act=250D5A0652EB3200AB exp=250D5A0652EB3200AB + 26644.50ns INFO [00026646] Port=1 RD @03 + 26645.50ns INFO [00026647] * RD COMPARE * port=0 adr=05 act=3B46F7D982BD9918B7 exp=3B46F7D982BD9918B7 + 26645.50ns INFO [00026647] * RD COMPARE * port=1 adr=03 act=558BDD60BD098BB67F exp=558BDD60BD098BB67F + 26645.50ns INFO [00026647] Port=0 WR @01=F3C3400DE7E4F5AD08 + 26645.50ns INFO [00026647] Port=0 RD @07 + 26646.50ns INFO [00026648] * RD COMPARE * port=1 adr=03 act=558BDD60BD098BB67F exp=558BDD60BD098BB67F + 26646.50ns INFO [00026648] Port=0 WR @06=78220EBD0E0DFFC9D2 + 26647.50ns INFO [00026649] * RD COMPARE * port=0 adr=07 act=305CC25C09350B53A9 exp=305CC25C09350B53A9 + 26647.50ns INFO [00026649] Port=0 WR @06=E873007E29B1D47C27 + 26648.50ns INFO [00026650] Port=0 WR @07=14B6A727E89E7F644F + 26648.50ns INFO [00026650] Port=0 RD @01 + 26648.50ns INFO [00026650] Port=1 RD @03 + 26649.50ns INFO [00026651] Port=1 RD @02 + 26650.50ns INFO [00026652] * RD COMPARE * port=0 adr=01 act=F3C3400DE7E4F5AD08 exp=F3C3400DE7E4F5AD08 + 26650.50ns INFO [00026652] * RD COMPARE * port=1 adr=03 act=558BDD60BD098BB67F exp=558BDD60BD098BB67F + 26650.50ns INFO [00026652] Port=0 RD @04 + 26650.50ns INFO [00026652] Port=1 RD @06 + 26651.50ns INFO [00026653] * RD COMPARE * port=1 adr=02 act=1039623BEBA0F1B5FC exp=1039623BEBA0F1B5FC + 26651.50ns INFO [00026653] Port=0 WR @06=85FEAF5419234EEFF1 + 26651.50ns INFO [00026653] Port=0 RD @01 + 26651.50ns INFO [00026653] Port=1 RD @02 + 26652.50ns INFO [00026654] * RD COMPARE * port=0 adr=04 act=E82CFE37F96392D97A exp=E82CFE37F96392D97A + 26652.50ns INFO [00026654] * RD COMPARE * port=1 adr=06 act=E873007E29B1D47C27 exp=E873007E29B1D47C27 + 26653.50ns INFO [00026655] * RD COMPARE * port=0 adr=01 act=F3C3400DE7E4F5AD08 exp=F3C3400DE7E4F5AD08 + 26653.50ns INFO [00026655] * RD COMPARE * port=1 adr=02 act=1039623BEBA0F1B5FC exp=1039623BEBA0F1B5FC + 26653.50ns INFO [00026655] Port=1 RD @06 + 26654.50ns INFO [00026656] Port=0 WR @02=A15032AFF856FF1AA2 + 26654.50ns INFO [00026656] Port=0 RD @00 + 26655.50ns INFO [00026657] * RD COMPARE * port=1 adr=06 act=85FEAF5419234EEFF1 exp=85FEAF5419234EEFF1 + 26656.50ns INFO [00026658] * RD COMPARE * port=0 adr=00 act=250D5A0652EB3200AB exp=250D5A0652EB3200AB + 26657.50ns INFO [00026659] Port=0 WR @07=B1440BF03A1B3D2F18 + 26657.50ns INFO [00026659] Port=0 RD @01 + 26657.50ns INFO [00026659] Port=1 RD @03 + 26659.50ns INFO [00026661] * RD COMPARE * port=0 adr=01 act=F3C3400DE7E4F5AD08 exp=F3C3400DE7E4F5AD08 + 26659.50ns INFO [00026661] * RD COMPARE * port=1 adr=03 act=558BDD60BD098BB67F exp=558BDD60BD098BB67F + 26659.50ns INFO [00026661] Port=1 RD @05 + 26660.50ns INFO [00026662] Port=0 WR @00=76D7F5C395F3CA4B50 + 26660.50ns INFO [00026662] Port=0 RD @03 + 26661.50ns INFO [00026663] * RD COMPARE * port=1 adr=05 act=3B46F7D982BD9918B7 exp=3B46F7D982BD9918B7 + 26661.50ns INFO [00026663] Port=0 WR @07=DBAE459F153045A2F2 + 26661.50ns INFO [00026663] Port=0 RD @06 + 26662.50ns INFO [00026664] * RD COMPARE * port=0 adr=03 act=558BDD60BD098BB67F exp=558BDD60BD098BB67F + 26662.50ns INFO [00026664] Port=0 WR @03=23895D4941F079433B + 26662.50ns INFO [00026664] Port=0 RD @02 + 26663.50ns INFO [00026665] * RD COMPARE * port=0 adr=06 act=85FEAF5419234EEFF1 exp=85FEAF5419234EEFF1 + 26663.50ns INFO [00026665] Port=0 RD @06 + 26664.50ns INFO [00026666] * RD COMPARE * port=0 adr=02 act=A15032AFF856FF1AA2 exp=A15032AFF856FF1AA2 + 26664.50ns INFO [00026666] Port=0 WR @01=3ECA549486417D514E + 26665.50ns INFO [00026667] * RD COMPARE * port=0 adr=06 act=85FEAF5419234EEFF1 exp=85FEAF5419234EEFF1 + 26665.50ns INFO [00026667] Port=0 RD @00 + 26665.50ns INFO [00026667] Port=1 RD @02 + 26666.50ns INFO [00026668] Port=1 RD @04 + 26667.50ns INFO [00026669] * RD COMPARE * port=0 adr=00 act=76D7F5C395F3CA4B50 exp=76D7F5C395F3CA4B50 + 26667.50ns INFO [00026669] * RD COMPARE * port=1 adr=02 act=A15032AFF856FF1AA2 exp=A15032AFF856FF1AA2 + 26667.50ns INFO [00026669] Port=0 WR @01=B0E5B9235C77CC1CE1 + 26667.50ns INFO [00026669] Port=1 RD @02 + 26668.50ns INFO [00026670] * RD COMPARE * port=1 adr=04 act=E82CFE37F96392D97A exp=E82CFE37F96392D97A + 26668.50ns INFO [00026670] Port=0 WR @02=AECC3FB32A88FA6B76 + 26668.50ns INFO [00026670] Port=0 RD @00 + 26668.50ns INFO [00026670] Port=1 RD @04 + 26669.50ns INFO [00026671] * RD COMPARE * port=1 adr=02 act=A15032AFF856FF1AA2 exp=A15032AFF856FF1AA2 + 26669.50ns INFO [00026671] Port=0 WR @00=A715C4232D10AA9206 + 26670.50ns INFO [00026672] * RD COMPARE * port=0 adr=00 act=76D7F5C395F3CA4B50 exp=76D7F5C395F3CA4B50 + 26670.50ns INFO [00026672] * RD COMPARE * port=1 adr=04 act=E82CFE37F96392D97A exp=E82CFE37F96392D97A + 26670.50ns INFO [00026672] Port=0 RD @03 + 26670.50ns INFO [00026672] Port=1 RD @05 + 26671.50ns INFO [00026673] Port=0 WR @07=A3BE29E19AA63C76F4 + 26672.50ns INFO [00026674] * RD COMPARE * port=0 adr=03 act=23895D4941F079433B exp=23895D4941F079433B + 26672.50ns INFO [00026674] * RD COMPARE * port=1 adr=05 act=3B46F7D982BD9918B7 exp=3B46F7D982BD9918B7 + 26672.50ns INFO [00026674] Port=0 WR @04=EE761BD2D0989B4E42 + 26672.50ns INFO [00026674] Port=0 RD @00 + 26672.50ns INFO [00026674] Port=1 RD @05 + 26673.50ns INFO [00026675] Port=1 RD @04 + 26674.50ns INFO [00026676] * RD COMPARE * port=0 adr=00 act=A715C4232D10AA9206 exp=A715C4232D10AA9206 + 26674.50ns INFO [00026676] * RD COMPARE * port=1 adr=05 act=3B46F7D982BD9918B7 exp=3B46F7D982BD9918B7 + 26675.50ns INFO [00026677] * RD COMPARE * port=1 adr=04 act=EE761BD2D0989B4E42 exp=EE761BD2D0989B4E42 + 26675.50ns INFO [00026677] Port=0 WR @01=0EDD9558AA6FDEEEC6 + 26675.50ns INFO [00026677] Port=0 RD @03 + 26675.50ns INFO [00026677] Port=1 RD @06 + 26676.50ns INFO [00026678] Port=0 WR @02=9830F2A25684BC6408 + 26676.50ns INFO [00026678] Port=0 RD @07 + 26676.50ns INFO [00026678] Port=1 RD @04 + 26677.50ns INFO [00026679] * RD COMPARE * port=0 adr=03 act=23895D4941F079433B exp=23895D4941F079433B + 26677.50ns INFO [00026679] * RD COMPARE * port=1 adr=06 act=85FEAF5419234EEFF1 exp=85FEAF5419234EEFF1 + 26678.50ns INFO [00026680] * RD COMPARE * port=0 adr=07 act=A3BE29E19AA63C76F4 exp=A3BE29E19AA63C76F4 + 26678.50ns INFO [00026680] * RD COMPARE * port=1 adr=04 act=EE761BD2D0989B4E42 exp=EE761BD2D0989B4E42 + 26678.50ns INFO [00026680] Port=0 WR @05=0FE3318C68ED5965BC + 26678.50ns INFO [00026680] Port=0 RD @01 + 26679.50ns INFO [00026681] Port=0 RD @04 + 26679.50ns INFO [00026681] Port=1 RD @00 + 26680.50ns INFO [00026682] * RD COMPARE * port=0 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26680.50ns INFO [00026682] Port=0 WR @03=4B4BF608659F109077 + 26681.50ns INFO [00026683] * RD COMPARE * port=0 adr=04 act=EE761BD2D0989B4E42 exp=EE761BD2D0989B4E42 + 26681.50ns INFO [00026683] * RD COMPARE * port=1 adr=00 act=A715C4232D10AA9206 exp=A715C4232D10AA9206 + 26681.50ns INFO [00026683] Port=0 WR @04=76E053BC8FAF5C164C + 26681.50ns INFO [00026683] Port=0 RD @00 + 26682.50ns INFO [00026684] Port=0 WR @07=ED3DDC2BCA5CC53D04 + 26682.50ns INFO [00026684] Port=0 RD @01 + 26682.50ns INFO [00026684] Port=1 RD @05 + 26683.50ns INFO [00026685] * RD COMPARE * port=0 adr=00 act=A715C4232D10AA9206 exp=A715C4232D10AA9206 + 26683.50ns INFO [00026685] Port=0 WR @00=B8A0FD8B3D908F7DF3 + 26683.50ns INFO [00026685] Port=0 RD @03 + 26684.50ns INFO [00026686] * RD COMPARE * port=0 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26684.50ns INFO [00026686] * RD COMPARE * port=1 adr=05 act=0FE3318C68ED5965BC exp=0FE3318C68ED5965BC + 26684.50ns INFO [00026686] Port=0 WR @00=6ABDA89190F3D0F590 + 26684.50ns INFO [00026686] Port=1 RD @02 + 26685.50ns INFO [00026687] * RD COMPARE * port=0 adr=03 act=4B4BF608659F109077 exp=4B4BF608659F109077 + 26685.50ns INFO [00026687] Port=0 WR @04=1111C8A54CCC80C1E6 + 26685.50ns INFO [00026687] Port=0 RD @05 + 26686.50ns INFO [00026688] * RD COMPARE * port=1 adr=02 act=9830F2A25684BC6408 exp=9830F2A25684BC6408 + 26686.50ns INFO [00026688] Port=0 RD @02 + 26687.50ns INFO [00026689] * RD COMPARE * port=0 adr=05 act=0FE3318C68ED5965BC exp=0FE3318C68ED5965BC + 26688.50ns INFO [00026690] * RD COMPARE * port=0 adr=02 act=9830F2A25684BC6408 exp=9830F2A25684BC6408 + 26688.50ns INFO [00026690] Port=1 RD @03 + 26689.50ns INFO [00026691] Port=0 RD @03 + 26689.50ns INFO [00026691] Port=1 RD @05 + 26690.50ns INFO [00026692] * RD COMPARE * port=1 adr=03 act=4B4BF608659F109077 exp=4B4BF608659F109077 + 26690.50ns INFO [00026692] Port=0 RD @02 + 26691.50ns INFO [00026693] * RD COMPARE * port=0 adr=03 act=4B4BF608659F109077 exp=4B4BF608659F109077 + 26691.50ns INFO [00026693] * RD COMPARE * port=1 adr=05 act=0FE3318C68ED5965BC exp=0FE3318C68ED5965BC + 26691.50ns INFO [00026693] Port=1 RD @03 + 26692.50ns INFO [00026694] * RD COMPARE * port=0 adr=02 act=9830F2A25684BC6408 exp=9830F2A25684BC6408 + 26692.50ns INFO [00026694] Port=0 WR @03=D67445324D58CF49FA + 26692.50ns INFO [00026694] Port=0 RD @01 + 26692.50ns INFO [00026694] Port=1 RD @02 + 26693.50ns INFO [00026695] * RD COMPARE * port=1 adr=03 act=4B4BF608659F109077 exp=4B4BF608659F109077 + 26693.50ns INFO [00026695] Port=0 WR @07=3247EF43CE626E4E85 + 26693.50ns INFO [00026695] Port=0 RD @00 + 26694.50ns INFO [00026696] * RD COMPARE * port=0 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26694.50ns INFO [00026696] * RD COMPARE * port=1 adr=02 act=9830F2A25684BC6408 exp=9830F2A25684BC6408 + 26694.50ns INFO [00026696] Port=0 WR @02=16E245CCD5DE156B4D + 26694.50ns INFO [00026696] Port=1 RD @01 + 26695.50ns INFO [00026697] * RD COMPARE * port=0 adr=00 act=6ABDA89190F3D0F590 exp=6ABDA89190F3D0F590 + 26695.50ns INFO [00026697] Port=0 WR @05=4F5890FDE71F9EB74B + 26695.50ns INFO [00026697] Port=0 RD @01 + 26696.50ns INFO [00026698] * RD COMPARE * port=1 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26697.50ns INFO [00026699] * RD COMPARE * port=0 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26698.00ns INFO [00026700] [00026700] ...tick... + 26698.50ns INFO [00026700] Port=0 RD @04 + 26699.50ns INFO [00026701] Port=0 WR @05=29A9BB91C700F600FD + 26700.50ns INFO [00026702] * RD COMPARE * port=0 adr=04 act=1111C8A54CCC80C1E6 exp=1111C8A54CCC80C1E6 + 26700.50ns INFO [00026702] Port=0 WR @06=EF35C937DA2124351A + 26701.50ns INFO [00026703] Port=0 WR @00=5739B185929429BDE5 + 26701.50ns INFO [00026703] Port=0 RD @02 + 26702.50ns INFO [00026704] Port=0 RD @01 + 26702.50ns INFO [00026704] Port=1 RD @03 + 26703.50ns INFO [00026705] * RD COMPARE * port=0 adr=02 act=16E245CCD5DE156B4D exp=16E245CCD5DE156B4D + 26704.50ns INFO [00026706] * RD COMPARE * port=0 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26704.50ns INFO [00026706] * RD COMPARE * port=1 adr=03 act=D67445324D58CF49FA exp=D67445324D58CF49FA + 26704.50ns INFO [00026706] Port=0 RD @04 + 26704.50ns INFO [00026706] Port=1 RD @05 + 26705.50ns INFO [00026707] Port=1 RD @06 + 26706.50ns INFO [00026708] * RD COMPARE * port=0 adr=04 act=1111C8A54CCC80C1E6 exp=1111C8A54CCC80C1E6 + 26706.50ns INFO [00026708] * RD COMPARE * port=1 adr=05 act=29A9BB91C700F600FD exp=29A9BB91C700F600FD + 26706.50ns INFO [00026708] Port=0 RD @02 + 26707.50ns INFO [00026709] * RD COMPARE * port=1 adr=06 act=EF35C937DA2124351A exp=EF35C937DA2124351A + 26707.50ns INFO [00026709] Port=0 RD @07 + 26707.50ns INFO [00026709] Port=1 RD @01 + 26708.50ns INFO [00026710] * RD COMPARE * port=0 adr=02 act=16E245CCD5DE156B4D exp=16E245CCD5DE156B4D + 26708.50ns INFO [00026710] Port=0 WR @00=BAC3EF115D031A5616 + 26708.50ns INFO [00026710] Port=0 RD @05 + 26708.50ns INFO [00026710] Port=1 RD @03 + 26709.50ns INFO [00026711] * RD COMPARE * port=0 adr=07 act=3247EF43CE626E4E85 exp=3247EF43CE626E4E85 + 26709.50ns INFO [00026711] * RD COMPARE * port=1 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26709.50ns INFO [00026711] Port=0 WR @03=3A013537EB4EFCE072 + 26709.50ns INFO [00026711] Port=0 RD @00 + 26710.50ns INFO [00026712] * RD COMPARE * port=0 adr=05 act=29A9BB91C700F600FD exp=29A9BB91C700F600FD + 26710.50ns INFO [00026712] * RD COMPARE * port=1 adr=03 act=D67445324D58CF49FA exp=D67445324D58CF49FA + 26711.50ns INFO [00026713] * RD COMPARE * port=0 adr=00 act=BAC3EF115D031A5616 exp=BAC3EF115D031A5616 + 26711.50ns INFO [00026713] Port=0 WR @00=BEA3B4DBF8351B0909 + 26712.50ns INFO [00026714] Port=0 WR @03=EB50DBC87640CE5DF3 + 26713.50ns INFO [00026715] Port=1 RD @04 + 26714.50ns INFO [00026716] Port=1 RD @02 + 26715.50ns INFO [00026717] * RD COMPARE * port=1 adr=04 act=1111C8A54CCC80C1E6 exp=1111C8A54CCC80C1E6 + 26715.50ns INFO [00026717] Port=0 WR @03=F525577A02CEC5BD77 + 26716.50ns INFO [00026718] * RD COMPARE * port=1 adr=02 act=16E245CCD5DE156B4D exp=16E245CCD5DE156B4D + 26718.50ns INFO [00026720] Port=0 RD @00 + 26719.50ns INFO [00026721] Port=0 RD @02 + 26720.50ns INFO [00026722] * RD COMPARE * port=0 adr=00 act=BEA3B4DBF8351B0909 exp=BEA3B4DBF8351B0909 + 26720.50ns INFO [00026722] Port=0 RD @05 + 26721.50ns INFO [00026723] * RD COMPARE * port=0 adr=02 act=16E245CCD5DE156B4D exp=16E245CCD5DE156B4D + 26721.50ns INFO [00026723] Port=0 RD @06 + 26721.50ns INFO [00026723] Port=1 RD @07 + 26722.50ns INFO [00026724] * RD COMPARE * port=0 adr=05 act=29A9BB91C700F600FD exp=29A9BB91C700F600FD + 26722.50ns INFO [00026724] Port=0 WR @07=8E40DD9951988529EE + 26722.50ns INFO [00026724] Port=1 RD @04 + 26723.50ns INFO [00026725] * RD COMPARE * port=0 adr=06 act=EF35C937DA2124351A exp=EF35C937DA2124351A + 26723.50ns INFO [00026725] * RD COMPARE * port=1 adr=07 act=3247EF43CE626E4E85 exp=3247EF43CE626E4E85 + 26724.50ns INFO [00026726] * RD COMPARE * port=1 adr=04 act=1111C8A54CCC80C1E6 exp=1111C8A54CCC80C1E6 + 26724.50ns INFO [00026726] Port=0 WR @00=9FEB8718C74C9D3DF8 + 26725.50ns INFO [00026727] Port=0 WR @02=999E28E4C4AE03E64A + 26725.50ns INFO [00026727] Port=0 RD @04 + 26726.50ns INFO [00026728] Port=0 RD @03 + 26727.50ns INFO [00026729] * RD COMPARE * port=0 adr=04 act=1111C8A54CCC80C1E6 exp=1111C8A54CCC80C1E6 + 26727.50ns INFO [00026729] Port=0 WR @02=E602F61D82B8E9F2BA + 26727.50ns INFO [00026729] Port=1 RD @05 + 26728.50ns INFO [00026730] * RD COMPARE * port=0 adr=03 act=F525577A02CEC5BD77 exp=F525577A02CEC5BD77 + 26728.50ns INFO [00026730] Port=1 RD @04 + 26729.50ns INFO [00026731] * RD COMPARE * port=1 adr=05 act=29A9BB91C700F600FD exp=29A9BB91C700F600FD + 26729.50ns INFO [00026731] Port=1 RD @03 + 26730.50ns INFO [00026732] * RD COMPARE * port=1 adr=04 act=1111C8A54CCC80C1E6 exp=1111C8A54CCC80C1E6 + 26730.50ns INFO [00026732] Port=1 RD @06 + 26731.50ns INFO [00026733] * RD COMPARE * port=1 adr=03 act=F525577A02CEC5BD77 exp=F525577A02CEC5BD77 + 26731.50ns INFO [00026733] Port=0 WR @02=52CAEA33DDBFA4859B + 26731.50ns INFO [00026733] Port=1 RD @06 + 26732.50ns INFO [00026734] * RD COMPARE * port=1 adr=06 act=EF35C937DA2124351A exp=EF35C937DA2124351A + 26732.50ns INFO [00026734] Port=0 RD @01 + 26733.50ns INFO [00026735] * RD COMPARE * port=1 adr=06 act=EF35C937DA2124351A exp=EF35C937DA2124351A + 26734.50ns INFO [00026736] * RD COMPARE * port=0 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26734.50ns INFO [00026736] Port=0 WR @04=9607BC5FCC1CD71F9D + 26734.50ns INFO [00026736] Port=0 RD @06 + 26734.50ns INFO [00026736] Port=1 RD @01 + 26735.50ns INFO [00026737] Port=0 WR @07=F585C5CB1E384D0ADD + 26735.50ns INFO [00026737] Port=0 RD @03 + 26735.50ns INFO [00026737] Port=1 RD @04 + 26736.50ns INFO [00026738] * RD COMPARE * port=0 adr=06 act=EF35C937DA2124351A exp=EF35C937DA2124351A + 26736.50ns INFO [00026738] * RD COMPARE * port=1 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26736.50ns INFO [00026738] Port=0 WR @06=F14AB0DC87170858A2 + 26737.50ns INFO [00026739] * RD COMPARE * port=0 adr=03 act=F525577A02CEC5BD77 exp=F525577A02CEC5BD77 + 26737.50ns INFO [00026739] * RD COMPARE * port=1 adr=04 act=9607BC5FCC1CD71F9D exp=9607BC5FCC1CD71F9D + 26739.50ns INFO [00026741] Port=1 RD @07 + 26740.50ns INFO [00026742] Port=0 RD @05 + 26741.50ns INFO [00026743] * RD COMPARE * port=1 adr=07 act=F585C5CB1E384D0ADD exp=F585C5CB1E384D0ADD + 26741.50ns INFO [00026743] Port=0 RD @06 + 26742.50ns INFO [00026744] * RD COMPARE * port=0 adr=05 act=29A9BB91C700F600FD exp=29A9BB91C700F600FD + 26743.50ns INFO [00026745] * RD COMPARE * port=0 adr=06 act=F14AB0DC87170858A2 exp=F14AB0DC87170858A2 + 26744.50ns INFO [00026746] Port=0 RD @06 + 26744.50ns INFO [00026746] Port=1 RD @07 + 26746.50ns INFO [00026748] * RD COMPARE * port=0 adr=06 act=F14AB0DC87170858A2 exp=F14AB0DC87170858A2 + 26746.50ns INFO [00026748] * RD COMPARE * port=1 adr=07 act=F585C5CB1E384D0ADD exp=F585C5CB1E384D0ADD + 26746.50ns INFO [00026748] Port=1 RD @04 + 26748.50ns INFO [00026750] * RD COMPARE * port=1 adr=04 act=9607BC5FCC1CD71F9D exp=9607BC5FCC1CD71F9D + 26748.50ns INFO [00026750] Port=0 RD @01 + 26749.50ns INFO [00026751] Port=0 WR @04=9119130AA35BFC1365 + 26749.50ns INFO [00026751] Port=1 RD @06 + 26750.50ns INFO [00026752] * RD COMPARE * port=0 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26750.50ns INFO [00026752] Port=0 RD @01 + 26751.50ns INFO [00026753] * RD COMPARE * port=1 adr=06 act=F14AB0DC87170858A2 exp=F14AB0DC87170858A2 + 26751.50ns INFO [00026753] Port=1 RD @06 + 26752.50ns INFO [00026754] * RD COMPARE * port=0 adr=01 act=0EDD9558AA6FDEEEC6 exp=0EDD9558AA6FDEEEC6 + 26752.50ns INFO [00026754] Port=0 RD @07 + 26752.50ns INFO [00026754] Port=1 RD @04 + 26753.50ns INFO [00026755] * RD COMPARE * port=1 adr=06 act=F14AB0DC87170858A2 exp=F14AB0DC87170858A2 + 26753.50ns INFO [00026755] Port=0 WR @04=A2FF897EC244195248 + 26754.50ns INFO [00026756] * RD COMPARE * port=0 adr=07 act=F585C5CB1E384D0ADD exp=F585C5CB1E384D0ADD + 26754.50ns INFO [00026756] * RD COMPARE * port=1 adr=04 act=9119130AA35BFC1365 exp=9119130AA35BFC1365 + 26754.50ns INFO [00026756] Port=1 RD @04 + 26755.50ns INFO [00026757] Port=0 RD @00 + 26756.50ns INFO [00026758] * RD COMPARE * port=1 adr=04 act=A2FF897EC244195248 exp=A2FF897EC244195248 + 26756.50ns INFO [00026758] Port=0 WR @01=70B88BF409C8B71C7F + 26756.50ns INFO [00026758] Port=0 RD @00 + 26756.50ns INFO [00026758] Port=1 RD @06 + 26757.50ns INFO [00026759] * RD COMPARE * port=0 adr=00 act=9FEB8718C74C9D3DF8 exp=9FEB8718C74C9D3DF8 + 26757.50ns INFO [00026759] Port=0 RD @02 + 26758.50ns INFO [00026760] * RD COMPARE * port=0 adr=00 act=9FEB8718C74C9D3DF8 exp=9FEB8718C74C9D3DF8 + 26758.50ns INFO [00026760] * RD COMPARE * port=1 adr=06 act=F14AB0DC87170858A2 exp=F14AB0DC87170858A2 + 26758.50ns INFO [00026760] Port=0 WR @07=A5AA1736E1DCF0200F + 26759.50ns INFO [00026761] * RD COMPARE * port=0 adr=02 act=52CAEA33DDBFA4859B exp=52CAEA33DDBFA4859B + 26759.50ns INFO [00026761] Port=0 WR @06=999ADE43A13BB466D8 + 26760.50ns INFO [00026762] Port=1 RD @06 + 26762.50ns INFO [00026764] * RD COMPARE * port=1 adr=06 act=999ADE43A13BB466D8 exp=999ADE43A13BB466D8 + 26762.50ns INFO [00026764] Port=1 RD @01 + 26764.50ns INFO [00026766] * RD COMPARE * port=1 adr=01 act=70B88BF409C8B71C7F exp=70B88BF409C8B71C7F + 26764.50ns INFO [00026766] Port=1 RD @06 + 26765.50ns INFO [00026767] Port=0 RD @04 + 26766.50ns INFO [00026768] * RD COMPARE * port=1 adr=06 act=999ADE43A13BB466D8 exp=999ADE43A13BB466D8 + 26766.50ns INFO [00026768] Port=0 WR @05=2E1AC28A8697368AE2 + 26767.50ns INFO [00026769] * RD COMPARE * port=0 adr=04 act=A2FF897EC244195248 exp=A2FF897EC244195248 + 26767.50ns INFO [00026769] Port=1 RD @07 + 26768.50ns INFO [00026770] Port=1 RD @01 + 26769.50ns INFO [00026771] * RD COMPARE * port=1 adr=07 act=A5AA1736E1DCF0200F exp=A5AA1736E1DCF0200F + 26769.50ns INFO [00026771] Port=0 WR @02=889A0F335831A2BBEE + 26770.50ns INFO [00026772] * RD COMPARE * port=1 adr=01 act=70B88BF409C8B71C7F exp=70B88BF409C8B71C7F + 26770.50ns INFO [00026772] Port=0 WR @00=A3CF34BE6299AA027C + 26770.50ns INFO [00026772] Port=1 RD @04 + 26771.50ns INFO [00026773] Port=0 WR @06=27EDEEE9CA78864466 + 26771.50ns INFO [00026773] Port=0 RD @04 + 26772.50ns INFO [00026774] * RD COMPARE * port=1 adr=04 act=A2FF897EC244195248 exp=A2FF897EC244195248 + 26773.50ns INFO [00026775] * RD COMPARE * port=0 adr=04 act=A2FF897EC244195248 exp=A2FF897EC244195248 + 26774.50ns INFO [00026776] Port=0 WR @07=E75DB078EE713849E2 + 26774.50ns INFO [00026776] Port=1 RD @02 + 26775.50ns INFO [00026777] Port=0 RD @06 + 26776.50ns INFO [00026778] * RD COMPARE * port=1 adr=02 act=889A0F335831A2BBEE exp=889A0F335831A2BBEE + 26776.50ns INFO [00026778] Port=0 WR @00=074838CC84D5D26853 + 26777.50ns INFO [00026779] * RD COMPARE * port=0 adr=06 act=27EDEEE9CA78864466 exp=27EDEEE9CA78864466 + 26777.50ns INFO [00026779] Port=1 RD @02 + 26779.50ns INFO [00026781] * RD COMPARE * port=1 adr=02 act=889A0F335831A2BBEE exp=889A0F335831A2BBEE + 26779.50ns INFO [00026781] Port=0 RD @04 + 26780.50ns INFO [00026782] Port=0 RD @01 + 26781.50ns INFO [00026783] * RD COMPARE * port=0 adr=04 act=A2FF897EC244195248 exp=A2FF897EC244195248 + 26781.50ns INFO [00026783] Port=0 WR @04=01EFC862F1C0D5E8B6 + 26781.50ns INFO [00026783] Port=0 RD @01 + 26782.50ns INFO [00026784] * RD COMPARE * port=0 adr=01 act=70B88BF409C8B71C7F exp=70B88BF409C8B71C7F + 26783.50ns INFO [00026785] * RD COMPARE * port=0 adr=01 act=70B88BF409C8B71C7F exp=70B88BF409C8B71C7F + 26784.50ns INFO [00026786] Port=0 WR @01=29DF9AB3A87F71A9EB + 26784.50ns INFO [00026786] Port=0 RD @04 + 26786.50ns INFO [00026788] * RD COMPARE * port=0 adr=04 act=01EFC862F1C0D5E8B6 exp=01EFC862F1C0D5E8B6 + 26786.50ns INFO [00026788] Port=1 RD @00 + 26787.50ns INFO [00026789] Port=0 WR @07=D7C64B1136C35886B5 + 26787.50ns INFO [00026789] Port=0 RD @05 + 26788.50ns INFO [00026790] * RD COMPARE * port=1 adr=00 act=074838CC84D5D26853 exp=074838CC84D5D26853 + 26788.50ns INFO [00026790] Port=1 RD @02 + 26789.50ns INFO [00026791] * RD COMPARE * port=0 adr=05 act=2E1AC28A8697368AE2 exp=2E1AC28A8697368AE2 + 26789.50ns INFO [00026791] Port=0 WR @05=F44D81356B83922FFB + 26789.50ns INFO [00026791] Port=0 RD @01 + 26790.50ns INFO [00026792] * RD COMPARE * port=1 adr=02 act=889A0F335831A2BBEE exp=889A0F335831A2BBEE + 26790.50ns INFO [00026792] Port=0 RD @05 + 26791.50ns INFO [00026793] * RD COMPARE * port=0 adr=01 act=29DF9AB3A87F71A9EB exp=29DF9AB3A87F71A9EB + 26791.50ns INFO [00026793] Port=0 WR @01=130D45A045C1F436FE + 26791.50ns INFO [00026793] Port=0 RD @00 + 26791.50ns INFO [00026793] Port=1 RD @03 + 26792.50ns INFO [00026794] * RD COMPARE * port=0 adr=05 act=F44D81356B83922FFB exp=F44D81356B83922FFB + 26792.50ns INFO [00026794] Port=0 RD @02 + 26792.50ns INFO [00026794] Port=1 RD @01 + 26793.50ns INFO [00026795] * RD COMPARE * port=0 adr=00 act=074838CC84D5D26853 exp=074838CC84D5D26853 + 26793.50ns INFO [00026795] * RD COMPARE * port=1 adr=03 act=F525577A02CEC5BD77 exp=F525577A02CEC5BD77 + 26793.50ns INFO [00026795] Port=0 WR @00=E8A97BAC92C7D69263 + 26793.50ns INFO [00026795] Port=0 RD @03 + 26793.50ns INFO [00026795] Port=1 RD @05 + 26794.50ns INFO [00026796] * RD COMPARE * port=0 adr=02 act=889A0F335831A2BBEE exp=889A0F335831A2BBEE + 26794.50ns INFO [00026796] * RD COMPARE * port=1 adr=01 act=130D45A045C1F436FE exp=130D45A045C1F436FE + 26794.50ns INFO [00026796] Port=0 WR @07=91D9434851195AC22A + 26794.50ns INFO [00026796] Port=1 RD @05 + 26795.50ns INFO [00026797] * RD COMPARE * port=0 adr=03 act=F525577A02CEC5BD77 exp=F525577A02CEC5BD77 + 26795.50ns INFO [00026797] * RD COMPARE * port=1 adr=05 act=F44D81356B83922FFB exp=F44D81356B83922FFB + 26796.50ns INFO [00026798] * RD COMPARE * port=1 adr=05 act=F44D81356B83922FFB exp=F44D81356B83922FFB + 26796.50ns INFO [00026798] Port=0 RD @02 + 26796.50ns INFO [00026798] Port=1 RD @00 + 26797.50ns INFO [00026799] Port=1 RD @05 + 26798.00ns INFO [00026800] [00026800] ...tick... + 26798.50ns INFO [00026800] * RD COMPARE * port=0 adr=02 act=889A0F335831A2BBEE exp=889A0F335831A2BBEE + 26798.50ns INFO [00026800] * RD COMPARE * port=1 adr=00 act=E8A97BAC92C7D69263 exp=E8A97BAC92C7D69263 + 26798.50ns INFO [00026800] Port=0 WR @03=5DBB7099EFA443B9CA + 26799.50ns INFO [00026801] * RD COMPARE * port=1 adr=05 act=F44D81356B83922FFB exp=F44D81356B83922FFB + 26799.50ns INFO [00026801] Port=0 WR @06=822A85D6571FFC3AE9 + 26799.50ns INFO [00026801] Port=0 RD @02 + 26800.50ns INFO [00026802] Port=1 RD @07 + 26801.50ns INFO [00026803] * RD COMPARE * port=0 adr=02 act=889A0F335831A2BBEE exp=889A0F335831A2BBEE + 26801.50ns INFO [00026803] Port=1 RD @04 + 26802.50ns INFO [00026804] * RD COMPARE * port=1 adr=07 act=91D9434851195AC22A exp=91D9434851195AC22A + 26802.50ns INFO [00026804] Port=0 WR @04=32AC7BB4FDE7CD59F6 + 26802.50ns INFO [00026804] Port=0 RD @03 + 26803.50ns INFO [00026805] * RD COMPARE * port=1 adr=04 act=01EFC862F1C0D5E8B6 exp=01EFC862F1C0D5E8B6 + 26803.50ns INFO [00026805] Port=0 RD @02 + 26803.50ns INFO [00026805] Port=1 RD @01 + 26804.50ns INFO [00026806] * RD COMPARE * port=0 adr=03 act=5DBB7099EFA443B9CA exp=5DBB7099EFA443B9CA + 26805.50ns INFO [00026807] * RD COMPARE * port=0 adr=02 act=889A0F335831A2BBEE exp=889A0F335831A2BBEE + 26805.50ns INFO [00026807] * RD COMPARE * port=1 adr=01 act=130D45A045C1F436FE exp=130D45A045C1F436FE + 26807.50ns INFO [00026809] Port=0 WR @06=CD08FB5BE481D47F3B + 26807.50ns INFO [00026809] Port=1 RD @03 + 26809.50ns INFO [00026811] * RD COMPARE * port=1 adr=03 act=5DBB7099EFA443B9CA exp=5DBB7099EFA443B9CA + 26809.50ns INFO [00026811] Port=0 RD @01 + 26810.50ns INFO [00026812] Port=0 WR @05=2AEAC75685B97D1951 + 26811.50ns INFO [00026813] * RD COMPARE * port=0 adr=01 act=130D45A045C1F436FE exp=130D45A045C1F436FE + 26812.50ns INFO [00026814] Port=1 RD @03 + 26813.50ns INFO [00026815] Port=0 RD @03 + 26813.50ns INFO [00026815] Port=1 RD @03 + 26814.50ns INFO [00026816] * RD COMPARE * port=1 adr=03 act=5DBB7099EFA443B9CA exp=5DBB7099EFA443B9CA + 26814.50ns INFO [00026816] Port=0 RD @05 + 26815.50ns INFO [00026817] * RD COMPARE * port=0 adr=03 act=5DBB7099EFA443B9CA exp=5DBB7099EFA443B9CA + 26815.50ns INFO [00026817] * RD COMPARE * port=1 adr=03 act=5DBB7099EFA443B9CA exp=5DBB7099EFA443B9CA + 26815.50ns INFO [00026817] Port=1 RD @06 + 26816.50ns INFO [00026818] * RD COMPARE * port=0 adr=05 act=2AEAC75685B97D1951 exp=2AEAC75685B97D1951 + 26816.50ns INFO [00026818] Port=0 WR @06=BFFA5173CC9C2DB151 + 26817.50ns INFO [00026819] * RD COMPARE * port=1 adr=06 act=CD08FB5BE481D47F3B exp=CD08FB5BE481D47F3B + 26818.50ns INFO [00026820] Port=0 RD @07 + 26820.50ns INFO [00026822] * RD COMPARE * port=0 adr=07 act=91D9434851195AC22A exp=91D9434851195AC22A + 26820.50ns INFO [00026822] Port=0 RD @06 + 26821.50ns INFO [00026823] Port=0 WR @03=66B857F095A8CB5C52 + 26821.50ns INFO [00026823] Port=0 RD @04 + 26822.50ns INFO [00026824] * RD COMPARE * port=0 adr=06 act=BFFA5173CC9C2DB151 exp=BFFA5173CC9C2DB151 + 26822.50ns INFO [00026824] Port=0 WR @04=C846AFBBCE388A492B + 26823.50ns INFO [00026825] * RD COMPARE * port=0 adr=04 act=32AC7BB4FDE7CD59F6 exp=32AC7BB4FDE7CD59F6 + 26823.50ns INFO [00026825] Port=0 WR @03=C22F56B90921D0CF45 + 26823.50ns INFO [00026825] Port=0 RD @02 + 26824.50ns INFO [00026826] Port=0 RD @05 + 26825.50ns INFO [00026827] * RD COMPARE * port=0 adr=02 act=889A0F335831A2BBEE exp=889A0F335831A2BBEE + 26825.50ns INFO [00026827] Port=0 RD @03 + 26826.50ns INFO [00026828] * RD COMPARE * port=0 adr=05 act=2AEAC75685B97D1951 exp=2AEAC75685B97D1951 + 26826.50ns INFO [00026828] Port=1 RD @03 + 26827.50ns INFO [00026829] * RD COMPARE * port=0 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26827.50ns INFO [00026829] Port=0 RD @03 + 26827.50ns INFO [00026829] Port=1 RD @05 + 26828.50ns INFO [00026830] * RD COMPARE * port=1 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26828.50ns INFO [00026830] Port=0 RD @06 + 26829.50ns INFO [00026831] * RD COMPARE * port=0 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26829.50ns INFO [00026831] * RD COMPARE * port=1 adr=05 act=2AEAC75685B97D1951 exp=2AEAC75685B97D1951 + 26829.50ns INFO [00026831] Port=0 RD @00 + 26829.50ns INFO [00026831] Port=1 RD @07 + 26830.50ns INFO [00026832] * RD COMPARE * port=0 adr=06 act=BFFA5173CC9C2DB151 exp=BFFA5173CC9C2DB151 + 26830.50ns INFO [00026832] Port=0 RD @04 + 26831.50ns INFO [00026833] * RD COMPARE * port=0 adr=00 act=E8A97BAC92C7D69263 exp=E8A97BAC92C7D69263 + 26831.50ns INFO [00026833] * RD COMPARE * port=1 adr=07 act=91D9434851195AC22A exp=91D9434851195AC22A + 26831.50ns INFO [00026833] Port=0 WR @01=98248D57B5A644491A + 26831.50ns INFO [00026833] Port=0 RD @03 + 26831.50ns INFO [00026833] Port=1 RD @03 + 26832.50ns INFO [00026834] * RD COMPARE * port=0 adr=04 act=C846AFBBCE388A492B exp=C846AFBBCE388A492B + 26832.50ns INFO [00026834] Port=0 RD @04 + 26833.50ns INFO [00026835] * RD COMPARE * port=0 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26833.50ns INFO [00026835] * RD COMPARE * port=1 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26833.50ns INFO [00026835] Port=0 RD @04 + 26834.50ns INFO [00026836] * RD COMPARE * port=0 adr=04 act=C846AFBBCE388A492B exp=C846AFBBCE388A492B + 26834.50ns INFO [00026836] Port=0 RD @06 + 26835.50ns INFO [00026837] * RD COMPARE * port=0 adr=04 act=C846AFBBCE388A492B exp=C846AFBBCE388A492B + 26835.50ns INFO [00026837] Port=1 RD @02 + 26836.50ns INFO [00026838] * RD COMPARE * port=0 adr=06 act=BFFA5173CC9C2DB151 exp=BFFA5173CC9C2DB151 + 26836.50ns INFO [00026838] Port=1 RD @06 + 26837.50ns INFO [00026839] * RD COMPARE * port=1 adr=02 act=889A0F335831A2BBEE exp=889A0F335831A2BBEE + 26838.50ns INFO [00026840] * RD COMPARE * port=1 adr=06 act=BFFA5173CC9C2DB151 exp=BFFA5173CC9C2DB151 + 26838.50ns INFO [00026840] Port=0 RD @06 + 26839.50ns INFO [00026841] Port=0 WR @05=3E417B020147E80EE7 + 26839.50ns INFO [00026841] Port=0 RD @01 + 26840.50ns INFO [00026842] * RD COMPARE * port=0 adr=06 act=BFFA5173CC9C2DB151 exp=BFFA5173CC9C2DB151 + 26840.50ns INFO [00026842] Port=0 RD @06 + 26841.50ns INFO [00026843] * RD COMPARE * port=0 adr=01 act=98248D57B5A644491A exp=98248D57B5A644491A + 26841.50ns INFO [00026843] Port=1 RD @04 + 26842.50ns INFO [00026844] * RD COMPARE * port=0 adr=06 act=BFFA5173CC9C2DB151 exp=BFFA5173CC9C2DB151 + 26843.50ns INFO [00026845] * RD COMPARE * port=1 adr=04 act=C846AFBBCE388A492B exp=C846AFBBCE388A492B + 26844.50ns INFO [00026846] Port=0 RD @00 + 26844.50ns INFO [00026846] Port=1 RD @05 + 26845.50ns INFO [00026847] Port=0 WR @04=E75F41D6E3E84A840A + 26845.50ns INFO [00026847] Port=0 RD @01 + 26845.50ns INFO [00026847] Port=1 RD @01 + 26846.50ns INFO [00026848] * RD COMPARE * port=0 adr=00 act=E8A97BAC92C7D69263 exp=E8A97BAC92C7D69263 + 26846.50ns INFO [00026848] * RD COMPARE * port=1 adr=05 act=3E417B020147E80EE7 exp=3E417B020147E80EE7 + 26846.50ns INFO [00026848] Port=0 WR @02=C21048ED1432EAEC8A + 26847.50ns INFO [00026849] * RD COMPARE * port=0 adr=01 act=98248D57B5A644491A exp=98248D57B5A644491A + 26847.50ns INFO [00026849] * RD COMPARE * port=1 adr=01 act=98248D57B5A644491A exp=98248D57B5A644491A + 26847.50ns INFO [00026849] Port=0 WR @05=F58F66D45E1581CE4C + 26847.50ns INFO [00026849] Port=1 RD @03 + 26848.50ns INFO [00026850] Port=0 RD @07 + 26849.50ns INFO [00026851] * RD COMPARE * port=1 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26849.50ns INFO [00026851] Port=0 WR @05=B54C6F601F7CC3F7F6 + 26850.50ns INFO [00026852] * RD COMPARE * port=0 adr=07 act=91D9434851195AC22A exp=91D9434851195AC22A + 26850.50ns INFO [00026852] Port=0 RD @05 + 26850.50ns INFO [00026852] Port=1 RD @05 + 26851.50ns INFO [00026853] Port=0 RD @00 + 26852.50ns INFO [00026854] * RD COMPARE * port=0 adr=05 act=B54C6F601F7CC3F7F6 exp=B54C6F601F7CC3F7F6 + 26852.50ns INFO [00026854] * RD COMPARE * port=1 adr=05 act=B54C6F601F7CC3F7F6 exp=B54C6F601F7CC3F7F6 + 26852.50ns INFO [00026854] Port=0 WR @07=1C8F80766EEB3F759E + 26852.50ns INFO [00026854] Port=0 RD @00 + 26852.50ns INFO [00026854] Port=1 RD @02 + 26853.50ns INFO [00026855] * RD COMPARE * port=0 adr=00 act=E8A97BAC92C7D69263 exp=E8A97BAC92C7D69263 + 26854.50ns INFO [00026856] * RD COMPARE * port=0 adr=00 act=E8A97BAC92C7D69263 exp=E8A97BAC92C7D69263 + 26854.50ns INFO [00026856] * RD COMPARE * port=1 adr=02 act=C21048ED1432EAEC8A exp=C21048ED1432EAEC8A + 26854.50ns INFO [00026856] Port=1 RD @01 + 26855.50ns INFO [00026857] Port=1 RD @04 + 26856.50ns INFO [00026858] * RD COMPARE * port=1 adr=01 act=98248D57B5A644491A exp=98248D57B5A644491A + 26857.50ns INFO [00026859] * RD COMPARE * port=1 adr=04 act=E75F41D6E3E84A840A exp=E75F41D6E3E84A840A + 26857.50ns INFO [00026859] Port=0 WR @01=E374763DCF66BB6A68 + 26857.50ns INFO [00026859] Port=0 RD @05 + 26858.50ns INFO [00026860] Port=1 RD @06 + 26859.50ns INFO [00026861] * RD COMPARE * port=0 adr=05 act=B54C6F601F7CC3F7F6 exp=B54C6F601F7CC3F7F6 + 26859.50ns INFO [00026861] Port=1 RD @01 + 26860.50ns INFO [00026862] * RD COMPARE * port=1 adr=06 act=BFFA5173CC9C2DB151 exp=BFFA5173CC9C2DB151 + 26860.50ns INFO [00026862] Port=0 WR @00=3AD177C0738C4F157D + 26860.50ns INFO [00026862] Port=0 RD @01 + 26861.50ns INFO [00026863] * RD COMPARE * port=1 adr=01 act=E374763DCF66BB6A68 exp=E374763DCF66BB6A68 + 26862.50ns INFO [00026864] * RD COMPARE * port=0 adr=01 act=E374763DCF66BB6A68 exp=E374763DCF66BB6A68 + 26862.50ns INFO [00026864] Port=0 RD @03 + 26862.50ns INFO [00026864] Port=1 RD @05 + 26863.50ns INFO [00026865] Port=0 WR @00=1CBD167215B43E88C7 + 26863.50ns INFO [00026865] Port=0 RD @05 + 26863.50ns INFO [00026865] Port=1 RD @02 + 26864.50ns INFO [00026866] * RD COMPARE * port=0 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26864.50ns INFO [00026866] * RD COMPARE * port=1 adr=05 act=B54C6F601F7CC3F7F6 exp=B54C6F601F7CC3F7F6 + 26864.50ns INFO [00026866] Port=0 WR @00=78385782FFDE6FF1CB + 26864.50ns INFO [00026866] Port=0 RD @01 + 26865.50ns INFO [00026867] * RD COMPARE * port=0 adr=05 act=B54C6F601F7CC3F7F6 exp=B54C6F601F7CC3F7F6 + 26865.50ns INFO [00026867] * RD COMPARE * port=1 adr=02 act=C21048ED1432EAEC8A exp=C21048ED1432EAEC8A + 26865.50ns INFO [00026867] Port=0 WR @00=B253044C730F92DB5F + 26865.50ns INFO [00026867] Port=0 RD @05 + 26865.50ns INFO [00026867] Port=1 RD @03 + 26866.50ns INFO [00026868] * RD COMPARE * port=0 adr=01 act=E374763DCF66BB6A68 exp=E374763DCF66BB6A68 + 26866.50ns INFO [00026868] Port=0 RD @07 + 26867.50ns INFO [00026869] * RD COMPARE * port=0 adr=05 act=B54C6F601F7CC3F7F6 exp=B54C6F601F7CC3F7F6 + 26867.50ns INFO [00026869] * RD COMPARE * port=1 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26867.50ns INFO [00026869] Port=1 RD @03 + 26868.50ns INFO [00026870] * RD COMPARE * port=0 adr=07 act=1C8F80766EEB3F759E exp=1C8F80766EEB3F759E + 26869.50ns INFO [00026871] * RD COMPARE * port=1 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26869.50ns INFO [00026871] Port=1 RD @03 + 26870.50ns INFO [00026872] Port=0 WR @05=9F1D7CCEE6079F56C9 + 26870.50ns INFO [00026872] Port=0 RD @06 + 26870.50ns INFO [00026872] Port=1 RD @00 + 26871.50ns INFO [00026873] * RD COMPARE * port=1 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26872.50ns INFO [00026874] * RD COMPARE * port=0 adr=06 act=BFFA5173CC9C2DB151 exp=BFFA5173CC9C2DB151 + 26872.50ns INFO [00026874] * RD COMPARE * port=1 adr=00 act=B253044C730F92DB5F exp=B253044C730F92DB5F + 26872.50ns INFO [00026874] Port=1 RD @03 + 26874.50ns INFO [00026876] * RD COMPARE * port=1 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26874.50ns INFO [00026876] Port=0 WR @00=1E3D957FD4ED2601A9 + 26875.50ns INFO [00026877] Port=0 WR @01=5214EBF76F6680DC65 + 26876.50ns INFO [00026878] Port=0 RD @01 + 26877.50ns INFO [00026879] Port=1 RD @07 + 26878.50ns INFO [00026880] * RD COMPARE * port=0 adr=01 act=5214EBF76F6680DC65 exp=5214EBF76F6680DC65 + 26878.50ns INFO [00026880] Port=0 WR @00=D01C8737EE7B591F56 + 26878.50ns INFO [00026880] Port=0 RD @03 + 26879.50ns INFO [00026881] * RD COMPARE * port=1 adr=07 act=1C8F80766EEB3F759E exp=1C8F80766EEB3F759E + 26880.50ns INFO [00026882] * RD COMPARE * port=0 adr=03 act=C22F56B90921D0CF45 exp=C22F56B90921D0CF45 + 26880.50ns INFO [00026882] Port=1 RD @04 + 26882.50ns INFO [00026884] * RD COMPARE * port=1 adr=04 act=E75F41D6E3E84A840A exp=E75F41D6E3E84A840A + 26882.50ns INFO [00026884] Port=0 RD @01 + 26882.50ns INFO [00026884] Port=1 RD @00 + 26884.50ns INFO [00026886] * RD COMPARE * port=0 adr=01 act=5214EBF76F6680DC65 exp=5214EBF76F6680DC65 + 26884.50ns INFO [00026886] * RD COMPARE * port=1 adr=00 act=D01C8737EE7B591F56 exp=D01C8737EE7B591F56 + 26884.50ns INFO [00026886] Port=0 WR @03=73FE3F0FBA050A92EF + 26887.50ns INFO [00026889] Port=0 WR @00=077ECEF50DC4C1106E + 26887.50ns INFO [00026889] Port=1 RD @05 + 26889.50ns INFO [00026891] * RD COMPARE * port=1 adr=05 act=9F1D7CCEE6079F56C9 exp=9F1D7CCEE6079F56C9 + 26889.50ns INFO [00026891] Port=0 WR @03=441C67CE8868A0ED57 + 26889.50ns INFO [00026891] Port=0 RD @07 + 26891.50ns INFO [00026893] * RD COMPARE * port=0 adr=07 act=1C8F80766EEB3F759E exp=1C8F80766EEB3F759E + 26891.50ns INFO [00026893] Port=0 RD @02 + 26892.50ns INFO [00026894] Port=0 RD @07 + 26893.50ns INFO [00026895] * RD COMPARE * port=0 adr=02 act=C21048ED1432EAEC8A exp=C21048ED1432EAEC8A + 26893.50ns INFO [00026895] Port=0 WR @00=D38B61C88716E1BFE4 + 26893.50ns INFO [00026895] Port=0 RD @05 + 26894.50ns INFO [00026896] * RD COMPARE * port=0 adr=07 act=1C8F80766EEB3F759E exp=1C8F80766EEB3F759E + 26894.50ns INFO [00026896] Port=0 WR @02=B1F9F33DC92CF18FF9 + 26895.50ns INFO [00026897] * RD COMPARE * port=0 adr=05 act=9F1D7CCEE6079F56C9 exp=9F1D7CCEE6079F56C9 + 26895.50ns INFO [00026897] Port=0 WR @05=A4C6AC20E6361AEF23 + 26895.50ns INFO [00026897] Port=1 RD @07 + 26896.50ns INFO [00026898] Port=0 WR @02=A4998B0F25B2EEE999 + 26897.50ns INFO [00026899] * RD COMPARE * port=1 adr=07 act=1C8F80766EEB3F759E exp=1C8F80766EEB3F759E + 26898.00ns INFO [00026900] [00026900] ...tick... + 26898.50ns INFO [00026900] Port=0 RD @05 + 26898.50ns INFO [00026900] Port=1 RD @06 + 26899.50ns INFO [00026901] Port=0 RD @03 + 26899.50ns INFO [00026901] Port=1 RD @00 + 26900.50ns INFO [00026902] * RD COMPARE * port=0 adr=05 act=A4C6AC20E6361AEF23 exp=A4C6AC20E6361AEF23 + 26900.50ns INFO [00026902] * RD COMPARE * port=1 adr=06 act=BFFA5173CC9C2DB151 exp=BFFA5173CC9C2DB151 + 26901.50ns INFO [00026903] * RD COMPARE * port=0 adr=03 act=441C67CE8868A0ED57 exp=441C67CE8868A0ED57 + 26901.50ns INFO [00026903] * RD COMPARE * port=1 adr=00 act=D38B61C88716E1BFE4 exp=D38B61C88716E1BFE4 + 26901.50ns INFO [00026903] Port=0 RD @03 + 26901.50ns INFO [00026903] Port=1 RD @00 + 26902.50ns INFO [00026904] Port=0 WR @02=91B83AEFE3C2DDD642 + 26903.50ns INFO [00026905] * RD COMPARE * port=0 adr=03 act=441C67CE8868A0ED57 exp=441C67CE8868A0ED57 + 26903.50ns INFO [00026905] * RD COMPARE * port=1 adr=00 act=D38B61C88716E1BFE4 exp=D38B61C88716E1BFE4 + 26904.50ns INFO [00026906] Port=0 WR @07=E0B9E2D777D7A8B5C2 + 26905.50ns INFO [00026907] Port=0 WR @06=19433DC4E478255FC0 + 26906.50ns INFO [00026908] Port=1 RD @05 + 26907.50ns INFO [00026909] Port=0 WR @03=F1A6E912FF83F0FD43 + 26907.50ns INFO [00026909] Port=0 RD @04 + 26908.50ns INFO [00026910] * RD COMPARE * port=1 adr=05 act=A4C6AC20E6361AEF23 exp=A4C6AC20E6361AEF23 + 26909.50ns INFO [00026911] * RD COMPARE * port=0 adr=04 act=E75F41D6E3E84A840A exp=E75F41D6E3E84A840A + 26909.50ns INFO [00026911] Port=0 WR @03=8CE2072675D18E5AF4 + 26910.50ns INFO [00026912] Port=0 WR @07=A518DAEC4E950CC908 + 26911.50ns INFO [00026913] Port=0 WR @04=9B321F771C8C1270A0 + 26911.50ns INFO [00026913] Port=0 RD @01 + 26911.50ns INFO [00026913] Port=1 RD @01 + 26913.50ns INFO [00026915] * RD COMPARE * port=0 adr=01 act=5214EBF76F6680DC65 exp=5214EBF76F6680DC65 + 26913.50ns INFO [00026915] * RD COMPARE * port=1 adr=01 act=5214EBF76F6680DC65 exp=5214EBF76F6680DC65 + 26913.50ns INFO [00026915] Port=0 RD @04 + 26913.50ns INFO [00026915] Port=1 RD @01 + 26914.50ns INFO [00026916] Port=0 RD @03 + 26914.50ns INFO [00026916] Port=1 RD @04 + 26915.50ns INFO [00026917] * RD COMPARE * port=0 adr=04 act=9B321F771C8C1270A0 exp=9B321F771C8C1270A0 + 26915.50ns INFO [00026917] * RD COMPARE * port=1 adr=01 act=5214EBF76F6680DC65 exp=5214EBF76F6680DC65 + 26915.50ns INFO [00026917] Port=0 RD @02 + 26915.50ns INFO [00026917] Port=1 RD @00 + 26916.50ns INFO [00026918] * RD COMPARE * port=0 adr=03 act=8CE2072675D18E5AF4 exp=8CE2072675D18E5AF4 + 26916.50ns INFO [00026918] * RD COMPARE * port=1 adr=04 act=9B321F771C8C1270A0 exp=9B321F771C8C1270A0 + 26916.50ns INFO [00026918] Port=1 RD @02 + 26917.50ns INFO [00026919] * RD COMPARE * port=0 adr=02 act=91B83AEFE3C2DDD642 exp=91B83AEFE3C2DDD642 + 26917.50ns INFO [00026919] * RD COMPARE * port=1 adr=00 act=D38B61C88716E1BFE4 exp=D38B61C88716E1BFE4 + 26917.50ns INFO [00026919] Port=0 WR @06=B629A17D08E27B4A84 + 26917.50ns INFO [00026919] Port=1 RD @03 + 26918.50ns INFO [00026920] * RD COMPARE * port=1 adr=02 act=91B83AEFE3C2DDD642 exp=91B83AEFE3C2DDD642 + 26918.50ns INFO [00026920] Port=1 RD @03 + 26919.50ns INFO [00026921] * RD COMPARE * port=1 adr=03 act=8CE2072675D18E5AF4 exp=8CE2072675D18E5AF4 + 26919.50ns INFO [00026921] Port=1 RD @04 + 26920.50ns INFO [00026922] * RD COMPARE * port=1 adr=03 act=8CE2072675D18E5AF4 exp=8CE2072675D18E5AF4 + 26920.50ns INFO [00026922] Port=0 WR @01=B932D381BB9FF2B2CE + 26920.50ns INFO [00026922] Port=0 RD @04 + 26921.50ns INFO [00026923] * RD COMPARE * port=1 adr=04 act=9B321F771C8C1270A0 exp=9B321F771C8C1270A0 + 26921.50ns INFO [00026923] Port=0 RD @06 + 26922.50ns INFO [00026924] * RD COMPARE * port=0 adr=04 act=9B321F771C8C1270A0 exp=9B321F771C8C1270A0 + 26922.50ns INFO [00026924] Port=0 WR @03=1E191285F96A263E90 + 26923.50ns INFO [00026925] * RD COMPARE * port=0 adr=06 act=B629A17D08E27B4A84 exp=B629A17D08E27B4A84 + 26924.50ns INFO [00026926] Port=0 RD @07 + 26925.50ns INFO [00026927] Port=0 WR @00=31D2DD33ACB8BFC557 + 26926.50ns INFO [00026928] * RD COMPARE * port=0 adr=07 act=A518DAEC4E950CC908 exp=A518DAEC4E950CC908 + 26926.50ns INFO [00026928] Port=1 RD @07 + 26927.50ns INFO [00026929] Port=1 RD @01 + 26928.50ns INFO [00026930] * RD COMPARE * port=1 adr=07 act=A518DAEC4E950CC908 exp=A518DAEC4E950CC908 + 26928.50ns INFO [00026930] Port=0 RD @04 + 26929.50ns INFO [00026931] * RD COMPARE * port=1 adr=01 act=B932D381BB9FF2B2CE exp=B932D381BB9FF2B2CE + 26929.50ns INFO [00026931] Port=0 WR @04=3DEFF64057544F8EE5 + 26929.50ns INFO [00026931] Port=1 RD @07 + 26930.50ns INFO [00026932] * RD COMPARE * port=0 adr=04 act=9B321F771C8C1270A0 exp=9B321F771C8C1270A0 + 26930.50ns INFO [00026932] Port=0 WR @07=C7631CB1A426AE0A40 + 26930.50ns INFO [00026932] Port=0 RD @00 + 26931.50ns INFO [00026933] * RD COMPARE * port=1 adr=07 act=A518DAEC4E950CC908 exp=A518DAEC4E950CC908 + 26931.50ns INFO [00026933] Port=0 WR @04=67E544735CD4EAB928 + 26931.50ns INFO [00026933] Port=0 RD @02 + 26932.50ns INFO [00026934] * RD COMPARE * port=0 adr=00 act=31D2DD33ACB8BFC557 exp=31D2DD33ACB8BFC557 + 26932.50ns INFO [00026934] Port=0 RD @05 + 26933.50ns INFO [00026935] * RD COMPARE * port=0 adr=02 act=91B83AEFE3C2DDD642 exp=91B83AEFE3C2DDD642 + 26933.50ns INFO [00026935] Port=0 RD @06 + 26934.50ns INFO [00026936] * RD COMPARE * port=0 adr=05 act=A4C6AC20E6361AEF23 exp=A4C6AC20E6361AEF23 + 26934.50ns INFO [00026936] Port=0 RD @06 + 26935.50ns INFO [00026937] * RD COMPARE * port=0 adr=06 act=B629A17D08E27B4A84 exp=B629A17D08E27B4A84 + 26935.50ns INFO [00026937] Port=1 RD @06 + 26936.50ns INFO [00026938] * RD COMPARE * port=0 adr=06 act=B629A17D08E27B4A84 exp=B629A17D08E27B4A84 + 26936.50ns INFO [00026938] Port=0 WR @03=8CC366F52387831020 + 26936.50ns INFO [00026938] Port=0 RD @02 + 26937.50ns INFO [00026939] * RD COMPARE * port=1 adr=06 act=B629A17D08E27B4A84 exp=B629A17D08E27B4A84 + 26938.50ns INFO [00026940] * RD COMPARE * port=0 adr=02 act=91B83AEFE3C2DDD642 exp=91B83AEFE3C2DDD642 + 26938.50ns INFO [00026940] Port=0 WR @04=CB452A256EDC2B5E39 + 26938.50ns INFO [00026940] Port=0 RD @00 + 26938.50ns INFO [00026940] Port=1 RD @07 + 26939.50ns INFO [00026941] Port=0 WR @03=F788C4473EFD1F613A + 26939.50ns INFO [00026941] Port=1 RD @01 + 26940.50ns INFO [00026942] * RD COMPARE * port=0 adr=00 act=31D2DD33ACB8BFC557 exp=31D2DD33ACB8BFC557 + 26940.50ns INFO [00026942] * RD COMPARE * port=1 adr=07 act=C7631CB1A426AE0A40 exp=C7631CB1A426AE0A40 + 26940.50ns INFO [00026942] Port=1 RD @03 + 26941.50ns INFO [00026943] * RD COMPARE * port=1 adr=01 act=B932D381BB9FF2B2CE exp=B932D381BB9FF2B2CE + 26941.50ns INFO [00026943] Port=0 WR @03=5573CA44384D11ED60 + 26941.50ns INFO [00026943] Port=0 RD @02 + 26942.50ns INFO [00026944] * RD COMPARE * port=1 adr=03 act=F788C4473EFD1F613A exp=F788C4473EFD1F613A + 26942.50ns INFO [00026944] Port=0 RD @06 + 26943.50ns INFO [00026945] * RD COMPARE * port=0 adr=02 act=91B83AEFE3C2DDD642 exp=91B83AEFE3C2DDD642 + 26943.50ns INFO [00026945] Port=1 RD @07 + 26944.50ns INFO [00026946] * RD COMPARE * port=0 adr=06 act=B629A17D08E27B4A84 exp=B629A17D08E27B4A84 + 26944.50ns INFO [00026946] Port=0 WR @02=B05F30B16426CE685A + 26944.50ns INFO [00026946] Port=0 RD @03 + 26944.50ns INFO [00026946] Port=1 RD @05 + 26945.50ns INFO [00026947] * RD COMPARE * port=1 adr=07 act=C7631CB1A426AE0A40 exp=C7631CB1A426AE0A40 + 26946.50ns INFO [00026948] * RD COMPARE * port=0 adr=03 act=5573CA44384D11ED60 exp=5573CA44384D11ED60 + 26946.50ns INFO [00026948] * RD COMPARE * port=1 adr=05 act=A4C6AC20E6361AEF23 exp=A4C6AC20E6361AEF23 + 26946.50ns INFO [00026948] Port=0 RD @00 + 26946.50ns INFO [00026948] Port=1 RD @01 + 26947.50ns INFO [00026949] Port=0 RD @07 + 26948.50ns INFO [00026950] * RD COMPARE * port=0 adr=00 act=31D2DD33ACB8BFC557 exp=31D2DD33ACB8BFC557 + 26948.50ns INFO [00026950] * RD COMPARE * port=1 adr=01 act=B932D381BB9FF2B2CE exp=B932D381BB9FF2B2CE + 26948.50ns INFO [00026950] Port=0 RD @00 + 26948.50ns INFO [00026950] Port=1 RD @01 + 26949.50ns INFO [00026951] * RD COMPARE * port=0 adr=07 act=C7631CB1A426AE0A40 exp=C7631CB1A426AE0A40 + 26949.50ns INFO [00026951] Port=1 RD @01 + 26950.50ns INFO [00026952] * RD COMPARE * port=0 adr=00 act=31D2DD33ACB8BFC557 exp=31D2DD33ACB8BFC557 + 26950.50ns INFO [00026952] * RD COMPARE * port=1 adr=01 act=B932D381BB9FF2B2CE exp=B932D381BB9FF2B2CE + 26950.50ns INFO [00026952] Port=0 RD @00 + 26951.50ns INFO [00026953] * RD COMPARE * port=1 adr=01 act=B932D381BB9FF2B2CE exp=B932D381BB9FF2B2CE + 26951.50ns INFO [00026953] Port=0 WR @05=911A1CE89A22459F8C + 26951.50ns INFO [00026953] Port=0 RD @06 + 26951.50ns INFO [00026953] Port=1 RD @01 + 26952.50ns INFO [00026954] * RD COMPARE * port=0 adr=00 act=31D2DD33ACB8BFC557 exp=31D2DD33ACB8BFC557 + 26953.50ns INFO [00026955] * RD COMPARE * port=0 adr=06 act=B629A17D08E27B4A84 exp=B629A17D08E27B4A84 + 26953.50ns INFO [00026955] * RD COMPARE * port=1 adr=01 act=B932D381BB9FF2B2CE exp=B932D381BB9FF2B2CE + 26953.50ns INFO [00026955] Port=0 WR @00=5AD8A3DFECC732BD49 + 26953.50ns INFO [00026955] Port=1 RD @02 + 26954.50ns INFO [00026956] Port=1 RD @02 + 26955.50ns INFO [00026957] * RD COMPARE * port=1 adr=02 act=B05F30B16426CE685A exp=B05F30B16426CE685A + 26955.50ns INFO [00026957] Port=0 WR @07=28D43A5227D2A3C045 + 26955.50ns INFO [00026957] Port=0 RD @06 + 26956.50ns INFO [00026958] * RD COMPARE * port=1 adr=02 act=B05F30B16426CE685A exp=B05F30B16426CE685A + 26956.50ns INFO [00026958] Port=1 RD @05 + 26957.50ns INFO [00026959] * RD COMPARE * port=0 adr=06 act=B629A17D08E27B4A84 exp=B629A17D08E27B4A84 + 26957.50ns INFO [00026959] Port=1 RD @00 + 26958.50ns INFO [00026960] * RD COMPARE * port=1 adr=05 act=911A1CE89A22459F8C exp=911A1CE89A22459F8C + 26958.50ns INFO [00026960] Port=0 WR @02=4BF24B696112C1BA68 + 26958.50ns INFO [00026960] Port=1 RD @00 + 26959.50ns INFO [00026961] * RD COMPARE * port=1 adr=00 act=5AD8A3DFECC732BD49 exp=5AD8A3DFECC732BD49 + 26959.50ns INFO [00026961] Port=0 RD @07 + 26960.50ns INFO [00026962] * RD COMPARE * port=1 adr=00 act=5AD8A3DFECC732BD49 exp=5AD8A3DFECC732BD49 + 26960.50ns INFO [00026962] Port=0 WR @01=B07EDD0C3FE8FB4E92 + 26960.50ns INFO [00026962] Port=0 RD @02 + 26961.50ns INFO [00026963] * RD COMPARE * port=0 adr=07 act=28D43A5227D2A3C045 exp=28D43A5227D2A3C045 + 26961.50ns INFO [00026963] Port=0 WR @05=81617443CE797236ED + 26962.50ns INFO [00026964] * RD COMPARE * port=0 adr=02 act=4BF24B696112C1BA68 exp=4BF24B696112C1BA68 + 26962.50ns INFO [00026964] Port=0 RD @00 + 26963.50ns INFO [00026965] Port=0 WR @03=07AC2EB7E4E11AC9A2 + 26963.50ns INFO [00026965] Port=0 RD @02 + 26964.50ns INFO [00026966] * RD COMPARE * port=0 adr=00 act=5AD8A3DFECC732BD49 exp=5AD8A3DFECC732BD49 + 26965.50ns INFO [00026967] * RD COMPARE * port=0 adr=02 act=4BF24B696112C1BA68 exp=4BF24B696112C1BA68 + 26965.50ns INFO [00026967] Port=0 WR @03=E1DA396B0DB0F9E4D7 + 26965.50ns INFO [00026967] Port=0 RD @01 + 26965.50ns INFO [00026967] Port=1 RD @04 + 26966.50ns INFO [00026968] Port=1 RD @05 + 26967.50ns INFO [00026969] * RD COMPARE * port=0 adr=01 act=B07EDD0C3FE8FB4E92 exp=B07EDD0C3FE8FB4E92 + 26967.50ns INFO [00026969] * RD COMPARE * port=1 adr=04 act=CB452A256EDC2B5E39 exp=CB452A256EDC2B5E39 + 26968.50ns INFO [00026970] * RD COMPARE * port=1 adr=05 act=81617443CE797236ED exp=81617443CE797236ED + 26968.50ns INFO [00026970] Port=0 WR @03=3B866D1D8A86D05D55 + 26968.50ns INFO [00026970] Port=0 RD @06 + 26969.50ns INFO [00026971] Port=0 RD @02 + 26969.50ns INFO [00026971] Port=1 RD @04 + 26970.50ns INFO [00026972] * RD COMPARE * port=0 adr=06 act=B629A17D08E27B4A84 exp=B629A17D08E27B4A84 + 26970.50ns INFO [00026972] Port=0 RD @07 + 26970.50ns INFO [00026972] Port=1 RD @01 + 26971.50ns INFO [00026973] * RD COMPARE * port=0 adr=02 act=4BF24B696112C1BA68 exp=4BF24B696112C1BA68 + 26971.50ns INFO [00026973] * RD COMPARE * port=1 adr=04 act=CB452A256EDC2B5E39 exp=CB452A256EDC2B5E39 + 26971.50ns INFO [00026973] Port=1 RD @07 + 26972.50ns INFO [00026974] * RD COMPARE * port=0 adr=07 act=28D43A5227D2A3C045 exp=28D43A5227D2A3C045 + 26972.50ns INFO [00026974] * RD COMPARE * port=1 adr=01 act=B07EDD0C3FE8FB4E92 exp=B07EDD0C3FE8FB4E92 + 26972.50ns INFO [00026974] Port=0 WR @07=6A66D5E9E63346AE20 + 26972.50ns INFO [00026974] Port=0 RD @05 + 26973.50ns INFO [00026975] * RD COMPARE * port=1 adr=07 act=28D43A5227D2A3C045 exp=28D43A5227D2A3C045 + 26973.50ns INFO [00026975] Port=1 RD @06 + 26974.50ns INFO [00026976] * RD COMPARE * port=0 adr=05 act=81617443CE797236ED exp=81617443CE797236ED + 26974.50ns INFO [00026976] Port=1 RD @02 + 26975.50ns INFO [00026977] * RD COMPARE * port=1 adr=06 act=B629A17D08E27B4A84 exp=B629A17D08E27B4A84 + 26975.50ns INFO [00026977] Port=0 WR @07=11A41840B779FAC1CB + 26975.50ns INFO [00026977] Port=1 RD @03 + 26976.50ns INFO [00026978] * RD COMPARE * port=1 adr=02 act=4BF24B696112C1BA68 exp=4BF24B696112C1BA68 + 26976.50ns INFO [00026978] Port=0 WR @05=170F5AC7C378DBDD3E + 26977.50ns INFO [00026979] * RD COMPARE * port=1 adr=03 act=3B866D1D8A86D05D55 exp=3B866D1D8A86D05D55 + 26977.50ns INFO [00026979] Port=1 RD @06 + 26979.50ns INFO [00026981] * RD COMPARE * port=1 adr=06 act=B629A17D08E27B4A84 exp=B629A17D08E27B4A84 + 26979.50ns INFO [00026981] Port=1 RD @01 + 26980.50ns INFO [00026982] Port=1 RD @01 + 26981.50ns INFO [00026983] * RD COMPARE * port=1 adr=01 act=B07EDD0C3FE8FB4E92 exp=B07EDD0C3FE8FB4E92 + 26981.50ns INFO [00026983] Port=0 WR @02=8174B4BA9A3E666C05 + 26981.50ns INFO [00026983] Port=0 RD @03 + 26982.50ns INFO [00026984] * RD COMPARE * port=1 adr=01 act=B07EDD0C3FE8FB4E92 exp=B07EDD0C3FE8FB4E92 + 26982.50ns INFO [00026984] Port=0 RD @04 + 26983.50ns INFO [00026985] * RD COMPARE * port=0 adr=03 act=3B866D1D8A86D05D55 exp=3B866D1D8A86D05D55 + 26983.50ns INFO [00026985] Port=0 RD @02 + 26984.50ns INFO [00026986] * RD COMPARE * port=0 adr=04 act=CB452A256EDC2B5E39 exp=CB452A256EDC2B5E39 + 26985.50ns INFO [00026987] * RD COMPARE * port=0 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 26985.50ns INFO [00026987] Port=0 RD @04 + 26985.50ns INFO [00026987] Port=1 RD @02 + 26986.50ns INFO [00026988] Port=0 RD @00 + 26986.50ns INFO [00026988] Port=1 RD @07 + 26987.50ns INFO [00026989] * RD COMPARE * port=0 adr=04 act=CB452A256EDC2B5E39 exp=CB452A256EDC2B5E39 + 26987.50ns INFO [00026989] * RD COMPARE * port=1 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 26987.50ns INFO [00026989] Port=0 RD @01 + 26987.50ns INFO [00026989] Port=1 RD @03 + 26988.50ns INFO [00026990] * RD COMPARE * port=0 adr=00 act=5AD8A3DFECC732BD49 exp=5AD8A3DFECC732BD49 + 26988.50ns INFO [00026990] * RD COMPARE * port=1 adr=07 act=11A41840B779FAC1CB exp=11A41840B779FAC1CB + 26988.50ns INFO [00026990] Port=0 WR @07=C38655EDB41C918569 + 26988.50ns INFO [00026990] Port=1 RD @05 + 26989.50ns INFO [00026991] * RD COMPARE * port=0 adr=01 act=B07EDD0C3FE8FB4E92 exp=B07EDD0C3FE8FB4E92 + 26989.50ns INFO [00026991] * RD COMPARE * port=1 adr=03 act=3B866D1D8A86D05D55 exp=3B866D1D8A86D05D55 + 26989.50ns INFO [00026991] Port=0 WR @07=D6788FBCE49A0C940E + 26990.50ns INFO [00026992] * RD COMPARE * port=1 adr=05 act=170F5AC7C378DBDD3E exp=170F5AC7C378DBDD3E + 26990.50ns INFO [00026992] Port=0 RD @04 + 26990.50ns INFO [00026992] Port=1 RD @00 + 26991.50ns INFO [00026993] Port=0 RD @02 + 26992.50ns INFO [00026994] * RD COMPARE * port=0 adr=04 act=CB452A256EDC2B5E39 exp=CB452A256EDC2B5E39 + 26992.50ns INFO [00026994] * RD COMPARE * port=1 adr=00 act=5AD8A3DFECC732BD49 exp=5AD8A3DFECC732BD49 + 26992.50ns INFO [00026994] Port=0 RD @00 + 26993.50ns INFO [00026995] * RD COMPARE * port=0 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 26993.50ns INFO [00026995] Port=1 RD @07 + 26994.50ns INFO [00026996] * RD COMPARE * port=0 adr=00 act=5AD8A3DFECC732BD49 exp=5AD8A3DFECC732BD49 + 26994.50ns INFO [00026996] Port=0 WR @03=AED84D4C1C48A6317E + 26994.50ns INFO [00026996] Port=1 RD @05 + 26995.50ns INFO [00026997] * RD COMPARE * port=1 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 26996.50ns INFO [00026998] * RD COMPARE * port=1 adr=05 act=170F5AC7C378DBDD3E exp=170F5AC7C378DBDD3E + 26997.50ns INFO [00026999] Port=0 RD @07 + 26998.00ns INFO [00027000] [00027000] ...tick... + 26998.50ns INFO [00027000] Port=0 RD @04 + 26999.50ns INFO [00027001] * RD COMPARE * port=0 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 26999.50ns INFO [00027001] Port=0 WR @01=146066083D3A6EDCC3 + 26999.50ns INFO [00027001] Port=1 RD @02 + 27000.50ns INFO [00027002] * RD COMPARE * port=0 adr=04 act=CB452A256EDC2B5E39 exp=CB452A256EDC2B5E39 + 27000.50ns INFO [00027002] Port=0 RD @07 + 27001.50ns INFO [00027003] * RD COMPARE * port=1 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 27001.50ns INFO [00027003] Port=0 WR @00=2B4F4C9CD4E4F60252 + 27001.50ns INFO [00027003] Port=0 RD @07 + 27001.50ns INFO [00027003] Port=1 RD @02 + 27002.50ns INFO [00027004] * RD COMPARE * port=0 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 27002.50ns INFO [00027004] Port=0 WR @04=6546634F49C47F2B97 + 27003.50ns INFO [00027005] * RD COMPARE * port=0 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 27003.50ns INFO [00027005] * RD COMPARE * port=1 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 27003.50ns INFO [00027005] Port=0 WR @06=24FC125911E024A915 + 27004.50ns INFO [00027006] Port=0 WR @00=BFD75A752C6961FEF3 + 27004.50ns INFO [00027006] Port=0 RD @04 + 27005.50ns INFO [00027007] Port=0 RD @03 + 27006.50ns INFO [00027008] * RD COMPARE * port=0 adr=04 act=6546634F49C47F2B97 exp=6546634F49C47F2B97 + 27006.50ns INFO [00027008] Port=0 WR @05=A7A2F883AF57C02E34 + 27007.50ns INFO [00027009] * RD COMPARE * port=0 adr=03 act=AED84D4C1C48A6317E exp=AED84D4C1C48A6317E + 27010.50ns INFO [00027012] Port=0 WR @04=0CDD04EBBE1EB59BA4 + 27010.50ns INFO [00027012] Port=0 RD @06 + 27010.50ns INFO [00027012] Port=1 RD @02 + 27011.50ns INFO [00027013] Port=0 RD @02 + 27011.50ns INFO [00027013] Port=1 RD @06 + 27012.50ns INFO [00027014] * RD COMPARE * port=0 adr=06 act=24FC125911E024A915 exp=24FC125911E024A915 + 27012.50ns INFO [00027014] * RD COMPARE * port=1 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 27012.50ns INFO [00027014] Port=0 RD @03 + 27013.50ns INFO [00027015] * RD COMPARE * port=0 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 27013.50ns INFO [00027015] * RD COMPARE * port=1 adr=06 act=24FC125911E024A915 exp=24FC125911E024A915 + 27013.50ns INFO [00027015] Port=0 WR @05=CA373006370D2EC8B9 + 27013.50ns INFO [00027015] Port=1 RD @06 + 27014.50ns INFO [00027016] * RD COMPARE * port=0 adr=03 act=AED84D4C1C48A6317E exp=AED84D4C1C48A6317E + 27015.50ns INFO [00027017] * RD COMPARE * port=1 adr=06 act=24FC125911E024A915 exp=24FC125911E024A915 + 27015.50ns INFO [00027017] Port=0 RD @02 + 27016.50ns INFO [00027018] Port=0 WR @04=5D69BB1256F1C4218A + 27016.50ns INFO [00027018] Port=0 RD @00 + 27016.50ns INFO [00027018] Port=1 RD @07 + 27017.50ns INFO [00027019] * RD COMPARE * port=0 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 27017.50ns INFO [00027019] Port=0 RD @07 + 27018.50ns INFO [00027020] * RD COMPARE * port=0 adr=00 act=BFD75A752C6961FEF3 exp=BFD75A752C6961FEF3 + 27018.50ns INFO [00027020] * RD COMPARE * port=1 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 27019.50ns INFO [00027021] * RD COMPARE * port=0 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 27019.50ns INFO [00027021] Port=0 WR @00=FA37F2C5BFABAAF1D0 + 27019.50ns INFO [00027021] Port=1 RD @01 + 27020.50ns INFO [00027022] Port=0 RD @04 + 27021.50ns INFO [00027023] * RD COMPARE * port=1 adr=01 act=146066083D3A6EDCC3 exp=146066083D3A6EDCC3 + 27021.50ns INFO [00027023] Port=0 WR @00=299A5B1445D671D3E2 + 27021.50ns INFO [00027023] Port=0 RD @02 + 27022.50ns INFO [00027024] * RD COMPARE * port=0 adr=04 act=5D69BB1256F1C4218A exp=5D69BB1256F1C4218A + 27022.50ns INFO [00027024] Port=0 RD @04 + 27022.50ns INFO [00027024] Port=1 RD @07 + 27023.50ns INFO [00027025] * RD COMPARE * port=0 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 27023.50ns INFO [00027025] Port=0 RD @07 + 27024.50ns INFO [00027026] * RD COMPARE * port=0 adr=04 act=5D69BB1256F1C4218A exp=5D69BB1256F1C4218A + 27024.50ns INFO [00027026] * RD COMPARE * port=1 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 27024.50ns INFO [00027026] Port=0 WR @03=5BDA9CEB7F4C07FC94 + 27025.50ns INFO [00027027] * RD COMPARE * port=0 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 27026.50ns INFO [00027028] Port=0 RD @02 + 27027.50ns INFO [00027029] Port=1 RD @03 + 27028.50ns INFO [00027030] * RD COMPARE * port=0 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 27028.50ns INFO [00027030] Port=1 RD @01 + 27029.50ns INFO [00027031] * RD COMPARE * port=1 adr=03 act=5BDA9CEB7F4C07FC94 exp=5BDA9CEB7F4C07FC94 + 27029.50ns INFO [00027031] Port=0 RD @02 + 27030.50ns INFO [00027032] * RD COMPARE * port=1 adr=01 act=146066083D3A6EDCC3 exp=146066083D3A6EDCC3 + 27030.50ns INFO [00027032] Port=1 RD @01 + 27031.50ns INFO [00027033] * RD COMPARE * port=0 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 27031.50ns INFO [00027033] Port=0 WR @06=721AEAF0571DACF085 + 27031.50ns INFO [00027033] Port=1 RD @03 + 27032.50ns INFO [00027034] * RD COMPARE * port=1 adr=01 act=146066083D3A6EDCC3 exp=146066083D3A6EDCC3 + 27032.50ns INFO [00027034] Port=0 WR @00=2A579C0E3967F85DD9 + 27032.50ns INFO [00027034] Port=1 RD @02 + 27033.50ns INFO [00027035] * RD COMPARE * port=1 adr=03 act=5BDA9CEB7F4C07FC94 exp=5BDA9CEB7F4C07FC94 + 27034.50ns INFO [00027036] * RD COMPARE * port=1 adr=02 act=8174B4BA9A3E666C05 exp=8174B4BA9A3E666C05 + 27035.50ns INFO [00027037] Port=0 WR @06=2050EE9F6BFC8E57CD + 27035.50ns INFO [00027037] Port=0 RD @04 + 27035.50ns INFO [00027037] Port=1 RD @04 + 27037.50ns INFO [00027039] * RD COMPARE * port=0 adr=04 act=5D69BB1256F1C4218A exp=5D69BB1256F1C4218A + 27037.50ns INFO [00027039] * RD COMPARE * port=1 adr=04 act=5D69BB1256F1C4218A exp=5D69BB1256F1C4218A + 27037.50ns INFO [00027039] Port=0 RD @07 + 27038.50ns INFO [00027040] Port=0 WR @02=A2318FDCD28C03AA53 + 27038.50ns INFO [00027040] Port=0 RD @06 + 27038.50ns INFO [00027040] Port=1 RD @00 + 27039.50ns INFO [00027041] * RD COMPARE * port=0 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 27039.50ns INFO [00027041] Port=0 RD @00 + 27039.50ns INFO [00027041] Port=1 RD @00 + 27040.50ns INFO [00027042] * RD COMPARE * port=0 adr=06 act=2050EE9F6BFC8E57CD exp=2050EE9F6BFC8E57CD + 27040.50ns INFO [00027042] * RD COMPARE * port=1 adr=00 act=2A579C0E3967F85DD9 exp=2A579C0E3967F85DD9 + 27040.50ns INFO [00027042] Port=0 RD @07 + 27041.50ns INFO [00027043] * RD COMPARE * port=0 adr=00 act=2A579C0E3967F85DD9 exp=2A579C0E3967F85DD9 + 27041.50ns INFO [00027043] * RD COMPARE * port=1 adr=00 act=2A579C0E3967F85DD9 exp=2A579C0E3967F85DD9 + 27041.50ns INFO [00027043] Port=0 WR @02=97D8BD961D30C5CB8A + 27042.50ns INFO [00027044] * RD COMPARE * port=0 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 27042.50ns INFO [00027044] Port=0 WR @05=125481AF16803A9C33 + 27042.50ns INFO [00027044] Port=0 RD @04 + 27043.50ns INFO [00027045] Port=0 RD @00 + 27043.50ns INFO [00027045] Port=1 RD @01 + 27044.50ns INFO [00027046] * RD COMPARE * port=0 adr=04 act=5D69BB1256F1C4218A exp=5D69BB1256F1C4218A + 27044.50ns INFO [00027046] Port=0 WR @05=C6BE5B676BACA4282E + 27045.50ns INFO [00027047] * RD COMPARE * port=0 adr=00 act=2A579C0E3967F85DD9 exp=2A579C0E3967F85DD9 + 27045.50ns INFO [00027047] * RD COMPARE * port=1 adr=01 act=146066083D3A6EDCC3 exp=146066083D3A6EDCC3 + 27046.50ns INFO [00027048] Port=0 WR @02=46A4386C66F61372B7 + 27047.50ns INFO [00027049] Port=0 WR @06=EF82A2B03CE1455D71 + 27047.50ns INFO [00027049] Port=0 RD @05 + 27048.50ns INFO [00027050] Port=0 WR @01=209FFCEB5CD5FD0D58 + 27048.50ns INFO [00027050] Port=0 RD @03 + 27049.50ns INFO [00027051] * RD COMPARE * port=0 adr=05 act=C6BE5B676BACA4282E exp=C6BE5B676BACA4282E + 27049.50ns INFO [00027051] Port=0 WR @01=8D3885B59AB07336B6 + 27049.50ns INFO [00027051] Port=0 RD @02 + 27050.50ns INFO [00027052] * RD COMPARE * port=0 adr=03 act=5BDA9CEB7F4C07FC94 exp=5BDA9CEB7F4C07FC94 + 27050.50ns INFO [00027052] Port=0 RD @00 + 27051.50ns INFO [00027053] * RD COMPARE * port=0 adr=02 act=46A4386C66F61372B7 exp=46A4386C66F61372B7 + 27051.50ns INFO [00027053] Port=0 WR @02=E7021EE227A865169B + 27052.50ns INFO [00027054] * RD COMPARE * port=0 adr=00 act=2A579C0E3967F85DD9 exp=2A579C0E3967F85DD9 + 27054.50ns INFO [00027056] Port=1 RD @06 + 27055.50ns INFO [00027057] Port=0 WR @01=5100736AA33EB73032 + 27056.50ns INFO [00027058] * RD COMPARE * port=1 adr=06 act=EF82A2B03CE1455D71 exp=EF82A2B03CE1455D71 + 27056.50ns INFO [00027058] Port=0 WR @05=CC00D622B37CD61AC8 + 27056.50ns INFO [00027058] Port=1 RD @01 + 27057.50ns INFO [00027059] Port=1 RD @04 + 27058.50ns INFO [00027060] * RD COMPARE * port=1 adr=01 act=5100736AA33EB73032 exp=5100736AA33EB73032 + 27058.50ns INFO [00027060] Port=0 WR @03=85DCF98DF62A662D56 + 27058.50ns INFO [00027060] Port=0 RD @07 + 27058.50ns INFO [00027060] Port=1 RD @01 + 27059.50ns INFO [00027061] * RD COMPARE * port=1 adr=04 act=5D69BB1256F1C4218A exp=5D69BB1256F1C4218A + 27059.50ns INFO [00027061] Port=0 RD @06 + 27060.50ns INFO [00027062] * RD COMPARE * port=0 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 27060.50ns INFO [00027062] * RD COMPARE * port=1 adr=01 act=5100736AA33EB73032 exp=5100736AA33EB73032 + 27060.50ns INFO [00027062] Port=0 WR @04=3237190D899B2E9E01 + 27060.50ns INFO [00027062] Port=0 RD @06 + 27061.50ns INFO [00027063] * RD COMPARE * port=0 adr=06 act=EF82A2B03CE1455D71 exp=EF82A2B03CE1455D71 + 27061.50ns INFO [00027063] Port=0 WR @06=7870FAC504A5963F59 + 27061.50ns INFO [00027063] Port=0 RD @00 + 27061.50ns INFO [00027063] Port=1 RD @00 + 27062.50ns INFO [00027064] * RD COMPARE * port=0 adr=06 act=EF82A2B03CE1455D71 exp=EF82A2B03CE1455D71 + 27062.50ns INFO [00027064] Port=0 WR @05=31D3A0768D659A169E + 27062.50ns INFO [00027064] Port=0 RD @04 + 27063.50ns INFO [00027065] * RD COMPARE * port=0 adr=00 act=2A579C0E3967F85DD9 exp=2A579C0E3967F85DD9 + 27063.50ns INFO [00027065] * RD COMPARE * port=1 adr=00 act=2A579C0E3967F85DD9 exp=2A579C0E3967F85DD9 + 27064.50ns INFO [00027066] * RD COMPARE * port=0 adr=04 act=3237190D899B2E9E01 exp=3237190D899B2E9E01 + 27064.50ns INFO [00027066] Port=0 WR @03=5B36317E5C2E8D3FC4 + 27064.50ns INFO [00027066] Port=0 RD @00 + 27065.50ns INFO [00027067] Port=0 RD @05 + 27066.50ns INFO [00027068] * RD COMPARE * port=0 adr=00 act=2A579C0E3967F85DD9 exp=2A579C0E3967F85DD9 + 27066.50ns INFO [00027068] Port=1 RD @04 + 27067.50ns INFO [00027069] * RD COMPARE * port=0 adr=05 act=31D3A0768D659A169E exp=31D3A0768D659A169E + 27068.50ns INFO [00027070] * RD COMPARE * port=1 adr=04 act=3237190D899B2E9E01 exp=3237190D899B2E9E01 + 27070.50ns INFO [00027072] Port=0 RD @06 + 27071.50ns INFO [00027073] Port=0 WR @04=75769425B8D7F30516 + 27071.50ns INFO [00027073] Port=0 RD @07 + 27072.50ns INFO [00027074] * RD COMPARE * port=0 adr=06 act=7870FAC504A5963F59 exp=7870FAC504A5963F59 + 27072.50ns INFO [00027074] Port=0 WR @06=1786A5A23F07BDB59E + 27072.50ns INFO [00027074] Port=1 RD @04 + 27073.50ns INFO [00027075] * RD COMPARE * port=0 adr=07 act=D6788FBCE49A0C940E exp=D6788FBCE49A0C940E + 27073.50ns INFO [00027075] Port=0 WR @02=36FFA83C9F25981627 + 27073.50ns INFO [00027075] Port=0 RD @05 + 27074.50ns INFO [00027076] * RD COMPARE * port=1 adr=04 act=75769425B8D7F30516 exp=75769425B8D7F30516 + 27075.50ns INFO [00027077] * RD COMPARE * port=0 adr=05 act=31D3A0768D659A169E exp=31D3A0768D659A169E + 27075.50ns INFO [00027077] Port=0 WR @07=FD04E1D148CD240C64 + 27075.50ns INFO [00027077] Port=0 RD @03 + 27076.50ns INFO [00027078] Port=0 RD @01 + 27076.50ns INFO [00027078] Port=1 RD @04 + 27077.50ns INFO [00027079] * RD COMPARE * port=0 adr=03 act=5B36317E5C2E8D3FC4 exp=5B36317E5C2E8D3FC4 + 27078.50ns INFO [00027080] * RD COMPARE * port=0 adr=01 act=5100736AA33EB73032 exp=5100736AA33EB73032 + 27078.50ns INFO [00027080] * RD COMPARE * port=1 adr=04 act=75769425B8D7F30516 exp=75769425B8D7F30516 + 27078.50ns INFO [00027080] Port=0 WR @00=2BDF691E6F0DBC68A7 + 27079.50ns INFO [00027081] Port=0 WR @06=C67F2CA2D29422D6E6 + 27080.50ns INFO [00027082] Port=0 RD @05 + 27081.50ns INFO [00027083] Port=0 WR @05=65F0CB921115132D91 + 27082.50ns INFO [00027084] * RD COMPARE * port=0 adr=05 act=31D3A0768D659A169E exp=31D3A0768D659A169E + 27082.50ns INFO [00027084] Port=0 RD @04 + 27082.50ns INFO [00027084] Port=1 RD @04 + 27083.50ns INFO [00027085] Port=0 RD @01 + 27084.50ns INFO [00027086] * RD COMPARE * port=0 adr=04 act=75769425B8D7F30516 exp=75769425B8D7F30516 + 27084.50ns INFO [00027086] * RD COMPARE * port=1 adr=04 act=75769425B8D7F30516 exp=75769425B8D7F30516 + 27084.50ns INFO [00027086] Port=0 WR @00=8E4BEF749B6772CEC9 + 27084.50ns INFO [00027086] Port=0 RD @02 + 27085.50ns INFO [00027087] * RD COMPARE * port=0 adr=01 act=5100736AA33EB73032 exp=5100736AA33EB73032 + 27086.50ns INFO [00027088] * RD COMPARE * port=0 adr=02 act=36FFA83C9F25981627 exp=36FFA83C9F25981627 + 27087.50ns INFO [00027089] Port=0 WR @03=38A4DCBF1A5BE08432 + 27087.50ns INFO [00027089] Port=0 RD @06 + 27087.50ns INFO [00027089] Port=1 RD @06 + 27088.50ns INFO [00027090] Port=0 RD @01 + 27088.50ns INFO [00027090] Port=1 RD @04 + 27089.50ns INFO [00027091] * RD COMPARE * port=0 adr=06 act=C67F2CA2D29422D6E6 exp=C67F2CA2D29422D6E6 + 27089.50ns INFO [00027091] * RD COMPARE * port=1 adr=06 act=C67F2CA2D29422D6E6 exp=C67F2CA2D29422D6E6 + 27089.50ns INFO [00027091] Port=0 WR @02=72619D4C18D0E67DBE + 27090.50ns INFO [00027092] * RD COMPARE * port=0 adr=01 act=5100736AA33EB73032 exp=5100736AA33EB73032 + 27090.50ns INFO [00027092] * RD COMPARE * port=1 adr=04 act=75769425B8D7F30516 exp=75769425B8D7F30516 + 27090.50ns INFO [00027092] Port=0 RD @06 + 27090.50ns INFO [00027092] Port=1 RD @03 + 27091.50ns INFO [00027093] Port=0 WR @04=6EC1CE33D865C8EDDE + 27091.50ns INFO [00027093] Port=0 RD @05 + 27091.50ns INFO [00027093] Port=1 RD @03 + 27092.50ns INFO [00027094] * RD COMPARE * port=0 adr=06 act=C67F2CA2D29422D6E6 exp=C67F2CA2D29422D6E6 + 27092.50ns INFO [00027094] * RD COMPARE * port=1 adr=03 act=38A4DCBF1A5BE08432 exp=38A4DCBF1A5BE08432 + 27092.50ns INFO [00027094] Port=0 RD @05 + 27092.50ns INFO [00027094] Port=1 RD @03 + 27093.50ns INFO [00027095] * RD COMPARE * port=0 adr=05 act=65F0CB921115132D91 exp=65F0CB921115132D91 + 27093.50ns INFO [00027095] * RD COMPARE * port=1 adr=03 act=38A4DCBF1A5BE08432 exp=38A4DCBF1A5BE08432 + 27094.50ns INFO [00027096] * RD COMPARE * port=0 adr=05 act=65F0CB921115132D91 exp=65F0CB921115132D91 + 27094.50ns INFO [00027096] * RD COMPARE * port=1 adr=03 act=38A4DCBF1A5BE08432 exp=38A4DCBF1A5BE08432 + 27094.50ns INFO [00027096] Port=0 RD @05 + 27094.50ns INFO [00027096] Port=1 RD @06 + 27095.50ns INFO [00027097] Port=0 WR @06=371632B35C00745E0A + 27096.50ns INFO [00027098] * RD COMPARE * port=0 adr=05 act=65F0CB921115132D91 exp=65F0CB921115132D91 + 27096.50ns INFO [00027098] * RD COMPARE * port=1 adr=06 act=C67F2CA2D29422D6E6 exp=C67F2CA2D29422D6E6 + 27096.50ns INFO [00027098] Port=1 RD @02 + 27097.50ns INFO [00027099] Port=0 WR @07=3D1B1B737DB08A3339 + 27097.50ns INFO [00027099] Port=1 RD @00 + 27098.00ns INFO [00027100] [00027100] ...tick... + 27098.50ns INFO [00027100] * RD COMPARE * port=1 adr=02 act=72619D4C18D0E67DBE exp=72619D4C18D0E67DBE + 27099.50ns INFO [00027101] * RD COMPARE * port=1 adr=00 act=8E4BEF749B6772CEC9 exp=8E4BEF749B6772CEC9 + 27099.50ns INFO [00027101] Port=1 RD @07 + 27100.50ns INFO [00027102] Port=1 RD @01 + 27101.50ns INFO [00027103] * RD COMPARE * port=1 adr=07 act=3D1B1B737DB08A3339 exp=3D1B1B737DB08A3339 + 27101.50ns INFO [00027103] Port=1 RD @06 + 27102.50ns INFO [00027104] * RD COMPARE * port=1 adr=01 act=5100736AA33EB73032 exp=5100736AA33EB73032 + 27102.50ns INFO [00027104] Port=0 WR @06=BECAB15C4B9D6BA755 + 27103.50ns INFO [00027105] * RD COMPARE * port=1 adr=06 act=371632B35C00745E0A exp=371632B35C00745E0A + 27105.50ns INFO [00027107] Port=0 RD @05 + 27105.50ns INFO [00027107] Port=1 RD @06 + 27106.50ns INFO [00027108] Port=1 RD @07 + 27107.50ns INFO [00027109] * RD COMPARE * port=0 adr=05 act=65F0CB921115132D91 exp=65F0CB921115132D91 + 27107.50ns INFO [00027109] * RD COMPARE * port=1 adr=06 act=BECAB15C4B9D6BA755 exp=BECAB15C4B9D6BA755 + 27107.50ns INFO [00027109] Port=0 WR @05=8A92A0C9FDDC6B6B38 + 27107.50ns INFO [00027109] Port=1 RD @00 + 27108.50ns INFO [00027110] * RD COMPARE * port=1 adr=07 act=3D1B1B737DB08A3339 exp=3D1B1B737DB08A3339 + 27108.50ns INFO [00027110] Port=0 WR @00=9E539981B3EF17C7C3 + 27108.50ns INFO [00027110] Port=1 RD @03 + 27109.50ns INFO [00027111] * RD COMPARE * port=1 adr=00 act=8E4BEF749B6772CEC9 exp=8E4BEF749B6772CEC9 + 27109.50ns INFO [00027111] Port=0 WR @05=DFFA1CCC23197A1C60 + 27109.50ns INFO [00027111] Port=0 RD @06 + 27109.50ns INFO [00027111] Port=1 RD @06 + 27110.50ns INFO [00027112] * RD COMPARE * port=1 adr=03 act=38A4DCBF1A5BE08432 exp=38A4DCBF1A5BE08432 + 27110.50ns INFO [00027112] Port=0 RD @03 + 27111.50ns INFO [00027113] * RD COMPARE * port=0 adr=06 act=BECAB15C4B9D6BA755 exp=BECAB15C4B9D6BA755 + 27111.50ns INFO [00027113] * RD COMPARE * port=1 adr=06 act=BECAB15C4B9D6BA755 exp=BECAB15C4B9D6BA755 + 27111.50ns INFO [00027113] Port=0 WR @01=B2DB7DD00CFD1011F0 + 27112.50ns INFO [00027114] * RD COMPARE * port=0 adr=03 act=38A4DCBF1A5BE08432 exp=38A4DCBF1A5BE08432 + 27112.50ns INFO [00027114] Port=0 RD @00 + 27112.50ns INFO [00027114] Port=1 RD @06 + 27113.50ns INFO [00027115] Port=0 RD @03 + 27114.50ns INFO [00027116] * RD COMPARE * port=0 adr=00 act=9E539981B3EF17C7C3 exp=9E539981B3EF17C7C3 + 27114.50ns INFO [00027116] * RD COMPARE * port=1 adr=06 act=BECAB15C4B9D6BA755 exp=BECAB15C4B9D6BA755 + 27114.50ns INFO [00027116] Port=0 RD @07 + 27115.50ns INFO [00027117] * RD COMPARE * port=0 adr=03 act=38A4DCBF1A5BE08432 exp=38A4DCBF1A5BE08432 + 27115.50ns INFO [00027117] Port=0 RD @07 + 27116.50ns INFO [00027118] * RD COMPARE * port=0 adr=07 act=3D1B1B737DB08A3339 exp=3D1B1B737DB08A3339 + 27116.50ns INFO [00027118] Port=0 RD @04 + 27117.50ns INFO [00027119] * RD COMPARE * port=0 adr=07 act=3D1B1B737DB08A3339 exp=3D1B1B737DB08A3339 + 27117.50ns INFO [00027119] Port=0 WR @07=0A63E86B8031578A6C + 27117.50ns INFO [00027119] Port=0 RD @06 + 27118.50ns INFO [00027120] * RD COMPARE * port=0 adr=04 act=6EC1CE33D865C8EDDE exp=6EC1CE33D865C8EDDE + 27118.50ns INFO [00027120] Port=0 RD @00 + 27118.50ns INFO [00027120] Port=1 RD @07 + 27119.50ns INFO [00027121] * RD COMPARE * port=0 adr=06 act=BECAB15C4B9D6BA755 exp=BECAB15C4B9D6BA755 + 27119.50ns INFO [00027121] Port=0 WR @00=170A28827585E8A361 + 27120.50ns INFO [00027122] * RD COMPARE * port=0 adr=00 act=9E539981B3EF17C7C3 exp=9E539981B3EF17C7C3 + 27120.50ns INFO [00027122] * RD COMPARE * port=1 adr=07 act=0A63E86B8031578A6C exp=0A63E86B8031578A6C + 27120.50ns INFO [00027122] Port=0 RD @03 + 27120.50ns INFO [00027122] Port=1 RD @00 + 27121.50ns INFO [00027123] Port=0 RD @03 + 27122.50ns INFO [00027124] * RD COMPARE * port=0 adr=03 act=38A4DCBF1A5BE08432 exp=38A4DCBF1A5BE08432 + 27122.50ns INFO [00027124] * RD COMPARE * port=1 adr=00 act=170A28827585E8A361 exp=170A28827585E8A361 + 27122.50ns INFO [00027124] Port=0 WR @04=DDA41F0835B8610902 + 27122.50ns INFO [00027124] Port=1 RD @03 + 27123.50ns INFO [00027125] * RD COMPARE * port=0 adr=03 act=38A4DCBF1A5BE08432 exp=38A4DCBF1A5BE08432 + 27123.50ns INFO [00027125] Port=0 WR @00=D100C74CE01CF0C156 + 27124.50ns INFO [00027126] * RD COMPARE * port=1 adr=03 act=38A4DCBF1A5BE08432 exp=38A4DCBF1A5BE08432 + 27124.50ns INFO [00027126] Port=0 RD @03 + 27125.50ns INFO [00027127] Port=0 WR @04=259F39C0BA0154EEA3 + 27125.50ns INFO [00027127] Port=0 RD @01 + 27126.50ns INFO [00027128] * RD COMPARE * port=0 adr=03 act=38A4DCBF1A5BE08432 exp=38A4DCBF1A5BE08432 + 27126.50ns INFO [00027128] Port=0 RD @05 + 27126.50ns INFO [00027128] Port=1 RD @00 + 27127.50ns INFO [00027129] * RD COMPARE * port=0 adr=01 act=B2DB7DD00CFD1011F0 exp=B2DB7DD00CFD1011F0 + 27127.50ns INFO [00027129] Port=0 WR @03=97F9B61E9D9AE727B1 + 27128.50ns INFO [00027130] * RD COMPARE * port=0 adr=05 act=DFFA1CCC23197A1C60 exp=DFFA1CCC23197A1C60 + 27128.50ns INFO [00027130] * RD COMPARE * port=1 adr=00 act=D100C74CE01CF0C156 exp=D100C74CE01CF0C156 + 27128.50ns INFO [00027130] Port=0 WR @00=61A343630E79046F8A + 27128.50ns INFO [00027130] Port=0 RD @06 + 27128.50ns INFO [00027130] Port=1 RD @03 + 27129.50ns INFO [00027131] Port=0 WR @06=6B404BFCA8215EDAEB + 27129.50ns INFO [00027131] Port=1 RD @01 + 27130.50ns INFO [00027132] * RD COMPARE * port=0 adr=06 act=BECAB15C4B9D6BA755 exp=BECAB15C4B9D6BA755 + 27130.50ns INFO [00027132] * RD COMPARE * port=1 adr=03 act=97F9B61E9D9AE727B1 exp=97F9B61E9D9AE727B1 + 27130.50ns INFO [00027132] Port=0 WR @02=37AC7ACAF871EF0DC5 + 27131.50ns INFO [00027133] * RD COMPARE * port=1 adr=01 act=B2DB7DD00CFD1011F0 exp=B2DB7DD00CFD1011F0 + 27131.50ns INFO [00027133] Port=1 RD @03 + 27132.50ns INFO [00027134] Port=0 WR @00=AE37CC760C09C3E98C + 27133.50ns INFO [00027135] * RD COMPARE * port=1 adr=03 act=97F9B61E9D9AE727B1 exp=97F9B61E9D9AE727B1 + 27133.50ns INFO [00027135] Port=0 WR @01=91C055A81BB6007AE7 + 27133.50ns INFO [00027135] Port=0 RD @02 + 27133.50ns INFO [00027135] Port=1 RD @00 + 27135.50ns INFO [00027137] * RD COMPARE * port=0 adr=02 act=37AC7ACAF871EF0DC5 exp=37AC7ACAF871EF0DC5 + 27135.50ns INFO [00027137] * RD COMPARE * port=1 adr=00 act=AE37CC760C09C3E98C exp=AE37CC760C09C3E98C + 27136.50ns INFO [00027138] Port=0 RD @07 + 27137.50ns INFO [00027139] Port=0 WR @01=F2259A78E7CC09A850 + 27138.50ns INFO [00027140] * RD COMPARE * port=0 adr=07 act=0A63E86B8031578A6C exp=0A63E86B8031578A6C + 27139.50ns INFO [00027141] Port=0 RD @05 + 27139.50ns INFO [00027141] Port=1 RD @04 + 27140.50ns INFO [00027142] Port=0 RD @02 + 27141.50ns INFO [00027143] * RD COMPARE * port=0 adr=05 act=DFFA1CCC23197A1C60 exp=DFFA1CCC23197A1C60 + 27141.50ns INFO [00027143] * RD COMPARE * port=1 adr=04 act=259F39C0BA0154EEA3 exp=259F39C0BA0154EEA3 + 27141.50ns INFO [00027143] Port=0 RD @02 + 27141.50ns INFO [00027143] Port=1 RD @01 + 27142.50ns INFO [00027144] * RD COMPARE * port=0 adr=02 act=37AC7ACAF871EF0DC5 exp=37AC7ACAF871EF0DC5 + 27142.50ns INFO [00027144] Port=0 WR @07=E772643F4D72B08FB5 + 27143.50ns INFO [00027145] * RD COMPARE * port=0 adr=02 act=37AC7ACAF871EF0DC5 exp=37AC7ACAF871EF0DC5 + 27143.50ns INFO [00027145] * RD COMPARE * port=1 adr=01 act=F2259A78E7CC09A850 exp=F2259A78E7CC09A850 + 27143.50ns INFO [00027145] Port=0 WR @04=96A1885C6A9D995402 + 27143.50ns INFO [00027145] Port=0 RD @05 + 27144.50ns INFO [00027146] Port=0 WR @07=7EAD24D51F35CEC116 + 27145.50ns INFO [00027147] * RD COMPARE * port=0 adr=05 act=DFFA1CCC23197A1C60 exp=DFFA1CCC23197A1C60 + 27145.50ns INFO [00027147] Port=0 WR @03=86509B87B1E0A64F94 + 27146.50ns INFO [00027148] Port=0 RD @05 + 27147.50ns INFO [00027149] Port=0 WR @02=B08142CB6B9FB743F3 + 27147.50ns INFO [00027149] Port=1 RD @01 + 27148.50ns INFO [00027150] * RD COMPARE * port=0 adr=05 act=DFFA1CCC23197A1C60 exp=DFFA1CCC23197A1C60 + 27148.50ns INFO [00027150] Port=1 RD @01 + 27149.50ns INFO [00027151] * RD COMPARE * port=1 adr=01 act=F2259A78E7CC09A850 exp=F2259A78E7CC09A850 + 27149.50ns INFO [00027151] Port=1 RD @05 + 27150.50ns INFO [00027152] * RD COMPARE * port=1 adr=01 act=F2259A78E7CC09A850 exp=F2259A78E7CC09A850 + 27150.50ns INFO [00027152] Port=0 RD @07 + 27150.50ns INFO [00027152] Port=1 RD @05 + 27151.50ns INFO [00027153] * RD COMPARE * port=1 adr=05 act=DFFA1CCC23197A1C60 exp=DFFA1CCC23197A1C60 + 27151.50ns INFO [00027153] Port=0 RD @00 + 27151.50ns INFO [00027153] Port=1 RD @07 + 27152.50ns INFO [00027154] * RD COMPARE * port=0 adr=07 act=7EAD24D51F35CEC116 exp=7EAD24D51F35CEC116 + 27152.50ns INFO [00027154] * RD COMPARE * port=1 adr=05 act=DFFA1CCC23197A1C60 exp=DFFA1CCC23197A1C60 + 27153.50ns INFO [00027155] * RD COMPARE * port=0 adr=00 act=AE37CC760C09C3E98C exp=AE37CC760C09C3E98C + 27153.50ns INFO [00027155] * RD COMPARE * port=1 adr=07 act=7EAD24D51F35CEC116 exp=7EAD24D51F35CEC116 + 27153.50ns INFO [00027155] Port=0 WR @06=6DE6B1D7396030E699 + 27153.50ns INFO [00027155] Port=0 RD @01 + 27154.50ns INFO [00027156] Port=0 WR @03=EEB520D4C9EC45B98E + 27154.50ns INFO [00027156] Port=0 RD @05 + 27155.50ns INFO [00027157] * RD COMPARE * port=0 adr=01 act=F2259A78E7CC09A850 exp=F2259A78E7CC09A850 + 27155.50ns INFO [00027157] Port=1 RD @04 + 27156.50ns INFO [00027158] * RD COMPARE * port=0 adr=05 act=DFFA1CCC23197A1C60 exp=DFFA1CCC23197A1C60 + 27157.50ns INFO [00027159] * RD COMPARE * port=1 adr=04 act=96A1885C6A9D995402 exp=96A1885C6A9D995402 + 27159.50ns INFO [00027161] Port=0 RD @03 + 27159.50ns INFO [00027161] Port=1 RD @05 + 27161.50ns INFO [00027163] * RD COMPARE * port=0 adr=03 act=EEB520D4C9EC45B98E exp=EEB520D4C9EC45B98E + 27161.50ns INFO [00027163] * RD COMPARE * port=1 adr=05 act=DFFA1CCC23197A1C60 exp=DFFA1CCC23197A1C60 + 27161.50ns INFO [00027163] Port=0 RD @06 + 27161.50ns INFO [00027163] Port=1 RD @01 + 27163.50ns INFO [00027165] * RD COMPARE * port=0 adr=06 act=6DE6B1D7396030E699 exp=6DE6B1D7396030E699 + 27163.50ns INFO [00027165] * RD COMPARE * port=1 adr=01 act=F2259A78E7CC09A850 exp=F2259A78E7CC09A850 + 27163.50ns INFO [00027165] Port=0 RD @04 + 27165.50ns INFO [00027167] * RD COMPARE * port=0 adr=04 act=96A1885C6A9D995402 exp=96A1885C6A9D995402 + 27165.50ns INFO [00027167] Port=0 WR @06=3DFD39ED160FA83AAD + 27166.50ns INFO [00027168] Port=0 WR @06=08059D699D1D99AC73 + 27166.50ns INFO [00027168] Port=0 RD @03 + 27167.50ns INFO [00027169] Port=0 RD @06 + 27167.50ns INFO [00027169] Port=1 RD @03 + 27168.50ns INFO [00027170] * RD COMPARE * port=0 adr=03 act=EEB520D4C9EC45B98E exp=EEB520D4C9EC45B98E + 27169.50ns INFO [00027171] * RD COMPARE * port=0 adr=06 act=08059D699D1D99AC73 exp=08059D699D1D99AC73 + 27169.50ns INFO [00027171] * RD COMPARE * port=1 adr=03 act=EEB520D4C9EC45B98E exp=EEB520D4C9EC45B98E + 27170.50ns INFO [00027172] Port=0 WR @01=A7025521E13E1C1804 + 27170.50ns INFO [00027172] Port=0 RD @06 + 27171.50ns INFO [00027173] Port=0 RD @00 + 27171.50ns INFO [00027173] Port=1 RD @00 + 27172.50ns INFO [00027174] * RD COMPARE * port=0 adr=06 act=08059D699D1D99AC73 exp=08059D699D1D99AC73 + 27172.50ns INFO [00027174] Port=1 RD @03 + 27173.50ns INFO [00027175] * RD COMPARE * port=0 adr=00 act=AE37CC760C09C3E98C exp=AE37CC760C09C3E98C + 27173.50ns INFO [00027175] * RD COMPARE * port=1 adr=00 act=AE37CC760C09C3E98C exp=AE37CC760C09C3E98C + 27173.50ns INFO [00027175] Port=1 RD @05 + 27174.50ns INFO [00027176] * RD COMPARE * port=1 adr=03 act=EEB520D4C9EC45B98E exp=EEB520D4C9EC45B98E + 27175.50ns INFO [00027177] * RD COMPARE * port=1 adr=05 act=DFFA1CCC23197A1C60 exp=DFFA1CCC23197A1C60 + 27175.50ns INFO [00027177] Port=0 RD @07 + 27177.50ns INFO [00027179] * RD COMPARE * port=0 adr=07 act=7EAD24D51F35CEC116 exp=7EAD24D51F35CEC116 + 27177.50ns INFO [00027179] Port=0 WR @06=EC663CF531F68B2622 + 27178.50ns INFO [00027180] Port=1 RD @01 + 27179.50ns INFO [00027181] Port=0 RD @03 + 27180.50ns INFO [00027182] * RD COMPARE * port=1 adr=01 act=A7025521E13E1C1804 exp=A7025521E13E1C1804 + 27180.50ns INFO [00027182] Port=1 RD @06 + 27181.50ns INFO [00027183] * RD COMPARE * port=0 adr=03 act=EEB520D4C9EC45B98E exp=EEB520D4C9EC45B98E + 27181.50ns INFO [00027183] Port=0 WR @05=CED4029716870C3706 + 27182.50ns INFO [00027184] * RD COMPARE * port=1 adr=06 act=EC663CF531F68B2622 exp=EC663CF531F68B2622 + 27182.50ns INFO [00027184] Port=0 WR @02=951D94ED5FFF8A315E + 27183.50ns INFO [00027185] Port=1 RD @01 + 27184.50ns INFO [00027186] Port=0 RD @07 + 27185.50ns INFO [00027187] * RD COMPARE * port=1 adr=01 act=A7025521E13E1C1804 exp=A7025521E13E1C1804 + 27186.50ns INFO [00027188] * RD COMPARE * port=0 adr=07 act=7EAD24D51F35CEC116 exp=7EAD24D51F35CEC116 + 27188.50ns INFO [00027190] Port=0 RD @05 + 27189.50ns INFO [00027191] Port=0 RD @01 + 27190.50ns INFO [00027192] * RD COMPARE * port=0 adr=05 act=CED4029716870C3706 exp=CED4029716870C3706 + 27190.50ns INFO [00027192] Port=0 RD @01 + 27190.50ns INFO [00027192] Port=1 RD @05 + 27191.50ns INFO [00027193] * RD COMPARE * port=0 adr=01 act=A7025521E13E1C1804 exp=A7025521E13E1C1804 + 27191.50ns INFO [00027193] Port=0 RD @05 + 27192.50ns INFO [00027194] * RD COMPARE * port=0 adr=01 act=A7025521E13E1C1804 exp=A7025521E13E1C1804 + 27192.50ns INFO [00027194] * RD COMPARE * port=1 adr=05 act=CED4029716870C3706 exp=CED4029716870C3706 + 27193.50ns INFO [00027195] * RD COMPARE * port=0 adr=05 act=CED4029716870C3706 exp=CED4029716870C3706 + 27193.50ns INFO [00027195] Port=0 RD @03 + 27194.50ns INFO [00027196] Port=0 WR @07=05AE6639720C7F4D63 + 27194.50ns INFO [00027196] Port=0 RD @02 + 27195.50ns INFO [00027197] * RD COMPARE * port=0 adr=03 act=EEB520D4C9EC45B98E exp=EEB520D4C9EC45B98E + 27195.50ns INFO [00027197] Port=0 WR @01=D76C5B288FD8F924EE + 27196.50ns INFO [00027198] * RD COMPARE * port=0 adr=02 act=951D94ED5FFF8A315E exp=951D94ED5FFF8A315E + 27196.50ns INFO [00027198] Port=0 WR @05=046056DDFADDC0E208 + 27196.50ns INFO [00027198] Port=1 RD @00 + 27197.50ns INFO [00027199] Port=0 RD @05 + 27198.00ns INFO [00027200] [00027200] ...tick... + 27198.50ns INFO [00027200] * RD COMPARE * port=1 adr=00 act=AE37CC760C09C3E98C exp=AE37CC760C09C3E98C + 27198.50ns INFO [00027200] Port=1 RD @04 + 27199.50ns INFO [00027201] * RD COMPARE * port=0 adr=05 act=046056DDFADDC0E208 exp=046056DDFADDC0E208 + 27199.50ns INFO [00027201] Port=0 WR @06=676D41805FF4E9D781 + 27199.50ns INFO [00027201] Port=1 RD @05 + 27200.50ns INFO [00027202] * RD COMPARE * port=1 adr=04 act=96A1885C6A9D995402 exp=96A1885C6A9D995402 + 27200.50ns INFO [00027202] Port=0 WR @02=3962D974B2D33B9E3C + 27200.50ns INFO [00027202] Port=1 RD @00 + 27201.50ns INFO [00027203] * RD COMPARE * port=1 adr=05 act=046056DDFADDC0E208 exp=046056DDFADDC0E208 + 27202.50ns INFO [00027204] * RD COMPARE * port=1 adr=00 act=AE37CC760C09C3E98C exp=AE37CC760C09C3E98C + 27202.50ns INFO [00027204] Port=0 WR @05=A8566A80702011C5AF + 27203.50ns INFO [00027205] Port=0 RD @04 + 27204.50ns INFO [00027206] Port=0 RD @01 + 27204.50ns INFO [00027206] Port=1 RD @01 + 27205.50ns INFO [00027207] * RD COMPARE * port=0 adr=04 act=96A1885C6A9D995402 exp=96A1885C6A9D995402 + 27205.50ns INFO [00027207] Port=0 WR @05=451F9448A01D9BFF4B + 27205.50ns INFO [00027207] Port=0 RD @02 + 27205.50ns INFO [00027207] Port=1 RD @07 + 27206.50ns INFO [00027208] * RD COMPARE * port=0 adr=01 act=D76C5B288FD8F924EE exp=D76C5B288FD8F924EE + 27206.50ns INFO [00027208] * RD COMPARE * port=1 adr=01 act=D76C5B288FD8F924EE exp=D76C5B288FD8F924EE + 27206.50ns INFO [00027208] Port=0 WR @05=1D6AF5F1B4232FE967 + 27207.50ns INFO [00027209] * RD COMPARE * port=0 adr=02 act=3962D974B2D33B9E3C exp=3962D974B2D33B9E3C + 27207.50ns INFO [00027209] * RD COMPARE * port=1 adr=07 act=05AE6639720C7F4D63 exp=05AE6639720C7F4D63 + 27207.50ns INFO [00027209] Port=0 WR @07=02CED4E4C93EBEB73D + 27208.50ns INFO [00027210] Port=0 RD @02 + 27209.50ns INFO [00027211] Port=1 RD @07 + 27210.50ns INFO [00027212] * RD COMPARE * port=0 adr=02 act=3962D974B2D33B9E3C exp=3962D974B2D33B9E3C + 27210.50ns INFO [00027212] Port=0 WR @05=27FE89D12FE5D702F1 + 27210.50ns INFO [00027212] Port=1 RD @07 + 27211.50ns INFO [00027213] * RD COMPARE * port=1 adr=07 act=02CED4E4C93EBEB73D exp=02CED4E4C93EBEB73D + 27211.50ns INFO [00027213] Port=0 WR @06=064BCF266A41E4FE67 + 27212.50ns INFO [00027214] * RD COMPARE * port=1 adr=07 act=02CED4E4C93EBEB73D exp=02CED4E4C93EBEB73D + 27212.50ns INFO [00027214] Port=0 WR @02=5381787C3EEFE2BDE1 + 27212.50ns INFO [00027214] Port=1 RD @06 + 27213.50ns INFO [00027215] Port=1 RD @00 + 27214.50ns INFO [00027216] * RD COMPARE * port=1 adr=06 act=064BCF266A41E4FE67 exp=064BCF266A41E4FE67 + 27214.50ns INFO [00027216] Port=0 WR @06=EA6C1761071C9C4989 + 27215.50ns INFO [00027217] * RD COMPARE * port=1 adr=00 act=AE37CC760C09C3E98C exp=AE37CC760C09C3E98C + 27216.50ns INFO [00027218] Port=0 WR @03=1659DF57B0EAE55124 + 27216.50ns INFO [00027218] Port=0 RD @07 + 27216.50ns INFO [00027218] Port=1 RD @00 + 27217.50ns INFO [00027219] Port=0 WR @00=9B6445A471B2081ED8 + 27217.50ns INFO [00027219] Port=1 RD @06 + 27218.50ns INFO [00027220] * RD COMPARE * port=0 adr=07 act=02CED4E4C93EBEB73D exp=02CED4E4C93EBEB73D + 27218.50ns INFO [00027220] * RD COMPARE * port=1 adr=00 act=AE37CC760C09C3E98C exp=AE37CC760C09C3E98C + 27218.50ns INFO [00027220] Port=0 WR @07=600ECE062C0E31D3B2 + 27218.50ns INFO [00027220] Port=0 RD @05 + 27219.50ns INFO [00027221] * RD COMPARE * port=1 adr=06 act=EA6C1761071C9C4989 exp=EA6C1761071C9C4989 + 27220.50ns INFO [00027222] * RD COMPARE * port=0 adr=05 act=27FE89D12FE5D702F1 exp=27FE89D12FE5D702F1 + 27220.50ns INFO [00027222] Port=0 WR @06=EF8B84A6BFFF84DA90 + 27220.50ns INFO [00027222] Port=0 RD @00 + 27221.50ns INFO [00027223] Port=0 WR @02=E7DCCE20AE474571C9 + 27221.50ns INFO [00027223] Port=0 RD @07 + 27222.50ns INFO [00027224] * RD COMPARE * port=0 adr=00 act=9B6445A471B2081ED8 exp=9B6445A471B2081ED8 + 27222.50ns INFO [00027224] Port=0 WR @00=F545E1A704BE15D7D2 + 27222.50ns INFO [00027224] Port=0 RD @04 + 27223.50ns INFO [00027225] * RD COMPARE * port=0 adr=07 act=600ECE062C0E31D3B2 exp=600ECE062C0E31D3B2 + 27224.50ns INFO [00027226] * RD COMPARE * port=0 adr=04 act=96A1885C6A9D995402 exp=96A1885C6A9D995402 + 27224.50ns INFO [00027226] Port=0 RD @04 + 27226.50ns INFO [00027228] * RD COMPARE * port=0 adr=04 act=96A1885C6A9D995402 exp=96A1885C6A9D995402 + 27226.50ns INFO [00027228] Port=0 WR @05=ED1746F6B9C2B3BC06 + 27226.50ns INFO [00027228] Port=0 RD @00 + 27227.50ns INFO [00027229] Port=0 WR @02=EDAA97D388CDB38C61 + 27227.50ns INFO [00027229] Port=1 RD @00 + 27228.50ns INFO [00027230] * RD COMPARE * port=0 adr=00 act=F545E1A704BE15D7D2 exp=F545E1A704BE15D7D2 + 27228.50ns INFO [00027230] Port=1 RD @00 + 27229.50ns INFO [00027231] * RD COMPARE * port=1 adr=00 act=F545E1A704BE15D7D2 exp=F545E1A704BE15D7D2 + 27230.50ns INFO [00027232] * RD COMPARE * port=1 adr=00 act=F545E1A704BE15D7D2 exp=F545E1A704BE15D7D2 + 27231.50ns INFO [00027233] Port=0 WR @00=D59B7840027D441E91 + 27232.50ns INFO [00027234] Port=1 RD @07 + 27233.50ns INFO [00027235] Port=1 RD @02 + 27234.50ns INFO [00027236] * RD COMPARE * port=1 adr=07 act=600ECE062C0E31D3B2 exp=600ECE062C0E31D3B2 + 27234.50ns INFO [00027236] Port=1 RD @03 + 27235.50ns INFO [00027237] * RD COMPARE * port=1 adr=02 act=EDAA97D388CDB38C61 exp=EDAA97D388CDB38C61 + 27235.50ns INFO [00027237] Port=1 RD @07 + 27236.50ns INFO [00027238] * RD COMPARE * port=1 adr=03 act=1659DF57B0EAE55124 exp=1659DF57B0EAE55124 + 27236.50ns INFO [00027238] Port=0 RD @06 + 27237.50ns INFO [00027239] * RD COMPARE * port=1 adr=07 act=600ECE062C0E31D3B2 exp=600ECE062C0E31D3B2 + 27237.50ns INFO [00027239] Port=1 RD @05 + 27238.50ns INFO [00027240] * RD COMPARE * port=0 adr=06 act=EF8B84A6BFFF84DA90 exp=EF8B84A6BFFF84DA90 + 27238.50ns INFO [00027240] Port=0 WR @00=48F7F91CE4B9C37825 + 27239.50ns INFO [00027241] * RD COMPARE * port=1 adr=05 act=ED1746F6B9C2B3BC06 exp=ED1746F6B9C2B3BC06 + 27239.50ns INFO [00027241] Port=0 WR @00=CE593CD01C84545321 + 27239.50ns INFO [00027241] Port=1 RD @04 + 27240.50ns INFO [00027242] Port=0 RD @07 + 27240.50ns INFO [00027242] Port=1 RD @01 + 27241.50ns INFO [00027243] * RD COMPARE * port=1 adr=04 act=96A1885C6A9D995402 exp=96A1885C6A9D995402 + 27241.50ns INFO [00027243] Port=0 WR @02=856C4253E580028BE4 + 27241.50ns INFO [00027243] Port=1 RD @05 + 27242.50ns INFO [00027244] * RD COMPARE * port=0 adr=07 act=600ECE062C0E31D3B2 exp=600ECE062C0E31D3B2 + 27242.50ns INFO [00027244] * RD COMPARE * port=1 adr=01 act=D76C5B288FD8F924EE exp=D76C5B288FD8F924EE + 27243.50ns INFO [00027245] * RD COMPARE * port=1 adr=05 act=ED1746F6B9C2B3BC06 exp=ED1746F6B9C2B3BC06 + 27243.50ns INFO [00027245] Port=0 WR @00=61601A049E19CD0CA7 + 27243.50ns INFO [00027245] Port=0 RD @07 + 27243.50ns INFO [00027245] Port=1 RD @03 + 27244.50ns INFO [00027246] Port=0 RD @00 + 27244.50ns INFO [00027246] Port=1 RD @07 + 27245.50ns INFO [00027247] * RD COMPARE * port=0 adr=07 act=600ECE062C0E31D3B2 exp=600ECE062C0E31D3B2 + 27245.50ns INFO [00027247] * RD COMPARE * port=1 adr=03 act=1659DF57B0EAE55124 exp=1659DF57B0EAE55124 + 27245.50ns INFO [00027247] Port=0 WR @03=32AD08861E179DEF9D + 27245.50ns INFO [00027247] Port=1 RD @01 + 27246.50ns INFO [00027248] * RD COMPARE * port=0 adr=00 act=61601A049E19CD0CA7 exp=61601A049E19CD0CA7 + 27246.50ns INFO [00027248] * RD COMPARE * port=1 adr=07 act=600ECE062C0E31D3B2 exp=600ECE062C0E31D3B2 + 27246.50ns INFO [00027248] Port=0 WR @04=F0C0FC520B7D3B9EFF + 27246.50ns INFO [00027248] Port=0 RD @02 + 27246.50ns INFO [00027248] Port=1 RD @05 + 27247.50ns INFO [00027249] * RD COMPARE * port=1 adr=01 act=D76C5B288FD8F924EE exp=D76C5B288FD8F924EE + 27247.50ns INFO [00027249] Port=1 RD @04 + 27248.50ns INFO [00027250] * RD COMPARE * port=0 adr=02 act=856C4253E580028BE4 exp=856C4253E580028BE4 + 27248.50ns INFO [00027250] * RD COMPARE * port=1 adr=05 act=ED1746F6B9C2B3BC06 exp=ED1746F6B9C2B3BC06 + 27248.50ns INFO [00027250] Port=0 WR @07=93B75F292D771F30BD + 27248.50ns INFO [00027250] Port=1 RD @06 + 27249.50ns INFO [00027251] * RD COMPARE * port=1 adr=04 act=F0C0FC520B7D3B9EFF exp=F0C0FC520B7D3B9EFF + 27249.50ns INFO [00027251] Port=0 WR @03=C77D6E5FA7313BD70E + 27249.50ns INFO [00027251] Port=0 RD @02 + 27249.50ns INFO [00027251] Port=1 RD @06 + 27250.50ns INFO [00027252] * RD COMPARE * port=1 adr=06 act=EF8B84A6BFFF84DA90 exp=EF8B84A6BFFF84DA90 + 27250.50ns INFO [00027252] Port=1 RD @02 + 27251.50ns INFO [00027253] * RD COMPARE * port=0 adr=02 act=856C4253E580028BE4 exp=856C4253E580028BE4 + 27251.50ns INFO [00027253] * RD COMPARE * port=1 adr=06 act=EF8B84A6BFFF84DA90 exp=EF8B84A6BFFF84DA90 + 27251.50ns INFO [00027253] Port=0 WR @02=6D821818C7A29B3825 + 27251.50ns INFO [00027253] Port=0 RD @03 + 27251.50ns INFO [00027253] Port=1 RD @03 + 27252.50ns INFO [00027254] * RD COMPARE * port=1 adr=02 act=856C4253E580028BE4 exp=856C4253E580028BE4 + 27252.50ns INFO [00027254] Port=1 RD @06 + 27253.50ns INFO [00027255] * RD COMPARE * port=0 adr=03 act=C77D6E5FA7313BD70E exp=C77D6E5FA7313BD70E + 27253.50ns INFO [00027255] * RD COMPARE * port=1 adr=03 act=C77D6E5FA7313BD70E exp=C77D6E5FA7313BD70E + 27253.50ns INFO [00027255] Port=0 RD @05 + 27254.50ns INFO [00027256] * RD COMPARE * port=1 adr=06 act=EF8B84A6BFFF84DA90 exp=EF8B84A6BFFF84DA90 + 27254.50ns INFO [00027256] Port=0 WR @07=E76B20F583F71678DC + 27254.50ns INFO [00027256] Port=0 RD @01 + 27254.50ns INFO [00027256] Port=1 RD @00 + 27255.50ns INFO [00027257] * RD COMPARE * port=0 adr=05 act=ED1746F6B9C2B3BC06 exp=ED1746F6B9C2B3BC06 + 27256.50ns INFO [00027258] * RD COMPARE * port=0 adr=01 act=D76C5B288FD8F924EE exp=D76C5B288FD8F924EE + 27256.50ns INFO [00027258] * RD COMPARE * port=1 adr=00 act=61601A049E19CD0CA7 exp=61601A049E19CD0CA7 + 27257.50ns INFO [00027259] Port=1 RD @02 + 27258.50ns INFO [00027260] Port=0 WR @02=2F9E9190D16391203F + 27259.50ns INFO [00027261] * RD COMPARE * port=1 adr=02 act=6D821818C7A29B3825 exp=6D821818C7A29B3825 + 27259.50ns INFO [00027261] Port=0 WR @03=69E117FAB07D6E8E84 + 27261.50ns INFO [00027263] Port=0 RD @06 + 27261.50ns INFO [00027263] Port=1 RD @05 + 27263.50ns INFO [00027265] * RD COMPARE * port=0 adr=06 act=EF8B84A6BFFF84DA90 exp=EF8B84A6BFFF84DA90 + 27263.50ns INFO [00027265] * RD COMPARE * port=1 adr=05 act=ED1746F6B9C2B3BC06 exp=ED1746F6B9C2B3BC06 + 27263.50ns INFO [00027265] Port=1 RD @04 + 27264.50ns INFO [00027266] Port=0 RD @07 + 27265.50ns INFO [00027267] * RD COMPARE * port=1 adr=04 act=F0C0FC520B7D3B9EFF exp=F0C0FC520B7D3B9EFF + 27265.50ns INFO [00027267] Port=1 RD @03 + 27266.50ns INFO [00027268] * RD COMPARE * port=0 adr=07 act=E76B20F583F71678DC exp=E76B20F583F71678DC + 27266.50ns INFO [00027268] Port=0 RD @03 + 27266.50ns INFO [00027268] Port=1 RD @06 + 27267.50ns INFO [00027269] * RD COMPARE * port=1 adr=03 act=69E117FAB07D6E8E84 exp=69E117FAB07D6E8E84 + 27268.50ns INFO [00027270] * RD COMPARE * port=0 adr=03 act=69E117FAB07D6E8E84 exp=69E117FAB07D6E8E84 + 27268.50ns INFO [00027270] * RD COMPARE * port=1 adr=06 act=EF8B84A6BFFF84DA90 exp=EF8B84A6BFFF84DA90 + 27268.50ns INFO [00027270] Port=0 RD @07 + 27270.50ns INFO [00027272] * RD COMPARE * port=0 adr=07 act=E76B20F583F71678DC exp=E76B20F583F71678DC + 27272.50ns INFO [00027274] Port=0 RD @06 + 27272.50ns INFO [00027274] Port=1 RD @03 + 27274.50ns INFO [00027276] * RD COMPARE * port=0 adr=06 act=EF8B84A6BFFF84DA90 exp=EF8B84A6BFFF84DA90 + 27274.50ns INFO [00027276] * RD COMPARE * port=1 adr=03 act=69E117FAB07D6E8E84 exp=69E117FAB07D6E8E84 + 27274.50ns INFO [00027276] Port=0 WR @02=D640255437960B9CF5 + 27274.50ns INFO [00027276] Port=0 RD @04 + 27275.50ns INFO [00027277] Port=0 WR @01=C96C25C9082308A569 + 27275.50ns INFO [00027277] Port=1 RD @02 + 27276.50ns INFO [00027278] * RD COMPARE * port=0 adr=04 act=F0C0FC520B7D3B9EFF exp=F0C0FC520B7D3B9EFF + 27276.50ns INFO [00027278] Port=1 RD @05 + 27277.50ns INFO [00027279] * RD COMPARE * port=1 adr=02 act=D640255437960B9CF5 exp=D640255437960B9CF5 + 27277.50ns INFO [00027279] Port=0 WR @01=7A798C6DFA8F34AC59 + 27278.50ns INFO [00027280] * RD COMPARE * port=1 adr=05 act=ED1746F6B9C2B3BC06 exp=ED1746F6B9C2B3BC06 + 27278.50ns INFO [00027280] Port=0 WR @06=099FE7BA5C2E185232 + 27279.50ns INFO [00027281] Port=1 RD @07 + 27280.50ns INFO [00027282] Port=1 RD @03 + 27281.50ns INFO [00027283] * RD COMPARE * port=1 adr=07 act=E76B20F583F71678DC exp=E76B20F583F71678DC + 27282.50ns INFO [00027284] * RD COMPARE * port=1 adr=03 act=69E117FAB07D6E8E84 exp=69E117FAB07D6E8E84 + 27285.50ns INFO [00027287] Port=0 WR @01=73E78BD63F83EA6F09 + 27285.50ns INFO [00027287] Port=0 RD @05 + 27286.50ns INFO [00027288] Port=0 WR @02=DC7F659B8D4D765456 + 27287.50ns INFO [00027289] * RD COMPARE * port=0 adr=05 act=ED1746F6B9C2B3BC06 exp=ED1746F6B9C2B3BC06 + 27289.50ns INFO [00027291] Port=0 WR @05=95B5F92EEE87C9175B + 27290.50ns INFO [00027292] Port=1 RD @01 + 27291.50ns INFO [00027293] Port=1 RD @06 + 27292.50ns INFO [00027294] * RD COMPARE * port=1 adr=01 act=73E78BD63F83EA6F09 exp=73E78BD63F83EA6F09 + 27292.50ns INFO [00027294] Port=0 WR @07=63D4E7808C390048DC + 27292.50ns INFO [00027294] Port=1 RD @03 + 27293.50ns INFO [00027295] * RD COMPARE * port=1 adr=06 act=099FE7BA5C2E185232 exp=099FE7BA5C2E185232 + 27293.50ns INFO [00027295] Port=1 RD @06 + 27294.50ns INFO [00027296] * RD COMPARE * port=1 adr=03 act=69E117FAB07D6E8E84 exp=69E117FAB07D6E8E84 + 27294.50ns INFO [00027296] Port=0 WR @00=B88F2840AA8E63DEA5 + 27294.50ns INFO [00027296] Port=0 RD @05 + 27295.50ns INFO [00027297] * RD COMPARE * port=1 adr=06 act=099FE7BA5C2E185232 exp=099FE7BA5C2E185232 + 27296.50ns INFO [00027298] * RD COMPARE * port=0 adr=05 act=95B5F92EEE87C9175B exp=95B5F92EEE87C9175B + 27296.50ns INFO [00027298] Port=0 WR @02=F4E3B27B924F951D4E + 27297.50ns INFO [00027299] Port=0 RD @07 + 27298.00ns INFO [00027300] [00027300] ...tick... + 27298.50ns INFO [00027300] Port=1 RD @03 + 27299.50ns INFO [00027301] * RD COMPARE * port=0 adr=07 act=63D4E7808C390048DC exp=63D4E7808C390048DC + 27299.50ns INFO [00027301] Port=0 RD @07 + 27299.50ns INFO [00027301] Port=1 RD @06 + 27300.50ns INFO [00027302] * RD COMPARE * port=1 adr=03 act=69E117FAB07D6E8E84 exp=69E117FAB07D6E8E84 + 27300.50ns INFO [00027302] Port=1 RD @06 + 27301.50ns INFO [00027303] * RD COMPARE * port=0 adr=07 act=63D4E7808C390048DC exp=63D4E7808C390048DC + 27301.50ns INFO [00027303] * RD COMPARE * port=1 adr=06 act=099FE7BA5C2E185232 exp=099FE7BA5C2E185232 + 27301.50ns INFO [00027303] Port=0 WR @06=364713397C973D561B + 27302.50ns INFO [00027304] * RD COMPARE * port=1 adr=06 act=099FE7BA5C2E185232 exp=099FE7BA5C2E185232 + 27302.50ns INFO [00027304] Port=0 RD @00 + 27303.50ns INFO [00027305] Port=0 RD @04 + 27303.50ns INFO [00027305] Port=1 RD @00 + 27304.50ns INFO [00027306] * RD COMPARE * port=0 adr=00 act=B88F2840AA8E63DEA5 exp=B88F2840AA8E63DEA5 + 27304.50ns INFO [00027306] Port=0 WR @03=94A84C3BD494554E92 + 27304.50ns INFO [00027306] Port=1 RD @05 + 27305.50ns INFO [00027307] * RD COMPARE * port=0 adr=04 act=F0C0FC520B7D3B9EFF exp=F0C0FC520B7D3B9EFF + 27305.50ns INFO [00027307] * RD COMPARE * port=1 adr=00 act=B88F2840AA8E63DEA5 exp=B88F2840AA8E63DEA5 + 27305.50ns INFO [00027307] Port=0 RD @05 + 27305.50ns INFO [00027307] Port=1 RD @06 + 27306.50ns INFO [00027308] * RD COMPARE * port=1 adr=05 act=95B5F92EEE87C9175B exp=95B5F92EEE87C9175B + 27306.50ns INFO [00027308] Port=0 RD @02 + 27307.50ns INFO [00027309] * RD COMPARE * port=0 adr=05 act=95B5F92EEE87C9175B exp=95B5F92EEE87C9175B + 27307.50ns INFO [00027309] * RD COMPARE * port=1 adr=06 act=364713397C973D561B exp=364713397C973D561B + 27308.50ns INFO [00027310] * RD COMPARE * port=0 adr=02 act=F4E3B27B924F951D4E exp=F4E3B27B924F951D4E + 27308.50ns INFO [00027310] Port=0 RD @07 + 27309.50ns INFO [00027311] Port=0 RD @03 + 27310.50ns INFO [00027312] * RD COMPARE * port=0 adr=07 act=63D4E7808C390048DC exp=63D4E7808C390048DC + 27311.50ns INFO [00027313] * RD COMPARE * port=0 adr=03 act=94A84C3BD494554E92 exp=94A84C3BD494554E92 + 27311.50ns INFO [00027313] Port=0 RD @04 + 27311.50ns INFO [00027313] Port=1 RD @00 + 27312.50ns INFO [00027314] Port=0 WR @02=6FE503D5EAEA79814E + 27313.50ns INFO [00027315] * RD COMPARE * port=0 adr=04 act=F0C0FC520B7D3B9EFF exp=F0C0FC520B7D3B9EFF + 27313.50ns INFO [00027315] * RD COMPARE * port=1 adr=00 act=B88F2840AA8E63DEA5 exp=B88F2840AA8E63DEA5 + 27313.50ns INFO [00027315] Port=0 RD @06 + 27313.50ns INFO [00027315] Port=1 RD @06 + 27314.50ns INFO [00027316] Port=0 RD @04 + 27314.50ns INFO [00027316] Port=1 RD @00 + 27315.50ns INFO [00027317] * RD COMPARE * port=0 adr=06 act=364713397C973D561B exp=364713397C973D561B + 27315.50ns INFO [00027317] * RD COMPARE * port=1 adr=06 act=364713397C973D561B exp=364713397C973D561B + 27315.50ns INFO [00027317] Port=0 RD @01 + 27316.50ns INFO [00027318] * RD COMPARE * port=0 adr=04 act=F0C0FC520B7D3B9EFF exp=F0C0FC520B7D3B9EFF + 27316.50ns INFO [00027318] * RD COMPARE * port=1 adr=00 act=B88F2840AA8E63DEA5 exp=B88F2840AA8E63DEA5 + 27316.50ns INFO [00027318] Port=0 WR @02=E6F78F249A6BAF2538 + 27316.50ns INFO [00027318] Port=1 RD @04 + 27317.50ns INFO [00027319] * RD COMPARE * port=0 adr=01 act=73E78BD63F83EA6F09 exp=73E78BD63F83EA6F09 + 27317.50ns INFO [00027319] Port=0 RD @04 + 27318.50ns INFO [00027320] * RD COMPARE * port=1 adr=04 act=F0C0FC520B7D3B9EFF exp=F0C0FC520B7D3B9EFF + 27318.50ns INFO [00027320] Port=1 RD @07 + 27319.50ns INFO [00027321] * RD COMPARE * port=0 adr=04 act=F0C0FC520B7D3B9EFF exp=F0C0FC520B7D3B9EFF + 27319.50ns INFO [00027321] Port=1 RD @03 + 27320.50ns INFO [00027322] * RD COMPARE * port=1 adr=07 act=63D4E7808C390048DC exp=63D4E7808C390048DC + 27320.50ns INFO [00027322] Port=1 RD @01 + 27321.50ns INFO [00027323] * RD COMPARE * port=1 adr=03 act=94A84C3BD494554E92 exp=94A84C3BD494554E92 + 27321.50ns INFO [00027323] Port=0 WR @03=37D88C059410F0F254 + 27321.50ns INFO [00027323] Port=0 RD @06 + 27322.50ns INFO [00027324] * RD COMPARE * port=1 adr=01 act=73E78BD63F83EA6F09 exp=73E78BD63F83EA6F09 + 27322.50ns INFO [00027324] Port=0 RD @02 + 27322.50ns INFO [00027324] Port=1 RD @00 + 27323.50ns INFO [00027325] * RD COMPARE * port=0 adr=06 act=364713397C973D561B exp=364713397C973D561B + 27323.50ns INFO [00027325] Port=0 WR @03=C7568FF0F9BB2AE122 + 27323.50ns INFO [00027325] Port=1 RD @01 + 27324.50ns INFO [00027326] * RD COMPARE * port=0 adr=02 act=E6F78F249A6BAF2538 exp=E6F78F249A6BAF2538 + 27324.50ns INFO [00027326] * RD COMPARE * port=1 adr=00 act=B88F2840AA8E63DEA5 exp=B88F2840AA8E63DEA5 + 27324.50ns INFO [00027326] Port=0 WR @02=C496DB3FF9A83EEB94 + 27324.50ns INFO [00027326] Port=0 RD @05 + 27325.50ns INFO [00027327] * RD COMPARE * port=1 adr=01 act=73E78BD63F83EA6F09 exp=73E78BD63F83EA6F09 + 27326.50ns INFO [00027328] * RD COMPARE * port=0 adr=05 act=95B5F92EEE87C9175B exp=95B5F92EEE87C9175B + 27327.50ns INFO [00027329] Port=0 WR @02=96286F81635A9DA142 + 27327.50ns INFO [00027329] Port=0 RD @03 + 27329.50ns INFO [00027331] * RD COMPARE * port=0 adr=03 act=C7568FF0F9BB2AE122 exp=C7568FF0F9BB2AE122 + 27330.50ns INFO [00027332] Port=0 WR @00=29EA48D1D6EF889F6F + 27331.50ns INFO [00027333] Port=1 RD @03 + 27332.50ns INFO [00027334] Port=0 WR @06=98D7B8FE44C4787F33 + 27332.50ns INFO [00027334] Port=1 RD @02 + 27333.50ns INFO [00027335] * RD COMPARE * port=1 adr=03 act=C7568FF0F9BB2AE122 exp=C7568FF0F9BB2AE122 + 27334.50ns INFO [00027336] * RD COMPARE * port=1 adr=02 act=96286F81635A9DA142 exp=96286F81635A9DA142 + 27334.50ns INFO [00027336] Port=0 RD @07 + 27334.50ns INFO [00027336] Port=1 RD @01 + 27335.50ns INFO [00027337] Port=0 WR @00=7C7B1C219FB7042641 + 27335.50ns INFO [00027337] Port=0 RD @07 + 27336.50ns INFO [00027338] * RD COMPARE * port=0 adr=07 act=63D4E7808C390048DC exp=63D4E7808C390048DC + 27336.50ns INFO [00027338] * RD COMPARE * port=1 adr=01 act=73E78BD63F83EA6F09 exp=73E78BD63F83EA6F09 + 27336.50ns INFO [00027338] Port=0 WR @05=AA4376A586D70B9F0A + 27336.50ns INFO [00027338] Port=0 RD @01 + 27337.50ns INFO [00027339] * RD COMPARE * port=0 adr=07 act=63D4E7808C390048DC exp=63D4E7808C390048DC + 27337.50ns INFO [00027339] Port=0 WR @03=16B37CAA2313A45786 + 27337.50ns INFO [00027339] Port=1 RD @02 + 27338.50ns INFO [00027340] * RD COMPARE * port=0 adr=01 act=73E78BD63F83EA6F09 exp=73E78BD63F83EA6F09 + 27338.50ns INFO [00027340] Port=0 WR @01=00C2C307B80C72EE53 + 27339.50ns INFO [00027341] * RD COMPARE * port=1 adr=02 act=96286F81635A9DA142 exp=96286F81635A9DA142 + 27339.50ns INFO [00027341] Port=0 WR @02=818D2F137BB895C15D + 27340.50ns INFO [00027342] Port=0 WR @05=C35C9472659A649109 + 27340.50ns INFO [00027342] Port=0 RD @00 + 27340.50ns INFO [00027342] Port=1 RD @06 + 27342.50ns INFO [00027344] * RD COMPARE * port=0 adr=00 act=7C7B1C219FB7042641 exp=7C7B1C219FB7042641 + 27342.50ns INFO [00027344] * RD COMPARE * port=1 adr=06 act=98D7B8FE44C4787F33 exp=98D7B8FE44C4787F33 + 27342.50ns INFO [00027344] Port=0 WR @07=A8B5271100E17822A1 + 27344.50ns INFO [00027346] Port=0 WR @04=90151A80CB2ADFA5A4 + 27344.50ns INFO [00027346] Port=0 RD @07 + 27346.50ns INFO [00027348] * RD COMPARE * port=0 adr=07 act=A8B5271100E17822A1 exp=A8B5271100E17822A1 + 27347.50ns INFO [00027349] Port=1 RD @06 + 27348.50ns INFO [00027350] Port=0 WR @07=07AF67CC12B681AD47 + 27348.50ns INFO [00027350] Port=0 RD @02 + 27349.50ns INFO [00027351] * RD COMPARE * port=1 adr=06 act=98D7B8FE44C4787F33 exp=98D7B8FE44C4787F33 + 27349.50ns INFO [00027351] Port=0 WR @01=D12034D9A550BACC50 + 27350.50ns INFO [00027352] * RD COMPARE * port=0 adr=02 act=818D2F137BB895C15D exp=818D2F137BB895C15D + 27350.50ns INFO [00027352] Port=1 RD @02 + 27351.50ns INFO [00027353] Port=1 RD @07 + 27352.50ns INFO [00027354] * RD COMPARE * port=1 adr=02 act=818D2F137BB895C15D exp=818D2F137BB895C15D + 27352.50ns INFO [00027354] Port=1 RD @05 + 27353.50ns INFO [00027355] * RD COMPARE * port=1 adr=07 act=07AF67CC12B681AD47 exp=07AF67CC12B681AD47 + 27353.50ns INFO [00027355] Port=0 RD @04 + 27354.50ns INFO [00027356] * RD COMPARE * port=1 adr=05 act=C35C9472659A649109 exp=C35C9472659A649109 + 27354.50ns INFO [00027356] Port=0 WR @07=6F942E4CFB30A488CB + 27354.50ns INFO [00027356] Port=0 RD @05 + 27355.50ns INFO [00027357] * RD COMPARE * port=0 adr=04 act=90151A80CB2ADFA5A4 exp=90151A80CB2ADFA5A4 + 27356.50ns INFO [00027358] * RD COMPARE * port=0 adr=05 act=C35C9472659A649109 exp=C35C9472659A649109 + 27356.50ns INFO [00027358] Port=0 RD @05 + 27356.50ns INFO [00027358] Port=1 RD @06 + 27357.50ns INFO [00027359] Port=1 RD @00 + 27358.50ns INFO [00027360] * RD COMPARE * port=0 adr=05 act=C35C9472659A649109 exp=C35C9472659A649109 + 27358.50ns INFO [00027360] * RD COMPARE * port=1 adr=06 act=98D7B8FE44C4787F33 exp=98D7B8FE44C4787F33 + 27358.50ns INFO [00027360] Port=0 RD @07 + 27358.50ns INFO [00027360] Port=1 RD @00 + 27359.50ns INFO [00027361] * RD COMPARE * port=1 adr=00 act=7C7B1C219FB7042641 exp=7C7B1C219FB7042641 + 27359.50ns INFO [00027361] Port=0 RD @01 + 27360.50ns INFO [00027362] * RD COMPARE * port=0 adr=07 act=6F942E4CFB30A488CB exp=6F942E4CFB30A488CB + 27360.50ns INFO [00027362] * RD COMPARE * port=1 adr=00 act=7C7B1C219FB7042641 exp=7C7B1C219FB7042641 + 27360.50ns INFO [00027362] Port=1 RD @07 + 27361.50ns INFO [00027363] * RD COMPARE * port=0 adr=01 act=D12034D9A550BACC50 exp=D12034D9A550BACC50 + 27361.50ns INFO [00027363] Port=0 WR @06=E2D010F414980F4740 + 27361.50ns INFO [00027363] Port=0 RD @01 + 27362.50ns INFO [00027364] * RD COMPARE * port=1 adr=07 act=6F942E4CFB30A488CB exp=6F942E4CFB30A488CB + 27362.50ns INFO [00027364] Port=0 WR @05=DCB19713B24293AC26 + 27363.50ns INFO [00027365] * RD COMPARE * port=0 adr=01 act=D12034D9A550BACC50 exp=D12034D9A550BACC50 + 27363.50ns INFO [00027365] Port=1 RD @01 + 27364.50ns INFO [00027366] Port=0 WR @07=0F729075D27E7342DA + 27364.50ns INFO [00027366] Port=0 RD @03 + 27365.50ns INFO [00027367] * RD COMPARE * port=1 adr=01 act=D12034D9A550BACC50 exp=D12034D9A550BACC50 + 27365.50ns INFO [00027367] Port=0 WR @00=ECB9E3999CC86BDCEF + 27366.50ns INFO [00027368] * RD COMPARE * port=0 adr=03 act=16B37CAA2313A45786 exp=16B37CAA2313A45786 + 27366.50ns INFO [00027368] Port=0 RD @02 + 27366.50ns INFO [00027368] Port=1 RD @05 + 27367.50ns INFO [00027369] Port=0 RD @01 + 27367.50ns INFO [00027369] Port=1 RD @01 + 27368.50ns INFO [00027370] * RD COMPARE * port=0 adr=02 act=818D2F137BB895C15D exp=818D2F137BB895C15D + 27368.50ns INFO [00027370] * RD COMPARE * port=1 adr=05 act=DCB19713B24293AC26 exp=DCB19713B24293AC26 + 27368.50ns INFO [00027370] Port=0 WR @07=B6DB02896A54CA9B42 + 27368.50ns INFO [00027370] Port=0 RD @01 + 27369.50ns INFO [00027371] * RD COMPARE * port=0 adr=01 act=D12034D9A550BACC50 exp=D12034D9A550BACC50 + 27369.50ns INFO [00027371] * RD COMPARE * port=1 adr=01 act=D12034D9A550BACC50 exp=D12034D9A550BACC50 + 27369.50ns INFO [00027371] Port=1 RD @03 + 27370.50ns INFO [00027372] * RD COMPARE * port=0 adr=01 act=D12034D9A550BACC50 exp=D12034D9A550BACC50 + 27370.50ns INFO [00027372] Port=0 WR @01=AC61F408C867AA4811 + 27371.50ns INFO [00027373] * RD COMPARE * port=1 adr=03 act=16B37CAA2313A45786 exp=16B37CAA2313A45786 + 27371.50ns INFO [00027373] Port=0 WR @06=5FCE90B8B1F66AA815 + 27371.50ns INFO [00027373] Port=0 RD @02 + 27371.50ns INFO [00027373] Port=1 RD @02 + 27372.50ns INFO [00027374] Port=0 WR @05=464148E466A6283EB3 + 27373.50ns INFO [00027375] * RD COMPARE * port=0 adr=02 act=818D2F137BB895C15D exp=818D2F137BB895C15D + 27373.50ns INFO [00027375] * RD COMPARE * port=1 adr=02 act=818D2F137BB895C15D exp=818D2F137BB895C15D + 27374.50ns INFO [00027376] Port=0 WR @01=9F9F3C794F411864DD + 27374.50ns INFO [00027376] Port=0 RD @07 + 27374.50ns INFO [00027376] Port=1 RD @07 + 27375.50ns INFO [00027377] Port=0 WR @06=A23567460A7E9407F0 + 27375.50ns INFO [00027377] Port=0 RD @03 + 27376.50ns INFO [00027378] * RD COMPARE * port=0 adr=07 act=B6DB02896A54CA9B42 exp=B6DB02896A54CA9B42 + 27376.50ns INFO [00027378] * RD COMPARE * port=1 adr=07 act=B6DB02896A54CA9B42 exp=B6DB02896A54CA9B42 + 27376.50ns INFO [00027378] Port=1 RD @07 + 27377.50ns INFO [00027379] * RD COMPARE * port=0 adr=03 act=16B37CAA2313A45786 exp=16B37CAA2313A45786 + 27377.50ns INFO [00027379] Port=0 RD @03 + 27377.50ns INFO [00027379] Port=1 RD @04 + 27378.50ns INFO [00027380] * RD COMPARE * port=1 adr=07 act=B6DB02896A54CA9B42 exp=B6DB02896A54CA9B42 + 27378.50ns INFO [00027380] Port=0 WR @02=ECA678C0D7AF31B72B + 27379.50ns INFO [00027381] * RD COMPARE * port=0 adr=03 act=16B37CAA2313A45786 exp=16B37CAA2313A45786 + 27379.50ns INFO [00027381] * RD COMPARE * port=1 adr=04 act=90151A80CB2ADFA5A4 exp=90151A80CB2ADFA5A4 + 27379.50ns INFO [00027381] Port=0 RD @05 + 27380.50ns INFO [00027382] Port=0 RD @00 + 27380.50ns INFO [00027382] Port=1 RD @01 + 27381.50ns INFO [00027383] * RD COMPARE * port=0 adr=05 act=464148E466A6283EB3 exp=464148E466A6283EB3 + 27381.50ns INFO [00027383] Port=0 RD @07 + 27381.50ns INFO [00027383] Port=1 RD @03 + 27382.50ns INFO [00027384] * RD COMPARE * port=0 adr=00 act=ECB9E3999CC86BDCEF exp=ECB9E3999CC86BDCEF + 27382.50ns INFO [00027384] * RD COMPARE * port=1 adr=01 act=9F9F3C794F411864DD exp=9F9F3C794F411864DD + 27382.50ns INFO [00027384] Port=0 RD @01 + 27383.50ns INFO [00027385] * RD COMPARE * port=0 adr=07 act=B6DB02896A54CA9B42 exp=B6DB02896A54CA9B42 + 27383.50ns INFO [00027385] * RD COMPARE * port=1 adr=03 act=16B37CAA2313A45786 exp=16B37CAA2313A45786 + 27383.50ns INFO [00027385] Port=0 WR @02=48090BC6A99B6F0AF7 + 27383.50ns INFO [00027385] Port=0 RD @06 + 27383.50ns INFO [00027385] Port=1 RD @03 + 27384.50ns INFO [00027386] * RD COMPARE * port=0 adr=01 act=9F9F3C794F411864DD exp=9F9F3C794F411864DD + 27384.50ns INFO [00027386] Port=0 WR @05=CF71153750074F4CF1 + 27385.50ns INFO [00027387] * RD COMPARE * port=0 adr=06 act=A23567460A7E9407F0 exp=A23567460A7E9407F0 + 27385.50ns INFO [00027387] * RD COMPARE * port=1 adr=03 act=16B37CAA2313A45786 exp=16B37CAA2313A45786 + 27385.50ns INFO [00027387] Port=0 RD @03 + 27385.50ns INFO [00027387] Port=1 RD @05 + 27386.50ns INFO [00027388] Port=0 WR @05=322CD5B2754FC5ADA8 + 27386.50ns INFO [00027388] Port=0 RD @01 + 27387.50ns INFO [00027389] * RD COMPARE * port=0 adr=03 act=16B37CAA2313A45786 exp=16B37CAA2313A45786 + 27387.50ns INFO [00027389] * RD COMPARE * port=1 adr=05 act=CF71153750074F4CF1 exp=CF71153750074F4CF1 + 27387.50ns INFO [00027389] Port=0 RD @02 + 27388.50ns INFO [00027390] * RD COMPARE * port=0 adr=01 act=9F9F3C794F411864DD exp=9F9F3C794F411864DD + 27388.50ns INFO [00027390] Port=0 RD @00 + 27388.50ns INFO [00027390] Port=1 RD @02 + 27389.50ns INFO [00027391] * RD COMPARE * port=0 adr=02 act=48090BC6A99B6F0AF7 exp=48090BC6A99B6F0AF7 + 27389.50ns INFO [00027391] Port=0 RD @05 + 27390.50ns INFO [00027392] * RD COMPARE * port=0 adr=00 act=ECB9E3999CC86BDCEF exp=ECB9E3999CC86BDCEF + 27390.50ns INFO [00027392] * RD COMPARE * port=1 adr=02 act=48090BC6A99B6F0AF7 exp=48090BC6A99B6F0AF7 + 27390.50ns INFO [00027392] Port=0 WR @01=8990F8022EA6359C77 + 27390.50ns INFO [00027392] Port=0 RD @03 + 27391.50ns INFO [00027393] * RD COMPARE * port=0 adr=05 act=322CD5B2754FC5ADA8 exp=322CD5B2754FC5ADA8 + 27391.50ns INFO [00027393] Port=0 WR @00=0CB864FA5273DEA12F + 27391.50ns INFO [00027393] Port=0 RD @07 + 27392.50ns INFO [00027394] * RD COMPARE * port=0 adr=03 act=16B37CAA2313A45786 exp=16B37CAA2313A45786 + 27392.50ns INFO [00027394] Port=0 RD @05 + 27393.50ns INFO [00027395] * RD COMPARE * port=0 adr=07 act=B6DB02896A54CA9B42 exp=B6DB02896A54CA9B42 + 27393.50ns INFO [00027395] Port=1 RD @04 + 27394.50ns INFO [00027396] * RD COMPARE * port=0 adr=05 act=322CD5B2754FC5ADA8 exp=322CD5B2754FC5ADA8 + 27395.50ns INFO [00027397] * RD COMPARE * port=1 adr=04 act=90151A80CB2ADFA5A4 exp=90151A80CB2ADFA5A4 + 27395.50ns INFO [00027397] Port=0 WR @01=3F2976EB4FE5A17580 + 27396.50ns INFO [00027398] Port=0 WR @04=C474D351E68CCB59AB + 27397.50ns INFO [00027399] Port=0 RD @03 + 27397.50ns INFO [00027399] Port=1 RD @00 + 27398.00ns INFO [00027400] [00027400] ...tick... + 27398.50ns INFO [00027400] Port=0 WR @02=646C60110765376791 + 27399.50ns INFO [00027401] * RD COMPARE * port=0 adr=03 act=16B37CAA2313A45786 exp=16B37CAA2313A45786 + 27399.50ns INFO [00027401] * RD COMPARE * port=1 adr=00 act=0CB864FA5273DEA12F exp=0CB864FA5273DEA12F + 27399.50ns INFO [00027401] Port=0 WR @04=27652E0C2399A0F2D4 + 27400.50ns INFO [00027402] Port=0 WR @07=7520A5423D509CC15A + 27401.50ns INFO [00027403] Port=0 WR @03=D85C31014AED99AC4E + 27401.50ns INFO [00027403] Port=1 RD @06 + 27402.50ns INFO [00027404] Port=1 RD @07 + 27403.50ns INFO [00027405] * RD COMPARE * port=1 adr=06 act=A23567460A7E9407F0 exp=A23567460A7E9407F0 + 27403.50ns INFO [00027405] Port=1 RD @01 + 27404.50ns INFO [00027406] * RD COMPARE * port=1 adr=07 act=7520A5423D509CC15A exp=7520A5423D509CC15A + 27405.50ns INFO [00027407] * RD COMPARE * port=1 adr=01 act=3F2976EB4FE5A17580 exp=3F2976EB4FE5A17580 + 27405.50ns INFO [00027407] Port=1 RD @01 + 27406.50ns INFO [00027408] Port=1 RD @05 + 27407.50ns INFO [00027409] * RD COMPARE * port=1 adr=01 act=3F2976EB4FE5A17580 exp=3F2976EB4FE5A17580 + 27407.50ns INFO [00027409] Port=0 RD @03 + 27408.50ns INFO [00027410] * RD COMPARE * port=1 adr=05 act=322CD5B2754FC5ADA8 exp=322CD5B2754FC5ADA8 + 27408.50ns INFO [00027410] Port=0 WR @01=4FE9A84835FE719AFC + 27408.50ns INFO [00027410] Port=0 RD @03 + 27408.50ns INFO [00027410] Port=1 RD @04 + 27409.50ns INFO [00027411] * RD COMPARE * port=0 adr=03 act=D85C31014AED99AC4E exp=D85C31014AED99AC4E + 27409.50ns INFO [00027411] Port=0 WR @04=8DF694F47B2DDD1542 + 27409.50ns INFO [00027411] Port=1 RD @03 + 27410.50ns INFO [00027412] * RD COMPARE * port=0 adr=03 act=D85C31014AED99AC4E exp=D85C31014AED99AC4E + 27410.50ns INFO [00027412] * RD COMPARE * port=1 adr=04 act=27652E0C2399A0F2D4 exp=27652E0C2399A0F2D4 + 27410.50ns INFO [00027412] Port=0 WR @02=F5B210001D283BAF28 + 27410.50ns INFO [00027412] Port=1 RD @00 + 27411.50ns INFO [00027413] * RD COMPARE * port=1 adr=03 act=D85C31014AED99AC4E exp=D85C31014AED99AC4E + 27411.50ns INFO [00027413] Port=0 RD @01 + 27411.50ns INFO [00027413] Port=1 RD @04 + 27412.50ns INFO [00027414] * RD COMPARE * port=1 adr=00 act=0CB864FA5273DEA12F exp=0CB864FA5273DEA12F + 27412.50ns INFO [00027414] Port=0 WR @01=49C52C9295E26BEA05 + 27412.50ns INFO [00027414] Port=0 RD @07 + 27412.50ns INFO [00027414] Port=1 RD @03 + 27413.50ns INFO [00027415] * RD COMPARE * port=0 adr=01 act=4FE9A84835FE719AFC exp=4FE9A84835FE719AFC + 27413.50ns INFO [00027415] * RD COMPARE * port=1 adr=04 act=8DF694F47B2DDD1542 exp=8DF694F47B2DDD1542 + 27413.50ns INFO [00027415] Port=1 RD @06 + 27414.50ns INFO [00027416] * RD COMPARE * port=0 adr=07 act=7520A5423D509CC15A exp=7520A5423D509CC15A + 27414.50ns INFO [00027416] * RD COMPARE * port=1 adr=03 act=D85C31014AED99AC4E exp=D85C31014AED99AC4E + 27414.50ns INFO [00027416] Port=0 WR @03=DC17DBF05EB6B7DA82 + 27415.50ns INFO [00027417] * RD COMPARE * port=1 adr=06 act=A23567460A7E9407F0 exp=A23567460A7E9407F0 + 27415.50ns INFO [00027417] Port=0 WR @06=3110AF78008CC20466 + 27416.50ns INFO [00027418] Port=0 RD @07 + 27417.50ns INFO [00027419] Port=0 RD @06 + 27418.50ns INFO [00027420] * RD COMPARE * port=0 adr=07 act=7520A5423D509CC15A exp=7520A5423D509CC15A + 27418.50ns INFO [00027420] Port=0 WR @05=81506BCC238BCAB1DE + 27418.50ns INFO [00027420] Port=0 RD @03 + 27418.50ns INFO [00027420] Port=1 RD @02 + 27419.50ns INFO [00027421] * RD COMPARE * port=0 adr=06 act=3110AF78008CC20466 exp=3110AF78008CC20466 + 27419.50ns INFO [00027421] Port=0 WR @04=5E7F069BE1AEF931AC + 27419.50ns INFO [00027421] Port=1 RD @07 + 27420.50ns INFO [00027422] * RD COMPARE * port=0 adr=03 act=DC17DBF05EB6B7DA82 exp=DC17DBF05EB6B7DA82 + 27420.50ns INFO [00027422] * RD COMPARE * port=1 adr=02 act=F5B210001D283BAF28 exp=F5B210001D283BAF28 + 27420.50ns INFO [00027422] Port=1 RD @02 + 27421.50ns INFO [00027423] * RD COMPARE * port=1 adr=07 act=7520A5423D509CC15A exp=7520A5423D509CC15A + 27421.50ns INFO [00027423] Port=0 WR @05=1817B1FC293571A60E + 27422.50ns INFO [00027424] * RD COMPARE * port=1 adr=02 act=F5B210001D283BAF28 exp=F5B210001D283BAF28 + 27424.50ns INFO [00027426] Port=0 RD @06 + 27424.50ns INFO [00027426] Port=1 RD @04 + 27425.50ns INFO [00027427] Port=0 RD @03 + 27426.50ns INFO [00027428] * RD COMPARE * port=0 adr=06 act=3110AF78008CC20466 exp=3110AF78008CC20466 + 27426.50ns INFO [00027428] * RD COMPARE * port=1 adr=04 act=5E7F069BE1AEF931AC exp=5E7F069BE1AEF931AC + 27427.50ns INFO [00027429] * RD COMPARE * port=0 adr=03 act=DC17DBF05EB6B7DA82 exp=DC17DBF05EB6B7DA82 + 27427.50ns INFO [00027429] Port=0 WR @07=A069E45E79A01978FF + 27427.50ns INFO [00027429] Port=1 RD @02 + 27428.50ns INFO [00027430] Port=0 WR @03=F7712BF041A6FE57CB + 27428.50ns INFO [00027430] Port=1 RD @06 + 27429.50ns INFO [00027431] * RD COMPARE * port=1 adr=02 act=F5B210001D283BAF28 exp=F5B210001D283BAF28 + 27429.50ns INFO [00027431] Port=0 RD @03 + 27429.50ns INFO [00027431] Port=1 RD @04 + 27430.50ns INFO [00027432] * RD COMPARE * port=1 adr=06 act=3110AF78008CC20466 exp=3110AF78008CC20466 + 27430.50ns INFO [00027432] Port=0 WR @05=B331A7A33C8628073F + 27430.50ns INFO [00027432] Port=0 RD @06 + 27431.50ns INFO [00027433] * RD COMPARE * port=0 adr=03 act=F7712BF041A6FE57CB exp=F7712BF041A6FE57CB + 27431.50ns INFO [00027433] * RD COMPARE * port=1 adr=04 act=5E7F069BE1AEF931AC exp=5E7F069BE1AEF931AC + 27432.50ns INFO [00027434] * RD COMPARE * port=0 adr=06 act=3110AF78008CC20466 exp=3110AF78008CC20466 + 27432.50ns INFO [00027434] Port=0 WR @03=2A42111716A7D2A832 + 27433.50ns INFO [00027435] Port=1 RD @05 + 27434.50ns INFO [00027436] Port=0 WR @04=D4B99D2D08C8007A35 + 27435.50ns INFO [00027437] * RD COMPARE * port=1 adr=05 act=B331A7A33C8628073F exp=B331A7A33C8628073F + 27435.50ns INFO [00027437] Port=0 WR @01=70AB4B6952234B131E + 27435.50ns INFO [00027437] Port=1 RD @03 + 27436.50ns INFO [00027438] Port=0 RD @06 + 27436.50ns INFO [00027438] Port=1 RD @00 + 27437.50ns INFO [00027439] * RD COMPARE * port=1 adr=03 act=2A42111716A7D2A832 exp=2A42111716A7D2A832 + 27437.50ns INFO [00027439] Port=0 WR @07=9DE911768EDF7A0B6C + 27438.50ns INFO [00027440] * RD COMPARE * port=0 adr=06 act=3110AF78008CC20466 exp=3110AF78008CC20466 + 27438.50ns INFO [00027440] * RD COMPARE * port=1 adr=00 act=0CB864FA5273DEA12F exp=0CB864FA5273DEA12F + 27438.50ns INFO [00027440] Port=0 RD @07 + 27439.50ns INFO [00027441] Port=0 WR @00=702E05F00F8C4BE05C + 27439.50ns INFO [00027441] Port=1 RD @06 + 27440.50ns INFO [00027442] * RD COMPARE * port=0 adr=07 act=9DE911768EDF7A0B6C exp=9DE911768EDF7A0B6C + 27441.50ns INFO [00027443] * RD COMPARE * port=1 adr=06 act=3110AF78008CC20466 exp=3110AF78008CC20466 + 27443.50ns INFO [00027445] Port=0 RD @00 + 27443.50ns INFO [00027445] Port=1 RD @01 + 27444.50ns INFO [00027446] Port=0 RD @07 + 27445.50ns INFO [00027447] * RD COMPARE * port=0 adr=00 act=702E05F00F8C4BE05C exp=702E05F00F8C4BE05C + 27445.50ns INFO [00027447] * RD COMPARE * port=1 adr=01 act=70AB4B6952234B131E exp=70AB4B6952234B131E + 27445.50ns INFO [00027447] Port=0 WR @05=EB89CDF370466246B9 + 27445.50ns INFO [00027447] Port=1 RD @01 + 27446.50ns INFO [00027448] * RD COMPARE * port=0 adr=07 act=9DE911768EDF7A0B6C exp=9DE911768EDF7A0B6C + 27446.50ns INFO [00027448] Port=0 RD @04 + 27447.50ns INFO [00027449] * RD COMPARE * port=1 adr=01 act=70AB4B6952234B131E exp=70AB4B6952234B131E + 27447.50ns INFO [00027449] Port=0 WR @00=530DD1AC35D07C2639 + 27448.50ns INFO [00027450] * RD COMPARE * port=0 adr=04 act=D4B99D2D08C8007A35 exp=D4B99D2D08C8007A35 + 27448.50ns INFO [00027450] Port=1 RD @06 + 27449.50ns INFO [00027451] Port=1 RD @01 + 27450.50ns INFO [00027452] * RD COMPARE * port=1 adr=06 act=3110AF78008CC20466 exp=3110AF78008CC20466 + 27450.50ns INFO [00027452] Port=0 RD @05 + 27450.50ns INFO [00027452] Port=1 RD @06 + 27451.50ns INFO [00027453] * RD COMPARE * port=1 adr=01 act=70AB4B6952234B131E exp=70AB4B6952234B131E + 27452.50ns INFO [00027454] * RD COMPARE * port=0 adr=05 act=EB89CDF370466246B9 exp=EB89CDF370466246B9 + 27452.50ns INFO [00027454] * RD COMPARE * port=1 adr=06 act=3110AF78008CC20466 exp=3110AF78008CC20466 + 27453.50ns INFO [00027455] Port=1 RD @07 + 27454.50ns INFO [00027456] Port=0 WR @05=80C002C57ADD70D1C7 + 27454.50ns INFO [00027456] Port=1 RD @01 + 27455.50ns INFO [00027457] * RD COMPARE * port=1 adr=07 act=9DE911768EDF7A0B6C exp=9DE911768EDF7A0B6C + 27455.50ns INFO [00027457] Port=0 RD @01 + 27455.50ns INFO [00027457] Port=1 RD @06 + 27456.50ns INFO [00027458] * RD COMPARE * port=1 adr=01 act=70AB4B6952234B131E exp=70AB4B6952234B131E + 27456.50ns INFO [00027458] Port=0 RD @02 + 27457.50ns INFO [00027459] * RD COMPARE * port=0 adr=01 act=70AB4B6952234B131E exp=70AB4B6952234B131E + 27457.50ns INFO [00027459] * RD COMPARE * port=1 adr=06 act=3110AF78008CC20466 exp=3110AF78008CC20466 + 27457.50ns INFO [00027459] Port=1 RD @02 + 27458.50ns INFO [00027460] * RD COMPARE * port=0 adr=02 act=F5B210001D283BAF28 exp=F5B210001D283BAF28 + 27458.50ns INFO [00027460] Port=1 RD @07 + 27459.50ns INFO [00027461] * RD COMPARE * port=1 adr=02 act=F5B210001D283BAF28 exp=F5B210001D283BAF28 + 27459.50ns INFO [00027461] Port=0 RD @04 + 27460.50ns INFO [00027462] * RD COMPARE * port=1 adr=07 act=9DE911768EDF7A0B6C exp=9DE911768EDF7A0B6C + 27460.50ns INFO [00027462] Port=0 WR @03=20DC2687C426B9B605 + 27461.50ns INFO [00027463] * RD COMPARE * port=0 adr=04 act=D4B99D2D08C8007A35 exp=D4B99D2D08C8007A35 + 27461.50ns INFO [00027463] Port=0 WR @02=0E77EFE8D6276EFB08 + 27461.50ns INFO [00027463] Port=0 RD @06 + 27461.50ns INFO [00027463] Port=1 RD @00 + 27462.50ns INFO [00027464] Port=0 RD @03 + 27463.50ns INFO [00027465] * RD COMPARE * port=0 adr=06 act=3110AF78008CC20466 exp=3110AF78008CC20466 + 27463.50ns INFO [00027465] * RD COMPARE * port=1 adr=00 act=530DD1AC35D07C2639 exp=530DD1AC35D07C2639 + 27463.50ns INFO [00027465] Port=0 WR @03=1A60D2969087E12A64 + 27463.50ns INFO [00027465] Port=0 RD @05 + 27464.50ns INFO [00027466] * RD COMPARE * port=0 adr=03 act=20DC2687C426B9B605 exp=20DC2687C426B9B605 + 27464.50ns INFO [00027466] Port=0 WR @07=42385EC6E4AA7043D0 + 27464.50ns INFO [00027466] Port=1 RD @04 + 27465.50ns INFO [00027467] * RD COMPARE * port=0 adr=05 act=80C002C57ADD70D1C7 exp=80C002C57ADD70D1C7 + 27465.50ns INFO [00027467] Port=0 WR @06=661BAFB53708EF4D94 + 27465.50ns INFO [00027467] Port=1 RD @05 + 27466.50ns INFO [00027468] * RD COMPARE * port=1 adr=04 act=D4B99D2D08C8007A35 exp=D4B99D2D08C8007A35 + 27466.50ns INFO [00027468] Port=0 WR @06=A02BECCD222B4C3E32 + 27466.50ns INFO [00027468] Port=0 RD @02 + 27467.50ns INFO [00027469] * RD COMPARE * port=1 adr=05 act=80C002C57ADD70D1C7 exp=80C002C57ADD70D1C7 + 27467.50ns INFO [00027469] Port=0 WR @07=CB804B969DBF00A5B4 + 27467.50ns INFO [00027469] Port=0 RD @04 + 27467.50ns INFO [00027469] Port=1 RD @06 + 27468.50ns INFO [00027470] * RD COMPARE * port=0 adr=02 act=0E77EFE8D6276EFB08 exp=0E77EFE8D6276EFB08 + 27468.50ns INFO [00027470] Port=0 RD @06 + 27469.50ns INFO [00027471] * RD COMPARE * port=0 adr=04 act=D4B99D2D08C8007A35 exp=D4B99D2D08C8007A35 + 27469.50ns INFO [00027471] * RD COMPARE * port=1 adr=06 act=A02BECCD222B4C3E32 exp=A02BECCD222B4C3E32 + 27469.50ns INFO [00027471] Port=1 RD @00 + 27470.50ns INFO [00027472] * RD COMPARE * port=0 adr=06 act=A02BECCD222B4C3E32 exp=A02BECCD222B4C3E32 + 27470.50ns INFO [00027472] Port=0 WR @03=4334C4E82A399A9C1B + 27470.50ns INFO [00027472] Port=0 RD @01 + 27471.50ns INFO [00027473] * RD COMPARE * port=1 adr=00 act=530DD1AC35D07C2639 exp=530DD1AC35D07C2639 + 27471.50ns INFO [00027473] Port=0 RD @00 + 27471.50ns INFO [00027473] Port=1 RD @06 + 27472.50ns INFO [00027474] * RD COMPARE * port=0 adr=01 act=70AB4B6952234B131E exp=70AB4B6952234B131E + 27472.50ns INFO [00027474] Port=1 RD @03 + 27473.50ns INFO [00027475] * RD COMPARE * port=0 adr=00 act=530DD1AC35D07C2639 exp=530DD1AC35D07C2639 + 27473.50ns INFO [00027475] * RD COMPARE * port=1 adr=06 act=A02BECCD222B4C3E32 exp=A02BECCD222B4C3E32 + 27473.50ns INFO [00027475] Port=0 WR @07=424215F00A33D8619E + 27473.50ns INFO [00027475] Port=0 RD @03 + 27473.50ns INFO [00027475] Port=1 RD @00 + 27474.50ns INFO [00027476] * RD COMPARE * port=1 adr=03 act=4334C4E82A399A9C1B exp=4334C4E82A399A9C1B + 27474.50ns INFO [00027476] Port=0 WR @02=E422A33F2F8FA1B1B0 + 27474.50ns INFO [00027476] Port=1 RD @00 + 27475.50ns INFO [00027477] * RD COMPARE * port=0 adr=03 act=4334C4E82A399A9C1B exp=4334C4E82A399A9C1B + 27475.50ns INFO [00027477] * RD COMPARE * port=1 adr=00 act=530DD1AC35D07C2639 exp=530DD1AC35D07C2639 + 27475.50ns INFO [00027477] Port=0 WR @07=663423F07EF8A58F3E + 27476.50ns INFO [00027478] * RD COMPARE * port=1 adr=00 act=530DD1AC35D07C2639 exp=530DD1AC35D07C2639 + 27477.50ns INFO [00027479] Port=1 RD @00 + 27478.50ns INFO [00027480] Port=0 WR @00=4B7DBCDC67191C56F8 + 27478.50ns INFO [00027480] Port=0 RD @04 + 27479.50ns INFO [00027481] * RD COMPARE * port=1 adr=00 act=530DD1AC35D07C2639 exp=530DD1AC35D07C2639 + 27479.50ns INFO [00027481] Port=0 RD @07 + 27479.50ns INFO [00027481] Port=1 RD @06 + 27480.50ns INFO [00027482] * RD COMPARE * port=0 adr=04 act=D4B99D2D08C8007A35 exp=D4B99D2D08C8007A35 + 27480.50ns INFO [00027482] Port=0 WR @03=0624DBB94E0164E5FF + 27480.50ns INFO [00027482] Port=1 RD @07 + 27481.50ns INFO [00027483] * RD COMPARE * port=0 adr=07 act=663423F07EF8A58F3E exp=663423F07EF8A58F3E + 27481.50ns INFO [00027483] * RD COMPARE * port=1 adr=06 act=A02BECCD222B4C3E32 exp=A02BECCD222B4C3E32 + 27481.50ns INFO [00027483] Port=1 RD @01 + 27482.50ns INFO [00027484] * RD COMPARE * port=1 adr=07 act=663423F07EF8A58F3E exp=663423F07EF8A58F3E + 27482.50ns INFO [00027484] Port=0 RD @06 + 27482.50ns INFO [00027484] Port=1 RD @03 + 27483.50ns INFO [00027485] * RD COMPARE * port=1 adr=01 act=70AB4B6952234B131E exp=70AB4B6952234B131E + 27483.50ns INFO [00027485] Port=0 WR @01=3AA62268C1D06EF8FC + 27483.50ns INFO [00027485] Port=1 RD @00 + 27484.50ns INFO [00027486] * RD COMPARE * port=0 adr=06 act=A02BECCD222B4C3E32 exp=A02BECCD222B4C3E32 + 27484.50ns INFO [00027486] * RD COMPARE * port=1 adr=03 act=0624DBB94E0164E5FF exp=0624DBB94E0164E5FF + 27484.50ns INFO [00027486] Port=0 WR @05=203CFA6C28607FCC37 + 27484.50ns INFO [00027486] Port=0 RD @04 + 27484.50ns INFO [00027486] Port=1 RD @00 + 27485.50ns INFO [00027487] * RD COMPARE * port=1 adr=00 act=4B7DBCDC67191C56F8 exp=4B7DBCDC67191C56F8 + 27486.50ns INFO [00027488] * RD COMPARE * port=0 adr=04 act=D4B99D2D08C8007A35 exp=D4B99D2D08C8007A35 + 27486.50ns INFO [00027488] * RD COMPARE * port=1 adr=00 act=4B7DBCDC67191C56F8 exp=4B7DBCDC67191C56F8 + 27486.50ns INFO [00027488] Port=1 RD @06 + 27488.50ns INFO [00027490] * RD COMPARE * port=1 adr=06 act=A02BECCD222B4C3E32 exp=A02BECCD222B4C3E32 + 27488.50ns INFO [00027490] Port=0 WR @04=93FDEDC0E23A4A5814 + 27490.50ns INFO [00027492] Port=0 WR @01=7A0C353660E27AF07D + 27490.50ns INFO [00027492] Port=1 RD @05 + 27492.50ns INFO [00027494] * RD COMPARE * port=1 adr=05 act=203CFA6C28607FCC37 exp=203CFA6C28607FCC37 + 27492.50ns INFO [00027494] Port=0 WR @03=4D2164910DF46199DA + 27492.50ns INFO [00027494] Port=0 RD @02 + 27492.50ns INFO [00027494] Port=1 RD @07 + 27493.50ns INFO [00027495] Port=0 RD @02 + 27494.50ns INFO [00027496] * RD COMPARE * port=0 adr=02 act=E422A33F2F8FA1B1B0 exp=E422A33F2F8FA1B1B0 + 27494.50ns INFO [00027496] * RD COMPARE * port=1 adr=07 act=663423F07EF8A58F3E exp=663423F07EF8A58F3E + 27494.50ns INFO [00027496] Port=0 WR @05=994C6BEB203147C6EA + 27495.50ns INFO [00027497] * RD COMPARE * port=0 adr=02 act=E422A33F2F8FA1B1B0 exp=E422A33F2F8FA1B1B0 + 27495.50ns INFO [00027497] Port=0 WR @03=8B4F052F1F38A9969D + 27496.50ns INFO [00027498] Port=0 RD @02 + 27497.50ns INFO [00027499] Port=0 WR @07=DC6CD4F450168BCCDD + 27497.50ns INFO [00027499] Port=0 RD @01 + 27498.00ns INFO [00027500] [00027500] ...tick... + 27498.50ns INFO [00027500] * RD COMPARE * port=0 adr=02 act=E422A33F2F8FA1B1B0 exp=E422A33F2F8FA1B1B0 + 27499.50ns INFO [00027501] * RD COMPARE * port=0 adr=01 act=7A0C353660E27AF07D exp=7A0C353660E27AF07D + 27499.50ns INFO [00027501] Port=0 RD @00 + 27499.50ns INFO [00027501] Port=1 RD @04 + 27500.50ns INFO [00027502] Port=0 WR @03=33434AD6337AEDFEB0 + 27501.50ns INFO [00027503] * RD COMPARE * port=0 adr=00 act=4B7DBCDC67191C56F8 exp=4B7DBCDC67191C56F8 + 27501.50ns INFO [00027503] * RD COMPARE * port=1 adr=04 act=93FDEDC0E23A4A5814 exp=93FDEDC0E23A4A5814 + 27502.50ns INFO [00027504] Port=0 RD @02 + 27503.50ns INFO [00027505] Port=0 WR @02=5510AFAC3ED20FF013 + 27503.50ns INFO [00027505] Port=1 RD @04 + 27504.50ns INFO [00027506] * RD COMPARE * port=0 adr=02 act=E422A33F2F8FA1B1B0 exp=E422A33F2F8FA1B1B0 + 27504.50ns INFO [00027506] Port=0 WR @00=6C575AF576A0804013 + 27504.50ns INFO [00027506] Port=1 RD @01 + 27505.50ns INFO [00027507] * RD COMPARE * port=1 adr=04 act=93FDEDC0E23A4A5814 exp=93FDEDC0E23A4A5814 + 27505.50ns INFO [00027507] Port=0 WR @07=9B96AD2DAC69FFB373 + 27505.50ns INFO [00027507] Port=0 RD @01 + 27505.50ns INFO [00027507] Port=1 RD @05 + 27506.50ns INFO [00027508] * RD COMPARE * port=1 adr=01 act=7A0C353660E27AF07D exp=7A0C353660E27AF07D + 27506.50ns INFO [00027508] Port=0 WR @05=AA9C5158F20B3F69A1 + 27507.50ns INFO [00027509] * RD COMPARE * port=0 adr=01 act=7A0C353660E27AF07D exp=7A0C353660E27AF07D + 27507.50ns INFO [00027509] * RD COMPARE * port=1 adr=05 act=994C6BEB203147C6EA exp=994C6BEB203147C6EA + 27507.50ns INFO [00027509] Port=0 WR @06=458C71585D7C9500C4 + 27507.50ns INFO [00027509] Port=0 RD @04 + 27507.50ns INFO [00027509] Port=1 RD @02 + 27508.50ns INFO [00027510] Port=0 WR @00=48A78D1DCA155F642D + 27508.50ns INFO [00027510] Port=0 RD @07 + 27509.50ns INFO [00027511] * RD COMPARE * port=0 adr=04 act=93FDEDC0E23A4A5814 exp=93FDEDC0E23A4A5814 + 27509.50ns INFO [00027511] * RD COMPARE * port=1 adr=02 act=5510AFAC3ED20FF013 exp=5510AFAC3ED20FF013 + 27509.50ns INFO [00027511] Port=0 RD @02 + 27510.50ns INFO [00027512] * RD COMPARE * port=0 adr=07 act=9B96AD2DAC69FFB373 exp=9B96AD2DAC69FFB373 + 27510.50ns INFO [00027512] Port=0 WR @02=AA71C092B6D0E41C6D + 27510.50ns INFO [00027512] Port=0 RD @06 + 27511.50ns INFO [00027513] * RD COMPARE * port=0 adr=02 act=5510AFAC3ED20FF013 exp=5510AFAC3ED20FF013 + 27511.50ns INFO [00027513] Port=0 WR @00=9B1BC5230298E776E3 + 27512.50ns INFO [00027514] * RD COMPARE * port=0 adr=06 act=458C71585D7C9500C4 exp=458C71585D7C9500C4 + 27512.50ns INFO [00027514] Port=0 WR @00=7943261C7C6245E545 + 27513.50ns INFO [00027515] Port=0 WR @03=E9E8314736CB1578DF + 27513.50ns INFO [00027515] Port=0 RD @01 + 27514.50ns INFO [00027516] Port=1 RD @02 + 27515.50ns INFO [00027517] * RD COMPARE * port=0 adr=01 act=7A0C353660E27AF07D exp=7A0C353660E27AF07D + 27515.50ns INFO [00027517] Port=0 WR @04=EF4F9AC5A8F32BDA27 + 27516.50ns INFO [00027518] * RD COMPARE * port=1 adr=02 act=AA71C092B6D0E41C6D exp=AA71C092B6D0E41C6D + 27516.50ns INFO [00027518] Port=0 RD @07 + 27517.50ns INFO [00027519] Port=0 RD @04 + 27518.50ns INFO [00027520] * RD COMPARE * port=0 adr=07 act=9B96AD2DAC69FFB373 exp=9B96AD2DAC69FFB373 + 27518.50ns INFO [00027520] Port=0 WR @05=6704A327ED8D38CD43 + 27518.50ns INFO [00027520] Port=0 RD @02 + 27519.50ns INFO [00027521] * RD COMPARE * port=0 adr=04 act=EF4F9AC5A8F32BDA27 exp=EF4F9AC5A8F32BDA27 + 27519.50ns INFO [00027521] Port=1 RD @06 + 27520.50ns INFO [00027522] * RD COMPARE * port=0 adr=02 act=AA71C092B6D0E41C6D exp=AA71C092B6D0E41C6D + 27520.50ns INFO [00027522] Port=0 WR @00=95A84ED03901740EAC + 27521.50ns INFO [00027523] * RD COMPARE * port=1 adr=06 act=458C71585D7C9500C4 exp=458C71585D7C9500C4 + 27521.50ns INFO [00027523] Port=0 RD @02 + 27522.50ns INFO [00027524] Port=0 RD @06 + 27523.50ns INFO [00027525] * RD COMPARE * port=0 adr=02 act=AA71C092B6D0E41C6D exp=AA71C092B6D0E41C6D + 27523.50ns INFO [00027525] Port=0 WR @01=4DF79E620049DB23DC + 27523.50ns INFO [00027525] Port=0 RD @00 + 27523.50ns INFO [00027525] Port=1 RD @02 + 27524.50ns INFO [00027526] * RD COMPARE * port=0 adr=06 act=458C71585D7C9500C4 exp=458C71585D7C9500C4 + 27524.50ns INFO [00027526] Port=1 RD @01 + 27525.50ns INFO [00027527] * RD COMPARE * port=0 adr=00 act=95A84ED03901740EAC exp=95A84ED03901740EAC + 27525.50ns INFO [00027527] * RD COMPARE * port=1 adr=02 act=AA71C092B6D0E41C6D exp=AA71C092B6D0E41C6D + 27525.50ns INFO [00027527] Port=0 RD @07 + 27525.50ns INFO [00027527] Port=1 RD @02 + 27526.50ns INFO [00027528] * RD COMPARE * port=1 adr=01 act=4DF79E620049DB23DC exp=4DF79E620049DB23DC + 27526.50ns INFO [00027528] Port=0 RD @06 + 27526.50ns INFO [00027528] Port=1 RD @07 + 27527.50ns INFO [00027529] * RD COMPARE * port=0 adr=07 act=9B96AD2DAC69FFB373 exp=9B96AD2DAC69FFB373 + 27527.50ns INFO [00027529] * RD COMPARE * port=1 adr=02 act=AA71C092B6D0E41C6D exp=AA71C092B6D0E41C6D + 27527.50ns INFO [00027529] Port=0 WR @06=522AF782EE579A10BA + 27528.50ns INFO [00027530] * RD COMPARE * port=0 adr=06 act=458C71585D7C9500C4 exp=458C71585D7C9500C4 + 27528.50ns INFO [00027530] * RD COMPARE * port=1 adr=07 act=9B96AD2DAC69FFB373 exp=9B96AD2DAC69FFB373 + 27528.50ns INFO [00027530] Port=0 WR @03=08DA5ECEB7F5C73000 + 27528.50ns INFO [00027530] Port=1 RD @02 + 27529.50ns INFO [00027531] Port=0 WR @03=17307D4D8C8F85BF15 + 27529.50ns INFO [00027531] Port=0 RD @07 + 27529.50ns INFO [00027531] Port=1 RD @00 + 27530.50ns INFO [00027532] * RD COMPARE * port=1 adr=02 act=AA71C092B6D0E41C6D exp=AA71C092B6D0E41C6D + 27530.50ns INFO [00027532] Port=0 WR @01=F31CE4F0275C748612 + 27530.50ns INFO [00027532] Port=1 RD @06 + 27531.50ns INFO [00027533] * RD COMPARE * port=0 adr=07 act=9B96AD2DAC69FFB373 exp=9B96AD2DAC69FFB373 + 27531.50ns INFO [00027533] * RD COMPARE * port=1 adr=00 act=95A84ED03901740EAC exp=95A84ED03901740EAC + 27532.50ns INFO [00027534] * RD COMPARE * port=1 adr=06 act=522AF782EE579A10BA exp=522AF782EE579A10BA + 27532.50ns INFO [00027534] Port=0 WR @02=DD009D143E56C8345C + 27532.50ns INFO [00027534] Port=1 RD @07 + 27533.50ns INFO [00027535] Port=0 WR @07=DBD5C986F8CB3031D1 + 27534.50ns INFO [00027536] * RD COMPARE * port=1 adr=07 act=9B96AD2DAC69FFB373 exp=9B96AD2DAC69FFB373 + 27534.50ns INFO [00027536] Port=0 WR @05=384A1D66226639E7A7 + 27534.50ns INFO [00027536] Port=0 RD @04 + 27535.50ns INFO [00027537] Port=0 WR @07=B61A3C30F324AEA801 + 27535.50ns INFO [00027537] Port=1 RD @03 + 27536.50ns INFO [00027538] * RD COMPARE * port=0 adr=04 act=EF4F9AC5A8F32BDA27 exp=EF4F9AC5A8F32BDA27 + 27536.50ns INFO [00027538] Port=0 WR @06=5A3F37AF6D583F7D78 + 27536.50ns INFO [00027538] Port=0 RD @07 + 27536.50ns INFO [00027538] Port=1 RD @04 + 27537.50ns INFO [00027539] * RD COMPARE * port=1 adr=03 act=17307D4D8C8F85BF15 exp=17307D4D8C8F85BF15 + 27537.50ns INFO [00027539] Port=0 RD @05 + 27538.50ns INFO [00027540] * RD COMPARE * port=0 adr=07 act=B61A3C30F324AEA801 exp=B61A3C30F324AEA801 + 27538.50ns INFO [00027540] * RD COMPARE * port=1 adr=04 act=EF4F9AC5A8F32BDA27 exp=EF4F9AC5A8F32BDA27 + 27538.50ns INFO [00027540] Port=0 WR @04=B03ADFBCB178FEB4A7 + 27539.50ns INFO [00027541] * RD COMPARE * port=0 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27539.50ns INFO [00027541] Port=0 WR @02=088280324C915215B4 + 27539.50ns INFO [00027541] Port=1 RD @03 + 27540.50ns INFO [00027542] Port=0 RD @06 + 27541.50ns INFO [00027543] * RD COMPARE * port=1 adr=03 act=17307D4D8C8F85BF15 exp=17307D4D8C8F85BF15 + 27541.50ns INFO [00027543] Port=0 RD @05 + 27542.50ns INFO [00027544] * RD COMPARE * port=0 adr=06 act=5A3F37AF6D583F7D78 exp=5A3F37AF6D583F7D78 + 27542.50ns INFO [00027544] Port=1 RD @02 + 27543.50ns INFO [00027545] * RD COMPARE * port=0 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27543.50ns INFO [00027545] Port=0 RD @01 + 27544.50ns INFO [00027546] * RD COMPARE * port=1 adr=02 act=088280324C915215B4 exp=088280324C915215B4 + 27544.50ns INFO [00027546] Port=0 RD @03 + 27545.50ns INFO [00027547] * RD COMPARE * port=0 adr=01 act=F31CE4F0275C748612 exp=F31CE4F0275C748612 + 27546.50ns INFO [00027548] * RD COMPARE * port=0 adr=03 act=17307D4D8C8F85BF15 exp=17307D4D8C8F85BF15 + 27546.50ns INFO [00027548] Port=0 WR @03=C502F352CBE580E0D4 + 27546.50ns INFO [00027548] Port=0 RD @06 + 27546.50ns INFO [00027548] Port=1 RD @07 + 27547.50ns INFO [00027549] Port=1 RD @06 + 27548.50ns INFO [00027550] * RD COMPARE * port=0 adr=06 act=5A3F37AF6D583F7D78 exp=5A3F37AF6D583F7D78 + 27548.50ns INFO [00027550] * RD COMPARE * port=1 adr=07 act=B61A3C30F324AEA801 exp=B61A3C30F324AEA801 + 27549.50ns INFO [00027551] * RD COMPARE * port=1 adr=06 act=5A3F37AF6D583F7D78 exp=5A3F37AF6D583F7D78 + 27549.50ns INFO [00027551] Port=0 RD @05 + 27551.50ns INFO [00027553] * RD COMPARE * port=0 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27552.50ns INFO [00027554] Port=0 WR @01=6825F93276551B1576 + 27553.50ns INFO [00027555] Port=0 WR @03=39E61D862D16615CFA + 27554.50ns INFO [00027556] Port=1 RD @01 + 27555.50ns INFO [00027557] Port=0 WR @07=0A16B771EEC378A03B + 27555.50ns INFO [00027557] Port=0 RD @04 + 27556.50ns INFO [00027558] * RD COMPARE * port=1 adr=01 act=6825F93276551B1576 exp=6825F93276551B1576 + 27556.50ns INFO [00027558] Port=0 WR @01=DF9F383796CDDEB362 + 27557.50ns INFO [00027559] * RD COMPARE * port=0 adr=04 act=B03ADFBCB178FEB4A7 exp=B03ADFBCB178FEB4A7 + 27557.50ns INFO [00027559] Port=0 RD @02 + 27557.50ns INFO [00027559] Port=1 RD @02 + 27558.50ns INFO [00027560] Port=0 WR @02=5E491A64CCE90A6928 + 27559.50ns INFO [00027561] * RD COMPARE * port=0 adr=02 act=088280324C915215B4 exp=088280324C915215B4 + 27559.50ns INFO [00027561] * RD COMPARE * port=1 adr=02 act=088280324C915215B4 exp=088280324C915215B4 + 27560.50ns INFO [00027562] Port=0 WR @04=7ECDB4FAFB8EEA924A + 27560.50ns INFO [00027562] Port=0 RD @05 + 27561.50ns INFO [00027563] Port=0 WR @06=1C06A084F9DBB4F86C + 27562.50ns INFO [00027564] * RD COMPARE * port=0 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27562.50ns INFO [00027564] Port=1 RD @00 + 27563.50ns INFO [00027565] Port=0 RD @05 + 27564.50ns INFO [00027566] * RD COMPARE * port=1 adr=00 act=95A84ED03901740EAC exp=95A84ED03901740EAC + 27564.50ns INFO [00027566] Port=0 WR @02=6C808D0C79AA74609C + 27565.50ns INFO [00027567] * RD COMPARE * port=0 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27565.50ns INFO [00027567] Port=0 RD @07 + 27566.50ns INFO [00027568] Port=0 WR @01=508C94CB5FA984B5B2 + 27566.50ns INFO [00027568] Port=0 RD @07 + 27566.50ns INFO [00027568] Port=1 RD @03 + 27567.50ns INFO [00027569] * RD COMPARE * port=0 adr=07 act=0A16B771EEC378A03B exp=0A16B771EEC378A03B + 27567.50ns INFO [00027569] Port=0 RD @07 + 27567.50ns INFO [00027569] Port=1 RD @06 + 27568.50ns INFO [00027570] * RD COMPARE * port=0 adr=07 act=0A16B771EEC378A03B exp=0A16B771EEC378A03B + 27568.50ns INFO [00027570] * RD COMPARE * port=1 adr=03 act=39E61D862D16615CFA exp=39E61D862D16615CFA + 27568.50ns INFO [00027570] Port=0 RD @05 + 27568.50ns INFO [00027570] Port=1 RD @02 + 27569.50ns INFO [00027571] * RD COMPARE * port=0 adr=07 act=0A16B771EEC378A03B exp=0A16B771EEC378A03B + 27569.50ns INFO [00027571] * RD COMPARE * port=1 adr=06 act=1C06A084F9DBB4F86C exp=1C06A084F9DBB4F86C + 27569.50ns INFO [00027571] Port=0 WR @03=9FCE731999B6A5A27F + 27570.50ns INFO [00027572] * RD COMPARE * port=0 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27570.50ns INFO [00027572] * RD COMPARE * port=1 adr=02 act=6C808D0C79AA74609C exp=6C808D0C79AA74609C + 27570.50ns INFO [00027572] Port=1 RD @05 + 27571.50ns INFO [00027573] Port=1 RD @03 + 27572.50ns INFO [00027574] * RD COMPARE * port=1 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27572.50ns INFO [00027574] Port=1 RD @02 + 27573.50ns INFO [00027575] * RD COMPARE * port=1 adr=03 act=9FCE731999B6A5A27F exp=9FCE731999B6A5A27F + 27574.50ns INFO [00027576] * RD COMPARE * port=1 adr=02 act=6C808D0C79AA74609C exp=6C808D0C79AA74609C + 27574.50ns INFO [00027576] Port=0 WR @03=E0AA0B0B2BC564B848 + 27574.50ns INFO [00027576] Port=1 RD @06 + 27575.50ns INFO [00027577] Port=1 RD @02 + 27576.50ns INFO [00027578] * RD COMPARE * port=1 adr=06 act=1C06A084F9DBB4F86C exp=1C06A084F9DBB4F86C + 27576.50ns INFO [00027578] Port=0 WR @07=DE6CB96792F0E20D9A + 27576.50ns INFO [00027578] Port=0 RD @03 + 27577.50ns INFO [00027579] * RD COMPARE * port=1 adr=02 act=6C808D0C79AA74609C exp=6C808D0C79AA74609C + 27577.50ns INFO [00027579] Port=0 RD @03 + 27578.50ns INFO [00027580] * RD COMPARE * port=0 adr=03 act=E0AA0B0B2BC564B848 exp=E0AA0B0B2BC564B848 + 27578.50ns INFO [00027580] Port=0 WR @00=E6EEE238BFB6524AB9 + 27578.50ns INFO [00027580] Port=0 RD @02 + 27579.50ns INFO [00027581] * RD COMPARE * port=0 adr=03 act=E0AA0B0B2BC564B848 exp=E0AA0B0B2BC564B848 + 27579.50ns INFO [00027581] Port=0 RD @01 + 27580.50ns INFO [00027582] * RD COMPARE * port=0 adr=02 act=6C808D0C79AA74609C exp=6C808D0C79AA74609C + 27580.50ns INFO [00027582] Port=0 WR @02=E460B378EE36C0FC21 + 27580.50ns INFO [00027582] Port=0 RD @07 + 27580.50ns INFO [00027582] Port=1 RD @03 + 27581.50ns INFO [00027583] * RD COMPARE * port=0 adr=01 act=508C94CB5FA984B5B2 exp=508C94CB5FA984B5B2 + 27581.50ns INFO [00027583] Port=1 RD @04 + 27582.50ns INFO [00027584] * RD COMPARE * port=0 adr=07 act=DE6CB96792F0E20D9A exp=DE6CB96792F0E20D9A + 27582.50ns INFO [00027584] * RD COMPARE * port=1 adr=03 act=E0AA0B0B2BC564B848 exp=E0AA0B0B2BC564B848 + 27583.50ns INFO [00027585] * RD COMPARE * port=1 adr=04 act=7ECDB4FAFB8EEA924A exp=7ECDB4FAFB8EEA924A + 27583.50ns INFO [00027585] Port=0 WR @00=AFA3348E14E2F57CA6 + 27583.50ns INFO [00027585] Port=0 RD @02 + 27584.50ns INFO [00027586] Port=0 WR @02=9A2A8DB992546F0223 + 27585.50ns INFO [00027587] * RD COMPARE * port=0 adr=02 act=E460B378EE36C0FC21 exp=E460B378EE36C0FC21 + 27585.50ns INFO [00027587] Port=0 WR @01=0CAD6D55ED2D67AD6C + 27586.50ns INFO [00027588] Port=0 RD @04 + 27587.50ns INFO [00027589] Port=0 RD @00 + 27587.50ns INFO [00027589] Port=1 RD @06 + 27588.50ns INFO [00027590] * RD COMPARE * port=0 adr=04 act=7ECDB4FAFB8EEA924A exp=7ECDB4FAFB8EEA924A + 27589.50ns INFO [00027591] * RD COMPARE * port=0 adr=00 act=AFA3348E14E2F57CA6 exp=AFA3348E14E2F57CA6 + 27589.50ns INFO [00027591] * RD COMPARE * port=1 adr=06 act=1C06A084F9DBB4F86C exp=1C06A084F9DBB4F86C + 27589.50ns INFO [00027591] Port=0 WR @07=72F36B6E4790BE5AB9 + 27590.50ns INFO [00027592] Port=0 WR @07=CDD81D4D3B16EC3BF4 + 27590.50ns INFO [00027592] Port=0 RD @06 + 27591.50ns INFO [00027593] Port=0 RD @02 + 27591.50ns INFO [00027593] Port=1 RD @05 + 27592.50ns INFO [00027594] * RD COMPARE * port=0 adr=06 act=1C06A084F9DBB4F86C exp=1C06A084F9DBB4F86C + 27592.50ns INFO [00027594] Port=0 WR @00=6642CA8435705FDF61 + 27593.50ns INFO [00027595] * RD COMPARE * port=0 adr=02 act=9A2A8DB992546F0223 exp=9A2A8DB992546F0223 + 27593.50ns INFO [00027595] * RD COMPARE * port=1 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27593.50ns INFO [00027595] Port=0 RD @02 + 27593.50ns INFO [00027595] Port=1 RD @00 + 27595.50ns INFO [00027597] * RD COMPARE * port=0 adr=02 act=9A2A8DB992546F0223 exp=9A2A8DB992546F0223 + 27595.50ns INFO [00027597] * RD COMPARE * port=1 adr=00 act=6642CA8435705FDF61 exp=6642CA8435705FDF61 + 27595.50ns INFO [00027597] Port=0 RD @07 + 27596.50ns INFO [00027598] Port=0 WR @00=F3CB4A261B9D95E444 + 27596.50ns INFO [00027598] Port=1 RD @05 + 27597.50ns INFO [00027599] * RD COMPARE * port=0 adr=07 act=CDD81D4D3B16EC3BF4 exp=CDD81D4D3B16EC3BF4 + 27597.50ns INFO [00027599] Port=0 WR @06=F48F6DF83F00079EB7 + 27597.50ns INFO [00027599] Port=0 RD @04 + 27597.50ns INFO [00027599] Port=1 RD @03 + 27598.00ns INFO [00027600] [00027600] ...tick... + 27598.50ns INFO [00027600] * RD COMPARE * port=1 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27598.50ns INFO [00027600] Port=1 RD @01 + 27599.50ns INFO [00027601] * RD COMPARE * port=0 adr=04 act=7ECDB4FAFB8EEA924A exp=7ECDB4FAFB8EEA924A + 27599.50ns INFO [00027601] * RD COMPARE * port=1 adr=03 act=E0AA0B0B2BC564B848 exp=E0AA0B0B2BC564B848 + 27600.50ns INFO [00027602] * RD COMPARE * port=1 adr=01 act=0CAD6D55ED2D67AD6C exp=0CAD6D55ED2D67AD6C + 27601.50ns INFO [00027603] Port=0 RD @05 + 27602.50ns INFO [00027604] Port=1 RD @02 + 27603.50ns INFO [00027605] * RD COMPARE * port=0 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27604.50ns INFO [00027606] * RD COMPARE * port=1 adr=02 act=9A2A8DB992546F0223 exp=9A2A8DB992546F0223 + 27605.50ns INFO [00027607] Port=0 WR @03=FFC1E68EB76E832964 + 27606.50ns INFO [00027608] Port=0 WR @06=0D0CA5B0E2C2CB016F + 27606.50ns INFO [00027608] Port=0 RD @00 + 27606.50ns INFO [00027608] Port=1 RD @02 + 27607.50ns INFO [00027609] Port=0 RD @05 + 27608.50ns INFO [00027610] * RD COMPARE * port=0 adr=00 act=F3CB4A261B9D95E444 exp=F3CB4A261B9D95E444 + 27608.50ns INFO [00027610] * RD COMPARE * port=1 adr=02 act=9A2A8DB992546F0223 exp=9A2A8DB992546F0223 + 27608.50ns INFO [00027610] Port=0 WR @07=86EE846B7789471F96 + 27608.50ns INFO [00027610] Port=0 RD @06 + 27608.50ns INFO [00027610] Port=1 RD @05 + 27609.50ns INFO [00027611] * RD COMPARE * port=0 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27610.50ns INFO [00027612] * RD COMPARE * port=0 adr=06 act=0D0CA5B0E2C2CB016F exp=0D0CA5B0E2C2CB016F + 27610.50ns INFO [00027612] * RD COMPARE * port=1 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27610.50ns INFO [00027612] Port=0 WR @06=B146C4F5A630B66E87 + 27611.50ns INFO [00027613] Port=0 WR @04=CC50D670FF0BE2BE8C + 27612.50ns INFO [00027614] Port=0 WR @06=72819FF3968DE26FA0 + 27612.50ns INFO [00027614] Port=1 RD @01 + 27613.50ns INFO [00027615] Port=1 RD @06 + 27614.50ns INFO [00027616] * RD COMPARE * port=1 adr=01 act=0CAD6D55ED2D67AD6C exp=0CAD6D55ED2D67AD6C + 27614.50ns INFO [00027616] Port=1 RD @01 + 27615.50ns INFO [00027617] * RD COMPARE * port=1 adr=06 act=72819FF3968DE26FA0 exp=72819FF3968DE26FA0 + 27615.50ns INFO [00027617] Port=0 RD @00 + 27615.50ns INFO [00027617] Port=1 RD @05 + 27616.50ns INFO [00027618] * RD COMPARE * port=1 adr=01 act=0CAD6D55ED2D67AD6C exp=0CAD6D55ED2D67AD6C + 27616.50ns INFO [00027618] Port=0 WR @05=25163906380EA60472 + 27616.50ns INFO [00027618] Port=1 RD @02 + 27617.50ns INFO [00027619] * RD COMPARE * port=0 adr=00 act=F3CB4A261B9D95E444 exp=F3CB4A261B9D95E444 + 27617.50ns INFO [00027619] * RD COMPARE * port=1 adr=05 act=384A1D66226639E7A7 exp=384A1D66226639E7A7 + 27617.50ns INFO [00027619] Port=1 RD @00 + 27618.50ns INFO [00027620] * RD COMPARE * port=1 adr=02 act=9A2A8DB992546F0223 exp=9A2A8DB992546F0223 + 27619.50ns INFO [00027621] * RD COMPARE * port=1 adr=00 act=F3CB4A261B9D95E444 exp=F3CB4A261B9D95E444 + 27619.50ns INFO [00027621] Port=0 RD @06 + 27619.50ns INFO [00027621] Port=1 RD @01 + 27620.50ns INFO [00027622] Port=0 WR @02=0B8CDCD95F0BF21C39 + 27620.50ns INFO [00027622] Port=1 RD @00 + 27621.50ns INFO [00027623] * RD COMPARE * port=0 adr=06 act=72819FF3968DE26FA0 exp=72819FF3968DE26FA0 + 27621.50ns INFO [00027623] * RD COMPARE * port=1 adr=01 act=0CAD6D55ED2D67AD6C exp=0CAD6D55ED2D67AD6C + 27621.50ns INFO [00027623] Port=1 RD @05 + 27622.50ns INFO [00027624] * RD COMPARE * port=1 adr=00 act=F3CB4A261B9D95E444 exp=F3CB4A261B9D95E444 + 27622.50ns INFO [00027624] Port=0 RD @07 + 27623.50ns INFO [00027625] * RD COMPARE * port=1 adr=05 act=25163906380EA60472 exp=25163906380EA60472 + 27623.50ns INFO [00027625] Port=0 WR @06=EA04274B424F1E36AF + 27624.50ns INFO [00027626] * RD COMPARE * port=0 adr=07 act=86EE846B7789471F96 exp=86EE846B7789471F96 + 27624.50ns INFO [00027626] Port=1 RD @02 + 27625.50ns INFO [00027627] Port=0 WR @02=BCBF8F84ABD9F9439F + 27625.50ns INFO [00027627] Port=0 RD @01 + 27626.50ns INFO [00027628] * RD COMPARE * port=1 adr=02 act=0B8CDCD95F0BF21C39 exp=0B8CDCD95F0BF21C39 + 27626.50ns INFO [00027628] Port=0 WR @01=D9234C0D6066FD3FC3 + 27627.50ns INFO [00027629] * RD COMPARE * port=0 adr=01 act=0CAD6D55ED2D67AD6C exp=0CAD6D55ED2D67AD6C + 27627.50ns INFO [00027629] Port=0 WR @07=86D0367E56C129B46E + 27627.50ns INFO [00027629] Port=1 RD @06 + 27628.50ns INFO [00027630] Port=0 RD @06 + 27629.50ns INFO [00027631] * RD COMPARE * port=1 adr=06 act=EA04274B424F1E36AF exp=EA04274B424F1E36AF + 27629.50ns INFO [00027631] Port=0 WR @01=80F14FE002B778072B + 27629.50ns INFO [00027631] Port=0 RD @07 + 27629.50ns INFO [00027631] Port=1 RD @03 + 27630.50ns INFO [00027632] * RD COMPARE * port=0 adr=06 act=EA04274B424F1E36AF exp=EA04274B424F1E36AF + 27630.50ns INFO [00027632] Port=1 RD @03 + 27631.50ns INFO [00027633] * RD COMPARE * port=0 adr=07 act=86D0367E56C129B46E exp=86D0367E56C129B46E + 27631.50ns INFO [00027633] * RD COMPARE * port=1 adr=03 act=FFC1E68EB76E832964 exp=FFC1E68EB76E832964 + 27631.50ns INFO [00027633] Port=0 RD @02 + 27632.50ns INFO [00027634] * RD COMPARE * port=1 adr=03 act=FFC1E68EB76E832964 exp=FFC1E68EB76E832964 + 27633.50ns INFO [00027635] * RD COMPARE * port=0 adr=02 act=BCBF8F84ABD9F9439F exp=BCBF8F84ABD9F9439F + 27633.50ns INFO [00027635] Port=1 RD @06 + 27634.50ns INFO [00027636] Port=0 WR @02=06C924E6BED895C6A8 + 27635.50ns INFO [00027637] * RD COMPARE * port=1 adr=06 act=EA04274B424F1E36AF exp=EA04274B424F1E36AF + 27637.50ns INFO [00027639] Port=0 WR @06=BD203EB29CA5EAAA85 + 27638.50ns INFO [00027640] Port=0 WR @03=D363CC70FD900350F8 + 27640.50ns INFO [00027642] Port=0 WR @01=EF48FECFF17C916EA4 + 27640.50ns INFO [00027642] Port=0 RD @03 + 27640.50ns INFO [00027642] Port=1 RD @07 + 27642.50ns INFO [00027644] * RD COMPARE * port=0 adr=03 act=D363CC70FD900350F8 exp=D363CC70FD900350F8 + 27642.50ns INFO [00027644] * RD COMPARE * port=1 adr=07 act=86D0367E56C129B46E exp=86D0367E56C129B46E + 27642.50ns INFO [00027644] Port=0 RD @02 + 27643.50ns INFO [00027645] Port=1 RD @06 + 27644.50ns INFO [00027646] * RD COMPARE * port=0 adr=02 act=06C924E6BED895C6A8 exp=06C924E6BED895C6A8 + 27644.50ns INFO [00027646] Port=0 WR @04=674F1CC3073241E75A + 27645.50ns INFO [00027647] * RD COMPARE * port=1 adr=06 act=BD203EB29CA5EAAA85 exp=BD203EB29CA5EAAA85 + 27645.50ns INFO [00027647] Port=1 RD @01 + 27647.50ns INFO [00027649] * RD COMPARE * port=1 adr=01 act=EF48FECFF17C916EA4 exp=EF48FECFF17C916EA4 + 27647.50ns INFO [00027649] Port=0 WR @06=C4304A311F1659EFF0 + 27648.50ns INFO [00027650] Port=1 RD @05 + 27649.50ns INFO [00027651] Port=0 WR @07=B838B97BB861588C95 + 27650.50ns INFO [00027652] * RD COMPARE * port=1 adr=05 act=25163906380EA60472 exp=25163906380EA60472 + 27650.50ns INFO [00027652] Port=1 RD @06 + 27651.50ns INFO [00027653] Port=1 RD @06 + 27652.50ns INFO [00027654] * RD COMPARE * port=1 adr=06 act=C4304A311F1659EFF0 exp=C4304A311F1659EFF0 + 27652.50ns INFO [00027654] Port=1 RD @04 + 27653.50ns INFO [00027655] * RD COMPARE * port=1 adr=06 act=C4304A311F1659EFF0 exp=C4304A311F1659EFF0 + 27653.50ns INFO [00027655] Port=0 WR @01=7F9701089964A9FF1A + 27653.50ns INFO [00027655] Port=0 RD @02 + 27653.50ns INFO [00027655] Port=1 RD @05 + 27654.50ns INFO [00027656] * RD COMPARE * port=1 adr=04 act=674F1CC3073241E75A exp=674F1CC3073241E75A + 27655.50ns INFO [00027657] * RD COMPARE * port=0 adr=02 act=06C924E6BED895C6A8 exp=06C924E6BED895C6A8 + 27655.50ns INFO [00027657] * RD COMPARE * port=1 adr=05 act=25163906380EA60472 exp=25163906380EA60472 + 27656.50ns INFO [00027658] Port=0 WR @07=60A70BA8C9F6C927BA + 27657.50ns INFO [00027659] Port=1 RD @03 + 27658.50ns INFO [00027660] Port=0 RD @01 + 27659.50ns INFO [00027661] * RD COMPARE * port=1 adr=03 act=D363CC70FD900350F8 exp=D363CC70FD900350F8 + 27659.50ns INFO [00027661] Port=0 RD @04 + 27659.50ns INFO [00027661] Port=1 RD @00 + 27660.50ns INFO [00027662] * RD COMPARE * port=0 adr=01 act=7F9701089964A9FF1A exp=7F9701089964A9FF1A + 27660.50ns INFO [00027662] Port=0 WR @01=5569A842B9F3730826 + 27660.50ns INFO [00027662] Port=0 RD @02 + 27660.50ns INFO [00027662] Port=1 RD @02 + 27661.50ns INFO [00027663] * RD COMPARE * port=0 adr=04 act=674F1CC3073241E75A exp=674F1CC3073241E75A + 27661.50ns INFO [00027663] * RD COMPARE * port=1 adr=00 act=F3CB4A261B9D95E444 exp=F3CB4A261B9D95E444 + 27661.50ns INFO [00027663] Port=0 WR @06=41DB3739059B8BAEE9 + 27661.50ns INFO [00027663] Port=1 RD @00 + 27662.50ns INFO [00027664] * RD COMPARE * port=0 adr=02 act=06C924E6BED895C6A8 exp=06C924E6BED895C6A8 + 27662.50ns INFO [00027664] * RD COMPARE * port=1 adr=02 act=06C924E6BED895C6A8 exp=06C924E6BED895C6A8 + 27663.50ns INFO [00027665] * RD COMPARE * port=1 adr=00 act=F3CB4A261B9D95E444 exp=F3CB4A261B9D95E444 + 27663.50ns INFO [00027665] Port=0 WR @05=9C8726EBD449BCE547 + 27663.50ns INFO [00027665] Port=1 RD @02 + 27664.50ns INFO [00027666] Port=0 WR @02=09C94EB75A62EEC121 + 27664.50ns INFO [00027666] Port=1 RD @00 + 27665.50ns INFO [00027667] * RD COMPARE * port=1 adr=02 act=06C924E6BED895C6A8 exp=06C924E6BED895C6A8 + 27665.50ns INFO [00027667] Port=0 WR @02=D06F74FA16E0308E45 + 27665.50ns INFO [00027667] Port=0 RD @04 + 27665.50ns INFO [00027667] Port=1 RD @00 + 27666.50ns INFO [00027668] * RD COMPARE * port=1 adr=00 act=F3CB4A261B9D95E444 exp=F3CB4A261B9D95E444 + 27666.50ns INFO [00027668] Port=0 RD @06 + 27666.50ns INFO [00027668] Port=1 RD @03 + 27667.50ns INFO [00027669] * RD COMPARE * port=0 adr=04 act=674F1CC3073241E75A exp=674F1CC3073241E75A + 27667.50ns INFO [00027669] * RD COMPARE * port=1 adr=00 act=F3CB4A261B9D95E444 exp=F3CB4A261B9D95E444 + 27667.50ns INFO [00027669] Port=0 WR @02=E59A12F07C99E4078F + 27667.50ns INFO [00027669] Port=0 RD @01 + 27668.50ns INFO [00027670] * RD COMPARE * port=0 adr=06 act=41DB3739059B8BAEE9 exp=41DB3739059B8BAEE9 + 27668.50ns INFO [00027670] * RD COMPARE * port=1 adr=03 act=D363CC70FD900350F8 exp=D363CC70FD900350F8 + 27668.50ns INFO [00027670] Port=0 RD @00 + 27669.50ns INFO [00027671] * RD COMPARE * port=0 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27670.50ns INFO [00027672] * RD COMPARE * port=0 adr=00 act=F3CB4A261B9D95E444 exp=F3CB4A261B9D95E444 + 27670.50ns INFO [00027672] Port=0 WR @05=A7CE4C18E653F24821 + 27670.50ns INFO [00027672] Port=0 RD @04 + 27672.50ns INFO [00027674] * RD COMPARE * port=0 adr=04 act=674F1CC3073241E75A exp=674F1CC3073241E75A + 27672.50ns INFO [00027674] Port=0 RD @04 + 27673.50ns INFO [00027675] Port=0 RD @05 + 27673.50ns INFO [00027675] Port=1 RD @02 + 27674.50ns INFO [00027676] * RD COMPARE * port=0 adr=04 act=674F1CC3073241E75A exp=674F1CC3073241E75A + 27675.50ns INFO [00027677] * RD COMPARE * port=0 adr=05 act=A7CE4C18E653F24821 exp=A7CE4C18E653F24821 + 27675.50ns INFO [00027677] * RD COMPARE * port=1 adr=02 act=E59A12F07C99E4078F exp=E59A12F07C99E4078F + 27676.50ns INFO [00027678] Port=0 WR @04=87491C98617A484952 + 27676.50ns INFO [00027678] Port=1 RD @06 + 27677.50ns INFO [00027679] Port=0 WR @06=7D94EB154B5FA429AB + 27677.50ns INFO [00027679] Port=0 RD @04 + 27677.50ns INFO [00027679] Port=1 RD @00 + 27678.50ns INFO [00027680] * RD COMPARE * port=1 adr=06 act=41DB3739059B8BAEE9 exp=41DB3739059B8BAEE9 + 27678.50ns INFO [00027680] Port=0 RD @05 + 27679.50ns INFO [00027681] * RD COMPARE * port=0 adr=04 act=87491C98617A484952 exp=87491C98617A484952 + 27679.50ns INFO [00027681] * RD COMPARE * port=1 adr=00 act=F3CB4A261B9D95E444 exp=F3CB4A261B9D95E444 + 27679.50ns INFO [00027681] Port=1 RD @05 + 27680.50ns INFO [00027682] * RD COMPARE * port=0 adr=05 act=A7CE4C18E653F24821 exp=A7CE4C18E653F24821 + 27680.50ns INFO [00027682] Port=0 RD @06 + 27680.50ns INFO [00027682] Port=1 RD @04 + 27681.50ns INFO [00027683] * RD COMPARE * port=1 adr=05 act=A7CE4C18E653F24821 exp=A7CE4C18E653F24821 + 27681.50ns INFO [00027683] Port=0 RD @01 + 27681.50ns INFO [00027683] Port=1 RD @04 + 27682.50ns INFO [00027684] * RD COMPARE * port=0 adr=06 act=7D94EB154B5FA429AB exp=7D94EB154B5FA429AB + 27682.50ns INFO [00027684] * RD COMPARE * port=1 adr=04 act=87491C98617A484952 exp=87491C98617A484952 + 27682.50ns INFO [00027684] Port=0 WR @02=74937D861CECB487B7 + 27682.50ns INFO [00027684] Port=0 RD @01 + 27683.50ns INFO [00027685] * RD COMPARE * port=0 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27683.50ns INFO [00027685] * RD COMPARE * port=1 adr=04 act=87491C98617A484952 exp=87491C98617A484952 + 27683.50ns INFO [00027685] Port=0 WR @04=61F28FAB9377A2234F + 27684.50ns INFO [00027686] * RD COMPARE * port=0 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27684.50ns INFO [00027686] Port=0 RD @03 + 27685.50ns INFO [00027687] Port=0 WR @02=EB43F57E0AD1EA8CB2 + 27685.50ns INFO [00027687] Port=0 RD @07 + 27685.50ns INFO [00027687] Port=1 RD @07 + 27686.50ns INFO [00027688] * RD COMPARE * port=0 adr=03 act=D363CC70FD900350F8 exp=D363CC70FD900350F8 + 27686.50ns INFO [00027688] Port=0 RD @03 + 27686.50ns INFO [00027688] Port=1 RD @04 + 27687.50ns INFO [00027689] * RD COMPARE * port=0 adr=07 act=60A70BA8C9F6C927BA exp=60A70BA8C9F6C927BA + 27687.50ns INFO [00027689] * RD COMPARE * port=1 adr=07 act=60A70BA8C9F6C927BA exp=60A70BA8C9F6C927BA + 27687.50ns INFO [00027689] Port=0 RD @06 + 27688.50ns INFO [00027690] * RD COMPARE * port=0 adr=03 act=D363CC70FD900350F8 exp=D363CC70FD900350F8 + 27688.50ns INFO [00027690] * RD COMPARE * port=1 adr=04 act=61F28FAB9377A2234F exp=61F28FAB9377A2234F + 27688.50ns INFO [00027690] Port=0 WR @00=BABC9D59BE378CD223 + 27688.50ns INFO [00027690] Port=1 RD @01 + 27689.50ns INFO [00027691] * RD COMPARE * port=0 adr=06 act=7D94EB154B5FA429AB exp=7D94EB154B5FA429AB + 27689.50ns INFO [00027691] Port=0 WR @00=E79558A90A36BB5333 + 27689.50ns INFO [00027691] Port=1 RD @02 + 27690.50ns INFO [00027692] * RD COMPARE * port=1 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27690.50ns INFO [00027692] Port=0 RD @01 + 27691.50ns INFO [00027693] * RD COMPARE * port=1 adr=02 act=EB43F57E0AD1EA8CB2 exp=EB43F57E0AD1EA8CB2 + 27691.50ns INFO [00027693] Port=0 WR @06=8640E4EF754A11D83F + 27691.50ns INFO [00027693] Port=0 RD @05 + 27691.50ns INFO [00027693] Port=1 RD @01 + 27692.50ns INFO [00027694] * RD COMPARE * port=0 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27692.50ns INFO [00027694] Port=0 RD @07 + 27693.50ns INFO [00027695] * RD COMPARE * port=0 adr=05 act=A7CE4C18E653F24821 exp=A7CE4C18E653F24821 + 27693.50ns INFO [00027695] * RD COMPARE * port=1 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27693.50ns INFO [00027695] Port=0 WR @05=6247A9521A3645A16A + 27693.50ns INFO [00027695] Port=0 RD @01 + 27694.50ns INFO [00027696] * RD COMPARE * port=0 adr=07 act=60A70BA8C9F6C927BA exp=60A70BA8C9F6C927BA + 27694.50ns INFO [00027696] Port=0 WR @04=F8985F1F68D2CB09B1 + 27694.50ns INFO [00027696] Port=0 RD @01 + 27695.50ns INFO [00027697] * RD COMPARE * port=0 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27695.50ns INFO [00027697] Port=0 RD @03 + 27696.50ns INFO [00027698] * RD COMPARE * port=0 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27696.50ns INFO [00027698] Port=0 RD @04 + 27696.50ns INFO [00027698] Port=1 RD @01 + 27697.50ns INFO [00027699] * RD COMPARE * port=0 adr=03 act=D363CC70FD900350F8 exp=D363CC70FD900350F8 + 27697.50ns INFO [00027699] Port=1 RD @06 + 27698.00ns INFO [00027700] [00027700] ...tick... + 27698.50ns INFO [00027700] * RD COMPARE * port=0 adr=04 act=F8985F1F68D2CB09B1 exp=F8985F1F68D2CB09B1 + 27698.50ns INFO [00027700] * RD COMPARE * port=1 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27699.50ns INFO [00027701] * RD COMPARE * port=1 adr=06 act=8640E4EF754A11D83F exp=8640E4EF754A11D83F + 27700.50ns INFO [00027702] Port=0 RD @07 + 27700.50ns INFO [00027702] Port=1 RD @07 + 27701.50ns INFO [00027703] Port=0 WR @07=AC2BC311AEFC6BDE92 + 27701.50ns INFO [00027703] Port=0 RD @04 + 27701.50ns INFO [00027703] Port=1 RD @05 + 27702.50ns INFO [00027704] * RD COMPARE * port=0 adr=07 act=60A70BA8C9F6C927BA exp=60A70BA8C9F6C927BA + 27702.50ns INFO [00027704] * RD COMPARE * port=1 adr=07 act=60A70BA8C9F6C927BA exp=60A70BA8C9F6C927BA + 27702.50ns INFO [00027704] Port=0 WR @03=FC87C8A0BA4A92EFA3 + 27702.50ns INFO [00027704] Port=1 RD @06 + 27703.50ns INFO [00027705] * RD COMPARE * port=0 adr=04 act=F8985F1F68D2CB09B1 exp=F8985F1F68D2CB09B1 + 27703.50ns INFO [00027705] * RD COMPARE * port=1 adr=05 act=6247A9521A3645A16A exp=6247A9521A3645A16A + 27704.50ns INFO [00027706] * RD COMPARE * port=1 adr=06 act=8640E4EF754A11D83F exp=8640E4EF754A11D83F + 27704.50ns INFO [00027706] Port=0 WR @00=1D4EDE35B0CD715F7C + 27705.50ns INFO [00027707] Port=0 WR @00=202200FFFF2DB96AC1 + 27708.50ns INFO [00027710] Port=0 WR @04=0DD4A313FE70464172 + 27708.50ns INFO [00027710] Port=0 RD @02 + 27708.50ns INFO [00027710] Port=1 RD @01 + 27709.50ns INFO [00027711] Port=0 WR @06=836140881364517EE7 + 27709.50ns INFO [00027711] Port=0 RD @03 + 27710.50ns INFO [00027712] * RD COMPARE * port=0 adr=02 act=EB43F57E0AD1EA8CB2 exp=EB43F57E0AD1EA8CB2 + 27710.50ns INFO [00027712] * RD COMPARE * port=1 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27710.50ns INFO [00027712] Port=0 RD @02 + 27711.50ns INFO [00027713] * RD COMPARE * port=0 adr=03 act=FC87C8A0BA4A92EFA3 exp=FC87C8A0BA4A92EFA3 + 27711.50ns INFO [00027713] Port=0 RD @01 + 27712.50ns INFO [00027714] * RD COMPARE * port=0 adr=02 act=EB43F57E0AD1EA8CB2 exp=EB43F57E0AD1EA8CB2 + 27712.50ns INFO [00027714] Port=0 RD @04 + 27713.50ns INFO [00027715] * RD COMPARE * port=0 adr=01 act=5569A842B9F3730826 exp=5569A842B9F3730826 + 27713.50ns INFO [00027715] Port=0 RD @02 + 27714.50ns INFO [00027716] * RD COMPARE * port=0 adr=04 act=0DD4A313FE70464172 exp=0DD4A313FE70464172 + 27714.50ns INFO [00027716] Port=0 WR @07=A9F45399A98787FDF5 + 27715.50ns INFO [00027717] * RD COMPARE * port=0 adr=02 act=EB43F57E0AD1EA8CB2 exp=EB43F57E0AD1EA8CB2 + 27715.50ns INFO [00027717] Port=1 RD @05 + 27716.50ns INFO [00027718] Port=1 RD @03 + 27717.50ns INFO [00027719] * RD COMPARE * port=1 adr=05 act=6247A9521A3645A16A exp=6247A9521A3645A16A + 27717.50ns INFO [00027719] Port=0 RD @03 + 27718.50ns INFO [00027720] * RD COMPARE * port=1 adr=03 act=FC87C8A0BA4A92EFA3 exp=FC87C8A0BA4A92EFA3 + 27718.50ns INFO [00027720] Port=0 RD @05 + 27719.50ns INFO [00027721] * RD COMPARE * port=0 adr=03 act=FC87C8A0BA4A92EFA3 exp=FC87C8A0BA4A92EFA3 + 27719.50ns INFO [00027721] Port=0 RD @00 + 27720.50ns INFO [00027722] * RD COMPARE * port=0 adr=05 act=6247A9521A3645A16A exp=6247A9521A3645A16A + 27720.50ns INFO [00027722] Port=0 RD @03 + 27721.50ns INFO [00027723] * RD COMPARE * port=0 adr=00 act=202200FFFF2DB96AC1 exp=202200FFFF2DB96AC1 + 27721.50ns INFO [00027723] Port=1 RD @07 + 27722.50ns INFO [00027724] * RD COMPARE * port=0 adr=03 act=FC87C8A0BA4A92EFA3 exp=FC87C8A0BA4A92EFA3 + 27722.50ns INFO [00027724] Port=0 RD @06 + 27723.50ns INFO [00027725] * RD COMPARE * port=1 adr=07 act=A9F45399A98787FDF5 exp=A9F45399A98787FDF5 + 27724.50ns INFO [00027726] * RD COMPARE * port=0 adr=06 act=836140881364517EE7 exp=836140881364517EE7 + 27724.50ns INFO [00027726] Port=0 WR @06=B7B2BD62A37DD380C3 + 27725.50ns INFO [00027727] Port=1 RD @00 + 27726.50ns INFO [00027728] Port=1 RD @05 + 27727.50ns INFO [00027729] * RD COMPARE * port=1 adr=00 act=202200FFFF2DB96AC1 exp=202200FFFF2DB96AC1 + 27727.50ns INFO [00027729] Port=0 WR @01=89EF65CC521598EE29 + 27727.50ns INFO [00027729] Port=1 RD @03 + 27728.50ns INFO [00027730] * RD COMPARE * port=1 adr=05 act=6247A9521A3645A16A exp=6247A9521A3645A16A + 27728.50ns INFO [00027730] Port=0 RD @05 + 27729.50ns INFO [00027731] * RD COMPARE * port=1 adr=03 act=FC87C8A0BA4A92EFA3 exp=FC87C8A0BA4A92EFA3 + 27729.50ns INFO [00027731] Port=0 WR @00=3EF213DF278EB73173 + 27729.50ns INFO [00027731] Port=0 RD @05 + 27730.50ns INFO [00027732] * RD COMPARE * port=0 adr=05 act=6247A9521A3645A16A exp=6247A9521A3645A16A + 27730.50ns INFO [00027732] Port=0 WR @07=18446CD09B43646A08 + 27730.50ns INFO [00027732] Port=1 RD @00 + 27731.50ns INFO [00027733] * RD COMPARE * port=0 adr=05 act=6247A9521A3645A16A exp=6247A9521A3645A16A + 27731.50ns INFO [00027733] Port=0 WR @06=000E2EC7AD944346C1 + 27732.50ns INFO [00027734] * RD COMPARE * port=1 adr=00 act=3EF213DF278EB73173 exp=3EF213DF278EB73173 + 27733.50ns INFO [00027735] Port=0 RD @06 + 27733.50ns INFO [00027735] Port=1 RD @02 + 27735.50ns INFO [00027737] * RD COMPARE * port=0 adr=06 act=000E2EC7AD944346C1 exp=000E2EC7AD944346C1 + 27735.50ns INFO [00027737] * RD COMPARE * port=1 adr=02 act=EB43F57E0AD1EA8CB2 exp=EB43F57E0AD1EA8CB2 + 27736.50ns INFO [00027738] Port=0 RD @01 + 27737.50ns INFO [00027739] Port=0 WR @01=5F7E8F5F2B1F6E6051 + 27737.50ns INFO [00027739] Port=0 RD @07 + 27738.50ns INFO [00027740] * RD COMPARE * port=0 adr=01 act=89EF65CC521598EE29 exp=89EF65CC521598EE29 + 27738.50ns INFO [00027740] Port=0 WR @02=E3EBC71B6EC4000F8B + 27738.50ns INFO [00027740] Port=0 RD @01 + 27739.50ns INFO [00027741] * RD COMPARE * port=0 adr=07 act=18446CD09B43646A08 exp=18446CD09B43646A08 + 27739.50ns INFO [00027741] Port=0 RD @00 + 27739.50ns INFO [00027741] Port=1 RD @02 + 27740.50ns INFO [00027742] * RD COMPARE * port=0 adr=01 act=5F7E8F5F2B1F6E6051 exp=5F7E8F5F2B1F6E6051 + 27740.50ns INFO [00027742] Port=0 WR @05=20898FD89F7F1B489C + 27740.50ns INFO [00027742] Port=1 RD @00 + 27741.50ns INFO [00027743] * RD COMPARE * port=0 adr=00 act=3EF213DF278EB73173 exp=3EF213DF278EB73173 + 27741.50ns INFO [00027743] * RD COMPARE * port=1 adr=02 act=E3EBC71B6EC4000F8B exp=E3EBC71B6EC4000F8B + 27741.50ns INFO [00027743] Port=0 WR @06=1DE11EE332637ABB22 + 27741.50ns INFO [00027743] Port=0 RD @07 + 27742.50ns INFO [00027744] * RD COMPARE * port=1 adr=00 act=3EF213DF278EB73173 exp=3EF213DF278EB73173 + 27742.50ns INFO [00027744] Port=0 WR @04=B81840CC5317420419 + 27742.50ns INFO [00027744] Port=0 RD @07 + 27743.50ns INFO [00027745] * RD COMPARE * port=0 adr=07 act=18446CD09B43646A08 exp=18446CD09B43646A08 + 27743.50ns INFO [00027745] Port=0 WR @01=A7815DD165781CB611 + 27743.50ns INFO [00027745] Port=0 RD @06 + 27744.50ns INFO [00027746] * RD COMPARE * port=0 adr=07 act=18446CD09B43646A08 exp=18446CD09B43646A08 + 27744.50ns INFO [00027746] Port=0 RD @04 + 27745.50ns INFO [00027747] * RD COMPARE * port=0 adr=06 act=1DE11EE332637ABB22 exp=1DE11EE332637ABB22 + 27745.50ns INFO [00027747] Port=0 RD @05 + 27745.50ns INFO [00027747] Port=1 RD @04 + 27746.50ns INFO [00027748] * RD COMPARE * port=0 adr=04 act=B81840CC5317420419 exp=B81840CC5317420419 + 27746.50ns INFO [00027748] Port=0 WR @00=00BD6B4B90CB9FEDE1 + 27746.50ns INFO [00027748] Port=0 RD @05 + 27747.50ns INFO [00027749] * RD COMPARE * port=0 adr=05 act=20898FD89F7F1B489C exp=20898FD89F7F1B489C + 27747.50ns INFO [00027749] * RD COMPARE * port=1 adr=04 act=B81840CC5317420419 exp=B81840CC5317420419 + 27747.50ns INFO [00027749] Port=1 RD @05 + 27748.50ns INFO [00027750] * RD COMPARE * port=0 adr=05 act=20898FD89F7F1B489C exp=20898FD89F7F1B489C + 27749.50ns INFO [00027751] * RD COMPARE * port=1 adr=05 act=20898FD89F7F1B489C exp=20898FD89F7F1B489C + 27750.50ns INFO [00027752] Port=0 RD @02 + 27751.50ns INFO [00027753] Port=0 RD @04 + 27752.50ns INFO [00027754] * RD COMPARE * port=0 adr=02 act=E3EBC71B6EC4000F8B exp=E3EBC71B6EC4000F8B + 27752.50ns INFO [00027754] Port=1 RD @07 + 27753.50ns INFO [00027755] * RD COMPARE * port=0 adr=04 act=B81840CC5317420419 exp=B81840CC5317420419 + 27754.50ns INFO [00027756] * RD COMPARE * port=1 adr=07 act=18446CD09B43646A08 exp=18446CD09B43646A08 + 27754.50ns INFO [00027756] Port=0 WR @07=8BA5DAE79ACD8C23D6 + 27754.50ns INFO [00027756] Port=0 RD @04 + 27755.50ns INFO [00027757] Port=0 RD @00 + 27755.50ns INFO [00027757] Port=1 RD @06 + 27756.50ns INFO [00027758] * RD COMPARE * port=0 adr=04 act=B81840CC5317420419 exp=B81840CC5317420419 + 27757.50ns INFO [00027759] * RD COMPARE * port=0 adr=00 act=00BD6B4B90CB9FEDE1 exp=00BD6B4B90CB9FEDE1 + 27757.50ns INFO [00027759] * RD COMPARE * port=1 adr=06 act=1DE11EE332637ABB22 exp=1DE11EE332637ABB22 + 27757.50ns INFO [00027759] Port=1 RD @05 + 27759.50ns INFO [00027761] * RD COMPARE * port=1 adr=05 act=20898FD89F7F1B489C exp=20898FD89F7F1B489C + 27759.50ns INFO [00027761] Port=1 RD @06 + 27760.50ns INFO [00027762] Port=1 RD @04 + 27761.50ns INFO [00027763] * RD COMPARE * port=1 adr=06 act=1DE11EE332637ABB22 exp=1DE11EE332637ABB22 + 27761.50ns INFO [00027763] Port=0 RD @07 + 27762.50ns INFO [00027764] * RD COMPARE * port=1 adr=04 act=B81840CC5317420419 exp=B81840CC5317420419 + 27763.50ns INFO [00027765] * RD COMPARE * port=0 adr=07 act=8BA5DAE79ACD8C23D6 exp=8BA5DAE79ACD8C23D6 + 27763.50ns INFO [00027765] Port=0 WR @04=CDB58EBDF4A90CBCEC + 27764.50ns INFO [00027766] Port=0 RD @02 + 27764.50ns INFO [00027766] Port=1 RD @02 + 27765.50ns INFO [00027767] Port=0 RD @01 + 27765.50ns INFO [00027767] Port=1 RD @03 + 27766.50ns INFO [00027768] * RD COMPARE * port=0 adr=02 act=E3EBC71B6EC4000F8B exp=E3EBC71B6EC4000F8B + 27766.50ns INFO [00027768] * RD COMPARE * port=1 adr=02 act=E3EBC71B6EC4000F8B exp=E3EBC71B6EC4000F8B + 27766.50ns INFO [00027768] Port=0 RD @04 + 27766.50ns INFO [00027768] Port=1 RD @05 + 27767.50ns INFO [00027769] * RD COMPARE * port=0 adr=01 act=A7815DD165781CB611 exp=A7815DD165781CB611 + 27767.50ns INFO [00027769] * RD COMPARE * port=1 adr=03 act=FC87C8A0BA4A92EFA3 exp=FC87C8A0BA4A92EFA3 + 27767.50ns INFO [00027769] Port=0 RD @00 + 27767.50ns INFO [00027769] Port=1 RD @03 + 27768.50ns INFO [00027770] * RD COMPARE * port=0 adr=04 act=CDB58EBDF4A90CBCEC exp=CDB58EBDF4A90CBCEC + 27768.50ns INFO [00027770] * RD COMPARE * port=1 adr=05 act=20898FD89F7F1B489C exp=20898FD89F7F1B489C + 27768.50ns INFO [00027770] Port=0 WR @05=495FDBCEE201F02226 + 27769.50ns INFO [00027771] * RD COMPARE * port=0 adr=00 act=00BD6B4B90CB9FEDE1 exp=00BD6B4B90CB9FEDE1 + 27769.50ns INFO [00027771] * RD COMPARE * port=1 adr=03 act=FC87C8A0BA4A92EFA3 exp=FC87C8A0BA4A92EFA3 + 27769.50ns INFO [00027771] Port=0 RD @05 + 27771.50ns INFO [00027773] * RD COMPARE * port=0 adr=05 act=495FDBCEE201F02226 exp=495FDBCEE201F02226 + 27771.50ns INFO [00027773] Port=0 RD @03 + 27772.50ns INFO [00027774] Port=0 WR @04=23DEA9F65C9B2DD6A8 + 27772.50ns INFO [00027774] Port=1 RD @02 + 27773.50ns INFO [00027775] * RD COMPARE * port=0 adr=03 act=FC87C8A0BA4A92EFA3 exp=FC87C8A0BA4A92EFA3 + 27773.50ns INFO [00027775] Port=0 WR @03=C190256F2388DBF3E1 + 27773.50ns INFO [00027775] Port=0 RD @00 + 27773.50ns INFO [00027775] Port=1 RD @02 + 27774.50ns INFO [00027776] * RD COMPARE * port=1 adr=02 act=E3EBC71B6EC4000F8B exp=E3EBC71B6EC4000F8B + 27774.50ns INFO [00027776] Port=0 WR @04=0DFE7687ED66BA8E5B + 27774.50ns INFO [00027776] Port=1 RD @06 + 27775.50ns INFO [00027777] * RD COMPARE * port=0 adr=00 act=00BD6B4B90CB9FEDE1 exp=00BD6B4B90CB9FEDE1 + 27775.50ns INFO [00027777] * RD COMPARE * port=1 adr=02 act=E3EBC71B6EC4000F8B exp=E3EBC71B6EC4000F8B + 27775.50ns INFO [00027777] Port=0 WR @05=9DAD8200F67F515267 + 27776.50ns INFO [00027778] * RD COMPARE * port=1 adr=06 act=1DE11EE332637ABB22 exp=1DE11EE332637ABB22 + 27776.50ns INFO [00027778] Port=0 RD @06 + 27777.50ns INFO [00027779] Port=0 WR @05=F13C8BC3215C6877C1 + 27777.50ns INFO [00027779] Port=0 RD @04 + 27778.50ns INFO [00027780] * RD COMPARE * port=0 adr=06 act=1DE11EE332637ABB22 exp=1DE11EE332637ABB22 + 27778.50ns INFO [00027780] Port=1 RD @02 + 27779.50ns INFO [00027781] * RD COMPARE * port=0 adr=04 act=0DFE7687ED66BA8E5B exp=0DFE7687ED66BA8E5B + 27780.50ns INFO [00027782] * RD COMPARE * port=1 adr=02 act=E3EBC71B6EC4000F8B exp=E3EBC71B6EC4000F8B + 27780.50ns INFO [00027782] Port=1 RD @02 + 27782.50ns INFO [00027784] * RD COMPARE * port=1 adr=02 act=E3EBC71B6EC4000F8B exp=E3EBC71B6EC4000F8B + 27784.50ns INFO [00027786] Port=0 WR @01=A1EFA570559C3B7F60 + 27785.50ns INFO [00027787] Port=0 RD @05 + 27786.50ns INFO [00027788] Port=0 RD @03 + 27787.50ns INFO [00027789] * RD COMPARE * port=0 adr=05 act=F13C8BC3215C6877C1 exp=F13C8BC3215C6877C1 + 27787.50ns INFO [00027789] Port=0 RD @01 + 27788.50ns INFO [00027790] * RD COMPARE * port=0 adr=03 act=C190256F2388DBF3E1 exp=C190256F2388DBF3E1 + 27788.50ns INFO [00027790] Port=1 RD @07 + 27789.50ns INFO [00027791] * RD COMPARE * port=0 adr=01 act=A1EFA570559C3B7F60 exp=A1EFA570559C3B7F60 + 27790.50ns INFO [00027792] * RD COMPARE * port=1 adr=07 act=8BA5DAE79ACD8C23D6 exp=8BA5DAE79ACD8C23D6 + 27790.50ns INFO [00027792] Port=0 WR @01=E1F59CB81EE2210B34 + 27792.50ns INFO [00027794] Port=0 RD @00 + 27792.50ns INFO [00027794] Port=1 RD @06 + 27793.50ns INFO [00027795] Port=0 WR @00=096AC96B45DC8BCED8 + 27793.50ns INFO [00027795] Port=0 RD @05 + 27794.50ns INFO [00027796] * RD COMPARE * port=0 adr=00 act=00BD6B4B90CB9FEDE1 exp=00BD6B4B90CB9FEDE1 + 27794.50ns INFO [00027796] * RD COMPARE * port=1 adr=06 act=1DE11EE332637ABB22 exp=1DE11EE332637ABB22 + 27794.50ns INFO [00027796] Port=1 RD @06 + 27795.50ns INFO [00027797] * RD COMPARE * port=0 adr=05 act=F13C8BC3215C6877C1 exp=F13C8BC3215C6877C1 + 27795.50ns INFO [00027797] Port=0 WR @02=F88F4A009516BE20C7 + 27796.50ns INFO [00027798] * RD COMPARE * port=1 adr=06 act=1DE11EE332637ABB22 exp=1DE11EE332637ABB22 + 27796.50ns INFO [00027798] Port=0 RD @03 + 27798.00ns INFO [00027800] [00027800] ...tick... + 27798.50ns INFO [00027800] * RD COMPARE * port=0 adr=03 act=C190256F2388DBF3E1 exp=C190256F2388DBF3E1 + 27798.50ns INFO [00027800] Port=1 RD @07 + 27799.50ns INFO [00027801] Port=0 RD @00 + 27799.50ns INFO [00027801] Port=1 RD @01 + 27800.50ns INFO [00027802] * RD COMPARE * port=1 adr=07 act=8BA5DAE79ACD8C23D6 exp=8BA5DAE79ACD8C23D6 + 27800.50ns INFO [00027802] Port=1 RD @00 + 27801.50ns INFO [00027803] * RD COMPARE * port=0 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27801.50ns INFO [00027803] * RD COMPARE * port=1 adr=01 act=E1F59CB81EE2210B34 exp=E1F59CB81EE2210B34 + 27801.50ns INFO [00027803] Port=0 WR @03=528F66400230E747E0 + 27802.50ns INFO [00027804] * RD COMPARE * port=1 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27802.50ns INFO [00027804] Port=0 WR @06=FA7B97E4809B0EE15B + 27802.50ns INFO [00027804] Port=0 RD @02 + 27802.50ns INFO [00027804] Port=1 RD @04 + 27803.50ns INFO [00027805] Port=0 WR @01=16F05EC974FC2966CE + 27803.50ns INFO [00027805] Port=0 RD @07 + 27803.50ns INFO [00027805] Port=1 RD @00 + 27804.50ns INFO [00027806] * RD COMPARE * port=0 adr=02 act=F88F4A009516BE20C7 exp=F88F4A009516BE20C7 + 27804.50ns INFO [00027806] * RD COMPARE * port=1 adr=04 act=0DFE7687ED66BA8E5B exp=0DFE7687ED66BA8E5B + 27804.50ns INFO [00027806] Port=1 RD @06 + 27805.50ns INFO [00027807] * RD COMPARE * port=0 adr=07 act=8BA5DAE79ACD8C23D6 exp=8BA5DAE79ACD8C23D6 + 27805.50ns INFO [00027807] * RD COMPARE * port=1 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27805.50ns INFO [00027807] Port=1 RD @07 + 27806.50ns INFO [00027808] * RD COMPARE * port=1 adr=06 act=FA7B97E4809B0EE15B exp=FA7B97E4809B0EE15B + 27807.50ns INFO [00027809] * RD COMPARE * port=1 adr=07 act=8BA5DAE79ACD8C23D6 exp=8BA5DAE79ACD8C23D6 + 27807.50ns INFO [00027809] Port=0 RD @07 + 27808.50ns INFO [00027810] Port=0 WR @04=2A0E04E44572857143 + 27808.50ns INFO [00027810] Port=0 RD @00 + 27808.50ns INFO [00027810] Port=1 RD @00 + 27809.50ns INFO [00027811] * RD COMPARE * port=0 adr=07 act=8BA5DAE79ACD8C23D6 exp=8BA5DAE79ACD8C23D6 + 27809.50ns INFO [00027811] Port=1 RD @00 + 27810.50ns INFO [00027812] * RD COMPARE * port=0 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27810.50ns INFO [00027812] * RD COMPARE * port=1 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27810.50ns INFO [00027812] Port=0 WR @07=ACA062C0005972899B + 27811.50ns INFO [00027813] * RD COMPARE * port=1 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27811.50ns INFO [00027813] Port=1 RD @05 + 27813.50ns INFO [00027815] * RD COMPARE * port=1 adr=05 act=F13C8BC3215C6877C1 exp=F13C8BC3215C6877C1 + 27813.50ns INFO [00027815] Port=0 RD @00 + 27813.50ns INFO [00027815] Port=1 RD @07 + 27814.50ns INFO [00027816] Port=0 WR @01=AE7AA6CC68A974F936 + 27814.50ns INFO [00027816] Port=1 RD @00 + 27815.50ns INFO [00027817] * RD COMPARE * port=0 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27815.50ns INFO [00027817] * RD COMPARE * port=1 adr=07 act=ACA062C0005972899B exp=ACA062C0005972899B + 27815.50ns INFO [00027817] Port=0 WR @05=DC3A7A6F199492C7F3 + 27815.50ns INFO [00027817] Port=0 RD @02 + 27816.50ns INFO [00027818] * RD COMPARE * port=1 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27816.50ns INFO [00027818] Port=0 WR @02=1B33F91C9D8632220B + 27817.50ns INFO [00027819] * RD COMPARE * port=0 adr=02 act=F88F4A009516BE20C7 exp=F88F4A009516BE20C7 + 27817.50ns INFO [00027819] Port=0 WR @07=36474B3A160A410741 + 27817.50ns INFO [00027819] Port=0 RD @05 + 27818.50ns INFO [00027820] Port=1 RD @01 + 27819.50ns INFO [00027821] * RD COMPARE * port=0 adr=05 act=DC3A7A6F199492C7F3 exp=DC3A7A6F199492C7F3 + 27820.50ns INFO [00027822] * RD COMPARE * port=1 adr=01 act=AE7AA6CC68A974F936 exp=AE7AA6CC68A974F936 + 27820.50ns INFO [00027822] Port=0 WR @06=1C79D8B08B03371A1B + 27821.50ns INFO [00027823] Port=0 RD @05 + 27822.50ns INFO [00027824] Port=0 WR @06=6320487A43C9E6815A + 27823.50ns INFO [00027825] * RD COMPARE * port=0 adr=05 act=DC3A7A6F199492C7F3 exp=DC3A7A6F199492C7F3 + 27823.50ns INFO [00027825] Port=0 WR @07=55F6BA1C8B4D2750AB + 27824.50ns INFO [00027826] Port=0 WR @02=3155AFAA462B5585E1 + 27824.50ns INFO [00027826] Port=0 RD @03 + 27824.50ns INFO [00027826] Port=1 RD @00 + 27826.50ns INFO [00027828] * RD COMPARE * port=0 adr=03 act=528F66400230E747E0 exp=528F66400230E747E0 + 27826.50ns INFO [00027828] * RD COMPARE * port=1 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27826.50ns INFO [00027828] Port=0 RD @03 + 27826.50ns INFO [00027828] Port=1 RD @02 + 27827.50ns INFO [00027829] Port=0 WR @07=EA2917297E3180D68E + 27827.50ns INFO [00027829] Port=0 RD @05 + 27827.50ns INFO [00027829] Port=1 RD @01 + 27828.50ns INFO [00027830] * RD COMPARE * port=0 adr=03 act=528F66400230E747E0 exp=528F66400230E747E0 + 27828.50ns INFO [00027830] * RD COMPARE * port=1 adr=02 act=3155AFAA462B5585E1 exp=3155AFAA462B5585E1 + 27828.50ns INFO [00027830] Port=0 RD @07 + 27829.50ns INFO [00027831] * RD COMPARE * port=0 adr=05 act=DC3A7A6F199492C7F3 exp=DC3A7A6F199492C7F3 + 27829.50ns INFO [00027831] * RD COMPARE * port=1 adr=01 act=AE7AA6CC68A974F936 exp=AE7AA6CC68A974F936 + 27829.50ns INFO [00027831] Port=0 RD @04 + 27830.50ns INFO [00027832] * RD COMPARE * port=0 adr=07 act=EA2917297E3180D68E exp=EA2917297E3180D68E + 27831.50ns INFO [00027833] * RD COMPARE * port=0 adr=04 act=2A0E04E44572857143 exp=2A0E04E44572857143 + 27831.50ns INFO [00027833] Port=1 RD @01 + 27832.50ns INFO [00027834] Port=0 WR @07=68D3A76AB48BF3412D + 27832.50ns INFO [00027834] Port=1 RD @02 + 27833.50ns INFO [00027835] * RD COMPARE * port=1 adr=01 act=AE7AA6CC68A974F936 exp=AE7AA6CC68A974F936 + 27834.50ns INFO [00027836] * RD COMPARE * port=1 adr=02 act=3155AFAA462B5585E1 exp=3155AFAA462B5585E1 + 27834.50ns INFO [00027836] Port=0 RD @04 + 27835.50ns INFO [00027837] Port=0 RD @01 + 27836.50ns INFO [00027838] * RD COMPARE * port=0 adr=04 act=2A0E04E44572857143 exp=2A0E04E44572857143 + 27836.50ns INFO [00027838] Port=0 WR @04=A91FBDDABFFDD3767E + 27836.50ns INFO [00027838] Port=0 RD @06 + 27837.50ns INFO [00027839] * RD COMPARE * port=0 adr=01 act=AE7AA6CC68A974F936 exp=AE7AA6CC68A974F936 + 27837.50ns INFO [00027839] Port=0 WR @07=78CD754D26568C9DBA + 27837.50ns INFO [00027839] Port=0 RD @00 + 27838.50ns INFO [00027840] * RD COMPARE * port=0 adr=06 act=6320487A43C9E6815A exp=6320487A43C9E6815A + 27838.50ns INFO [00027840] Port=0 RD @07 + 27838.50ns INFO [00027840] Port=1 RD @00 + 27839.50ns INFO [00027841] * RD COMPARE * port=0 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27840.50ns INFO [00027842] * RD COMPARE * port=0 adr=07 act=78CD754D26568C9DBA exp=78CD754D26568C9DBA + 27840.50ns INFO [00027842] * RD COMPARE * port=1 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27840.50ns INFO [00027842] Port=0 WR @07=D6992BA1CD55E23337 + 27840.50ns INFO [00027842] Port=1 RD @01 + 27841.50ns INFO [00027843] Port=1 RD @04 + 27842.50ns INFO [00027844] * RD COMPARE * port=1 adr=01 act=AE7AA6CC68A974F936 exp=AE7AA6CC68A974F936 + 27842.50ns INFO [00027844] Port=0 WR @07=0D1CA71E5BB208CA69 + 27842.50ns INFO [00027844] Port=0 RD @00 + 27843.50ns INFO [00027845] * RD COMPARE * port=1 adr=04 act=A91FBDDABFFDD3767E exp=A91FBDDABFFDD3767E + 27843.50ns INFO [00027845] Port=0 WR @02=37C1A8831BBBD32AEF + 27843.50ns INFO [00027845] Port=1 RD @00 + 27844.50ns INFO [00027846] * RD COMPARE * port=0 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27844.50ns INFO [00027846] Port=0 WR @02=8E1CC221799F1DAAAC + 27845.50ns INFO [00027847] * RD COMPARE * port=1 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27845.50ns INFO [00027847] Port=0 RD @00 + 27846.50ns INFO [00027848] Port=0 WR @02=5028A31E443C8571C1 + 27847.50ns INFO [00027849] * RD COMPARE * port=0 adr=00 act=096AC96B45DC8BCED8 exp=096AC96B45DC8BCED8 + 27848.50ns INFO [00027850] Port=0 WR @00=E914DA45AE9E637B0F + 27849.50ns INFO [00027851] Port=1 RD @03 + 27850.50ns INFO [00027852] Port=1 RD @05 + 27851.50ns INFO [00027853] * RD COMPARE * port=1 adr=03 act=528F66400230E747E0 exp=528F66400230E747E0 + 27852.50ns INFO [00027854] * RD COMPARE * port=1 adr=05 act=DC3A7A6F199492C7F3 exp=DC3A7A6F199492C7F3 + 27852.50ns INFO [00027854] Port=0 WR @01=1C7C99D04025836C4D + 27852.50ns INFO [00027854] Port=0 RD @02 + 27852.50ns INFO [00027854] Port=1 RD @04 + 27854.50ns INFO [00027856] * RD COMPARE * port=0 adr=02 act=5028A31E443C8571C1 exp=5028A31E443C8571C1 + 27854.50ns INFO [00027856] * RD COMPARE * port=1 adr=04 act=A91FBDDABFFDD3767E exp=A91FBDDABFFDD3767E + 27854.50ns INFO [00027856] Port=1 RD @06 + 27856.50ns INFO [00027858] * RD COMPARE * port=1 adr=06 act=6320487A43C9E6815A exp=6320487A43C9E6815A + 27856.50ns INFO [00027858] Port=0 WR @04=7DB66EAE91910DCDF3 + 27856.50ns INFO [00027858] Port=0 RD @03 + 27857.50ns INFO [00027859] Port=0 WR @02=C7E2000598E841A6B2 + 27858.50ns INFO [00027860] * RD COMPARE * port=0 adr=03 act=528F66400230E747E0 exp=528F66400230E747E0 + 27858.50ns INFO [00027860] Port=0 RD @07 + 27859.50ns INFO [00027861] Port=0 RD @06 + 27860.50ns INFO [00027862] * RD COMPARE * port=0 adr=07 act=0D1CA71E5BB208CA69 exp=0D1CA71E5BB208CA69 + 27861.50ns INFO [00027863] * RD COMPARE * port=0 adr=06 act=6320487A43C9E6815A exp=6320487A43C9E6815A + 27862.50ns INFO [00027864] Port=0 RD @06 + 27863.50ns INFO [00027865] Port=0 WR @04=FBE91662189B0823C7 + 27863.50ns INFO [00027865] Port=0 RD @07 + 27864.50ns INFO [00027866] * RD COMPARE * port=0 adr=06 act=6320487A43C9E6815A exp=6320487A43C9E6815A + 27864.50ns INFO [00027866] Port=0 RD @01 + 27864.50ns INFO [00027866] Port=1 RD @06 + 27865.50ns INFO [00027867] * RD COMPARE * port=0 adr=07 act=0D1CA71E5BB208CA69 exp=0D1CA71E5BB208CA69 + 27865.50ns INFO [00027867] Port=0 WR @05=F80DBE7F8818C192FE + 27865.50ns INFO [00027867] Port=0 RD @03 + 27866.50ns INFO [00027868] * RD COMPARE * port=0 adr=01 act=1C7C99D04025836C4D exp=1C7C99D04025836C4D + 27866.50ns INFO [00027868] * RD COMPARE * port=1 adr=06 act=6320487A43C9E6815A exp=6320487A43C9E6815A + 27866.50ns INFO [00027868] Port=1 RD @04 + 27867.50ns INFO [00027869] * RD COMPARE * port=0 adr=03 act=528F66400230E747E0 exp=528F66400230E747E0 + 27867.50ns INFO [00027869] Port=0 WR @04=787C7BD4AA47125FD8 + 27867.50ns INFO [00027869] Port=1 RD @00 + 27868.50ns INFO [00027870] * RD COMPARE * port=1 adr=04 act=FBE91662189B0823C7 exp=FBE91662189B0823C7 + 27868.50ns INFO [00027870] Port=0 WR @03=0486B4C747AD7D1621 + 27868.50ns INFO [00027870] Port=0 RD @05 + 27869.50ns INFO [00027871] * RD COMPARE * port=1 adr=00 act=E914DA45AE9E637B0F exp=E914DA45AE9E637B0F + 27869.50ns INFO [00027871] Port=0 WR @05=F3730EC0546E7AD40C + 27869.50ns INFO [00027871] Port=0 RD @04 + 27870.50ns INFO [00027872] * RD COMPARE * port=0 adr=05 act=F80DBE7F8818C192FE exp=F80DBE7F8818C192FE + 27870.50ns INFO [00027872] Port=0 RD @00 + 27870.50ns INFO [00027872] Port=1 RD @03 + 27871.50ns INFO [00027873] * RD COMPARE * port=0 adr=04 act=787C7BD4AA47125FD8 exp=787C7BD4AA47125FD8 + 27872.50ns INFO [00027874] * RD COMPARE * port=0 adr=00 act=E914DA45AE9E637B0F exp=E914DA45AE9E637B0F + 27872.50ns INFO [00027874] * RD COMPARE * port=1 adr=03 act=0486B4C747AD7D1621 exp=0486B4C747AD7D1621 + 27873.50ns INFO [00027875] Port=0 WR @03=D0DC9691BB2A383DA2 + 27873.50ns INFO [00027875] Port=0 RD @01 + 27873.50ns INFO [00027875] Port=1 RD @02 + 27875.50ns INFO [00027877] * RD COMPARE * port=0 adr=01 act=1C7C99D04025836C4D exp=1C7C99D04025836C4D + 27875.50ns INFO [00027877] * RD COMPARE * port=1 adr=02 act=C7E2000598E841A6B2 exp=C7E2000598E841A6B2 + 27875.50ns INFO [00027877] Port=0 WR @00=A24926AAD1D30D5835 + 27875.50ns INFO [00027877] Port=1 RD @07 + 27876.50ns INFO [00027878] Port=1 RD @03 + 27877.50ns INFO [00027879] * RD COMPARE * port=1 adr=07 act=0D1CA71E5BB208CA69 exp=0D1CA71E5BB208CA69 + 27878.50ns INFO [00027880] * RD COMPARE * port=1 adr=03 act=D0DC9691BB2A383DA2 exp=D0DC9691BB2A383DA2 + 27879.50ns INFO [00027881] Port=0 WR @06=BAE83986CE3FE54B6F + 27881.50ns INFO [00027883] Port=0 RD @04 + 27882.50ns INFO [00027884] Port=0 WR @06=23D5EEEC5F08A6737B + 27883.50ns INFO [00027885] * RD COMPARE * port=0 adr=04 act=787C7BD4AA47125FD8 exp=787C7BD4AA47125FD8 + 27883.50ns INFO [00027885] Port=0 WR @02=176BC3EBA2D433158F + 27883.50ns INFO [00027885] Port=0 RD @05 + 27884.50ns INFO [00027886] Port=0 WR @05=8E1A0A607D815EFE1D + 27884.50ns INFO [00027886] Port=0 RD @02 + 27884.50ns INFO [00027886] Port=1 RD @04 + 27885.50ns INFO [00027887] * RD COMPARE * port=0 adr=05 act=F3730EC0546E7AD40C exp=F3730EC0546E7AD40C + 27885.50ns INFO [00027887] Port=0 WR @04=7367E5FF6DC671F11B + 27885.50ns INFO [00027887] Port=1 RD @01 + 27886.50ns INFO [00027888] * RD COMPARE * port=0 adr=02 act=176BC3EBA2D433158F exp=176BC3EBA2D433158F + 27886.50ns INFO [00027888] * RD COMPARE * port=1 adr=04 act=787C7BD4AA47125FD8 exp=787C7BD4AA47125FD8 + 27887.50ns INFO [00027889] * RD COMPARE * port=1 adr=01 act=1C7C99D04025836C4D exp=1C7C99D04025836C4D + 27887.50ns INFO [00027889] Port=0 RD @05 + 27888.50ns INFO [00027890] Port=0 RD @00 + 27889.50ns INFO [00027891] * RD COMPARE * port=0 adr=05 act=8E1A0A607D815EFE1D exp=8E1A0A607D815EFE1D + 27890.50ns INFO [00027892] * RD COMPARE * port=0 adr=00 act=A24926AAD1D30D5835 exp=A24926AAD1D30D5835 + 27890.50ns INFO [00027892] Port=0 RD @01 + 27891.50ns INFO [00027893] Port=1 RD @06 + 27892.50ns INFO [00027894] * RD COMPARE * port=0 adr=01 act=1C7C99D04025836C4D exp=1C7C99D04025836C4D + 27892.50ns INFO [00027894] Port=0 WR @01=A1B4B06AD02B17DEA3 + 27893.50ns INFO [00027895] * RD COMPARE * port=1 adr=06 act=23D5EEEC5F08A6737B exp=23D5EEEC5F08A6737B + 27893.50ns INFO [00027895] Port=0 WR @05=C02A154C96C2E286AF + 27894.50ns INFO [00027896] Port=0 WR @01=C34E24F28DE6127951 + 27894.50ns INFO [00027896] Port=0 RD @06 + 27895.50ns INFO [00027897] Port=0 RD @06 + 27896.50ns INFO [00027898] * RD COMPARE * port=0 adr=06 act=23D5EEEC5F08A6737B exp=23D5EEEC5F08A6737B + 27896.50ns INFO [00027898] Port=0 WR @04=8AE1FA79879901BEF5 + 27897.50ns INFO [00027899] * RD COMPARE * port=0 adr=06 act=23D5EEEC5F08A6737B exp=23D5EEEC5F08A6737B + 27897.50ns INFO [00027899] Port=0 RD @06 + 27897.50ns INFO [00027899] Port=1 RD @03 + 27898.00ns INFO [00027900] [00027900] ...tick... + 27898.50ns INFO [00027900] Port=0 WR @03=AFC0E8E3A9021B4651 + 27899.50ns INFO [00027901] * RD COMPARE * port=0 adr=06 act=23D5EEEC5F08A6737B exp=23D5EEEC5F08A6737B + 27899.50ns INFO [00027901] * RD COMPARE * port=1 adr=03 act=D0DC9691BB2A383DA2 exp=D0DC9691BB2A383DA2 + 27900.50ns INFO [00027902] Port=0 WR @01=2DA7D31EA576D4618B + 27900.50ns INFO [00027902] Port=0 RD @00 + 27900.50ns INFO [00027902] Port=1 RD @00 + 27902.50ns INFO [00027904] * RD COMPARE * port=0 adr=00 act=A24926AAD1D30D5835 exp=A24926AAD1D30D5835 + 27902.50ns INFO [00027904] * RD COMPARE * port=1 adr=00 act=A24926AAD1D30D5835 exp=A24926AAD1D30D5835 + 27903.50ns INFO [00027905] Port=0 RD @02 + 27903.50ns INFO [00027905] Port=1 RD @01 + 27904.50ns INFO [00027906] Port=1 RD @02 + 27905.50ns INFO [00027907] * RD COMPARE * port=0 adr=02 act=176BC3EBA2D433158F exp=176BC3EBA2D433158F + 27905.50ns INFO [00027907] * RD COMPARE * port=1 adr=01 act=2DA7D31EA576D4618B exp=2DA7D31EA576D4618B + 27906.50ns INFO [00027908] * RD COMPARE * port=1 adr=02 act=176BC3EBA2D433158F exp=176BC3EBA2D433158F + 27906.50ns INFO [00027908] Port=0 RD @04 + 27906.50ns INFO [00027908] Port=1 RD @00 + 27907.50ns INFO [00027909] Port=0 WR @07=E35ECE646605BB03B1 + 27907.50ns INFO [00027909] Port=1 RD @02 + 27908.50ns INFO [00027910] * RD COMPARE * port=0 adr=04 act=8AE1FA79879901BEF5 exp=8AE1FA79879901BEF5 + 27908.50ns INFO [00027910] * RD COMPARE * port=1 adr=00 act=A24926AAD1D30D5835 exp=A24926AAD1D30D5835 + 27908.50ns INFO [00027910] Port=0 WR @05=3B6E28B721D104B453 + 27908.50ns INFO [00027910] Port=0 RD @02 + 27909.50ns INFO [00027911] * RD COMPARE * port=1 adr=02 act=176BC3EBA2D433158F exp=176BC3EBA2D433158F + 27909.50ns INFO [00027911] Port=0 WR @07=F3C0A89B88B650C258 + 27909.50ns INFO [00027911] Port=0 RD @01 + 27910.50ns INFO [00027912] * RD COMPARE * port=0 adr=02 act=176BC3EBA2D433158F exp=176BC3EBA2D433158F + 27911.50ns INFO [00027913] * RD COMPARE * port=0 adr=01 act=2DA7D31EA576D4618B exp=2DA7D31EA576D4618B + 27912.50ns INFO [00027914] Port=0 WR @01=D8F463A32398F0AA5D + 27913.50ns INFO [00027915] Port=1 RD @01 + 27914.50ns INFO [00027916] Port=1 RD @05 + 27915.50ns INFO [00027917] * RD COMPARE * port=1 adr=01 act=D8F463A32398F0AA5D exp=D8F463A32398F0AA5D + 27916.50ns INFO [00027918] * RD COMPARE * port=1 adr=05 act=3B6E28B721D104B453 exp=3B6E28B721D104B453 + 27917.50ns INFO [00027919] Port=0 WR @03=6797B1DBD3438897CA + 27917.50ns INFO [00027919] Port=1 RD @07 + 27918.50ns INFO [00027920] Port=0 WR @06=E14F5408B1876998DF + 27918.50ns INFO [00027920] Port=0 RD @07 + 27919.50ns INFO [00027921] * RD COMPARE * port=1 adr=07 act=F3C0A89B88B650C258 exp=F3C0A89B88B650C258 + 27919.50ns INFO [00027921] Port=0 WR @00=8755E0C312E400472D + 27919.50ns INFO [00027921] Port=1 RD @05 + 27920.50ns INFO [00027922] * RD COMPARE * port=0 adr=07 act=F3C0A89B88B650C258 exp=F3C0A89B88B650C258 + 27921.50ns INFO [00027923] * RD COMPARE * port=1 adr=05 act=3B6E28B721D104B453 exp=3B6E28B721D104B453 + 27921.50ns INFO [00027923] Port=0 RD @07 + 27923.50ns INFO [00027925] * RD COMPARE * port=0 adr=07 act=F3C0A89B88B650C258 exp=F3C0A89B88B650C258 + 27923.50ns INFO [00027925] Port=0 RD @00 + 27923.50ns INFO [00027925] Port=1 RD @01 + 27924.50ns INFO [00027926] Port=0 WR @03=DB1C6E1CD2E86A2F20 + 27924.50ns INFO [00027926] Port=0 RD @04 + 27925.50ns INFO [00027927] * RD COMPARE * port=0 adr=00 act=8755E0C312E400472D exp=8755E0C312E400472D + 27925.50ns INFO [00027927] * RD COMPARE * port=1 adr=01 act=D8F463A32398F0AA5D exp=D8F463A32398F0AA5D + 27925.50ns INFO [00027927] Port=1 RD @03 + 27926.50ns INFO [00027928] * RD COMPARE * port=0 adr=04 act=8AE1FA79879901BEF5 exp=8AE1FA79879901BEF5 + 27926.50ns INFO [00027928] Port=0 RD @07 + 27926.50ns INFO [00027928] Port=1 RD @05 + 27927.50ns INFO [00027929] * RD COMPARE * port=1 adr=03 act=DB1C6E1CD2E86A2F20 exp=DB1C6E1CD2E86A2F20 + 27928.50ns INFO [00027930] * RD COMPARE * port=0 adr=07 act=F3C0A89B88B650C258 exp=F3C0A89B88B650C258 + 27928.50ns INFO [00027930] * RD COMPARE * port=1 adr=05 act=3B6E28B721D104B453 exp=3B6E28B721D104B453 + 27928.50ns INFO [00027930] Port=0 WR @01=B745E2CEE704BA55B0 + 27928.50ns INFO [00027930] Port=1 RD @06 + 27930.50ns INFO [00027932] * RD COMPARE * port=1 adr=06 act=E14F5408B1876998DF exp=E14F5408B1876998DF + 27931.50ns INFO [00027933] Port=0 WR @02=A6F5CC4EAB5023EF15 + 27932.50ns INFO [00027934] Port=0 WR @07=C9190507806475C574 + 27932.50ns INFO [00027934] Port=1 RD @02 + 27933.50ns INFO [00027935] Port=0 WR @04=014320287A0356E7C6 + 27933.50ns INFO [00027935] Port=1 RD @01 + 27934.50ns INFO [00027936] * RD COMPARE * port=1 adr=02 act=A6F5CC4EAB5023EF15 exp=A6F5CC4EAB5023EF15 + 27934.50ns INFO [00027936] Port=1 RD @07 + 27935.50ns INFO [00027937] * RD COMPARE * port=1 adr=01 act=B745E2CEE704BA55B0 exp=B745E2CEE704BA55B0 + 27935.50ns INFO [00027937] Port=0 RD @02 + 27935.50ns INFO [00027937] Port=1 RD @04 + 27936.50ns INFO [00027938] * RD COMPARE * port=1 adr=07 act=C9190507806475C574 exp=C9190507806475C574 + 27936.50ns INFO [00027938] Port=0 WR @06=95C9AF52FEB97F9511 + 27936.50ns INFO [00027938] Port=0 RD @00 + 27937.50ns INFO [00027939] * RD COMPARE * port=0 adr=02 act=A6F5CC4EAB5023EF15 exp=A6F5CC4EAB5023EF15 + 27937.50ns INFO [00027939] * RD COMPARE * port=1 adr=04 act=014320287A0356E7C6 exp=014320287A0356E7C6 + 27937.50ns INFO [00027939] Port=0 WR @01=38009EC73F7FC33108 + 27937.50ns INFO [00027939] Port=0 RD @06 + 27937.50ns INFO [00027939] Port=1 RD @04 + 27938.50ns INFO [00027940] * RD COMPARE * port=0 adr=00 act=8755E0C312E400472D exp=8755E0C312E400472D + 27939.50ns INFO [00027941] * RD COMPARE * port=0 adr=06 act=95C9AF52FEB97F9511 exp=95C9AF52FEB97F9511 + 27939.50ns INFO [00027941] * RD COMPARE * port=1 adr=04 act=014320287A0356E7C6 exp=014320287A0356E7C6 + 27940.50ns INFO [00027942] Port=0 RD @07 + 27941.50ns INFO [00027943] Port=0 RD @07 + 27942.50ns INFO [00027944] * RD COMPARE * port=0 adr=07 act=C9190507806475C574 exp=C9190507806475C574 + 27943.50ns INFO [00027945] * RD COMPARE * port=0 adr=07 act=C9190507806475C574 exp=C9190507806475C574 + 27943.50ns INFO [00027945] Port=0 WR @02=EC9CD556302572B642 + 27943.50ns INFO [00027945] Port=1 RD @06 + 27944.50ns INFO [00027946] Port=0 RD @06 + 27945.50ns INFO [00027947] * RD COMPARE * port=1 adr=06 act=95C9AF52FEB97F9511 exp=95C9AF52FEB97F9511 + 27945.50ns INFO [00027947] Port=1 RD @07 + 27946.50ns INFO [00027948] * RD COMPARE * port=0 adr=06 act=95C9AF52FEB97F9511 exp=95C9AF52FEB97F9511 + 27946.50ns INFO [00027948] Port=0 WR @07=9416DF2DBF3829961F + 27946.50ns INFO [00027948] Port=0 RD @04 + 27947.50ns INFO [00027949] * RD COMPARE * port=1 adr=07 act=C9190507806475C574 exp=C9190507806475C574 + 27947.50ns INFO [00027949] Port=0 WR @05=822275D7D64A761979 + 27948.50ns INFO [00027950] * RD COMPARE * port=0 adr=04 act=014320287A0356E7C6 exp=014320287A0356E7C6 + 27948.50ns INFO [00027950] Port=1 RD @06 + 27950.50ns INFO [00027952] * RD COMPARE * port=1 adr=06 act=95C9AF52FEB97F9511 exp=95C9AF52FEB97F9511 + 27951.50ns INFO [00027953] Port=1 RD @00 + 27952.50ns INFO [00027954] Port=0 WR @00=854EFA5EC1565F6C0E + 27953.50ns INFO [00027955] * RD COMPARE * port=1 adr=00 act=8755E0C312E400472D exp=8755E0C312E400472D + 27954.50ns INFO [00027956] Port=0 WR @02=BB2101953E24429628 + 27954.50ns INFO [00027956] Port=0 RD @06 + 27954.50ns INFO [00027956] Port=1 RD @07 + 27955.50ns INFO [00027957] Port=0 WR @01=21CAAF11131174EA15 + 27956.50ns INFO [00027958] * RD COMPARE * port=0 adr=06 act=95C9AF52FEB97F9511 exp=95C9AF52FEB97F9511 + 27956.50ns INFO [00027958] * RD COMPARE * port=1 adr=07 act=9416DF2DBF3829961F exp=9416DF2DBF3829961F + 27956.50ns INFO [00027958] Port=0 WR @06=86318823081EEE324F + 27956.50ns INFO [00027958] Port=0 RD @07 + 27956.50ns INFO [00027958] Port=1 RD @04 + 27957.50ns INFO [00027959] Port=0 RD @04 + 27958.50ns INFO [00027960] * RD COMPARE * port=0 adr=07 act=9416DF2DBF3829961F exp=9416DF2DBF3829961F + 27958.50ns INFO [00027960] * RD COMPARE * port=1 adr=04 act=014320287A0356E7C6 exp=014320287A0356E7C6 + 27959.50ns INFO [00027961] * RD COMPARE * port=0 adr=04 act=014320287A0356E7C6 exp=014320287A0356E7C6 + 27959.50ns INFO [00027961] Port=1 RD @03 + 27960.50ns INFO [00027962] Port=0 RD @04 + 27960.50ns INFO [00027962] Port=1 RD @00 + 27961.50ns INFO [00027963] * RD COMPARE * port=1 adr=03 act=DB1C6E1CD2E86A2F20 exp=DB1C6E1CD2E86A2F20 + 27961.50ns INFO [00027963] Port=0 RD @01 + 27962.50ns INFO [00027964] * RD COMPARE * port=0 adr=04 act=014320287A0356E7C6 exp=014320287A0356E7C6 + 27962.50ns INFO [00027964] * RD COMPARE * port=1 adr=00 act=854EFA5EC1565F6C0E exp=854EFA5EC1565F6C0E + 27963.50ns INFO [00027965] * RD COMPARE * port=0 adr=01 act=21CAAF11131174EA15 exp=21CAAF11131174EA15 + 27965.50ns INFO [00027967] Port=0 WR @00=858ABE2BE280BACB86 + 27965.50ns INFO [00027967] Port=0 RD @05 + 27965.50ns INFO [00027967] Port=1 RD @01 + 27966.50ns INFO [00027968] Port=1 RD @04 + 27967.50ns INFO [00027969] * RD COMPARE * port=0 adr=05 act=822275D7D64A761979 exp=822275D7D64A761979 + 27967.50ns INFO [00027969] * RD COMPARE * port=1 adr=01 act=21CAAF11131174EA15 exp=21CAAF11131174EA15 + 27967.50ns INFO [00027969] Port=0 WR @03=AAEFB98010EAF1AFDA + 27967.50ns INFO [00027969] Port=1 RD @06 + 27968.50ns INFO [00027970] * RD COMPARE * port=1 adr=04 act=014320287A0356E7C6 exp=014320287A0356E7C6 + 27968.50ns INFO [00027970] Port=0 WR @05=CB69AC9728DD938FB5 + 27969.50ns INFO [00027971] * RD COMPARE * port=1 adr=06 act=86318823081EEE324F exp=86318823081EEE324F + 27969.50ns INFO [00027971] Port=0 WR @02=0A2A383BBD8F53F332 + 27969.50ns INFO [00027971] Port=0 RD @00 + 27969.50ns INFO [00027971] Port=1 RD @05 + 27970.50ns INFO [00027972] Port=1 RD @01 + 27971.50ns INFO [00027973] * RD COMPARE * port=0 adr=00 act=858ABE2BE280BACB86 exp=858ABE2BE280BACB86 + 27971.50ns INFO [00027973] * RD COMPARE * port=1 adr=05 act=CB69AC9728DD938FB5 exp=CB69AC9728DD938FB5 + 27971.50ns INFO [00027973] Port=1 RD @03 + 27972.50ns INFO [00027974] * RD COMPARE * port=1 adr=01 act=21CAAF11131174EA15 exp=21CAAF11131174EA15 + 27973.50ns INFO [00027975] * RD COMPARE * port=1 adr=03 act=AAEFB98010EAF1AFDA exp=AAEFB98010EAF1AFDA + 27974.50ns INFO [00027976] Port=0 WR @02=FCAC96CB0085209251 + 27974.50ns INFO [00027976] Port=1 RD @07 + 27975.50ns INFO [00027977] Port=0 WR @02=368C4A562F50F48439 + 27975.50ns INFO [00027977] Port=0 RD @07 + 27976.50ns INFO [00027978] * RD COMPARE * port=1 adr=07 act=9416DF2DBF3829961F exp=9416DF2DBF3829961F + 27976.50ns INFO [00027978] Port=0 RD @02 + 27976.50ns INFO [00027978] Port=1 RD @06 + 27977.50ns INFO [00027979] * RD COMPARE * port=0 adr=07 act=9416DF2DBF3829961F exp=9416DF2DBF3829961F + 27977.50ns INFO [00027979] Port=0 WR @00=4F24ED198F794BCC30 + 27977.50ns INFO [00027979] Port=0 RD @05 + 27978.50ns INFO [00027980] * RD COMPARE * port=0 adr=02 act=368C4A562F50F48439 exp=368C4A562F50F48439 + 27978.50ns INFO [00027980] * RD COMPARE * port=1 adr=06 act=86318823081EEE324F exp=86318823081EEE324F + 27979.50ns INFO [00027981] * RD COMPARE * port=0 adr=05 act=CB69AC9728DD938FB5 exp=CB69AC9728DD938FB5 + 27979.50ns INFO [00027981] Port=0 RD @02 + 27979.50ns INFO [00027981] Port=1 RD @00 + 27980.50ns INFO [00027982] Port=0 RD @07 + 27980.50ns INFO [00027982] Port=1 RD @03 + 27981.50ns INFO [00027983] * RD COMPARE * port=0 adr=02 act=368C4A562F50F48439 exp=368C4A562F50F48439 + 27981.50ns INFO [00027983] * RD COMPARE * port=1 adr=00 act=4F24ED198F794BCC30 exp=4F24ED198F794BCC30 + 27981.50ns INFO [00027983] Port=0 WR @03=F043B9C55AB6C8BC47 + 27981.50ns INFO [00027983] Port=0 RD @05 + 27981.50ns INFO [00027983] Port=1 RD @04 + 27982.50ns INFO [00027984] * RD COMPARE * port=0 adr=07 act=9416DF2DBF3829961F exp=9416DF2DBF3829961F + 27982.50ns INFO [00027984] * RD COMPARE * port=1 adr=03 act=AAEFB98010EAF1AFDA exp=AAEFB98010EAF1AFDA + 27982.50ns INFO [00027984] Port=0 RD @01 + 27983.50ns INFO [00027985] * RD COMPARE * port=0 adr=05 act=CB69AC9728DD938FB5 exp=CB69AC9728DD938FB5 + 27983.50ns INFO [00027985] * RD COMPARE * port=1 adr=04 act=014320287A0356E7C6 exp=014320287A0356E7C6 + 27983.50ns INFO [00027985] Port=0 WR @03=5DA4CD175F5A10F975 + 27983.50ns INFO [00027985] Port=1 RD @06 + 27984.50ns INFO [00027986] * RD COMPARE * port=0 adr=01 act=21CAAF11131174EA15 exp=21CAAF11131174EA15 + 27984.50ns INFO [00027986] Port=0 WR @07=C7ACC605EC514482D0 + 27985.50ns INFO [00027987] * RD COMPARE * port=1 adr=06 act=86318823081EEE324F exp=86318823081EEE324F + 27986.50ns INFO [00027988] Port=0 WR @02=7E0209B303E3F1D2CE + 27986.50ns INFO [00027988] Port=0 RD @05 + 27987.50ns INFO [00027989] Port=0 WR @05=5DC3282169535E0483 + 27988.50ns INFO [00027990] * RD COMPARE * port=0 adr=05 act=CB69AC9728DD938FB5 exp=CB69AC9728DD938FB5 + 27988.50ns INFO [00027990] Port=0 RD @04 + 27988.50ns INFO [00027990] Port=1 RD @05 + 27989.50ns INFO [00027991] Port=0 WR @07=DFB555F69C6CCBB7E9 + 27990.50ns INFO [00027992] * RD COMPARE * port=0 adr=04 act=014320287A0356E7C6 exp=014320287A0356E7C6 + 27990.50ns INFO [00027992] * RD COMPARE * port=1 adr=05 act=5DC3282169535E0483 exp=5DC3282169535E0483 + 27990.50ns INFO [00027992] Port=0 RD @01 + 27991.50ns INFO [00027993] Port=0 WR @07=52B7AC5FEFD61C27B2 + 27991.50ns INFO [00027993] Port=0 RD @02 + 27991.50ns INFO [00027993] Port=1 RD @05 + 27992.50ns INFO [00027994] * RD COMPARE * port=0 adr=01 act=21CAAF11131174EA15 exp=21CAAF11131174EA15 + 27993.50ns INFO [00027995] * RD COMPARE * port=0 adr=02 act=7E0209B303E3F1D2CE exp=7E0209B303E3F1D2CE + 27993.50ns INFO [00027995] * RD COMPARE * port=1 adr=05 act=5DC3282169535E0483 exp=5DC3282169535E0483 + 27993.50ns INFO [00027995] Port=0 RD @04 + 27993.50ns INFO [00027995] Port=1 RD @03 + 27994.50ns INFO [00027996] Port=0 WR @04=3118C1AD6115BD0BE7 + 27995.50ns INFO [00027997] * RD COMPARE * port=0 adr=04 act=014320287A0356E7C6 exp=014320287A0356E7C6 + 27995.50ns INFO [00027997] * RD COMPARE * port=1 adr=03 act=5DA4CD175F5A10F975 exp=5DA4CD175F5A10F975 + 27996.50ns INFO [00027998] Port=0 RD @04 + 27996.50ns INFO [00027998] Port=1 RD @05 + 27997.50ns INFO [00027999] Port=0 RD @06 + 27998.00ns INFO [00028000] [00028000] ...tick... + 27998.50ns INFO [00028000] * RD COMPARE * port=0 adr=04 act=3118C1AD6115BD0BE7 exp=3118C1AD6115BD0BE7 + 27998.50ns INFO [00028000] * RD COMPARE * port=1 adr=05 act=5DC3282169535E0483 exp=5DC3282169535E0483 + 27998.50ns INFO [00028000] Port=0 RD @02 + 27999.50ns INFO [00028001] * RD COMPARE * port=0 adr=06 act=86318823081EEE324F exp=86318823081EEE324F + 27999.50ns INFO [00028001] Port=0 RD @05 + 28000.50ns INFO [00028002] * RD COMPARE * port=0 adr=02 act=7E0209B303E3F1D2CE exp=7E0209B303E3F1D2CE + 28000.50ns INFO [00028002] Port=0 WR @01=530D5C1756440F42F5 + 28000.50ns INFO [00028002] Port=0 RD @03 + 28000.50ns INFO [00028002] Port=1 RD @00 + 28001.50ns INFO [00028003] * RD COMPARE * port=0 adr=05 act=5DC3282169535E0483 exp=5DC3282169535E0483 + 28001.50ns INFO [00028003] Port=0 RD @00 + 28002.50ns INFO [00028004] * RD COMPARE * port=0 adr=03 act=5DA4CD175F5A10F975 exp=5DA4CD175F5A10F975 + 28002.50ns INFO [00028004] * RD COMPARE * port=1 adr=00 act=4F24ED198F794BCC30 exp=4F24ED198F794BCC30 + 28002.50ns INFO [00028004] Port=0 WR @06=3FD71698AD4D4519FA + 28003.50ns INFO [00028005] * RD COMPARE * port=0 adr=00 act=4F24ED198F794BCC30 exp=4F24ED198F794BCC30 + 28004.50ns INFO [00028006] Port=0 RD @04 + 28005.50ns INFO [00028007] Port=0 RD @02 + 28005.50ns INFO [00028007] Port=1 RD @06 + 28006.50ns INFO [00028008] * RD COMPARE * port=0 adr=04 act=3118C1AD6115BD0BE7 exp=3118C1AD6115BD0BE7 + 28006.50ns INFO [00028008] Port=0 RD @07 + 28006.50ns INFO [00028008] Port=1 RD @07 + 28007.50ns INFO [00028009] * RD COMPARE * port=0 adr=02 act=7E0209B303E3F1D2CE exp=7E0209B303E3F1D2CE + 28007.50ns INFO [00028009] * RD COMPARE * port=1 adr=06 act=3FD71698AD4D4519FA exp=3FD71698AD4D4519FA + 28007.50ns INFO [00028009] Port=0 WR @02=5A95856CC64B618515 + 28008.50ns INFO [00028010] * RD COMPARE * port=0 adr=07 act=52B7AC5FEFD61C27B2 exp=52B7AC5FEFD61C27B2 + 28008.50ns INFO [00028010] * RD COMPARE * port=1 adr=07 act=52B7AC5FEFD61C27B2 exp=52B7AC5FEFD61C27B2 + 28010.50ns INFO [00028012] Port=0 WR @03=3369612F9738833CDD + 28010.50ns INFO [00028012] Port=1 RD @00 + 28011.50ns INFO [00028013] Port=0 WR @01=598B44899FE20B3D71 + 28012.50ns INFO [00028014] * RD COMPARE * port=1 adr=00 act=4F24ED198F794BCC30 exp=4F24ED198F794BCC30 + 28012.50ns INFO [00028014] Port=0 WR @04=08F4719EFBB11F3003 + 28013.50ns INFO [00028015] Port=0 RD @04 + 28013.50ns INFO [00028015] Port=1 RD @04 + 28014.50ns INFO [00028016] Port=0 RD @03 + 28014.50ns INFO [00028016] Port=1 RD @01 + 28015.50ns INFO [00028017] * RD COMPARE * port=0 adr=04 act=08F4719EFBB11F3003 exp=08F4719EFBB11F3003 + 28015.50ns INFO [00028017] * RD COMPARE * port=1 adr=04 act=08F4719EFBB11F3003 exp=08F4719EFBB11F3003 + 28015.50ns INFO [00028017] Port=0 RD @06 + 28015.50ns INFO [00028017] Port=1 RD @04 + 28016.50ns INFO [00028018] * RD COMPARE * port=0 adr=03 act=3369612F9738833CDD exp=3369612F9738833CDD + 28016.50ns INFO [00028018] * RD COMPARE * port=1 adr=01 act=598B44899FE20B3D71 exp=598B44899FE20B3D71 + 28017.50ns INFO [00028019] * RD COMPARE * port=0 adr=06 act=3FD71698AD4D4519FA exp=3FD71698AD4D4519FA + 28017.50ns INFO [00028019] * RD COMPARE * port=1 adr=04 act=08F4719EFBB11F3003 exp=08F4719EFBB11F3003 + 28017.50ns INFO [00028019] Port=1 RD @07 + 28018.50ns INFO [00028020] Port=1 RD @01 + 28019.50ns INFO [00028021] * RD COMPARE * port=1 adr=07 act=52B7AC5FEFD61C27B2 exp=52B7AC5FEFD61C27B2 + 28019.50ns INFO [00028021] Port=1 RD @05 + 28020.50ns INFO [00028022] * RD COMPARE * port=1 adr=01 act=598B44899FE20B3D71 exp=598B44899FE20B3D71 + 28020.50ns INFO [00028022] Port=0 RD @06 + 28021.50ns INFO [00028023] * RD COMPARE * port=1 adr=05 act=5DC3282169535E0483 exp=5DC3282169535E0483 + 28022.50ns INFO [00028024] * RD COMPARE * port=0 adr=06 act=3FD71698AD4D4519FA exp=3FD71698AD4D4519FA + 28022.50ns INFO [00028024] Port=0 RD @06 + 28022.50ns INFO [00028024] Port=1 RD @03 + 28023.50ns INFO [00028025] Port=0 WR @07=2F8E8A128DFBDC60C6 + 28023.50ns INFO [00028025] Port=0 RD @01 + 28023.50ns INFO [00028025] Port=1 RD @02 + 28024.50ns INFO [00028026] * RD COMPARE * port=0 adr=06 act=3FD71698AD4D4519FA exp=3FD71698AD4D4519FA + 28024.50ns INFO [00028026] * RD COMPARE * port=1 adr=03 act=3369612F9738833CDD exp=3369612F9738833CDD + 28025.50ns INFO [00028027] * RD COMPARE * port=0 adr=01 act=598B44899FE20B3D71 exp=598B44899FE20B3D71 + 28025.50ns INFO [00028027] * RD COMPARE * port=1 adr=02 act=5A95856CC64B618515 exp=5A95856CC64B618515 + 28025.50ns INFO [00028027] Port=0 RD @00 + 28026.50ns INFO [00028028] Port=0 WR @02=200A99577BC2751C53 + 28027.50ns INFO [00028029] * RD COMPARE * port=0 adr=00 act=4F24ED198F794BCC30 exp=4F24ED198F794BCC30 + 28028.50ns INFO [00028030] Port=0 WR @05=D77FE4391EA1A44D10 + 28029.50ns INFO [00028031] Port=0 RD @03 + 28030.50ns INFO [00028032] Port=0 WR @01=B5CE587EF78AD641EC + 28030.50ns INFO [00028032] Port=0 RD @07 + 28030.50ns INFO [00028032] Port=1 RD @04 + 28031.50ns INFO [00028033] * RD COMPARE * port=0 adr=03 act=3369612F9738833CDD exp=3369612F9738833CDD + 28032.50ns INFO [00028034] * RD COMPARE * port=0 adr=07 act=2F8E8A128DFBDC60C6 exp=2F8E8A128DFBDC60C6 + 28032.50ns INFO [00028034] * RD COMPARE * port=1 adr=04 act=08F4719EFBB11F3003 exp=08F4719EFBB11F3003 + 28032.50ns INFO [00028034] Port=1 RD @02 + 28033.50ns INFO [00028035] Port=1 RD @03 + 28034.50ns INFO [00028036] * RD COMPARE * port=1 adr=02 act=200A99577BC2751C53 exp=200A99577BC2751C53 + 28035.50ns INFO [00028037] * RD COMPARE * port=1 adr=03 act=3369612F9738833CDD exp=3369612F9738833CDD + 28035.50ns INFO [00028037] Port=0 RD @01 + 28036.50ns INFO [00028038] Port=0 WR @03=6D8217B95A8D4D2C5C + 28036.50ns INFO [00028038] Port=1 RD @00 + 28037.50ns INFO [00028039] * RD COMPARE * port=0 adr=01 act=B5CE587EF78AD641EC exp=B5CE587EF78AD641EC + 28037.50ns INFO [00028039] Port=0 WR @02=AFF85C253C65F11430 + 28038.50ns INFO [00028040] * RD COMPARE * port=1 adr=00 act=4F24ED198F794BCC30 exp=4F24ED198F794BCC30 + 28039.50ns INFO [00028041] Port=0 WR @04=834A2560DA966C9106 + 28039.50ns INFO [00028041] Port=0 RD @01 + 28039.50ns INFO [00028041] Port=1 RD @03 + 28040.50ns INFO [00028042] Port=0 RD @00 + 28041.50ns INFO [00028043] * RD COMPARE * port=0 adr=01 act=B5CE587EF78AD641EC exp=B5CE587EF78AD641EC + 28041.50ns INFO [00028043] * RD COMPARE * port=1 adr=03 act=6D8217B95A8D4D2C5C exp=6D8217B95A8D4D2C5C + 28041.50ns INFO [00028043] Port=0 WR @07=EB707FAB61E8552EE6 + 28042.50ns INFO [00028044] * RD COMPARE * port=0 adr=00 act=4F24ED198F794BCC30 exp=4F24ED198F794BCC30 + 28042.50ns INFO [00028044] Port=0 RD @02 + 28043.50ns INFO [00028045] Port=0 RD @00 + 28044.50ns INFO [00028046] * RD COMPARE * port=0 adr=02 act=AFF85C253C65F11430 exp=AFF85C253C65F11430 + 28044.50ns INFO [00028046] Port=0 WR @03=537DF72BC167D96778 + 28045.50ns INFO [00028047] * RD COMPARE * port=0 adr=00 act=4F24ED198F794BCC30 exp=4F24ED198F794BCC30 + 28045.50ns INFO [00028047] Port=0 WR @01=6BB66C1C9A340D048C + 28046.50ns INFO [00028048] Port=0 RD @00 + 28046.50ns INFO [00028048] Port=1 RD @07 + 28048.50ns INFO [00028050] * RD COMPARE * port=0 adr=00 act=4F24ED198F794BCC30 exp=4F24ED198F794BCC30 + 28048.50ns INFO [00028050] * RD COMPARE * port=1 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28048.50ns INFO [00028050] Port=0 WR @04=FEA9A8D386139AAAAB + 28048.50ns INFO [00028050] Port=1 RD @01 + 28049.50ns INFO [00028051] Port=0 RD @07 + 28049.50ns INFO [00028051] Port=1 RD @07 + 28050.50ns INFO [00028052] * RD COMPARE * port=1 adr=01 act=6BB66C1C9A340D048C exp=6BB66C1C9A340D048C + 28050.50ns INFO [00028052] Port=0 WR @06=7B0CD64AD688C285FE + 28050.50ns INFO [00028052] Port=0 RD @01 + 28051.50ns INFO [00028053] * RD COMPARE * port=0 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28051.50ns INFO [00028053] * RD COMPARE * port=1 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28051.50ns INFO [00028053] Port=0 WR @00=E05C6254C164F2B666 + 28051.50ns INFO [00028053] Port=0 RD @03 + 28051.50ns INFO [00028053] Port=1 RD @01 + 28052.50ns INFO [00028054] * RD COMPARE * port=0 adr=01 act=6BB66C1C9A340D048C exp=6BB66C1C9A340D048C + 28052.50ns INFO [00028054] Port=1 RD @01 + 28053.50ns INFO [00028055] * RD COMPARE * port=0 adr=03 act=537DF72BC167D96778 exp=537DF72BC167D96778 + 28053.50ns INFO [00028055] * RD COMPARE * port=1 adr=01 act=6BB66C1C9A340D048C exp=6BB66C1C9A340D048C + 28053.50ns INFO [00028055] Port=0 WR @06=5E8FE838C715368CD9 + 28053.50ns INFO [00028055] Port=1 RD @04 + 28054.50ns INFO [00028056] * RD COMPARE * port=1 adr=01 act=6BB66C1C9A340D048C exp=6BB66C1C9A340D048C + 28055.50ns INFO [00028057] * RD COMPARE * port=1 adr=04 act=FEA9A8D386139AAAAB exp=FEA9A8D386139AAAAB + 28055.50ns INFO [00028057] Port=1 RD @03 + 28057.50ns INFO [00028059] * RD COMPARE * port=1 adr=03 act=537DF72BC167D96778 exp=537DF72BC167D96778 + 28057.50ns INFO [00028059] Port=0 RD @06 + 28058.50ns INFO [00028060] Port=0 RD @04 + 28059.50ns INFO [00028061] * RD COMPARE * port=0 adr=06 act=5E8FE838C715368CD9 exp=5E8FE838C715368CD9 + 28059.50ns INFO [00028061] Port=0 WR @03=B0CFDE211BA79BA0A5 + 28059.50ns INFO [00028061] Port=0 RD @07 + 28060.50ns INFO [00028062] * RD COMPARE * port=0 adr=04 act=FEA9A8D386139AAAAB exp=FEA9A8D386139AAAAB + 28061.50ns INFO [00028063] * RD COMPARE * port=0 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28061.50ns INFO [00028063] Port=0 RD @07 + 28061.50ns INFO [00028063] Port=1 RD @07 + 28063.50ns INFO [00028065] * RD COMPARE * port=0 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28063.50ns INFO [00028065] * RD COMPARE * port=1 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28063.50ns INFO [00028065] Port=1 RD @04 + 28064.50ns INFO [00028066] Port=0 WR @05=01B2AC0BE59EEC0543 + 28065.50ns INFO [00028067] * RD COMPARE * port=1 adr=04 act=FEA9A8D386139AAAAB exp=FEA9A8D386139AAAAB + 28065.50ns INFO [00028067] Port=0 WR @05=0642CF986A77D923E2 + 28065.50ns INFO [00028067] Port=0 RD @07 + 28067.50ns INFO [00028069] * RD COMPARE * port=0 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28067.50ns INFO [00028069] Port=0 WR @02=D760DB9E804EB4B9E4 + 28067.50ns INFO [00028069] Port=1 RD @07 + 28069.50ns INFO [00028071] * RD COMPARE * port=1 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28069.50ns INFO [00028071] Port=1 RD @00 + 28070.50ns INFO [00028072] Port=0 RD @06 + 28071.50ns INFO [00028073] * RD COMPARE * port=1 adr=00 act=E05C6254C164F2B666 exp=E05C6254C164F2B666 + 28071.50ns INFO [00028073] Port=0 RD @03 + 28072.50ns INFO [00028074] * RD COMPARE * port=0 adr=06 act=5E8FE838C715368CD9 exp=5E8FE838C715368CD9 + 28073.50ns INFO [00028075] * RD COMPARE * port=0 adr=03 act=B0CFDE211BA79BA0A5 exp=B0CFDE211BA79BA0A5 + 28076.50ns INFO [00028078] Port=0 WR @02=D9D882272307A9A1F9 + 28076.50ns INFO [00028078] Port=0 RD @04 + 28076.50ns INFO [00028078] Port=1 RD @03 + 28077.50ns INFO [00028079] Port=0 RD @07 + 28078.50ns INFO [00028080] * RD COMPARE * port=0 adr=04 act=FEA9A8D386139AAAAB exp=FEA9A8D386139AAAAB + 28078.50ns INFO [00028080] * RD COMPARE * port=1 adr=03 act=B0CFDE211BA79BA0A5 exp=B0CFDE211BA79BA0A5 + 28078.50ns INFO [00028080] Port=1 RD @03 + 28079.50ns INFO [00028081] * RD COMPARE * port=0 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28079.50ns INFO [00028081] Port=0 RD @00 + 28079.50ns INFO [00028081] Port=1 RD @06 + 28080.50ns INFO [00028082] * RD COMPARE * port=1 adr=03 act=B0CFDE211BA79BA0A5 exp=B0CFDE211BA79BA0A5 + 28080.50ns INFO [00028082] Port=0 RD @07 + 28081.50ns INFO [00028083] * RD COMPARE * port=0 adr=00 act=E05C6254C164F2B666 exp=E05C6254C164F2B666 + 28081.50ns INFO [00028083] * RD COMPARE * port=1 adr=06 act=5E8FE838C715368CD9 exp=5E8FE838C715368CD9 + 28081.50ns INFO [00028083] Port=0 RD @01 + 28082.50ns INFO [00028084] * RD COMPARE * port=0 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28082.50ns INFO [00028084] Port=1 RD @06 + 28083.50ns INFO [00028085] * RD COMPARE * port=0 adr=01 act=6BB66C1C9A340D048C exp=6BB66C1C9A340D048C + 28083.50ns INFO [00028085] Port=0 WR @00=95055B6ECB13CAB9ED + 28083.50ns INFO [00028085] Port=1 RD @03 + 28084.50ns INFO [00028086] * RD COMPARE * port=1 adr=06 act=5E8FE838C715368CD9 exp=5E8FE838C715368CD9 + 28084.50ns INFO [00028086] Port=0 WR @03=C2FAD6BC93E82F4076 + 28084.50ns INFO [00028086] Port=0 RD @04 + 28084.50ns INFO [00028086] Port=1 RD @00 + 28085.50ns INFO [00028087] * RD COMPARE * port=1 adr=03 act=B0CFDE211BA79BA0A5 exp=B0CFDE211BA79BA0A5 + 28085.50ns INFO [00028087] Port=0 RD @03 + 28085.50ns INFO [00028087] Port=1 RD @06 + 28086.50ns INFO [00028088] * RD COMPARE * port=0 adr=04 act=FEA9A8D386139AAAAB exp=FEA9A8D386139AAAAB + 28086.50ns INFO [00028088] * RD COMPARE * port=1 adr=00 act=95055B6ECB13CAB9ED exp=95055B6ECB13CAB9ED + 28087.50ns INFO [00028089] * RD COMPARE * port=0 adr=03 act=C2FAD6BC93E82F4076 exp=C2FAD6BC93E82F4076 + 28087.50ns INFO [00028089] * RD COMPARE * port=1 adr=06 act=5E8FE838C715368CD9 exp=5E8FE838C715368CD9 + 28087.50ns INFO [00028089] Port=0 WR @04=CC82FFD5533D35AB21 + 28091.50ns INFO [00028093] Port=0 WR @03=CBB5BD9C76496EA027 + 28092.50ns INFO [00028094] Port=0 WR @05=EC75F50283F7764037 + 28092.50ns INFO [00028094] Port=0 RD @01 + 28092.50ns INFO [00028094] Port=1 RD @07 + 28093.50ns INFO [00028095] Port=0 WR @00=28DA82C26E6328C9B3 + 28094.50ns INFO [00028096] * RD COMPARE * port=0 adr=01 act=6BB66C1C9A340D048C exp=6BB66C1C9A340D048C + 28094.50ns INFO [00028096] * RD COMPARE * port=1 adr=07 act=EB707FAB61E8552EE6 exp=EB707FAB61E8552EE6 + 28094.50ns INFO [00028096] Port=0 WR @07=3C0A8ACCDB056FB80A + 28095.50ns INFO [00028097] Port=0 WR @06=79824CCB81616A8D96 + 28095.50ns INFO [00028097] Port=1 RD @03 + 28097.50ns INFO [00028099] * RD COMPARE * port=1 adr=03 act=CBB5BD9C76496EA027 exp=CBB5BD9C76496EA027 + 28097.50ns INFO [00028099] Port=1 RD @02 + 28098.00ns INFO [00028100] [00028100] ...tick... + 28098.50ns INFO [00028100] Port=0 WR @02=55047BBBC4C469A512 + 28099.50ns INFO [00028101] * RD COMPARE * port=1 adr=02 act=D9D882272307A9A1F9 exp=D9D882272307A9A1F9 + 28099.50ns INFO [00028101] Port=0 RD @06 + 28100.50ns INFO [00028102] Port=0 WR @02=C18271E4B2DF851A61 + 28101.50ns INFO [00028103] * RD COMPARE * port=0 adr=06 act=79824CCB81616A8D96 exp=79824CCB81616A8D96 + 28102.50ns INFO [00028104] Port=0 RD @06 + 28103.50ns INFO [00028105] Port=0 WR @07=9C945CC447A5F4473A + 28104.50ns INFO [00028106] * RD COMPARE * port=0 adr=06 act=79824CCB81616A8D96 exp=79824CCB81616A8D96 + 28104.50ns INFO [00028106] Port=0 RD @01 + 28104.50ns INFO [00028106] Port=1 RD @07 + 28106.50ns INFO [00028108] * RD COMPARE * port=0 adr=01 act=6BB66C1C9A340D048C exp=6BB66C1C9A340D048C + 28106.50ns INFO [00028108] * RD COMPARE * port=1 adr=07 act=9C945CC447A5F4473A exp=9C945CC447A5F4473A + 28107.50ns INFO [00028109] Port=0 WR @06=42D7EEF9B05848F968 + 28107.50ns INFO [00028109] Port=1 RD @04 + 28108.50ns INFO [00028110] Port=0 WR @00=759923777B80819A3D + 28108.50ns INFO [00028110] Port=1 RD @06 + 28109.50ns INFO [00028111] * RD COMPARE * port=1 adr=04 act=CC82FFD5533D35AB21 exp=CC82FFD5533D35AB21 + 28110.50ns INFO [00028112] * RD COMPARE * port=1 adr=06 act=42D7EEF9B05848F968 exp=42D7EEF9B05848F968 + 28110.50ns INFO [00028112] Port=0 RD @04 + 28110.50ns INFO [00028112] Port=1 RD @07 + 28111.50ns INFO [00028113] Port=0 RD @06 + 28112.50ns INFO [00028114] * RD COMPARE * port=0 adr=04 act=CC82FFD5533D35AB21 exp=CC82FFD5533D35AB21 + 28112.50ns INFO [00028114] * RD COMPARE * port=1 adr=07 act=9C945CC447A5F4473A exp=9C945CC447A5F4473A + 28112.50ns INFO [00028114] Port=0 WR @07=F028CCF3C0232BA141 + 28112.50ns INFO [00028114] Port=1 RD @02 + 28113.50ns INFO [00028115] * RD COMPARE * port=0 adr=06 act=42D7EEF9B05848F968 exp=42D7EEF9B05848F968 + 28114.50ns INFO [00028116] * RD COMPARE * port=1 adr=02 act=C18271E4B2DF851A61 exp=C18271E4B2DF851A61 + 28114.50ns INFO [00028116] Port=1 RD @06 + 28115.50ns INFO [00028117] Port=0 WR @05=670897360C7C509281 + 28116.50ns INFO [00028118] * RD COMPARE * port=1 adr=06 act=42D7EEF9B05848F968 exp=42D7EEF9B05848F968 + 28116.50ns INFO [00028118] Port=0 WR @00=465414B3A0EED05348 + 28116.50ns INFO [00028118] Port=0 RD @06 + 28116.50ns INFO [00028118] Port=1 RD @05 + 28118.50ns INFO [00028120] * RD COMPARE * port=0 adr=06 act=42D7EEF9B05848F968 exp=42D7EEF9B05848F968 + 28118.50ns INFO [00028120] * RD COMPARE * port=1 adr=05 act=670897360C7C509281 exp=670897360C7C509281 + 28119.50ns INFO [00028121] Port=0 RD @02 + 28120.50ns INFO [00028122] Port=1 RD @07 + 28121.50ns INFO [00028123] * RD COMPARE * port=0 adr=02 act=C18271E4B2DF851A61 exp=C18271E4B2DF851A61 + 28121.50ns INFO [00028123] Port=0 RD @00 + 28122.50ns INFO [00028124] * RD COMPARE * port=1 adr=07 act=F028CCF3C0232BA141 exp=F028CCF3C0232BA141 + 28122.50ns INFO [00028124] Port=1 RD @06 + 28123.50ns INFO [00028125] * RD COMPARE * port=0 adr=00 act=465414B3A0EED05348 exp=465414B3A0EED05348 + 28123.50ns INFO [00028125] Port=0 WR @05=486CDC31B02932DBA3 + 28124.50ns INFO [00028126] * RD COMPARE * port=1 adr=06 act=42D7EEF9B05848F968 exp=42D7EEF9B05848F968 + 28125.50ns INFO [00028127] Port=0 WR @04=5048612A6974AAEB8A + 28125.50ns INFO [00028127] Port=0 RD @01 + 28125.50ns INFO [00028127] Port=1 RD @07 + 28126.50ns INFO [00028128] Port=0 WR @03=49A12B5BF7DF03CB9E + 28127.50ns INFO [00028129] * RD COMPARE * port=0 adr=01 act=6BB66C1C9A340D048C exp=6BB66C1C9A340D048C + 28127.50ns INFO [00028129] * RD COMPARE * port=1 adr=07 act=F028CCF3C0232BA141 exp=F028CCF3C0232BA141 + 28127.50ns INFO [00028129] Port=0 RD @05 + 28128.50ns INFO [00028130] Port=0 WR @02=AE5647D839A473BB30 + 28128.50ns INFO [00028130] Port=1 RD @03 + 28129.50ns INFO [00028131] * RD COMPARE * port=0 adr=05 act=486CDC31B02932DBA3 exp=486CDC31B02932DBA3 + 28129.50ns INFO [00028131] Port=0 WR @05=2F5395E3866B026128 + 28129.50ns INFO [00028131] Port=0 RD @06 + 28130.50ns INFO [00028132] * RD COMPARE * port=1 adr=03 act=49A12B5BF7DF03CB9E exp=49A12B5BF7DF03CB9E + 28131.50ns INFO [00028133] * RD COMPARE * port=0 adr=06 act=42D7EEF9B05848F968 exp=42D7EEF9B05848F968 + 28131.50ns INFO [00028133] Port=0 WR @00=0B2F37F18FFBC1598F + 28131.50ns INFO [00028133] Port=1 RD @07 + 28132.50ns INFO [00028134] Port=0 RD @03 + 28133.50ns INFO [00028135] * RD COMPARE * port=1 adr=07 act=F028CCF3C0232BA141 exp=F028CCF3C0232BA141 + 28133.50ns INFO [00028135] Port=0 WR @03=209CCCDF263349C65F + 28133.50ns INFO [00028135] Port=1 RD @05 + 28134.50ns INFO [00028136] * RD COMPARE * port=0 adr=03 act=49A12B5BF7DF03CB9E exp=49A12B5BF7DF03CB9E + 28134.50ns INFO [00028136] Port=0 WR @06=05A83851BCFD29E1B7 + 28135.50ns INFO [00028137] * RD COMPARE * port=1 adr=05 act=2F5395E3866B026128 exp=2F5395E3866B026128 + 28135.50ns INFO [00028137] Port=0 WR @00=5BE132B6A4490B6140 + 28135.50ns INFO [00028137] Port=1 RD @06 + 28136.50ns INFO [00028138] Port=0 WR @06=FD45234F4F6789161F + 28136.50ns INFO [00028138] Port=1 RD @01 + 28137.50ns INFO [00028139] * RD COMPARE * port=1 adr=06 act=05A83851BCFD29E1B7 exp=05A83851BCFD29E1B7 + 28137.50ns INFO [00028139] Port=0 RD @00 + 28137.50ns INFO [00028139] Port=1 RD @02 + 28138.50ns INFO [00028140] * RD COMPARE * port=1 adr=01 act=6BB66C1C9A340D048C exp=6BB66C1C9A340D048C + 28138.50ns INFO [00028140] Port=0 RD @02 + 28139.50ns INFO [00028141] * RD COMPARE * port=0 adr=00 act=5BE132B6A4490B6140 exp=5BE132B6A4490B6140 + 28139.50ns INFO [00028141] * RD COMPARE * port=1 adr=02 act=AE5647D839A473BB30 exp=AE5647D839A473BB30 + 28139.50ns INFO [00028141] Port=0 WR @07=DAE7484D57331FE8EE + 28139.50ns INFO [00028141] Port=0 RD @03 + 28140.50ns INFO [00028142] * RD COMPARE * port=0 adr=02 act=AE5647D839A473BB30 exp=AE5647D839A473BB30 + 28141.50ns INFO [00028143] * RD COMPARE * port=0 adr=03 act=209CCCDF263349C65F exp=209CCCDF263349C65F + 28141.50ns INFO [00028143] Port=0 RD @07 + 28143.50ns INFO [00028145] * RD COMPARE * port=0 adr=07 act=DAE7484D57331FE8EE exp=DAE7484D57331FE8EE + 28144.50ns INFO [00028146] Port=0 WR @05=C1DC94600247F842BA + 28144.50ns INFO [00028146] Port=0 RD @07 + 28145.50ns INFO [00028147] Port=0 WR @05=B0E9CD72CF2A00B891 + 28145.50ns INFO [00028147] Port=1 RD @04 + 28146.50ns INFO [00028148] * RD COMPARE * port=0 adr=07 act=DAE7484D57331FE8EE exp=DAE7484D57331FE8EE + 28146.50ns INFO [00028148] Port=1 RD @05 + 28147.50ns INFO [00028149] * RD COMPARE * port=1 adr=04 act=5048612A6974AAEB8A exp=5048612A6974AAEB8A + 28147.50ns INFO [00028149] Port=0 WR @02=E24D8894B69DA5517D + 28147.50ns INFO [00028149] Port=0 RD @06 + 28147.50ns INFO [00028149] Port=1 RD @04 + 28148.50ns INFO [00028150] * RD COMPARE * port=1 adr=05 act=B0E9CD72CF2A00B891 exp=B0E9CD72CF2A00B891 + 28148.50ns INFO [00028150] Port=0 WR @05=BF223E5AFA69C89753 + 28148.50ns INFO [00028150] Port=0 RD @07 + 28148.50ns INFO [00028150] Port=1 RD @00 + 28149.50ns INFO [00028151] * RD COMPARE * port=0 adr=06 act=FD45234F4F6789161F exp=FD45234F4F6789161F + 28149.50ns INFO [00028151] * RD COMPARE * port=1 adr=04 act=5048612A6974AAEB8A exp=5048612A6974AAEB8A + 28149.50ns INFO [00028151] Port=0 WR @00=5B79E5DA79577441C9 + 28149.50ns INFO [00028151] Port=0 RD @06 + 28149.50ns INFO [00028151] Port=1 RD @07 + 28150.50ns INFO [00028152] * RD COMPARE * port=0 adr=07 act=DAE7484D57331FE8EE exp=DAE7484D57331FE8EE + 28150.50ns INFO [00028152] * RD COMPARE * port=1 adr=00 act=5BE132B6A4490B6140 exp=5BE132B6A4490B6140 + 28150.50ns INFO [00028152] Port=0 RD @07 + 28151.50ns INFO [00028153] * RD COMPARE * port=0 adr=06 act=FD45234F4F6789161F exp=FD45234F4F6789161F + 28151.50ns INFO [00028153] * RD COMPARE * port=1 adr=07 act=DAE7484D57331FE8EE exp=DAE7484D57331FE8EE + 28152.50ns INFO [00028154] * RD COMPARE * port=0 adr=07 act=DAE7484D57331FE8EE exp=DAE7484D57331FE8EE + 28152.50ns INFO [00028154] Port=0 WR @04=ED3C0B1418B720F8C9 + 28152.50ns INFO [00028154] Port=0 RD @06 + 28152.50ns INFO [00028154] Port=1 RD @05 + 28153.50ns INFO [00028155] Port=1 RD @05 + 28154.50ns INFO [00028156] * RD COMPARE * port=0 adr=06 act=FD45234F4F6789161F exp=FD45234F4F6789161F + 28154.50ns INFO [00028156] * RD COMPARE * port=1 adr=05 act=BF223E5AFA69C89753 exp=BF223E5AFA69C89753 + 28155.50ns INFO [00028157] * RD COMPARE * port=1 adr=05 act=BF223E5AFA69C89753 exp=BF223E5AFA69C89753 + 28155.50ns INFO [00028157] Port=1 RD @07 + 28156.50ns INFO [00028158] Port=0 WR @07=06432093F2190FA588 + 28156.50ns INFO [00028158] Port=1 RD @06 + 28157.50ns INFO [00028159] * RD COMPARE * port=1 adr=07 act=DAE7484D57331FE8EE exp=DAE7484D57331FE8EE + 28157.50ns INFO [00028159] Port=0 WR @05=32FE7EEC086FD89FBE + 28157.50ns INFO [00028159] Port=0 RD @04 + 28157.50ns INFO [00028159] Port=1 RD @07 + 28158.50ns INFO [00028160] * RD COMPARE * port=1 adr=06 act=FD45234F4F6789161F exp=FD45234F4F6789161F + 28158.50ns INFO [00028160] Port=0 WR @01=099C56B6B4041E4BCA + 28158.50ns INFO [00028160] Port=0 RD @05 + 28158.50ns INFO [00028160] Port=1 RD @05 + 28159.50ns INFO [00028161] * RD COMPARE * port=0 adr=04 act=ED3C0B1418B720F8C9 exp=ED3C0B1418B720F8C9 + 28159.50ns INFO [00028161] * RD COMPARE * port=1 adr=07 act=06432093F2190FA588 exp=06432093F2190FA588 + 28160.50ns INFO [00028162] * RD COMPARE * port=0 adr=05 act=32FE7EEC086FD89FBE exp=32FE7EEC086FD89FBE + 28160.50ns INFO [00028162] * RD COMPARE * port=1 adr=05 act=32FE7EEC086FD89FBE exp=32FE7EEC086FD89FBE + 28160.50ns INFO [00028162] Port=0 WR @04=427CAA992A417DEFBD + 28161.50ns INFO [00028163] Port=0 RD @05 + 28161.50ns INFO [00028163] Port=1 RD @07 + 28162.50ns INFO [00028164] Port=1 RD @02 + 28163.50ns INFO [00028165] * RD COMPARE * port=0 adr=05 act=32FE7EEC086FD89FBE exp=32FE7EEC086FD89FBE + 28163.50ns INFO [00028165] * RD COMPARE * port=1 adr=07 act=06432093F2190FA588 exp=06432093F2190FA588 + 28163.50ns INFO [00028165] Port=0 WR @03=5D8134C39D3291BF18 + 28164.50ns INFO [00028166] * RD COMPARE * port=1 adr=02 act=E24D8894B69DA5517D exp=E24D8894B69DA5517D + 28164.50ns INFO [00028166] Port=0 WR @00=4987E467B97691FE6D + 28164.50ns INFO [00028166] Port=1 RD @03 + 28165.50ns INFO [00028167] Port=0 RD @04 + 28166.50ns INFO [00028168] * RD COMPARE * port=1 adr=03 act=5D8134C39D3291BF18 exp=5D8134C39D3291BF18 + 28166.50ns INFO [00028168] Port=0 RD @03 + 28166.50ns INFO [00028168] Port=1 RD @05 + 28167.50ns INFO [00028169] * RD COMPARE * port=0 adr=04 act=427CAA992A417DEFBD exp=427CAA992A417DEFBD + 28167.50ns INFO [00028169] Port=0 RD @07 + 28167.50ns INFO [00028169] Port=1 RD @05 + 28168.50ns INFO [00028170] * RD COMPARE * port=0 adr=03 act=5D8134C39D3291BF18 exp=5D8134C39D3291BF18 + 28168.50ns INFO [00028170] * RD COMPARE * port=1 adr=05 act=32FE7EEC086FD89FBE exp=32FE7EEC086FD89FBE + 28169.50ns INFO [00028171] * RD COMPARE * port=0 adr=07 act=06432093F2190FA588 exp=06432093F2190FA588 + 28169.50ns INFO [00028171] * RD COMPARE * port=1 adr=05 act=32FE7EEC086FD89FBE exp=32FE7EEC086FD89FBE + 28169.50ns INFO [00028171] Port=0 WR @02=32C788024080C47D83 + 28169.50ns INFO [00028171] Port=0 RD @00 + 28171.50ns INFO [00028173] * RD COMPARE * port=0 adr=00 act=4987E467B97691FE6D exp=4987E467B97691FE6D + 28172.50ns INFO [00028174] Port=0 WR @01=81F975D286E97434FD + 28172.50ns INFO [00028174] Port=0 RD @06 + 28173.50ns INFO [00028175] Port=0 WR @04=253C4E61CDED5EC1FF + 28173.50ns INFO [00028175] Port=1 RD @07 + 28174.50ns INFO [00028176] * RD COMPARE * port=0 adr=06 act=FD45234F4F6789161F exp=FD45234F4F6789161F + 28174.50ns INFO [00028176] Port=0 RD @01 + 28175.50ns INFO [00028177] * RD COMPARE * port=1 adr=07 act=06432093F2190FA588 exp=06432093F2190FA588 + 28175.50ns INFO [00028177] Port=0 WR @01=76BFC773D6AB578266 + 28175.50ns INFO [00028177] Port=0 RD @06 + 28175.50ns INFO [00028177] Port=1 RD @00 + 28176.50ns INFO [00028178] * RD COMPARE * port=0 adr=01 act=81F975D286E97434FD exp=81F975D286E97434FD + 28176.50ns INFO [00028178] Port=0 WR @04=218D98C2155B77F98D + 28177.50ns INFO [00028179] * RD COMPARE * port=0 adr=06 act=FD45234F4F6789161F exp=FD45234F4F6789161F + 28177.50ns INFO [00028179] * RD COMPARE * port=1 adr=00 act=4987E467B97691FE6D exp=4987E467B97691FE6D + 28177.50ns INFO [00028179] Port=0 RD @01 + 28178.50ns INFO [00028180] Port=1 RD @03 + 28179.50ns INFO [00028181] * RD COMPARE * port=0 adr=01 act=76BFC773D6AB578266 exp=76BFC773D6AB578266 + 28179.50ns INFO [00028181] Port=0 WR @07=403661691625A8F383 + 28179.50ns INFO [00028181] Port=0 RD @02 + 28179.50ns INFO [00028181] Port=1 RD @02 + 28180.50ns INFO [00028182] * RD COMPARE * port=1 adr=03 act=5D8134C39D3291BF18 exp=5D8134C39D3291BF18 + 28181.50ns INFO [00028183] * RD COMPARE * port=0 adr=02 act=32C788024080C47D83 exp=32C788024080C47D83 + 28181.50ns INFO [00028183] * RD COMPARE * port=1 adr=02 act=32C788024080C47D83 exp=32C788024080C47D83 + 28181.50ns INFO [00028183] Port=1 RD @07 + 28182.50ns INFO [00028184] Port=1 RD @00 + 28183.50ns INFO [00028185] * RD COMPARE * port=1 adr=07 act=403661691625A8F383 exp=403661691625A8F383 + 28183.50ns INFO [00028185] Port=0 WR @04=76CEADBA91BC246CB6 + 28183.50ns INFO [00028185] Port=1 RD @00 + 28184.50ns INFO [00028186] * RD COMPARE * port=1 adr=00 act=4987E467B97691FE6D exp=4987E467B97691FE6D + 28184.50ns INFO [00028186] Port=0 WR @00=62B93A3FA8490B0558 + 28184.50ns INFO [00028186] Port=0 RD @05 + 28185.50ns INFO [00028187] * RD COMPARE * port=1 adr=00 act=4987E467B97691FE6D exp=4987E467B97691FE6D + 28186.50ns INFO [00028188] * RD COMPARE * port=0 adr=05 act=32FE7EEC086FD89FBE exp=32FE7EEC086FD89FBE + 28186.50ns INFO [00028188] Port=0 WR @02=8ABA93A3F2CFB2DE3D + 28186.50ns INFO [00028188] Port=1 RD @06 + 28187.50ns INFO [00028189] Port=0 WR @00=76B995145E2418500A + 28187.50ns INFO [00028189] Port=1 RD @07 + 28188.50ns INFO [00028190] * RD COMPARE * port=1 adr=06 act=FD45234F4F6789161F exp=FD45234F4F6789161F + 28188.50ns INFO [00028190] Port=0 WR @04=2FC5AFB44BD4F547E3 + 28188.50ns INFO [00028190] Port=0 RD @07 + 28189.50ns INFO [00028191] * RD COMPARE * port=1 adr=07 act=403661691625A8F383 exp=403661691625A8F383 + 28189.50ns INFO [00028191] Port=0 RD @02 + 28190.50ns INFO [00028192] * RD COMPARE * port=0 adr=07 act=403661691625A8F383 exp=403661691625A8F383 + 28190.50ns INFO [00028192] Port=0 WR @01=EEC91F2544225B6854 + 28191.50ns INFO [00028193] * RD COMPARE * port=0 adr=02 act=8ABA93A3F2CFB2DE3D exp=8ABA93A3F2CFB2DE3D + 28191.50ns INFO [00028193] Port=0 WR @03=BBD4D5B57199F2CF4C + 28191.50ns INFO [00028193] Port=1 RD @01 + 28193.50ns INFO [00028195] * RD COMPARE * port=1 adr=01 act=EEC91F2544225B6854 exp=EEC91F2544225B6854 + 28193.50ns INFO [00028195] Port=0 RD @01 + 28193.50ns INFO [00028195] Port=1 RD @00 + 28195.50ns INFO [00028197] * RD COMPARE * port=0 adr=01 act=EEC91F2544225B6854 exp=EEC91F2544225B6854 + 28195.50ns INFO [00028197] * RD COMPARE * port=1 adr=00 act=76B995145E2418500A exp=76B995145E2418500A + 28195.50ns INFO [00028197] Port=0 WR @05=920E7322BB035CFF2E + 28196.50ns INFO [00028198] Port=0 WR @00=F322B58C250707E3E1 + 28197.50ns INFO [00028199] Port=0 WR @06=77F10A35336D6A62D3 + 28197.50ns INFO [00028199] Port=0 RD @03 + 28197.50ns INFO [00028199] Port=1 RD @00 + 28198.00ns INFO [00028200] [00028200] ...tick... + 28199.50ns INFO [00028201] * RD COMPARE * port=0 adr=03 act=BBD4D5B57199F2CF4C exp=BBD4D5B57199F2CF4C + 28199.50ns INFO [00028201] * RD COMPARE * port=1 adr=00 act=F322B58C250707E3E1 exp=F322B58C250707E3E1 + 28199.50ns INFO [00028201] Port=0 WR @05=714C4FFF7014EE5303 + 28199.50ns INFO [00028201] Port=0 RD @03 + 28199.50ns INFO [00028201] Port=1 RD @02 + 28200.50ns INFO [00028202] Port=1 RD @05 + 28201.50ns INFO [00028203] * RD COMPARE * port=0 adr=03 act=BBD4D5B57199F2CF4C exp=BBD4D5B57199F2CF4C + 28201.50ns INFO [00028203] * RD COMPARE * port=1 adr=02 act=8ABA93A3F2CFB2DE3D exp=8ABA93A3F2CFB2DE3D + 28201.50ns INFO [00028203] Port=0 WR @00=7BF4B5DFA43E35B988 + 28201.50ns INFO [00028203] Port=0 RD @07 + 28201.50ns INFO [00028203] Port=1 RD @02 + 28202.50ns INFO [00028204] * RD COMPARE * port=1 adr=05 act=714C4FFF7014EE5303 exp=714C4FFF7014EE5303 + 28202.50ns INFO [00028204] Port=0 WR @03=CA744D7EC9888A60B0 + 28202.50ns INFO [00028204] Port=1 RD @04 + 28203.50ns INFO [00028205] * RD COMPARE * port=0 adr=07 act=403661691625A8F383 exp=403661691625A8F383 + 28203.50ns INFO [00028205] * RD COMPARE * port=1 adr=02 act=8ABA93A3F2CFB2DE3D exp=8ABA93A3F2CFB2DE3D + 28203.50ns INFO [00028205] Port=0 WR @07=D463DEF6FA43D13931 + 28203.50ns INFO [00028205] Port=1 RD @04 + 28204.50ns INFO [00028206] * RD COMPARE * port=1 adr=04 act=2FC5AFB44BD4F547E3 exp=2FC5AFB44BD4F547E3 + 28204.50ns INFO [00028206] Port=0 WR @02=35D288B6C22019FA31 + 28204.50ns INFO [00028206] Port=0 RD @00 + 28205.50ns INFO [00028207] * RD COMPARE * port=1 adr=04 act=2FC5AFB44BD4F547E3 exp=2FC5AFB44BD4F547E3 + 28205.50ns INFO [00028207] Port=1 RD @01 + 28206.50ns INFO [00028208] * RD COMPARE * port=0 adr=00 act=7BF4B5DFA43E35B988 exp=7BF4B5DFA43E35B988 + 28206.50ns INFO [00028208] Port=0 WR @06=F3038D8F2E77507F40 + 28207.50ns INFO [00028209] * RD COMPARE * port=1 adr=01 act=EEC91F2544225B6854 exp=EEC91F2544225B6854 + 28207.50ns INFO [00028209] Port=0 RD @07 + 28207.50ns INFO [00028209] Port=1 RD @05 + 28208.50ns INFO [00028210] Port=0 WR @02=495D4339959EA3B2CB + 28208.50ns INFO [00028210] Port=0 RD @06 + 28208.50ns INFO [00028210] Port=1 RD @07 + 28209.50ns INFO [00028211] * RD COMPARE * port=0 adr=07 act=D463DEF6FA43D13931 exp=D463DEF6FA43D13931 + 28209.50ns INFO [00028211] * RD COMPARE * port=1 adr=05 act=714C4FFF7014EE5303 exp=714C4FFF7014EE5303 + 28209.50ns INFO [00028211] Port=0 RD @06 + 28209.50ns INFO [00028211] Port=1 RD @01 + 28210.50ns INFO [00028212] * RD COMPARE * port=0 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28210.50ns INFO [00028212] * RD COMPARE * port=1 adr=07 act=D463DEF6FA43D13931 exp=D463DEF6FA43D13931 + 28210.50ns INFO [00028212] Port=0 WR @03=A4D8063642474688E8 + 28210.50ns INFO [00028212] Port=1 RD @01 + 28211.50ns INFO [00028213] * RD COMPARE * port=0 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28211.50ns INFO [00028213] * RD COMPARE * port=1 adr=01 act=EEC91F2544225B6854 exp=EEC91F2544225B6854 + 28211.50ns INFO [00028213] Port=0 WR @02=D05FA09D841C42D69C + 28212.50ns INFO [00028214] * RD COMPARE * port=1 adr=01 act=EEC91F2544225B6854 exp=EEC91F2544225B6854 + 28212.50ns INFO [00028214] Port=0 RD @04 + 28212.50ns INFO [00028214] Port=1 RD @03 + 28213.50ns INFO [00028215] Port=0 WR @07=AFE285974C2108C4DE + 28213.50ns INFO [00028215] Port=0 RD @03 + 28213.50ns INFO [00028215] Port=1 RD @04 + 28214.50ns INFO [00028216] * RD COMPARE * port=0 adr=04 act=2FC5AFB44BD4F547E3 exp=2FC5AFB44BD4F547E3 + 28214.50ns INFO [00028216] * RD COMPARE * port=1 adr=03 act=A4D8063642474688E8 exp=A4D8063642474688E8 + 28214.50ns INFO [00028216] Port=1 RD @04 + 28215.50ns INFO [00028217] * RD COMPARE * port=0 adr=03 act=A4D8063642474688E8 exp=A4D8063642474688E8 + 28215.50ns INFO [00028217] * RD COMPARE * port=1 adr=04 act=2FC5AFB44BD4F547E3 exp=2FC5AFB44BD4F547E3 + 28215.50ns INFO [00028217] Port=1 RD @06 + 28216.50ns INFO [00028218] * RD COMPARE * port=1 adr=04 act=2FC5AFB44BD4F547E3 exp=2FC5AFB44BD4F547E3 + 28216.50ns INFO [00028218] Port=0 WR @00=74AE431CC2CA95214B + 28217.50ns INFO [00028219] * RD COMPARE * port=1 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28217.50ns INFO [00028219] Port=0 WR @03=5C1AD5B6A00A5D777D + 28217.50ns INFO [00028219] Port=0 RD @07 + 28218.50ns INFO [00028220] Port=1 RD @07 + 28219.50ns INFO [00028221] * RD COMPARE * port=0 adr=07 act=AFE285974C2108C4DE exp=AFE285974C2108C4DE + 28219.50ns INFO [00028221] Port=0 RD @00 + 28220.50ns INFO [00028222] * RD COMPARE * port=1 adr=07 act=AFE285974C2108C4DE exp=AFE285974C2108C4DE + 28220.50ns INFO [00028222] Port=0 WR @03=A860B4A633654A3BD9 + 28220.50ns INFO [00028222] Port=0 RD @04 + 28221.50ns INFO [00028223] * RD COMPARE * port=0 adr=00 act=74AE431CC2CA95214B exp=74AE431CC2CA95214B + 28221.50ns INFO [00028223] Port=0 RD @06 + 28222.50ns INFO [00028224] * RD COMPARE * port=0 adr=04 act=2FC5AFB44BD4F547E3 exp=2FC5AFB44BD4F547E3 + 28222.50ns INFO [00028224] Port=0 WR @01=A58891922172369F39 + 28223.50ns INFO [00028225] * RD COMPARE * port=0 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28223.50ns INFO [00028225] Port=1 RD @06 + 28225.50ns INFO [00028227] * RD COMPARE * port=1 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28225.50ns INFO [00028227] Port=1 RD @01 + 28226.50ns INFO [00028228] Port=1 RD @06 + 28227.50ns INFO [00028229] * RD COMPARE * port=1 adr=01 act=A58891922172369F39 exp=A58891922172369F39 + 28228.50ns INFO [00028230] * RD COMPARE * port=1 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28228.50ns INFO [00028230] Port=0 RD @00 + 28230.50ns INFO [00028232] * RD COMPARE * port=0 adr=00 act=74AE431CC2CA95214B exp=74AE431CC2CA95214B + 28230.50ns INFO [00028232] Port=0 RD @07 + 28230.50ns INFO [00028232] Port=1 RD @06 + 28231.50ns INFO [00028233] Port=1 RD @00 + 28232.50ns INFO [00028234] * RD COMPARE * port=0 adr=07 act=AFE285974C2108C4DE exp=AFE285974C2108C4DE + 28232.50ns INFO [00028234] * RD COMPARE * port=1 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28232.50ns INFO [00028234] Port=0 RD @06 + 28233.50ns INFO [00028235] * RD COMPARE * port=1 adr=00 act=74AE431CC2CA95214B exp=74AE431CC2CA95214B + 28233.50ns INFO [00028235] Port=0 RD @00 + 28234.50ns INFO [00028236] * RD COMPARE * port=0 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28234.50ns INFO [00028236] Port=0 RD @02 + 28235.50ns INFO [00028237] * RD COMPARE * port=0 adr=00 act=74AE431CC2CA95214B exp=74AE431CC2CA95214B + 28235.50ns INFO [00028237] Port=0 RD @07 + 28235.50ns INFO [00028237] Port=1 RD @06 + 28236.50ns INFO [00028238] * RD COMPARE * port=0 adr=02 act=D05FA09D841C42D69C exp=D05FA09D841C42D69C + 28236.50ns INFO [00028238] Port=0 WR @04=262097F7165238112C + 28236.50ns INFO [00028238] Port=0 RD @07 + 28236.50ns INFO [00028238] Port=1 RD @03 + 28237.50ns INFO [00028239] * RD COMPARE * port=0 adr=07 act=AFE285974C2108C4DE exp=AFE285974C2108C4DE + 28237.50ns INFO [00028239] * RD COMPARE * port=1 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28237.50ns INFO [00028239] Port=0 WR @03=90FE3AF9F871722EC4 + 28238.50ns INFO [00028240] * RD COMPARE * port=0 adr=07 act=AFE285974C2108C4DE exp=AFE285974C2108C4DE + 28238.50ns INFO [00028240] * RD COMPARE * port=1 adr=03 act=A860B4A633654A3BD9 exp=A860B4A633654A3BD9 + 28238.50ns INFO [00028240] Port=0 RD @05 + 28238.50ns INFO [00028240] Port=1 RD @03 + 28240.50ns INFO [00028242] * RD COMPARE * port=0 adr=05 act=714C4FFF7014EE5303 exp=714C4FFF7014EE5303 + 28240.50ns INFO [00028242] * RD COMPARE * port=1 adr=03 act=90FE3AF9F871722EC4 exp=90FE3AF9F871722EC4 + 28240.50ns INFO [00028242] Port=1 RD @00 + 28241.50ns INFO [00028243] Port=0 RD @04 + 28242.50ns INFO [00028244] * RD COMPARE * port=1 adr=00 act=74AE431CC2CA95214B exp=74AE431CC2CA95214B + 28242.50ns INFO [00028244] Port=0 WR @07=C1B1423D5707C8AEE7 + 28242.50ns INFO [00028244] Port=0 RD @04 + 28243.50ns INFO [00028245] * RD COMPARE * port=0 adr=04 act=262097F7165238112C exp=262097F7165238112C + 28243.50ns INFO [00028245] Port=0 WR @07=FCDCC791A016638AFE + 28244.50ns INFO [00028246] * RD COMPARE * port=0 adr=04 act=262097F7165238112C exp=262097F7165238112C + 28244.50ns INFO [00028246] Port=0 RD @03 + 28245.50ns INFO [00028247] Port=1 RD @06 + 28246.50ns INFO [00028248] * RD COMPARE * port=0 adr=03 act=90FE3AF9F871722EC4 exp=90FE3AF9F871722EC4 + 28246.50ns INFO [00028248] Port=0 RD @03 + 28246.50ns INFO [00028248] Port=1 RD @01 + 28247.50ns INFO [00028249] * RD COMPARE * port=1 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28248.50ns INFO [00028250] * RD COMPARE * port=0 adr=03 act=90FE3AF9F871722EC4 exp=90FE3AF9F871722EC4 + 28248.50ns INFO [00028250] * RD COMPARE * port=1 adr=01 act=A58891922172369F39 exp=A58891922172369F39 + 28248.50ns INFO [00028250] Port=0 WR @01=F3C49F7A356E18E402 + 28248.50ns INFO [00028250] Port=0 RD @06 + 28249.50ns INFO [00028251] Port=0 WR @07=E44EBBCBC14A33DC8F + 28250.50ns INFO [00028252] * RD COMPARE * port=0 adr=06 act=F3038D8F2E77507F40 exp=F3038D8F2E77507F40 + 28250.50ns INFO [00028252] Port=0 WR @04=A365EC0CA35DBD97AF + 28251.50ns INFO [00028253] Port=0 WR @03=A711448FF247A9FBD0 + 28251.50ns INFO [00028253] Port=0 RD @01 + 28253.50ns INFO [00028255] * RD COMPARE * port=0 adr=01 act=F3C49F7A356E18E402 exp=F3C49F7A356E18E402 + 28253.50ns INFO [00028255] Port=0 RD @01 + 28254.50ns INFO [00028256] Port=0 RD @00 + 28255.50ns INFO [00028257] * RD COMPARE * port=0 adr=01 act=F3C49F7A356E18E402 exp=F3C49F7A356E18E402 + 28255.50ns INFO [00028257] Port=1 RD @03 + 28256.50ns INFO [00028258] * RD COMPARE * port=0 adr=00 act=74AE431CC2CA95214B exp=74AE431CC2CA95214B + 28256.50ns INFO [00028258] Port=0 WR @06=CF214C81222DD597EB + 28256.50ns INFO [00028258] Port=1 RD @02 + 28257.50ns INFO [00028259] * RD COMPARE * port=1 adr=03 act=A711448FF247A9FBD0 exp=A711448FF247A9FBD0 + 28257.50ns INFO [00028259] Port=0 WR @07=5B6E47ECB5D7B9410D + 28257.50ns INFO [00028259] Port=0 RD @05 + 28258.50ns INFO [00028260] * RD COMPARE * port=1 adr=02 act=D05FA09D841C42D69C exp=D05FA09D841C42D69C + 28258.50ns INFO [00028260] Port=0 RD @06 + 28259.50ns INFO [00028261] * RD COMPARE * port=0 adr=05 act=714C4FFF7014EE5303 exp=714C4FFF7014EE5303 + 28259.50ns INFO [00028261] Port=1 RD @01 + 28260.50ns INFO [00028262] * RD COMPARE * port=0 adr=06 act=CF214C81222DD597EB exp=CF214C81222DD597EB + 28260.50ns INFO [00028262] Port=0 RD @04 + 28260.50ns INFO [00028262] Port=1 RD @01 + 28261.50ns INFO [00028263] * RD COMPARE * port=1 adr=01 act=F3C49F7A356E18E402 exp=F3C49F7A356E18E402 + 28261.50ns INFO [00028263] Port=0 WR @01=E380004AD324F214E6 + 28261.50ns INFO [00028263] Port=0 RD @05 + 28262.50ns INFO [00028264] * RD COMPARE * port=0 adr=04 act=A365EC0CA35DBD97AF exp=A365EC0CA35DBD97AF + 28262.50ns INFO [00028264] * RD COMPARE * port=1 adr=01 act=F3C49F7A356E18E402 exp=F3C49F7A356E18E402 + 28262.50ns INFO [00028264] Port=1 RD @05 + 28263.50ns INFO [00028265] * RD COMPARE * port=0 adr=05 act=714C4FFF7014EE5303 exp=714C4FFF7014EE5303 + 28263.50ns INFO [00028265] Port=1 RD @03 + 28264.50ns INFO [00028266] * RD COMPARE * port=1 adr=05 act=714C4FFF7014EE5303 exp=714C4FFF7014EE5303 + 28264.50ns INFO [00028266] Port=1 RD @04 + 28265.50ns INFO [00028267] * RD COMPARE * port=1 adr=03 act=A711448FF247A9FBD0 exp=A711448FF247A9FBD0 + 28265.50ns INFO [00028267] Port=1 RD @01 + 28266.50ns INFO [00028268] * RD COMPARE * port=1 adr=04 act=A365EC0CA35DBD97AF exp=A365EC0CA35DBD97AF + 28266.50ns INFO [00028268] Port=1 RD @00 + 28267.50ns INFO [00028269] * RD COMPARE * port=1 adr=01 act=E380004AD324F214E6 exp=E380004AD324F214E6 + 28267.50ns INFO [00028269] Port=1 RD @04 + 28268.50ns INFO [00028270] * RD COMPARE * port=1 adr=00 act=74AE431CC2CA95214B exp=74AE431CC2CA95214B + 28268.50ns INFO [00028270] Port=0 RD @07 + 28269.50ns INFO [00028271] * RD COMPARE * port=1 adr=04 act=A365EC0CA35DBD97AF exp=A365EC0CA35DBD97AF + 28270.50ns INFO [00028272] * RD COMPARE * port=0 adr=07 act=5B6E47ECB5D7B9410D exp=5B6E47ECB5D7B9410D + 28271.50ns INFO [00028273] Port=0 RD @06 + 28271.50ns INFO [00028273] Port=1 RD @02 + 28272.50ns INFO [00028274] Port=0 RD @05 + 28273.50ns INFO [00028275] * RD COMPARE * port=0 adr=06 act=CF214C81222DD597EB exp=CF214C81222DD597EB + 28273.50ns INFO [00028275] * RD COMPARE * port=1 adr=02 act=D05FA09D841C42D69C exp=D05FA09D841C42D69C + 28273.50ns INFO [00028275] Port=0 WR @07=E7F6E8C8E6BEF421EC + 28274.50ns INFO [00028276] * RD COMPARE * port=0 adr=05 act=714C4FFF7014EE5303 exp=714C4FFF7014EE5303 + 28274.50ns INFO [00028276] Port=0 RD @04 + 28274.50ns INFO [00028276] Port=1 RD @01 + 28276.50ns INFO [00028278] * RD COMPARE * port=0 adr=04 act=A365EC0CA35DBD97AF exp=A365EC0CA35DBD97AF + 28276.50ns INFO [00028278] * RD COMPARE * port=1 adr=01 act=E380004AD324F214E6 exp=E380004AD324F214E6 + 28276.50ns INFO [00028278] Port=0 WR @00=FA7AF7559F47158069 + 28276.50ns INFO [00028278] Port=0 RD @06 + 28276.50ns INFO [00028278] Port=1 RD @03 + 28278.50ns INFO [00028280] * RD COMPARE * port=0 adr=06 act=CF214C81222DD597EB exp=CF214C81222DD597EB + 28278.50ns INFO [00028280] * RD COMPARE * port=1 adr=03 act=A711448FF247A9FBD0 exp=A711448FF247A9FBD0 + 28278.50ns INFO [00028280] Port=0 WR @03=B844B1CBC0C175A8DE + 28279.50ns INFO [00028281] Port=0 WR @05=3836956CF3564F5E71 + 28279.50ns INFO [00028281] Port=1 RD @00 + 28280.50ns INFO [00028282] Port=1 RD @03 + 28281.50ns INFO [00028283] * RD COMPARE * port=1 adr=00 act=FA7AF7559F47158069 exp=FA7AF7559F47158069 + 28281.50ns INFO [00028283] Port=0 WR @05=9E6E8FFACA3330B948 + 28281.50ns INFO [00028283] Port=0 RD @00 + 28282.50ns INFO [00028284] * RD COMPARE * port=1 adr=03 act=B844B1CBC0C175A8DE exp=B844B1CBC0C175A8DE + 28283.50ns INFO [00028285] * RD COMPARE * port=0 adr=00 act=FA7AF7559F47158069 exp=FA7AF7559F47158069 + 28283.50ns INFO [00028285] Port=1 RD @05 + 28285.50ns INFO [00028287] * RD COMPARE * port=1 adr=05 act=9E6E8FFACA3330B948 exp=9E6E8FFACA3330B948 + 28285.50ns INFO [00028287] Port=0 WR @03=E07ED3DCAF719C1C7D + 28285.50ns INFO [00028287] Port=0 RD @06 + 28285.50ns INFO [00028287] Port=1 RD @01 + 28286.50ns INFO [00028288] Port=1 RD @02 + 28287.50ns INFO [00028289] * RD COMPARE * port=0 adr=06 act=CF214C81222DD597EB exp=CF214C81222DD597EB + 28287.50ns INFO [00028289] * RD COMPARE * port=1 adr=01 act=E380004AD324F214E6 exp=E380004AD324F214E6 + 28288.50ns INFO [00028290] * RD COMPARE * port=1 adr=02 act=D05FA09D841C42D69C exp=D05FA09D841C42D69C + 28288.50ns INFO [00028290] Port=0 WR @05=87A620E32D2A91F727 + 28288.50ns INFO [00028290] Port=0 RD @01 + 28289.50ns INFO [00028291] Port=0 WR @07=24E832033FC6CADEF2 + 28289.50ns INFO [00028291] Port=0 RD @02 + 28289.50ns INFO [00028291] Port=1 RD @02 + 28290.50ns INFO [00028292] * RD COMPARE * port=0 adr=01 act=E380004AD324F214E6 exp=E380004AD324F214E6 + 28290.50ns INFO [00028292] Port=0 RD @06 + 28290.50ns INFO [00028292] Port=1 RD @04 + 28291.50ns INFO [00028293] * RD COMPARE * port=0 adr=02 act=D05FA09D841C42D69C exp=D05FA09D841C42D69C + 28291.50ns INFO [00028293] * RD COMPARE * port=1 adr=02 act=D05FA09D841C42D69C exp=D05FA09D841C42D69C + 28291.50ns INFO [00028293] Port=0 RD @06 + 28292.50ns INFO [00028294] * RD COMPARE * port=0 adr=06 act=CF214C81222DD597EB exp=CF214C81222DD597EB + 28292.50ns INFO [00028294] * RD COMPARE * port=1 adr=04 act=A365EC0CA35DBD97AF exp=A365EC0CA35DBD97AF + 28293.50ns INFO [00028295] * RD COMPARE * port=0 adr=06 act=CF214C81222DD597EB exp=CF214C81222DD597EB + 28293.50ns INFO [00028295] Port=0 RD @07 + 28293.50ns INFO [00028295] Port=1 RD @05 + 28294.50ns INFO [00028296] Port=1 RD @00 + 28295.50ns INFO [00028297] * RD COMPARE * port=0 adr=07 act=24E832033FC6CADEF2 exp=24E832033FC6CADEF2 + 28295.50ns INFO [00028297] * RD COMPARE * port=1 adr=05 act=87A620E32D2A91F727 exp=87A620E32D2A91F727 + 28295.50ns INFO [00028297] Port=0 RD @04 + 28296.50ns INFO [00028298] * RD COMPARE * port=1 adr=00 act=FA7AF7559F47158069 exp=FA7AF7559F47158069 + 28297.50ns INFO [00028299] * RD COMPARE * port=0 adr=04 act=A365EC0CA35DBD97AF exp=A365EC0CA35DBD97AF + 28297.50ns INFO [00028299] Port=1 RD @00 + 28298.00ns INFO [00028300] [00028300] ...tick... + 28298.50ns INFO [00028300] Port=1 RD @02 + 28299.50ns INFO [00028301] * RD COMPARE * port=1 adr=00 act=FA7AF7559F47158069 exp=FA7AF7559F47158069 + 28299.50ns INFO [00028301] Port=0 RD @03 + 28300.50ns INFO [00028302] * RD COMPARE * port=1 adr=02 act=D05FA09D841C42D69C exp=D05FA09D841C42D69C + 28300.50ns INFO [00028302] Port=0 WR @04=7500EA2DD7BAD47186 + 28300.50ns INFO [00028302] Port=0 RD @03 + 28301.50ns INFO [00028303] * RD COMPARE * port=0 adr=03 act=E07ED3DCAF719C1C7D exp=E07ED3DCAF719C1C7D + 28301.50ns INFO [00028303] Port=0 WR @00=4016C2523C02C1A098 + 28301.50ns INFO [00028303] Port=1 RD @05 + 28302.50ns INFO [00028304] * RD COMPARE * port=0 adr=03 act=E07ED3DCAF719C1C7D exp=E07ED3DCAF719C1C7D + 28302.50ns INFO [00028304] Port=0 WR @02=EFB1312AFDA39E1572 + 28302.50ns INFO [00028304] Port=1 RD @05 + 28303.50ns INFO [00028305] * RD COMPARE * port=1 adr=05 act=87A620E32D2A91F727 exp=87A620E32D2A91F727 + 28303.50ns INFO [00028305] Port=0 RD @07 + 28304.50ns INFO [00028306] * RD COMPARE * port=1 adr=05 act=87A620E32D2A91F727 exp=87A620E32D2A91F727 + 28304.50ns INFO [00028306] Port=0 WR @02=8E831445A33749BE3A + 28305.50ns INFO [00028307] * RD COMPARE * port=0 adr=07 act=24E832033FC6CADEF2 exp=24E832033FC6CADEF2 + 28305.50ns INFO [00028307] Port=1 RD @07 + 28306.50ns INFO [00028308] Port=0 RD @04 + 28306.50ns INFO [00028308] Port=1 RD @03 + 28307.50ns INFO [00028309] * RD COMPARE * port=1 adr=07 act=24E832033FC6CADEF2 exp=24E832033FC6CADEF2 + 28307.50ns INFO [00028309] Port=0 WR @02=4CDC405D2872650C6A + 28308.50ns INFO [00028310] * RD COMPARE * port=0 adr=04 act=7500EA2DD7BAD47186 exp=7500EA2DD7BAD47186 + 28308.50ns INFO [00028310] * RD COMPARE * port=1 adr=03 act=E07ED3DCAF719C1C7D exp=E07ED3DCAF719C1C7D + 28308.50ns INFO [00028310] Port=0 WR @04=F82FF9A4E59C46CDDA + 28308.50ns INFO [00028310] Port=1 RD @03 + 28309.50ns INFO [00028311] Port=1 RD @06 + 28310.50ns INFO [00028312] * RD COMPARE * port=1 adr=03 act=E07ED3DCAF719C1C7D exp=E07ED3DCAF719C1C7D + 28310.50ns INFO [00028312] Port=0 WR @05=E5E6EAFA147173DDA0 + 28311.50ns INFO [00028313] * RD COMPARE * port=1 adr=06 act=CF214C81222DD597EB exp=CF214C81222DD597EB + 28311.50ns INFO [00028313] Port=0 WR @01=25EA1D19A1B3C75AF7 + 28311.50ns INFO [00028313] Port=0 RD @05 + 28311.50ns INFO [00028313] Port=1 RD @00 + 28313.50ns INFO [00028315] * RD COMPARE * port=0 adr=05 act=E5E6EAFA147173DDA0 exp=E5E6EAFA147173DDA0 + 28313.50ns INFO [00028315] * RD COMPARE * port=1 adr=00 act=4016C2523C02C1A098 exp=4016C2523C02C1A098 + 28313.50ns INFO [00028315] Port=0 WR @00=6714684D3B33AA6510 + 28314.50ns INFO [00028316] Port=0 RD @06 + 28315.50ns INFO [00028317] Port=0 RD @02 + 28316.50ns INFO [00028318] * RD COMPARE * port=0 adr=06 act=CF214C81222DD597EB exp=CF214C81222DD597EB + 28317.50ns INFO [00028319] * RD COMPARE * port=0 adr=02 act=4CDC405D2872650C6A exp=4CDC405D2872650C6A + 28317.50ns INFO [00028319] Port=0 WR @07=8468AB87B45A814934 + 28317.50ns INFO [00028319] Port=0 RD @00 + 28318.50ns INFO [00028320] Port=0 WR @07=423DD2477A27B79115 + 28319.50ns INFO [00028321] * RD COMPARE * port=0 adr=00 act=6714684D3B33AA6510 exp=6714684D3B33AA6510 + 28319.50ns INFO [00028321] Port=0 RD @07 + 28319.50ns INFO [00028321] Port=1 RD @06 + 28320.50ns INFO [00028322] Port=0 WR @05=90C9494A99E563FF4C + 28320.50ns INFO [00028322] Port=0 RD @07 + 28321.50ns INFO [00028323] * RD COMPARE * port=0 adr=07 act=423DD2477A27B79115 exp=423DD2477A27B79115 + 28321.50ns INFO [00028323] * RD COMPARE * port=1 adr=06 act=CF214C81222DD597EB exp=CF214C81222DD597EB + 28321.50ns INFO [00028323] Port=0 WR @05=A54BBF13D2A96B2F2C + 28321.50ns INFO [00028323] Port=0 RD @02 + 28322.50ns INFO [00028324] * RD COMPARE * port=0 adr=07 act=423DD2477A27B79115 exp=423DD2477A27B79115 + 28322.50ns INFO [00028324] Port=0 WR @06=28CEA70F504823511C + 28323.50ns INFO [00028325] * RD COMPARE * port=0 adr=02 act=4CDC405D2872650C6A exp=4CDC405D2872650C6A + 28324.50ns INFO [00028326] Port=0 WR @07=FA88DB754B68D77DD4 + 28324.50ns INFO [00028326] Port=0 RD @02 + 28326.50ns INFO [00028328] * RD COMPARE * port=0 adr=02 act=4CDC405D2872650C6A exp=4CDC405D2872650C6A + 28326.50ns INFO [00028328] Port=0 RD @01 + 28328.50ns INFO [00028330] * RD COMPARE * port=0 adr=01 act=25EA1D19A1B3C75AF7 exp=25EA1D19A1B3C75AF7 + 28329.50ns INFO [00028331] Port=0 WR @05=099D6FA78206F005A2 + 28329.50ns INFO [00028331] Port=0 RD @04 + 28329.50ns INFO [00028331] Port=1 RD @01 + 28330.50ns INFO [00028332] Port=0 WR @01=9A6A9286ABC80FB028 + 28330.50ns INFO [00028332] Port=1 RD @04 + 28331.50ns INFO [00028333] * RD COMPARE * port=0 adr=04 act=F82FF9A4E59C46CDDA exp=F82FF9A4E59C46CDDA + 28331.50ns INFO [00028333] * RD COMPARE * port=1 adr=01 act=25EA1D19A1B3C75AF7 exp=25EA1D19A1B3C75AF7 + 28331.50ns INFO [00028333] Port=0 WR @00=4CE968B0C39F9C855D + 28332.50ns INFO [00028334] * RD COMPARE * port=1 adr=04 act=F82FF9A4E59C46CDDA exp=F82FF9A4E59C46CDDA + 28332.50ns INFO [00028334] Port=0 WR @02=4ABC8EA66A8D0C1742 + 28332.50ns INFO [00028334] Port=0 RD @04 + 28332.50ns INFO [00028334] Port=1 RD @01 + 28334.50ns INFO [00028336] * RD COMPARE * port=0 adr=04 act=F82FF9A4E59C46CDDA exp=F82FF9A4E59C46CDDA + 28334.50ns INFO [00028336] * RD COMPARE * port=1 adr=01 act=9A6A9286ABC80FB028 exp=9A6A9286ABC80FB028 + 28334.50ns INFO [00028336] Port=1 RD @00 + 28335.50ns INFO [00028337] Port=0 WR @04=BAB2F7DFB588E70183 + 28335.50ns INFO [00028337] Port=0 RD @01 + 28336.50ns INFO [00028338] * RD COMPARE * port=1 adr=00 act=4CE968B0C39F9C855D exp=4CE968B0C39F9C855D + 28336.50ns INFO [00028338] Port=0 WR @01=027EC432BC09ED4AB9 + 28337.50ns INFO [00028339] * RD COMPARE * port=0 adr=01 act=9A6A9286ABC80FB028 exp=9A6A9286ABC80FB028 + 28337.50ns INFO [00028339] Port=0 WR @06=B798B237D5510A88C6 + 28337.50ns INFO [00028339] Port=0 RD @00 + 28337.50ns INFO [00028339] Port=1 RD @03 + 28339.50ns INFO [00028341] * RD COMPARE * port=0 adr=00 act=4CE968B0C39F9C855D exp=4CE968B0C39F9C855D + 28339.50ns INFO [00028341] * RD COMPARE * port=1 adr=03 act=E07ED3DCAF719C1C7D exp=E07ED3DCAF719C1C7D + 28341.50ns INFO [00028343] Port=1 RD @04 + 28342.50ns INFO [00028344] Port=0 WR @00=7BE67BCD002ED18EF5 + 28342.50ns INFO [00028344] Port=0 RD @02 + 28343.50ns INFO [00028345] * RD COMPARE * port=1 adr=04 act=BAB2F7DFB588E70183 exp=BAB2F7DFB588E70183 + 28343.50ns INFO [00028345] Port=0 WR @05=27220434CCA9468549 + 28343.50ns INFO [00028345] Port=1 RD @07 + 28344.50ns INFO [00028346] * RD COMPARE * port=0 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28345.50ns INFO [00028347] * RD COMPARE * port=1 adr=07 act=FA88DB754B68D77DD4 exp=FA88DB754B68D77DD4 + 28345.50ns INFO [00028347] Port=0 WR @07=69C865BEEBC573A4A4 + 28346.50ns INFO [00028348] Port=0 RD @02 + 28346.50ns INFO [00028348] Port=1 RD @00 + 28348.50ns INFO [00028350] * RD COMPARE * port=0 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28348.50ns INFO [00028350] * RD COMPARE * port=1 adr=00 act=7BE67BCD002ED18EF5 exp=7BE67BCD002ED18EF5 + 28348.50ns INFO [00028350] Port=1 RD @06 + 28349.50ns INFO [00028351] Port=0 WR @04=D5B943570A5A62AE41 + 28349.50ns INFO [00028351] Port=0 RD @06 + 28350.50ns INFO [00028352] * RD COMPARE * port=1 adr=06 act=B798B237D5510A88C6 exp=B798B237D5510A88C6 + 28350.50ns INFO [00028352] Port=0 WR @06=22CEADAC2F4407CD48 + 28350.50ns INFO [00028352] Port=1 RD @02 + 28351.50ns INFO [00028353] * RD COMPARE * port=0 adr=06 act=B798B237D5510A88C6 exp=B798B237D5510A88C6 + 28352.50ns INFO [00028354] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28352.50ns INFO [00028354] Port=0 RD @07 + 28352.50ns INFO [00028354] Port=1 RD @02 + 28353.50ns INFO [00028355] Port=1 RD @07 + 28354.50ns INFO [00028356] * RD COMPARE * port=0 adr=07 act=69C865BEEBC573A4A4 exp=69C865BEEBC573A4A4 + 28354.50ns INFO [00028356] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28355.50ns INFO [00028357] * RD COMPARE * port=1 adr=07 act=69C865BEEBC573A4A4 exp=69C865BEEBC573A4A4 + 28355.50ns INFO [00028357] Port=0 WR @05=03A3B950A13AEC6307 + 28355.50ns INFO [00028357] Port=1 RD @03 + 28356.50ns INFO [00028358] Port=0 RD @03 + 28357.50ns INFO [00028359] * RD COMPARE * port=1 adr=03 act=E07ED3DCAF719C1C7D exp=E07ED3DCAF719C1C7D + 28357.50ns INFO [00028359] Port=0 WR @03=172F96294556820949 + 28357.50ns INFO [00028359] Port=1 RD @01 + 28358.50ns INFO [00028360] * RD COMPARE * port=0 adr=03 act=E07ED3DCAF719C1C7D exp=E07ED3DCAF719C1C7D + 28358.50ns INFO [00028360] Port=1 RD @04 + 28359.50ns INFO [00028361] * RD COMPARE * port=1 adr=01 act=027EC432BC09ED4AB9 exp=027EC432BC09ED4AB9 + 28359.50ns INFO [00028361] Port=1 RD @06 + 28360.50ns INFO [00028362] * RD COMPARE * port=1 adr=04 act=D5B943570A5A62AE41 exp=D5B943570A5A62AE41 + 28360.50ns INFO [00028362] Port=0 RD @06 + 28360.50ns INFO [00028362] Port=1 RD @01 + 28361.50ns INFO [00028363] * RD COMPARE * port=1 adr=06 act=22CEADAC2F4407CD48 exp=22CEADAC2F4407CD48 + 28361.50ns INFO [00028363] Port=0 WR @05=BBC2EEA18A87E4D1BF + 28362.50ns INFO [00028364] * RD COMPARE * port=0 adr=06 act=22CEADAC2F4407CD48 exp=22CEADAC2F4407CD48 + 28362.50ns INFO [00028364] * RD COMPARE * port=1 adr=01 act=027EC432BC09ED4AB9 exp=027EC432BC09ED4AB9 + 28362.50ns INFO [00028364] Port=0 WR @06=30ABCEA3AA4E4777BD + 28362.50ns INFO [00028364] Port=1 RD @05 + 28363.50ns INFO [00028365] Port=1 RD @06 + 28364.50ns INFO [00028366] * RD COMPARE * port=1 adr=05 act=BBC2EEA18A87E4D1BF exp=BBC2EEA18A87E4D1BF + 28364.50ns INFO [00028366] Port=0 RD @06 + 28364.50ns INFO [00028366] Port=1 RD @01 + 28365.50ns INFO [00028367] * RD COMPARE * port=1 adr=06 act=30ABCEA3AA4E4777BD exp=30ABCEA3AA4E4777BD + 28365.50ns INFO [00028367] Port=1 RD @04 + 28366.50ns INFO [00028368] * RD COMPARE * port=0 adr=06 act=30ABCEA3AA4E4777BD exp=30ABCEA3AA4E4777BD + 28366.50ns INFO [00028368] * RD COMPARE * port=1 adr=01 act=027EC432BC09ED4AB9 exp=027EC432BC09ED4AB9 + 28367.50ns INFO [00028369] * RD COMPARE * port=1 adr=04 act=D5B943570A5A62AE41 exp=D5B943570A5A62AE41 + 28367.50ns INFO [00028369] Port=1 RD @00 + 28368.50ns INFO [00028370] Port=0 RD @01 + 28368.50ns INFO [00028370] Port=1 RD @00 + 28369.50ns INFO [00028371] * RD COMPARE * port=1 adr=00 act=7BE67BCD002ED18EF5 exp=7BE67BCD002ED18EF5 + 28369.50ns INFO [00028371] Port=0 WR @03=4A31F892D5D0EF71CD + 28369.50ns INFO [00028371] Port=0 RD @00 + 28370.50ns INFO [00028372] * RD COMPARE * port=0 adr=01 act=027EC432BC09ED4AB9 exp=027EC432BC09ED4AB9 + 28370.50ns INFO [00028372] * RD COMPARE * port=1 adr=00 act=7BE67BCD002ED18EF5 exp=7BE67BCD002ED18EF5 + 28371.50ns INFO [00028373] * RD COMPARE * port=0 adr=00 act=7BE67BCD002ED18EF5 exp=7BE67BCD002ED18EF5 + 28371.50ns INFO [00028373] Port=1 RD @02 + 28372.50ns INFO [00028374] Port=0 WR @03=82DA76282CBBC0BA90 + 28372.50ns INFO [00028374] Port=0 RD @02 + 28373.50ns INFO [00028375] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28373.50ns INFO [00028375] Port=0 RD @05 + 28374.50ns INFO [00028376] * RD COMPARE * port=0 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28374.50ns INFO [00028376] Port=0 WR @05=69E73B0AA8CC6DB05A + 28374.50ns INFO [00028376] Port=0 RD @04 + 28375.50ns INFO [00028377] * RD COMPARE * port=0 adr=05 act=BBC2EEA18A87E4D1BF exp=BBC2EEA18A87E4D1BF + 28375.50ns INFO [00028377] Port=0 WR @05=699FCCF9F6BFF8EF26 + 28375.50ns INFO [00028377] Port=0 RD @04 + 28376.50ns INFO [00028378] * RD COMPARE * port=0 adr=04 act=D5B943570A5A62AE41 exp=D5B943570A5A62AE41 + 28377.50ns INFO [00028379] * RD COMPARE * port=0 adr=04 act=D5B943570A5A62AE41 exp=D5B943570A5A62AE41 + 28377.50ns INFO [00028379] Port=1 RD @04 + 28378.50ns INFO [00028380] Port=1 RD @02 + 28379.50ns INFO [00028381] * RD COMPARE * port=1 adr=04 act=D5B943570A5A62AE41 exp=D5B943570A5A62AE41 + 28380.50ns INFO [00028382] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28380.50ns INFO [00028382] Port=0 WR @03=FF6B253F00B2639698 + 28380.50ns INFO [00028382] Port=0 RD @00 + 28381.50ns INFO [00028383] Port=0 WR @07=A2EBE949FF08854020 + 28382.50ns INFO [00028384] * RD COMPARE * port=0 adr=00 act=7BE67BCD002ED18EF5 exp=7BE67BCD002ED18EF5 + 28382.50ns INFO [00028384] Port=0 WR @05=4F833B6DA4D5BA575A + 28384.50ns INFO [00028386] Port=0 RD @07 + 28385.50ns INFO [00028387] Port=0 RD @00 + 28386.50ns INFO [00028388] * RD COMPARE * port=0 adr=07 act=A2EBE949FF08854020 exp=A2EBE949FF08854020 + 28386.50ns INFO [00028388] Port=0 WR @05=86BE09AF54BEBA5C1B + 28386.50ns INFO [00028388] Port=0 RD @04 + 28387.50ns INFO [00028389] * RD COMPARE * port=0 adr=00 act=7BE67BCD002ED18EF5 exp=7BE67BCD002ED18EF5 + 28387.50ns INFO [00028389] Port=1 RD @07 + 28388.50ns INFO [00028390] * RD COMPARE * port=0 adr=04 act=D5B943570A5A62AE41 exp=D5B943570A5A62AE41 + 28388.50ns INFO [00028390] Port=0 WR @07=E0F893620F4062F5E4 + 28389.50ns INFO [00028391] * RD COMPARE * port=1 adr=07 act=A2EBE949FF08854020 exp=A2EBE949FF08854020 + 28390.50ns INFO [00028392] Port=0 RD @02 + 28390.50ns INFO [00028392] Port=1 RD @02 + 28392.50ns INFO [00028394] * RD COMPARE * port=0 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28392.50ns INFO [00028394] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28392.50ns INFO [00028394] Port=1 RD @06 + 28393.50ns INFO [00028395] Port=0 WR @01=EC39CFC34B7C782123 + 28394.50ns INFO [00028396] * RD COMPARE * port=1 adr=06 act=30ABCEA3AA4E4777BD exp=30ABCEA3AA4E4777BD + 28394.50ns INFO [00028396] Port=1 RD @05 + 28395.50ns INFO [00028397] Port=0 WR @03=638D4EEE1D61FED5C2 + 28396.50ns INFO [00028398] * RD COMPARE * port=1 adr=05 act=86BE09AF54BEBA5C1B exp=86BE09AF54BEBA5C1B + 28396.50ns INFO [00028398] Port=0 WR @00=5617051CA7510B6701 + 28396.50ns INFO [00028398] Port=0 RD @01 + 28396.50ns INFO [00028398] Port=1 RD @01 + 28397.50ns INFO [00028399] Port=1 RD @05 + 28398.00ns INFO [00028400] [00028400] ...tick... + 28398.50ns INFO [00028400] * RD COMPARE * port=0 adr=01 act=EC39CFC34B7C782123 exp=EC39CFC34B7C782123 + 28398.50ns INFO [00028400] * RD COMPARE * port=1 adr=01 act=EC39CFC34B7C782123 exp=EC39CFC34B7C782123 + 28398.50ns INFO [00028400] Port=0 RD @03 + 28399.50ns INFO [00028401] * RD COMPARE * port=1 adr=05 act=86BE09AF54BEBA5C1B exp=86BE09AF54BEBA5C1B + 28399.50ns INFO [00028401] Port=1 RD @04 + 28400.50ns INFO [00028402] * RD COMPARE * port=0 adr=03 act=638D4EEE1D61FED5C2 exp=638D4EEE1D61FED5C2 + 28401.50ns INFO [00028403] * RD COMPARE * port=1 adr=04 act=D5B943570A5A62AE41 exp=D5B943570A5A62AE41 + 28401.50ns INFO [00028403] Port=0 WR @04=FF489B885030F38E56 + 28401.50ns INFO [00028403] Port=1 RD @06 + 28403.50ns INFO [00028405] * RD COMPARE * port=1 adr=06 act=30ABCEA3AA4E4777BD exp=30ABCEA3AA4E4777BD + 28403.50ns INFO [00028405] Port=0 WR @04=80927C120417A72213 + 28403.50ns INFO [00028405] Port=0 RD @02 + 28403.50ns INFO [00028405] Port=1 RD @02 + 28405.50ns INFO [00028407] * RD COMPARE * port=0 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28405.50ns INFO [00028407] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28405.50ns INFO [00028407] Port=1 RD @02 + 28406.50ns INFO [00028408] Port=0 WR @01=695014AAEC1BBF5BC3 + 28406.50ns INFO [00028408] Port=1 RD @00 + 28407.50ns INFO [00028409] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28407.50ns INFO [00028409] Port=0 RD @03 + 28408.50ns INFO [00028410] * RD COMPARE * port=1 adr=00 act=5617051CA7510B6701 exp=5617051CA7510B6701 + 28408.50ns INFO [00028410] Port=1 RD @06 + 28409.50ns INFO [00028411] * RD COMPARE * port=0 adr=03 act=638D4EEE1D61FED5C2 exp=638D4EEE1D61FED5C2 + 28410.50ns INFO [00028412] * RD COMPARE * port=1 adr=06 act=30ABCEA3AA4E4777BD exp=30ABCEA3AA4E4777BD + 28410.50ns INFO [00028412] Port=0 WR @06=3096CE81351205059E + 28410.50ns INFO [00028412] Port=0 RD @01 + 28411.50ns INFO [00028413] Port=0 WR @05=4B42F9FCDA76967098 + 28411.50ns INFO [00028413] Port=0 RD @03 + 28412.50ns INFO [00028414] * RD COMPARE * port=0 adr=01 act=695014AAEC1BBF5BC3 exp=695014AAEC1BBF5BC3 + 28412.50ns INFO [00028414] Port=1 RD @02 + 28413.50ns INFO [00028415] * RD COMPARE * port=0 adr=03 act=638D4EEE1D61FED5C2 exp=638D4EEE1D61FED5C2 + 28413.50ns INFO [00028415] Port=0 RD @00 + 28414.50ns INFO [00028416] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28415.50ns INFO [00028417] * RD COMPARE * port=0 adr=00 act=5617051CA7510B6701 exp=5617051CA7510B6701 + 28418.50ns INFO [00028420] Port=0 RD @01 + 28418.50ns INFO [00028420] Port=1 RD @05 + 28420.50ns INFO [00028422] * RD COMPARE * port=0 adr=01 act=695014AAEC1BBF5BC3 exp=695014AAEC1BBF5BC3 + 28420.50ns INFO [00028422] * RD COMPARE * port=1 adr=05 act=4B42F9FCDA76967098 exp=4B42F9FCDA76967098 + 28420.50ns INFO [00028422] Port=0 WR @01=F7BFD262B998196D49 + 28421.50ns INFO [00028423] Port=0 WR @01=7E50DF78814406BBDD + 28421.50ns INFO [00028423] Port=0 RD @02 + 28421.50ns INFO [00028423] Port=1 RD @00 + 28423.50ns INFO [00028425] * RD COMPARE * port=0 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28423.50ns INFO [00028425] * RD COMPARE * port=1 adr=00 act=5617051CA7510B6701 exp=5617051CA7510B6701 + 28423.50ns INFO [00028425] Port=1 RD @02 + 28424.50ns INFO [00028426] Port=0 RD @00 + 28425.50ns INFO [00028427] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28425.50ns INFO [00028427] Port=0 WR @07=B01AD3C00B57E397CB + 28426.50ns INFO [00028428] * RD COMPARE * port=0 adr=00 act=5617051CA7510B6701 exp=5617051CA7510B6701 + 28426.50ns INFO [00028428] Port=0 WR @04=FA7E42417CA971619B + 28427.50ns INFO [00028429] Port=0 RD @03 + 28429.50ns INFO [00028431] * RD COMPARE * port=0 adr=03 act=638D4EEE1D61FED5C2 exp=638D4EEE1D61FED5C2 + 28429.50ns INFO [00028431] Port=0 RD @07 + 28430.50ns INFO [00028432] Port=0 WR @07=9F8782DF99D456B913 + 28430.50ns INFO [00028432] Port=1 RD @01 + 28431.50ns INFO [00028433] * RD COMPARE * port=0 adr=07 act=B01AD3C00B57E397CB exp=B01AD3C00B57E397CB + 28431.50ns INFO [00028433] Port=0 RD @02 + 28431.50ns INFO [00028433] Port=1 RD @02 + 28432.50ns INFO [00028434] * RD COMPARE * port=1 adr=01 act=7E50DF78814406BBDD exp=7E50DF78814406BBDD + 28432.50ns INFO [00028434] Port=0 WR @05=7CBE8792F21DBB6CDE + 28432.50ns INFO [00028434] Port=1 RD @02 + 28433.50ns INFO [00028435] * RD COMPARE * port=0 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28433.50ns INFO [00028435] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28433.50ns INFO [00028435] Port=1 RD @07 + 28434.50ns INFO [00028436] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28434.50ns INFO [00028436] Port=0 RD @07 + 28434.50ns INFO [00028436] Port=1 RD @02 + 28435.50ns INFO [00028437] * RD COMPARE * port=1 adr=07 act=9F8782DF99D456B913 exp=9F8782DF99D456B913 + 28435.50ns INFO [00028437] Port=0 RD @05 + 28436.50ns INFO [00028438] * RD COMPARE * port=0 adr=07 act=9F8782DF99D456B913 exp=9F8782DF99D456B913 + 28436.50ns INFO [00028438] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28437.50ns INFO [00028439] * RD COMPARE * port=0 adr=05 act=7CBE8792F21DBB6CDE exp=7CBE8792F21DBB6CDE + 28437.50ns INFO [00028439] Port=0 WR @00=5D207DFA2357A03ACB + 28437.50ns INFO [00028439] Port=0 RD @06 + 28438.50ns INFO [00028440] Port=0 RD @05 + 28438.50ns INFO [00028440] Port=1 RD @03 + 28439.50ns INFO [00028441] * RD COMPARE * port=0 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28439.50ns INFO [00028441] Port=0 RD @05 + 28440.50ns INFO [00028442] * RD COMPARE * port=0 adr=05 act=7CBE8792F21DBB6CDE exp=7CBE8792F21DBB6CDE + 28440.50ns INFO [00028442] * RD COMPARE * port=1 adr=03 act=638D4EEE1D61FED5C2 exp=638D4EEE1D61FED5C2 + 28440.50ns INFO [00028442] Port=0 RD @06 + 28440.50ns INFO [00028442] Port=1 RD @05 + 28441.50ns INFO [00028443] * RD COMPARE * port=0 adr=05 act=7CBE8792F21DBB6CDE exp=7CBE8792F21DBB6CDE + 28441.50ns INFO [00028443] Port=0 RD @06 + 28442.50ns INFO [00028444] * RD COMPARE * port=0 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28442.50ns INFO [00028444] * RD COMPARE * port=1 adr=05 act=7CBE8792F21DBB6CDE exp=7CBE8792F21DBB6CDE + 28442.50ns INFO [00028444] Port=0 RD @06 + 28442.50ns INFO [00028444] Port=1 RD @02 + 28443.50ns INFO [00028445] * RD COMPARE * port=0 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28443.50ns INFO [00028445] Port=0 WR @01=E9F8826195FE91B240 + 28444.50ns INFO [00028446] * RD COMPARE * port=0 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28444.50ns INFO [00028446] * RD COMPARE * port=1 adr=02 act=4ABC8EA66A8D0C1742 exp=4ABC8EA66A8D0C1742 + 28444.50ns INFO [00028446] Port=0 WR @01=C2E88071C62CC0B9EF + 28445.50ns INFO [00028447] Port=0 WR @00=9D6F092BE40AD81FDD + 28446.50ns INFO [00028448] Port=0 WR @03=1C5EC04F7AB0582A1C + 28449.50ns INFO [00028451] Port=0 WR @04=8B7B47B9A675E479A7 + 28450.50ns INFO [00028452] Port=0 WR @02=B5B401C011F95B843E + 28450.50ns INFO [00028452] Port=0 RD @03 + 28450.50ns INFO [00028452] Port=1 RD @07 + 28451.50ns INFO [00028453] Port=1 RD @02 + 28452.50ns INFO [00028454] * RD COMPARE * port=0 adr=03 act=1C5EC04F7AB0582A1C exp=1C5EC04F7AB0582A1C + 28452.50ns INFO [00028454] * RD COMPARE * port=1 adr=07 act=9F8782DF99D456B913 exp=9F8782DF99D456B913 + 28452.50ns INFO [00028454] Port=0 RD @04 + 28453.50ns INFO [00028455] * RD COMPARE * port=1 adr=02 act=B5B401C011F95B843E exp=B5B401C011F95B843E + 28453.50ns INFO [00028455] Port=0 RD @03 + 28454.50ns INFO [00028456] * RD COMPARE * port=0 adr=04 act=8B7B47B9A675E479A7 exp=8B7B47B9A675E479A7 + 28454.50ns INFO [00028456] Port=0 RD @07 + 28455.50ns INFO [00028457] * RD COMPARE * port=0 adr=03 act=1C5EC04F7AB0582A1C exp=1C5EC04F7AB0582A1C + 28455.50ns INFO [00028457] Port=0 WR @07=3F16F0B59D45733F51 + 28455.50ns INFO [00028457] Port=0 RD @06 + 28456.50ns INFO [00028458] * RD COMPARE * port=0 adr=07 act=9F8782DF99D456B913 exp=9F8782DF99D456B913 + 28456.50ns INFO [00028458] Port=0 WR @00=F1D536300744513B9F + 28456.50ns INFO [00028458] Port=0 RD @04 + 28457.50ns INFO [00028459] * RD COMPARE * port=0 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28458.50ns INFO [00028460] * RD COMPARE * port=0 adr=04 act=8B7B47B9A675E479A7 exp=8B7B47B9A675E479A7 + 28459.50ns INFO [00028461] Port=0 WR @03=10637020F1827AD403 + 28459.50ns INFO [00028461] Port=0 RD @06 + 28459.50ns INFO [00028461] Port=1 RD @07 + 28460.50ns INFO [00028462] Port=0 WR @03=2F670DB08723BF2263 + 28460.50ns INFO [00028462] Port=0 RD @00 + 28460.50ns INFO [00028462] Port=1 RD @02 + 28461.50ns INFO [00028463] * RD COMPARE * port=0 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28461.50ns INFO [00028463] * RD COMPARE * port=1 adr=07 act=3F16F0B59D45733F51 exp=3F16F0B59D45733F51 + 28461.50ns INFO [00028463] Port=0 RD @02 + 28461.50ns INFO [00028463] Port=1 RD @06 + 28462.50ns INFO [00028464] * RD COMPARE * port=0 adr=00 act=F1D536300744513B9F exp=F1D536300744513B9F + 28462.50ns INFO [00028464] * RD COMPARE * port=1 adr=02 act=B5B401C011F95B843E exp=B5B401C011F95B843E + 28462.50ns INFO [00028464] Port=0 WR @02=9CB1620DF2DA911B2F + 28463.50ns INFO [00028465] * RD COMPARE * port=0 adr=02 act=B5B401C011F95B843E exp=B5B401C011F95B843E + 28463.50ns INFO [00028465] * RD COMPARE * port=1 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28463.50ns INFO [00028465] Port=0 RD @05 + 28463.50ns INFO [00028465] Port=1 RD @07 + 28464.50ns INFO [00028466] Port=0 RD @07 + 28464.50ns INFO [00028466] Port=1 RD @02 + 28465.50ns INFO [00028467] * RD COMPARE * port=0 adr=05 act=7CBE8792F21DBB6CDE exp=7CBE8792F21DBB6CDE + 28465.50ns INFO [00028467] * RD COMPARE * port=1 adr=07 act=3F16F0B59D45733F51 exp=3F16F0B59D45733F51 + 28466.50ns INFO [00028468] * RD COMPARE * port=0 adr=07 act=3F16F0B59D45733F51 exp=3F16F0B59D45733F51 + 28466.50ns INFO [00028468] * RD COMPARE * port=1 adr=02 act=9CB1620DF2DA911B2F exp=9CB1620DF2DA911B2F + 28466.50ns INFO [00028468] Port=0 WR @07=A60DE2F22E26B51A30 + 28466.50ns INFO [00028468] Port=0 RD @04 + 28466.50ns INFO [00028468] Port=1 RD @06 + 28467.50ns INFO [00028469] Port=0 RD @02 + 28468.50ns INFO [00028470] * RD COMPARE * port=0 adr=04 act=8B7B47B9A675E479A7 exp=8B7B47B9A675E479A7 + 28468.50ns INFO [00028470] * RD COMPARE * port=1 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28469.50ns INFO [00028471] * RD COMPARE * port=0 adr=02 act=9CB1620DF2DA911B2F exp=9CB1620DF2DA911B2F + 28469.50ns INFO [00028471] Port=0 RD @00 + 28469.50ns INFO [00028471] Port=1 RD @02 + 28470.50ns INFO [00028472] Port=0 WR @05=CE288AD656A1AD5317 + 28470.50ns INFO [00028472] Port=1 RD @04 + 28471.50ns INFO [00028473] * RD COMPARE * port=0 adr=00 act=F1D536300744513B9F exp=F1D536300744513B9F + 28471.50ns INFO [00028473] * RD COMPARE * port=1 adr=02 act=9CB1620DF2DA911B2F exp=9CB1620DF2DA911B2F + 28471.50ns INFO [00028473] Port=0 RD @04 + 28472.50ns INFO [00028474] * RD COMPARE * port=1 adr=04 act=8B7B47B9A675E479A7 exp=8B7B47B9A675E479A7 + 28472.50ns INFO [00028474] Port=0 WR @01=5BD5224CBC9F0F46B3 + 28472.50ns INFO [00028474] Port=0 RD @06 + 28473.50ns INFO [00028475] * RD COMPARE * port=0 adr=04 act=8B7B47B9A675E479A7 exp=8B7B47B9A675E479A7 + 28473.50ns INFO [00028475] Port=0 WR @00=BAF6DCBE4ED863622E + 28473.50ns INFO [00028475] Port=1 RD @06 + 28474.50ns INFO [00028476] * RD COMPARE * port=0 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28474.50ns INFO [00028476] Port=0 WR @04=A54EDE822C7F7186A3 + 28474.50ns INFO [00028476] Port=1 RD @01 + 28475.50ns INFO [00028477] * RD COMPARE * port=1 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28476.50ns INFO [00028478] * RD COMPARE * port=1 adr=01 act=5BD5224CBC9F0F46B3 exp=5BD5224CBC9F0F46B3 + 28476.50ns INFO [00028478] Port=1 RD @02 + 28477.50ns INFO [00028479] Port=0 WR @04=F3F673FB91ACAA3BC2 + 28477.50ns INFO [00028479] Port=0 RD @02 + 28478.50ns INFO [00028480] * RD COMPARE * port=1 adr=02 act=9CB1620DF2DA911B2F exp=9CB1620DF2DA911B2F + 28478.50ns INFO [00028480] Port=1 RD @02 + 28479.50ns INFO [00028481] * RD COMPARE * port=0 adr=02 act=9CB1620DF2DA911B2F exp=9CB1620DF2DA911B2F + 28480.50ns INFO [00028482] * RD COMPARE * port=1 adr=02 act=9CB1620DF2DA911B2F exp=9CB1620DF2DA911B2F + 28480.50ns INFO [00028482] Port=0 RD @00 + 28480.50ns INFO [00028482] Port=1 RD @04 + 28481.50ns INFO [00028483] Port=0 RD @06 + 28481.50ns INFO [00028483] Port=1 RD @03 + 28482.50ns INFO [00028484] * RD COMPARE * port=0 adr=00 act=BAF6DCBE4ED863622E exp=BAF6DCBE4ED863622E + 28482.50ns INFO [00028484] * RD COMPARE * port=1 adr=04 act=F3F673FB91ACAA3BC2 exp=F3F673FB91ACAA3BC2 + 28482.50ns INFO [00028484] Port=0 WR @00=C0EA0E61770281000E + 28482.50ns INFO [00028484] Port=1 RD @07 + 28483.50ns INFO [00028485] * RD COMPARE * port=0 adr=06 act=3096CE81351205059E exp=3096CE81351205059E + 28483.50ns INFO [00028485] * RD COMPARE * port=1 adr=03 act=2F670DB08723BF2263 exp=2F670DB08723BF2263 + 28483.50ns INFO [00028485] Port=0 WR @06=EFE963A22751F3E1C5 + 28483.50ns INFO [00028485] Port=1 RD @07 + 28484.50ns INFO [00028486] * RD COMPARE * port=1 adr=07 act=A60DE2F22E26B51A30 exp=A60DE2F22E26B51A30 + 28484.50ns INFO [00028486] Port=0 WR @03=9F75DF9D86C8A52E67 + 28485.50ns INFO [00028487] * RD COMPARE * port=1 adr=07 act=A60DE2F22E26B51A30 exp=A60DE2F22E26B51A30 + 28485.50ns INFO [00028487] Port=1 RD @07 + 28486.50ns INFO [00028488] Port=0 RD @03 + 28487.50ns INFO [00028489] * RD COMPARE * port=1 adr=07 act=A60DE2F22E26B51A30 exp=A60DE2F22E26B51A30 + 28487.50ns INFO [00028489] Port=0 WR @04=EE0D1944A5310D13FD + 28487.50ns INFO [00028489] Port=0 RD @00 + 28488.50ns INFO [00028490] * RD COMPARE * port=0 adr=03 act=9F75DF9D86C8A52E67 exp=9F75DF9D86C8A52E67 + 28488.50ns INFO [00028490] Port=0 RD @01 + 28488.50ns INFO [00028490] Port=1 RD @07 + 28489.50ns INFO [00028491] * RD COMPARE * port=0 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28489.50ns INFO [00028491] Port=0 WR @02=C1D130ABA2B6B06843 + 28489.50ns INFO [00028491] Port=1 RD @03 + 28490.50ns INFO [00028492] * RD COMPARE * port=0 adr=01 act=5BD5224CBC9F0F46B3 exp=5BD5224CBC9F0F46B3 + 28490.50ns INFO [00028492] * RD COMPARE * port=1 adr=07 act=A60DE2F22E26B51A30 exp=A60DE2F22E26B51A30 + 28490.50ns INFO [00028492] Port=1 RD @07 + 28491.50ns INFO [00028493] * RD COMPARE * port=1 adr=03 act=9F75DF9D86C8A52E67 exp=9F75DF9D86C8A52E67 + 28491.50ns INFO [00028493] Port=0 RD @05 + 28491.50ns INFO [00028493] Port=1 RD @05 + 28492.50ns INFO [00028494] * RD COMPARE * port=1 adr=07 act=A60DE2F22E26B51A30 exp=A60DE2F22E26B51A30 + 28492.50ns INFO [00028494] Port=0 WR @07=6FFBAD1BDA58CFA534 + 28492.50ns INFO [00028494] Port=0 RD @00 + 28492.50ns INFO [00028494] Port=1 RD @06 + 28493.50ns INFO [00028495] * RD COMPARE * port=0 adr=05 act=CE288AD656A1AD5317 exp=CE288AD656A1AD5317 + 28493.50ns INFO [00028495] * RD COMPARE * port=1 adr=05 act=CE288AD656A1AD5317 exp=CE288AD656A1AD5317 + 28494.50ns INFO [00028496] * RD COMPARE * port=0 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28494.50ns INFO [00028496] * RD COMPARE * port=1 adr=06 act=EFE963A22751F3E1C5 exp=EFE963A22751F3E1C5 + 28494.50ns INFO [00028496] Port=0 WR @01=55F69921E6FAA549CA + 28494.50ns INFO [00028496] Port=1 RD @03 + 28495.50ns INFO [00028497] Port=1 RD @07 + 28496.50ns INFO [00028498] * RD COMPARE * port=1 adr=03 act=9F75DF9D86C8A52E67 exp=9F75DF9D86C8A52E67 + 28497.50ns INFO [00028499] * RD COMPARE * port=1 adr=07 act=6FFBAD1BDA58CFA534 exp=6FFBAD1BDA58CFA534 + 28497.50ns INFO [00028499] Port=0 WR @06=46425972A9E1C9ACBE + 28497.50ns INFO [00028499] Port=0 RD @05 + 28497.50ns INFO [00028499] Port=1 RD @04 + 28498.00ns INFO [00028500] [00028500] ...tick... + 28498.50ns INFO [00028500] Port=0 WR @07=173D2AA001E5438D37 + 28498.50ns INFO [00028500] Port=1 RD @05 + 28499.50ns INFO [00028501] * RD COMPARE * port=0 adr=05 act=CE288AD656A1AD5317 exp=CE288AD656A1AD5317 + 28499.50ns INFO [00028501] * RD COMPARE * port=1 adr=04 act=EE0D1944A5310D13FD exp=EE0D1944A5310D13FD + 28499.50ns INFO [00028501] Port=0 RD @06 + 28500.50ns INFO [00028502] * RD COMPARE * port=1 adr=05 act=CE288AD656A1AD5317 exp=CE288AD656A1AD5317 + 28500.50ns INFO [00028502] Port=1 RD @01 + 28501.50ns INFO [00028503] * RD COMPARE * port=0 adr=06 act=46425972A9E1C9ACBE exp=46425972A9E1C9ACBE + 28502.50ns INFO [00028504] * RD COMPARE * port=1 adr=01 act=55F69921E6FAA549CA exp=55F69921E6FAA549CA + 28502.50ns INFO [00028504] Port=0 RD @04 + 28502.50ns INFO [00028504] Port=1 RD @06 + 28503.50ns INFO [00028505] Port=0 WR @07=7C3229FB14594A4B89 + 28504.50ns INFO [00028506] * RD COMPARE * port=0 adr=04 act=EE0D1944A5310D13FD exp=EE0D1944A5310D13FD + 28504.50ns INFO [00028506] * RD COMPARE * port=1 adr=06 act=46425972A9E1C9ACBE exp=46425972A9E1C9ACBE + 28504.50ns INFO [00028506] Port=0 RD @02 + 28505.50ns INFO [00028507] Port=0 RD @01 + 28505.50ns INFO [00028507] Port=1 RD @04 + 28506.50ns INFO [00028508] * RD COMPARE * port=0 adr=02 act=C1D130ABA2B6B06843 exp=C1D130ABA2B6B06843 + 28506.50ns INFO [00028508] Port=0 WR @01=DCC7F5224E9F6D7928 + 28506.50ns INFO [00028508] Port=0 RD @00 + 28507.50ns INFO [00028509] * RD COMPARE * port=0 adr=01 act=55F69921E6FAA549CA exp=55F69921E6FAA549CA + 28507.50ns INFO [00028509] * RD COMPARE * port=1 adr=04 act=EE0D1944A5310D13FD exp=EE0D1944A5310D13FD + 28507.50ns INFO [00028509] Port=1 RD @05 + 28508.50ns INFO [00028510] * RD COMPARE * port=0 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28508.50ns INFO [00028510] Port=0 RD @04 + 28509.50ns INFO [00028511] * RD COMPARE * port=1 adr=05 act=CE288AD656A1AD5317 exp=CE288AD656A1AD5317 + 28509.50ns INFO [00028511] Port=0 WR @07=50282DBAEDD8413066 + 28509.50ns INFO [00028511] Port=0 RD @01 + 28510.50ns INFO [00028512] * RD COMPARE * port=0 adr=04 act=EE0D1944A5310D13FD exp=EE0D1944A5310D13FD + 28510.50ns INFO [00028512] Port=0 WR @01=D9B4D5B46192345CAD + 28510.50ns INFO [00028512] Port=0 RD @00 + 28510.50ns INFO [00028512] Port=1 RD @06 + 28511.50ns INFO [00028513] * RD COMPARE * port=0 adr=01 act=DCC7F5224E9F6D7928 exp=DCC7F5224E9F6D7928 + 28511.50ns INFO [00028513] Port=1 RD @02 + 28512.50ns INFO [00028514] * RD COMPARE * port=0 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28512.50ns INFO [00028514] * RD COMPARE * port=1 adr=06 act=46425972A9E1C9ACBE exp=46425972A9E1C9ACBE + 28512.50ns INFO [00028514] Port=0 WR @06=A8E56BC4FF07140A39 + 28512.50ns INFO [00028514] Port=0 RD @05 + 28512.50ns INFO [00028514] Port=1 RD @02 + 28513.50ns INFO [00028515] * RD COMPARE * port=1 adr=02 act=C1D130ABA2B6B06843 exp=C1D130ABA2B6B06843 + 28513.50ns INFO [00028515] Port=0 WR @03=99E068BF9A2F02AE7B + 28514.50ns INFO [00028516] * RD COMPARE * port=0 adr=05 act=CE288AD656A1AD5317 exp=CE288AD656A1AD5317 + 28514.50ns INFO [00028516] * RD COMPARE * port=1 adr=02 act=C1D130ABA2B6B06843 exp=C1D130ABA2B6B06843 + 28514.50ns INFO [00028516] Port=0 RD @02 + 28514.50ns INFO [00028516] Port=1 RD @02 + 28515.50ns INFO [00028517] Port=0 WR @01=8B58A3BDCFC83BA545 + 28516.50ns INFO [00028518] * RD COMPARE * port=0 adr=02 act=C1D130ABA2B6B06843 exp=C1D130ABA2B6B06843 + 28516.50ns INFO [00028518] * RD COMPARE * port=1 adr=02 act=C1D130ABA2B6B06843 exp=C1D130ABA2B6B06843 + 28516.50ns INFO [00028518] Port=0 RD @03 + 28517.50ns INFO [00028519] Port=0 WR @04=5A14BD2B071FDCD1DC + 28517.50ns INFO [00028519] Port=1 RD @07 + 28518.50ns INFO [00028520] * RD COMPARE * port=0 adr=03 act=99E068BF9A2F02AE7B exp=99E068BF9A2F02AE7B + 28518.50ns INFO [00028520] Port=0 WR @07=E7812F0A6A57ADEC8D + 28518.50ns INFO [00028520] Port=1 RD @01 + 28519.50ns INFO [00028521] * RD COMPARE * port=1 adr=07 act=50282DBAEDD8413066 exp=50282DBAEDD8413066 + 28520.50ns INFO [00028522] * RD COMPARE * port=1 adr=01 act=8B58A3BDCFC83BA545 exp=8B58A3BDCFC83BA545 + 28520.50ns INFO [00028522] Port=0 WR @02=61F25607ABDC82DAB5 + 28520.50ns INFO [00028522] Port=0 RD @05 + 28521.50ns INFO [00028523] Port=0 WR @03=AE950F45502F89B6F4 + 28521.50ns INFO [00028523] Port=0 RD @06 + 28521.50ns INFO [00028523] Port=1 RD @00 + 28522.50ns INFO [00028524] * RD COMPARE * port=0 adr=05 act=CE288AD656A1AD5317 exp=CE288AD656A1AD5317 + 28522.50ns INFO [00028524] Port=0 RD @01 + 28522.50ns INFO [00028524] Port=1 RD @03 + 28523.50ns INFO [00028525] * RD COMPARE * port=0 adr=06 act=A8E56BC4FF07140A39 exp=A8E56BC4FF07140A39 + 28523.50ns INFO [00028525] * RD COMPARE * port=1 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28524.50ns INFO [00028526] * RD COMPARE * port=0 adr=01 act=8B58A3BDCFC83BA545 exp=8B58A3BDCFC83BA545 + 28524.50ns INFO [00028526] * RD COMPARE * port=1 adr=03 act=AE950F45502F89B6F4 exp=AE950F45502F89B6F4 + 28524.50ns INFO [00028526] Port=0 WR @04=8978DE549031EFFE04 + 28524.50ns INFO [00028526] Port=1 RD @03 + 28525.50ns INFO [00028527] Port=0 RD @00 + 28526.50ns INFO [00028528] * RD COMPARE * port=1 adr=03 act=AE950F45502F89B6F4 exp=AE950F45502F89B6F4 + 28526.50ns INFO [00028528] Port=0 WR @05=E55D151F8E9CCCF457 + 28527.50ns INFO [00028529] * RD COMPARE * port=0 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28528.50ns INFO [00028530] Port=1 RD @06 + 28530.50ns INFO [00028532] * RD COMPARE * port=1 adr=06 act=A8E56BC4FF07140A39 exp=A8E56BC4FF07140A39 + 28531.50ns INFO [00028533] Port=0 WR @04=A41E59F5B1494BE16A + 28532.50ns INFO [00028534] Port=1 RD @03 + 28534.50ns INFO [00028536] * RD COMPARE * port=1 adr=03 act=AE950F45502F89B6F4 exp=AE950F45502F89B6F4 + 28534.50ns INFO [00028536] Port=0 RD @07 + 28535.50ns INFO [00028537] Port=1 RD @01 + 28536.50ns INFO [00028538] * RD COMPARE * port=0 adr=07 act=E7812F0A6A57ADEC8D exp=E7812F0A6A57ADEC8D + 28536.50ns INFO [00028538] Port=0 WR @06=6A1B4E86956CC190CB + 28536.50ns INFO [00028538] Port=1 RD @02 + 28537.50ns INFO [00028539] * RD COMPARE * port=1 adr=01 act=8B58A3BDCFC83BA545 exp=8B58A3BDCFC83BA545 + 28538.50ns INFO [00028540] * RD COMPARE * port=1 adr=02 act=61F25607ABDC82DAB5 exp=61F25607ABDC82DAB5 + 28538.50ns INFO [00028540] Port=1 RD @07 + 28539.50ns INFO [00028541] Port=0 WR @05=8E0E10BF70B6A89267 + 28540.50ns INFO [00028542] * RD COMPARE * port=1 adr=07 act=E7812F0A6A57ADEC8D exp=E7812F0A6A57ADEC8D + 28540.50ns INFO [00028542] Port=0 WR @04=C47752F86BBA4E673F + 28541.50ns INFO [00028543] Port=0 WR @06=C5824CC1C36D88D89B + 28541.50ns INFO [00028543] Port=0 RD @00 + 28542.50ns INFO [00028544] Port=0 WR @02=8C12D5613DD6F7F891 + 28542.50ns INFO [00028544] Port=1 RD @03 + 28543.50ns INFO [00028545] * RD COMPARE * port=0 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28543.50ns INFO [00028545] Port=0 RD @05 + 28544.50ns INFO [00028546] * RD COMPARE * port=1 adr=03 act=AE950F45502F89B6F4 exp=AE950F45502F89B6F4 + 28545.50ns INFO [00028547] * RD COMPARE * port=0 adr=05 act=8E0E10BF70B6A89267 exp=8E0E10BF70B6A89267 + 28546.50ns INFO [00028548] Port=0 WR @07=4B11718AE016B22E2E + 28546.50ns INFO [00028548] Port=0 RD @00 + 28546.50ns INFO [00028548] Port=1 RD @05 + 28547.50ns INFO [00028549] Port=0 WR @01=0337D2F4D38AF07DE8 + 28548.50ns INFO [00028550] * RD COMPARE * port=0 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28548.50ns INFO [00028550] * RD COMPARE * port=1 adr=05 act=8E0E10BF70B6A89267 exp=8E0E10BF70B6A89267 + 28549.50ns INFO [00028551] Port=0 RD @03 + 28550.50ns INFO [00028552] Port=1 RD @06 + 28551.50ns INFO [00028553] * RD COMPARE * port=0 adr=03 act=AE950F45502F89B6F4 exp=AE950F45502F89B6F4 + 28552.50ns INFO [00028554] * RD COMPARE * port=1 adr=06 act=C5824CC1C36D88D89B exp=C5824CC1C36D88D89B + 28555.50ns INFO [00028557] Port=0 WR @02=733766479995B5892F + 28555.50ns INFO [00028557] Port=0 RD @06 + 28556.50ns INFO [00028558] Port=0 WR @02=616299BCA7DF984CE4 + 28556.50ns INFO [00028558] Port=0 RD @01 + 28556.50ns INFO [00028558] Port=1 RD @06 + 28557.50ns INFO [00028559] * RD COMPARE * port=0 adr=06 act=C5824CC1C36D88D89B exp=C5824CC1C36D88D89B + 28557.50ns INFO [00028559] Port=1 RD @01 + 28558.50ns INFO [00028560] * RD COMPARE * port=0 adr=01 act=0337D2F4D38AF07DE8 exp=0337D2F4D38AF07DE8 + 28558.50ns INFO [00028560] * RD COMPARE * port=1 adr=06 act=C5824CC1C36D88D89B exp=C5824CC1C36D88D89B + 28558.50ns INFO [00028560] Port=0 WR @07=DABAE2F680AC7E0ADA + 28558.50ns INFO [00028560] Port=0 RD @04 + 28558.50ns INFO [00028560] Port=1 RD @05 + 28559.50ns INFO [00028561] * RD COMPARE * port=1 adr=01 act=0337D2F4D38AF07DE8 exp=0337D2F4D38AF07DE8 + 28559.50ns INFO [00028561] Port=0 RD @01 + 28559.50ns INFO [00028561] Port=1 RD @02 + 28560.50ns INFO [00028562] * RD COMPARE * port=0 adr=04 act=C47752F86BBA4E673F exp=C47752F86BBA4E673F + 28560.50ns INFO [00028562] * RD COMPARE * port=1 adr=05 act=8E0E10BF70B6A89267 exp=8E0E10BF70B6A89267 + 28561.50ns INFO [00028563] * RD COMPARE * port=0 adr=01 act=0337D2F4D38AF07DE8 exp=0337D2F4D38AF07DE8 + 28561.50ns INFO [00028563] * RD COMPARE * port=1 adr=02 act=616299BCA7DF984CE4 exp=616299BCA7DF984CE4 + 28561.50ns INFO [00028563] Port=1 RD @05 + 28562.50ns INFO [00028564] Port=0 WR @03=28ACBAA4C799288EE0 + 28562.50ns INFO [00028564] Port=1 RD @01 + 28563.50ns INFO [00028565] * RD COMPARE * port=1 adr=05 act=8E0E10BF70B6A89267 exp=8E0E10BF70B6A89267 + 28563.50ns INFO [00028565] Port=1 RD @03 + 28564.50ns INFO [00028566] * RD COMPARE * port=1 adr=01 act=0337D2F4D38AF07DE8 exp=0337D2F4D38AF07DE8 + 28564.50ns INFO [00028566] Port=0 WR @05=706522A62EB024139A + 28564.50ns INFO [00028566] Port=0 RD @02 + 28565.50ns INFO [00028567] * RD COMPARE * port=1 adr=03 act=28ACBAA4C799288EE0 exp=28ACBAA4C799288EE0 + 28565.50ns INFO [00028567] Port=0 WR @01=EC30934E56A5F4D26C + 28565.50ns INFO [00028567] Port=1 RD @07 + 28566.50ns INFO [00028568] * RD COMPARE * port=0 adr=02 act=616299BCA7DF984CE4 exp=616299BCA7DF984CE4 + 28567.50ns INFO [00028569] * RD COMPARE * port=1 adr=07 act=DABAE2F680AC7E0ADA exp=DABAE2F680AC7E0ADA + 28567.50ns INFO [00028569] Port=0 WR @02=32FBAB96254DC7245B + 28569.50ns INFO [00028571] Port=0 WR @03=C9E500636F07F9D84B + 28569.50ns INFO [00028571] Port=1 RD @01 + 28570.50ns INFO [00028572] Port=0 RD @01 + 28570.50ns INFO [00028572] Port=1 RD @07 + 28571.50ns INFO [00028573] * RD COMPARE * port=1 adr=01 act=EC30934E56A5F4D26C exp=EC30934E56A5F4D26C + 28571.50ns INFO [00028573] Port=0 RD @00 + 28571.50ns INFO [00028573] Port=1 RD @07 + 28572.50ns INFO [00028574] * RD COMPARE * port=0 adr=01 act=EC30934E56A5F4D26C exp=EC30934E56A5F4D26C + 28572.50ns INFO [00028574] * RD COMPARE * port=1 adr=07 act=DABAE2F680AC7E0ADA exp=DABAE2F680AC7E0ADA + 28572.50ns INFO [00028574] Port=0 WR @05=EA3D914C283D277CE6 + 28572.50ns INFO [00028574] Port=0 RD @02 + 28573.50ns INFO [00028575] * RD COMPARE * port=0 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28573.50ns INFO [00028575] * RD COMPARE * port=1 adr=07 act=DABAE2F680AC7E0ADA exp=DABAE2F680AC7E0ADA + 28574.50ns INFO [00028576] * RD COMPARE * port=0 adr=02 act=32FBAB96254DC7245B exp=32FBAB96254DC7245B + 28574.50ns INFO [00028576] Port=0 WR @06=D74235D608BBE0465D + 28574.50ns INFO [00028576] Port=1 RD @01 + 28575.50ns INFO [00028577] Port=0 WR @02=6683831B6D95EF46D0 + 28575.50ns INFO [00028577] Port=1 RD @05 + 28576.50ns INFO [00028578] * RD COMPARE * port=1 adr=01 act=EC30934E56A5F4D26C exp=EC30934E56A5F4D26C + 28576.50ns INFO [00028578] Port=1 RD @00 + 28577.50ns INFO [00028579] * RD COMPARE * port=1 adr=05 act=EA3D914C283D277CE6 exp=EA3D914C283D277CE6 + 28577.50ns INFO [00028579] Port=0 WR @03=8C22E1695058D8F63C + 28577.50ns INFO [00028579] Port=0 RD @06 + 28578.50ns INFO [00028580] * RD COMPARE * port=1 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28578.50ns INFO [00028580] Port=0 WR @06=6496F263AB38D904C4 + 28579.50ns INFO [00028581] * RD COMPARE * port=0 adr=06 act=D74235D608BBE0465D exp=D74235D608BBE0465D + 28579.50ns INFO [00028581] Port=0 WR @03=36BFA5F35B9EA9D85A + 28580.50ns INFO [00028582] Port=0 RD @00 + 28581.50ns INFO [00028583] Port=0 WR @00=F4FC511496B074BFBD + 28581.50ns INFO [00028583] Port=0 RD @04 + 28581.50ns INFO [00028583] Port=1 RD @05 + 28582.50ns INFO [00028584] * RD COMPARE * port=0 adr=00 act=C0EA0E61770281000E exp=C0EA0E61770281000E + 28582.50ns INFO [00028584] Port=0 RD @06 + 28583.50ns INFO [00028585] * RD COMPARE * port=0 adr=04 act=C47752F86BBA4E673F exp=C47752F86BBA4E673F + 28583.50ns INFO [00028585] * RD COMPARE * port=1 adr=05 act=EA3D914C283D277CE6 exp=EA3D914C283D277CE6 + 28583.50ns INFO [00028585] Port=1 RD @06 + 28584.50ns INFO [00028586] * RD COMPARE * port=0 adr=06 act=6496F263AB38D904C4 exp=6496F263AB38D904C4 + 28584.50ns INFO [00028586] Port=1 RD @06 + 28585.50ns INFO [00028587] * RD COMPARE * port=1 adr=06 act=6496F263AB38D904C4 exp=6496F263AB38D904C4 + 28586.50ns INFO [00028588] * RD COMPARE * port=1 adr=06 act=6496F263AB38D904C4 exp=6496F263AB38D904C4 + 28586.50ns INFO [00028588] Port=1 RD @01 + 28587.50ns INFO [00028589] Port=0 WR @06=5C587811521B8EFD92 + 28587.50ns INFO [00028589] Port=0 RD @01 + 28587.50ns INFO [00028589] Port=1 RD @05 + 28588.50ns INFO [00028590] * RD COMPARE * port=1 adr=01 act=EC30934E56A5F4D26C exp=EC30934E56A5F4D26C + 28589.50ns INFO [00028591] * RD COMPARE * port=0 adr=01 act=EC30934E56A5F4D26C exp=EC30934E56A5F4D26C + 28589.50ns INFO [00028591] * RD COMPARE * port=1 adr=05 act=EA3D914C283D277CE6 exp=EA3D914C283D277CE6 + 28589.50ns INFO [00028591] Port=0 WR @03=B231FEF6839F716C09 + 28589.50ns INFO [00028591] Port=0 RD @07 + 28591.50ns INFO [00028593] * RD COMPARE * port=0 adr=07 act=DABAE2F680AC7E0ADA exp=DABAE2F680AC7E0ADA + 28592.50ns INFO [00028594] Port=0 WR @00=D8BEDBC9BCF73B3C50 + 28593.50ns INFO [00028595] Port=0 RD @00 + 28594.50ns INFO [00028596] Port=0 RD @07 + 28595.50ns INFO [00028597] * RD COMPARE * port=0 adr=00 act=D8BEDBC9BCF73B3C50 exp=D8BEDBC9BCF73B3C50 + 28595.50ns INFO [00028597] Port=0 WR @07=BC0F508EEB21A6AB08 + 28595.50ns INFO [00028597] Port=0 RD @05 + 28596.50ns INFO [00028598] * RD COMPARE * port=0 adr=07 act=DABAE2F680AC7E0ADA exp=DABAE2F680AC7E0ADA + 28596.50ns INFO [00028598] Port=0 WR @00=C2362BC6D5CCB30897 + 28597.50ns INFO [00028599] * RD COMPARE * port=0 adr=05 act=EA3D914C283D277CE6 exp=EA3D914C283D277CE6 + 28597.50ns INFO [00028599] Port=0 WR @04=76A17C2D7182506D7D + 28597.50ns INFO [00028599] Port=0 RD @03 + 28598.00ns INFO [00028600] [00028600] ...tick... + 28598.50ns INFO [00028600] Port=1 RD @06 + 28599.50ns INFO [00028601] * RD COMPARE * port=0 adr=03 act=B231FEF6839F716C09 exp=B231FEF6839F716C09 + 28599.50ns INFO [00028601] Port=0 RD @07 + 28599.50ns INFO [00028601] Port=1 RD @02 + 28600.50ns INFO [00028602] * RD COMPARE * port=1 adr=06 act=5C587811521B8EFD92 exp=5C587811521B8EFD92 + 28601.50ns INFO [00028603] * RD COMPARE * port=0 adr=07 act=BC0F508EEB21A6AB08 exp=BC0F508EEB21A6AB08 + 28601.50ns INFO [00028603] * RD COMPARE * port=1 adr=02 act=6683831B6D95EF46D0 exp=6683831B6D95EF46D0 + 28601.50ns INFO [00028603] Port=0 RD @04 + 28602.50ns INFO [00028604] Port=0 WR @00=165BD757A3B6F4B551 + 28602.50ns INFO [00028604] Port=0 RD @06 + 28603.50ns INFO [00028605] * RD COMPARE * port=0 adr=04 act=76A17C2D7182506D7D exp=76A17C2D7182506D7D + 28603.50ns INFO [00028605] Port=0 WR @03=9EE0EB8A40C2DCA22F + 28604.50ns INFO [00028606] * RD COMPARE * port=0 adr=06 act=5C587811521B8EFD92 exp=5C587811521B8EFD92 + 28604.50ns INFO [00028606] Port=0 WR @04=7E3FBEE20379A318E1 + 28604.50ns INFO [00028606] Port=1 RD @06 + 28605.50ns INFO [00028607] Port=1 RD @00 + 28606.50ns INFO [00028608] * RD COMPARE * port=1 adr=06 act=5C587811521B8EFD92 exp=5C587811521B8EFD92 + 28606.50ns INFO [00028608] Port=0 WR @07=4BFA0B569E38E0B9BC + 28606.50ns INFO [00028608] Port=1 RD @00 + 28607.50ns INFO [00028609] * RD COMPARE * port=1 adr=00 act=165BD757A3B6F4B551 exp=165BD757A3B6F4B551 + 28607.50ns INFO [00028609] Port=0 RD @01 + 28607.50ns INFO [00028609] Port=1 RD @07 + 28608.50ns INFO [00028610] * RD COMPARE * port=1 adr=00 act=165BD757A3B6F4B551 exp=165BD757A3B6F4B551 + 28608.50ns INFO [00028610] Port=0 WR @00=DD3E05F5A2CACAC535 + 28609.50ns INFO [00028611] * RD COMPARE * port=0 adr=01 act=EC30934E56A5F4D26C exp=EC30934E56A5F4D26C + 28609.50ns INFO [00028611] * RD COMPARE * port=1 adr=07 act=4BFA0B569E38E0B9BC exp=4BFA0B569E38E0B9BC + 28609.50ns INFO [00028611] Port=0 WR @01=7DB91CD51687FAD28B + 28609.50ns INFO [00028611] Port=0 RD @03 + 28610.50ns INFO [00028612] Port=0 WR @05=FC0774A93E04B1CE76 + 28610.50ns INFO [00028612] Port=0 RD @07 + 28611.50ns INFO [00028613] * RD COMPARE * port=0 adr=03 act=9EE0EB8A40C2DCA22F exp=9EE0EB8A40C2DCA22F + 28612.50ns INFO [00028614] * RD COMPARE * port=0 adr=07 act=4BFA0B569E38E0B9BC exp=4BFA0B569E38E0B9BC + 28612.50ns INFO [00028614] Port=0 WR @01=D1CF9D8A2EC2DF8014 + 28612.50ns INFO [00028614] Port=0 RD @06 + 28614.50ns INFO [00028616] * RD COMPARE * port=0 adr=06 act=5C587811521B8EFD92 exp=5C587811521B8EFD92 + 28614.50ns INFO [00028616] Port=1 RD @03 + 28615.50ns INFO [00028617] Port=1 RD @03 + 28616.50ns INFO [00028618] * RD COMPARE * port=1 adr=03 act=9EE0EB8A40C2DCA22F exp=9EE0EB8A40C2DCA22F + 28617.50ns INFO [00028619] * RD COMPARE * port=1 adr=03 act=9EE0EB8A40C2DCA22F exp=9EE0EB8A40C2DCA22F + 28617.50ns INFO [00028619] Port=0 WR @04=6E07886847C1F7A5A6 + 28617.50ns INFO [00028619] Port=0 RD @03 + 28617.50ns INFO [00028619] Port=1 RD @01 + 28619.50ns INFO [00028621] * RD COMPARE * port=0 adr=03 act=9EE0EB8A40C2DCA22F exp=9EE0EB8A40C2DCA22F + 28619.50ns INFO [00028621] * RD COMPARE * port=1 adr=01 act=D1CF9D8A2EC2DF8014 exp=D1CF9D8A2EC2DF8014 + 28619.50ns INFO [00028621] Port=1 RD @07 + 28621.50ns INFO [00028623] * RD COMPARE * port=1 adr=07 act=4BFA0B569E38E0B9BC exp=4BFA0B569E38E0B9BC + 28622.50ns INFO [00028624] Port=0 WR @06=62AD29F2FEB1279F61 + 28622.50ns INFO [00028624] Port=0 RD @04 + 28623.50ns INFO [00028625] Port=0 RD @03 + 28624.50ns INFO [00028626] * RD COMPARE * port=0 adr=04 act=6E07886847C1F7A5A6 exp=6E07886847C1F7A5A6 + 28624.50ns INFO [00028626] Port=0 RD @04 + 28625.50ns INFO [00028627] * RD COMPARE * port=0 adr=03 act=9EE0EB8A40C2DCA22F exp=9EE0EB8A40C2DCA22F + 28625.50ns INFO [00028627] Port=0 WR @07=456B35622795AB89A0 + 28626.50ns INFO [00028628] * RD COMPARE * port=0 adr=04 act=6E07886847C1F7A5A6 exp=6E07886847C1F7A5A6 + 28626.50ns INFO [00028628] Port=0 WR @05=A5FA24096381892CAE + 28626.50ns INFO [00028628] Port=1 RD @00 + 28628.50ns INFO [00028630] * RD COMPARE * port=1 adr=00 act=DD3E05F5A2CACAC535 exp=DD3E05F5A2CACAC535 + 28628.50ns INFO [00028630] Port=0 WR @06=A1AF8A16BC7F79B8D0 + 28628.50ns INFO [00028630] Port=1 RD @07 + 28629.50ns INFO [00028631] Port=0 WR @05=AD07AFFC65E9E08036 + 28629.50ns INFO [00028631] Port=1 RD @01 + 28630.50ns INFO [00028632] * RD COMPARE * port=1 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28630.50ns INFO [00028632] Port=0 WR @02=1A6DB7658602CCF9A8 + 28630.50ns INFO [00028632] Port=1 RD @01 + 28631.50ns INFO [00028633] * RD COMPARE * port=1 adr=01 act=D1CF9D8A2EC2DF8014 exp=D1CF9D8A2EC2DF8014 + 28632.50ns INFO [00028634] * RD COMPARE * port=1 adr=01 act=D1CF9D8A2EC2DF8014 exp=D1CF9D8A2EC2DF8014 + 28632.50ns INFO [00028634] Port=0 WR @05=2A3E469D120A0EA899 + 28633.50ns INFO [00028635] Port=0 WR @02=2479F6225C72930C88 + 28634.50ns INFO [00028636] Port=0 RD @07 + 28634.50ns INFO [00028636] Port=1 RD @05 + 28635.50ns INFO [00028637] Port=0 RD @05 + 28636.50ns INFO [00028638] * RD COMPARE * port=0 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28636.50ns INFO [00028638] * RD COMPARE * port=1 adr=05 act=2A3E469D120A0EA899 exp=2A3E469D120A0EA899 + 28636.50ns INFO [00028638] Port=0 WR @02=C98125E500252E7081 + 28636.50ns INFO [00028638] Port=1 RD @05 + 28637.50ns INFO [00028639] * RD COMPARE * port=0 adr=05 act=2A3E469D120A0EA899 exp=2A3E469D120A0EA899 + 28637.50ns INFO [00028639] Port=0 WR @03=A49CD9F0969C7613D1 + 28637.50ns INFO [00028639] Port=0 RD @05 + 28637.50ns INFO [00028639] Port=1 RD @04 + 28638.50ns INFO [00028640] * RD COMPARE * port=1 adr=05 act=2A3E469D120A0EA899 exp=2A3E469D120A0EA899 + 28638.50ns INFO [00028640] Port=1 RD @02 + 28639.50ns INFO [00028641] * RD COMPARE * port=0 adr=05 act=2A3E469D120A0EA899 exp=2A3E469D120A0EA899 + 28639.50ns INFO [00028641] * RD COMPARE * port=1 adr=04 act=6E07886847C1F7A5A6 exp=6E07886847C1F7A5A6 + 28640.50ns INFO [00028642] * RD COMPARE * port=1 adr=02 act=C98125E500252E7081 exp=C98125E500252E7081 + 28640.50ns INFO [00028642] Port=0 RD @03 + 28641.50ns INFO [00028643] Port=1 RD @03 + 28642.50ns INFO [00028644] * RD COMPARE * port=0 adr=03 act=A49CD9F0969C7613D1 exp=A49CD9F0969C7613D1 + 28643.50ns INFO [00028645] * RD COMPARE * port=1 adr=03 act=A49CD9F0969C7613D1 exp=A49CD9F0969C7613D1 + 28643.50ns INFO [00028645] Port=1 RD @00 + 28644.50ns INFO [00028646] Port=0 RD @07 + 28644.50ns INFO [00028646] Port=1 RD @06 + 28645.50ns INFO [00028647] * RD COMPARE * port=1 adr=00 act=DD3E05F5A2CACAC535 exp=DD3E05F5A2CACAC535 + 28645.50ns INFO [00028647] Port=0 RD @07 + 28645.50ns INFO [00028647] Port=1 RD @04 + 28646.50ns INFO [00028648] * RD COMPARE * port=0 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28646.50ns INFO [00028648] * RD COMPARE * port=1 adr=06 act=A1AF8A16BC7F79B8D0 exp=A1AF8A16BC7F79B8D0 + 28647.50ns INFO [00028649] * RD COMPARE * port=0 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28647.50ns INFO [00028649] * RD COMPARE * port=1 adr=04 act=6E07886847C1F7A5A6 exp=6E07886847C1F7A5A6 + 28649.50ns INFO [00028651] Port=1 RD @01 + 28650.50ns INFO [00028652] Port=0 RD @07 + 28651.50ns INFO [00028653] * RD COMPARE * port=1 adr=01 act=D1CF9D8A2EC2DF8014 exp=D1CF9D8A2EC2DF8014 + 28652.50ns INFO [00028654] * RD COMPARE * port=0 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28652.50ns INFO [00028654] Port=1 RD @07 + 28653.50ns INFO [00028655] Port=0 RD @07 + 28654.50ns INFO [00028656] * RD COMPARE * port=1 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28654.50ns INFO [00028656] Port=0 WR @05=A72929B07B6BB66639 + 28654.50ns INFO [00028656] Port=0 RD @02 + 28654.50ns INFO [00028656] Port=1 RD @03 + 28655.50ns INFO [00028657] * RD COMPARE * port=0 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28655.50ns INFO [00028657] Port=0 WR @00=E049918DB01930279B + 28655.50ns INFO [00028657] Port=0 RD @03 + 28656.50ns INFO [00028658] * RD COMPARE * port=0 adr=02 act=C98125E500252E7081 exp=C98125E500252E7081 + 28656.50ns INFO [00028658] * RD COMPARE * port=1 adr=03 act=A49CD9F0969C7613D1 exp=A49CD9F0969C7613D1 + 28656.50ns INFO [00028658] Port=0 WR @06=EE2537F27B2213C2BD + 28656.50ns INFO [00028658] Port=1 RD @03 + 28657.50ns INFO [00028659] * RD COMPARE * port=0 adr=03 act=A49CD9F0969C7613D1 exp=A49CD9F0969C7613D1 + 28658.50ns INFO [00028660] * RD COMPARE * port=1 adr=03 act=A49CD9F0969C7613D1 exp=A49CD9F0969C7613D1 + 28658.50ns INFO [00028660] Port=0 RD @01 + 28658.50ns INFO [00028660] Port=1 RD @01 + 28660.50ns INFO [00028662] * RD COMPARE * port=0 adr=01 act=D1CF9D8A2EC2DF8014 exp=D1CF9D8A2EC2DF8014 + 28660.50ns INFO [00028662] * RD COMPARE * port=1 adr=01 act=D1CF9D8A2EC2DF8014 exp=D1CF9D8A2EC2DF8014 + 28661.50ns INFO [00028663] Port=0 WR @01=74CDB88CC79F8C74C3 + 28661.50ns INFO [00028663] Port=1 RD @07 + 28662.50ns INFO [00028664] Port=0 WR @06=CA8A148D096ECC299D + 28662.50ns INFO [00028664] Port=1 RD @07 + 28663.50ns INFO [00028665] * RD COMPARE * port=1 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28663.50ns INFO [00028665] Port=1 RD @06 + 28664.50ns INFO [00028666] * RD COMPARE * port=1 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28664.50ns INFO [00028666] Port=1 RD @07 + 28665.50ns INFO [00028667] * RD COMPARE * port=1 adr=06 act=CA8A148D096ECC299D exp=CA8A148D096ECC299D + 28665.50ns INFO [00028667] Port=0 RD @04 + 28666.50ns INFO [00028668] * RD COMPARE * port=1 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28667.50ns INFO [00028669] * RD COMPARE * port=0 adr=04 act=6E07886847C1F7A5A6 exp=6E07886847C1F7A5A6 + 28667.50ns INFO [00028669] Port=0 RD @01 + 28667.50ns INFO [00028669] Port=1 RD @03 + 28668.50ns INFO [00028670] Port=1 RD @03 + 28669.50ns INFO [00028671] * RD COMPARE * port=0 adr=01 act=74CDB88CC79F8C74C3 exp=74CDB88CC79F8C74C3 + 28669.50ns INFO [00028671] * RD COMPARE * port=1 adr=03 act=A49CD9F0969C7613D1 exp=A49CD9F0969C7613D1 + 28669.50ns INFO [00028671] Port=0 WR @04=5399BB6BB33CFBE970 + 28669.50ns INFO [00028671] Port=0 RD @02 + 28669.50ns INFO [00028671] Port=1 RD @07 + 28670.50ns INFO [00028672] * RD COMPARE * port=1 adr=03 act=A49CD9F0969C7613D1 exp=A49CD9F0969C7613D1 + 28670.50ns INFO [00028672] Port=0 WR @07=9F8F944E1276F224A9 + 28671.50ns INFO [00028673] * RD COMPARE * port=0 adr=02 act=C98125E500252E7081 exp=C98125E500252E7081 + 28671.50ns INFO [00028673] * RD COMPARE * port=1 adr=07 act=456B35622795AB89A0 exp=456B35622795AB89A0 + 28671.50ns INFO [00028673] Port=0 WR @03=29583A441550CF8E00 + 28671.50ns INFO [00028673] Port=0 RD @02 + 28671.50ns INFO [00028673] Port=1 RD @02 + 28673.50ns INFO [00028675] * RD COMPARE * port=0 adr=02 act=C98125E500252E7081 exp=C98125E500252E7081 + 28673.50ns INFO [00028675] * RD COMPARE * port=1 adr=02 act=C98125E500252E7081 exp=C98125E500252E7081 + 28673.50ns INFO [00028675] Port=1 RD @07 + 28675.50ns INFO [00028677] * RD COMPARE * port=1 adr=07 act=9F8F944E1276F224A9 exp=9F8F944E1276F224A9 + 28676.50ns INFO [00028678] Port=0 WR @07=258977934495DF8DE3 + 28677.50ns INFO [00028679] Port=0 WR @04=37CFF657F5B91B1468 + 28677.50ns INFO [00028679] Port=1 RD @03 + 28678.50ns INFO [00028680] Port=0 WR @05=CB564A95B4334488A6 + 28678.50ns INFO [00028680] Port=0 RD @04 + 28679.50ns INFO [00028681] * RD COMPARE * port=1 adr=03 act=29583A441550CF8E00 exp=29583A441550CF8E00 + 28679.50ns INFO [00028681] Port=0 WR @04=10BD5F04EC5341512E + 28679.50ns INFO [00028681] Port=0 RD @07 + 28679.50ns INFO [00028681] Port=1 RD @01 + 28680.50ns INFO [00028682] * RD COMPARE * port=0 adr=04 act=37CFF657F5B91B1468 exp=37CFF657F5B91B1468 + 28681.50ns INFO [00028683] * RD COMPARE * port=0 adr=07 act=258977934495DF8DE3 exp=258977934495DF8DE3 + 28681.50ns INFO [00028683] * RD COMPARE * port=1 adr=01 act=74CDB88CC79F8C74C3 exp=74CDB88CC79F8C74C3 + 28681.50ns INFO [00028683] Port=0 RD @01 + 28682.50ns INFO [00028684] Port=0 WR @07=FD82CC20BE29AC1452 + 28683.50ns INFO [00028685] * RD COMPARE * port=0 adr=01 act=74CDB88CC79F8C74C3 exp=74CDB88CC79F8C74C3 + 28684.50ns INFO [00028686] Port=0 WR @07=C7F034EFF93204F07D + 28685.50ns INFO [00028687] Port=0 WR @01=4516291A48914DE367 + 28685.50ns INFO [00028687] Port=0 RD @06 + 28685.50ns INFO [00028687] Port=1 RD @07 + 28686.50ns INFO [00028688] Port=1 RD @03 + 28687.50ns INFO [00028689] * RD COMPARE * port=0 adr=06 act=CA8A148D096ECC299D exp=CA8A148D096ECC299D + 28687.50ns INFO [00028689] * RD COMPARE * port=1 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28687.50ns INFO [00028689] Port=0 WR @02=F4FC3C2CB802A20F50 + 28687.50ns INFO [00028689] Port=0 RD @07 + 28688.50ns INFO [00028690] * RD COMPARE * port=1 adr=03 act=29583A441550CF8E00 exp=29583A441550CF8E00 + 28689.50ns INFO [00028691] * RD COMPARE * port=0 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28689.50ns INFO [00028691] Port=0 WR @00=24C415CF395E2BCFDF + 28691.50ns INFO [00028693] Port=0 RD @03 + 28692.50ns INFO [00028694] Port=0 WR @04=86A8F994E50A89342A + 28692.50ns INFO [00028694] Port=0 RD @03 + 28693.50ns INFO [00028695] * RD COMPARE * port=0 adr=03 act=29583A441550CF8E00 exp=29583A441550CF8E00 + 28694.50ns INFO [00028696] * RD COMPARE * port=0 adr=03 act=29583A441550CF8E00 exp=29583A441550CF8E00 + 28694.50ns INFO [00028696] Port=0 RD @04 + 28694.50ns INFO [00028696] Port=1 RD @06 + 28695.50ns INFO [00028697] Port=1 RD @05 + 28696.50ns INFO [00028698] * RD COMPARE * port=0 adr=04 act=86A8F994E50A89342A exp=86A8F994E50A89342A + 28696.50ns INFO [00028698] * RD COMPARE * port=1 adr=06 act=CA8A148D096ECC299D exp=CA8A148D096ECC299D + 28697.50ns INFO [00028699] * RD COMPARE * port=1 adr=05 act=CB564A95B4334488A6 exp=CB564A95B4334488A6 + 28697.50ns INFO [00028699] Port=0 RD @02 + 28698.00ns INFO [00028700] [00028700] ...tick... + 28698.50ns INFO [00028700] Port=0 WR @05=6CDD336CE5330165B7 + 28698.50ns INFO [00028700] Port=0 RD @01 + 28699.50ns INFO [00028701] * RD COMPARE * port=0 adr=02 act=F4FC3C2CB802A20F50 exp=F4FC3C2CB802A20F50 + 28699.50ns INFO [00028701] Port=0 WR @01=D1C4096C46B2BCDF8E + 28699.50ns INFO [00028701] Port=0 RD @05 + 28700.50ns INFO [00028702] * RD COMPARE * port=0 adr=01 act=4516291A48914DE367 exp=4516291A48914DE367 + 28700.50ns INFO [00028702] Port=0 RD @01 + 28701.50ns INFO [00028703] * RD COMPARE * port=0 adr=05 act=6CDD336CE5330165B7 exp=6CDD336CE5330165B7 + 28701.50ns INFO [00028703] Port=0 RD @07 + 28702.50ns INFO [00028704] * RD COMPARE * port=0 adr=01 act=D1C4096C46B2BCDF8E exp=D1C4096C46B2BCDF8E + 28702.50ns INFO [00028704] Port=0 WR @01=F3C993435F94FBA5CB + 28702.50ns INFO [00028704] Port=1 RD @04 + 28703.50ns INFO [00028705] * RD COMPARE * port=0 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28704.50ns INFO [00028706] * RD COMPARE * port=1 adr=04 act=86A8F994E50A89342A exp=86A8F994E50A89342A + 28704.50ns INFO [00028706] Port=1 RD @07 + 28705.50ns INFO [00028707] Port=0 RD @03 + 28706.50ns INFO [00028708] * RD COMPARE * port=1 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28706.50ns INFO [00028708] Port=0 WR @04=336D8CCB62DB32C350 + 28707.50ns INFO [00028709] * RD COMPARE * port=0 adr=03 act=29583A441550CF8E00 exp=29583A441550CF8E00 + 28707.50ns INFO [00028709] Port=0 WR @02=35F6AF160684408C0E + 28708.50ns INFO [00028710] Port=0 RD @04 + 28709.50ns INFO [00028711] Port=0 RD @02 + 28710.50ns INFO [00028712] * RD COMPARE * port=0 adr=04 act=336D8CCB62DB32C350 exp=336D8CCB62DB32C350 + 28710.50ns INFO [00028712] Port=0 WR @02=6EFB0394D3DCB4D494 + 28710.50ns INFO [00028712] Port=0 RD @07 + 28711.50ns INFO [00028713] * RD COMPARE * port=0 adr=02 act=35F6AF160684408C0E exp=35F6AF160684408C0E + 28711.50ns INFO [00028713] Port=0 RD @04 + 28712.50ns INFO [00028714] * RD COMPARE * port=0 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28712.50ns INFO [00028714] Port=1 RD @01 + 28713.50ns INFO [00028715] * RD COMPARE * port=0 adr=04 act=336D8CCB62DB32C350 exp=336D8CCB62DB32C350 + 28713.50ns INFO [00028715] Port=1 RD @03 + 28714.50ns INFO [00028716] * RD COMPARE * port=1 adr=01 act=F3C993435F94FBA5CB exp=F3C993435F94FBA5CB + 28715.50ns INFO [00028717] * RD COMPARE * port=1 adr=03 act=29583A441550CF8E00 exp=29583A441550CF8E00 + 28715.50ns INFO [00028717] Port=0 RD @07 + 28716.50ns INFO [00028718] Port=0 RD @07 + 28717.50ns INFO [00028719] * RD COMPARE * port=0 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28717.50ns INFO [00028719] Port=0 RD @00 + 28718.50ns INFO [00028720] * RD COMPARE * port=0 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28718.50ns INFO [00028720] Port=1 RD @03 + 28719.50ns INFO [00028721] * RD COMPARE * port=0 adr=00 act=24C415CF395E2BCFDF exp=24C415CF395E2BCFDF + 28719.50ns INFO [00028721] Port=0 WR @03=350CC764945ADB5D23 + 28719.50ns INFO [00028721] Port=1 RD @06 + 28720.50ns INFO [00028722] * RD COMPARE * port=1 adr=03 act=29583A441550CF8E00 exp=29583A441550CF8E00 + 28720.50ns INFO [00028722] Port=0 RD @03 + 28721.50ns INFO [00028723] * RD COMPARE * port=1 adr=06 act=CA8A148D096ECC299D exp=CA8A148D096ECC299D + 28721.50ns INFO [00028723] Port=0 RD @05 + 28722.50ns INFO [00028724] * RD COMPARE * port=0 adr=03 act=350CC764945ADB5D23 exp=350CC764945ADB5D23 + 28723.50ns INFO [00028725] * RD COMPARE * port=0 adr=05 act=6CDD336CE5330165B7 exp=6CDD336CE5330165B7 + 28723.50ns INFO [00028725] Port=0 WR @04=65F9462A5F603B359F + 28723.50ns INFO [00028725] Port=0 RD @02 + 28724.50ns INFO [00028726] Port=0 WR @06=87A38DE78AC7074EEC + 28724.50ns INFO [00028726] Port=0 RD @01 + 28725.50ns INFO [00028727] * RD COMPARE * port=0 adr=02 act=6EFB0394D3DCB4D494 exp=6EFB0394D3DCB4D494 + 28726.50ns INFO [00028728] * RD COMPARE * port=0 adr=01 act=F3C993435F94FBA5CB exp=F3C993435F94FBA5CB + 28726.50ns INFO [00028728] Port=0 RD @04 + 28726.50ns INFO [00028728] Port=1 RD @04 + 28727.50ns INFO [00028729] Port=1 RD @01 + 28728.50ns INFO [00028730] * RD COMPARE * port=0 adr=04 act=65F9462A5F603B359F exp=65F9462A5F603B359F + 28728.50ns INFO [00028730] * RD COMPARE * port=1 adr=04 act=65F9462A5F603B359F exp=65F9462A5F603B359F + 28728.50ns INFO [00028730] Port=1 RD @04 + 28729.50ns INFO [00028731] * RD COMPARE * port=1 adr=01 act=F3C993435F94FBA5CB exp=F3C993435F94FBA5CB + 28729.50ns INFO [00028731] Port=0 WR @06=F24DF466F03C507889 + 28729.50ns INFO [00028731] Port=0 RD @00 + 28730.50ns INFO [00028732] * RD COMPARE * port=1 adr=04 act=65F9462A5F603B359F exp=65F9462A5F603B359F + 28730.50ns INFO [00028732] Port=0 RD @01 + 28731.50ns INFO [00028733] * RD COMPARE * port=0 adr=00 act=24C415CF395E2BCFDF exp=24C415CF395E2BCFDF + 28731.50ns INFO [00028733] Port=1 RD @02 + 28732.50ns INFO [00028734] * RD COMPARE * port=0 adr=01 act=F3C993435F94FBA5CB exp=F3C993435F94FBA5CB + 28733.50ns INFO [00028735] * RD COMPARE * port=1 adr=02 act=6EFB0394D3DCB4D494 exp=6EFB0394D3DCB4D494 + 28733.50ns INFO [00028735] Port=0 RD @02 + 28733.50ns INFO [00028735] Port=1 RD @02 + 28734.50ns INFO [00028736] Port=1 RD @06 + 28735.50ns INFO [00028737] * RD COMPARE * port=0 adr=02 act=6EFB0394D3DCB4D494 exp=6EFB0394D3DCB4D494 + 28735.50ns INFO [00028737] * RD COMPARE * port=1 adr=02 act=6EFB0394D3DCB4D494 exp=6EFB0394D3DCB4D494 + 28735.50ns INFO [00028737] Port=0 WR @04=7959967199493550FF + 28735.50ns INFO [00028737] Port=0 RD @02 + 28736.50ns INFO [00028738] * RD COMPARE * port=1 adr=06 act=F24DF466F03C507889 exp=F24DF466F03C507889 + 28736.50ns INFO [00028738] Port=1 RD @00 + 28737.50ns INFO [00028739] * RD COMPARE * port=0 adr=02 act=6EFB0394D3DCB4D494 exp=6EFB0394D3DCB4D494 + 28737.50ns INFO [00028739] Port=0 WR @06=CE4667A5B6942093AE + 28737.50ns INFO [00028739] Port=0 RD @03 + 28737.50ns INFO [00028739] Port=1 RD @02 + 28738.50ns INFO [00028740] * RD COMPARE * port=1 adr=00 act=24C415CF395E2BCFDF exp=24C415CF395E2BCFDF + 28738.50ns INFO [00028740] Port=0 RD @03 + 28738.50ns INFO [00028740] Port=1 RD @03 + 28739.50ns INFO [00028741] * RD COMPARE * port=0 adr=03 act=350CC764945ADB5D23 exp=350CC764945ADB5D23 + 28739.50ns INFO [00028741] * RD COMPARE * port=1 adr=02 act=6EFB0394D3DCB4D494 exp=6EFB0394D3DCB4D494 + 28739.50ns INFO [00028741] Port=0 WR @00=0782A4E66A139B3436 + 28740.50ns INFO [00028742] * RD COMPARE * port=0 adr=03 act=350CC764945ADB5D23 exp=350CC764945ADB5D23 + 28740.50ns INFO [00028742] * RD COMPARE * port=1 adr=03 act=350CC764945ADB5D23 exp=350CC764945ADB5D23 + 28740.50ns INFO [00028742] Port=0 RD @00 + 28741.50ns INFO [00028743] Port=0 WR @05=C53244ECA7E8DCAF1B + 28741.50ns INFO [00028743] Port=0 RD @01 + 28742.50ns INFO [00028744] * RD COMPARE * port=0 adr=00 act=0782A4E66A139B3436 exp=0782A4E66A139B3436 + 28742.50ns INFO [00028744] Port=0 RD @06 + 28743.50ns INFO [00028745] * RD COMPARE * port=0 adr=01 act=F3C993435F94FBA5CB exp=F3C993435F94FBA5CB + 28743.50ns INFO [00028745] Port=0 WR @00=8988DE38A29228E716 + 28743.50ns INFO [00028745] Port=0 RD @07 + 28743.50ns INFO [00028745] Port=1 RD @04 + 28744.50ns INFO [00028746] * RD COMPARE * port=0 adr=06 act=CE4667A5B6942093AE exp=CE4667A5B6942093AE + 28744.50ns INFO [00028746] Port=0 RD @07 + 28745.50ns INFO [00028747] * RD COMPARE * port=0 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28745.50ns INFO [00028747] * RD COMPARE * port=1 adr=04 act=7959967199493550FF exp=7959967199493550FF + 28745.50ns INFO [00028747] Port=0 WR @05=5935333DB5648C20CA + 28745.50ns INFO [00028747] Port=1 RD @02 + 28746.50ns INFO [00028748] * RD COMPARE * port=0 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28746.50ns INFO [00028748] Port=0 RD @05 + 28747.50ns INFO [00028749] * RD COMPARE * port=1 adr=02 act=6EFB0394D3DCB4D494 exp=6EFB0394D3DCB4D494 + 28747.50ns INFO [00028749] Port=0 WR @04=3C913E62B02A77CEA9 + 28748.50ns INFO [00028750] * RD COMPARE * port=0 adr=05 act=5935333DB5648C20CA exp=5935333DB5648C20CA + 28749.50ns INFO [00028751] Port=1 RD @07 + 28750.50ns INFO [00028752] Port=0 RD @07 + 28751.50ns INFO [00028753] * RD COMPARE * port=1 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28751.50ns INFO [00028753] Port=0 RD @01 + 28752.50ns INFO [00028754] * RD COMPARE * port=0 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28752.50ns INFO [00028754] Port=0 WR @01=0C99FD6FE880A660D3 + 28752.50ns INFO [00028754] Port=0 RD @00 + 28753.50ns INFO [00028755] * RD COMPARE * port=0 adr=01 act=F3C993435F94FBA5CB exp=F3C993435F94FBA5CB + 28753.50ns INFO [00028755] Port=0 WR @05=4DEF8452029B7AADE8 + 28753.50ns INFO [00028755] Port=0 RD @04 + 28754.50ns INFO [00028756] * RD COMPARE * port=0 adr=00 act=8988DE38A29228E716 exp=8988DE38A29228E716 + 28755.50ns INFO [00028757] * RD COMPARE * port=0 adr=04 act=3C913E62B02A77CEA9 exp=3C913E62B02A77CEA9 + 28756.50ns INFO [00028758] Port=0 RD @04 + 28758.50ns INFO [00028760] * RD COMPARE * port=0 adr=04 act=3C913E62B02A77CEA9 exp=3C913E62B02A77CEA9 + 28758.50ns INFO [00028760] Port=0 WR @03=3C9A09EA4BC6DD6188 + 28758.50ns INFO [00028760] Port=0 RD @02 + 28758.50ns INFO [00028760] Port=1 RD @06 + 28759.50ns INFO [00028761] Port=0 WR @03=00F93DD02E86699B60 + 28759.50ns INFO [00028761] Port=1 RD @01 + 28760.50ns INFO [00028762] * RD COMPARE * port=0 adr=02 act=6EFB0394D3DCB4D494 exp=6EFB0394D3DCB4D494 + 28760.50ns INFO [00028762] * RD COMPARE * port=1 adr=06 act=CE4667A5B6942093AE exp=CE4667A5B6942093AE + 28760.50ns INFO [00028762] Port=0 WR @02=10ECB64824938F6D69 + 28760.50ns INFO [00028762] Port=1 RD @04 + 28761.50ns INFO [00028763] * RD COMPARE * port=1 adr=01 act=0C99FD6FE880A660D3 exp=0C99FD6FE880A660D3 + 28761.50ns INFO [00028763] Port=1 RD @02 + 28762.50ns INFO [00028764] * RD COMPARE * port=1 adr=04 act=3C913E62B02A77CEA9 exp=3C913E62B02A77CEA9 + 28763.50ns INFO [00028765] * RD COMPARE * port=1 adr=02 act=10ECB64824938F6D69 exp=10ECB64824938F6D69 + 28763.50ns INFO [00028765] Port=0 WR @02=6A605376F887A26DD0 + 28764.50ns INFO [00028766] Port=1 RD @04 + 28765.50ns INFO [00028767] Port=0 WR @05=03CFC9284C42AAED8C + 28766.50ns INFO [00028768] * RD COMPARE * port=1 adr=04 act=3C913E62B02A77CEA9 exp=3C913E62B02A77CEA9 + 28766.50ns INFO [00028768] Port=0 WR @00=7A7162DD5EA0B91397 + 28766.50ns INFO [00028768] Port=1 RD @01 + 28767.50ns INFO [00028769] Port=0 WR @06=D2D38544354E4D8F2A + 28767.50ns INFO [00028769] Port=1 RD @04 + 28768.50ns INFO [00028770] * RD COMPARE * port=1 adr=01 act=0C99FD6FE880A660D3 exp=0C99FD6FE880A660D3 + 28769.50ns INFO [00028771] * RD COMPARE * port=1 adr=04 act=3C913E62B02A77CEA9 exp=3C913E62B02A77CEA9 + 28769.50ns INFO [00028771] Port=1 RD @02 + 28770.50ns INFO [00028772] Port=0 RD @07 + 28771.50ns INFO [00028773] * RD COMPARE * port=1 adr=02 act=6A605376F887A26DD0 exp=6A605376F887A26DD0 + 28771.50ns INFO [00028773] Port=0 WR @05=C7F9A742AED7F833DB + 28772.50ns INFO [00028774] * RD COMPARE * port=0 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28772.50ns INFO [00028774] Port=0 WR @04=2BC452B0FF4B1B9DFA + 28772.50ns INFO [00028774] Port=1 RD @05 + 28774.50ns INFO [00028776] * RD COMPARE * port=1 adr=05 act=C7F9A742AED7F833DB exp=C7F9A742AED7F833DB + 28774.50ns INFO [00028776] Port=0 WR @00=33361E2CA73A050AE8 + 28774.50ns INFO [00028776] Port=0 RD @03 + 28774.50ns INFO [00028776] Port=1 RD @07 + 28775.50ns INFO [00028777] Port=0 RD @06 + 28775.50ns INFO [00028777] Port=1 RD @05 + 28776.50ns INFO [00028778] * RD COMPARE * port=0 adr=03 act=00F93DD02E86699B60 exp=00F93DD02E86699B60 + 28776.50ns INFO [00028778] * RD COMPARE * port=1 adr=07 act=C7F034EFF93204F07D exp=C7F034EFF93204F07D + 28776.50ns INFO [00028778] Port=1 RD @03 + 28777.50ns INFO [00028779] * RD COMPARE * port=0 adr=06 act=D2D38544354E4D8F2A exp=D2D38544354E4D8F2A + 28777.50ns INFO [00028779] * RD COMPARE * port=1 adr=05 act=C7F9A742AED7F833DB exp=C7F9A742AED7F833DB + 28778.50ns INFO [00028780] * RD COMPARE * port=1 adr=03 act=00F93DD02E86699B60 exp=00F93DD02E86699B60 + 28778.50ns INFO [00028780] Port=0 WR @06=88BD6943FB0DF76B94 + 28778.50ns INFO [00028780] Port=1 RD @02 + 28780.50ns INFO [00028782] * RD COMPARE * port=1 adr=02 act=6A605376F887A26DD0 exp=6A605376F887A26DD0 + 28780.50ns INFO [00028782] Port=1 RD @00 + 28781.50ns INFO [00028783] Port=0 WR @03=8A395B5AD9938BBF58 + 28781.50ns INFO [00028783] Port=1 RD @05 + 28782.50ns INFO [00028784] * RD COMPARE * port=1 adr=00 act=33361E2CA73A050AE8 exp=33361E2CA73A050AE8 + 28782.50ns INFO [00028784] Port=0 RD @05 + 28783.50ns INFO [00028785] * RD COMPARE * port=1 adr=05 act=C7F9A742AED7F833DB exp=C7F9A742AED7F833DB + 28783.50ns INFO [00028785] Port=0 RD @06 + 28783.50ns INFO [00028785] Port=1 RD @01 + 28784.50ns INFO [00028786] * RD COMPARE * port=0 adr=05 act=C7F9A742AED7F833DB exp=C7F9A742AED7F833DB + 28784.50ns INFO [00028786] Port=0 WR @06=F03F7F5289D577DAA2 + 28784.50ns INFO [00028786] Port=1 RD @00 + 28785.50ns INFO [00028787] * RD COMPARE * port=0 adr=06 act=88BD6943FB0DF76B94 exp=88BD6943FB0DF76B94 + 28785.50ns INFO [00028787] * RD COMPARE * port=1 adr=01 act=0C99FD6FE880A660D3 exp=0C99FD6FE880A660D3 + 28785.50ns INFO [00028787] Port=0 WR @04=188091E7B1C47FD1BF + 28785.50ns INFO [00028787] Port=1 RD @03 + 28786.50ns INFO [00028788] * RD COMPARE * port=1 adr=00 act=33361E2CA73A050AE8 exp=33361E2CA73A050AE8 + 28786.50ns INFO [00028788] Port=0 WR @07=59CF526184EA755C5A + 28786.50ns INFO [00028788] Port=1 RD @05 + 28787.50ns INFO [00028789] * RD COMPARE * port=1 adr=03 act=8A395B5AD9938BBF58 exp=8A395B5AD9938BBF58 + 28787.50ns INFO [00028789] Port=0 RD @06 + 28787.50ns INFO [00028789] Port=1 RD @01 + 28788.50ns INFO [00028790] * RD COMPARE * port=1 adr=05 act=C7F9A742AED7F833DB exp=C7F9A742AED7F833DB + 28789.50ns INFO [00028791] * RD COMPARE * port=0 adr=06 act=F03F7F5289D577DAA2 exp=F03F7F5289D577DAA2 + 28789.50ns INFO [00028791] * RD COMPARE * port=1 adr=01 act=0C99FD6FE880A660D3 exp=0C99FD6FE880A660D3 + 28789.50ns INFO [00028791] Port=0 RD @00 + 28791.50ns INFO [00028793] * RD COMPARE * port=0 adr=00 act=33361E2CA73A050AE8 exp=33361E2CA73A050AE8 + 28791.50ns INFO [00028793] Port=0 WR @02=23DEBBE65CBCDED22D + 28792.50ns INFO [00028794] Port=0 WR @05=DD91E52F90F6D40FB8 + 28792.50ns INFO [00028794] Port=1 RD @07 + 28793.50ns INFO [00028795] Port=0 WR @04=143FCF7A44D1D2A40D + 28794.50ns INFO [00028796] * RD COMPARE * port=1 adr=07 act=59CF526184EA755C5A exp=59CF526184EA755C5A + 28794.50ns INFO [00028796] Port=0 WR @03=56C701EE7C9D32C4F6 + 28794.50ns INFO [00028796] Port=1 RD @02 + 28795.50ns INFO [00028797] Port=1 RD @02 + 28796.50ns INFO [00028798] * RD COMPARE * port=1 adr=02 act=23DEBBE65CBCDED22D exp=23DEBBE65CBCDED22D + 28796.50ns INFO [00028798] Port=0 WR @01=F40C306859C9146ADA + 28796.50ns INFO [00028798] Port=0 RD @05 + 28796.50ns INFO [00028798] Port=1 RD @02 + 28797.50ns INFO [00028799] * RD COMPARE * port=1 adr=02 act=23DEBBE65CBCDED22D exp=23DEBBE65CBCDED22D + 28797.50ns INFO [00028799] Port=0 WR @07=234C16655E869C57E4 + 28797.50ns INFO [00028799] Port=0 RD @05 + 28798.00ns INFO [00028800] [00028800] ...tick... + 28798.50ns INFO [00028800] * RD COMPARE * port=0 adr=05 act=DD91E52F90F6D40FB8 exp=DD91E52F90F6D40FB8 + 28798.50ns INFO [00028800] * RD COMPARE * port=1 adr=02 act=23DEBBE65CBCDED22D exp=23DEBBE65CBCDED22D + 28798.50ns INFO [00028800] Port=0 WR @02=182175754806EA52F3 + 28799.50ns INFO [00028801] * RD COMPARE * port=0 adr=05 act=DD91E52F90F6D40FB8 exp=DD91E52F90F6D40FB8 + 28799.50ns INFO [00028801] Port=0 WR @07=5BD2762BBFC303BE0C + 28799.50ns INFO [00028801] Port=1 RD @04 + 28801.50ns INFO [00028803] * RD COMPARE * port=1 adr=04 act=143FCF7A44D1D2A40D exp=143FCF7A44D1D2A40D + 28801.50ns INFO [00028803] Port=0 WR @06=23489D83B6DA350DEA + 28801.50ns INFO [00028803] Port=1 RD @01 + 28802.50ns INFO [00028804] Port=0 WR @01=F09157C7345B8839CF + 28803.50ns INFO [00028805] * RD COMPARE * port=1 adr=01 act=F40C306859C9146ADA exp=F40C306859C9146ADA + 28803.50ns INFO [00028805] Port=0 RD @06 + 28803.50ns INFO [00028805] Port=1 RD @01 + 28804.50ns INFO [00028806] Port=0 WR @01=CA40E36D5223A4B12F + 28804.50ns INFO [00028806] Port=0 RD @05 + 28804.50ns INFO [00028806] Port=1 RD @02 + 28805.50ns INFO [00028807] * RD COMPARE * port=0 adr=06 act=23489D83B6DA350DEA exp=23489D83B6DA350DEA + 28805.50ns INFO [00028807] * RD COMPARE * port=1 adr=01 act=F09157C7345B8839CF exp=F09157C7345B8839CF + 28806.50ns INFO [00028808] * RD COMPARE * port=0 adr=05 act=DD91E52F90F6D40FB8 exp=DD91E52F90F6D40FB8 + 28806.50ns INFO [00028808] * RD COMPARE * port=1 adr=02 act=182175754806EA52F3 exp=182175754806EA52F3 + 28806.50ns INFO [00028808] Port=0 WR @00=DB18B554A707084757 + 28807.50ns INFO [00028809] Port=0 WR @00=F0FD21196A350C526A + 28809.50ns INFO [00028811] Port=0 RD @03 + 28809.50ns INFO [00028811] Port=1 RD @01 + 28811.50ns INFO [00028813] * RD COMPARE * port=0 adr=03 act=56C701EE7C9D32C4F6 exp=56C701EE7C9D32C4F6 + 28811.50ns INFO [00028813] * RD COMPARE * port=1 adr=01 act=CA40E36D5223A4B12F exp=CA40E36D5223A4B12F + 28811.50ns INFO [00028813] Port=0 WR @01=1028383B0ED0572807 + 28812.50ns INFO [00028814] Port=0 WR @00=3127EE05EFDF5FC419 + 28813.50ns INFO [00028815] Port=0 WR @02=8BEFD69349540AB663 + 28813.50ns INFO [00028815] Port=1 RD @05 + 28815.50ns INFO [00028817] * RD COMPARE * port=1 adr=05 act=DD91E52F90F6D40FB8 exp=DD91E52F90F6D40FB8 + 28815.50ns INFO [00028817] Port=1 RD @06 + 28816.50ns INFO [00028818] Port=0 WR @03=0635CF9E248AE5501F + 28816.50ns INFO [00028818] Port=0 RD @04 + 28817.50ns INFO [00028819] * RD COMPARE * port=1 adr=06 act=23489D83B6DA350DEA exp=23489D83B6DA350DEA + 28818.50ns INFO [00028820] * RD COMPARE * port=0 adr=04 act=143FCF7A44D1D2A40D exp=143FCF7A44D1D2A40D + 28818.50ns INFO [00028820] Port=0 RD @04 + 28819.50ns INFO [00028821] Port=0 RD @03 + 28819.50ns INFO [00028821] Port=1 RD @06 + 28820.50ns INFO [00028822] * RD COMPARE * port=0 adr=04 act=143FCF7A44D1D2A40D exp=143FCF7A44D1D2A40D + 28820.50ns INFO [00028822] Port=0 WR @05=062C4A87DA419C0E45 + 28820.50ns INFO [00028822] Port=0 RD @02 + 28820.50ns INFO [00028822] Port=1 RD @02 + 28821.50ns INFO [00028823] * RD COMPARE * port=0 adr=03 act=0635CF9E248AE5501F exp=0635CF9E248AE5501F + 28821.50ns INFO [00028823] * RD COMPARE * port=1 adr=06 act=23489D83B6DA350DEA exp=23489D83B6DA350DEA + 28821.50ns INFO [00028823] Port=0 RD @06 + 28821.50ns INFO [00028823] Port=1 RD @07 + 28822.50ns INFO [00028824] * RD COMPARE * port=0 adr=02 act=8BEFD69349540AB663 exp=8BEFD69349540AB663 + 28822.50ns INFO [00028824] * RD COMPARE * port=1 adr=02 act=8BEFD69349540AB663 exp=8BEFD69349540AB663 + 28822.50ns INFO [00028824] Port=0 RD @06 + 28823.50ns INFO [00028825] * RD COMPARE * port=0 adr=06 act=23489D83B6DA350DEA exp=23489D83B6DA350DEA + 28823.50ns INFO [00028825] * RD COMPARE * port=1 adr=07 act=5BD2762BBFC303BE0C exp=5BD2762BBFC303BE0C + 28823.50ns INFO [00028825] Port=1 RD @05 + 28824.50ns INFO [00028826] * RD COMPARE * port=0 adr=06 act=23489D83B6DA350DEA exp=23489D83B6DA350DEA + 28824.50ns INFO [00028826] Port=0 WR @01=CBB946C8900D3838E7 + 28825.50ns INFO [00028827] * RD COMPARE * port=1 adr=05 act=062C4A87DA419C0E45 exp=062C4A87DA419C0E45 + 28826.50ns INFO [00028828] Port=0 WR @07=CF19F458C23ADEABE5 + 28826.50ns INFO [00028828] Port=0 RD @01 + 28827.50ns INFO [00028829] Port=0 RD @03 + 28827.50ns INFO [00028829] Port=1 RD @06 + 28828.50ns INFO [00028830] * RD COMPARE * port=0 adr=01 act=CBB946C8900D3838E7 exp=CBB946C8900D3838E7 + 28829.50ns INFO [00028831] * RD COMPARE * port=0 adr=03 act=0635CF9E248AE5501F exp=0635CF9E248AE5501F + 28829.50ns INFO [00028831] * RD COMPARE * port=1 adr=06 act=23489D83B6DA350DEA exp=23489D83B6DA350DEA + 28829.50ns INFO [00028831] Port=0 WR @00=FEFAC9009628E534DE + 28829.50ns INFO [00028831] Port=1 RD @06 + 28831.50ns INFO [00028833] * RD COMPARE * port=1 adr=06 act=23489D83B6DA350DEA exp=23489D83B6DA350DEA + 28831.50ns INFO [00028833] Port=0 RD @06 + 28832.50ns INFO [00028834] Port=0 RD @03 + 28832.50ns INFO [00028834] Port=1 RD @00 + 28833.50ns INFO [00028835] * RD COMPARE * port=0 adr=06 act=23489D83B6DA350DEA exp=23489D83B6DA350DEA + 28833.50ns INFO [00028835] Port=1 RD @06 + 28834.50ns INFO [00028836] * RD COMPARE * port=0 adr=03 act=0635CF9E248AE5501F exp=0635CF9E248AE5501F + 28834.50ns INFO [00028836] * RD COMPARE * port=1 adr=00 act=FEFAC9009628E534DE exp=FEFAC9009628E534DE + 28834.50ns INFO [00028836] Port=1 RD @05 + 28835.50ns INFO [00028837] * RD COMPARE * port=1 adr=06 act=23489D83B6DA350DEA exp=23489D83B6DA350DEA + 28835.50ns INFO [00028837] Port=1 RD @05 + 28836.50ns INFO [00028838] * RD COMPARE * port=1 adr=05 act=062C4A87DA419C0E45 exp=062C4A87DA419C0E45 + 28837.50ns INFO [00028839] * RD COMPARE * port=1 adr=05 act=062C4A87DA419C0E45 exp=062C4A87DA419C0E45 + 28837.50ns INFO [00028839] Port=0 WR @03=E0CEDC8069FAD25F8C + 28837.50ns INFO [00028839] Port=0 RD @05 + 28838.50ns INFO [00028840] Port=0 RD @07 + 28838.50ns INFO [00028840] Port=1 RD @06 + 28839.50ns INFO [00028841] * RD COMPARE * port=0 adr=05 act=062C4A87DA419C0E45 exp=062C4A87DA419C0E45 + 28840.50ns INFO [00028842] * RD COMPARE * port=0 adr=07 act=CF19F458C23ADEABE5 exp=CF19F458C23ADEABE5 + 28840.50ns INFO [00028842] * RD COMPARE * port=1 adr=06 act=23489D83B6DA350DEA exp=23489D83B6DA350DEA + 28840.50ns INFO [00028842] Port=0 WR @02=9C0830526BF61057BC + 28840.50ns INFO [00028842] Port=0 RD @07 + 28841.50ns INFO [00028843] Port=0 WR @06=D19C4A9DBE0BB8F84A + 28841.50ns INFO [00028843] Port=0 RD @03 + 28841.50ns INFO [00028843] Port=1 RD @02 + 28842.50ns INFO [00028844] * RD COMPARE * port=0 adr=07 act=CF19F458C23ADEABE5 exp=CF19F458C23ADEABE5 + 28843.50ns INFO [00028845] * RD COMPARE * port=0 adr=03 act=E0CEDC8069FAD25F8C exp=E0CEDC8069FAD25F8C + 28843.50ns INFO [00028845] * RD COMPARE * port=1 adr=02 act=9C0830526BF61057BC exp=9C0830526BF61057BC + 28843.50ns INFO [00028845] Port=0 WR @04=55262CF31EE1A97609 + 28843.50ns INFO [00028845] Port=0 RD @05 + 28844.50ns INFO [00028846] Port=0 WR @02=513862716A7F5C4410 + 28844.50ns INFO [00028846] Port=0 RD @01 + 28845.50ns INFO [00028847] * RD COMPARE * port=0 adr=05 act=062C4A87DA419C0E45 exp=062C4A87DA419C0E45 + 28845.50ns INFO [00028847] Port=0 RD @05 + 28846.50ns INFO [00028848] * RD COMPARE * port=0 adr=01 act=CBB946C8900D3838E7 exp=CBB946C8900D3838E7 + 28846.50ns INFO [00028848] Port=1 RD @04 + 28847.50ns INFO [00028849] * RD COMPARE * port=0 adr=05 act=062C4A87DA419C0E45 exp=062C4A87DA419C0E45 + 28847.50ns INFO [00028849] Port=0 WR @01=F3B5DBDB0E36860103 + 28847.50ns INFO [00028849] Port=1 RD @04 + 28848.50ns INFO [00028850] * RD COMPARE * port=1 adr=04 act=55262CF31EE1A97609 exp=55262CF31EE1A97609 + 28848.50ns INFO [00028850] Port=0 WR @03=B981A4BEB4D51E0121 + 28849.50ns INFO [00028851] * RD COMPARE * port=1 adr=04 act=55262CF31EE1A97609 exp=55262CF31EE1A97609 + 28850.50ns INFO [00028852] Port=0 RD @04 + 28851.50ns INFO [00028853] Port=1 RD @04 + 28852.50ns INFO [00028854] * RD COMPARE * port=0 adr=04 act=55262CF31EE1A97609 exp=55262CF31EE1A97609 + 28852.50ns INFO [00028854] Port=0 WR @04=16E8AE6D8DC7984BC1 + 28852.50ns INFO [00028854] Port=1 RD @02 + 28853.50ns INFO [00028855] * RD COMPARE * port=1 adr=04 act=55262CF31EE1A97609 exp=55262CF31EE1A97609 + 28853.50ns INFO [00028855] Port=0 RD @03 + 28854.50ns INFO [00028856] * RD COMPARE * port=1 adr=02 act=513862716A7F5C4410 exp=513862716A7F5C4410 + 28854.50ns INFO [00028856] Port=1 RD @06 + 28855.50ns INFO [00028857] * RD COMPARE * port=0 adr=03 act=B981A4BEB4D51E0121 exp=B981A4BEB4D51E0121 + 28855.50ns INFO [00028857] Port=0 WR @04=81F07C487B6E3F9250 + 28855.50ns INFO [00028857] Port=0 RD @02 + 28856.50ns INFO [00028858] * RD COMPARE * port=1 adr=06 act=D19C4A9DBE0BB8F84A exp=D19C4A9DBE0BB8F84A + 28856.50ns INFO [00028858] Port=0 RD @02 + 28856.50ns INFO [00028858] Port=1 RD @05 + 28857.50ns INFO [00028859] * RD COMPARE * port=0 adr=02 act=513862716A7F5C4410 exp=513862716A7F5C4410 + 28857.50ns INFO [00028859] Port=1 RD @00 + 28858.50ns INFO [00028860] * RD COMPARE * port=0 adr=02 act=513862716A7F5C4410 exp=513862716A7F5C4410 + 28858.50ns INFO [00028860] * RD COMPARE * port=1 adr=05 act=062C4A87DA419C0E45 exp=062C4A87DA419C0E45 + 28858.50ns INFO [00028860] Port=0 WR @06=C4FA5C00E291437356 + 28858.50ns INFO [00028860] Port=1 RD @02 + 28859.50ns INFO [00028861] * RD COMPARE * port=1 adr=00 act=FEFAC9009628E534DE exp=FEFAC9009628E534DE + 28859.50ns INFO [00028861] Port=0 WR @07=949125E5A9FE7C4D08 + 28859.50ns INFO [00028861] Port=1 RD @04 + 28860.50ns INFO [00028862] * RD COMPARE * port=1 adr=02 act=513862716A7F5C4410 exp=513862716A7F5C4410 + 28861.50ns INFO [00028863] * RD COMPARE * port=1 adr=04 act=81F07C487B6E3F9250 exp=81F07C487B6E3F9250 + 28861.50ns INFO [00028863] Port=0 WR @07=E53EB3354BEB22B62A + 28861.50ns INFO [00028863] Port=1 RD @05 + 28862.50ns INFO [00028864] Port=0 WR @02=4E5F2A7DB46BB79CF7 + 28863.50ns INFO [00028865] * RD COMPARE * port=1 adr=05 act=062C4A87DA419C0E45 exp=062C4A87DA419C0E45 + 28863.50ns INFO [00028865] Port=0 RD @06 + 28864.50ns INFO [00028866] Port=0 WR @01=5B6A720230966D5796 + 28864.50ns INFO [00028866] Port=0 RD @02 + 28865.50ns INFO [00028867] * RD COMPARE * port=0 adr=06 act=C4FA5C00E291437356 exp=C4FA5C00E291437356 + 28865.50ns INFO [00028867] Port=0 WR @00=D936904213C8E1B143 + 28865.50ns INFO [00028867] Port=0 RD @03 + 28865.50ns INFO [00028867] Port=1 RD @01 + 28866.50ns INFO [00028868] * RD COMPARE * port=0 adr=02 act=4E5F2A7DB46BB79CF7 exp=4E5F2A7DB46BB79CF7 + 28866.50ns INFO [00028868] Port=0 RD @04 + 28867.50ns INFO [00028869] * RD COMPARE * port=0 adr=03 act=B981A4BEB4D51E0121 exp=B981A4BEB4D51E0121 + 28867.50ns INFO [00028869] * RD COMPARE * port=1 adr=01 act=5B6A720230966D5796 exp=5B6A720230966D5796 + 28867.50ns INFO [00028869] Port=0 WR @03=57664DD75CA259EA15 + 28868.50ns INFO [00028870] * RD COMPARE * port=0 adr=04 act=81F07C487B6E3F9250 exp=81F07C487B6E3F9250 + 28868.50ns INFO [00028870] Port=1 RD @06 + 28869.50ns INFO [00028871] Port=0 WR @04=6FEEE4151F6DA4E903 + 28869.50ns INFO [00028871] Port=1 RD @02 + 28870.50ns INFO [00028872] * RD COMPARE * port=1 adr=06 act=C4FA5C00E291437356 exp=C4FA5C00E291437356 + 28870.50ns INFO [00028872] Port=0 WR @03=E4455D4B0706128BFB + 28870.50ns INFO [00028872] Port=1 RD @02 + 28871.50ns INFO [00028873] * RD COMPARE * port=1 adr=02 act=4E5F2A7DB46BB79CF7 exp=4E5F2A7DB46BB79CF7 + 28871.50ns INFO [00028873] Port=0 WR @03=99ABA0E5534C65FFC1 + 28871.50ns INFO [00028873] Port=0 RD @07 + 28872.50ns INFO [00028874] * RD COMPARE * port=1 adr=02 act=4E5F2A7DB46BB79CF7 exp=4E5F2A7DB46BB79CF7 + 28872.50ns INFO [00028874] Port=0 WR @03=16F214B77591446002 + 28872.50ns INFO [00028874] Port=0 RD @04 + 28873.50ns INFO [00028875] * RD COMPARE * port=0 adr=07 act=E53EB3354BEB22B62A exp=E53EB3354BEB22B62A + 28873.50ns INFO [00028875] Port=0 WR @06=49DF6B67CCCEFA7E60 + 28874.50ns INFO [00028876] * RD COMPARE * port=0 adr=04 act=6FEEE4151F6DA4E903 exp=6FEEE4151F6DA4E903 + 28874.50ns INFO [00028876] Port=0 RD @03 + 28875.50ns INFO [00028877] Port=0 WR @02=E495CF92618D082F60 + 28875.50ns INFO [00028877] Port=1 RD @00 + 28876.50ns INFO [00028878] * RD COMPARE * port=0 adr=03 act=16F214B77591446002 exp=16F214B77591446002 + 28876.50ns INFO [00028878] Port=0 RD @01 + 28877.50ns INFO [00028879] * RD COMPARE * port=1 adr=00 act=D936904213C8E1B143 exp=D936904213C8E1B143 + 28877.50ns INFO [00028879] Port=1 RD @04 + 28878.50ns INFO [00028880] * RD COMPARE * port=0 adr=01 act=5B6A720230966D5796 exp=5B6A720230966D5796 + 28878.50ns INFO [00028880] Port=1 RD @03 + 28879.50ns INFO [00028881] * RD COMPARE * port=1 adr=04 act=6FEEE4151F6DA4E903 exp=6FEEE4151F6DA4E903 + 28879.50ns INFO [00028881] Port=0 WR @00=F46B98467F746F5E81 + 28880.50ns INFO [00028882] * RD COMPARE * port=1 adr=03 act=16F214B77591446002 exp=16F214B77591446002 + 28880.50ns INFO [00028882] Port=0 WR @03=51A1B486A7A2478637 + 28881.50ns INFO [00028883] Port=0 WR @01=773F8DECF0F3A707BF + 28883.50ns INFO [00028885] Port=0 WR @00=35DC2FD1E2AF8F887A + 28884.50ns INFO [00028886] Port=1 RD @04 + 28885.50ns INFO [00028887] Port=0 WR @05=F9B902E71333AC5829 + 28885.50ns INFO [00028887] Port=0 RD @07 + 28886.50ns INFO [00028888] * RD COMPARE * port=1 adr=04 act=6FEEE4151F6DA4E903 exp=6FEEE4151F6DA4E903 + 28886.50ns INFO [00028888] Port=1 RD @04 + 28887.50ns INFO [00028889] * RD COMPARE * port=0 adr=07 act=E53EB3354BEB22B62A exp=E53EB3354BEB22B62A + 28887.50ns INFO [00028889] Port=0 RD @06 + 28887.50ns INFO [00028889] Port=1 RD @04 + 28888.50ns INFO [00028890] * RD COMPARE * port=1 adr=04 act=6FEEE4151F6DA4E903 exp=6FEEE4151F6DA4E903 + 28889.50ns INFO [00028891] * RD COMPARE * port=0 adr=06 act=49DF6B67CCCEFA7E60 exp=49DF6B67CCCEFA7E60 + 28889.50ns INFO [00028891] * RD COMPARE * port=1 adr=04 act=6FEEE4151F6DA4E903 exp=6FEEE4151F6DA4E903 + 28889.50ns INFO [00028891] Port=1 RD @00 + 28890.50ns INFO [00028892] Port=0 WR @07=D3E5E3F1FF7B2CB840 + 28890.50ns INFO [00028892] Port=1 RD @04 + 28891.50ns INFO [00028893] * RD COMPARE * port=1 adr=00 act=35DC2FD1E2AF8F887A exp=35DC2FD1E2AF8F887A + 28891.50ns INFO [00028893] Port=0 WR @06=DFC51A8BF63E2CE8DC + 28892.50ns INFO [00028894] * RD COMPARE * port=1 adr=04 act=6FEEE4151F6DA4E903 exp=6FEEE4151F6DA4E903 + 28893.50ns INFO [00028895] Port=0 WR @06=B3C687F164950CB697 + 28894.50ns INFO [00028896] Port=0 WR @00=13E4F15750BE6CB298 + 28895.50ns INFO [00028897] Port=1 RD @07 + 28897.50ns INFO [00028899] * RD COMPARE * port=1 adr=07 act=D3E5E3F1FF7B2CB840 exp=D3E5E3F1FF7B2CB840 + 28898.00ns INFO [00028900] [00028900] ...tick... + 28898.50ns INFO [00028900] Port=0 WR @04=F83D499D97C49F153E + 28898.50ns INFO [00028900] Port=0 RD @03 + 28898.50ns INFO [00028900] Port=1 RD @01 + 28899.50ns INFO [00028901] Port=1 RD @02 + 28900.50ns INFO [00028902] * RD COMPARE * port=0 adr=03 act=51A1B486A7A2478637 exp=51A1B486A7A2478637 + 28900.50ns INFO [00028902] * RD COMPARE * port=1 adr=01 act=773F8DECF0F3A707BF exp=773F8DECF0F3A707BF + 28901.50ns INFO [00028903] * RD COMPARE * port=1 adr=02 act=E495CF92618D082F60 exp=E495CF92618D082F60 + 28901.50ns INFO [00028903] Port=1 RD @05 + 28902.50ns INFO [00028904] Port=0 WR @06=076305716C9538DE02 + 28902.50ns INFO [00028904] Port=0 RD @04 + 28903.50ns INFO [00028905] * RD COMPARE * port=1 adr=05 act=F9B902E71333AC5829 exp=F9B902E71333AC5829 + 28903.50ns INFO [00028905] Port=0 RD @05 + 28903.50ns INFO [00028905] Port=1 RD @04 + 28904.50ns INFO [00028906] * RD COMPARE * port=0 adr=04 act=F83D499D97C49F153E exp=F83D499D97C49F153E + 28904.50ns INFO [00028906] Port=0 RD @06 + 28905.50ns INFO [00028907] * RD COMPARE * port=0 adr=05 act=F9B902E71333AC5829 exp=F9B902E71333AC5829 + 28905.50ns INFO [00028907] * RD COMPARE * port=1 adr=04 act=F83D499D97C49F153E exp=F83D499D97C49F153E + 28906.50ns INFO [00028908] * RD COMPARE * port=0 adr=06 act=076305716C9538DE02 exp=076305716C9538DE02 + 28906.50ns INFO [00028908] Port=0 RD @07 + 28907.50ns INFO [00028909] Port=1 RD @00 + 28908.50ns INFO [00028910] * RD COMPARE * port=0 adr=07 act=D3E5E3F1FF7B2CB840 exp=D3E5E3F1FF7B2CB840 + 28908.50ns INFO [00028910] Port=0 WR @00=FD095E9A742DEEA4B4 + 28908.50ns INFO [00028910] Port=0 RD @04 + 28909.50ns INFO [00028911] * RD COMPARE * port=1 adr=00 act=13E4F15750BE6CB298 exp=13E4F15750BE6CB298 + 28909.50ns INFO [00028911] Port=0 RD @03 + 28909.50ns INFO [00028911] Port=1 RD @07 + 28910.50ns INFO [00028912] * RD COMPARE * port=0 adr=04 act=F83D499D97C49F153E exp=F83D499D97C49F153E + 28910.50ns INFO [00028912] Port=0 RD @01 + 28911.50ns INFO [00028913] * RD COMPARE * port=0 adr=03 act=51A1B486A7A2478637 exp=51A1B486A7A2478637 + 28911.50ns INFO [00028913] * RD COMPARE * port=1 adr=07 act=D3E5E3F1FF7B2CB840 exp=D3E5E3F1FF7B2CB840 + 28911.50ns INFO [00028913] Port=0 WR @00=C7D28B680D0BB8BBE2 + 28912.50ns INFO [00028914] * RD COMPARE * port=0 adr=01 act=773F8DECF0F3A707BF exp=773F8DECF0F3A707BF + 28912.50ns INFO [00028914] Port=0 RD @03 + 28913.50ns INFO [00028915] Port=0 WR @04=FAD2F815514999964B + 28914.50ns INFO [00028916] * RD COMPARE * port=0 adr=03 act=51A1B486A7A2478637 exp=51A1B486A7A2478637 + 28914.50ns INFO [00028916] Port=0 WR @06=69F9B3141C8D1CE0E9 + 28914.50ns INFO [00028916] Port=1 RD @00 + 28915.50ns INFO [00028917] Port=0 RD @06 + 28916.50ns INFO [00028918] * RD COMPARE * port=1 adr=00 act=C7D28B680D0BB8BBE2 exp=C7D28B680D0BB8BBE2 + 28916.50ns INFO [00028918] Port=0 WR @07=0765A2DB63969A3A3A + 28917.50ns INFO [00028919] * RD COMPARE * port=0 adr=06 act=69F9B3141C8D1CE0E9 exp=69F9B3141C8D1CE0E9 + 28917.50ns INFO [00028919] Port=0 WR @05=67CFCD70BAB550B126 + 28918.50ns INFO [00028920] Port=1 RD @00 + 28920.50ns INFO [00028922] * RD COMPARE * port=1 adr=00 act=C7D28B680D0BB8BBE2 exp=C7D28B680D0BB8BBE2 + 28920.50ns INFO [00028922] Port=1 RD @07 + 28921.50ns INFO [00028923] Port=1 RD @04 + 28922.50ns INFO [00028924] * RD COMPARE * port=1 adr=07 act=0765A2DB63969A3A3A exp=0765A2DB63969A3A3A + 28922.50ns INFO [00028924] Port=0 WR @00=84CB91D98E0060A77B + 28922.50ns INFO [00028924] Port=1 RD @07 + 28923.50ns INFO [00028925] * RD COMPARE * port=1 adr=04 act=FAD2F815514999964B exp=FAD2F815514999964B + 28924.50ns INFO [00028926] * RD COMPARE * port=1 adr=07 act=0765A2DB63969A3A3A exp=0765A2DB63969A3A3A + 28925.50ns INFO [00028927] Port=1 RD @03 + 28927.50ns INFO [00028929] * RD COMPARE * port=1 adr=03 act=51A1B486A7A2478637 exp=51A1B486A7A2478637 + 28927.50ns INFO [00028929] Port=0 WR @04=7EFB451CF57E0E7A91 + 28927.50ns INFO [00028929] Port=0 RD @05 + 28928.50ns INFO [00028930] Port=0 WR @03=EC2FCF62C11FFA6CE4 + 28929.50ns INFO [00028931] * RD COMPARE * port=0 adr=05 act=67CFCD70BAB550B126 exp=67CFCD70BAB550B126 + 28929.50ns INFO [00028931] Port=0 WR @07=C943389C19E48E0589 + 28929.50ns INFO [00028931] Port=0 RD @06 + 28930.50ns INFO [00028932] Port=0 RD @00 + 28930.50ns INFO [00028932] Port=1 RD @01 + 28931.50ns INFO [00028933] * RD COMPARE * port=0 adr=06 act=69F9B3141C8D1CE0E9 exp=69F9B3141C8D1CE0E9 + 28931.50ns INFO [00028933] Port=1 RD @06 + 28932.50ns INFO [00028934] * RD COMPARE * port=0 adr=00 act=84CB91D98E0060A77B exp=84CB91D98E0060A77B + 28932.50ns INFO [00028934] * RD COMPARE * port=1 adr=01 act=773F8DECF0F3A707BF exp=773F8DECF0F3A707BF + 28932.50ns INFO [00028934] Port=0 WR @06=35E930C1C9E4A8DAF7 + 28933.50ns INFO [00028935] * RD COMPARE * port=1 adr=06 act=69F9B3141C8D1CE0E9 exp=69F9B3141C8D1CE0E9 + 28933.50ns INFO [00028935] Port=0 WR @00=9AA7AF0D1C69D5641B + 28933.50ns INFO [00028935] Port=1 RD @02 + 28934.50ns INFO [00028936] Port=0 RD @05 + 28935.50ns INFO [00028937] * RD COMPARE * port=1 adr=02 act=E495CF92618D082F60 exp=E495CF92618D082F60 + 28936.50ns INFO [00028938] * RD COMPARE * port=0 adr=05 act=67CFCD70BAB550B126 exp=67CFCD70BAB550B126 + 28937.50ns INFO [00028939] Port=0 WR @05=799D724C71C84961D4 + 28938.50ns INFO [00028940] Port=0 RD @07 + 28938.50ns INFO [00028940] Port=1 RD @04 + 28939.50ns INFO [00028941] Port=0 WR @00=D3DBCB19148604FA1C + 28940.50ns INFO [00028942] * RD COMPARE * port=0 adr=07 act=C943389C19E48E0589 exp=C943389C19E48E0589 + 28940.50ns INFO [00028942] * RD COMPARE * port=1 adr=04 act=7EFB451CF57E0E7A91 exp=7EFB451CF57E0E7A91 + 28940.50ns INFO [00028942] Port=1 RD @05 + 28941.50ns INFO [00028943] Port=0 RD @06 + 28941.50ns INFO [00028943] Port=1 RD @06 + 28942.50ns INFO [00028944] * RD COMPARE * port=1 adr=05 act=799D724C71C84961D4 exp=799D724C71C84961D4 + 28943.50ns INFO [00028945] * RD COMPARE * port=0 adr=06 act=35E930C1C9E4A8DAF7 exp=35E930C1C9E4A8DAF7 + 28943.50ns INFO [00028945] * RD COMPARE * port=1 adr=06 act=35E930C1C9E4A8DAF7 exp=35E930C1C9E4A8DAF7 + 28943.50ns INFO [00028945] Port=0 RD @05 + 28944.50ns INFO [00028946] Port=0 RD @00 + 28944.50ns INFO [00028946] Port=1 RD @04 + 28945.50ns INFO [00028947] * RD COMPARE * port=0 adr=05 act=799D724C71C84961D4 exp=799D724C71C84961D4 + 28945.50ns INFO [00028947] Port=0 WR @04=DC7A494A825468F46C + 28945.50ns INFO [00028947] Port=0 RD @05 + 28945.50ns INFO [00028947] Port=1 RD @07 + 28946.50ns INFO [00028948] * RD COMPARE * port=0 adr=00 act=D3DBCB19148604FA1C exp=D3DBCB19148604FA1C + 28946.50ns INFO [00028948] * RD COMPARE * port=1 adr=04 act=7EFB451CF57E0E7A91 exp=7EFB451CF57E0E7A91 + 28946.50ns INFO [00028948] Port=0 RD @05 + 28946.50ns INFO [00028948] Port=1 RD @02 + 28947.50ns INFO [00028949] * RD COMPARE * port=0 adr=05 act=799D724C71C84961D4 exp=799D724C71C84961D4 + 28947.50ns INFO [00028949] * RD COMPARE * port=1 adr=07 act=C943389C19E48E0589 exp=C943389C19E48E0589 + 28948.50ns INFO [00028950] * RD COMPARE * port=0 adr=05 act=799D724C71C84961D4 exp=799D724C71C84961D4 + 28948.50ns INFO [00028950] * RD COMPARE * port=1 adr=02 act=E495CF92618D082F60 exp=E495CF92618D082F60 + 28948.50ns INFO [00028950] Port=0 WR @04=645EC26F222648F0EF + 28950.50ns INFO [00028952] Port=1 RD @07 + 28951.50ns INFO [00028953] Port=0 WR @07=329B9E906F4C518552 + 28951.50ns INFO [00028953] Port=0 RD @04 + 28952.50ns INFO [00028954] * RD COMPARE * port=1 adr=07 act=C943389C19E48E0589 exp=C943389C19E48E0589 + 28952.50ns INFO [00028954] Port=0 WR @03=F174CFB2FE11B27C64 + 28953.50ns INFO [00028955] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 28953.50ns INFO [00028955] Port=1 RD @07 + 28954.50ns INFO [00028956] Port=0 WR @05=6DC4BE81B3E2B3E069 + 28954.50ns INFO [00028956] Port=0 RD @07 + 28955.50ns INFO [00028957] * RD COMPARE * port=1 adr=07 act=329B9E906F4C518552 exp=329B9E906F4C518552 + 28955.50ns INFO [00028957] Port=1 RD @01 + 28956.50ns INFO [00028958] * RD COMPARE * port=0 adr=07 act=329B9E906F4C518552 exp=329B9E906F4C518552 + 28956.50ns INFO [00028958] Port=0 RD @04 + 28956.50ns INFO [00028958] Port=1 RD @07 + 28957.50ns INFO [00028959] * RD COMPARE * port=1 adr=01 act=773F8DECF0F3A707BF exp=773F8DECF0F3A707BF + 28957.50ns INFO [00028959] Port=0 WR @00=C5FDBBED1E554479E5 + 28957.50ns INFO [00028959] Port=0 RD @05 + 28958.50ns INFO [00028960] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 28958.50ns INFO [00028960] * RD COMPARE * port=1 adr=07 act=329B9E906F4C518552 exp=329B9E906F4C518552 + 28959.50ns INFO [00028961] * RD COMPARE * port=0 adr=05 act=6DC4BE81B3E2B3E069 exp=6DC4BE81B3E2B3E069 + 28959.50ns INFO [00028961] Port=0 WR @07=94A314F295BB7A0551 + 28959.50ns INFO [00028961] Port=0 RD @03 + 28959.50ns INFO [00028961] Port=1 RD @00 + 28960.50ns INFO [00028962] Port=0 WR @01=5269DD4E32CB1A3BAB + 28960.50ns INFO [00028962] Port=1 RD @05 + 28961.50ns INFO [00028963] * RD COMPARE * port=0 adr=03 act=F174CFB2FE11B27C64 exp=F174CFB2FE11B27C64 + 28961.50ns INFO [00028963] * RD COMPARE * port=1 adr=00 act=C5FDBBED1E554479E5 exp=C5FDBBED1E554479E5 + 28962.50ns INFO [00028964] * RD COMPARE * port=1 adr=05 act=6DC4BE81B3E2B3E069 exp=6DC4BE81B3E2B3E069 + 28962.50ns INFO [00028964] Port=0 WR @06=438B108E8DF5DA04A7 + 28963.50ns INFO [00028965] Port=1 RD @05 + 28965.50ns INFO [00028967] * RD COMPARE * port=1 adr=05 act=6DC4BE81B3E2B3E069 exp=6DC4BE81B3E2B3E069 + 28965.50ns INFO [00028967] Port=1 RD @05 + 28967.50ns INFO [00028969] * RD COMPARE * port=1 adr=05 act=6DC4BE81B3E2B3E069 exp=6DC4BE81B3E2B3E069 + 28970.50ns INFO [00028972] Port=0 WR @03=8F85F1F6A7002A5713 + 28970.50ns INFO [00028972] Port=1 RD @02 + 28971.50ns INFO [00028973] Port=0 WR @05=133CF840D0B7A68462 + 28972.50ns INFO [00028974] * RD COMPARE * port=1 adr=02 act=E495CF92618D082F60 exp=E495CF92618D082F60 + 28972.50ns INFO [00028974] Port=1 RD @07 + 28974.50ns INFO [00028976] * RD COMPARE * port=1 adr=07 act=94A314F295BB7A0551 exp=94A314F295BB7A0551 + 28974.50ns INFO [00028976] Port=0 WR @00=81E1D421B7FBD4F8FE + 28974.50ns INFO [00028976] Port=1 RD @04 + 28975.50ns INFO [00028977] Port=0 RD @04 + 28976.50ns INFO [00028978] * RD COMPARE * port=1 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 28976.50ns INFO [00028978] Port=1 RD @07 + 28977.50ns INFO [00028979] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 28978.50ns INFO [00028980] * RD COMPARE * port=1 adr=07 act=94A314F295BB7A0551 exp=94A314F295BB7A0551 + 28979.50ns INFO [00028981] Port=1 RD @06 + 28980.50ns INFO [00028982] Port=1 RD @05 + 28981.50ns INFO [00028983] * RD COMPARE * port=1 adr=06 act=438B108E8DF5DA04A7 exp=438B108E8DF5DA04A7 + 28981.50ns INFO [00028983] Port=0 WR @07=7FCEA773D0B9732239 + 28982.50ns INFO [00028984] * RD COMPARE * port=1 adr=05 act=133CF840D0B7A68462 exp=133CF840D0B7A68462 + 28982.50ns INFO [00028984] Port=0 WR @00=35F6A648C75D28D802 + 28983.50ns INFO [00028985] Port=0 RD @06 + 28983.50ns INFO [00028985] Port=1 RD @00 + 28984.50ns INFO [00028986] Port=0 RD @03 + 28985.50ns INFO [00028987] * RD COMPARE * port=0 adr=06 act=438B108E8DF5DA04A7 exp=438B108E8DF5DA04A7 + 28985.50ns INFO [00028987] * RD COMPARE * port=1 adr=00 act=35F6A648C75D28D802 exp=35F6A648C75D28D802 + 28985.50ns INFO [00028987] Port=0 RD @02 + 28985.50ns INFO [00028987] Port=1 RD @07 + 28986.50ns INFO [00028988] * RD COMPARE * port=0 adr=03 act=8F85F1F6A7002A5713 exp=8F85F1F6A7002A5713 + 28987.50ns INFO [00028989] * RD COMPARE * port=0 adr=02 act=E495CF92618D082F60 exp=E495CF92618D082F60 + 28987.50ns INFO [00028989] * RD COMPARE * port=1 adr=07 act=7FCEA773D0B9732239 exp=7FCEA773D0B9732239 + 28989.50ns INFO [00028991] Port=0 RD @04 + 28990.50ns INFO [00028992] Port=0 WR @00=16E4EC14C5B087B6D2 + 28991.50ns INFO [00028993] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 28991.50ns INFO [00028993] Port=0 WR @05=DBF12754B9C9DB3A05 + 28992.50ns INFO [00028994] Port=0 RD @02 + 28992.50ns INFO [00028994] Port=1 RD @07 + 28993.50ns INFO [00028995] Port=0 RD @00 + 28994.50ns INFO [00028996] * RD COMPARE * port=0 adr=02 act=E495CF92618D082F60 exp=E495CF92618D082F60 + 28994.50ns INFO [00028996] * RD COMPARE * port=1 adr=07 act=7FCEA773D0B9732239 exp=7FCEA773D0B9732239 + 28995.50ns INFO [00028997] * RD COMPARE * port=0 adr=00 act=16E4EC14C5B087B6D2 exp=16E4EC14C5B087B6D2 + 28995.50ns INFO [00028997] Port=0 RD @07 + 28995.50ns INFO [00028997] Port=1 RD @04 + 28996.50ns INFO [00028998] Port=0 WR @05=AC67203CE0412C9C69 + 28997.50ns INFO [00028999] * RD COMPARE * port=0 adr=07 act=7FCEA773D0B9732239 exp=7FCEA773D0B9732239 + 28997.50ns INFO [00028999] * RD COMPARE * port=1 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 28997.50ns INFO [00028999] Port=1 RD @01 + 28998.00ns INFO [00029000] [00029000] ...tick... + 28998.50ns INFO [00029000] Port=0 RD @06 + 28999.50ns INFO [00029001] * RD COMPARE * port=1 adr=01 act=5269DD4E32CB1A3BAB exp=5269DD4E32CB1A3BAB + 28999.50ns INFO [00029001] Port=0 RD @07 + 28999.50ns INFO [00029001] Port=1 RD @00 + 29000.50ns INFO [00029002] * RD COMPARE * port=0 adr=06 act=438B108E8DF5DA04A7 exp=438B108E8DF5DA04A7 + 29000.50ns INFO [00029002] Port=0 WR @03=E282116CCBBB081979 + 29000.50ns INFO [00029002] Port=1 RD @01 + 29001.50ns INFO [00029003] * RD COMPARE * port=0 adr=07 act=7FCEA773D0B9732239 exp=7FCEA773D0B9732239 + 29001.50ns INFO [00029003] * RD COMPARE * port=1 adr=00 act=16E4EC14C5B087B6D2 exp=16E4EC14C5B087B6D2 + 29001.50ns INFO [00029003] Port=0 WR @07=13BD1730B14432EB25 + 29001.50ns INFO [00029003] Port=0 RD @06 + 29001.50ns INFO [00029003] Port=1 RD @02 + 29002.50ns INFO [00029004] * RD COMPARE * port=1 adr=01 act=5269DD4E32CB1A3BAB exp=5269DD4E32CB1A3BAB + 29002.50ns INFO [00029004] Port=0 WR @05=2C06CF14A532EBABB3 + 29003.50ns INFO [00029005] * RD COMPARE * port=0 adr=06 act=438B108E8DF5DA04A7 exp=438B108E8DF5DA04A7 + 29003.50ns INFO [00029005] * RD COMPARE * port=1 adr=02 act=E495CF92618D082F60 exp=E495CF92618D082F60 + 29005.50ns INFO [00029007] Port=0 RD @01 + 29005.50ns INFO [00029007] Port=1 RD @01 + 29006.50ns INFO [00029008] Port=0 WR @00=05B503BB5C413934C7 + 29006.50ns INFO [00029008] Port=0 RD @02 + 29006.50ns INFO [00029008] Port=1 RD @05 + 29007.50ns INFO [00029009] * RD COMPARE * port=0 adr=01 act=5269DD4E32CB1A3BAB exp=5269DD4E32CB1A3BAB + 29007.50ns INFO [00029009] * RD COMPARE * port=1 adr=01 act=5269DD4E32CB1A3BAB exp=5269DD4E32CB1A3BAB + 29007.50ns INFO [00029009] Port=0 WR @06=37752121AC7A42B241 + 29007.50ns INFO [00029009] Port=1 RD @01 + 29008.50ns INFO [00029010] * RD COMPARE * port=0 adr=02 act=E495CF92618D082F60 exp=E495CF92618D082F60 + 29008.50ns INFO [00029010] * RD COMPARE * port=1 adr=05 act=2C06CF14A532EBABB3 exp=2C06CF14A532EBABB3 + 29009.50ns INFO [00029011] * RD COMPARE * port=1 adr=01 act=5269DD4E32CB1A3BAB exp=5269DD4E32CB1A3BAB + 29011.50ns INFO [00029013] Port=1 RD @02 + 29012.50ns INFO [00029014] Port=0 RD @00 + 29013.50ns INFO [00029015] * RD COMPARE * port=1 adr=02 act=E495CF92618D082F60 exp=E495CF92618D082F60 + 29013.50ns INFO [00029015] Port=0 WR @05=C2E1B4F43E05C13AA8 + 29013.50ns INFO [00029015] Port=0 RD @04 + 29013.50ns INFO [00029015] Port=1 RD @06 + 29014.50ns INFO [00029016] * RD COMPARE * port=0 adr=00 act=05B503BB5C413934C7 exp=05B503BB5C413934C7 + 29014.50ns INFO [00029016] Port=0 WR @02=1D8F48983394E62624 + 29014.50ns INFO [00029016] Port=1 RD @01 + 29015.50ns INFO [00029017] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29015.50ns INFO [00029017] * RD COMPARE * port=1 adr=06 act=37752121AC7A42B241 exp=37752121AC7A42B241 + 29015.50ns INFO [00029017] Port=1 RD @03 + 29016.50ns INFO [00029018] * RD COMPARE * port=1 adr=01 act=5269DD4E32CB1A3BAB exp=5269DD4E32CB1A3BAB + 29016.50ns INFO [00029018] Port=0 RD @06 + 29017.50ns INFO [00029019] * RD COMPARE * port=1 adr=03 act=E282116CCBBB081979 exp=E282116CCBBB081979 + 29017.50ns INFO [00029019] Port=0 RD @04 + 29017.50ns INFO [00029019] Port=1 RD @01 + 29018.50ns INFO [00029020] * RD COMPARE * port=0 adr=06 act=37752121AC7A42B241 exp=37752121AC7A42B241 + 29019.50ns INFO [00029021] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29019.50ns INFO [00029021] * RD COMPARE * port=1 adr=01 act=5269DD4E32CB1A3BAB exp=5269DD4E32CB1A3BAB + 29020.50ns INFO [00029022] Port=0 WR @03=5E667EDCA3DACDDCDE + 29020.50ns INFO [00029022] Port=1 RD @04 + 29021.50ns INFO [00029023] Port=0 WR @00=EE003D470A2BB3DC0D + 29021.50ns INFO [00029023] Port=0 RD @06 + 29022.50ns INFO [00029024] * RD COMPARE * port=1 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29022.50ns INFO [00029024] Port=0 WR @03=D430E56CBE835BD3AE + 29023.50ns INFO [00029025] * RD COMPARE * port=0 adr=06 act=37752121AC7A42B241 exp=37752121AC7A42B241 + 29024.50ns INFO [00029026] Port=0 WR @06=94A174639787142289 + 29025.50ns INFO [00029027] Port=0 WR @05=D0A0F8FBD6F9A47ED3 + 29026.50ns INFO [00029028] Port=0 RD @06 + 29028.50ns INFO [00029030] * RD COMPARE * port=0 adr=06 act=94A174639787142289 exp=94A174639787142289 + 29029.50ns INFO [00029031] Port=0 WR @02=317D0AD90B4CD24EBC + 29030.50ns INFO [00029032] Port=0 RD @02 + 29030.50ns INFO [00029032] Port=1 RD @00 + 29032.50ns INFO [00029034] * RD COMPARE * port=0 adr=02 act=317D0AD90B4CD24EBC exp=317D0AD90B4CD24EBC + 29032.50ns INFO [00029034] * RD COMPARE * port=1 adr=00 act=EE003D470A2BB3DC0D exp=EE003D470A2BB3DC0D + 29032.50ns INFO [00029034] Port=1 RD @06 + 29033.50ns INFO [00029035] Port=0 RD @04 + 29034.50ns INFO [00029036] * RD COMPARE * port=1 adr=06 act=94A174639787142289 exp=94A174639787142289 + 29034.50ns INFO [00029036] Port=1 RD @05 + 29035.50ns INFO [00029037] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29035.50ns INFO [00029037] Port=0 WR @06=F8BD1246B9449A4FE0 + 29035.50ns INFO [00029037] Port=0 RD @04 + 29036.50ns INFO [00029038] * RD COMPARE * port=1 adr=05 act=D0A0F8FBD6F9A47ED3 exp=D0A0F8FBD6F9A47ED3 + 29037.50ns INFO [00029039] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29037.50ns INFO [00029039] Port=0 WR @06=6E207E0A9F02277144 + 29037.50ns INFO [00029039] Port=0 RD @03 + 29038.50ns INFO [00029040] Port=0 RD @05 + 29039.50ns INFO [00029041] * RD COMPARE * port=0 adr=03 act=D430E56CBE835BD3AE exp=D430E56CBE835BD3AE + 29039.50ns INFO [00029041] Port=0 WR @03=282D1796ECD26E47E5 + 29039.50ns INFO [00029041] Port=0 RD @01 + 29040.50ns INFO [00029042] * RD COMPARE * port=0 adr=05 act=D0A0F8FBD6F9A47ED3 exp=D0A0F8FBD6F9A47ED3 + 29040.50ns INFO [00029042] Port=0 WR @00=99A5803791CEF1F2EE + 29041.50ns INFO [00029043] * RD COMPARE * port=0 adr=01 act=5269DD4E32CB1A3BAB exp=5269DD4E32CB1A3BAB + 29041.50ns INFO [00029043] Port=1 RD @01 + 29043.50ns INFO [00029045] * RD COMPARE * port=1 adr=01 act=5269DD4E32CB1A3BAB exp=5269DD4E32CB1A3BAB + 29043.50ns INFO [00029045] Port=0 RD @04 + 29043.50ns INFO [00029045] Port=1 RD @06 + 29044.50ns INFO [00029046] Port=0 WR @01=2EBB98EA1D980921D5 + 29044.50ns INFO [00029046] Port=0 RD @07 + 29045.50ns INFO [00029047] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29045.50ns INFO [00029047] * RD COMPARE * port=1 adr=06 act=6E207E0A9F02277144 exp=6E207E0A9F02277144 + 29045.50ns INFO [00029047] Port=0 WR @05=236B8B83822640B2DF + 29046.50ns INFO [00029048] * RD COMPARE * port=0 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29046.50ns INFO [00029048] Port=1 RD @06 + 29048.50ns INFO [00029050] * RD COMPARE * port=1 adr=06 act=6E207E0A9F02277144 exp=6E207E0A9F02277144 + 29048.50ns INFO [00029050] Port=1 RD @00 + 29049.50ns INFO [00029051] Port=0 RD @07 + 29049.50ns INFO [00029051] Port=1 RD @07 + 29050.50ns INFO [00029052] * RD COMPARE * port=1 adr=00 act=99A5803791CEF1F2EE exp=99A5803791CEF1F2EE + 29050.50ns INFO [00029052] Port=0 RD @05 + 29050.50ns INFO [00029052] Port=1 RD @04 + 29051.50ns INFO [00029053] * RD COMPARE * port=0 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29051.50ns INFO [00029053] * RD COMPARE * port=1 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29051.50ns INFO [00029053] Port=1 RD @03 + 29052.50ns INFO [00029054] * RD COMPARE * port=0 adr=05 act=236B8B83822640B2DF exp=236B8B83822640B2DF + 29052.50ns INFO [00029054] * RD COMPARE * port=1 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29052.50ns INFO [00029054] Port=0 RD @00 + 29053.50ns INFO [00029055] * RD COMPARE * port=1 adr=03 act=282D1796ECD26E47E5 exp=282D1796ECD26E47E5 + 29054.50ns INFO [00029056] * RD COMPARE * port=0 adr=00 act=99A5803791CEF1F2EE exp=99A5803791CEF1F2EE + 29056.50ns INFO [00029058] Port=0 RD @07 + 29057.50ns INFO [00029059] Port=1 RD @04 + 29058.50ns INFO [00029060] * RD COMPARE * port=0 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29058.50ns INFO [00029060] Port=1 RD @04 + 29059.50ns INFO [00029061] * RD COMPARE * port=1 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29059.50ns INFO [00029061] Port=0 RD @05 + 29060.50ns INFO [00029062] * RD COMPARE * port=1 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29061.50ns INFO [00029063] * RD COMPARE * port=0 adr=05 act=236B8B83822640B2DF exp=236B8B83822640B2DF + 29062.50ns INFO [00029064] Port=0 RD @03 + 29063.50ns INFO [00029065] Port=0 WR @01=A75FFE4BB7F7A40F11 + 29063.50ns INFO [00029065] Port=1 RD @02 + 29064.50ns INFO [00029066] * RD COMPARE * port=0 adr=03 act=282D1796ECD26E47E5 exp=282D1796ECD26E47E5 + 29064.50ns INFO [00029066] Port=1 RD @06 + 29065.50ns INFO [00029067] * RD COMPARE * port=1 adr=02 act=317D0AD90B4CD24EBC exp=317D0AD90B4CD24EBC + 29065.50ns INFO [00029067] Port=0 WR @06=2A07AB9E0ED966ADFD + 29065.50ns INFO [00029067] Port=0 RD @02 + 29065.50ns INFO [00029067] Port=1 RD @07 + 29066.50ns INFO [00029068] * RD COMPARE * port=1 adr=06 act=6E207E0A9F02277144 exp=6E207E0A9F02277144 + 29066.50ns INFO [00029068] Port=0 RD @00 + 29066.50ns INFO [00029068] Port=1 RD @05 + 29067.50ns INFO [00029069] * RD COMPARE * port=0 adr=02 act=317D0AD90B4CD24EBC exp=317D0AD90B4CD24EBC + 29067.50ns INFO [00029069] * RD COMPARE * port=1 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29067.50ns INFO [00029069] Port=0 RD @02 + 29068.50ns INFO [00029070] * RD COMPARE * port=0 adr=00 act=99A5803791CEF1F2EE exp=99A5803791CEF1F2EE + 29068.50ns INFO [00029070] * RD COMPARE * port=1 adr=05 act=236B8B83822640B2DF exp=236B8B83822640B2DF + 29069.50ns INFO [00029071] * RD COMPARE * port=0 adr=02 act=317D0AD90B4CD24EBC exp=317D0AD90B4CD24EBC + 29069.50ns INFO [00029071] Port=1 RD @03 + 29070.50ns INFO [00029072] Port=0 RD @04 + 29071.50ns INFO [00029073] * RD COMPARE * port=1 adr=03 act=282D1796ECD26E47E5 exp=282D1796ECD26E47E5 + 29071.50ns INFO [00029073] Port=0 WR @01=EB8CE9A3300BA23931 + 29071.50ns INFO [00029073] Port=0 RD @07 + 29071.50ns INFO [00029073] Port=1 RD @04 + 29072.50ns INFO [00029074] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29072.50ns INFO [00029074] Port=0 RD @06 + 29073.50ns INFO [00029075] * RD COMPARE * port=0 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29073.50ns INFO [00029075] * RD COMPARE * port=1 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29073.50ns INFO [00029075] Port=0 WR @06=85CE21529F5D4E95AA + 29073.50ns INFO [00029075] Port=0 RD @07 + 29073.50ns INFO [00029075] Port=1 RD @00 + 29074.50ns INFO [00029076] * RD COMPARE * port=0 adr=06 act=2A07AB9E0ED966ADFD exp=2A07AB9E0ED966ADFD + 29075.50ns INFO [00029077] * RD COMPARE * port=0 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29075.50ns INFO [00029077] * RD COMPARE * port=1 adr=00 act=99A5803791CEF1F2EE exp=99A5803791CEF1F2EE + 29077.50ns INFO [00029079] Port=0 RD @02 + 29078.50ns INFO [00029080] Port=1 RD @07 + 29079.50ns INFO [00029081] * RD COMPARE * port=0 adr=02 act=317D0AD90B4CD24EBC exp=317D0AD90B4CD24EBC + 29079.50ns INFO [00029081] Port=0 WR @01=A4AF4D076CA84FE585 + 29080.50ns INFO [00029082] * RD COMPARE * port=1 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29080.50ns INFO [00029082] Port=0 RD @04 + 29082.50ns INFO [00029084] * RD COMPARE * port=0 adr=04 act=645EC26F222648F0EF exp=645EC26F222648F0EF + 29082.50ns INFO [00029084] Port=0 RD @06 + 29082.50ns INFO [00029084] Port=1 RD @07 + 29083.50ns INFO [00029085] Port=0 WR @04=3CFB14765B67199373 + 29083.50ns INFO [00029085] Port=0 RD @03 + 29084.50ns INFO [00029086] * RD COMPARE * port=0 adr=06 act=85CE21529F5D4E95AA exp=85CE21529F5D4E95AA + 29084.50ns INFO [00029086] * RD COMPARE * port=1 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29084.50ns INFO [00029086] Port=0 RD @01 + 29085.50ns INFO [00029087] * RD COMPARE * port=0 adr=03 act=282D1796ECD26E47E5 exp=282D1796ECD26E47E5 + 29085.50ns INFO [00029087] Port=0 RD @00 + 29085.50ns INFO [00029087] Port=1 RD @00 + 29086.50ns INFO [00029088] * RD COMPARE * port=0 adr=01 act=A4AF4D076CA84FE585 exp=A4AF4D076CA84FE585 + 29086.50ns INFO [00029088] Port=1 RD @07 + 29087.50ns INFO [00029089] * RD COMPARE * port=0 adr=00 act=99A5803791CEF1F2EE exp=99A5803791CEF1F2EE + 29087.50ns INFO [00029089] * RD COMPARE * port=1 adr=00 act=99A5803791CEF1F2EE exp=99A5803791CEF1F2EE + 29087.50ns INFO [00029089] Port=0 WR @04=C69429ACFA49315BC3 + 29088.50ns INFO [00029090] * RD COMPARE * port=1 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29089.50ns INFO [00029091] Port=0 RD @01 + 29089.50ns INFO [00029091] Port=1 RD @02 + 29091.50ns INFO [00029093] * RD COMPARE * port=0 adr=01 act=A4AF4D076CA84FE585 exp=A4AF4D076CA84FE585 + 29091.50ns INFO [00029093] * RD COMPARE * port=1 adr=02 act=317D0AD90B4CD24EBC exp=317D0AD90B4CD24EBC + 29091.50ns INFO [00029093] Port=0 RD @03 + 29092.50ns INFO [00029094] Port=0 WR @05=6B089F600E43C66D4D + 29093.50ns INFO [00029095] * RD COMPARE * port=0 adr=03 act=282D1796ECD26E47E5 exp=282D1796ECD26E47E5 + 29093.50ns INFO [00029095] Port=0 WR @00=329316071C1B62979D + 29094.50ns INFO [00029096] Port=0 WR @04=6D1849926D1AC6A6D5 + 29094.50ns INFO [00029096] Port=1 RD @05 + 29096.50ns INFO [00029098] * RD COMPARE * port=1 adr=05 act=6B089F600E43C66D4D exp=6B089F600E43C66D4D + 29096.50ns INFO [00029098] Port=0 WR @04=0906B772919A6142BA + 29097.50ns INFO [00029099] Port=1 RD @06 + 29098.00ns INFO [00029100] [00029100] ...tick... + 29098.50ns INFO [00029100] Port=0 RD @04 + 29099.50ns INFO [00029101] * RD COMPARE * port=1 adr=06 act=85CE21529F5D4E95AA exp=85CE21529F5D4E95AA + 29100.50ns INFO [00029102] * RD COMPARE * port=0 adr=04 act=0906B772919A6142BA exp=0906B772919A6142BA + 29100.50ns INFO [00029102] Port=0 WR @00=E409575C2C1E76A2A5 + 29100.50ns INFO [00029102] Port=0 RD @01 + 29100.50ns INFO [00029102] Port=1 RD @04 + 29101.50ns INFO [00029103] Port=1 RD @00 + 29102.50ns INFO [00029104] * RD COMPARE * port=0 adr=01 act=A4AF4D076CA84FE585 exp=A4AF4D076CA84FE585 + 29102.50ns INFO [00029104] * RD COMPARE * port=1 adr=04 act=0906B772919A6142BA exp=0906B772919A6142BA + 29103.50ns INFO [00029105] * RD COMPARE * port=1 adr=00 act=E409575C2C1E76A2A5 exp=E409575C2C1E76A2A5 + 29103.50ns INFO [00029105] Port=0 RD @04 + 29103.50ns INFO [00029105] Port=1 RD @01 + 29104.50ns INFO [00029106] Port=0 WR @06=AE10981A509C718A4D + 29105.50ns INFO [00029107] * RD COMPARE * port=0 adr=04 act=0906B772919A6142BA exp=0906B772919A6142BA + 29105.50ns INFO [00029107] * RD COMPARE * port=1 adr=01 act=A4AF4D076CA84FE585 exp=A4AF4D076CA84FE585 + 29105.50ns INFO [00029107] Port=0 RD @01 + 29105.50ns INFO [00029107] Port=1 RD @06 + 29106.50ns INFO [00029108] Port=0 RD @07 + 29106.50ns INFO [00029108] Port=1 RD @01 + 29107.50ns INFO [00029109] * RD COMPARE * port=0 adr=01 act=A4AF4D076CA84FE585 exp=A4AF4D076CA84FE585 + 29107.50ns INFO [00029109] * RD COMPARE * port=1 adr=06 act=AE10981A509C718A4D exp=AE10981A509C718A4D + 29107.50ns INFO [00029109] Port=0 RD @01 + 29108.50ns INFO [00029110] * RD COMPARE * port=0 adr=07 act=13BD1730B14432EB25 exp=13BD1730B14432EB25 + 29108.50ns INFO [00029110] * RD COMPARE * port=1 adr=01 act=A4AF4D076CA84FE585 exp=A4AF4D076CA84FE585 + 29108.50ns INFO [00029110] Port=0 WR @07=B4673464C8724CC16F + 29109.50ns INFO [00029111] * RD COMPARE * port=0 adr=01 act=A4AF4D076CA84FE585 exp=A4AF4D076CA84FE585 + 29109.50ns INFO [00029111] Port=0 WR @07=6A86AC51B6A30CCD86 + 29109.50ns INFO [00029111] Port=0 RD @03 + 29111.50ns INFO [00029113] * RD COMPARE * port=0 adr=03 act=282D1796ECD26E47E5 exp=282D1796ECD26E47E5 + 29111.50ns INFO [00029113] Port=0 RD @01 + 29113.50ns INFO [00029115] * RD COMPARE * port=0 adr=01 act=A4AF4D076CA84FE585 exp=A4AF4D076CA84FE585 + 29114.50ns INFO [00029116] Port=0 RD @00 + 29115.50ns INFO [00029117] Port=0 RD @01 + 29116.50ns INFO [00029118] * RD COMPARE * port=0 adr=00 act=E409575C2C1E76A2A5 exp=E409575C2C1E76A2A5 + 29116.50ns INFO [00029118] Port=0 RD @04 + 29116.50ns INFO [00029118] Port=1 RD @07 + 29117.50ns INFO [00029119] * RD COMPARE * port=0 adr=01 act=A4AF4D076CA84FE585 exp=A4AF4D076CA84FE585 + 29117.50ns INFO [00029119] Port=1 RD @02 + 29118.50ns INFO [00029120] * RD COMPARE * port=0 adr=04 act=0906B772919A6142BA exp=0906B772919A6142BA + 29118.50ns INFO [00029120] * RD COMPARE * port=1 adr=07 act=6A86AC51B6A30CCD86 exp=6A86AC51B6A30CCD86 + 29118.50ns INFO [00029120] Port=0 RD @04 + 29118.50ns INFO [00029120] Port=1 RD @00 + 29119.50ns INFO [00029121] * RD COMPARE * port=1 adr=02 act=317D0AD90B4CD24EBC exp=317D0AD90B4CD24EBC + 29119.50ns INFO [00029121] Port=0 WR @03=DA61ACF736093EF9CB + 29120.50ns INFO [00029122] * RD COMPARE * port=0 adr=04 act=0906B772919A6142BA exp=0906B772919A6142BA + 29120.50ns INFO [00029122] * RD COMPARE * port=1 adr=00 act=E409575C2C1E76A2A5 exp=E409575C2C1E76A2A5 + 29120.50ns INFO [00029122] Port=0 WR @07=EC949F5A1198208D91 + 29120.50ns INFO [00029122] Port=0 RD @02 + 29120.50ns INFO [00029122] Port=1 RD @03 + 29121.50ns INFO [00029123] Port=0 WR @00=540ADC780F85543733 + 29122.50ns INFO [00029124] * RD COMPARE * port=0 adr=02 act=317D0AD90B4CD24EBC exp=317D0AD90B4CD24EBC + 29122.50ns INFO [00029124] * RD COMPARE * port=1 adr=03 act=DA61ACF736093EF9CB exp=DA61ACF736093EF9CB + 29122.50ns INFO [00029124] Port=0 WR @00=D156694A8507EF7809 + 29122.50ns INFO [00029124] Port=1 RD @03 + 29123.50ns INFO [00029125] Port=0 RD @03 + 29124.50ns INFO [00029126] * RD COMPARE * port=1 adr=03 act=DA61ACF736093EF9CB exp=DA61ACF736093EF9CB + 29125.50ns INFO [00029127] * RD COMPARE * port=0 adr=03 act=DA61ACF736093EF9CB exp=DA61ACF736093EF9CB + 29125.50ns INFO [00029127] Port=0 RD @04 + 29125.50ns INFO [00029127] Port=1 RD @02 + 29126.50ns INFO [00029128] Port=0 WR @01=AA2685B52265AA426C + 29126.50ns INFO [00029128] Port=1 RD @04 + 29127.50ns INFO [00029129] * RD COMPARE * port=0 adr=04 act=0906B772919A6142BA exp=0906B772919A6142BA + 29127.50ns INFO [00029129] * RD COMPARE * port=1 adr=02 act=317D0AD90B4CD24EBC exp=317D0AD90B4CD24EBC + 29128.50ns INFO [00029130] * RD COMPARE * port=1 adr=04 act=0906B772919A6142BA exp=0906B772919A6142BA + 29128.50ns INFO [00029130] Port=0 WR @03=7AB1F950444A319FF8 + 29128.50ns INFO [00029130] Port=0 RD @04 + 29128.50ns INFO [00029130] Port=1 RD @05 + 29129.50ns INFO [00029131] Port=0 WR @06=31267D2B350B938187 + 29129.50ns INFO [00029131] Port=1 RD @00 + 29130.50ns INFO [00029132] * RD COMPARE * port=0 adr=04 act=0906B772919A6142BA exp=0906B772919A6142BA + 29130.50ns INFO [00029132] * RD COMPARE * port=1 adr=05 act=6B089F600E43C66D4D exp=6B089F600E43C66D4D + 29130.50ns INFO [00029132] Port=0 WR @05=8FA5079ABE78736C2B + 29130.50ns INFO [00029132] Port=0 RD @01 + 29131.50ns INFO [00029133] * RD COMPARE * port=1 adr=00 act=D156694A8507EF7809 exp=D156694A8507EF7809 + 29132.50ns INFO [00029134] * RD COMPARE * port=0 adr=01 act=AA2685B52265AA426C exp=AA2685B52265AA426C + 29132.50ns INFO [00029134] Port=0 WR @01=805F271A03138DFC86 + 29132.50ns INFO [00029134] Port=0 RD @00 + 29133.50ns INFO [00029135] Port=0 RD @06 + 29134.50ns INFO [00029136] * RD COMPARE * port=0 adr=00 act=D156694A8507EF7809 exp=D156694A8507EF7809 + 29134.50ns INFO [00029136] Port=0 WR @06=49BBAE3C0AFE0400CE + 29134.50ns INFO [00029136] Port=1 RD @03 + 29135.50ns INFO [00029137] * RD COMPARE * port=0 adr=06 act=31267D2B350B938187 exp=31267D2B350B938187 + 29136.50ns INFO [00029138] * RD COMPARE * port=1 adr=03 act=7AB1F950444A319FF8 exp=7AB1F950444A319FF8 + 29136.50ns INFO [00029138] Port=0 RD @00 + 29136.50ns INFO [00029138] Port=1 RD @06 + 29137.50ns INFO [00029139] Port=0 RD @02 + 29138.50ns INFO [00029140] * RD COMPARE * port=0 adr=00 act=D156694A8507EF7809 exp=D156694A8507EF7809 + 29138.50ns INFO [00029140] * RD COMPARE * port=1 adr=06 act=49BBAE3C0AFE0400CE exp=49BBAE3C0AFE0400CE + 29138.50ns INFO [00029140] Port=0 RD @00 + 29139.50ns INFO [00029141] * RD COMPARE * port=0 adr=02 act=317D0AD90B4CD24EBC exp=317D0AD90B4CD24EBC + 29139.50ns INFO [00029141] Port=1 RD @01 + 29140.50ns INFO [00029142] * RD COMPARE * port=0 adr=00 act=D156694A8507EF7809 exp=D156694A8507EF7809 + 29140.50ns INFO [00029142] Port=0 WR @07=869D288D1B3F7C4ABD + 29140.50ns INFO [00029142] Port=0 RD @01 + 29140.50ns INFO [00029142] Port=1 RD @00 + 29141.50ns INFO [00029143] * RD COMPARE * port=1 adr=01 act=805F271A03138DFC86 exp=805F271A03138DFC86 + 29141.50ns INFO [00029143] Port=1 RD @04 + 29142.50ns INFO [00029144] * RD COMPARE * port=0 adr=01 act=805F271A03138DFC86 exp=805F271A03138DFC86 + 29142.50ns INFO [00029144] * RD COMPARE * port=1 adr=00 act=D156694A8507EF7809 exp=D156694A8507EF7809 + 29143.50ns INFO [00029145] * RD COMPARE * port=1 adr=04 act=0906B772919A6142BA exp=0906B772919A6142BA + 29143.50ns INFO [00029145] Port=0 WR @02=CA7B0FE5DEBFBE43DB + 29143.50ns INFO [00029145] Port=1 RD @03 + 29144.50ns INFO [00029146] Port=0 WR @07=D331C12CFD41F14B2E + 29145.50ns INFO [00029147] * RD COMPARE * port=1 adr=03 act=7AB1F950444A319FF8 exp=7AB1F950444A319FF8 + 29145.50ns INFO [00029147] Port=0 WR @05=54F14ED59C35AF6E8C + 29145.50ns INFO [00029147] Port=0 RD @02 + 29147.50ns INFO [00029149] * RD COMPARE * port=0 adr=02 act=CA7B0FE5DEBFBE43DB exp=CA7B0FE5DEBFBE43DB + 29148.50ns INFO [00029150] Port=0 WR @00=B165CC456240FAF140 + 29149.50ns INFO [00029151] Port=0 WR @00=F3A8A3FAAF9A676637 + 29150.50ns INFO [00029152] Port=0 WR @04=8A27EE3B2B8077814E + 29150.50ns INFO [00029152] Port=0 RD @01 + 29150.50ns INFO [00029152] Port=1 RD @07 + 29151.50ns INFO [00029153] Port=0 RD @00 + 29151.50ns INFO [00029153] Port=1 RD @03 + 29152.50ns INFO [00029154] * RD COMPARE * port=0 adr=01 act=805F271A03138DFC86 exp=805F271A03138DFC86 + 29152.50ns INFO [00029154] * RD COMPARE * port=1 adr=07 act=D331C12CFD41F14B2E exp=D331C12CFD41F14B2E + 29153.50ns INFO [00029155] * RD COMPARE * port=0 adr=00 act=F3A8A3FAAF9A676637 exp=F3A8A3FAAF9A676637 + 29153.50ns INFO [00029155] * RD COMPARE * port=1 adr=03 act=7AB1F950444A319FF8 exp=7AB1F950444A319FF8 + 29153.50ns INFO [00029155] Port=1 RD @03 + 29154.50ns INFO [00029156] Port=0 WR @00=2ED3F24F43B6ACAC6D + 29154.50ns INFO [00029156] Port=0 RD @04 + 29154.50ns INFO [00029156] Port=1 RD @07 + 29155.50ns INFO [00029157] * RD COMPARE * port=1 adr=03 act=7AB1F950444A319FF8 exp=7AB1F950444A319FF8 + 29155.50ns INFO [00029157] Port=0 WR @05=5F6A079BB0B8C5DCCB + 29156.50ns INFO [00029158] * RD COMPARE * port=0 adr=04 act=8A27EE3B2B8077814E exp=8A27EE3B2B8077814E + 29156.50ns INFO [00029158] * RD COMPARE * port=1 adr=07 act=D331C12CFD41F14B2E exp=D331C12CFD41F14B2E + 29156.50ns INFO [00029158] Port=0 WR @04=9AAB8AB325E01372B4 + 29156.50ns INFO [00029158] Port=0 RD @02 + 29158.50ns INFO [00029160] * RD COMPARE * port=0 adr=02 act=CA7B0FE5DEBFBE43DB exp=CA7B0FE5DEBFBE43DB + 29158.50ns INFO [00029160] Port=0 RD @03 + 29158.50ns INFO [00029160] Port=1 RD @06 + 29159.50ns INFO [00029161] Port=1 RD @00 + 29160.50ns INFO [00029162] * RD COMPARE * port=0 adr=03 act=7AB1F950444A319FF8 exp=7AB1F950444A319FF8 + 29160.50ns INFO [00029162] * RD COMPARE * port=1 adr=06 act=49BBAE3C0AFE0400CE exp=49BBAE3C0AFE0400CE + 29160.50ns INFO [00029162] Port=1 RD @05 + 29161.50ns INFO [00029163] * RD COMPARE * port=1 adr=00 act=2ED3F24F43B6ACAC6D exp=2ED3F24F43B6ACAC6D + 29161.50ns INFO [00029163] Port=0 RD @03 + 29161.50ns INFO [00029163] Port=1 RD @01 + 29162.50ns INFO [00029164] * RD COMPARE * port=1 adr=05 act=5F6A079BB0B8C5DCCB exp=5F6A079BB0B8C5DCCB + 29162.50ns INFO [00029164] Port=0 RD @07 + 29163.50ns INFO [00029165] * RD COMPARE * port=0 adr=03 act=7AB1F950444A319FF8 exp=7AB1F950444A319FF8 + 29163.50ns INFO [00029165] * RD COMPARE * port=1 adr=01 act=805F271A03138DFC86 exp=805F271A03138DFC86 + 29163.50ns INFO [00029165] Port=0 RD @01 + 29164.50ns INFO [00029166] * RD COMPARE * port=0 adr=07 act=D331C12CFD41F14B2E exp=D331C12CFD41F14B2E + 29164.50ns INFO [00029166] Port=1 RD @06 + 29165.50ns INFO [00029167] * RD COMPARE * port=0 adr=01 act=805F271A03138DFC86 exp=805F271A03138DFC86 + 29165.50ns INFO [00029167] Port=1 RD @03 + 29166.50ns INFO [00029168] * RD COMPARE * port=1 adr=06 act=49BBAE3C0AFE0400CE exp=49BBAE3C0AFE0400CE + 29166.50ns INFO [00029168] Port=0 RD @02 + 29167.50ns INFO [00029169] * RD COMPARE * port=1 adr=03 act=7AB1F950444A319FF8 exp=7AB1F950444A319FF8 + 29168.50ns INFO [00029170] * RD COMPARE * port=0 adr=02 act=CA7B0FE5DEBFBE43DB exp=CA7B0FE5DEBFBE43DB + 29168.50ns INFO [00029170] Port=0 WR @06=4F2D799F779D98CCCE + 29168.50ns INFO [00029170] Port=0 RD @00 + 29170.50ns INFO [00029172] * RD COMPARE * port=0 adr=00 act=2ED3F24F43B6ACAC6D exp=2ED3F24F43B6ACAC6D + 29170.50ns INFO [00029172] Port=0 WR @00=1439EB8285FCD21166 + 29170.50ns INFO [00029172] Port=0 RD @06 + 29171.50ns INFO [00029173] Port=0 RD @02 + 29171.50ns INFO [00029173] Port=1 RD @06 + 29172.50ns INFO [00029174] * RD COMPARE * port=0 adr=06 act=4F2D799F779D98CCCE exp=4F2D799F779D98CCCE + 29172.50ns INFO [00029174] Port=1 RD @07 + 29173.50ns INFO [00029175] * RD COMPARE * port=0 adr=02 act=CA7B0FE5DEBFBE43DB exp=CA7B0FE5DEBFBE43DB + 29173.50ns INFO [00029175] * RD COMPARE * port=1 adr=06 act=4F2D799F779D98CCCE exp=4F2D799F779D98CCCE + 29173.50ns INFO [00029175] Port=1 RD @02 + 29174.50ns INFO [00029176] * RD COMPARE * port=1 adr=07 act=D331C12CFD41F14B2E exp=D331C12CFD41F14B2E + 29174.50ns INFO [00029176] Port=0 WR @03=70D3000B6BF6D0AD9D + 29174.50ns INFO [00029176] Port=1 RD @06 + 29175.50ns INFO [00029177] * RD COMPARE * port=1 adr=02 act=CA7B0FE5DEBFBE43DB exp=CA7B0FE5DEBFBE43DB + 29175.50ns INFO [00029177] Port=0 RD @03 + 29175.50ns INFO [00029177] Port=1 RD @05 + 29176.50ns INFO [00029178] * RD COMPARE * port=1 adr=06 act=4F2D799F779D98CCCE exp=4F2D799F779D98CCCE + 29176.50ns INFO [00029178] Port=0 WR @06=0DAA67ED753D3265FC + 29177.50ns INFO [00029179] * RD COMPARE * port=0 adr=03 act=70D3000B6BF6D0AD9D exp=70D3000B6BF6D0AD9D + 29177.50ns INFO [00029179] * RD COMPARE * port=1 adr=05 act=5F6A079BB0B8C5DCCB exp=5F6A079BB0B8C5DCCB + 29178.50ns INFO [00029180] Port=0 RD @07 + 29179.50ns INFO [00029181] Port=1 RD @03 + 29180.50ns INFO [00029182] * RD COMPARE * port=0 adr=07 act=D331C12CFD41F14B2E exp=D331C12CFD41F14B2E + 29180.50ns INFO [00029182] Port=1 RD @06 + 29181.50ns INFO [00029183] * RD COMPARE * port=1 adr=03 act=70D3000B6BF6D0AD9D exp=70D3000B6BF6D0AD9D + 29181.50ns INFO [00029183] Port=1 RD @05 + 29182.50ns INFO [00029184] * RD COMPARE * port=1 adr=06 act=0DAA67ED753D3265FC exp=0DAA67ED753D3265FC + 29183.50ns INFO [00029185] * RD COMPARE * port=1 adr=05 act=5F6A079BB0B8C5DCCB exp=5F6A079BB0B8C5DCCB + 29183.50ns INFO [00029185] Port=0 WR @04=85EC3ECD37D71074DF + 29184.50ns INFO [00029186] Port=0 WR @04=D8D5B8F3B559A812CD + 29186.50ns INFO [00029188] Port=0 WR @01=AA7771545EB1D3D03B + 29186.50ns INFO [00029188] Port=0 RD @02 + 29187.50ns INFO [00029189] Port=1 RD @04 + 29188.50ns INFO [00029190] * RD COMPARE * port=0 adr=02 act=CA7B0FE5DEBFBE43DB exp=CA7B0FE5DEBFBE43DB + 29188.50ns INFO [00029190] Port=0 WR @00=554ECAC488853264E7 + 29189.50ns INFO [00029191] * RD COMPARE * port=1 adr=04 act=D8D5B8F3B559A812CD exp=D8D5B8F3B559A812CD + 29190.50ns INFO [00029192] Port=0 WR @07=AF88B0C6CBD3E97D6C + 29190.50ns INFO [00029192] Port=0 RD @00 + 29192.50ns INFO [00029194] * RD COMPARE * port=0 adr=00 act=554ECAC488853264E7 exp=554ECAC488853264E7 + 29192.50ns INFO [00029194] Port=0 RD @06 + 29194.50ns INFO [00029196] * RD COMPARE * port=0 adr=06 act=0DAA67ED753D3265FC exp=0DAA67ED753D3265FC + 29194.50ns INFO [00029196] Port=1 RD @03 + 29195.50ns INFO [00029197] Port=0 WR @05=B633CD87264573C726 + 29195.50ns INFO [00029197] Port=0 RD @06 + 29196.50ns INFO [00029198] * RD COMPARE * port=1 adr=03 act=70D3000B6BF6D0AD9D exp=70D3000B6BF6D0AD9D + 29197.50ns INFO [00029199] * RD COMPARE * port=0 adr=06 act=0DAA67ED753D3265FC exp=0DAA67ED753D3265FC + 29197.50ns INFO [00029199] Port=0 WR @07=A47DB1152291C7988A + 29198.00ns INFO [00029200] [00029200] ...tick... + 29198.50ns INFO [00029200] Port=0 WR @01=D6B15322B4612A425D + 29199.50ns INFO [00029201] Port=0 RD @01 + 29200.50ns INFO [00029202] Port=1 RD @03 + 29201.50ns INFO [00029203] * RD COMPARE * port=0 adr=01 act=D6B15322B4612A425D exp=D6B15322B4612A425D + 29201.50ns INFO [00029203] Port=0 RD @06 + 29202.50ns INFO [00029204] * RD COMPARE * port=1 adr=03 act=70D3000B6BF6D0AD9D exp=70D3000B6BF6D0AD9D + 29202.50ns INFO [00029204] Port=0 RD @01 + 29203.50ns INFO [00029205] * RD COMPARE * port=0 adr=06 act=0DAA67ED753D3265FC exp=0DAA67ED753D3265FC + 29203.50ns INFO [00029205] Port=1 RD @02 + 29204.50ns INFO [00029206] * RD COMPARE * port=0 adr=01 act=D6B15322B4612A425D exp=D6B15322B4612A425D + 29204.50ns INFO [00029206] Port=0 WR @04=D10DDF71B5CE5BF6F4 + 29204.50ns INFO [00029206] Port=0 RD @01 + 29205.50ns INFO [00029207] * RD COMPARE * port=1 adr=02 act=CA7B0FE5DEBFBE43DB exp=CA7B0FE5DEBFBE43DB + 29205.50ns INFO [00029207] Port=0 RD @00 + 29205.50ns INFO [00029207] Port=1 RD @00 + 29206.50ns INFO [00029208] * RD COMPARE * port=0 adr=01 act=D6B15322B4612A425D exp=D6B15322B4612A425D + 29206.50ns INFO [00029208] Port=0 WR @05=EC28E4F34276148FF2 + 29207.50ns INFO [00029209] * RD COMPARE * port=0 adr=00 act=554ECAC488853264E7 exp=554ECAC488853264E7 + 29207.50ns INFO [00029209] * RD COMPARE * port=1 adr=00 act=554ECAC488853264E7 exp=554ECAC488853264E7 + 29207.50ns INFO [00029209] Port=0 WR @04=B9CB6C0D8F02359A7C + 29208.50ns INFO [00029210] Port=1 RD @05 + 29209.50ns INFO [00029211] Port=0 WR @00=D5C0C5BCE89B46105B + 29209.50ns INFO [00029211] Port=1 RD @05 + 29210.50ns INFO [00029212] * RD COMPARE * port=1 adr=05 act=EC28E4F34276148FF2 exp=EC28E4F34276148FF2 + 29211.50ns INFO [00029213] * RD COMPARE * port=1 adr=05 act=EC28E4F34276148FF2 exp=EC28E4F34276148FF2 + 29211.50ns INFO [00029213] Port=0 RD @02 + 29211.50ns INFO [00029213] Port=1 RD @07 + 29213.50ns INFO [00029215] * RD COMPARE * port=0 adr=02 act=CA7B0FE5DEBFBE43DB exp=CA7B0FE5DEBFBE43DB + 29213.50ns INFO [00029215] * RD COMPARE * port=1 adr=07 act=A47DB1152291C7988A exp=A47DB1152291C7988A + 29213.50ns INFO [00029215] Port=0 RD @00 + 29213.50ns INFO [00029215] Port=1 RD @00 + 29214.50ns INFO [00029216] Port=1 RD @07 + 29215.50ns INFO [00029217] * RD COMPARE * port=0 adr=00 act=D5C0C5BCE89B46105B exp=D5C0C5BCE89B46105B + 29215.50ns INFO [00029217] * RD COMPARE * port=1 adr=00 act=D5C0C5BCE89B46105B exp=D5C0C5BCE89B46105B + 29215.50ns INFO [00029217] Port=0 RD @02 + 29216.50ns INFO [00029218] * RD COMPARE * port=1 adr=07 act=A47DB1152291C7988A exp=A47DB1152291C7988A + 29217.50ns INFO [00029219] * RD COMPARE * port=0 adr=02 act=CA7B0FE5DEBFBE43DB exp=CA7B0FE5DEBFBE43DB + 29217.50ns INFO [00029219] Port=0 RD @07 + 29219.50ns INFO [00029221] * RD COMPARE * port=0 adr=07 act=A47DB1152291C7988A exp=A47DB1152291C7988A + 29219.50ns INFO [00029221] Port=0 WR @04=3319566435B2E8014E + 29220.50ns INFO [00029222] Port=1 RD @03 + 29221.50ns INFO [00029223] Port=0 RD @07 + 29222.50ns INFO [00029224] * RD COMPARE * port=1 adr=03 act=70D3000B6BF6D0AD9D exp=70D3000B6BF6D0AD9D + 29223.50ns INFO [00029225] * RD COMPARE * port=0 adr=07 act=A47DB1152291C7988A exp=A47DB1152291C7988A + 29223.50ns INFO [00029225] Port=0 WR @06=8508D44FE7D7E07EDE + 29223.50ns INFO [00029225] Port=0 RD @07 + 29223.50ns INFO [00029225] Port=1 RD @03 + 29224.50ns INFO [00029226] Port=0 RD @06 + 29225.50ns INFO [00029227] * RD COMPARE * port=0 adr=07 act=A47DB1152291C7988A exp=A47DB1152291C7988A + 29225.50ns INFO [00029227] * RD COMPARE * port=1 adr=03 act=70D3000B6BF6D0AD9D exp=70D3000B6BF6D0AD9D + 29225.50ns INFO [00029227] Port=0 WR @00=E8BDDB25C2B212BB08 + 29225.50ns INFO [00029227] Port=1 RD @03 + 29226.50ns INFO [00029228] * RD COMPARE * port=0 adr=06 act=8508D44FE7D7E07EDE exp=8508D44FE7D7E07EDE + 29226.50ns INFO [00029228] Port=0 RD @07 + 29226.50ns INFO [00029228] Port=1 RD @07 + 29227.50ns INFO [00029229] * RD COMPARE * port=1 adr=03 act=70D3000B6BF6D0AD9D exp=70D3000B6BF6D0AD9D + 29227.50ns INFO [00029229] Port=1 RD @06 + 29228.50ns INFO [00029230] * RD COMPARE * port=0 adr=07 act=A47DB1152291C7988A exp=A47DB1152291C7988A + 29228.50ns INFO [00029230] * RD COMPARE * port=1 adr=07 act=A47DB1152291C7988A exp=A47DB1152291C7988A + 29228.50ns INFO [00029230] Port=0 WR @01=9A6DC08C5760C371B9 + 29228.50ns INFO [00029230] Port=1 RD @00 + 29229.50ns INFO [00029231] * RD COMPARE * port=1 adr=06 act=8508D44FE7D7E07EDE exp=8508D44FE7D7E07EDE + 29229.50ns INFO [00029231] Port=1 RD @01 + 29230.50ns INFO [00029232] * RD COMPARE * port=1 adr=00 act=E8BDDB25C2B212BB08 exp=E8BDDB25C2B212BB08 + 29230.50ns INFO [00029232] Port=0 WR @02=F8FD3D1BA7B065DFC8 + 29230.50ns INFO [00029232] Port=0 RD @00 + 29231.50ns INFO [00029233] * RD COMPARE * port=1 adr=01 act=9A6DC08C5760C371B9 exp=9A6DC08C5760C371B9 + 29231.50ns INFO [00029233] Port=0 WR @00=64FFB041A4FA966974 + 29231.50ns INFO [00029233] Port=0 RD @07 + 29231.50ns INFO [00029233] Port=1 RD @01 + 29232.50ns INFO [00029234] * RD COMPARE * port=0 adr=00 act=E8BDDB25C2B212BB08 exp=E8BDDB25C2B212BB08 + 29232.50ns INFO [00029234] Port=0 WR @05=0DD1F81BE501E69780 + 29232.50ns INFO [00029234] Port=0 RD @04 + 29233.50ns INFO [00029235] * RD COMPARE * port=0 adr=07 act=A47DB1152291C7988A exp=A47DB1152291C7988A + 29233.50ns INFO [00029235] * RD COMPARE * port=1 adr=01 act=9A6DC08C5760C371B9 exp=9A6DC08C5760C371B9 + 29233.50ns INFO [00029235] Port=0 WR @02=65D3DA257583DBEE06 + 29234.50ns INFO [00029236] * RD COMPARE * port=0 adr=04 act=3319566435B2E8014E exp=3319566435B2E8014E + 29234.50ns INFO [00029236] Port=0 WR @07=E383A65EB176318FB6 + 29234.50ns INFO [00029236] Port=1 RD @03 + 29235.50ns INFO [00029237] Port=1 RD @07 + 29236.50ns INFO [00029238] * RD COMPARE * port=1 adr=03 act=70D3000B6BF6D0AD9D exp=70D3000B6BF6D0AD9D + 29236.50ns INFO [00029238] Port=0 WR @00=C46F210CEA3407C678 + 29237.50ns INFO [00029239] * RD COMPARE * port=1 adr=07 act=E383A65EB176318FB6 exp=E383A65EB176318FB6 + 29238.50ns INFO [00029240] Port=0 WR @02=64C218C874507221E7 + 29240.50ns INFO [00029242] Port=0 RD @06 + 29240.50ns INFO [00029242] Port=1 RD @04 + 29241.50ns INFO [00029243] Port=0 WR @05=89203A535089DB7C56 + 29241.50ns INFO [00029243] Port=1 RD @04 + 29242.50ns INFO [00029244] * RD COMPARE * port=0 adr=06 act=8508D44FE7D7E07EDE exp=8508D44FE7D7E07EDE + 29242.50ns INFO [00029244] * RD COMPARE * port=1 adr=04 act=3319566435B2E8014E exp=3319566435B2E8014E + 29242.50ns INFO [00029244] Port=0 WR @05=F2A21C2B2BFF0E63D7 + 29243.50ns INFO [00029245] * RD COMPARE * port=1 adr=04 act=3319566435B2E8014E exp=3319566435B2E8014E + 29243.50ns INFO [00029245] Port=1 RD @02 + 29245.50ns INFO [00029247] * RD COMPARE * port=1 adr=02 act=64C218C874507221E7 exp=64C218C874507221E7 + 29246.50ns INFO [00029248] Port=0 WR @07=DB759587CA9706282A + 29247.50ns INFO [00029249] Port=1 RD @01 + 29248.50ns INFO [00029250] Port=0 RD @02 + 29249.50ns INFO [00029251] * RD COMPARE * port=1 adr=01 act=9A6DC08C5760C371B9 exp=9A6DC08C5760C371B9 + 29249.50ns INFO [00029251] Port=0 WR @03=E22F7053B88710DA01 + 29249.50ns INFO [00029251] Port=0 RD @04 + 29250.50ns INFO [00029252] * RD COMPARE * port=0 adr=02 act=64C218C874507221E7 exp=64C218C874507221E7 + 29250.50ns INFO [00029252] Port=0 WR @02=1270D875571D4EC383 + 29250.50ns INFO [00029252] Port=0 RD @01 + 29250.50ns INFO [00029252] Port=1 RD @05 + 29251.50ns INFO [00029253] * RD COMPARE * port=0 adr=04 act=3319566435B2E8014E exp=3319566435B2E8014E + 29251.50ns INFO [00029253] Port=0 RD @01 + 29251.50ns INFO [00029253] Port=1 RD @01 + 29252.50ns INFO [00029254] * RD COMPARE * port=0 adr=01 act=9A6DC08C5760C371B9 exp=9A6DC08C5760C371B9 + 29252.50ns INFO [00029254] * RD COMPARE * port=1 adr=05 act=F2A21C2B2BFF0E63D7 exp=F2A21C2B2BFF0E63D7 + 29252.50ns INFO [00029254] Port=1 RD @06 + 29253.50ns INFO [00029255] * RD COMPARE * port=0 adr=01 act=9A6DC08C5760C371B9 exp=9A6DC08C5760C371B9 + 29253.50ns INFO [00029255] * RD COMPARE * port=1 adr=01 act=9A6DC08C5760C371B9 exp=9A6DC08C5760C371B9 + 29253.50ns INFO [00029255] Port=0 WR @03=8C29140076E437CC98 + 29253.50ns INFO [00029255] Port=0 RD @00 + 29254.50ns INFO [00029256] * RD COMPARE * port=1 adr=06 act=8508D44FE7D7E07EDE exp=8508D44FE7D7E07EDE + 29254.50ns INFO [00029256] Port=0 WR @05=016A781466407F7F2D + 29255.50ns INFO [00029257] * RD COMPARE * port=0 adr=00 act=C46F210CEA3407C678 exp=C46F210CEA3407C678 + 29255.50ns INFO [00029257] Port=0 WR @04=56B906ABD3FC5D59DC + 29256.50ns INFO [00029258] Port=0 RD @03 + 29256.50ns INFO [00029258] Port=1 RD @07 + 29257.50ns INFO [00029259] Port=0 RD @01 + 29258.50ns INFO [00029260] * RD COMPARE * port=0 adr=03 act=8C29140076E437CC98 exp=8C29140076E437CC98 + 29258.50ns INFO [00029260] * RD COMPARE * port=1 adr=07 act=DB759587CA9706282A exp=DB759587CA9706282A + 29259.50ns INFO [00029261] * RD COMPARE * port=0 adr=01 act=9A6DC08C5760C371B9 exp=9A6DC08C5760C371B9 + 29259.50ns INFO [00029261] Port=0 WR @02=5F926BB82A3BAA0B3B + 29260.50ns INFO [00029262] Port=0 RD @06 + 29262.50ns INFO [00029264] * RD COMPARE * port=0 adr=06 act=8508D44FE7D7E07EDE exp=8508D44FE7D7E07EDE + 29262.50ns INFO [00029264] Port=1 RD @05 + 29263.50ns INFO [00029265] Port=0 WR @01=376A97CB2F84747940 + 29263.50ns INFO [00029265] Port=1 RD @03 + 29264.50ns INFO [00029266] * RD COMPARE * port=1 adr=05 act=016A781466407F7F2D exp=016A781466407F7F2D + 29265.50ns INFO [00029267] * RD COMPARE * port=1 adr=03 act=8C29140076E437CC98 exp=8C29140076E437CC98 + 29265.50ns INFO [00029267] Port=0 RD @00 + 29267.50ns INFO [00029269] * RD COMPARE * port=0 adr=00 act=C46F210CEA3407C678 exp=C46F210CEA3407C678 + 29267.50ns INFO [00029269] Port=0 WR @06=6FBD43479C7A17CD2B + 29268.50ns INFO [00029270] Port=0 RD @06 + 29269.50ns INFO [00029271] Port=0 RD @00 + 29270.50ns INFO [00029272] * RD COMPARE * port=0 adr=06 act=6FBD43479C7A17CD2B exp=6FBD43479C7A17CD2B + 29271.50ns INFO [00029273] * RD COMPARE * port=0 adr=00 act=C46F210CEA3407C678 exp=C46F210CEA3407C678 + 29271.50ns INFO [00029273] Port=0 WR @06=EF21AE81846E965E53 + 29272.50ns INFO [00029274] Port=0 RD @02 + 29273.50ns INFO [00029275] Port=1 RD @01 + 29274.50ns INFO [00029276] * RD COMPARE * port=0 adr=02 act=5F926BB82A3BAA0B3B exp=5F926BB82A3BAA0B3B + 29274.50ns INFO [00029276] Port=0 RD @00 + 29275.50ns INFO [00029277] * RD COMPARE * port=1 adr=01 act=376A97CB2F84747940 exp=376A97CB2F84747940 + 29275.50ns INFO [00029277] Port=0 WR @04=7654BE373D4A18E805 + 29276.50ns INFO [00029278] * RD COMPARE * port=0 adr=00 act=C46F210CEA3407C678 exp=C46F210CEA3407C678 + 29276.50ns INFO [00029278] Port=0 RD @01 + 29277.50ns INFO [00029279] Port=1 RD @03 + 29278.50ns INFO [00029280] * RD COMPARE * port=0 adr=01 act=376A97CB2F84747940 exp=376A97CB2F84747940 + 29278.50ns INFO [00029280] Port=0 WR @02=C8C2B262BB3D1B961A + 29279.50ns INFO [00029281] * RD COMPARE * port=1 adr=03 act=8C29140076E437CC98 exp=8C29140076E437CC98 + 29279.50ns INFO [00029281] Port=0 WR @01=20F1A479E67B9484C9 + 29280.50ns INFO [00029282] Port=0 WR @04=6A6E19994ECD51C532 + 29280.50ns INFO [00029282] Port=0 RD @02 + 29280.50ns INFO [00029282] Port=1 RD @01 + 29282.50ns INFO [00029284] * RD COMPARE * port=0 adr=02 act=C8C2B262BB3D1B961A exp=C8C2B262BB3D1B961A + 29282.50ns INFO [00029284] * RD COMPARE * port=1 adr=01 act=20F1A479E67B9484C9 exp=20F1A479E67B9484C9 + 29282.50ns INFO [00029284] Port=0 RD @06 + 29283.50ns INFO [00029285] Port=1 RD @00 + 29284.50ns INFO [00029286] * RD COMPARE * port=0 adr=06 act=EF21AE81846E965E53 exp=EF21AE81846E965E53 + 29284.50ns INFO [00029286] Port=0 WR @01=69A19B0C23D8786886 + 29284.50ns INFO [00029286] Port=0 RD @07 + 29284.50ns INFO [00029286] Port=1 RD @07 + 29285.50ns INFO [00029287] * RD COMPARE * port=1 adr=00 act=C46F210CEA3407C678 exp=C46F210CEA3407C678 + 29285.50ns INFO [00029287] Port=0 WR @04=B08AA1C34F8C7746AE + 29286.50ns INFO [00029288] * RD COMPARE * port=0 adr=07 act=DB759587CA9706282A exp=DB759587CA9706282A + 29286.50ns INFO [00029288] * RD COMPARE * port=1 adr=07 act=DB759587CA9706282A exp=DB759587CA9706282A + 29286.50ns INFO [00029288] Port=0 WR @03=E5F266A0F41590BC66 + 29286.50ns INFO [00029288] Port=1 RD @02 + 29287.50ns INFO [00029289] Port=0 WR @01=BB573D54E5EDCFD23C + 29287.50ns INFO [00029289] Port=0 RD @06 + 29288.50ns INFO [00029290] * RD COMPARE * port=1 adr=02 act=C8C2B262BB3D1B961A exp=C8C2B262BB3D1B961A + 29289.50ns INFO [00029291] * RD COMPARE * port=0 adr=06 act=EF21AE81846E965E53 exp=EF21AE81846E965E53 + 29289.50ns INFO [00029291] Port=0 RD @07 + 29289.50ns INFO [00029291] Port=1 RD @06 + 29290.50ns INFO [00029292] Port=0 WR @01=6C05E534087DA968F9 + 29290.50ns INFO [00029292] Port=0 RD @06 + 29291.50ns INFO [00029293] * RD COMPARE * port=0 adr=07 act=DB759587CA9706282A exp=DB759587CA9706282A + 29291.50ns INFO [00029293] * RD COMPARE * port=1 adr=06 act=EF21AE81846E965E53 exp=EF21AE81846E965E53 + 29291.50ns INFO [00029293] Port=1 RD @03 + 29292.50ns INFO [00029294] * RD COMPARE * port=0 adr=06 act=EF21AE81846E965E53 exp=EF21AE81846E965E53 + 29293.50ns INFO [00029295] * RD COMPARE * port=1 adr=03 act=E5F266A0F41590BC66 exp=E5F266A0F41590BC66 + 29293.50ns INFO [00029295] Port=0 RD @03 + 29293.50ns INFO [00029295] Port=1 RD @02 + 29294.50ns INFO [00029296] Port=0 RD @05 + 29294.50ns INFO [00029296] Port=1 RD @05 + 29295.50ns INFO [00029297] * RD COMPARE * port=0 adr=03 act=E5F266A0F41590BC66 exp=E5F266A0F41590BC66 + 29295.50ns INFO [00029297] * RD COMPARE * port=1 adr=02 act=C8C2B262BB3D1B961A exp=C8C2B262BB3D1B961A + 29295.50ns INFO [00029297] Port=1 RD @01 + 29296.50ns INFO [00029298] * RD COMPARE * port=0 adr=05 act=016A781466407F7F2D exp=016A781466407F7F2D + 29296.50ns INFO [00029298] * RD COMPARE * port=1 adr=05 act=016A781466407F7F2D exp=016A781466407F7F2D + 29296.50ns INFO [00029298] Port=0 WR @04=6D13E9D550B4A3F501 + 29297.50ns INFO [00029299] * RD COMPARE * port=1 adr=01 act=6C05E534087DA968F9 exp=6C05E534087DA968F9 + 29297.50ns INFO [00029299] Port=0 WR @01=57678CE489A1C0010E + 29297.50ns INFO [00029299] Port=0 RD @00 + 29297.50ns INFO [00029299] Port=1 RD @06 + 29298.00ns INFO [00029300] [00029300] ...tick... + 29298.50ns INFO [00029300] Port=0 WR @02=23D088320416F55844 + 29298.50ns INFO [00029300] Port=0 RD @06 + 29299.50ns INFO [00029301] * RD COMPARE * port=0 adr=00 act=C46F210CEA3407C678 exp=C46F210CEA3407C678 + 29299.50ns INFO [00029301] * RD COMPARE * port=1 adr=06 act=EF21AE81846E965E53 exp=EF21AE81846E965E53 + 29299.50ns INFO [00029301] Port=0 WR @07=41709B08368339A517 + 29299.50ns INFO [00029301] Port=0 RD @06 + 29300.50ns INFO [00029302] * RD COMPARE * port=0 adr=06 act=EF21AE81846E965E53 exp=EF21AE81846E965E53 + 29300.50ns INFO [00029302] Port=0 WR @01=CA999E6F851B7C8365 + 29301.50ns INFO [00029303] * RD COMPARE * port=0 adr=06 act=EF21AE81846E965E53 exp=EF21AE81846E965E53 + 29301.50ns INFO [00029303] Port=0 WR @06=1BFE08BF64AB7F89A7 + 29301.50ns INFO [00029303] Port=0 RD @02 + 29301.50ns INFO [00029303] Port=1 RD @03 + 29302.50ns INFO [00029304] Port=0 RD @02 + 29302.50ns INFO [00029304] Port=1 RD @05 + 29303.50ns INFO [00029305] * RD COMPARE * port=0 adr=02 act=23D088320416F55844 exp=23D088320416F55844 + 29303.50ns INFO [00029305] * RD COMPARE * port=1 adr=03 act=E5F266A0F41590BC66 exp=E5F266A0F41590BC66 + 29303.50ns INFO [00029305] Port=0 RD @05 + 29303.50ns INFO [00029305] Port=1 RD @02 + 29304.50ns INFO [00029306] * RD COMPARE * port=0 adr=02 act=23D088320416F55844 exp=23D088320416F55844 + 29304.50ns INFO [00029306] * RD COMPARE * port=1 adr=05 act=016A781466407F7F2D exp=016A781466407F7F2D + 29304.50ns INFO [00029306] Port=0 WR @03=055EFB74B066059011 + 29305.50ns INFO [00029307] * RD COMPARE * port=0 adr=05 act=016A781466407F7F2D exp=016A781466407F7F2D + 29305.50ns INFO [00029307] * RD COMPARE * port=1 adr=02 act=23D088320416F55844 exp=23D088320416F55844 + 29305.50ns INFO [00029307] Port=0 RD @01 + 29306.50ns INFO [00029308] Port=0 WR @07=3C4BFF5A5415A9953F + 29306.50ns INFO [00029308] Port=0 RD @01 + 29306.50ns INFO [00029308] Port=1 RD @03 + 29307.50ns INFO [00029309] * RD COMPARE * port=0 adr=01 act=CA999E6F851B7C8365 exp=CA999E6F851B7C8365 + 29307.50ns INFO [00029309] Port=0 WR @06=1CF3E0895058CD4E6A + 29307.50ns INFO [00029309] Port=0 RD @00 + 29307.50ns INFO [00029309] Port=1 RD @03 + 29308.50ns INFO [00029310] * RD COMPARE * port=0 adr=01 act=CA999E6F851B7C8365 exp=CA999E6F851B7C8365 + 29308.50ns INFO [00029310] * RD COMPARE * port=1 adr=03 act=055EFB74B066059011 exp=055EFB74B066059011 + 29308.50ns INFO [00029310] Port=0 WR @01=35BA36BD675C8EBC5B + 29308.50ns INFO [00029310] Port=0 RD @02 + 29308.50ns INFO [00029310] Port=1 RD @04 + 29309.50ns INFO [00029311] * RD COMPARE * port=0 adr=00 act=C46F210CEA3407C678 exp=C46F210CEA3407C678 + 29309.50ns INFO [00029311] * RD COMPARE * port=1 adr=03 act=055EFB74B066059011 exp=055EFB74B066059011 + 29309.50ns INFO [00029311] Port=0 WR @00=A60CF665A71B3909A0 + 29309.50ns INFO [00029311] Port=1 RD @02 + 29310.50ns INFO [00029312] * RD COMPARE * port=0 adr=02 act=23D088320416F55844 exp=23D088320416F55844 + 29310.50ns INFO [00029312] * RD COMPARE * port=1 adr=04 act=6D13E9D550B4A3F501 exp=6D13E9D550B4A3F501 + 29310.50ns INFO [00029312] Port=0 RD @05 + 29310.50ns INFO [00029312] Port=1 RD @03 + 29311.50ns INFO [00029313] * RD COMPARE * port=1 adr=02 act=23D088320416F55844 exp=23D088320416F55844 + 29311.50ns INFO [00029313] Port=0 WR @01=75552D06E1FD71F9A4 + 29311.50ns INFO [00029313] Port=0 RD @03 + 29312.50ns INFO [00029314] * RD COMPARE * port=0 adr=05 act=016A781466407F7F2D exp=016A781466407F7F2D + 29312.50ns INFO [00029314] * RD COMPARE * port=1 adr=03 act=055EFB74B066059011 exp=055EFB74B066059011 + 29312.50ns INFO [00029314] Port=0 WR @06=9EBE37C9024CC37317 + 29312.50ns INFO [00029314] Port=0 RD @03 + 29313.50ns INFO [00029315] * RD COMPARE * port=0 adr=03 act=055EFB74B066059011 exp=055EFB74B066059011 + 29314.50ns INFO [00029316] * RD COMPARE * port=0 adr=03 act=055EFB74B066059011 exp=055EFB74B066059011 + 29314.50ns INFO [00029316] Port=0 WR @03=2CB5F39C5966AB2090 + 29314.50ns INFO [00029316] Port=1 RD @06 + 29315.50ns INFO [00029317] Port=0 RD @07 + 29315.50ns INFO [00029317] Port=1 RD @04 + 29316.50ns INFO [00029318] * RD COMPARE * port=1 adr=06 act=9EBE37C9024CC37317 exp=9EBE37C9024CC37317 + 29316.50ns INFO [00029318] Port=1 RD @02 + 29317.50ns INFO [00029319] * RD COMPARE * port=0 adr=07 act=3C4BFF5A5415A9953F exp=3C4BFF5A5415A9953F + 29317.50ns INFO [00029319] * RD COMPARE * port=1 adr=04 act=6D13E9D550B4A3F501 exp=6D13E9D550B4A3F501 + 29317.50ns INFO [00029319] Port=0 WR @06=65E19310FCFD379216 + 29318.50ns INFO [00029320] * RD COMPARE * port=1 adr=02 act=23D088320416F55844 exp=23D088320416F55844 + 29318.50ns INFO [00029320] Port=1 RD @05 + 29319.50ns INFO [00029321] Port=0 WR @07=94F6E778662F87CD90 + 29319.50ns INFO [00029321] Port=1 RD @01 + 29320.50ns INFO [00029322] * RD COMPARE * port=1 adr=05 act=016A781466407F7F2D exp=016A781466407F7F2D + 29321.50ns INFO [00029323] * RD COMPARE * port=1 adr=01 act=75552D06E1FD71F9A4 exp=75552D06E1FD71F9A4 + 29321.50ns INFO [00029323] Port=0 WR @07=83219AD5C54A6410AC + 29323.50ns INFO [00029325] Port=0 WR @03=5E6FC86814BDB6FAF9 + 29324.50ns INFO [00029326] Port=0 RD @07 + 29325.50ns INFO [00029327] Port=0 WR @04=621470E6EA8EECD513 + 29326.50ns INFO [00029328] * RD COMPARE * port=0 adr=07 act=83219AD5C54A6410AC exp=83219AD5C54A6410AC + 29326.50ns INFO [00029328] Port=0 WR @04=0BABE456A70C044305 + 29327.50ns INFO [00029329] Port=1 RD @02 + 29328.50ns INFO [00029330] Port=0 RD @03 + 29328.50ns INFO [00029330] Port=1 RD @03 + 29329.50ns INFO [00029331] * RD COMPARE * port=1 adr=02 act=23D088320416F55844 exp=23D088320416F55844 + 29329.50ns INFO [00029331] Port=1 RD @01 + 29330.50ns INFO [00029332] * RD COMPARE * port=0 adr=03 act=5E6FC86814BDB6FAF9 exp=5E6FC86814BDB6FAF9 + 29330.50ns INFO [00029332] * RD COMPARE * port=1 adr=03 act=5E6FC86814BDB6FAF9 exp=5E6FC86814BDB6FAF9 + 29331.50ns INFO [00029333] * RD COMPARE * port=1 adr=01 act=75552D06E1FD71F9A4 exp=75552D06E1FD71F9A4 + 29331.50ns INFO [00029333] Port=0 RD @03 + 29331.50ns INFO [00029333] Port=1 RD @07 + 29333.50ns INFO [00029335] * RD COMPARE * port=0 adr=03 act=5E6FC86814BDB6FAF9 exp=5E6FC86814BDB6FAF9 + 29333.50ns INFO [00029335] * RD COMPARE * port=1 adr=07 act=83219AD5C54A6410AC exp=83219AD5C54A6410AC + 29333.50ns INFO [00029335] Port=0 RD @04 + 29333.50ns INFO [00029335] Port=1 RD @05 + 29335.50ns INFO [00029337] * RD COMPARE * port=0 adr=04 act=0BABE456A70C044305 exp=0BABE456A70C044305 + 29335.50ns INFO [00029337] * RD COMPARE * port=1 adr=05 act=016A781466407F7F2D exp=016A781466407F7F2D + 29335.50ns INFO [00029337] Port=1 RD @01 + 29336.50ns INFO [00029338] Port=0 WR @06=1886D0F83BD4CCE67E + 29336.50ns INFO [00029338] Port=1 RD @02 + 29337.50ns INFO [00029339] * RD COMPARE * port=1 adr=01 act=75552D06E1FD71F9A4 exp=75552D06E1FD71F9A4 + 29337.50ns INFO [00029339] Port=0 WR @05=B21F65086D78D23DEE + 29337.50ns INFO [00029339] Port=0 RD @04 + 29337.50ns INFO [00029339] Port=1 RD @02 + 29338.50ns INFO [00029340] * RD COMPARE * port=1 adr=02 act=23D088320416F55844 exp=23D088320416F55844 + 29338.50ns INFO [00029340] Port=1 RD @05 + 29339.50ns INFO [00029341] * RD COMPARE * port=0 adr=04 act=0BABE456A70C044305 exp=0BABE456A70C044305 + 29339.50ns INFO [00029341] * RD COMPARE * port=1 adr=02 act=23D088320416F55844 exp=23D088320416F55844 + 29340.50ns INFO [00029342] * RD COMPARE * port=1 adr=05 act=B21F65086D78D23DEE exp=B21F65086D78D23DEE + 29340.50ns INFO [00029342] Port=0 RD @07 + 29341.50ns INFO [00029343] Port=0 RD @02 + 29342.50ns INFO [00029344] * RD COMPARE * port=0 adr=07 act=83219AD5C54A6410AC exp=83219AD5C54A6410AC + 29342.50ns INFO [00029344] Port=0 WR @01=630F78BB35672B4D47 + 29342.50ns INFO [00029344] Port=0 RD @07 + 29343.50ns INFO [00029345] * RD COMPARE * port=0 adr=02 act=23D088320416F55844 exp=23D088320416F55844 + 29343.50ns INFO [00029345] Port=0 WR @01=9A269FE7C3F173DAA8 + 29343.50ns INFO [00029345] Port=1 RD @03 + 29344.50ns INFO [00029346] * RD COMPARE * port=0 adr=07 act=83219AD5C54A6410AC exp=83219AD5C54A6410AC + 29344.50ns INFO [00029346] Port=1 RD @03 + 29345.50ns INFO [00029347] * RD COMPARE * port=1 adr=03 act=5E6FC86814BDB6FAF9 exp=5E6FC86814BDB6FAF9 + 29346.50ns INFO [00029348] * RD COMPARE * port=1 adr=03 act=5E6FC86814BDB6FAF9 exp=5E6FC86814BDB6FAF9 + 29346.50ns INFO [00029348] Port=0 WR @06=9AEE9D5E2631420BEF + 29346.50ns INFO [00029348] Port=1 RD @04 + 29348.50ns INFO [00029350] * RD COMPARE * port=1 adr=04 act=0BABE456A70C044305 exp=0BABE456A70C044305 + 29348.50ns INFO [00029350] Port=0 WR @06=87375529F2B02D69DC + 29349.50ns INFO [00029351] Port=1 RD @03 + 29350.50ns INFO [00029352] Port=0 RD @01 + 29351.50ns INFO [00029353] * RD COMPARE * port=1 adr=03 act=5E6FC86814BDB6FAF9 exp=5E6FC86814BDB6FAF9 + 29351.50ns INFO [00029353] Port=0 WR @06=8C4C9C9483D0ED6D01 + 29351.50ns INFO [00029353] Port=0 RD @07 + 29352.50ns INFO [00029354] * RD COMPARE * port=0 adr=01 act=9A269FE7C3F173DAA8 exp=9A269FE7C3F173DAA8 + 29352.50ns INFO [00029354] Port=0 WR @07=8B70DC83F44DAB029B + 29353.50ns INFO [00029355] * RD COMPARE * port=0 adr=07 act=83219AD5C54A6410AC exp=83219AD5C54A6410AC + 29355.50ns INFO [00029357] Port=0 WR @03=934C735856C554FC8B + 29356.50ns INFO [00029358] Port=0 RD @05 + 29357.50ns INFO [00029359] Port=0 WR @05=02A0D7EEA8C03D71C8 + 29357.50ns INFO [00029359] Port=1 RD @03 + 29358.50ns INFO [00029360] * RD COMPARE * port=0 adr=05 act=B21F65086D78D23DEE exp=B21F65086D78D23DEE + 29358.50ns INFO [00029360] Port=0 WR @02=6DFB03A6E49C6AC919 + 29359.50ns INFO [00029361] * RD COMPARE * port=1 adr=03 act=934C735856C554FC8B exp=934C735856C554FC8B + 29359.50ns INFO [00029361] Port=0 WR @05=B72D8608F9D7AEECA9 + 29359.50ns INFO [00029361] Port=1 RD @04 + 29360.50ns INFO [00029362] Port=1 RD @00 + 29361.50ns INFO [00029363] * RD COMPARE * port=1 adr=04 act=0BABE456A70C044305 exp=0BABE456A70C044305 + 29361.50ns INFO [00029363] Port=0 WR @07=32D8D223704F8B56FF + 29362.50ns INFO [00029364] * RD COMPARE * port=1 adr=00 act=A60CF665A71B3909A0 exp=A60CF665A71B3909A0 + 29362.50ns INFO [00029364] Port=0 WR @04=16747AA1533C0B62DA + 29362.50ns INFO [00029364] Port=0 RD @07 + 29362.50ns INFO [00029364] Port=1 RD @02 + 29363.50ns INFO [00029365] Port=0 RD @04 + 29364.50ns INFO [00029366] * RD COMPARE * port=0 adr=07 act=32D8D223704F8B56FF exp=32D8D223704F8B56FF + 29364.50ns INFO [00029366] * RD COMPARE * port=1 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29364.50ns INFO [00029366] Port=0 RD @04 + 29365.50ns INFO [00029367] * RD COMPARE * port=0 adr=04 act=16747AA1533C0B62DA exp=16747AA1533C0B62DA + 29365.50ns INFO [00029367] Port=0 WR @00=6CD8444DE1231656EF + 29365.50ns INFO [00029367] Port=0 RD @03 + 29365.50ns INFO [00029367] Port=1 RD @06 + 29366.50ns INFO [00029368] * RD COMPARE * port=0 adr=04 act=16747AA1533C0B62DA exp=16747AA1533C0B62DA + 29366.50ns INFO [00029368] Port=1 RD @02 + 29367.50ns INFO [00029369] * RD COMPARE * port=0 adr=03 act=934C735856C554FC8B exp=934C735856C554FC8B + 29367.50ns INFO [00029369] * RD COMPARE * port=1 adr=06 act=8C4C9C9483D0ED6D01 exp=8C4C9C9483D0ED6D01 + 29367.50ns INFO [00029369] Port=0 WR @07=8213C1B2C2E1113C84 + 29368.50ns INFO [00029370] * RD COMPARE * port=1 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29368.50ns INFO [00029370] Port=0 WR @06=56BFD8368FBB228172 + 29368.50ns INFO [00029370] Port=1 RD @00 + 29369.50ns INFO [00029371] Port=0 WR @00=103B51789386F89148 + 29370.50ns INFO [00029372] * RD COMPARE * port=1 adr=00 act=6CD8444DE1231656EF exp=6CD8444DE1231656EF + 29370.50ns INFO [00029372] Port=0 RD @07 + 29372.50ns INFO [00029374] * RD COMPARE * port=0 adr=07 act=8213C1B2C2E1113C84 exp=8213C1B2C2E1113C84 + 29374.50ns INFO [00029376] Port=0 RD @04 + 29374.50ns INFO [00029376] Port=1 RD @01 + 29375.50ns INFO [00029377] Port=0 WR @00=25007E7FE7628A05B6 + 29375.50ns INFO [00029377] Port=1 RD @02 + 29376.50ns INFO [00029378] * RD COMPARE * port=0 adr=04 act=16747AA1533C0B62DA exp=16747AA1533C0B62DA + 29376.50ns INFO [00029378] * RD COMPARE * port=1 adr=01 act=9A269FE7C3F173DAA8 exp=9A269FE7C3F173DAA8 + 29377.50ns INFO [00029379] * RD COMPARE * port=1 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29379.50ns INFO [00029381] Port=1 RD @02 + 29380.50ns INFO [00029382] Port=0 RD @02 + 29380.50ns INFO [00029382] Port=1 RD @02 + 29381.50ns INFO [00029383] * RD COMPARE * port=1 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29381.50ns INFO [00029383] Port=0 WR @07=E6AC9CDBD0BF8763E2 + 29381.50ns INFO [00029383] Port=1 RD @01 + 29382.50ns INFO [00029384] * RD COMPARE * port=0 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29382.50ns INFO [00029384] * RD COMPARE * port=1 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29382.50ns INFO [00029384] Port=0 WR @06=BE7BA298CAC0DB2BDA + 29382.50ns INFO [00029384] Port=1 RD @05 + 29383.50ns INFO [00029385] * RD COMPARE * port=1 adr=01 act=9A269FE7C3F173DAA8 exp=9A269FE7C3F173DAA8 + 29383.50ns INFO [00029385] Port=0 RD @01 + 29383.50ns INFO [00029385] Port=1 RD @00 + 29384.50ns INFO [00029386] * RD COMPARE * port=1 adr=05 act=B72D8608F9D7AEECA9 exp=B72D8608F9D7AEECA9 + 29384.50ns INFO [00029386] Port=0 RD @06 + 29385.50ns INFO [00029387] * RD COMPARE * port=0 adr=01 act=9A269FE7C3F173DAA8 exp=9A269FE7C3F173DAA8 + 29385.50ns INFO [00029387] * RD COMPARE * port=1 adr=00 act=25007E7FE7628A05B6 exp=25007E7FE7628A05B6 + 29385.50ns INFO [00029387] Port=0 WR @01=3DB8CC5B1352373CE1 + 29385.50ns INFO [00029387] Port=0 RD @05 + 29386.50ns INFO [00029388] * RD COMPARE * port=0 adr=06 act=BE7BA298CAC0DB2BDA exp=BE7BA298CAC0DB2BDA + 29386.50ns INFO [00029388] Port=0 WR @05=97F776C51015934228 + 29387.50ns INFO [00029389] * RD COMPARE * port=0 adr=05 act=B72D8608F9D7AEECA9 exp=B72D8608F9D7AEECA9 + 29391.50ns INFO [00029393] Port=1 RD @04 + 29393.50ns INFO [00029395] * RD COMPARE * port=1 adr=04 act=16747AA1533C0B62DA exp=16747AA1533C0B62DA + 29393.50ns INFO [00029395] Port=0 RD @00 + 29394.50ns INFO [00029396] Port=1 RD @05 + 29395.50ns INFO [00029397] * RD COMPARE * port=0 adr=00 act=25007E7FE7628A05B6 exp=25007E7FE7628A05B6 + 29395.50ns INFO [00029397] Port=0 RD @02 + 29396.50ns INFO [00029398] * RD COMPARE * port=1 adr=05 act=97F776C51015934228 exp=97F776C51015934228 + 29396.50ns INFO [00029398] Port=0 WR @06=665D4B94E4B16E9EB2 + 29396.50ns INFO [00029398] Port=0 RD @04 + 29397.50ns INFO [00029399] * RD COMPARE * port=0 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29397.50ns INFO [00029399] Port=0 WR @04=534C17086D802EFB23 + 29398.00ns INFO [00029400] [00029400] ...tick... + 29398.50ns INFO [00029400] * RD COMPARE * port=0 adr=04 act=16747AA1533C0B62DA exp=16747AA1533C0B62DA + 29398.50ns INFO [00029400] Port=0 RD @05 + 29399.50ns INFO [00029401] Port=1 RD @06 + 29400.50ns INFO [00029402] * RD COMPARE * port=0 adr=05 act=97F776C51015934228 exp=97F776C51015934228 + 29400.50ns INFO [00029402] Port=0 WR @05=C1E7D77614E325B848 + 29400.50ns INFO [00029402] Port=0 RD @04 + 29400.50ns INFO [00029402] Port=1 RD @00 + 29401.50ns INFO [00029403] * RD COMPARE * port=1 adr=06 act=665D4B94E4B16E9EB2 exp=665D4B94E4B16E9EB2 + 29401.50ns INFO [00029403] Port=0 WR @07=DB829FFB73DA3BE38B + 29401.50ns INFO [00029403] Port=0 RD @00 + 29401.50ns INFO [00029403] Port=1 RD @03 + 29402.50ns INFO [00029404] * RD COMPARE * port=0 adr=04 act=534C17086D802EFB23 exp=534C17086D802EFB23 + 29402.50ns INFO [00029404] * RD COMPARE * port=1 adr=00 act=25007E7FE7628A05B6 exp=25007E7FE7628A05B6 + 29402.50ns INFO [00029404] Port=0 RD @02 + 29403.50ns INFO [00029405] * RD COMPARE * port=0 adr=00 act=25007E7FE7628A05B6 exp=25007E7FE7628A05B6 + 29403.50ns INFO [00029405] * RD COMPARE * port=1 adr=03 act=934C735856C554FC8B exp=934C735856C554FC8B + 29403.50ns INFO [00029405] Port=1 RD @05 + 29404.50ns INFO [00029406] * RD COMPARE * port=0 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29404.50ns INFO [00029406] Port=0 RD @00 + 29405.50ns INFO [00029407] * RD COMPARE * port=1 adr=05 act=C1E7D77614E325B848 exp=C1E7D77614E325B848 + 29405.50ns INFO [00029407] Port=0 WR @01=F5701D6A2CB8938506 + 29405.50ns INFO [00029407] Port=0 RD @02 + 29406.50ns INFO [00029408] * RD COMPARE * port=0 adr=00 act=25007E7FE7628A05B6 exp=25007E7FE7628A05B6 + 29406.50ns INFO [00029408] Port=0 WR @05=8228F19364DE9FB86C + 29407.50ns INFO [00029409] * RD COMPARE * port=0 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29407.50ns INFO [00029409] Port=0 RD @06 + 29407.50ns INFO [00029409] Port=1 RD @07 + 29408.50ns INFO [00029410] Port=0 WR @01=E7CBDEACD631BFC2A9 + 29408.50ns INFO [00029410] Port=0 RD @02 + 29409.50ns INFO [00029411] * RD COMPARE * port=0 adr=06 act=665D4B94E4B16E9EB2 exp=665D4B94E4B16E9EB2 + 29409.50ns INFO [00029411] * RD COMPARE * port=1 adr=07 act=DB829FFB73DA3BE38B exp=DB829FFB73DA3BE38B + 29409.50ns INFO [00029411] Port=0 WR @06=2EE5C35B9B13844AAC + 29409.50ns INFO [00029411] Port=0 RD @04 + 29410.50ns INFO [00029412] * RD COMPARE * port=0 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29410.50ns INFO [00029412] Port=0 WR @04=47EDA35F837987CB90 + 29411.50ns INFO [00029413] * RD COMPARE * port=0 adr=04 act=534C17086D802EFB23 exp=534C17086D802EFB23 + 29411.50ns INFO [00029413] Port=0 WR @06=EBBE76D77BB58DBD95 + 29411.50ns INFO [00029413] Port=0 RD @02 + 29412.50ns INFO [00029414] Port=0 WR @07=DBAF625DD7EF487A6F + 29413.50ns INFO [00029415] * RD COMPARE * port=0 adr=02 act=6DFB03A6E49C6AC919 exp=6DFB03A6E49C6AC919 + 29414.50ns INFO [00029416] Port=0 WR @00=33106DCEDC5CF652F1 + 29414.50ns INFO [00029416] Port=0 RD @01 + 29414.50ns INFO [00029416] Port=1 RD @05 + 29415.50ns INFO [00029417] Port=1 RD @00 + 29416.50ns INFO [00029418] * RD COMPARE * port=0 adr=01 act=E7CBDEACD631BFC2A9 exp=E7CBDEACD631BFC2A9 + 29416.50ns INFO [00029418] * RD COMPARE * port=1 adr=05 act=8228F19364DE9FB86C exp=8228F19364DE9FB86C + 29416.50ns INFO [00029418] Port=0 RD @03 + 29417.50ns INFO [00029419] * RD COMPARE * port=1 adr=00 act=33106DCEDC5CF652F1 exp=33106DCEDC5CF652F1 + 29417.50ns INFO [00029419] Port=1 RD @01 + 29418.50ns INFO [00029420] * RD COMPARE * port=0 adr=03 act=934C735856C554FC8B exp=934C735856C554FC8B + 29418.50ns INFO [00029420] Port=0 RD @00 + 29418.50ns INFO [00029420] Port=1 RD @03 + 29419.50ns INFO [00029421] * RD COMPARE * port=1 adr=01 act=E7CBDEACD631BFC2A9 exp=E7CBDEACD631BFC2A9 + 29420.50ns INFO [00029422] * RD COMPARE * port=0 adr=00 act=33106DCEDC5CF652F1 exp=33106DCEDC5CF652F1 + 29420.50ns INFO [00029422] * RD COMPARE * port=1 adr=03 act=934C735856C554FC8B exp=934C735856C554FC8B + 29420.50ns INFO [00029422] Port=1 RD @06 + 29421.50ns INFO [00029423] Port=1 RD @04 + 29422.50ns INFO [00029424] * RD COMPARE * port=1 adr=06 act=EBBE76D77BB58DBD95 exp=EBBE76D77BB58DBD95 + 29422.50ns INFO [00029424] Port=1 RD @00 + 29423.50ns INFO [00029425] * RD COMPARE * port=1 adr=04 act=47EDA35F837987CB90 exp=47EDA35F837987CB90 + 29423.50ns INFO [00029425] Port=0 WR @02=2B5825D9AFF7A653EF + 29424.50ns INFO [00029426] * RD COMPARE * port=1 adr=00 act=33106DCEDC5CF652F1 exp=33106DCEDC5CF652F1 + 29424.50ns INFO [00029426] Port=0 WR @05=9E56D4F07DF5B0622B + 29425.50ns INFO [00029427] Port=0 WR @01=E9322D224C90B1F1AB + 29425.50ns INFO [00029427] Port=1 RD @05 + 29426.50ns INFO [00029428] Port=0 WR @06=676F51E24FEDBE9D80 + 29427.50ns INFO [00029429] * RD COMPARE * port=1 adr=05 act=9E56D4F07DF5B0622B exp=9E56D4F07DF5B0622B + 29428.50ns INFO [00029430] Port=1 RD @05 + 29430.50ns INFO [00029432] * RD COMPARE * port=1 adr=05 act=9E56D4F07DF5B0622B exp=9E56D4F07DF5B0622B + 29430.50ns INFO [00029432] Port=1 RD @03 + 29431.50ns INFO [00029433] Port=0 WR @06=A3654F034E6EDDDB91 + 29432.50ns INFO [00029434] * RD COMPARE * port=1 adr=03 act=934C735856C554FC8B exp=934C735856C554FC8B + 29432.50ns INFO [00029434] Port=0 RD @03 + 29433.50ns INFO [00029435] Port=0 RD @03 + 29434.50ns INFO [00029436] * RD COMPARE * port=0 adr=03 act=934C735856C554FC8B exp=934C735856C554FC8B + 29434.50ns INFO [00029436] Port=1 RD @00 + 29435.50ns INFO [00029437] * RD COMPARE * port=0 adr=03 act=934C735856C554FC8B exp=934C735856C554FC8B + 29435.50ns INFO [00029437] Port=0 WR @03=B46B0FAED6ADFCE094 + 29436.50ns INFO [00029438] * RD COMPARE * port=1 adr=00 act=33106DCEDC5CF652F1 exp=33106DCEDC5CF652F1 + 29436.50ns INFO [00029438] Port=0 WR @04=4C7AC4D66B567F8401 + 29436.50ns INFO [00029438] Port=1 RD @00 + 29438.50ns INFO [00029440] * RD COMPARE * port=1 adr=00 act=33106DCEDC5CF652F1 exp=33106DCEDC5CF652F1 + 29438.50ns INFO [00029440] Port=0 WR @05=CF4A11D3B576A6A808 + 29439.50ns INFO [00029441] Port=0 RD @00 + 29441.50ns INFO [00029443] * RD COMPARE * port=0 adr=00 act=33106DCEDC5CF652F1 exp=33106DCEDC5CF652F1 + 29441.50ns INFO [00029443] Port=0 RD @03 + 29441.50ns INFO [00029443] Port=1 RD @00 + 29442.50ns INFO [00029444] Port=1 RD @06 + 29443.50ns INFO [00029445] * RD COMPARE * port=0 adr=03 act=B46B0FAED6ADFCE094 exp=B46B0FAED6ADFCE094 + 29443.50ns INFO [00029445] * RD COMPARE * port=1 adr=00 act=33106DCEDC5CF652F1 exp=33106DCEDC5CF652F1 + 29443.50ns INFO [00029445] Port=0 RD @06 + 29443.50ns INFO [00029445] Port=1 RD @04 + 29444.50ns INFO [00029446] * RD COMPARE * port=1 adr=06 act=A3654F034E6EDDDB91 exp=A3654F034E6EDDDB91 + 29444.50ns INFO [00029446] Port=0 WR @03=0424A7DA8BF2D84C74 + 29445.50ns INFO [00029447] * RD COMPARE * port=0 adr=06 act=A3654F034E6EDDDB91 exp=A3654F034E6EDDDB91 + 29445.50ns INFO [00029447] * RD COMPARE * port=1 adr=04 act=4C7AC4D66B567F8401 exp=4C7AC4D66B567F8401 + 29445.50ns INFO [00029447] Port=0 WR @04=097ADCADF4AE79CFAD + 29446.50ns INFO [00029448] Port=0 WR @00=E1F04B42CEC0DEB6F2 + 29446.50ns INFO [00029448] Port=0 RD @07 + 29446.50ns INFO [00029448] Port=1 RD @01 + 29447.50ns INFO [00029449] Port=0 WR @07=900B80DAFFC72AE904 + 29448.50ns INFO [00029450] * RD COMPARE * port=0 adr=07 act=DBAF625DD7EF487A6F exp=DBAF625DD7EF487A6F + 29448.50ns INFO [00029450] * RD COMPARE * port=1 adr=01 act=E9322D224C90B1F1AB exp=E9322D224C90B1F1AB + 29448.50ns INFO [00029450] Port=0 WR @00=244C71F39623AEC8C4 + 29448.50ns INFO [00029450] Port=0 RD @06 + 29449.50ns INFO [00029451] Port=0 WR @06=59FDFB5B416E9044D4 + 29449.50ns INFO [00029451] Port=0 RD @02 + 29450.50ns INFO [00029452] * RD COMPARE * port=0 adr=06 act=A3654F034E6EDDDB91 exp=A3654F034E6EDDDB91 + 29450.50ns INFO [00029452] Port=0 RD @05 + 29450.50ns INFO [00029452] Port=1 RD @01 + 29451.50ns INFO [00029453] * RD COMPARE * port=0 adr=02 act=2B5825D9AFF7A653EF exp=2B5825D9AFF7A653EF + 29451.50ns INFO [00029453] Port=0 RD @01 + 29451.50ns INFO [00029453] Port=1 RD @05 + 29452.50ns INFO [00029454] * RD COMPARE * port=0 adr=05 act=CF4A11D3B576A6A808 exp=CF4A11D3B576A6A808 + 29452.50ns INFO [00029454] * RD COMPARE * port=1 adr=01 act=E9322D224C90B1F1AB exp=E9322D224C90B1F1AB + 29452.50ns INFO [00029454] Port=1 RD @05 + 29453.50ns INFO [00029455] * RD COMPARE * port=0 adr=01 act=E9322D224C90B1F1AB exp=E9322D224C90B1F1AB + 29453.50ns INFO [00029455] * RD COMPARE * port=1 adr=05 act=CF4A11D3B576A6A808 exp=CF4A11D3B576A6A808 + 29453.50ns INFO [00029455] Port=0 WR @00=A1E87E8FD9AF8BDB3E + 29454.50ns INFO [00029456] * RD COMPARE * port=1 adr=05 act=CF4A11D3B576A6A808 exp=CF4A11D3B576A6A808 + 29454.50ns INFO [00029456] Port=0 RD @03 + 29455.50ns INFO [00029457] Port=0 WR @07=204AE60F9C9A009590 + 29456.50ns INFO [00029458] * RD COMPARE * port=0 adr=03 act=0424A7DA8BF2D84C74 exp=0424A7DA8BF2D84C74 + 29456.50ns INFO [00029458] Port=0 WR @06=E96D0A3BBB25493319 + 29456.50ns INFO [00029458] Port=0 RD @04 + 29458.50ns INFO [00029460] * RD COMPARE * port=0 adr=04 act=097ADCADF4AE79CFAD exp=097ADCADF4AE79CFAD + 29458.50ns INFO [00029460] Port=0 WR @06=8C0CBAC54B72CC2544 + 29458.50ns INFO [00029460] Port=1 RD @05 + 29459.50ns INFO [00029461] Port=1 RD @07 + 29460.50ns INFO [00029462] * RD COMPARE * port=1 adr=05 act=CF4A11D3B576A6A808 exp=CF4A11D3B576A6A808 + 29460.50ns INFO [00029462] Port=0 RD @06 + 29460.50ns INFO [00029462] Port=1 RD @04 + 29461.50ns INFO [00029463] * RD COMPARE * port=1 adr=07 act=204AE60F9C9A009590 exp=204AE60F9C9A009590 + 29461.50ns INFO [00029463] Port=1 RD @04 + 29462.50ns INFO [00029464] * RD COMPARE * port=0 adr=06 act=8C0CBAC54B72CC2544 exp=8C0CBAC54B72CC2544 + 29462.50ns INFO [00029464] * RD COMPARE * port=1 adr=04 act=097ADCADF4AE79CFAD exp=097ADCADF4AE79CFAD + 29462.50ns INFO [00029464] Port=1 RD @05 + 29463.50ns INFO [00029465] * RD COMPARE * port=1 adr=04 act=097ADCADF4AE79CFAD exp=097ADCADF4AE79CFAD + 29463.50ns INFO [00029465] Port=0 RD @04 + 29463.50ns INFO [00029465] Port=1 RD @01 + 29464.50ns INFO [00029466] * RD COMPARE * port=1 adr=05 act=CF4A11D3B576A6A808 exp=CF4A11D3B576A6A808 + 29464.50ns INFO [00029466] Port=0 RD @05 + 29464.50ns INFO [00029466] Port=1 RD @02 + 29465.50ns INFO [00029467] * RD COMPARE * port=0 adr=04 act=097ADCADF4AE79CFAD exp=097ADCADF4AE79CFAD + 29465.50ns INFO [00029467] * RD COMPARE * port=1 adr=01 act=E9322D224C90B1F1AB exp=E9322D224C90B1F1AB + 29465.50ns INFO [00029467] Port=1 RD @06 + 29466.50ns INFO [00029468] * RD COMPARE * port=0 adr=05 act=CF4A11D3B576A6A808 exp=CF4A11D3B576A6A808 + 29466.50ns INFO [00029468] * RD COMPARE * port=1 adr=02 act=2B5825D9AFF7A653EF exp=2B5825D9AFF7A653EF + 29466.50ns INFO [00029468] Port=0 WR @04=57027D27BAFA24F36C + 29466.50ns INFO [00029468] Port=1 RD @07 + 29467.50ns INFO [00029469] * RD COMPARE * port=1 adr=06 act=8C0CBAC54B72CC2544 exp=8C0CBAC54B72CC2544 + 29468.50ns INFO [00029470] * RD COMPARE * port=1 adr=07 act=204AE60F9C9A009590 exp=204AE60F9C9A009590 + 29468.50ns INFO [00029470] Port=0 WR @00=91540979FDDF5E8887 + 29469.50ns INFO [00029471] Port=0 WR @02=46775CFCF40148E2A2 + 29469.50ns INFO [00029471] Port=1 RD @05 + 29470.50ns INFO [00029472] Port=0 WR @01=4FCB3F897B5957D311 + 29470.50ns INFO [00029472] Port=0 RD @03 + 29471.50ns INFO [00029473] * RD COMPARE * port=1 adr=05 act=CF4A11D3B576A6A808 exp=CF4A11D3B576A6A808 + 29472.50ns INFO [00029474] * RD COMPARE * port=0 adr=03 act=0424A7DA8BF2D84C74 exp=0424A7DA8BF2D84C74 + 29472.50ns INFO [00029474] Port=0 RD @00 + 29473.50ns INFO [00029475] Port=1 RD @00 + 29474.50ns INFO [00029476] * RD COMPARE * port=0 adr=00 act=91540979FDDF5E8887 exp=91540979FDDF5E8887 + 29474.50ns INFO [00029476] Port=0 WR @06=1D51F336B4688A7643 + 29475.50ns INFO [00029477] * RD COMPARE * port=1 adr=00 act=91540979FDDF5E8887 exp=91540979FDDF5E8887 + 29475.50ns INFO [00029477] Port=1 RD @01 + 29476.50ns INFO [00029478] Port=0 WR @06=A218BD41AB5E68FEEB + 29477.50ns INFO [00029479] * RD COMPARE * port=1 adr=01 act=4FCB3F897B5957D311 exp=4FCB3F897B5957D311 + 29477.50ns INFO [00029479] Port=0 RD @04 + 29477.50ns INFO [00029479] Port=1 RD @06 + 29478.50ns INFO [00029480] Port=0 WR @04=F7A0FFB301AADCC226 + 29478.50ns INFO [00029480] Port=0 RD @00 + 29478.50ns INFO [00029480] Port=1 RD @05 + 29479.50ns INFO [00029481] * RD COMPARE * port=0 adr=04 act=57027D27BAFA24F36C exp=57027D27BAFA24F36C + 29479.50ns INFO [00029481] * RD COMPARE * port=1 adr=06 act=A218BD41AB5E68FEEB exp=A218BD41AB5E68FEEB + 29480.50ns INFO [00029482] * RD COMPARE * port=0 adr=00 act=91540979FDDF5E8887 exp=91540979FDDF5E8887 + 29480.50ns INFO [00029482] * RD COMPARE * port=1 adr=05 act=CF4A11D3B576A6A808 exp=CF4A11D3B576A6A808 + 29480.50ns INFO [00029482] Port=1 RD @06 + 29481.50ns INFO [00029483] Port=0 WR @01=E9E66C7AAE235B820A + 29482.50ns INFO [00029484] * RD COMPARE * port=1 adr=06 act=A218BD41AB5E68FEEB exp=A218BD41AB5E68FEEB + 29482.50ns INFO [00029484] Port=1 RD @05 + 29483.50ns INFO [00029485] Port=0 WR @05=76F34D868E3A530ED2 + 29484.50ns INFO [00029486] * RD COMPARE * port=1 adr=05 act=CF4A11D3B576A6A808 exp=CF4A11D3B576A6A808 + 29484.50ns INFO [00029486] Port=0 WR @04=51F9FBB241E0E0F7E7 + 29484.50ns INFO [00029486] Port=0 RD @06 + 29486.50ns INFO [00029488] * RD COMPARE * port=0 adr=06 act=A218BD41AB5E68FEEB exp=A218BD41AB5E68FEEB + 29486.50ns INFO [00029488] Port=0 RD @00 + 29486.50ns INFO [00029488] Port=1 RD @00 + 29488.50ns INFO [00029490] * RD COMPARE * port=0 adr=00 act=91540979FDDF5E8887 exp=91540979FDDF5E8887 + 29488.50ns INFO [00029490] * RD COMPARE * port=1 adr=00 act=91540979FDDF5E8887 exp=91540979FDDF5E8887 + 29488.50ns INFO [00029490] Port=0 RD @06 + 29489.50ns INFO [00029491] Port=0 WR @07=C0CE4324A9C48FA2CC + 29489.50ns INFO [00029491] Port=1 RD @01 + 29490.50ns INFO [00029492] * RD COMPARE * port=0 adr=06 act=A218BD41AB5E68FEEB exp=A218BD41AB5E68FEEB + 29491.50ns INFO [00029493] * RD COMPARE * port=1 adr=01 act=E9E66C7AAE235B820A exp=E9E66C7AAE235B820A + 29492.50ns INFO [00029494] Port=0 WR @05=41A8210DC1607DA772 + 29492.50ns INFO [00029494] Port=0 RD @04 + 29493.50ns INFO [00029495] Port=0 RD @02 + 29493.50ns INFO [00029495] Port=1 RD @06 + 29494.50ns INFO [00029496] * RD COMPARE * port=0 adr=04 act=51F9FBB241E0E0F7E7 exp=51F9FBB241E0E0F7E7 + 29494.50ns INFO [00029496] Port=0 RD @02 + 29495.50ns INFO [00029497] * RD COMPARE * port=0 adr=02 act=46775CFCF40148E2A2 exp=46775CFCF40148E2A2 + 29495.50ns INFO [00029497] * RD COMPARE * port=1 adr=06 act=A218BD41AB5E68FEEB exp=A218BD41AB5E68FEEB + 29496.50ns INFO [00029498] * RD COMPARE * port=0 adr=02 act=46775CFCF40148E2A2 exp=46775CFCF40148E2A2 + 29496.50ns INFO [00029498] Port=0 RD @02 + 29496.50ns INFO [00029498] Port=1 RD @04 + 29498.00ns INFO [00029500] [00029500] ...tick... + 29498.50ns INFO [00029500] * RD COMPARE * port=0 adr=02 act=46775CFCF40148E2A2 exp=46775CFCF40148E2A2 + 29498.50ns INFO [00029500] * RD COMPARE * port=1 adr=04 act=51F9FBB241E0E0F7E7 exp=51F9FBB241E0E0F7E7 + 29498.50ns INFO [00029500] Port=0 WR @03=032D44B0A60706AEC9 + 29498.50ns INFO [00029500] Port=0 RD @04 + 29499.50ns INFO [00029501] Port=0 WR @07=C8BF166C93D0910305 + 29499.50ns INFO [00029501] Port=1 RD @02 + 29500.50ns INFO [00029502] * RD COMPARE * port=0 adr=04 act=51F9FBB241E0E0F7E7 exp=51F9FBB241E0E0F7E7 + 29501.50ns INFO [00029503] * RD COMPARE * port=1 adr=02 act=46775CFCF40148E2A2 exp=46775CFCF40148E2A2 + 29501.50ns INFO [00029503] Port=1 RD @05 + 29502.50ns INFO [00029504] Port=0 WR @02=4DAE0208FFA4CAEF42 + 29503.50ns INFO [00029505] * RD COMPARE * port=1 adr=05 act=41A8210DC1607DA772 exp=41A8210DC1607DA772 + 29503.50ns INFO [00029505] Port=0 WR @01=35D15F5E14166AE493 + 29503.50ns INFO [00029505] Port=0 RD @06 + 29505.50ns INFO [00029507] * RD COMPARE * port=0 adr=06 act=A218BD41AB5E68FEEB exp=A218BD41AB5E68FEEB + 29505.50ns INFO [00029507] Port=0 WR @01=B923E5780856809390 + 29505.50ns INFO [00029507] Port=0 RD @03 + 29505.50ns INFO [00029507] Port=1 RD @00 + 29506.50ns INFO [00029508] Port=0 WR @01=509B16820E200498AB + 29507.50ns INFO [00029509] * RD COMPARE * port=0 adr=03 act=032D44B0A60706AEC9 exp=032D44B0A60706AEC9 + 29507.50ns INFO [00029509] * RD COMPARE * port=1 adr=00 act=91540979FDDF5E8887 exp=91540979FDDF5E8887 + 29509.50ns INFO [00029511] Port=0 RD @05 + 29510.50ns INFO [00029512] Port=0 WR @06=14B4C14BE5B780E38A + 29511.50ns INFO [00029513] * RD COMPARE * port=0 adr=05 act=41A8210DC1607DA772 exp=41A8210DC1607DA772 + 29512.50ns INFO [00029514] Port=0 WR @02=7312E5FDBA4677822C + 29513.50ns INFO [00029515] Port=0 RD @07 + 29515.50ns INFO [00029517] * RD COMPARE * port=0 adr=07 act=C8BF166C93D0910305 exp=C8BF166C93D0910305 + 29516.50ns INFO [00029518] Port=0 WR @07=91BB1FB200745F0472 + 29516.50ns INFO [00029518] Port=1 RD @02 + 29517.50ns INFO [00029519] Port=0 WR @02=750D384B0C85B4F051 + 29518.50ns INFO [00029520] * RD COMPARE * port=1 adr=02 act=7312E5FDBA4677822C exp=7312E5FDBA4677822C + 29518.50ns INFO [00029520] Port=1 RD @07 + 29519.50ns INFO [00029521] Port=0 RD @06 + 29520.50ns INFO [00029522] * RD COMPARE * port=1 adr=07 act=91BB1FB200745F0472 exp=91BB1FB200745F0472 + 29521.50ns INFO [00029523] * RD COMPARE * port=0 adr=06 act=14B4C14BE5B780E38A exp=14B4C14BE5B780E38A + 29521.50ns INFO [00029523] Port=0 RD @04 + 29523.50ns INFO [00029525] * RD COMPARE * port=0 adr=04 act=51F9FBB241E0E0F7E7 exp=51F9FBB241E0E0F7E7 + 29523.50ns INFO [00029525] Port=1 RD @03 + 29525.50ns INFO [00029527] * RD COMPARE * port=1 adr=03 act=032D44B0A60706AEC9 exp=032D44B0A60706AEC9 + 29526.50ns INFO [00029528] Port=1 RD @05 + 29527.50ns INFO [00029529] Port=0 RD @00 + 29527.50ns INFO [00029529] Port=1 RD @03 + 29528.50ns INFO [00029530] * RD COMPARE * port=1 adr=05 act=41A8210DC1607DA772 exp=41A8210DC1607DA772 + 29529.50ns INFO [00029531] * RD COMPARE * port=0 adr=00 act=91540979FDDF5E8887 exp=91540979FDDF5E8887 + 29529.50ns INFO [00029531] * RD COMPARE * port=1 adr=03 act=032D44B0A60706AEC9 exp=032D44B0A60706AEC9 + 29529.50ns INFO [00029531] Port=1 RD @00 + 29531.50ns INFO [00029533] * RD COMPARE * port=1 adr=00 act=91540979FDDF5E8887 exp=91540979FDDF5E8887 + 29531.50ns INFO [00029533] Port=0 RD @00 + 29532.50ns INFO [00029534] Port=0 WR @07=222D4ED58D4AF8BD04 + 29532.50ns INFO [00029534] Port=0 RD @06 + 29533.50ns INFO [00029535] * RD COMPARE * port=0 adr=00 act=91540979FDDF5E8887 exp=91540979FDDF5E8887 + 29533.50ns INFO [00029535] Port=0 WR @05=7489FE27914576186C + 29533.50ns INFO [00029535] Port=0 RD @04 + 29534.50ns INFO [00029536] * RD COMPARE * port=0 adr=06 act=14B4C14BE5B780E38A exp=14B4C14BE5B780E38A + 29534.50ns INFO [00029536] Port=0 WR @06=F1806504EB90C7689C + 29534.50ns INFO [00029536] Port=1 RD @04 + 29535.50ns INFO [00029537] * RD COMPARE * port=0 adr=04 act=51F9FBB241E0E0F7E7 exp=51F9FBB241E0E0F7E7 + 29535.50ns INFO [00029537] Port=1 RD @05 + 29536.50ns INFO [00029538] * RD COMPARE * port=1 adr=04 act=51F9FBB241E0E0F7E7 exp=51F9FBB241E0E0F7E7 + 29537.50ns INFO [00029539] * RD COMPARE * port=1 adr=05 act=7489FE27914576186C exp=7489FE27914576186C + 29537.50ns INFO [00029539] Port=0 WR @05=BCFB04181AFA233A93 + 29538.50ns INFO [00029540] Port=0 WR @01=7ABEA08904B696295B + 29538.50ns INFO [00029540] Port=0 RD @05 + 29539.50ns INFO [00029541] Port=1 RD @07 + 29540.50ns INFO [00029542] * RD COMPARE * port=0 adr=05 act=BCFB04181AFA233A93 exp=BCFB04181AFA233A93 + 29540.50ns INFO [00029542] Port=0 RD @01 + 29540.50ns INFO [00029542] Port=1 RD @01 + 29541.50ns INFO [00029543] * RD COMPARE * port=1 adr=07 act=222D4ED58D4AF8BD04 exp=222D4ED58D4AF8BD04 + 29541.50ns INFO [00029543] Port=1 RD @06 + 29542.50ns INFO [00029544] * RD COMPARE * port=0 adr=01 act=7ABEA08904B696295B exp=7ABEA08904B696295B + 29542.50ns INFO [00029544] * RD COMPARE * port=1 adr=01 act=7ABEA08904B696295B exp=7ABEA08904B696295B + 29542.50ns INFO [00029544] Port=0 WR @05=0E5CB94657A8DB860E + 29542.50ns INFO [00029544] Port=0 RD @04 + 29542.50ns INFO [00029544] Port=1 RD @01 + 29543.50ns INFO [00029545] * RD COMPARE * port=1 adr=06 act=F1806504EB90C7689C exp=F1806504EB90C7689C + 29543.50ns INFO [00029545] Port=0 WR @06=E3BC975A984027AEF5 + 29543.50ns INFO [00029545] Port=1 RD @03 + 29544.50ns INFO [00029546] * RD COMPARE * port=0 adr=04 act=51F9FBB241E0E0F7E7 exp=51F9FBB241E0E0F7E7 + 29544.50ns INFO [00029546] * RD COMPARE * port=1 adr=01 act=7ABEA08904B696295B exp=7ABEA08904B696295B + 29544.50ns INFO [00029546] Port=0 WR @04=AFCA90C7014EBE5E36 + 29544.50ns INFO [00029546] Port=0 RD @01 + 29545.50ns INFO [00029547] * RD COMPARE * port=1 adr=03 act=032D44B0A60706AEC9 exp=032D44B0A60706AEC9 + 29545.50ns INFO [00029547] Port=0 RD @02 + 29545.50ns INFO [00029547] Port=1 RD @01 + 29546.50ns INFO [00029548] * RD COMPARE * port=0 adr=01 act=7ABEA08904B696295B exp=7ABEA08904B696295B + 29546.50ns INFO [00029548] Port=0 WR @04=51526BFB27EC89E36E + 29547.50ns INFO [00029549] * RD COMPARE * port=0 adr=02 act=750D384B0C85B4F051 exp=750D384B0C85B4F051 + 29547.50ns INFO [00029549] * RD COMPARE * port=1 adr=01 act=7ABEA08904B696295B exp=7ABEA08904B696295B + 29547.50ns INFO [00029549] Port=0 WR @00=D9BE79099B0EF9A8C9 + 29547.50ns INFO [00029549] Port=0 RD @04 + 29549.50ns INFO [00029551] * RD COMPARE * port=0 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29549.50ns INFO [00029551] Port=0 WR @02=3570188C156605ED97 + 29550.50ns INFO [00029552] Port=1 RD @06 + 29551.50ns INFO [00029553] Port=0 WR @00=EC775130BE92AD969B + 29552.50ns INFO [00029554] * RD COMPARE * port=1 adr=06 act=E3BC975A984027AEF5 exp=E3BC975A984027AEF5 + 29553.50ns INFO [00029555] Port=0 WR @01=494845D1C9123160BB + 29553.50ns INFO [00029555] Port=1 RD @00 + 29554.50ns INFO [00029556] Port=0 WR @06=068F0C44C51DAB31CB + 29555.50ns INFO [00029557] * RD COMPARE * port=1 adr=00 act=EC775130BE92AD969B exp=EC775130BE92AD969B + 29555.50ns INFO [00029557] Port=0 RD @02 + 29556.50ns INFO [00029558] Port=1 RD @04 + 29557.50ns INFO [00029559] * RD COMPARE * port=0 adr=02 act=3570188C156605ED97 exp=3570188C156605ED97 + 29557.50ns INFO [00029559] Port=0 WR @02=F7198B918EEA48102C + 29557.50ns INFO [00029559] Port=0 RD @06 + 29557.50ns INFO [00029559] Port=1 RD @05 + 29558.50ns INFO [00029560] * RD COMPARE * port=1 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29558.50ns INFO [00029560] Port=0 WR @00=3C65987CF24E1B56A1 + 29559.50ns INFO [00029561] * RD COMPARE * port=0 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29559.50ns INFO [00029561] * RD COMPARE * port=1 adr=05 act=0E5CB94657A8DB860E exp=0E5CB94657A8DB860E + 29559.50ns INFO [00029561] Port=0 WR @05=15941098C7B9D4D6B9 + 29559.50ns INFO [00029561] Port=1 RD @07 + 29561.50ns INFO [00029563] * RD COMPARE * port=1 adr=07 act=222D4ED58D4AF8BD04 exp=222D4ED58D4AF8BD04 + 29561.50ns INFO [00029563] Port=0 RD @02 + 29561.50ns INFO [00029563] Port=1 RD @05 + 29562.50ns INFO [00029564] Port=1 RD @03 + 29563.50ns INFO [00029565] * RD COMPARE * port=0 adr=02 act=F7198B918EEA48102C exp=F7198B918EEA48102C + 29563.50ns INFO [00029565] * RD COMPARE * port=1 adr=05 act=15941098C7B9D4D6B9 exp=15941098C7B9D4D6B9 + 29563.50ns INFO [00029565] Port=0 WR @02=B4C30AC20CFD1248F9 + 29563.50ns INFO [00029565] Port=0 RD @03 + 29564.50ns INFO [00029566] * RD COMPARE * port=1 adr=03 act=032D44B0A60706AEC9 exp=032D44B0A60706AEC9 + 29564.50ns INFO [00029566] Port=0 RD @02 + 29565.50ns INFO [00029567] * RD COMPARE * port=0 adr=03 act=032D44B0A60706AEC9 exp=032D44B0A60706AEC9 + 29566.50ns INFO [00029568] * RD COMPARE * port=0 adr=02 act=B4C30AC20CFD1248F9 exp=B4C30AC20CFD1248F9 + 29566.50ns INFO [00029568] Port=0 WR @03=7AB864A08AF43933A5 + 29567.50ns INFO [00029569] Port=0 RD @07 + 29567.50ns INFO [00029569] Port=1 RD @05 + 29568.50ns INFO [00029570] Port=1 RD @07 + 29569.50ns INFO [00029571] * RD COMPARE * port=0 adr=07 act=222D4ED58D4AF8BD04 exp=222D4ED58D4AF8BD04 + 29569.50ns INFO [00029571] * RD COMPARE * port=1 adr=05 act=15941098C7B9D4D6B9 exp=15941098C7B9D4D6B9 + 29570.50ns INFO [00029572] * RD COMPARE * port=1 adr=07 act=222D4ED58D4AF8BD04 exp=222D4ED58D4AF8BD04 + 29570.50ns INFO [00029572] Port=0 RD @03 + 29571.50ns INFO [00029573] Port=0 WR @03=02E9F9549CF720B8D8 + 29572.50ns INFO [00029574] * RD COMPARE * port=0 adr=03 act=7AB864A08AF43933A5 exp=7AB864A08AF43933A5 + 29572.50ns INFO [00029574] Port=0 WR @00=EAB67BECA5468D6018 + 29572.50ns INFO [00029574] Port=0 RD @01 + 29572.50ns INFO [00029574] Port=1 RD @04 + 29573.50ns INFO [00029575] Port=0 WR @05=5B0E12D553AE8F03D8 + 29574.50ns INFO [00029576] * RD COMPARE * port=0 adr=01 act=494845D1C9123160BB exp=494845D1C9123160BB + 29574.50ns INFO [00029576] * RD COMPARE * port=1 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29574.50ns INFO [00029576] Port=1 RD @02 + 29575.50ns INFO [00029577] Port=0 WR @02=FB0B0E23A716127353 + 29575.50ns INFO [00029577] Port=0 RD @00 + 29576.50ns INFO [00029578] * RD COMPARE * port=1 adr=02 act=B4C30AC20CFD1248F9 exp=B4C30AC20CFD1248F9 + 29577.50ns INFO [00029579] * RD COMPARE * port=0 adr=00 act=EAB67BECA5468D6018 exp=EAB67BECA5468D6018 + 29579.50ns INFO [00029581] Port=1 RD @03 + 29580.50ns INFO [00029582] Port=0 WR @05=BAD575966EDE52952C + 29580.50ns INFO [00029582] Port=0 RD @00 + 29581.50ns INFO [00029583] * RD COMPARE * port=1 adr=03 act=02E9F9549CF720B8D8 exp=02E9F9549CF720B8D8 + 29581.50ns INFO [00029583] Port=0 WR @03=ED43254E13A6AFA5DF + 29582.50ns INFO [00029584] * RD COMPARE * port=0 adr=00 act=EAB67BECA5468D6018 exp=EAB67BECA5468D6018 + 29582.50ns INFO [00029584] Port=0 WR @01=EC63D7C3F4DC3257C9 + 29582.50ns INFO [00029584] Port=1 RD @02 + 29584.50ns INFO [00029586] * RD COMPARE * port=1 adr=02 act=FB0B0E23A716127353 exp=FB0B0E23A716127353 + 29584.50ns INFO [00029586] Port=0 WR @01=5D139408CAB40E24C1 + 29584.50ns INFO [00029586] Port=1 RD @02 + 29585.50ns INFO [00029587] Port=0 RD @05 + 29586.50ns INFO [00029588] * RD COMPARE * port=1 adr=02 act=FB0B0E23A716127353 exp=FB0B0E23A716127353 + 29586.50ns INFO [00029588] Port=0 RD @04 + 29587.50ns INFO [00029589] * RD COMPARE * port=0 adr=05 act=BAD575966EDE52952C exp=BAD575966EDE52952C + 29587.50ns INFO [00029589] Port=0 RD @04 + 29587.50ns INFO [00029589] Port=1 RD @03 + 29588.50ns INFO [00029590] * RD COMPARE * port=0 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29588.50ns INFO [00029590] Port=0 WR @01=6EB379C3719392D577 + 29588.50ns INFO [00029590] Port=0 RD @05 + 29589.50ns INFO [00029591] * RD COMPARE * port=0 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29589.50ns INFO [00029591] * RD COMPARE * port=1 adr=03 act=ED43254E13A6AFA5DF exp=ED43254E13A6AFA5DF + 29589.50ns INFO [00029591] Port=0 RD @04 + 29590.50ns INFO [00029592] * RD COMPARE * port=0 adr=05 act=BAD575966EDE52952C exp=BAD575966EDE52952C + 29590.50ns INFO [00029592] Port=0 WR @00=BF3226DE8F459E1C82 + 29591.50ns INFO [00029593] * RD COMPARE * port=0 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29592.50ns INFO [00029594] Port=0 WR @07=2413546722D5FF046B + 29592.50ns INFO [00029594] Port=1 RD @06 + 29594.50ns INFO [00029596] * RD COMPARE * port=1 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29594.50ns INFO [00029596] Port=0 WR @02=20B8B97E55EE523D40 + 29594.50ns INFO [00029596] Port=1 RD @07 + 29596.50ns INFO [00029598] * RD COMPARE * port=1 adr=07 act=2413546722D5FF046B exp=2413546722D5FF046B + 29596.50ns INFO [00029598] Port=1 RD @03 + 29598.00ns INFO [00029600] [00029600] ...tick... + 29598.50ns INFO [00029600] * RD COMPARE * port=1 adr=03 act=ED43254E13A6AFA5DF exp=ED43254E13A6AFA5DF + 29598.50ns INFO [00029600] Port=1 RD @03 + 29599.50ns INFO [00029601] Port=1 RD @06 + 29600.50ns INFO [00029602] * RD COMPARE * port=1 adr=03 act=ED43254E13A6AFA5DF exp=ED43254E13A6AFA5DF + 29600.50ns INFO [00029602] Port=0 WR @03=D94563EB8128189144 + 29600.50ns INFO [00029602] Port=0 RD @02 + 29600.50ns INFO [00029602] Port=1 RD @04 + 29601.50ns INFO [00029603] * RD COMPARE * port=1 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29602.50ns INFO [00029604] * RD COMPARE * port=0 adr=02 act=20B8B97E55EE523D40 exp=20B8B97E55EE523D40 + 29602.50ns INFO [00029604] * RD COMPARE * port=1 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29602.50ns INFO [00029604] Port=1 RD @00 + 29604.50ns INFO [00029606] * RD COMPARE * port=1 adr=00 act=BF3226DE8F459E1C82 exp=BF3226DE8F459E1C82 + 29604.50ns INFO [00029606] Port=0 WR @05=645CA2F9B3A4F64EA6 + 29605.50ns INFO [00029607] Port=0 WR @02=44CF04258BF589B77A + 29605.50ns INFO [00029607] Port=0 RD @01 + 29606.50ns INFO [00029608] Port=0 WR @02=356FC8303374A4E074 + 29606.50ns INFO [00029608] Port=1 RD @01 + 29607.50ns INFO [00029609] * RD COMPARE * port=0 adr=01 act=6EB379C3719392D577 exp=6EB379C3719392D577 + 29608.50ns INFO [00029610] * RD COMPARE * port=1 adr=01 act=6EB379C3719392D577 exp=6EB379C3719392D577 + 29608.50ns INFO [00029610] Port=0 RD @04 + 29608.50ns INFO [00029610] Port=1 RD @06 + 29609.50ns INFO [00029611] Port=0 RD @04 + 29610.50ns INFO [00029612] * RD COMPARE * port=0 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29610.50ns INFO [00029612] * RD COMPARE * port=1 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29610.50ns INFO [00029612] Port=0 WR @00=57923CBFDC8AB72CAC + 29610.50ns INFO [00029612] Port=1 RD @02 + 29611.50ns INFO [00029613] * RD COMPARE * port=0 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29612.50ns INFO [00029614] * RD COMPARE * port=1 adr=02 act=356FC8303374A4E074 exp=356FC8303374A4E074 + 29612.50ns INFO [00029614] Port=0 WR @05=CDBDAE6F1D3DB7195B + 29612.50ns INFO [00029614] Port=0 RD @06 + 29613.50ns INFO [00029615] Port=0 WR @01=5946E1361C87A0B25F + 29614.50ns INFO [00029616] * RD COMPARE * port=0 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29614.50ns INFO [00029616] Port=0 RD @05 + 29614.50ns INFO [00029616] Port=1 RD @02 + 29615.50ns INFO [00029617] Port=0 RD @02 + 29615.50ns INFO [00029617] Port=1 RD @05 + 29616.50ns INFO [00029618] * RD COMPARE * port=0 adr=05 act=CDBDAE6F1D3DB7195B exp=CDBDAE6F1D3DB7195B + 29616.50ns INFO [00029618] * RD COMPARE * port=1 adr=02 act=356FC8303374A4E074 exp=356FC8303374A4E074 + 29617.50ns INFO [00029619] * RD COMPARE * port=0 adr=02 act=356FC8303374A4E074 exp=356FC8303374A4E074 + 29617.50ns INFO [00029619] * RD COMPARE * port=1 adr=05 act=CDBDAE6F1D3DB7195B exp=CDBDAE6F1D3DB7195B + 29617.50ns INFO [00029619] Port=0 RD @07 + 29617.50ns INFO [00029619] Port=1 RD @04 + 29618.50ns INFO [00029620] Port=0 RD @00 + 29618.50ns INFO [00029620] Port=1 RD @07 + 29619.50ns INFO [00029621] * RD COMPARE * port=0 adr=07 act=2413546722D5FF046B exp=2413546722D5FF046B + 29619.50ns INFO [00029621] * RD COMPARE * port=1 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29619.50ns INFO [00029621] Port=0 RD @07 + 29620.50ns INFO [00029622] * RD COMPARE * port=0 adr=00 act=57923CBFDC8AB72CAC exp=57923CBFDC8AB72CAC + 29620.50ns INFO [00029622] * RD COMPARE * port=1 adr=07 act=2413546722D5FF046B exp=2413546722D5FF046B + 29620.50ns INFO [00029622] Port=0 RD @03 + 29621.50ns INFO [00029623] * RD COMPARE * port=0 adr=07 act=2413546722D5FF046B exp=2413546722D5FF046B + 29621.50ns INFO [00029623] Port=0 WR @03=80383B5017668927BA + 29621.50ns INFO [00029623] Port=0 RD @05 + 29621.50ns INFO [00029623] Port=1 RD @00 + 29622.50ns INFO [00029624] * RD COMPARE * port=0 adr=03 act=D94563EB8128189144 exp=D94563EB8128189144 + 29622.50ns INFO [00029624] Port=0 RD @07 + 29623.50ns INFO [00029625] * RD COMPARE * port=0 adr=05 act=CDBDAE6F1D3DB7195B exp=CDBDAE6F1D3DB7195B + 29623.50ns INFO [00029625] * RD COMPARE * port=1 adr=00 act=57923CBFDC8AB72CAC exp=57923CBFDC8AB72CAC + 29623.50ns INFO [00029625] Port=0 WR @01=65773C4AE8767775B7 + 29623.50ns INFO [00029625] Port=1 RD @06 + 29624.50ns INFO [00029626] * RD COMPARE * port=0 adr=07 act=2413546722D5FF046B exp=2413546722D5FF046B + 29624.50ns INFO [00029626] Port=1 RD @01 + 29625.50ns INFO [00029627] * RD COMPARE * port=1 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29625.50ns INFO [00029627] Port=0 RD @05 + 29625.50ns INFO [00029627] Port=1 RD @04 + 29626.50ns INFO [00029628] * RD COMPARE * port=1 adr=01 act=65773C4AE8767775B7 exp=65773C4AE8767775B7 + 29627.50ns INFO [00029629] * RD COMPARE * port=0 adr=05 act=CDBDAE6F1D3DB7195B exp=CDBDAE6F1D3DB7195B + 29627.50ns INFO [00029629] * RD COMPARE * port=1 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29627.50ns INFO [00029629] Port=0 WR @05=0AA45973F018711DC7 + 29627.50ns INFO [00029629] Port=0 RD @02 + 29628.50ns INFO [00029630] Port=0 WR @05=51B62036F465690DA8 + 29628.50ns INFO [00029630] Port=0 RD @07 + 29628.50ns INFO [00029630] Port=1 RD @06 + 29629.50ns INFO [00029631] * RD COMPARE * port=0 adr=02 act=356FC8303374A4E074 exp=356FC8303374A4E074 + 29629.50ns INFO [00029631] Port=0 WR @05=04D198AF12D696E3CA + 29630.50ns INFO [00029632] * RD COMPARE * port=0 adr=07 act=2413546722D5FF046B exp=2413546722D5FF046B + 29630.50ns INFO [00029632] * RD COMPARE * port=1 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29630.50ns INFO [00029632] Port=0 WR @02=4D40F9C2FC4798F97E + 29630.50ns INFO [00029632] Port=1 RD @07 + 29631.50ns INFO [00029633] Port=0 RD @03 + 29632.50ns INFO [00029634] * RD COMPARE * port=1 adr=07 act=2413546722D5FF046B exp=2413546722D5FF046B + 29632.50ns INFO [00029634] Port=0 WR @01=728788125C7220265C + 29632.50ns INFO [00029634] Port=1 RD @07 + 29633.50ns INFO [00029635] * RD COMPARE * port=0 adr=03 act=80383B5017668927BA exp=80383B5017668927BA + 29633.50ns INFO [00029635] Port=1 RD @02 + 29634.50ns INFO [00029636] * RD COMPARE * port=1 adr=07 act=2413546722D5FF046B exp=2413546722D5FF046B + 29634.50ns INFO [00029636] Port=0 WR @02=7CB7A5A99FFAB14C89 + 29634.50ns INFO [00029636] Port=1 RD @06 + 29635.50ns INFO [00029637] * RD COMPARE * port=1 adr=02 act=4D40F9C2FC4798F97E exp=4D40F9C2FC4798F97E + 29635.50ns INFO [00029637] Port=0 RD @00 + 29635.50ns INFO [00029637] Port=1 RD @05 + 29636.50ns INFO [00029638] * RD COMPARE * port=1 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29636.50ns INFO [00029638] Port=0 WR @07=E9E44232BE19F27191 + 29637.50ns INFO [00029639] * RD COMPARE * port=0 adr=00 act=57923CBFDC8AB72CAC exp=57923CBFDC8AB72CAC + 29637.50ns INFO [00029639] * RD COMPARE * port=1 adr=05 act=04D198AF12D696E3CA exp=04D198AF12D696E3CA + 29637.50ns INFO [00029639] Port=1 RD @01 + 29638.50ns INFO [00029640] Port=0 RD @06 + 29639.50ns INFO [00029641] * RD COMPARE * port=1 adr=01 act=728788125C7220265C exp=728788125C7220265C + 29640.50ns INFO [00029642] * RD COMPARE * port=0 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29640.50ns INFO [00029642] Port=0 RD @02 + 29641.50ns INFO [00029643] Port=0 RD @02 + 29641.50ns INFO [00029643] Port=1 RD @03 + 29642.50ns INFO [00029644] * RD COMPARE * port=0 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29642.50ns INFO [00029644] Port=0 RD @01 + 29642.50ns INFO [00029644] Port=1 RD @04 + 29643.50ns INFO [00029645] * RD COMPARE * port=0 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29643.50ns INFO [00029645] * RD COMPARE * port=1 adr=03 act=80383B5017668927BA exp=80383B5017668927BA + 29643.50ns INFO [00029645] Port=1 RD @05 + 29644.50ns INFO [00029646] * RD COMPARE * port=0 adr=01 act=728788125C7220265C exp=728788125C7220265C + 29644.50ns INFO [00029646] * RD COMPARE * port=1 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29644.50ns INFO [00029646] Port=0 WR @00=AAE9E7805AF7EDF25A + 29644.50ns INFO [00029646] Port=1 RD @02 + 29645.50ns INFO [00029647] * RD COMPARE * port=1 adr=05 act=04D198AF12D696E3CA exp=04D198AF12D696E3CA + 29645.50ns INFO [00029647] Port=0 RD @04 + 29646.50ns INFO [00029648] * RD COMPARE * port=1 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29646.50ns INFO [00029648] Port=0 RD @02 + 29647.50ns INFO [00029649] * RD COMPARE * port=0 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29647.50ns INFO [00029649] Port=0 RD @01 + 29648.50ns INFO [00029650] * RD COMPARE * port=0 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29648.50ns INFO [00029650] Port=1 RD @07 + 29649.50ns INFO [00029651] * RD COMPARE * port=0 adr=01 act=728788125C7220265C exp=728788125C7220265C + 29649.50ns INFO [00029651] Port=0 RD @01 + 29649.50ns INFO [00029651] Port=1 RD @03 + 29650.50ns INFO [00029652] * RD COMPARE * port=1 adr=07 act=E9E44232BE19F27191 exp=E9E44232BE19F27191 + 29651.50ns INFO [00029653] * RD COMPARE * port=0 adr=01 act=728788125C7220265C exp=728788125C7220265C + 29651.50ns INFO [00029653] * RD COMPARE * port=1 adr=03 act=80383B5017668927BA exp=80383B5017668927BA + 29651.50ns INFO [00029653] Port=1 RD @02 + 29652.50ns INFO [00029654] Port=0 WR @05=BA7F3224AE13C64E2B + 29652.50ns INFO [00029654] Port=1 RD @06 + 29653.50ns INFO [00029655] * RD COMPARE * port=1 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29654.50ns INFO [00029656] * RD COMPARE * port=1 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29654.50ns INFO [00029656] Port=1 RD @00 + 29655.50ns INFO [00029657] Port=1 RD @05 + 29656.50ns INFO [00029658] * RD COMPARE * port=1 adr=00 act=AAE9E7805AF7EDF25A exp=AAE9E7805AF7EDF25A + 29656.50ns INFO [00029658] Port=1 RD @06 + 29657.50ns INFO [00029659] * RD COMPARE * port=1 adr=05 act=BA7F3224AE13C64E2B exp=BA7F3224AE13C64E2B + 29657.50ns INFO [00029659] Port=1 RD @00 + 29658.50ns INFO [00029660] * RD COMPARE * port=1 adr=06 act=068F0C44C51DAB31CB exp=068F0C44C51DAB31CB + 29658.50ns INFO [00029660] Port=0 RD @03 + 29658.50ns INFO [00029660] Port=1 RD @00 + 29659.50ns INFO [00029661] * RD COMPARE * port=1 adr=00 act=AAE9E7805AF7EDF25A exp=AAE9E7805AF7EDF25A + 29659.50ns INFO [00029661] Port=0 WR @07=C4115142A1C42A118A + 29660.50ns INFO [00029662] * RD COMPARE * port=0 adr=03 act=80383B5017668927BA exp=80383B5017668927BA + 29660.50ns INFO [00029662] * RD COMPARE * port=1 adr=00 act=AAE9E7805AF7EDF25A exp=AAE9E7805AF7EDF25A + 29660.50ns INFO [00029662] Port=0 WR @01=A082E18D427BED9DAE + 29660.50ns INFO [00029662] Port=0 RD @00 + 29660.50ns INFO [00029662] Port=1 RD @03 + 29662.50ns INFO [00029664] * RD COMPARE * port=0 adr=00 act=AAE9E7805AF7EDF25A exp=AAE9E7805AF7EDF25A + 29662.50ns INFO [00029664] * RD COMPARE * port=1 adr=03 act=80383B5017668927BA exp=80383B5017668927BA + 29662.50ns INFO [00029664] Port=1 RD @02 + 29663.50ns INFO [00029665] Port=0 WR @06=0A594E76778A4EFD10 + 29663.50ns INFO [00029665] Port=1 RD @04 + 29664.50ns INFO [00029666] * RD COMPARE * port=1 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29664.50ns INFO [00029666] Port=0 RD @07 + 29664.50ns INFO [00029666] Port=1 RD @03 + 29665.50ns INFO [00029667] * RD COMPARE * port=1 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29665.50ns INFO [00029667] Port=1 RD @07 + 29666.50ns INFO [00029668] * RD COMPARE * port=0 adr=07 act=C4115142A1C42A118A exp=C4115142A1C42A118A + 29666.50ns INFO [00029668] * RD COMPARE * port=1 adr=03 act=80383B5017668927BA exp=80383B5017668927BA + 29667.50ns INFO [00029669] * RD COMPARE * port=1 adr=07 act=C4115142A1C42A118A exp=C4115142A1C42A118A + 29667.50ns INFO [00029669] Port=0 WR @03=41D2AFB52A03396B06 + 29668.50ns INFO [00029670] Port=0 WR @05=F0A4112687C82AF94F + 29668.50ns INFO [00029670] Port=0 RD @00 + 29669.50ns INFO [00029671] Port=1 RD @07 + 29670.50ns INFO [00029672] * RD COMPARE * port=0 adr=00 act=AAE9E7805AF7EDF25A exp=AAE9E7805AF7EDF25A + 29670.50ns INFO [00029672] Port=0 RD @04 + 29671.50ns INFO [00029673] * RD COMPARE * port=1 adr=07 act=C4115142A1C42A118A exp=C4115142A1C42A118A + 29671.50ns INFO [00029673] Port=1 RD @03 + 29672.50ns INFO [00029674] * RD COMPARE * port=0 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29672.50ns INFO [00029674] Port=0 WR @07=7EC936E10EA5FAD09C + 29672.50ns INFO [00029674] Port=1 RD @06 + 29673.50ns INFO [00029675] * RD COMPARE * port=1 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29673.50ns INFO [00029675] Port=0 RD @03 + 29673.50ns INFO [00029675] Port=1 RD @00 + 29674.50ns INFO [00029676] * RD COMPARE * port=1 adr=06 act=0A594E76778A4EFD10 exp=0A594E76778A4EFD10 + 29674.50ns INFO [00029676] Port=0 RD @04 + 29675.50ns INFO [00029677] * RD COMPARE * port=0 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29675.50ns INFO [00029677] * RD COMPARE * port=1 adr=00 act=AAE9E7805AF7EDF25A exp=AAE9E7805AF7EDF25A + 29675.50ns INFO [00029677] Port=1 RD @07 + 29676.50ns INFO [00029678] * RD COMPARE * port=0 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29676.50ns INFO [00029678] Port=1 RD @07 + 29677.50ns INFO [00029679] * RD COMPARE * port=1 adr=07 act=7EC936E10EA5FAD09C exp=7EC936E10EA5FAD09C + 29677.50ns INFO [00029679] Port=1 RD @00 + 29678.50ns INFO [00029680] * RD COMPARE * port=1 adr=07 act=7EC936E10EA5FAD09C exp=7EC936E10EA5FAD09C + 29678.50ns INFO [00029680] Port=0 RD @02 + 29679.50ns INFO [00029681] * RD COMPARE * port=1 adr=00 act=AAE9E7805AF7EDF25A exp=AAE9E7805AF7EDF25A + 29679.50ns INFO [00029681] Port=0 WR @00=98707D5EFA5D42FC73 + 29679.50ns INFO [00029681] Port=0 RD @04 + 29680.50ns INFO [00029682] * RD COMPARE * port=0 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29680.50ns INFO [00029682] Port=0 RD @07 + 29680.50ns INFO [00029682] Port=1 RD @02 + 29681.50ns INFO [00029683] * RD COMPARE * port=0 adr=04 act=51526BFB27EC89E36E exp=51526BFB27EC89E36E + 29682.50ns INFO [00029684] * RD COMPARE * port=0 adr=07 act=7EC936E10EA5FAD09C exp=7EC936E10EA5FAD09C + 29682.50ns INFO [00029684] * RD COMPARE * port=1 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29682.50ns INFO [00029684] Port=0 WR @04=B78052BDF2C8D5A6D5 + 29682.50ns INFO [00029684] Port=0 RD @07 + 29683.50ns INFO [00029685] Port=0 RD @03 + 29684.50ns INFO [00029686] * RD COMPARE * port=0 adr=07 act=7EC936E10EA5FAD09C exp=7EC936E10EA5FAD09C + 29684.50ns INFO [00029686] Port=1 RD @05 + 29685.50ns INFO [00029687] * RD COMPARE * port=0 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29685.50ns INFO [00029687] Port=0 RD @05 + 29685.50ns INFO [00029687] Port=1 RD @05 + 29686.50ns INFO [00029688] * RD COMPARE * port=1 adr=05 act=F0A4112687C82AF94F exp=F0A4112687C82AF94F + 29686.50ns INFO [00029688] Port=1 RD @06 + 29687.50ns INFO [00029689] * RD COMPARE * port=0 adr=05 act=F0A4112687C82AF94F exp=F0A4112687C82AF94F + 29687.50ns INFO [00029689] * RD COMPARE * port=1 adr=05 act=F0A4112687C82AF94F exp=F0A4112687C82AF94F + 29687.50ns INFO [00029689] Port=0 WR @07=AB4081F6199570A03D + 29688.50ns INFO [00029690] * RD COMPARE * port=1 adr=06 act=0A594E76778A4EFD10 exp=0A594E76778A4EFD10 + 29689.50ns INFO [00029691] Port=1 RD @01 + 29690.50ns INFO [00029692] Port=0 WR @00=87BA0921A6C4C8C724 + 29690.50ns INFO [00029692] Port=1 RD @01 + 29691.50ns INFO [00029693] * RD COMPARE * port=1 adr=01 act=A082E18D427BED9DAE exp=A082E18D427BED9DAE + 29692.50ns INFO [00029694] * RD COMPARE * port=1 adr=01 act=A082E18D427BED9DAE exp=A082E18D427BED9DAE + 29692.50ns INFO [00029694] Port=1 RD @02 + 29693.50ns INFO [00029695] Port=0 RD @05 + 29693.50ns INFO [00029695] Port=1 RD @03 + 29694.50ns INFO [00029696] * RD COMPARE * port=1 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29694.50ns INFO [00029696] Port=0 WR @01=9E26638769224D34F3 + 29694.50ns INFO [00029696] Port=0 RD @07 + 29694.50ns INFO [00029696] Port=1 RD @07 + 29695.50ns INFO [00029697] * RD COMPARE * port=0 adr=05 act=F0A4112687C82AF94F exp=F0A4112687C82AF94F + 29695.50ns INFO [00029697] * RD COMPARE * port=1 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29695.50ns INFO [00029697] Port=0 RD @07 + 29696.50ns INFO [00029698] * RD COMPARE * port=0 adr=07 act=AB4081F6199570A03D exp=AB4081F6199570A03D + 29696.50ns INFO [00029698] * RD COMPARE * port=1 adr=07 act=AB4081F6199570A03D exp=AB4081F6199570A03D + 29696.50ns INFO [00029698] Port=0 WR @00=F2CF1983AC9894A59D + 29697.50ns INFO [00029699] * RD COMPARE * port=0 adr=07 act=AB4081F6199570A03D exp=AB4081F6199570A03D + 29698.00ns INFO [00029700] [00029700] ...tick... + 29698.50ns INFO [00029700] Port=0 RD @00 + 29698.50ns INFO [00029700] Port=1 RD @04 + 29699.50ns INFO [00029701] Port=0 WR @04=D49EAB50C6F0FCC88B + 29700.50ns INFO [00029702] * RD COMPARE * port=0 adr=00 act=F2CF1983AC9894A59D exp=F2CF1983AC9894A59D + 29700.50ns INFO [00029702] * RD COMPARE * port=1 adr=04 act=B78052BDF2C8D5A6D5 exp=B78052BDF2C8D5A6D5 + 29700.50ns INFO [00029702] Port=0 WR @06=255819C71B3407FA8B + 29700.50ns INFO [00029702] Port=0 RD @02 + 29700.50ns INFO [00029702] Port=1 RD @02 + 29701.50ns INFO [00029703] Port=0 WR @01=5FFC4A5A910AF15A63 + 29701.50ns INFO [00029703] Port=0 RD @06 + 29702.50ns INFO [00029704] * RD COMPARE * port=0 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29702.50ns INFO [00029704] * RD COMPARE * port=1 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29702.50ns INFO [00029704] Port=0 WR @01=E75AC232EA59E29870 + 29703.50ns INFO [00029705] * RD COMPARE * port=0 adr=06 act=255819C71B3407FA8B exp=255819C71B3407FA8B + 29704.50ns INFO [00029706] Port=0 RD @06 + 29705.50ns INFO [00029707] Port=1 RD @02 + 29706.50ns INFO [00029708] * RD COMPARE * port=0 adr=06 act=255819C71B3407FA8B exp=255819C71B3407FA8B + 29706.50ns INFO [00029708] Port=1 RD @03 + 29707.50ns INFO [00029709] * RD COMPARE * port=1 adr=02 act=7CB7A5A99FFAB14C89 exp=7CB7A5A99FFAB14C89 + 29707.50ns INFO [00029709] Port=0 RD @07 + 29707.50ns INFO [00029709] Port=1 RD @05 + 29708.50ns INFO [00029710] * RD COMPARE * port=1 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29708.50ns INFO [00029710] Port=1 RD @07 + 29709.50ns INFO [00029711] * RD COMPARE * port=0 adr=07 act=AB4081F6199570A03D exp=AB4081F6199570A03D + 29709.50ns INFO [00029711] * RD COMPARE * port=1 adr=05 act=F0A4112687C82AF94F exp=F0A4112687C82AF94F + 29709.50ns INFO [00029711] Port=0 RD @03 + 29710.50ns INFO [00029712] * RD COMPARE * port=1 adr=07 act=AB4081F6199570A03D exp=AB4081F6199570A03D + 29710.50ns INFO [00029712] Port=0 WR @01=6CCB3F4BD35BC75A01 + 29710.50ns INFO [00029712] Port=0 RD @03 + 29711.50ns INFO [00029713] * RD COMPARE * port=0 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29712.50ns INFO [00029714] * RD COMPARE * port=0 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29712.50ns INFO [00029714] Port=1 RD @06 + 29713.50ns INFO [00029715] Port=0 WR @01=82A718F14A4F31EFCA + 29713.50ns INFO [00029715] Port=0 RD @07 + 29714.50ns INFO [00029716] * RD COMPARE * port=1 adr=06 act=255819C71B3407FA8B exp=255819C71B3407FA8B + 29714.50ns INFO [00029716] Port=0 WR @02=C2FED726FA79610780 + 29714.50ns INFO [00029716] Port=1 RD @04 + 29715.50ns INFO [00029717] * RD COMPARE * port=0 adr=07 act=AB4081F6199570A03D exp=AB4081F6199570A03D + 29715.50ns INFO [00029717] Port=0 WR @00=87EB2FC91F043769AF + 29716.50ns INFO [00029718] * RD COMPARE * port=1 adr=04 act=D49EAB50C6F0FCC88B exp=D49EAB50C6F0FCC88B + 29716.50ns INFO [00029718] Port=0 RD @07 + 29717.50ns INFO [00029719] Port=0 RD @06 + 29718.50ns INFO [00029720] * RD COMPARE * port=0 adr=07 act=AB4081F6199570A03D exp=AB4081F6199570A03D + 29718.50ns INFO [00029720] Port=0 WR @05=604F787FB1B8F32680 + 29718.50ns INFO [00029720] Port=0 RD @01 + 29719.50ns INFO [00029721] * RD COMPARE * port=0 adr=06 act=255819C71B3407FA8B exp=255819C71B3407FA8B + 29719.50ns INFO [00029721] Port=0 WR @04=2EEEAEBE6CAADFC25F + 29720.50ns INFO [00029722] * RD COMPARE * port=0 adr=01 act=82A718F14A4F31EFCA exp=82A718F14A4F31EFCA + 29720.50ns INFO [00029722] Port=0 WR @05=E1F946EC0363D87363 + 29720.50ns INFO [00029722] Port=0 RD @04 + 29721.50ns INFO [00029723] Port=0 WR @05=E6F38B2579AE1EDC70 + 29721.50ns INFO [00029723] Port=0 RD @02 + 29722.50ns INFO [00029724] * RD COMPARE * port=0 adr=04 act=2EEEAEBE6CAADFC25F exp=2EEEAEBE6CAADFC25F + 29722.50ns INFO [00029724] Port=0 WR @01=1774C0C441F57E7D29 + 29722.50ns INFO [00029724] Port=0 RD @05 + 29723.50ns INFO [00029725] * RD COMPARE * port=0 adr=02 act=C2FED726FA79610780 exp=C2FED726FA79610780 + 29724.50ns INFO [00029726] * RD COMPARE * port=0 adr=05 act=E6F38B2579AE1EDC70 exp=E6F38B2579AE1EDC70 + 29725.50ns INFO [00029727] Port=1 RD @03 + 29726.50ns INFO [00029728] Port=0 RD @03 + 29726.50ns INFO [00029728] Port=1 RD @01 + 29727.50ns INFO [00029729] * RD COMPARE * port=1 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29727.50ns INFO [00029729] Port=0 RD @03 + 29728.50ns INFO [00029730] * RD COMPARE * port=0 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29728.50ns INFO [00029730] * RD COMPARE * port=1 adr=01 act=1774C0C441F57E7D29 exp=1774C0C441F57E7D29 + 29729.50ns INFO [00029731] * RD COMPARE * port=0 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29729.50ns INFO [00029731] Port=0 WR @06=4BC912CEB7CCE65FEE + 29729.50ns INFO [00029731] Port=0 RD @00 + 29730.50ns INFO [00029732] Port=0 RD @03 + 29730.50ns INFO [00029732] Port=1 RD @03 + 29731.50ns INFO [00029733] * RD COMPARE * port=0 adr=00 act=87EB2FC91F043769AF exp=87EB2FC91F043769AF + 29731.50ns INFO [00029733] Port=0 WR @03=7BCD7A2629B6ECFC3E + 29732.50ns INFO [00029734] * RD COMPARE * port=0 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29732.50ns INFO [00029734] * RD COMPARE * port=1 adr=03 act=41D2AFB52A03396B06 exp=41D2AFB52A03396B06 + 29732.50ns INFO [00029734] Port=0 RD @01 + 29732.50ns INFO [00029734] Port=1 RD @05 + 29733.50ns INFO [00029735] Port=0 WR @03=B958CA8265D1201D43 + 29733.50ns INFO [00029735] Port=0 RD @02 + 29734.50ns INFO [00029736] * RD COMPARE * port=0 adr=01 act=1774C0C441F57E7D29 exp=1774C0C441F57E7D29 + 29734.50ns INFO [00029736] * RD COMPARE * port=1 adr=05 act=E6F38B2579AE1EDC70 exp=E6F38B2579AE1EDC70 + 29734.50ns INFO [00029736] Port=0 WR @02=9FAF5078069B1C457F + 29734.50ns INFO [00029736] Port=0 RD @00 + 29735.50ns INFO [00029737] * RD COMPARE * port=0 adr=02 act=C2FED726FA79610780 exp=C2FED726FA79610780 + 29735.50ns INFO [00029737] Port=0 WR @07=CC07A99DFB81AF92D8 + 29735.50ns INFO [00029737] Port=1 RD @06 + 29736.50ns INFO [00029738] * RD COMPARE * port=0 adr=00 act=87EB2FC91F043769AF exp=87EB2FC91F043769AF + 29736.50ns INFO [00029738] Port=0 WR @05=D488E7CBABBE0E0C1D + 29737.50ns INFO [00029739] * RD COMPARE * port=1 adr=06 act=4BC912CEB7CCE65FEE exp=4BC912CEB7CCE65FEE + 29738.50ns INFO [00029740] Port=0 RD @06 + 29738.50ns INFO [00029740] Port=1 RD @07 + 29739.50ns INFO [00029741] Port=0 RD @07 + 29740.50ns INFO [00029742] * RD COMPARE * port=0 adr=06 act=4BC912CEB7CCE65FEE exp=4BC912CEB7CCE65FEE + 29740.50ns INFO [00029742] * RD COMPARE * port=1 adr=07 act=CC07A99DFB81AF92D8 exp=CC07A99DFB81AF92D8 + 29740.50ns INFO [00029742] Port=1 RD @00 + 29741.50ns INFO [00029743] * RD COMPARE * port=0 adr=07 act=CC07A99DFB81AF92D8 exp=CC07A99DFB81AF92D8 + 29741.50ns INFO [00029743] Port=1 RD @00 + 29742.50ns INFO [00029744] * RD COMPARE * port=1 adr=00 act=87EB2FC91F043769AF exp=87EB2FC91F043769AF + 29742.50ns INFO [00029744] Port=1 RD @02 + 29743.50ns INFO [00029745] * RD COMPARE * port=1 adr=00 act=87EB2FC91F043769AF exp=87EB2FC91F043769AF + 29743.50ns INFO [00029745] Port=1 RD @01 + 29744.50ns INFO [00029746] * RD COMPARE * port=1 adr=02 act=9FAF5078069B1C457F exp=9FAF5078069B1C457F + 29745.50ns INFO [00029747] * RD COMPARE * port=1 adr=01 act=1774C0C441F57E7D29 exp=1774C0C441F57E7D29 + 29745.50ns INFO [00029747] Port=0 WR @04=9FD8A1EA6BA81E40FC + 29747.50ns INFO [00029749] Port=0 WR @07=C056D59433A915BFEC + 29749.50ns INFO [00029751] Port=0 WR @04=C698065800FE22E4CC + 29751.50ns INFO [00029753] Port=0 RD @03 + 29751.50ns INFO [00029753] Port=1 RD @01 + 29753.50ns INFO [00029755] * RD COMPARE * port=0 adr=03 act=B958CA8265D1201D43 exp=B958CA8265D1201D43 + 29753.50ns INFO [00029755] * RD COMPARE * port=1 adr=01 act=1774C0C441F57E7D29 exp=1774C0C441F57E7D29 + 29753.50ns INFO [00029755] Port=0 WR @02=47421D0E2D2498B0A1 + 29753.50ns INFO [00029755] Port=0 RD @00 + 29753.50ns INFO [00029755] Port=1 RD @05 + 29754.50ns INFO [00029756] Port=0 RD @05 + 29755.50ns INFO [00029757] * RD COMPARE * port=0 adr=00 act=87EB2FC91F043769AF exp=87EB2FC91F043769AF + 29755.50ns INFO [00029757] * RD COMPARE * port=1 adr=05 act=D488E7CBABBE0E0C1D exp=D488E7CBABBE0E0C1D + 29755.50ns INFO [00029757] Port=0 RD @00 + 29756.50ns INFO [00029758] * RD COMPARE * port=0 adr=05 act=D488E7CBABBE0E0C1D exp=D488E7CBABBE0E0C1D + 29757.50ns INFO [00029759] * RD COMPARE * port=0 adr=00 act=87EB2FC91F043769AF exp=87EB2FC91F043769AF + 29757.50ns INFO [00029759] Port=0 WR @03=3820390D73726889E3 + 29758.50ns INFO [00029760] Port=0 WR @03=17DF9B25E753E4536B + 29759.50ns INFO [00029761] Port=0 RD @02 + 29760.50ns INFO [00029762] Port=0 RD @03 + 29761.50ns INFO [00029763] * RD COMPARE * port=0 adr=02 act=47421D0E2D2498B0A1 exp=47421D0E2D2498B0A1 + 29761.50ns INFO [00029763] Port=0 WR @04=72B94F36C7EC24D5A8 + 29762.50ns INFO [00029764] * RD COMPARE * port=0 adr=03 act=17DF9B25E753E4536B exp=17DF9B25E753E4536B + 29763.50ns INFO [00029765] Port=0 WR @07=CB957BA1D38BA23696 + 29764.50ns INFO [00029766] Port=0 WR @03=77470EE0DB1EE5C7DE + 29764.50ns INFO [00029766] Port=0 RD @00 + 29765.50ns INFO [00029767] Port=0 WR @04=99887CB6D7A912732A + 29765.50ns INFO [00029767] Port=1 RD @03 + 29766.50ns INFO [00029768] * RD COMPARE * port=0 adr=00 act=87EB2FC91F043769AF exp=87EB2FC91F043769AF + 29766.50ns INFO [00029768] Port=0 RD @05 + 29767.50ns INFO [00029769] * RD COMPARE * port=1 adr=03 act=77470EE0DB1EE5C7DE exp=77470EE0DB1EE5C7DE + 29767.50ns INFO [00029769] Port=0 RD @00 + 29768.50ns INFO [00029770] * RD COMPARE * port=0 adr=05 act=D488E7CBABBE0E0C1D exp=D488E7CBABBE0E0C1D + 29768.50ns INFO [00029770] Port=0 WR @03=9EFFEFF48C4779775F + 29769.50ns INFO [00029771] * RD COMPARE * port=0 adr=00 act=87EB2FC91F043769AF exp=87EB2FC91F043769AF + 29769.50ns INFO [00029771] Port=0 WR @07=74ECD0F921C91CFEF2 + 29769.50ns INFO [00029771] Port=0 RD @01 + 29769.50ns INFO [00029771] Port=1 RD @05 + 29770.50ns INFO [00029772] Port=0 WR @06=F449DEFFE97BB874CA + 29771.50ns INFO [00029773] * RD COMPARE * port=0 adr=01 act=1774C0C441F57E7D29 exp=1774C0C441F57E7D29 + 29771.50ns INFO [00029773] * RD COMPARE * port=1 adr=05 act=D488E7CBABBE0E0C1D exp=D488E7CBABBE0E0C1D + 29771.50ns INFO [00029773] Port=0 WR @07=A9BBB5B9DECA4E745B + 29772.50ns INFO [00029774] Port=0 RD @06 + 29772.50ns INFO [00029774] Port=1 RD @00 + 29774.50ns INFO [00029776] * RD COMPARE * port=0 adr=06 act=F449DEFFE97BB874CA exp=F449DEFFE97BB874CA + 29774.50ns INFO [00029776] * RD COMPARE * port=1 adr=00 act=87EB2FC91F043769AF exp=87EB2FC91F043769AF + 29774.50ns INFO [00029776] Port=0 WR @00=A9C4C3FFD415B90ECE + 29774.50ns INFO [00029776] Port=0 RD @04 + 29774.50ns INFO [00029776] Port=1 RD @06 + 29775.50ns INFO [00029777] Port=0 WR @07=16757273D8210F615B + 29775.50ns INFO [00029777] Port=0 RD @04 + 29775.50ns INFO [00029777] Port=1 RD @02 + 29776.50ns INFO [00029778] * RD COMPARE * port=0 adr=04 act=99887CB6D7A912732A exp=99887CB6D7A912732A + 29776.50ns INFO [00029778] * RD COMPARE * port=1 adr=06 act=F449DEFFE97BB874CA exp=F449DEFFE97BB874CA + 29777.50ns INFO [00029779] * RD COMPARE * port=0 adr=04 act=99887CB6D7A912732A exp=99887CB6D7A912732A + 29777.50ns INFO [00029779] * RD COMPARE * port=1 adr=02 act=47421D0E2D2498B0A1 exp=47421D0E2D2498B0A1 + 29777.50ns INFO [00029779] Port=0 WR @05=FC8A6D502479704886 + 29778.50ns INFO [00029780] Port=1 RD @01 + 29779.50ns INFO [00029781] Port=0 RD @00 + 29780.50ns INFO [00029782] * RD COMPARE * port=1 adr=01 act=1774C0C441F57E7D29 exp=1774C0C441F57E7D29 + 29781.50ns INFO [00029783] * RD COMPARE * port=0 adr=00 act=A9C4C3FFD415B90ECE exp=A9C4C3FFD415B90ECE + 29782.50ns INFO [00029784] Port=1 RD @01 + 29783.50ns INFO [00029785] Port=0 WR @06=1218BA552A4ACDC927 + 29783.50ns INFO [00029785] Port=1 RD @07 + 29784.50ns INFO [00029786] * RD COMPARE * port=1 adr=01 act=1774C0C441F57E7D29 exp=1774C0C441F57E7D29 + 29784.50ns INFO [00029786] Port=0 WR @07=D1319C21824DD20386 + 29784.50ns INFO [00029786] Port=1 RD @00 + 29785.50ns INFO [00029787] * RD COMPARE * port=1 adr=07 act=16757273D8210F615B exp=16757273D8210F615B + 29785.50ns INFO [00029787] Port=0 RD @05 + 29785.50ns INFO [00029787] Port=1 RD @04 + 29786.50ns INFO [00029788] * RD COMPARE * port=1 adr=00 act=A9C4C3FFD415B90ECE exp=A9C4C3FFD415B90ECE + 29786.50ns INFO [00029788] Port=0 WR @04=F3395271F66AC515D0 + 29786.50ns INFO [00029788] Port=1 RD @03 + 29787.50ns INFO [00029789] * RD COMPARE * port=0 adr=05 act=FC8A6D502479704886 exp=FC8A6D502479704886 + 29787.50ns INFO [00029789] * RD COMPARE * port=1 adr=04 act=99887CB6D7A912732A exp=99887CB6D7A912732A + 29787.50ns INFO [00029789] Port=0 RD @00 + 29788.50ns INFO [00029790] * RD COMPARE * port=1 adr=03 act=9EFFEFF48C4779775F exp=9EFFEFF48C4779775F + 29788.50ns INFO [00029790] Port=0 WR @05=832F5CAD905B127244 + 29788.50ns INFO [00029790] Port=0 RD @06 + 29789.50ns INFO [00029791] * RD COMPARE * port=0 adr=00 act=A9C4C3FFD415B90ECE exp=A9C4C3FFD415B90ECE + 29789.50ns INFO [00029791] Port=0 WR @00=72C22C5CFD7425881E + 29789.50ns INFO [00029791] Port=0 RD @04 + 29790.50ns INFO [00029792] * RD COMPARE * port=0 adr=06 act=1218BA552A4ACDC927 exp=1218BA552A4ACDC927 + 29790.50ns INFO [00029792] Port=0 WR @01=C9B051B12D331ED6A3 + 29790.50ns INFO [00029792] Port=0 RD @02 + 29791.50ns INFO [00029793] * RD COMPARE * port=0 adr=04 act=F3395271F66AC515D0 exp=F3395271F66AC515D0 + 29791.50ns INFO [00029793] Port=1 RD @02 + 29792.50ns INFO [00029794] * RD COMPARE * port=0 adr=02 act=47421D0E2D2498B0A1 exp=47421D0E2D2498B0A1 + 29792.50ns INFO [00029794] Port=1 RD @05 + 29793.50ns INFO [00029795] * RD COMPARE * port=1 adr=02 act=47421D0E2D2498B0A1 exp=47421D0E2D2498B0A1 + 29793.50ns INFO [00029795] Port=0 RD @02 + 29794.50ns INFO [00029796] * RD COMPARE * port=1 adr=05 act=832F5CAD905B127244 exp=832F5CAD905B127244 + 29794.50ns INFO [00029796] Port=0 WR @07=FE28430D3BD94DC41A + 29795.50ns INFO [00029797] * RD COMPARE * port=0 adr=02 act=47421D0E2D2498B0A1 exp=47421D0E2D2498B0A1 + 29795.50ns INFO [00029797] Port=0 RD @06 + 29797.50ns INFO [00029799] * RD COMPARE * port=0 adr=06 act=1218BA552A4ACDC927 exp=1218BA552A4ACDC927 + 29797.50ns INFO [00029799] Port=0 WR @03=2CD5E0B1E252C7F53A + 29798.00ns INFO [00029800] [00029800] ...tick... + 29798.50ns INFO [00029800] Port=1 RD @02 + 29799.50ns INFO [00029801] Port=0 WR @03=8F1491357F040783C7 + 29799.50ns INFO [00029801] Port=1 RD @06 + 29800.50ns INFO [00029802] * RD COMPARE * port=1 adr=02 act=47421D0E2D2498B0A1 exp=47421D0E2D2498B0A1 + 29800.50ns INFO [00029802] Port=0 WR @03=B777DAE34449B68F56 + 29801.50ns INFO [00029803] * RD COMPARE * port=1 adr=06 act=1218BA552A4ACDC927 exp=1218BA552A4ACDC927 + 29801.50ns INFO [00029803] Port=0 RD @05 + 29802.50ns INFO [00029804] Port=0 RD @06 + 29803.50ns INFO [00029805] * RD COMPARE * port=0 adr=05 act=832F5CAD905B127244 exp=832F5CAD905B127244 + 29803.50ns INFO [00029805] Port=0 WR @06=7089412CAD90D5DFFF + 29803.50ns INFO [00029805] Port=0 RD @02 + 29804.50ns INFO [00029806] * RD COMPARE * port=0 adr=06 act=1218BA552A4ACDC927 exp=1218BA552A4ACDC927 + 29804.50ns INFO [00029806] Port=0 WR @01=74A67C05AE4ABC9F00 + 29804.50ns INFO [00029806] Port=0 RD @05 + 29804.50ns INFO [00029806] Port=1 RD @03 + 29805.50ns INFO [00029807] * RD COMPARE * port=0 adr=02 act=47421D0E2D2498B0A1 exp=47421D0E2D2498B0A1 + 29806.50ns INFO [00029808] * RD COMPARE * port=0 adr=05 act=832F5CAD905B127244 exp=832F5CAD905B127244 + 29806.50ns INFO [00029808] * RD COMPARE * port=1 adr=03 act=B777DAE34449B68F56 exp=B777DAE34449B68F56 + 29807.50ns INFO [00029809] Port=0 WR @00=461511FE0872DF2388 + 29807.50ns INFO [00029809] Port=0 RD @04 + 29807.50ns INFO [00029809] Port=1 RD @01 + 29809.50ns INFO [00029811] * RD COMPARE * port=0 adr=04 act=F3395271F66AC515D0 exp=F3395271F66AC515D0 + 29809.50ns INFO [00029811] * RD COMPARE * port=1 adr=01 act=74A67C05AE4ABC9F00 exp=74A67C05AE4ABC9F00 + 29809.50ns INFO [00029811] Port=0 RD @07 + 29809.50ns INFO [00029811] Port=1 RD @00 + 29810.50ns INFO [00029812] Port=0 WR @04=2D1F68B07ED5B1302B + 29810.50ns INFO [00029812] Port=0 RD @00 + 29811.50ns INFO [00029813] * RD COMPARE * port=0 adr=07 act=FE28430D3BD94DC41A exp=FE28430D3BD94DC41A + 29811.50ns INFO [00029813] * RD COMPARE * port=1 adr=00 act=461511FE0872DF2388 exp=461511FE0872DF2388 + 29812.50ns INFO [00029814] * RD COMPARE * port=0 adr=00 act=461511FE0872DF2388 exp=461511FE0872DF2388 + 29812.50ns INFO [00029814] Port=0 RD @07 + 29813.50ns INFO [00029815] Port=0 WR @02=6CAD143F574787E8CD + 29813.50ns INFO [00029815] Port=0 RD @04 + 29814.50ns INFO [00029816] * RD COMPARE * port=0 adr=07 act=FE28430D3BD94DC41A exp=FE28430D3BD94DC41A + 29814.50ns INFO [00029816] Port=0 WR @02=005974A5DB5507BD51 + 29814.50ns INFO [00029816] Port=1 RD @00 + 29815.50ns INFO [00029817] * RD COMPARE * port=0 adr=04 act=2D1F68B07ED5B1302B exp=2D1F68B07ED5B1302B + 29815.50ns INFO [00029817] Port=1 RD @05 + 29816.50ns INFO [00029818] * RD COMPARE * port=1 adr=00 act=461511FE0872DF2388 exp=461511FE0872DF2388 + 29816.50ns INFO [00029818] Port=0 RD @01 + 29817.50ns INFO [00029819] * RD COMPARE * port=1 adr=05 act=832F5CAD905B127244 exp=832F5CAD905B127244 + 29817.50ns INFO [00029819] Port=0 WR @05=674EF8F2A524CBC5F1 + 29817.50ns INFO [00029819] Port=1 RD @02 + 29818.50ns INFO [00029820] * RD COMPARE * port=0 adr=01 act=74A67C05AE4ABC9F00 exp=74A67C05AE4ABC9F00 + 29819.50ns INFO [00029821] * RD COMPARE * port=1 adr=02 act=005974A5DB5507BD51 exp=005974A5DB5507BD51 + 29819.50ns INFO [00029821] Port=0 RD @05 + 29819.50ns INFO [00029821] Port=1 RD @01 + 29820.50ns INFO [00029822] Port=1 RD @02 + 29821.50ns INFO [00029823] * RD COMPARE * port=0 adr=05 act=674EF8F2A524CBC5F1 exp=674EF8F2A524CBC5F1 + 29821.50ns INFO [00029823] * RD COMPARE * port=1 adr=01 act=74A67C05AE4ABC9F00 exp=74A67C05AE4ABC9F00 + 29822.50ns INFO [00029824] * RD COMPARE * port=1 adr=02 act=005974A5DB5507BD51 exp=005974A5DB5507BD51 + 29822.50ns INFO [00029824] Port=1 RD @05 + 29823.50ns INFO [00029825] Port=0 WR @04=E31E375C5DE4E08685 + 29824.50ns INFO [00029826] * RD COMPARE * port=1 adr=05 act=674EF8F2A524CBC5F1 exp=674EF8F2A524CBC5F1 + 29825.50ns INFO [00029827] Port=0 RD @06 + 29825.50ns INFO [00029827] Port=1 RD @03 + 29826.50ns INFO [00029828] Port=0 WR @04=E7C80B0896F1EB2EC3 + 29826.50ns INFO [00029828] Port=0 RD @06 + 29827.50ns INFO [00029829] * RD COMPARE * port=0 adr=06 act=7089412CAD90D5DFFF exp=7089412CAD90D5DFFF + 29827.50ns INFO [00029829] * RD COMPARE * port=1 adr=03 act=B777DAE34449B68F56 exp=B777DAE34449B68F56 + 29827.50ns INFO [00029829] Port=0 RD @05 + 29828.50ns INFO [00029830] * RD COMPARE * port=0 adr=06 act=7089412CAD90D5DFFF exp=7089412CAD90D5DFFF + 29828.50ns INFO [00029830] Port=0 WR @04=01AC4AE30069AF61BD + 29828.50ns INFO [00029830] Port=0 RD @01 + 29829.50ns INFO [00029831] * RD COMPARE * port=0 adr=05 act=674EF8F2A524CBC5F1 exp=674EF8F2A524CBC5F1 + 29829.50ns INFO [00029831] Port=1 RD @03 + 29830.50ns INFO [00029832] * RD COMPARE * port=0 adr=01 act=74A67C05AE4ABC9F00 exp=74A67C05AE4ABC9F00 + 29831.50ns INFO [00029833] * RD COMPARE * port=1 adr=03 act=B777DAE34449B68F56 exp=B777DAE34449B68F56 + 29831.50ns INFO [00029833] Port=0 RD @05 + 29833.50ns INFO [00029835] * RD COMPARE * port=0 adr=05 act=674EF8F2A524CBC5F1 exp=674EF8F2A524CBC5F1 + 29833.50ns INFO [00029835] Port=0 RD @06 + 29834.50ns INFO [00029836] Port=1 RD @04 + 29835.50ns INFO [00029837] * RD COMPARE * port=0 adr=06 act=7089412CAD90D5DFFF exp=7089412CAD90D5DFFF + 29835.50ns INFO [00029837] Port=0 WR @07=428ACBC0BADC5E67B9 + 29835.50ns INFO [00029837] Port=0 RD @01 + 29836.50ns INFO [00029838] * RD COMPARE * port=1 adr=04 act=01AC4AE30069AF61BD exp=01AC4AE30069AF61BD + 29836.50ns INFO [00029838] Port=0 WR @05=D261FB6CC282D3EA67 + 29836.50ns INFO [00029838] Port=1 RD @04 + 29837.50ns INFO [00029839] * RD COMPARE * port=0 adr=01 act=74A67C05AE4ABC9F00 exp=74A67C05AE4ABC9F00 + 29837.50ns INFO [00029839] Port=0 RD @07 + 29838.50ns INFO [00029840] * RD COMPARE * port=1 adr=04 act=01AC4AE30069AF61BD exp=01AC4AE30069AF61BD + 29838.50ns INFO [00029840] Port=0 RD @01 + 29838.50ns INFO [00029840] Port=1 RD @02 + 29839.50ns INFO [00029841] * RD COMPARE * port=0 adr=07 act=428ACBC0BADC5E67B9 exp=428ACBC0BADC5E67B9 + 29839.50ns INFO [00029841] Port=0 WR @03=A949425B4780862C6C + 29839.50ns INFO [00029841] Port=0 RD @02 + 29840.50ns INFO [00029842] * RD COMPARE * port=0 adr=01 act=74A67C05AE4ABC9F00 exp=74A67C05AE4ABC9F00 + 29840.50ns INFO [00029842] * RD COMPARE * port=1 adr=02 act=005974A5DB5507BD51 exp=005974A5DB5507BD51 + 29840.50ns INFO [00029842] Port=0 RD @01 + 29841.50ns INFO [00029843] * RD COMPARE * port=0 adr=02 act=005974A5DB5507BD51 exp=005974A5DB5507BD51 + 29841.50ns INFO [00029843] Port=0 WR @04=730018AEDEB1399890 + 29842.50ns INFO [00029844] * RD COMPARE * port=0 adr=01 act=74A67C05AE4ABC9F00 exp=74A67C05AE4ABC9F00 + 29842.50ns INFO [00029844] Port=0 WR @00=31D666E9FA4A2E62C0 + 29842.50ns INFO [00029844] Port=0 RD @02 + 29842.50ns INFO [00029844] Port=1 RD @03 + 29843.50ns INFO [00029845] Port=0 RD @00 + 29843.50ns INFO [00029845] Port=1 RD @00 + 29844.50ns INFO [00029846] * RD COMPARE * port=0 adr=02 act=005974A5DB5507BD51 exp=005974A5DB5507BD51 + 29844.50ns INFO [00029846] * RD COMPARE * port=1 adr=03 act=A949425B4780862C6C exp=A949425B4780862C6C + 29844.50ns INFO [00029846] Port=0 WR @03=B697C40141106C2C75 + 29844.50ns INFO [00029846] Port=1 RD @04 + 29845.50ns INFO [00029847] * RD COMPARE * port=0 adr=00 act=31D666E9FA4A2E62C0 exp=31D666E9FA4A2E62C0 + 29845.50ns INFO [00029847] * RD COMPARE * port=1 adr=00 act=31D666E9FA4A2E62C0 exp=31D666E9FA4A2E62C0 + 29846.50ns INFO [00029848] * RD COMPARE * port=1 adr=04 act=730018AEDEB1399890 exp=730018AEDEB1399890 + 29846.50ns INFO [00029848] Port=0 WR @04=E84CB44321F59788FF + 29846.50ns INFO [00029848] Port=0 RD @05 + 29847.50ns INFO [00029849] Port=0 WR @04=DF4625BF433B14CAAB + 29847.50ns INFO [00029849] Port=0 RD @03 + 29848.50ns INFO [00029850] * RD COMPARE * port=0 adr=05 act=D261FB6CC282D3EA67 exp=D261FB6CC282D3EA67 + 29848.50ns INFO [00029850] Port=1 RD @04 + 29849.50ns INFO [00029851] * RD COMPARE * port=0 adr=03 act=B697C40141106C2C75 exp=B697C40141106C2C75 + 29849.50ns INFO [00029851] Port=0 WR @01=34F1F81B8A2D35D2DC + 29849.50ns INFO [00029851] Port=0 RD @06 + 29849.50ns INFO [00029851] Port=1 RD @03 + 29850.50ns INFO [00029852] * RD COMPARE * port=1 adr=04 act=DF4625BF433B14CAAB exp=DF4625BF433B14CAAB + 29851.50ns INFO [00029853] * RD COMPARE * port=0 adr=06 act=7089412CAD90D5DFFF exp=7089412CAD90D5DFFF + 29851.50ns INFO [00029853] * RD COMPARE * port=1 adr=03 act=B697C40141106C2C75 exp=B697C40141106C2C75 + 29852.50ns INFO [00029854] Port=0 WR @01=758E25F688CF0F3850 + 29852.50ns INFO [00029854] Port=0 RD @05 + 29853.50ns INFO [00029855] Port=0 WR @05=F51C8DDE9C19F0B465 + 29853.50ns INFO [00029855] Port=0 RD @03 + 29854.50ns INFO [00029856] * RD COMPARE * port=0 adr=05 act=D261FB6CC282D3EA67 exp=D261FB6CC282D3EA67 + 29854.50ns INFO [00029856] Port=0 WR @02=E5CF4824EF49643CE0 + 29855.50ns INFO [00029857] * RD COMPARE * port=0 adr=03 act=B697C40141106C2C75 exp=B697C40141106C2C75 + 29855.50ns INFO [00029857] Port=0 WR @03=C218965431BC6F86D6 + 29856.50ns INFO [00029858] Port=0 WR @00=5A533C96079E2999A7 + 29856.50ns INFO [00029858] Port=0 RD @07 + 29856.50ns INFO [00029858] Port=1 RD @06 + 29857.50ns INFO [00029859] Port=1 RD @02 + 29858.50ns INFO [00029860] * RD COMPARE * port=0 adr=07 act=428ACBC0BADC5E67B9 exp=428ACBC0BADC5E67B9 + 29858.50ns INFO [00029860] * RD COMPARE * port=1 adr=06 act=7089412CAD90D5DFFF exp=7089412CAD90D5DFFF + 29858.50ns INFO [00029860] Port=0 WR @00=FC5DA11083D39419A5 + 29859.50ns INFO [00029861] * RD COMPARE * port=1 adr=02 act=E5CF4824EF49643CE0 exp=E5CF4824EF49643CE0 + 29859.50ns INFO [00029861] Port=0 WR @07=1AACAEB55A3CAA0D32 + 29860.50ns INFO [00029862] Port=0 RD @04 + 29862.50ns INFO [00029864] * RD COMPARE * port=0 adr=04 act=DF4625BF433B14CAAB exp=DF4625BF433B14CAAB + 29862.50ns INFO [00029864] Port=0 WR @00=79AA083B8A60701F82 + 29862.50ns INFO [00029864] Port=0 RD @03 + 29863.50ns INFO [00029865] Port=0 WR @04=A150685AE8A0D7B090 + 29864.50ns INFO [00029866] * RD COMPARE * port=0 adr=03 act=C218965431BC6F86D6 exp=C218965431BC6F86D6 + 29864.50ns INFO [00029866] Port=0 WR @07=6013AA08F35C280704 + 29864.50ns INFO [00029866] Port=1 RD @00 + 29865.50ns INFO [00029867] Port=0 RD @05 + 29866.50ns INFO [00029868] * RD COMPARE * port=1 adr=00 act=79AA083B8A60701F82 exp=79AA083B8A60701F82 + 29866.50ns INFO [00029868] Port=1 RD @03 + 29867.50ns INFO [00029869] * RD COMPARE * port=0 adr=05 act=F51C8DDE9C19F0B465 exp=F51C8DDE9C19F0B465 + 29867.50ns INFO [00029869] Port=1 RD @06 + 29868.50ns INFO [00029870] * RD COMPARE * port=1 adr=03 act=C218965431BC6F86D6 exp=C218965431BC6F86D6 + 29868.50ns INFO [00029870] Port=0 RD @03 + 29869.50ns INFO [00029871] * RD COMPARE * port=1 adr=06 act=7089412CAD90D5DFFF exp=7089412CAD90D5DFFF + 29869.50ns INFO [00029871] Port=0 WR @00=FA9CF55CF904DC5A39 + 29870.50ns INFO [00029872] * RD COMPARE * port=0 adr=03 act=C218965431BC6F86D6 exp=C218965431BC6F86D6 + 29870.50ns INFO [00029872] Port=0 WR @02=75105EDB2B602FD781 + 29871.50ns INFO [00029873] Port=0 WR @02=274994E25E266D5320 + 29871.50ns INFO [00029873] Port=0 RD @05 + 29871.50ns INFO [00029873] Port=1 RD @01 + 29873.50ns INFO [00029875] * RD COMPARE * port=0 adr=05 act=F51C8DDE9C19F0B465 exp=F51C8DDE9C19F0B465 + 29873.50ns INFO [00029875] * RD COMPARE * port=1 adr=01 act=758E25F688CF0F3850 exp=758E25F688CF0F3850 + 29873.50ns INFO [00029875] Port=1 RD @02 + 29874.50ns INFO [00029876] Port=1 RD @06 + 29875.50ns INFO [00029877] * RD COMPARE * port=1 adr=02 act=274994E25E266D5320 exp=274994E25E266D5320 + 29876.50ns INFO [00029878] * RD COMPARE * port=1 adr=06 act=7089412CAD90D5DFFF exp=7089412CAD90D5DFFF + 29876.50ns INFO [00029878] Port=0 WR @04=70BDA3550D6F41BBB6 + 29877.50ns INFO [00029879] Port=0 WR @02=71F18E5D27F118965E + 29881.50ns INFO [00029883] Port=0 WR @05=7252CD6E713E00F768 + 29881.50ns INFO [00029883] Port=1 RD @01 + 29882.50ns INFO [00029884] Port=0 WR @03=205EFBF46D7364A2DB + 29882.50ns INFO [00029884] Port=0 RD @01 + 29882.50ns INFO [00029884] Port=1 RD @01 + 29883.50ns INFO [00029885] * RD COMPARE * port=1 adr=01 act=758E25F688CF0F3850 exp=758E25F688CF0F3850 + 29883.50ns INFO [00029885] Port=0 RD @00 + 29884.50ns INFO [00029886] * RD COMPARE * port=0 adr=01 act=758E25F688CF0F3850 exp=758E25F688CF0F3850 + 29884.50ns INFO [00029886] * RD COMPARE * port=1 adr=01 act=758E25F688CF0F3850 exp=758E25F688CF0F3850 + 29884.50ns INFO [00029886] Port=1 RD @02 + 29885.50ns INFO [00029887] * RD COMPARE * port=0 adr=00 act=FA9CF55CF904DC5A39 exp=FA9CF55CF904DC5A39 + 29886.50ns INFO [00029888] * RD COMPARE * port=1 adr=02 act=71F18E5D27F118965E exp=71F18E5D27F118965E + 29886.50ns INFO [00029888] Port=0 RD @07 + 29887.50ns INFO [00029889] Port=0 WR @03=FAFACEA31F7F89479D + 29887.50ns INFO [00029889] Port=0 RD @06 + 29888.50ns INFO [00029890] * RD COMPARE * port=0 adr=07 act=6013AA08F35C280704 exp=6013AA08F35C280704 + 29888.50ns INFO [00029890] Port=0 WR @00=BEE34FA977C57AAEC6 + 29888.50ns INFO [00029890] Port=0 RD @04 + 29888.50ns INFO [00029890] Port=1 RD @04 + 29889.50ns INFO [00029891] * RD COMPARE * port=0 adr=06 act=7089412CAD90D5DFFF exp=7089412CAD90D5DFFF + 29889.50ns INFO [00029891] Port=0 WR @02=791FB3972750FCEE76 + 29890.50ns INFO [00029892] * RD COMPARE * port=0 adr=04 act=70BDA3550D6F41BBB6 exp=70BDA3550D6F41BBB6 + 29890.50ns INFO [00029892] * RD COMPARE * port=1 adr=04 act=70BDA3550D6F41BBB6 exp=70BDA3550D6F41BBB6 + 29892.50ns INFO [00029894] Port=0 WR @05=4ECD5FB766EF5108FF + 29892.50ns INFO [00029894] Port=0 RD @04 + 29894.50ns INFO [00029896] * RD COMPARE * port=0 adr=04 act=70BDA3550D6F41BBB6 exp=70BDA3550D6F41BBB6 + 29894.50ns INFO [00029896] Port=0 WR @04=C4D9B63D18F5600FC7 + 29896.50ns INFO [00029898] Port=1 RD @03 + 29897.50ns INFO [00029899] Port=0 WR @01=85346BDF7345343F7B + 29897.50ns INFO [00029899] Port=0 RD @07 + 29898.00ns INFO [00029900] [00029900] ...tick... + 29898.50ns INFO [00029900] * RD COMPARE * port=1 adr=03 act=FAFACEA31F7F89479D exp=FAFACEA31F7F89479D + 29898.50ns INFO [00029900] Port=0 WR @06=12B7510B8CD5366DD7 + 29898.50ns INFO [00029900] Port=0 RD @04 + 29898.50ns INFO [00029900] Port=1 RD @01 + 29899.50ns INFO [00029901] * RD COMPARE * port=0 adr=07 act=6013AA08F35C280704 exp=6013AA08F35C280704 + 29899.50ns INFO [00029901] Port=0 RD @00 + 29900.50ns INFO [00029902] * RD COMPARE * port=0 adr=04 act=C4D9B63D18F5600FC7 exp=C4D9B63D18F5600FC7 + 29900.50ns INFO [00029902] * RD COMPARE * port=1 adr=01 act=85346BDF7345343F7B exp=85346BDF7345343F7B + 29900.50ns INFO [00029902] Port=1 RD @00 + 29901.50ns INFO [00029903] * RD COMPARE * port=0 adr=00 act=BEE34FA977C57AAEC6 exp=BEE34FA977C57AAEC6 + 29901.50ns INFO [00029903] Port=0 RD @07 + 29902.50ns INFO [00029904] * RD COMPARE * port=1 adr=00 act=BEE34FA977C57AAEC6 exp=BEE34FA977C57AAEC6 + 29902.50ns INFO [00029904] Port=0 RD @04 + 29902.50ns INFO [00029904] Port=1 RD @04 + 29903.50ns INFO [00029905] * RD COMPARE * port=0 adr=07 act=6013AA08F35C280704 exp=6013AA08F35C280704 + 29903.50ns INFO [00029905] Port=0 WR @00=80F7F4BCE0688C7EF0 + 29903.50ns INFO [00029905] Port=1 RD @03 + 29904.50ns INFO [00029906] * RD COMPARE * port=0 adr=04 act=C4D9B63D18F5600FC7 exp=C4D9B63D18F5600FC7 + 29904.50ns INFO [00029906] * RD COMPARE * port=1 adr=04 act=C4D9B63D18F5600FC7 exp=C4D9B63D18F5600FC7 + 29904.50ns INFO [00029906] Port=1 RD @03 + 29905.50ns INFO [00029907] * RD COMPARE * port=1 adr=03 act=FAFACEA31F7F89479D exp=FAFACEA31F7F89479D + 29905.50ns INFO [00029907] Port=0 WR @02=AFC41BD7C2FB2F0C04 + 29906.50ns INFO [00029908] * RD COMPARE * port=1 adr=03 act=FAFACEA31F7F89479D exp=FAFACEA31F7F89479D + 29906.50ns INFO [00029908] Port=0 RD @06 + 29907.50ns INFO [00029909] Port=1 RD @00 + 29908.50ns INFO [00029910] * RD COMPARE * port=0 adr=06 act=12B7510B8CD5366DD7 exp=12B7510B8CD5366DD7 + 29908.50ns INFO [00029910] Port=0 WR @03=FE64C68CDD04550A92 + 29909.50ns INFO [00029911] * RD COMPARE * port=1 adr=00 act=80F7F4BCE0688C7EF0 exp=80F7F4BCE0688C7EF0 + 29909.50ns INFO [00029911] Port=0 RD @00 + 29910.50ns INFO [00029912] Port=0 WR @03=496B18EC766F0FB5AF + 29910.50ns INFO [00029912] Port=1 RD @02 + 29911.50ns INFO [00029913] * RD COMPARE * port=0 adr=00 act=80F7F4BCE0688C7EF0 exp=80F7F4BCE0688C7EF0 + 29911.50ns INFO [00029913] Port=0 WR @02=2F796A94A5D007809E + 29911.50ns INFO [00029913] Port=0 RD @04 + 29911.50ns INFO [00029913] Port=1 RD @04 + 29912.50ns INFO [00029914] * RD COMPARE * port=1 adr=02 act=AFC41BD7C2FB2F0C04 exp=AFC41BD7C2FB2F0C04 + 29913.50ns INFO [00029915] * RD COMPARE * port=0 adr=04 act=C4D9B63D18F5600FC7 exp=C4D9B63D18F5600FC7 + 29913.50ns INFO [00029915] * RD COMPARE * port=1 adr=04 act=C4D9B63D18F5600FC7 exp=C4D9B63D18F5600FC7 + 29913.50ns INFO [00029915] Port=1 RD @03 + 29914.50ns INFO [00029916] Port=1 RD @06 + 29915.50ns INFO [00029917] * RD COMPARE * port=1 adr=03 act=496B18EC766F0FB5AF exp=496B18EC766F0FB5AF + 29915.50ns INFO [00029917] Port=0 WR @07=F2A45D6CC9A8476044 + 29915.50ns INFO [00029917] Port=0 RD @06 + 29915.50ns INFO [00029917] Port=1 RD @01 + 29916.50ns INFO [00029918] * RD COMPARE * port=1 adr=06 act=12B7510B8CD5366DD7 exp=12B7510B8CD5366DD7 + 29916.50ns INFO [00029918] Port=0 RD @03 + 29917.50ns INFO [00029919] * RD COMPARE * port=0 adr=06 act=12B7510B8CD5366DD7 exp=12B7510B8CD5366DD7 + 29917.50ns INFO [00029919] * RD COMPARE * port=1 adr=01 act=85346BDF7345343F7B exp=85346BDF7345343F7B + 29917.50ns INFO [00029919] Port=1 RD @01 + 29918.50ns INFO [00029920] * RD COMPARE * port=0 adr=03 act=496B18EC766F0FB5AF exp=496B18EC766F0FB5AF + 29918.50ns INFO [00029920] Port=0 WR @00=D7C466B90ED7BF3AB4 + 29918.50ns INFO [00029920] Port=0 RD @06 + 29919.50ns INFO [00029921] * RD COMPARE * port=1 adr=01 act=85346BDF7345343F7B exp=85346BDF7345343F7B + 29919.50ns INFO [00029921] Port=0 WR @04=E254FC86E638F80F53 + 29920.50ns INFO [00029922] * RD COMPARE * port=0 adr=06 act=12B7510B8CD5366DD7 exp=12B7510B8CD5366DD7 + 29920.50ns INFO [00029922] Port=0 RD @06 + 29921.50ns INFO [00029923] Port=0 WR @05=69085972FFD1CA6A32 + 29921.50ns INFO [00029923] Port=1 RD @00 + 29922.50ns INFO [00029924] * RD COMPARE * port=0 adr=06 act=12B7510B8CD5366DD7 exp=12B7510B8CD5366DD7 + 29922.50ns INFO [00029924] Port=0 RD @05 + 29922.50ns INFO [00029924] Port=1 RD @03 + 29923.50ns INFO [00029925] * RD COMPARE * port=1 adr=00 act=D7C466B90ED7BF3AB4 exp=D7C466B90ED7BF3AB4 + 29923.50ns INFO [00029925] Port=0 RD @03 + 29924.50ns INFO [00029926] * RD COMPARE * port=0 adr=05 act=69085972FFD1CA6A32 exp=69085972FFD1CA6A32 + 29924.50ns INFO [00029926] * RD COMPARE * port=1 adr=03 act=496B18EC766F0FB5AF exp=496B18EC766F0FB5AF + 29924.50ns INFO [00029926] Port=0 WR @04=99665712CD12759FB7 + 29925.50ns INFO [00029927] * RD COMPARE * port=0 adr=03 act=496B18EC766F0FB5AF exp=496B18EC766F0FB5AF + 29925.50ns INFO [00029927] Port=0 WR @03=321ADDFE59A4A0AA0B + 29926.50ns INFO [00029928] Port=0 RD @00 + 29926.50ns INFO [00029928] Port=1 RD @05 + 29927.50ns INFO [00029929] Port=1 RD @05 + 29928.50ns INFO [00029930] * RD COMPARE * port=0 adr=00 act=D7C466B90ED7BF3AB4 exp=D7C466B90ED7BF3AB4 + 29928.50ns INFO [00029930] * RD COMPARE * port=1 adr=05 act=69085972FFD1CA6A32 exp=69085972FFD1CA6A32 + 29928.50ns INFO [00029930] Port=1 RD @03 + 29929.50ns INFO [00029931] * RD COMPARE * port=1 adr=05 act=69085972FFD1CA6A32 exp=69085972FFD1CA6A32 + 29929.50ns INFO [00029931] Port=0 WR @03=154AE181907C00DC6D + 29929.50ns INFO [00029931] Port=1 RD @06 + 29930.50ns INFO [00029932] * RD COMPARE * port=1 adr=03 act=321ADDFE59A4A0AA0B exp=321ADDFE59A4A0AA0B + 29930.50ns INFO [00029932] Port=0 WR @00=D99EFC12652BC42560 + 29930.50ns INFO [00029932] Port=1 RD @05 + 29931.50ns INFO [00029933] * RD COMPARE * port=1 adr=06 act=12B7510B8CD5366DD7 exp=12B7510B8CD5366DD7 + 29932.50ns INFO [00029934] * RD COMPARE * port=1 adr=05 act=69085972FFD1CA6A32 exp=69085972FFD1CA6A32 + 29933.50ns INFO [00029935] Port=1 RD @05 + 29935.50ns INFO [00029937] * RD COMPARE * port=1 adr=05 act=69085972FFD1CA6A32 exp=69085972FFD1CA6A32 + 29935.50ns INFO [00029937] Port=0 WR @00=3522FE9D5AC20CED20 + 29935.50ns INFO [00029937] Port=1 RD @03 + 29936.50ns INFO [00029938] Port=0 RD @02 + 29937.50ns INFO [00029939] * RD COMPARE * port=1 adr=03 act=154AE181907C00DC6D exp=154AE181907C00DC6D + 29937.50ns INFO [00029939] Port=0 WR @02=52E6ABA8B037B21A07 + 29937.50ns INFO [00029939] Port=0 RD @01 + 29938.50ns INFO [00029940] * RD COMPARE * port=0 adr=02 act=2F796A94A5D007809E exp=2F796A94A5D007809E + 29938.50ns INFO [00029940] Port=0 RD @03 + 29939.50ns INFO [00029941] * RD COMPARE * port=0 adr=01 act=85346BDF7345343F7B exp=85346BDF7345343F7B + 29940.50ns INFO [00029942] * RD COMPARE * port=0 adr=03 act=154AE181907C00DC6D exp=154AE181907C00DC6D + 29940.50ns INFO [00029942] Port=1 RD @06 + 29941.50ns INFO [00029943] Port=0 WR @01=33C948796E262116F2 + 29941.50ns INFO [00029943] Port=1 RD @06 + 29942.50ns INFO [00029944] * RD COMPARE * port=1 adr=06 act=12B7510B8CD5366DD7 exp=12B7510B8CD5366DD7 + 29942.50ns INFO [00029944] Port=0 WR @00=4C86682C5B633ECF6B + 29943.50ns INFO [00029945] * RD COMPARE * port=1 adr=06 act=12B7510B8CD5366DD7 exp=12B7510B8CD5366DD7 + 29943.50ns INFO [00029945] Port=0 RD @06 + 29943.50ns INFO [00029945] Port=1 RD @06 + 29944.50ns INFO [00029946] Port=0 WR @06=BEE92F5EB658CD7EF9 + 29944.50ns INFO [00029946] Port=1 RD @04 + 29945.50ns INFO [00029947] * RD COMPARE * port=0 adr=06 act=12B7510B8CD5366DD7 exp=12B7510B8CD5366DD7 + 29945.50ns INFO [00029947] * RD COMPARE * port=1 adr=06 act=12B7510B8CD5366DD7 exp=12B7510B8CD5366DD7 + 29946.50ns INFO [00029948] * RD COMPARE * port=1 adr=04 act=99665712CD12759FB7 exp=99665712CD12759FB7 + 29946.50ns INFO [00029948] Port=0 WR @03=9604AEAD03952369E6 + 29946.50ns INFO [00029948] Port=0 RD @00 + 29946.50ns INFO [00029948] Port=1 RD @07 + 29947.50ns INFO [00029949] Port=0 WR @06=A7F34DDA8DDDEB7BBF + 29948.50ns INFO [00029950] * RD COMPARE * port=0 adr=00 act=4C86682C5B633ECF6B exp=4C86682C5B633ECF6B + 29948.50ns INFO [00029950] * RD COMPARE * port=1 adr=07 act=F2A45D6CC9A8476044 exp=F2A45D6CC9A8476044 + 29949.50ns INFO [00029951] Port=0 WR @02=B7201C4CECB74BC698 + 29949.50ns INFO [00029951] Port=0 RD @06 + 29950.50ns INFO [00029952] Port=0 RD @05 + 29950.50ns INFO [00029952] Port=1 RD @07 + 29951.50ns INFO [00029953] * RD COMPARE * port=0 adr=06 act=A7F34DDA8DDDEB7BBF exp=A7F34DDA8DDDEB7BBF + 29951.50ns INFO [00029953] Port=0 RD @03 + 29952.50ns INFO [00029954] * RD COMPARE * port=0 adr=05 act=69085972FFD1CA6A32 exp=69085972FFD1CA6A32 + 29952.50ns INFO [00029954] * RD COMPARE * port=1 adr=07 act=F2A45D6CC9A8476044 exp=F2A45D6CC9A8476044 + 29952.50ns INFO [00029954] Port=0 WR @05=1E27A26DD659B9C3E7 + 29952.50ns INFO [00029954] Port=1 RD @04 + 29953.50ns INFO [00029955] * RD COMPARE * port=0 adr=03 act=9604AEAD03952369E6 exp=9604AEAD03952369E6 + 29954.50ns INFO [00029956] * RD COMPARE * port=1 adr=04 act=99665712CD12759FB7 exp=99665712CD12759FB7 + 29954.50ns INFO [00029956] Port=0 WR @00=9BD66EB7D066F20CDA + 29956.50ns INFO [00029958] Port=0 RD @04 + 29956.50ns INFO [00029958] Port=1 RD @04 + 29958.50ns INFO [00029960] * RD COMPARE * port=0 adr=04 act=99665712CD12759FB7 exp=99665712CD12759FB7 + 29958.50ns INFO [00029960] * RD COMPARE * port=1 adr=04 act=99665712CD12759FB7 exp=99665712CD12759FB7 + 29958.50ns INFO [00029960] Port=1 RD @01 + 29959.50ns INFO [00029961] Port=0 RD @04 + 29960.50ns INFO [00029962] * RD COMPARE * port=1 adr=01 act=33C948796E262116F2 exp=33C948796E262116F2 + 29960.50ns INFO [00029962] Port=0 WR @04=14388D4CDD2364063F + 29960.50ns INFO [00029962] Port=0 RD @07 + 29961.50ns INFO [00029963] * RD COMPARE * port=0 adr=04 act=99665712CD12759FB7 exp=99665712CD12759FB7 + 29961.50ns INFO [00029963] Port=0 WR @02=C7C9964FBE17977DC9 + 29961.50ns INFO [00029963] Port=1 RD @00 + 29962.50ns INFO [00029964] * RD COMPARE * port=0 adr=07 act=F2A45D6CC9A8476044 exp=F2A45D6CC9A8476044 + 29962.50ns INFO [00029964] Port=0 WR @02=1246842CD114200382 + 29963.50ns INFO [00029965] * RD COMPARE * port=1 adr=00 act=9BD66EB7D066F20CDA exp=9BD66EB7D066F20CDA + 29963.50ns INFO [00029965] Port=0 WR @02=5C2B9C9E969B68E658 + 29963.50ns INFO [00029965] Port=1 RD @07 + 29964.50ns INFO [00029966] Port=0 RD @05 + 29964.50ns INFO [00029966] Port=1 RD @05 + 29965.50ns INFO [00029967] * RD COMPARE * port=1 adr=07 act=F2A45D6CC9A8476044 exp=F2A45D6CC9A8476044 + 29965.50ns INFO [00029967] Port=0 WR @07=029D2B13943E4047DF + 29966.50ns INFO [00029968] * RD COMPARE * port=0 adr=05 act=1E27A26DD659B9C3E7 exp=1E27A26DD659B9C3E7 + 29966.50ns INFO [00029968] * RD COMPARE * port=1 adr=05 act=1E27A26DD659B9C3E7 exp=1E27A26DD659B9C3E7 + 29966.50ns INFO [00029968] Port=0 RD @06 + 29967.50ns INFO [00029969] Port=1 RD @07 + 29968.50ns INFO [00029970] * RD COMPARE * port=0 adr=06 act=A7F34DDA8DDDEB7BBF exp=A7F34DDA8DDDEB7BBF + 29969.50ns INFO [00029971] * RD COMPARE * port=1 adr=07 act=029D2B13943E4047DF exp=029D2B13943E4047DF + 29970.50ns INFO [00029972] Port=1 RD @01 + 29972.50ns INFO [00029974] * RD COMPARE * port=1 adr=01 act=33C948796E262116F2 exp=33C948796E262116F2 + 29973.50ns INFO [00029975] Port=0 WR @01=42204164A099A6A73E + 29973.50ns INFO [00029975] Port=0 RD @07 + 29973.50ns INFO [00029975] Port=1 RD @04 + 29974.50ns INFO [00029976] Port=0 RD @01 + 29975.50ns INFO [00029977] * RD COMPARE * port=0 adr=07 act=029D2B13943E4047DF exp=029D2B13943E4047DF + 29975.50ns INFO [00029977] * RD COMPARE * port=1 adr=04 act=14388D4CDD2364063F exp=14388D4CDD2364063F + 29975.50ns INFO [00029977] Port=0 WR @05=8A601937BC7C296F1A + 29976.50ns INFO [00029978] * RD COMPARE * port=0 adr=01 act=42204164A099A6A73E exp=42204164A099A6A73E + 29978.50ns INFO [00029980] Port=0 WR @04=B7C0D21F325FE9E165 + 29978.50ns INFO [00029980] Port=1 RD @06 + 29979.50ns INFO [00029981] Port=1 RD @05 + 29980.50ns INFO [00029982] * RD COMPARE * port=1 adr=06 act=A7F34DDA8DDDEB7BBF exp=A7F34DDA8DDDEB7BBF + 29980.50ns INFO [00029982] Port=0 RD @05 + 29981.50ns INFO [00029983] * RD COMPARE * port=1 adr=05 act=8A601937BC7C296F1A exp=8A601937BC7C296F1A + 29981.50ns INFO [00029983] Port=0 WR @03=0BA4F2E58293007E7F + 29981.50ns INFO [00029983] Port=0 RD @00 + 29981.50ns INFO [00029983] Port=1 RD @07 + 29982.50ns INFO [00029984] * RD COMPARE * port=0 adr=05 act=8A601937BC7C296F1A exp=8A601937BC7C296F1A + 29983.50ns INFO [00029985] * RD COMPARE * port=0 adr=00 act=9BD66EB7D066F20CDA exp=9BD66EB7D066F20CDA + 29983.50ns INFO [00029985] * RD COMPARE * port=1 adr=07 act=029D2B13943E4047DF exp=029D2B13943E4047DF + 29983.50ns INFO [00029985] Port=1 RD @06 + 29984.50ns INFO [00029986] Port=0 WR @05=393BB56A98C8876F70 + 29985.50ns INFO [00029987] * RD COMPARE * port=1 adr=06 act=A7F34DDA8DDDEB7BBF exp=A7F34DDA8DDDEB7BBF + 29985.50ns INFO [00029987] Port=0 WR @01=7093DF4434D4A2CA7F + 29985.50ns INFO [00029987] Port=0 RD @06 + 29986.50ns INFO [00029988] Port=0 RD @07 + 29987.50ns INFO [00029989] * RD COMPARE * port=0 adr=06 act=A7F34DDA8DDDEB7BBF exp=A7F34DDA8DDDEB7BBF + 29987.50ns INFO [00029989] Port=0 RD @00 + 29988.50ns INFO [00029990] * RD COMPARE * port=0 adr=07 act=029D2B13943E4047DF exp=029D2B13943E4047DF + 29988.50ns INFO [00029990] Port=0 RD @03 + 29989.50ns INFO [00029991] * RD COMPARE * port=0 adr=00 act=9BD66EB7D066F20CDA exp=9BD66EB7D066F20CDA + 29989.50ns INFO [00029991] Port=0 WR @00=D39D844C862947F06D + 29990.50ns INFO [00029992] * RD COMPARE * port=0 adr=03 act=0BA4F2E58293007E7F exp=0BA4F2E58293007E7F + 29990.50ns INFO [00029992] Port=0 WR @06=545D58EE5794CF40D3 + 29991.50ns INFO [00029993] Port=0 WR @03=DA1911BD7565CFF5BE + 29991.50ns INFO [00029993] Port=0 RD @00 + 29991.50ns INFO [00029993] Port=1 RD @00 + 29993.50ns INFO [00029995] * RD COMPARE * port=0 adr=00 act=D39D844C862947F06D exp=D39D844C862947F06D + 29993.50ns INFO [00029995] * RD COMPARE * port=1 adr=00 act=D39D844C862947F06D exp=D39D844C862947F06D + 29993.50ns INFO [00029995] Port=0 WR @07=9339144B4F0C064899 + 29994.50ns INFO [00029996] Port=0 WR @04=6434C2F4B233374183 + 29994.50ns INFO [00029996] Port=1 RD @02 + 29995.50ns INFO [00029997] Port=0 RD @02 + 29996.50ns INFO [00029998] * RD COMPARE * port=1 adr=02 act=5C2B9C9E969B68E658 exp=5C2B9C9E969B68E658 + 29996.50ns INFO [00029998] Port=1 RD @05 + 29997.50ns INFO [00029999] * RD COMPARE * port=0 adr=02 act=5C2B9C9E969B68E658 exp=5C2B9C9E969B68E658 + 29997.50ns INFO [00029999] Port=0 WR @03=1317F518EC96A71D51 + 29997.50ns INFO [00029999] Port=1 RD @06 + 29998.00ns INFO [00030000] [00030000] ...tick... + 29998.50ns INFO [00030000] * RD COMPARE * port=1 adr=05 act=393BB56A98C8876F70 exp=393BB56A98C8876F70 + 29998.50ns INFO [00030000] Port=0 WR @03=B434CF8AC6A81F9258 + 29998.50ns INFO [00030000] Port=0 RD @00 + 29999.50ns INFO [00030001] * RD COMPARE * port=1 adr=06 act=545D58EE5794CF40D3 exp=545D58EE5794CF40D3 + 29999.50ns INFO [00030001] Port=0 RD @01 + 30000.50ns INFO [00030002] * RD COMPARE * port=0 adr=00 act=D39D844C862947F06D exp=D39D844C862947F06D + 30000.50ns INFO [00030002] Port=1 RD @05 + 30001.50ns INFO [00030003] * RD COMPARE * port=0 adr=01 act=7093DF4434D4A2CA7F exp=7093DF4434D4A2CA7F + 30001.50ns INFO [00030003] Port=0 RD @03 + 30001.50ns INFO [00030003] Port=1 RD @02 + 30002.50ns INFO [00030004] * RD COMPARE * port=1 adr=05 act=393BB56A98C8876F70 exp=393BB56A98C8876F70 + 30002.50ns INFO [00030004] Port=1 RD @00 + 30003.50ns INFO [00030005] * RD COMPARE * port=0 adr=03 act=B434CF8AC6A81F9258 exp=B434CF8AC6A81F9258 + 30003.50ns INFO [00030005] * RD COMPARE * port=1 adr=02 act=5C2B9C9E969B68E658 exp=5C2B9C9E969B68E658 + 30003.50ns INFO [00030005] Port=1 RD @01 + 30004.50ns INFO [00030006] * RD COMPARE * port=1 adr=00 act=D39D844C862947F06D exp=D39D844C862947F06D + 30004.50ns INFO [00030006] Port=0 RD @03 + 30005.50ns INFO [00030007] * RD COMPARE * port=1 adr=01 act=7093DF4434D4A2CA7F exp=7093DF4434D4A2CA7F + 30006.50ns INFO [00030008] * RD COMPARE * port=0 adr=03 act=B434CF8AC6A81F9258 exp=B434CF8AC6A81F9258 + 30007.50ns INFO [00030009] Port=1 RD @04 + 30009.50ns INFO [00030011] * RD COMPARE * port=1 adr=04 act=6434C2F4B233374183 exp=6434C2F4B233374183 + 30009.50ns INFO [00030011] Port=1 RD @07 + 30010.50ns INFO [00030012] Port=1 RD @07 + 30011.50ns INFO [00030013] * RD COMPARE * port=1 adr=07 act=9339144B4F0C064899 exp=9339144B4F0C064899 + 30011.50ns INFO [00030013] Port=0 RD @02 + 30012.50ns INFO [00030014] * RD COMPARE * port=1 adr=07 act=9339144B4F0C064899 exp=9339144B4F0C064899 + 30012.50ns INFO [00030014] Port=0 WR @02=A3BDA634C5CBE111EE + 30013.50ns INFO [00030015] * RD COMPARE * port=0 adr=02 act=5C2B9C9E969B68E658 exp=5C2B9C9E969B68E658 + 30013.50ns INFO [00030015] Port=0 WR @02=FB6EC0E9FF01C44195 + 30013.50ns INFO [00030015] Port=1 RD @04 + 30014.50ns INFO [00030016] Port=1 RD @07 + 30015.50ns INFO [00030017] * RD COMPARE * port=1 adr=04 act=6434C2F4B233374183 exp=6434C2F4B233374183 + 30015.50ns INFO [00030017] Port=0 WR @00=A219CAD456DF4C4AB5 + 30015.50ns INFO [00030017] Port=1 RD @05 + 30016.50ns INFO [00030018] * RD COMPARE * port=1 adr=07 act=9339144B4F0C064899 exp=9339144B4F0C064899 + 30016.50ns INFO [00030018] Port=0 WR @04=8BB56736BF0769B9AE + 30016.50ns INFO [00030018] Port=1 RD @02 + 30017.50ns INFO [00030019] * RD COMPARE * port=1 adr=05 act=393BB56A98C8876F70 exp=393BB56A98C8876F70 + 30017.50ns INFO [00030019] Port=0 WR @05=1ECE9428ADAD29F122 + 30017.50ns INFO [00030019] Port=1 RD @00 + 30018.50ns INFO [00030020] * RD COMPARE * port=1 adr=02 act=FB6EC0E9FF01C44195 exp=FB6EC0E9FF01C44195 + 30018.50ns INFO [00030020] Port=0 WR @01=2D5447E8BF373F8C6F + 30018.50ns INFO [00030020] Port=1 RD @00 + 30019.50ns INFO [00030021] * RD COMPARE * port=1 adr=00 act=A219CAD456DF4C4AB5 exp=A219CAD456DF4C4AB5 + 30019.50ns INFO [00030021] Port=0 RD @05 + 30020.50ns INFO [00030022] * RD COMPARE * port=1 adr=00 act=A219CAD456DF4C4AB5 exp=A219CAD456DF4C4AB5 + 30020.50ns INFO [00030022] Port=0 WR @05=9F0493C5EB84D9F3BF + 30020.50ns INFO [00030022] Port=1 RD @07 + 30021.50ns INFO [00030023] * RD COMPARE * port=0 adr=05 act=1ECE9428ADAD29F122 exp=1ECE9428ADAD29F122 + 30021.50ns INFO [00030023] Port=0 RD @07 + 30022.50ns INFO [00030024] * RD COMPARE * port=1 adr=07 act=9339144B4F0C064899 exp=9339144B4F0C064899 + 30022.50ns INFO [00030024] Port=0 WR @03=C1B86ED5B137FE71A5 + 30023.50ns INFO [00030025] * RD COMPARE * port=0 adr=07 act=9339144B4F0C064899 exp=9339144B4F0C064899 + 30023.50ns INFO [00030025] Port=0 RD @00 + 30025.50ns INFO [00030027] * RD COMPARE * port=0 adr=00 act=A219CAD456DF4C4AB5 exp=A219CAD456DF4C4AB5 + 30025.50ns INFO [00030027] Port=1 RD @05 + 30026.50ns INFO [00030028] Port=0 WR @06=DBCF6F2155CDAD5BA6 + 30026.50ns INFO [00030028] Port=0 RD @00 + 30027.50ns INFO [00030029] * RD COMPARE * port=1 adr=05 act=9F0493C5EB84D9F3BF exp=9F0493C5EB84D9F3BF + 30028.50ns INFO [00030030] * RD COMPARE * port=0 adr=00 act=A219CAD456DF4C4AB5 exp=A219CAD456DF4C4AB5 + 30028.50ns INFO [00030030] Port=0 WR @03=4BDB56C82E83FB20AA + 30029.50ns INFO [00030031] Port=0 WR @07=44C428DEAF15D261FB + 30030.50ns INFO [00030032] Port=0 WR @07=02FB392E8A755D73DC + 30030.50ns INFO [00030032] Port=0 RD @06 + 30032.50ns INFO [00030034] * RD COMPARE * port=0 adr=06 act=DBCF6F2155CDAD5BA6 exp=DBCF6F2155CDAD5BA6 + 30033.50ns INFO [00030035] Port=0 RD @06 + 30034.50ns INFO [00030036] Port=1 RD @03 + 30035.50ns INFO [00030037] * RD COMPARE * port=0 adr=06 act=DBCF6F2155CDAD5BA6 exp=DBCF6F2155CDAD5BA6 + 30035.50ns INFO [00030037] Port=1 RD @03 + 30036.50ns INFO [00030038] * RD COMPARE * port=1 adr=03 act=4BDB56C82E83FB20AA exp=4BDB56C82E83FB20AA + 30037.50ns INFO [00030039] * RD COMPARE * port=1 adr=03 act=4BDB56C82E83FB20AA exp=4BDB56C82E83FB20AA + 30037.50ns INFO [00030039] Port=0 WR @00=54B84B92A139C9C943 + 30037.50ns INFO [00030039] Port=0 RD @03 + 30037.50ns INFO [00030039] Port=1 RD @01 + 30038.50ns INFO [00030040] Port=1 RD @00 + 30039.50ns INFO [00030041] * RD COMPARE * port=0 adr=03 act=4BDB56C82E83FB20AA exp=4BDB56C82E83FB20AA + 30039.50ns INFO [00030041] * RD COMPARE * port=1 adr=01 act=2D5447E8BF373F8C6F exp=2D5447E8BF373F8C6F + 30039.50ns INFO [00030041] Port=0 WR @01=21B2B2F9F407E434A3 + 30039.50ns INFO [00030041] Port=0 RD @05 + 30039.50ns INFO [00030041] Port=1 RD @04 + 30040.50ns INFO [00030042] * RD COMPARE * port=1 adr=00 act=54B84B92A139C9C943 exp=54B84B92A139C9C943 + 30040.50ns INFO [00030042] Port=0 WR @00=C467DC328A489C20C7 + 30041.50ns INFO [00030043] * RD COMPARE * port=0 adr=05 act=9F0493C5EB84D9F3BF exp=9F0493C5EB84D9F3BF + 30041.50ns INFO [00030043] * RD COMPARE * port=1 adr=04 act=8BB56736BF0769B9AE exp=8BB56736BF0769B9AE + 30041.50ns INFO [00030043] Port=0 RD @00 + 30042.50ns INFO [00030044] Port=0 WR @03=D3EA934816FB79D5BC + 30042.50ns INFO [00030044] Port=0 RD @07 + 30042.50ns INFO [00030044] Port=1 RD @05 + 30043.50ns INFO [00030045] * RD COMPARE * port=0 adr=00 act=C467DC328A489C20C7 exp=C467DC328A489C20C7 + 30044.50ns INFO [00030046] * RD COMPARE * port=0 adr=07 act=02FB392E8A755D73DC exp=02FB392E8A755D73DC + 30044.50ns INFO [00030046] * RD COMPARE * port=1 adr=05 act=9F0493C5EB84D9F3BF exp=9F0493C5EB84D9F3BF + 30046.50ns INFO [00030048] Port=0 WR @03=1FA5E8718111BC8294 + 30046.50ns INFO [00030048] Port=0 RD @04 + 30046.50ns INFO [00030048] Port=1 RD @02 + 30048.50ns INFO [00030050] * RD COMPARE * port=0 adr=04 act=8BB56736BF0769B9AE exp=8BB56736BF0769B9AE + 30048.50ns INFO [00030050] * RD COMPARE * port=1 adr=02 act=FB6EC0E9FF01C44195 exp=FB6EC0E9FF01C44195 + 30048.50ns INFO [00030050] Port=0 WR @03=C72796EB352D5D4D21 + 30048.50ns INFO [00030050] Port=0 RD @02 + 30049.50ns INFO [00030051] Port=0 WR @04=044DB18C2430575A27 + 30050.50ns INFO [00030052] * RD COMPARE * port=0 adr=02 act=FB6EC0E9FF01C44195 exp=FB6EC0E9FF01C44195 + 30050.50ns INFO [00030052] Port=0 RD @02 + 30052.50ns INFO [00030054] * RD COMPARE * port=0 adr=02 act=FB6EC0E9FF01C44195 exp=FB6EC0E9FF01C44195 + 30052.50ns INFO [00030054] Port=0 RD @05 + 30053.50ns INFO [00030055] Port=0 WR @00=262BC95C553B714A50 + 30054.50ns INFO [00030056] * RD COMPARE * port=0 adr=05 act=9F0493C5EB84D9F3BF exp=9F0493C5EB84D9F3BF + 30054.50ns INFO [00030056] Port=0 WR @02=640F63495C65BBA8A0 + 30054.50ns INFO [00030056] Port=0 RD @03 + 30055.50ns INFO [00030057] Port=0 RD @04 + 30056.50ns INFO [00030058] * RD COMPARE * port=0 adr=03 act=C72796EB352D5D4D21 exp=C72796EB352D5D4D21 + 30056.50ns INFO [00030058] Port=0 WR @06=5777B8324E3FD94038 + 30057.50ns INFO [00030059] * RD COMPARE * port=0 adr=04 act=044DB18C2430575A27 exp=044DB18C2430575A27 + 30059.50ns INFO [00030061] Port=0 WR @05=EDB0B6D90EE15E8302 + 30059.50ns INFO [00030061] Port=0 RD @03 + 30061.50ns INFO [00030063] * RD COMPARE * port=0 adr=03 act=C72796EB352D5D4D21 exp=C72796EB352D5D4D21 + 30061.50ns INFO [00030063] Port=0 WR @05=7969A9329F8B0BEC83 + 30061.50ns INFO [00030063] Port=1 RD @02 + 30062.50ns INFO [00030064] Port=0 RD @06 + 30062.50ns INFO [00030064] Port=1 RD @06 + 30063.50ns INFO [00030065] * RD COMPARE * port=1 adr=02 act=640F63495C65BBA8A0 exp=640F63495C65BBA8A0 + 30063.50ns INFO [00030065] Port=1 RD @07 + 30064.50ns INFO [00030066] * RD COMPARE * port=0 adr=06 act=5777B8324E3FD94038 exp=5777B8324E3FD94038 + 30064.50ns INFO [00030066] * RD COMPARE * port=1 adr=06 act=5777B8324E3FD94038 exp=5777B8324E3FD94038 + 30064.50ns INFO [00030066] Port=0 RD @06 + 30065.50ns INFO [00030067] * RD COMPARE * port=1 adr=07 act=02FB392E8A755D73DC exp=02FB392E8A755D73DC + 30066.50ns INFO [00030068] * RD COMPARE * port=0 adr=06 act=5777B8324E3FD94038 exp=5777B8324E3FD94038 + 30066.50ns INFO [00030068] Port=1 RD @06 + 30067.50ns INFO [00030069] Port=0 WR @01=7AA20053F76783D08D + 30068.50ns INFO [00030070] * RD COMPARE * port=1 adr=06 act=5777B8324E3FD94038 exp=5777B8324E3FD94038 + 30068.50ns INFO [00030070] Port=0 RD @07 + 30069.50ns INFO [00030071] Port=0 RD @02 + 30070.50ns INFO [00030072] * RD COMPARE * port=0 adr=07 act=02FB392E8A755D73DC exp=02FB392E8A755D73DC + 30070.50ns INFO [00030072] Port=0 WR @03=DB9359F3BB1DC8B6EF + 30070.50ns INFO [00030072] Port=1 RD @01 + 30071.50ns INFO [00030073] * RD COMPARE * port=0 adr=02 act=640F63495C65BBA8A0 exp=640F63495C65BBA8A0 + 30071.50ns INFO [00030073] Port=0 RD @05 + 30072.50ns INFO [00030074] * RD COMPARE * port=1 adr=01 act=7AA20053F76783D08D exp=7AA20053F76783D08D + 30072.50ns INFO [00030074] Port=0 RD @05 + 30072.50ns INFO [00030074] Port=1 RD @06 + 30073.50ns INFO [00030075] * RD COMPARE * port=0 adr=05 act=7969A9329F8B0BEC83 exp=7969A9329F8B0BEC83 + 30073.50ns INFO [00030075] Port=0 WR @02=CA5F7272176090C939 + 30073.50ns INFO [00030075] Port=1 RD @03 + 30074.50ns INFO [00030076] * RD COMPARE * port=0 adr=05 act=7969A9329F8B0BEC83 exp=7969A9329F8B0BEC83 + 30074.50ns INFO [00030076] * RD COMPARE * port=1 adr=06 act=5777B8324E3FD94038 exp=5777B8324E3FD94038 + 30075.50ns INFO [00030077] * RD COMPARE * port=1 adr=03 act=DB9359F3BB1DC8B6EF exp=DB9359F3BB1DC8B6EF + 30075.50ns INFO [00030077] Port=0 WR @00=D7E5A35D0CFB100784 + 30075.50ns INFO [00030077] Port=0 RD @05 + 30077.50ns INFO [00030079] * RD COMPARE * port=0 adr=05 act=7969A9329F8B0BEC83 exp=7969A9329F8B0BEC83 + 30077.50ns INFO [00030079] Port=0 WR @04=4054E52B77B1695CAB + 30078.50ns INFO [00030080] Port=0 RD @07 + 30079.50ns INFO [00030081] Port=0 WR @01=A746451000F0F55BA2 + 30079.50ns INFO [00030081] Port=1 RD @05 + 30080.50ns INFO [00030082] * RD COMPARE * port=0 adr=07 act=02FB392E8A755D73DC exp=02FB392E8A755D73DC + 30080.50ns INFO [00030082] Port=0 RD @00 + 30081.50ns INFO [00030083] * RD COMPARE * port=1 adr=05 act=7969A9329F8B0BEC83 exp=7969A9329F8B0BEC83 + 30081.50ns INFO [00030083] Port=0 WR @04=79AFE05817F1B15FCB + 30082.50ns INFO [00030084] * RD COMPARE * port=0 adr=00 act=D7E5A35D0CFB100784 exp=D7E5A35D0CFB100784 + 30082.50ns INFO [00030084] Port=0 RD @02 + 30083.50ns INFO [00030085] Port=0 WR @06=D2553F3B2CBBC3A76F + 30083.50ns INFO [00030085] Port=0 RD @03 + 30083.50ns INFO [00030085] Port=1 RD @07 + 30084.50ns INFO [00030086] * RD COMPARE * port=0 adr=02 act=CA5F7272176090C939 exp=CA5F7272176090C939 + 30084.50ns INFO [00030086] Port=1 RD @02 + 30085.50ns INFO [00030087] * RD COMPARE * port=0 adr=03 act=DB9359F3BB1DC8B6EF exp=DB9359F3BB1DC8B6EF + 30085.50ns INFO [00030087] * RD COMPARE * port=1 adr=07 act=02FB392E8A755D73DC exp=02FB392E8A755D73DC + 30086.50ns INFO [00030088] * RD COMPARE * port=1 adr=02 act=CA5F7272176090C939 exp=CA5F7272176090C939 + 30086.50ns INFO [00030088] Port=0 WR @03=F21F857AA51DD7435A + 30087.50ns INFO [00030089] Port=0 WR @07=EFE125AE465E0F835D + 30087.50ns INFO [00030089] Port=0 RD @04 + 30087.50ns INFO [00030089] Port=1 RD @04 + 30088.50ns INFO [00030090] Port=0 RD @03 + 30089.50ns INFO [00030091] * RD COMPARE * port=0 adr=04 act=79AFE05817F1B15FCB exp=79AFE05817F1B15FCB + 30089.50ns INFO [00030091] * RD COMPARE * port=1 adr=04 act=79AFE05817F1B15FCB exp=79AFE05817F1B15FCB + 30090.50ns INFO [00030092] * RD COMPARE * port=0 adr=03 act=F21F857AA51DD7435A exp=F21F857AA51DD7435A + 30090.50ns INFO [00030092] Port=1 RD @04 + 30091.50ns INFO [00030093] Port=0 RD @02 + 30091.50ns INFO [00030093] Port=1 RD @04 + 30092.50ns INFO [00030094] * RD COMPARE * port=1 adr=04 act=79AFE05817F1B15FCB exp=79AFE05817F1B15FCB + 30092.50ns INFO [00030094] Port=0 WR @07=E41385C2494DC91B1F + 30092.50ns INFO [00030094] Port=1 RD @00 + 30093.50ns INFO [00030095] * RD COMPARE * port=0 adr=02 act=CA5F7272176090C939 exp=CA5F7272176090C939 + 30093.50ns INFO [00030095] * RD COMPARE * port=1 adr=04 act=79AFE05817F1B15FCB exp=79AFE05817F1B15FCB + 30093.50ns INFO [00030095] Port=1 RD @07 + 30094.50ns INFO [00030096] * RD COMPARE * port=1 adr=00 act=D7E5A35D0CFB100784 exp=D7E5A35D0CFB100784 + 30094.50ns INFO [00030096] Port=0 WR @06=7B379FCEA9725A6896 + 30095.50ns INFO [00030097] * RD COMPARE * port=1 adr=07 act=E41385C2494DC91B1F exp=E41385C2494DC91B1F + 30095.50ns INFO [00030097] Port=0 WR @05=840CB06E31117DF650 + 30095.50ns INFO [00030097] Port=0 RD @02 + 30096.50ns INFO [00030098] Port=0 WR @04=50D56D09B8E9FBF766 + 30096.50ns INFO [00030098] Port=0 RD @00 + 30096.50ns INFO [00030098] Port=1 RD @03 + 30097.50ns INFO [00030099] * RD COMPARE * port=0 adr=02 act=CA5F7272176090C939 exp=CA5F7272176090C939 + 30097.50ns INFO [00030099] Port=0 RD @01 + 30097.50ns INFO [00030099] Port=1 RD @06 + 30098.00ns INFO [00030100] [00030100] ...tick... + 30098.50ns INFO [00030100] * RD COMPARE * port=0 adr=00 act=D7E5A35D0CFB100784 exp=D7E5A35D0CFB100784 + 30098.50ns INFO [00030100] * RD COMPARE * port=1 adr=03 act=F21F857AA51DD7435A exp=F21F857AA51DD7435A + 30098.50ns INFO [00030100] Port=0 WR @05=9D4D68E353FEAA4BE4 + 30098.50ns INFO [00030100] Port=0 RD @07 + 30099.50ns INFO [00030101] * RD COMPARE * port=0 adr=01 act=A746451000F0F55BA2 exp=A746451000F0F55BA2 + 30099.50ns INFO [00030101] * RD COMPARE * port=1 adr=06 act=7B379FCEA9725A6896 exp=7B379FCEA9725A6896 + 30100.50ns INFO [00030102] * RD COMPARE * port=0 adr=07 act=E41385C2494DC91B1F exp=E41385C2494DC91B1F + 30100.50ns INFO [00030102] Port=1 RD @05 + 30102.50ns INFO [00030104] * RD COMPARE * port=1 adr=05 act=9D4D68E353FEAA4BE4 exp=9D4D68E353FEAA4BE4 + 30103.50ns INFO [00030105] Port=1 RD @05 + 30105.50ns INFO [00030107] * RD COMPARE * port=1 adr=05 act=9D4D68E353FEAA4BE4 exp=9D4D68E353FEAA4BE4 + 30105.50ns INFO [00030107] Port=0 RD @07 + 30107.50ns INFO [00030109] * RD COMPARE * port=0 adr=07 act=E41385C2494DC91B1F exp=E41385C2494DC91B1F + 30108.50ns INFO [00030110] Port=0 RD @06 + 30110.50ns INFO [00030112] * RD COMPARE * port=0 adr=06 act=7B379FCEA9725A6896 exp=7B379FCEA9725A6896 + 30110.50ns INFO [00030112] Port=1 RD @01 + 30111.50ns INFO [00030113] Port=0 RD @05 + 30112.50ns INFO [00030114] * RD COMPARE * port=1 adr=01 act=A746451000F0F55BA2 exp=A746451000F0F55BA2 + 30112.50ns INFO [00030114] Port=0 WR @03=B9A170499338D463DA + 30112.50ns INFO [00030114] Port=1 RD @04 + 30113.50ns INFO [00030115] * RD COMPARE * port=0 adr=05 act=9D4D68E353FEAA4BE4 exp=9D4D68E353FEAA4BE4 + 30113.50ns INFO [00030115] Port=0 WR @00=55A6CFC7B319535945 + 30114.50ns INFO [00030116] * RD COMPARE * port=1 adr=04 act=50D56D09B8E9FBF766 exp=50D56D09B8E9FBF766 + 30114.50ns INFO [00030116] Port=0 RD @06 + 30115.50ns INFO [00030117] Port=0 WR @06=38F4469A625574EAB5 + 30115.50ns INFO [00030117] Port=1 RD @03 + 30116.50ns INFO [00030118] * RD COMPARE * port=0 adr=06 act=7B379FCEA9725A6896 exp=7B379FCEA9725A6896 + 30116.50ns INFO [00030118] Port=0 RD @04 + 30117.50ns INFO [00030119] * RD COMPARE * port=1 adr=03 act=B9A170499338D463DA exp=B9A170499338D463DA + 30117.50ns INFO [00030119] Port=0 RD @01 + 30117.50ns INFO [00030119] Port=1 RD @01 + 30118.50ns INFO [00030120] * RD COMPARE * port=0 adr=04 act=50D56D09B8E9FBF766 exp=50D56D09B8E9FBF766 + 30118.50ns INFO [00030120] Port=0 RD @03 + 30119.50ns INFO [00030121] * RD COMPARE * port=0 adr=01 act=A746451000F0F55BA2 exp=A746451000F0F55BA2 + 30119.50ns INFO [00030121] * RD COMPARE * port=1 adr=01 act=A746451000F0F55BA2 exp=A746451000F0F55BA2 + 30120.50ns INFO [00030122] * RD COMPARE * port=0 adr=03 act=B9A170499338D463DA exp=B9A170499338D463DA + 30120.50ns INFO [00030122] Port=0 RD @03 + 30120.50ns INFO [00030122] Port=1 RD @07 + 30121.50ns INFO [00030123] Port=0 WR @05=AD0E96D02504A08B1E + 30121.50ns INFO [00030123] Port=0 RD @07 + 30121.50ns INFO [00030123] Port=1 RD @07 + 30122.50ns INFO [00030124] * RD COMPARE * port=0 adr=03 act=B9A170499338D463DA exp=B9A170499338D463DA + 30122.50ns INFO [00030124] * RD COMPARE * port=1 adr=07 act=E41385C2494DC91B1F exp=E41385C2494DC91B1F + 30122.50ns INFO [00030124] Port=0 WR @02=5496FBF1CB16B5CB5E + 30122.50ns INFO [00030124] Port=0 RD @06 + 30123.50ns INFO [00030125] * RD COMPARE * port=0 adr=07 act=E41385C2494DC91B1F exp=E41385C2494DC91B1F + 30123.50ns INFO [00030125] * RD COMPARE * port=1 adr=07 act=E41385C2494DC91B1F exp=E41385C2494DC91B1F + 30123.50ns INFO [00030125] Port=0 WR @05=AFB1EA7337DB3BC69F + 30123.50ns INFO [00030125] Port=0 RD @01 + 30124.50ns INFO [00030126] * RD COMPARE * port=0 adr=06 act=38F4469A625574EAB5 exp=38F4469A625574EAB5 + 30124.50ns INFO [00030126] Port=0 RD @00 + 30125.50ns INFO [00030127] * RD COMPARE * port=0 adr=01 act=A746451000F0F55BA2 exp=A746451000F0F55BA2 + 30126.50ns INFO [00030128] * RD COMPARE * port=0 adr=00 act=55A6CFC7B319535945 exp=55A6CFC7B319535945 + 30127.50ns INFO [00030129] Port=0 WR @07=C78FD7E28E553D96B5 + 30127.50ns INFO [00030129] Port=0 RD @02 + 30129.50ns INFO [00030131] * RD COMPARE * port=0 adr=02 act=5496FBF1CB16B5CB5E exp=5496FBF1CB16B5CB5E + 30129.50ns INFO [00030131] Port=0 WR @05=ACEBCA31D2455C9DD2 + 30129.50ns INFO [00030131] Port=0 RD @00 + 30130.50ns INFO [00030132] Port=0 WR @05=B9699987FBD4E33119 + 30130.50ns INFO [00030132] Port=1 RD @03 + 30131.50ns INFO [00030133] * RD COMPARE * port=0 adr=00 act=55A6CFC7B319535945 exp=55A6CFC7B319535945 + 30131.50ns INFO [00030133] Port=0 WR @07=474E1BC4F1AF0FD0DF + 30132.50ns INFO [00030134] * RD COMPARE * port=1 adr=03 act=B9A170499338D463DA exp=B9A170499338D463DA + 30132.50ns INFO [00030134] Port=0 WR @04=1B4361CA2DEAC6A394 + 30132.50ns INFO [00030134] Port=1 RD @01 + 30133.50ns INFO [00030135] Port=0 RD @03 + 30133.50ns INFO [00030135] Port=1 RD @07 + 30134.50ns INFO [00030136] * RD COMPARE * port=1 adr=01 act=A746451000F0F55BA2 exp=A746451000F0F55BA2 + 30135.50ns INFO [00030137] * RD COMPARE * port=0 adr=03 act=B9A170499338D463DA exp=B9A170499338D463DA + 30135.50ns INFO [00030137] * RD COMPARE * port=1 adr=07 act=474E1BC4F1AF0FD0DF exp=474E1BC4F1AF0FD0DF + 30135.50ns INFO [00030137] Port=0 WR @00=53A56A9A8846F17A1F + 30136.50ns INFO [00030138] Port=0 RD @00 + 30136.50ns INFO [00030138] Port=1 RD @05 + 30137.50ns INFO [00030139] Port=0 WR @05=548CD14D5B9B245D81 + 30137.50ns INFO [00030139] Port=0 RD @07 + 30137.50ns INFO [00030139] Port=1 RD @00 + 30138.50ns INFO [00030140] * RD COMPARE * port=0 adr=00 act=53A56A9A8846F17A1F exp=53A56A9A8846F17A1F + 30138.50ns INFO [00030140] * RD COMPARE * port=1 adr=05 act=B9699987FBD4E33119 exp=B9699987FBD4E33119 + 30138.50ns INFO [00030140] Port=0 WR @01=4C209B0C930DEB644B + 30138.50ns INFO [00030140] Port=0 RD @05 + 30139.50ns INFO [00030141] * RD COMPARE * port=0 adr=07 act=474E1BC4F1AF0FD0DF exp=474E1BC4F1AF0FD0DF + 30139.50ns INFO [00030141] * RD COMPARE * port=1 adr=00 act=53A56A9A8846F17A1F exp=53A56A9A8846F17A1F + 30140.50ns INFO [00030142] * RD COMPARE * port=0 adr=05 act=548CD14D5B9B245D81 exp=548CD14D5B9B245D81 + 30140.50ns INFO [00030142] Port=0 WR @03=C8C41746F6710C937A + 30141.50ns INFO [00030143] Port=1 RD @04 + 30143.50ns INFO [00030145] * RD COMPARE * port=1 adr=04 act=1B4361CA2DEAC6A394 exp=1B4361CA2DEAC6A394 + 30144.50ns INFO [00030146] Port=0 WR @02=49C6F5A40E3686DE30 + 30144.50ns INFO [00030146] Port=0 RD @07 + 30145.50ns INFO [00030147] Port=0 WR @06=3365F53F202553B909 + 30145.50ns INFO [00030147] Port=1 RD @04 + 30146.50ns INFO [00030148] * RD COMPARE * port=0 adr=07 act=474E1BC4F1AF0FD0DF exp=474E1BC4F1AF0FD0DF + 30146.50ns INFO [00030148] Port=0 WR @02=C455A20DF6A336EE3F + 30147.50ns INFO [00030149] * RD COMPARE * port=1 adr=04 act=1B4361CA2DEAC6A394 exp=1B4361CA2DEAC6A394 + 30147.50ns INFO [00030149] Port=0 WR @00=04662F14CAB8AF2DFB + 30148.50ns INFO [00030150] Port=0 WR @06=294CC5D86B28E1F49C + 30151.50ns INFO [00030153] Port=0 WR @03=38AACDE1FC52B2CCF2 + 30152.50ns INFO [00030154] Port=0 WR @01=459483AB6D38D4C676 + 30152.50ns INFO [00030154] Port=0 RD @07 + 30153.50ns INFO [00030155] Port=1 RD @04 + 30154.50ns INFO [00030156] * RD COMPARE * port=0 adr=07 act=474E1BC4F1AF0FD0DF exp=474E1BC4F1AF0FD0DF + 30154.50ns INFO [00030156] Port=0 WR @01=EE1D5F0BD07C69D251 + 30155.50ns INFO [00030157] * RD COMPARE * port=1 adr=04 act=1B4361CA2DEAC6A394 exp=1B4361CA2DEAC6A394 + 30155.50ns INFO [00030157] Port=0 RD @06 + 30157.50ns INFO [00030159] * RD COMPARE * port=0 adr=06 act=294CC5D86B28E1F49C exp=294CC5D86B28E1F49C + 30157.50ns INFO [00030159] Port=0 WR @04=04F55B0212CA11113E + 30158.50ns INFO [00030160] Port=1 RD @05 + 30160.50ns INFO [00030162] * RD COMPARE * port=1 adr=05 act=548CD14D5B9B245D81 exp=548CD14D5B9B245D81 + 30160.50ns INFO [00030162] Port=0 WR @01=B4201CFD5EB97E39EC + 30160.50ns INFO [00030162] Port=0 RD @05 + 30162.50ns INFO [00030164] * RD COMPARE * port=0 adr=05 act=548CD14D5B9B245D81 exp=548CD14D5B9B245D81 + 30164.50ns INFO [00030166] Port=0 WR @01=E9742A205B6EC261A6 + 30164.50ns INFO [00030166] Port=0 RD @00 + 30164.50ns INFO [00030166] Port=1 RD @02 + 30165.50ns INFO [00030167] Port=0 WR @01=3ABE42B2B781E85681 + 30165.50ns INFO [00030167] Port=0 RD @06 + 30165.50ns INFO [00030167] Port=1 RD @06 + 30166.50ns INFO [00030168] * RD COMPARE * port=0 adr=00 act=04662F14CAB8AF2DFB exp=04662F14CAB8AF2DFB + 30166.50ns INFO [00030168] * RD COMPARE * port=1 adr=02 act=C455A20DF6A336EE3F exp=C455A20DF6A336EE3F + 30166.50ns INFO [00030168] Port=0 RD @03 + 30167.50ns INFO [00030169] * RD COMPARE * port=0 adr=06 act=294CC5D86B28E1F49C exp=294CC5D86B28E1F49C + 30167.50ns INFO [00030169] * RD COMPARE * port=1 adr=06 act=294CC5D86B28E1F49C exp=294CC5D86B28E1F49C + 30167.50ns INFO [00030169] Port=0 WR @04=23D043FD4D299A3727 + 30168.50ns INFO [00030170] * RD COMPARE * port=0 adr=03 act=38AACDE1FC52B2CCF2 exp=38AACDE1FC52B2CCF2 + 30168.50ns INFO [00030170] Port=0 WR @07=E6798A7752AED5B7FE + 30168.50ns INFO [00030170] Port=0 RD @05 + 30168.50ns INFO [00030170] Port=1 RD @03 + 30169.50ns INFO [00030171] Port=1 RD @00 + 30170.50ns INFO [00030172] * RD COMPARE * port=0 adr=05 act=548CD14D5B9B245D81 exp=548CD14D5B9B245D81 + 30170.50ns INFO [00030172] * RD COMPARE * port=1 adr=03 act=38AACDE1FC52B2CCF2 exp=38AACDE1FC52B2CCF2 + 30170.50ns INFO [00030172] Port=0 WR @06=605B2A892A165174F3 + 30170.50ns INFO [00030172] Port=0 RD @05 + 30171.50ns INFO [00030173] * RD COMPARE * port=1 adr=00 act=04662F14CAB8AF2DFB exp=04662F14CAB8AF2DFB + 30172.50ns INFO [00030174] * RD COMPARE * port=0 adr=05 act=548CD14D5B9B245D81 exp=548CD14D5B9B245D81 + 30172.50ns INFO [00030174] Port=0 RD @00 + 30172.50ns INFO [00030174] Port=1 RD @00 + 30173.50ns INFO [00030175] Port=0 WR @01=B9C847B1CDAF4191C6 + 30173.50ns INFO [00030175] Port=0 RD @04 + 30173.50ns INFO [00030175] Port=1 RD @02 + 30174.50ns INFO [00030176] * RD COMPARE * port=0 adr=00 act=04662F14CAB8AF2DFB exp=04662F14CAB8AF2DFB + 30174.50ns INFO [00030176] * RD COMPARE * port=1 adr=00 act=04662F14CAB8AF2DFB exp=04662F14CAB8AF2DFB + 30174.50ns INFO [00030176] Port=0 WR @05=110B4D11A6F4A597DB + 30174.50ns INFO [00030176] Port=0 RD @00 + 30175.50ns INFO [00030177] * RD COMPARE * port=0 adr=04 act=23D043FD4D299A3727 exp=23D043FD4D299A3727 + 30175.50ns INFO [00030177] * RD COMPARE * port=1 adr=02 act=C455A20DF6A336EE3F exp=C455A20DF6A336EE3F + 30175.50ns INFO [00030177] Port=0 WR @07=55BC5A31CEBC371E72 + 30175.50ns INFO [00030177] Port=0 RD @02 + 30175.50ns INFO [00030177] Port=1 RD @00 + 30176.50ns INFO [00030178] * RD COMPARE * port=0 adr=00 act=04662F14CAB8AF2DFB exp=04662F14CAB8AF2DFB + 30176.50ns INFO [00030178] Port=0 RD @00 + 30177.50ns INFO [00030179] * RD COMPARE * port=0 adr=02 act=C455A20DF6A336EE3F exp=C455A20DF6A336EE3F + 30177.50ns INFO [00030179] * RD COMPARE * port=1 adr=00 act=04662F14CAB8AF2DFB exp=04662F14CAB8AF2DFB + 30178.50ns INFO [00030180] * RD COMPARE * port=0 adr=00 act=04662F14CAB8AF2DFB exp=04662F14CAB8AF2DFB + 30178.50ns INFO [00030180] Port=0 WR @06=7C4F2153182F56AFA3 + 30178.50ns INFO [00030180] Port=0 RD @03 + 30178.50ns INFO [00030180] Port=1 RD @04 + 30179.50ns INFO [00030181] Port=0 WR @01=9B01E474F3A6206A39 + 30179.50ns INFO [00030181] Port=0 RD @04 + 30179.50ns INFO [00030181] Port=1 RD @07 + 30180.50ns INFO [00030182] * RD COMPARE * port=0 adr=03 act=38AACDE1FC52B2CCF2 exp=38AACDE1FC52B2CCF2 + 30180.50ns INFO [00030182] * RD COMPARE * port=1 adr=04 act=23D043FD4D299A3727 exp=23D043FD4D299A3727 + 30180.50ns INFO [00030182] Port=0 RD @06 + 30180.50ns INFO [00030182] Port=1 RD @01 + 30181.50ns INFO [00030183] * RD COMPARE * port=0 adr=04 act=23D043FD4D299A3727 exp=23D043FD4D299A3727 + 30181.50ns INFO [00030183] * RD COMPARE * port=1 adr=07 act=55BC5A31CEBC371E72 exp=55BC5A31CEBC371E72 + 30181.50ns INFO [00030183] Port=0 WR @02=185B1C760628F499DF + 30182.50ns INFO [00030184] * RD COMPARE * port=0 adr=06 act=7C4F2153182F56AFA3 exp=7C4F2153182F56AFA3 + 30182.50ns INFO [00030184] * RD COMPARE * port=1 adr=01 act=9B01E474F3A6206A39 exp=9B01E474F3A6206A39 + 30182.50ns INFO [00030184] Port=0 WR @00=FE3FAACFFEBF7EC229 + 30182.50ns INFO [00030184] Port=0 RD @03 + 30182.50ns INFO [00030184] Port=1 RD @03 + 30183.50ns INFO [00030185] Port=0 WR @00=DE7F0D5D28866B27D3 + 30183.50ns INFO [00030185] Port=1 RD @01 + 30184.50ns INFO [00030186] * RD COMPARE * port=0 adr=03 act=38AACDE1FC52B2CCF2 exp=38AACDE1FC52B2CCF2 + 30184.50ns INFO [00030186] * RD COMPARE * port=1 adr=03 act=38AACDE1FC52B2CCF2 exp=38AACDE1FC52B2CCF2 + 30184.50ns INFO [00030186] Port=0 WR @04=CCC0D1181974B0A472 + 30185.50ns INFO [00030187] * RD COMPARE * port=1 adr=01 act=9B01E474F3A6206A39 exp=9B01E474F3A6206A39 + 30185.50ns INFO [00030187] Port=0 WR @07=E0B46FB6CCAFBC255C + 30185.50ns INFO [00030187] Port=0 RD @05 + 30187.50ns INFO [00030189] * RD COMPARE * port=0 adr=05 act=110B4D11A6F4A597DB exp=110B4D11A6F4A597DB + 30187.50ns INFO [00030189] Port=0 RD @02 + 30189.50ns INFO [00030191] * RD COMPARE * port=0 adr=02 act=185B1C760628F499DF exp=185B1C760628F499DF + 30189.50ns INFO [00030191] Port=0 WR @04=2BD8BF24E7E7928129 + 30190.50ns INFO [00030192] Port=0 RD @05 + 30191.50ns INFO [00030193] Port=0 RD @02 + 30192.50ns INFO [00030194] * RD COMPARE * port=0 adr=05 act=110B4D11A6F4A597DB exp=110B4D11A6F4A597DB + 30192.50ns INFO [00030194] Port=0 WR @02=C8661F765A844E211F + 30192.50ns INFO [00030194] Port=0 RD @06 + 30193.50ns INFO [00030195] * RD COMPARE * port=0 adr=02 act=185B1C760628F499DF exp=185B1C760628F499DF + 30193.50ns INFO [00030195] Port=0 WR @06=8E94F344569E4A327C + 30194.50ns INFO [00030196] * RD COMPARE * port=0 adr=06 act=7C4F2153182F56AFA3 exp=7C4F2153182F56AFA3 + 30194.50ns INFO [00030196] Port=0 WR @07=E9A681712ABBEDACF8 + 30194.50ns INFO [00030196] Port=1 RD @01 + 30195.50ns INFO [00030197] Port=0 WR @06=03B7990843FDC5AE3B + 30196.50ns INFO [00030198] * RD COMPARE * port=1 adr=01 act=9B01E474F3A6206A39 exp=9B01E474F3A6206A39 + 30196.50ns INFO [00030198] Port=0 WR @07=3BB7F7DF6D96A5235D + 30196.50ns INFO [00030198] Port=0 RD @00 + 30197.50ns INFO [00030199] Port=0 RD @04 + 30198.00ns INFO [00030200] [00030200] ...tick... + 30198.50ns INFO [00030200] * RD COMPARE * port=0 adr=00 act=DE7F0D5D28866B27D3 exp=DE7F0D5D28866B27D3 + 30199.50ns INFO [00030201] * RD COMPARE * port=0 adr=04 act=2BD8BF24E7E7928129 exp=2BD8BF24E7E7928129 + 30199.50ns INFO [00030201] Port=0 WR @05=A12443C3E315F95132 + 30199.50ns INFO [00030201] Port=0 RD @07 + 30201.50ns INFO [00030203] * RD COMPARE * port=0 adr=07 act=3BB7F7DF6D96A5235D exp=3BB7F7DF6D96A5235D + 30203.50ns INFO [00030205] Port=0 WR @06=90FFE22B1A6AD2EA3A + 30203.50ns INFO [00030205] Port=1 RD @02 + 30204.50ns INFO [00030206] Port=0 WR @05=DDEB35B2BB943F2352 + 30204.50ns INFO [00030206] Port=0 RD @06 + 30204.50ns INFO [00030206] Port=1 RD @07 + 30205.50ns INFO [00030207] * RD COMPARE * port=1 adr=02 act=C8661F765A844E211F exp=C8661F765A844E211F + 30205.50ns INFO [00030207] Port=0 RD @04 + 30205.50ns INFO [00030207] Port=1 RD @07 + 30206.50ns INFO [00030208] * RD COMPARE * port=0 adr=06 act=90FFE22B1A6AD2EA3A exp=90FFE22B1A6AD2EA3A + 30206.50ns INFO [00030208] * RD COMPARE * port=1 adr=07 act=3BB7F7DF6D96A5235D exp=3BB7F7DF6D96A5235D + 30206.50ns INFO [00030208] Port=0 RD @02 + 30206.50ns INFO [00030208] Port=1 RD @04 + 30207.50ns INFO [00030209] * RD COMPARE * port=0 adr=04 act=2BD8BF24E7E7928129 exp=2BD8BF24E7E7928129 + 30207.50ns INFO [00030209] * RD COMPARE * port=1 adr=07 act=3BB7F7DF6D96A5235D exp=3BB7F7DF6D96A5235D + 30207.50ns INFO [00030209] Port=0 WR @03=14B877FEB2924A626B + 30207.50ns INFO [00030209] Port=1 RD @04 + 30208.50ns INFO [00030210] * RD COMPARE * port=0 adr=02 act=C8661F765A844E211F exp=C8661F765A844E211F + 30208.50ns INFO [00030210] * RD COMPARE * port=1 adr=04 act=2BD8BF24E7E7928129 exp=2BD8BF24E7E7928129 + 30209.50ns INFO [00030211] * RD COMPARE * port=1 adr=04 act=2BD8BF24E7E7928129 exp=2BD8BF24E7E7928129 + 30209.50ns INFO [00030211] Port=0 WR @01=7ECB620B63DC0CB70C + 30209.50ns INFO [00030211] Port=1 RD @00 + 30211.50ns INFO [00030213] * RD COMPARE * port=1 adr=00 act=DE7F0D5D28866B27D3 exp=DE7F0D5D28866B27D3 + 30211.50ns INFO [00030213] Port=0 WR @06=0591A9D9CCBA6FAB58 + 30211.50ns INFO [00030213] Port=1 RD @00 + 30212.50ns INFO [00030214] Port=0 RD @06 + 30212.50ns INFO [00030214] Port=1 RD @05 + 30213.50ns INFO [00030215] * RD COMPARE * port=1 adr=00 act=DE7F0D5D28866B27D3 exp=DE7F0D5D28866B27D3 + 30213.50ns INFO [00030215] Port=1 RD @04 + 30214.50ns INFO [00030216] * RD COMPARE * port=0 adr=06 act=0591A9D9CCBA6FAB58 exp=0591A9D9CCBA6FAB58 + 30214.50ns INFO [00030216] * RD COMPARE * port=1 adr=05 act=DDEB35B2BB943F2352 exp=DDEB35B2BB943F2352 + 30215.50ns INFO [00030217] * RD COMPARE * port=1 adr=04 act=2BD8BF24E7E7928129 exp=2BD8BF24E7E7928129 + 30215.50ns INFO [00030217] Port=1 RD @00 + 30216.50ns INFO [00030218] Port=0 RD @01 + 30217.50ns INFO [00030219] * RD COMPARE * port=1 adr=00 act=DE7F0D5D28866B27D3 exp=DE7F0D5D28866B27D3 + 30217.50ns INFO [00030219] Port=0 WR @06=6C00FA3C421D25A4C8 + 30218.50ns INFO [00030220] * RD COMPARE * port=0 adr=01 act=7ECB620B63DC0CB70C exp=7ECB620B63DC0CB70C + 30218.50ns INFO [00030220] Port=0 WR @01=E0A24646E5658BDCF3 + 30218.50ns INFO [00030220] Port=0 RD @05 + 30218.50ns INFO [00030220] Port=1 RD @03 + 30219.50ns INFO [00030221] Port=0 WR @02=C0E0FBC75C4290A338 + 30220.50ns INFO [00030222] * RD COMPARE * port=0 adr=05 act=DDEB35B2BB943F2352 exp=DDEB35B2BB943F2352 + 30220.50ns INFO [00030222] * RD COMPARE * port=1 adr=03 act=14B877FEB2924A626B exp=14B877FEB2924A626B + 30220.50ns INFO [00030222] Port=0 WR @03=6961A9D4FC0C353175 + 30221.50ns INFO [00030223] Port=1 RD @03 + 30222.50ns INFO [00030224] Port=0 WR @05=67FAA1A243A6269734 + 30222.50ns INFO [00030224] Port=0 RD @07 + 30223.50ns INFO [00030225] * RD COMPARE * port=1 adr=03 act=6961A9D4FC0C353175 exp=6961A9D4FC0C353175 + 30223.50ns INFO [00030225] Port=0 RD @01 + 30224.50ns INFO [00030226] * RD COMPARE * port=0 adr=07 act=3BB7F7DF6D96A5235D exp=3BB7F7DF6D96A5235D + 30224.50ns INFO [00030226] Port=0 WR @07=7013B16CE96CE979E8 + 30224.50ns INFO [00030226] Port=1 RD @00 + 30225.50ns INFO [00030227] * RD COMPARE * port=0 adr=01 act=E0A24646E5658BDCF3 exp=E0A24646E5658BDCF3 + 30225.50ns INFO [00030227] Port=0 WR @07=20F0CADAB62E729381 + 30225.50ns INFO [00030227] Port=0 RD @06 + 30226.50ns INFO [00030228] * RD COMPARE * port=1 adr=00 act=DE7F0D5D28866B27D3 exp=DE7F0D5D28866B27D3 + 30227.50ns INFO [00030229] * RD COMPARE * port=0 adr=06 act=6C00FA3C421D25A4C8 exp=6C00FA3C421D25A4C8 + 30231.50ns INFO [00030233] Port=0 RD @02 + 30231.50ns INFO [00030233] Port=1 RD @04 + 30232.50ns INFO [00030234] Port=0 WR @06=DD5E2E600026BC2AD2 + 30233.50ns INFO [00030235] * RD COMPARE * port=0 adr=02 act=C0E0FBC75C4290A338 exp=C0E0FBC75C4290A338 + 30233.50ns INFO [00030235] * RD COMPARE * port=1 adr=04 act=2BD8BF24E7E7928129 exp=2BD8BF24E7E7928129 + 30233.50ns INFO [00030235] Port=1 RD @02 + 30234.50ns INFO [00030236] Port=0 WR @00=F3237284B72A686214 + 30235.50ns INFO [00030237] * RD COMPARE * port=1 adr=02 act=C0E0FBC75C4290A338 exp=C0E0FBC75C4290A338 + 30235.50ns INFO [00030237] Port=0 WR @07=9A8C42D698B6D29631 + 30235.50ns INFO [00030237] Port=0 RD @06 + 30235.50ns INFO [00030237] Port=1 RD @00 + 30237.50ns INFO [00030239] * RD COMPARE * port=0 adr=06 act=DD5E2E600026BC2AD2 exp=DD5E2E600026BC2AD2 + 30237.50ns INFO [00030239] * RD COMPARE * port=1 adr=00 act=F3237284B72A686214 exp=F3237284B72A686214 + 30239.50ns INFO [00030241] Port=0 WR @07=DACDF8FEEB15A60A71 + 30239.50ns INFO [00030241] Port=1 RD @05 + 30240.50ns INFO [00030242] Port=0 RD @01 + 30240.50ns INFO [00030242] Port=1 RD @05 + 30241.50ns INFO [00030243] * RD COMPARE * port=1 adr=05 act=67FAA1A243A6269734 exp=67FAA1A243A6269734 + 30242.50ns INFO [00030244] * RD COMPARE * port=0 adr=01 act=E0A24646E5658BDCF3 exp=E0A24646E5658BDCF3 + 30242.50ns INFO [00030244] * RD COMPARE * port=1 adr=05 act=67FAA1A243A6269734 exp=67FAA1A243A6269734 + 30242.50ns INFO [00030244] Port=0 WR @07=C1DD29973CB9C84898 + 30242.50ns INFO [00030244] Port=0 RD @05 + 30242.50ns INFO [00030244] Port=1 RD @02 + 30243.50ns INFO [00030245] Port=0 RD @02 + 30243.50ns INFO [00030245] Port=1 RD @04 + 30244.50ns INFO [00030246] * RD COMPARE * port=0 adr=05 act=67FAA1A243A6269734 exp=67FAA1A243A6269734 + 30244.50ns INFO [00030246] * RD COMPARE * port=1 adr=02 act=C0E0FBC75C4290A338 exp=C0E0FBC75C4290A338 + 30244.50ns INFO [00030246] Port=0 WR @02=7D54E8CEB7EBACF802 + 30244.50ns INFO [00030246] Port=1 RD @04 + 30245.50ns INFO [00030247] * RD COMPARE * port=0 adr=02 act=C0E0FBC75C4290A338 exp=C0E0FBC75C4290A338 + 30245.50ns INFO [00030247] * RD COMPARE * port=1 adr=04 act=2BD8BF24E7E7928129 exp=2BD8BF24E7E7928129 + 30245.50ns INFO [00030247] Port=0 WR @06=71DBE14B112EC87AC9 + 30245.50ns INFO [00030247] Port=1 RD @05 + 30246.50ns INFO [00030248] * RD COMPARE * port=1 adr=04 act=2BD8BF24E7E7928129 exp=2BD8BF24E7E7928129 + 30246.50ns INFO [00030248] Port=0 RD @06 + 30247.50ns INFO [00030249] * RD COMPARE * port=1 adr=05 act=67FAA1A243A6269734 exp=67FAA1A243A6269734 + 30247.50ns INFO [00030249] Port=0 WR @00=CD9914EBD9A9234944 + 30248.50ns INFO [00030250] * RD COMPARE * port=0 adr=06 act=71DBE14B112EC87AC9 exp=71DBE14B112EC87AC9 + 30248.50ns INFO [00030250] Port=0 WR @03=20C91F0285AAE448F6 + 30248.50ns INFO [00030250] Port=0 RD @07 + 30249.50ns INFO [00030251] Port=0 WR @03=4BA30D5AA49468E212 + 30250.50ns INFO [00030252] * RD COMPARE * port=0 adr=07 act=C1DD29973CB9C84898 exp=C1DD29973CB9C84898 + 30250.50ns INFO [00030252] Port=0 WR @05=22B0DA0F4902EA0955 + 30250.50ns INFO [00030252] Port=0 RD @07 + 30251.50ns INFO [00030253] Port=0 WR @00=794CBB730C043585C4 + 30252.50ns INFO [00030254] * RD COMPARE * port=0 adr=07 act=C1DD29973CB9C84898 exp=C1DD29973CB9C84898 + 30252.50ns INFO [00030254] Port=0 WR @01=D14C1DFCE5E2F13BEF + 30252.50ns INFO [00030254] Port=1 RD @07 + 30254.50ns INFO [00030256] * RD COMPARE * port=1 adr=07 act=C1DD29973CB9C84898 exp=C1DD29973CB9C84898 + 30254.50ns INFO [00030256] Port=0 WR @01=A75532927B84E269FC + 30254.50ns INFO [00030256] Port=0 RD @04 + 30255.50ns INFO [00030257] Port=0 WR @04=82A7EC53D1C038F074 + 30256.50ns INFO [00030258] * RD COMPARE * port=0 adr=04 act=2BD8BF24E7E7928129 exp=2BD8BF24E7E7928129 + 30256.50ns INFO [00030258] Port=1 RD @01 + 30257.50ns INFO [00030259] Port=1 RD @02 + 30258.50ns INFO [00030260] * RD COMPARE * port=1 adr=01 act=A75532927B84E269FC exp=A75532927B84E269FC + 30258.50ns INFO [00030260] Port=0 WR @05=89FAC30D621283F465 + 30258.50ns INFO [00030260] Port=0 RD @07 + 30258.50ns INFO [00030260] Port=1 RD @02 + 30259.50ns INFO [00030261] * RD COMPARE * port=1 adr=02 act=7D54E8CEB7EBACF802 exp=7D54E8CEB7EBACF802 + 30259.50ns INFO [00030261] Port=0 RD @01 + 30260.50ns INFO [00030262] * RD COMPARE * port=0 adr=07 act=C1DD29973CB9C84898 exp=C1DD29973CB9C84898 + 30260.50ns INFO [00030262] * RD COMPARE * port=1 adr=02 act=7D54E8CEB7EBACF802 exp=7D54E8CEB7EBACF802 + 30260.50ns INFO [00030262] Port=0 RD @00 + 30260.50ns INFO [00030262] Port=1 RD @03 + 30261.50ns INFO [00030263] * RD COMPARE * port=0 adr=01 act=A75532927B84E269FC exp=A75532927B84E269FC + 30262.50ns INFO [00030264] * RD COMPARE * port=0 adr=00 act=794CBB730C043585C4 exp=794CBB730C043585C4 + 30262.50ns INFO [00030264] * RD COMPARE * port=1 adr=03 act=4BA30D5AA49468E212 exp=4BA30D5AA49468E212 + 30263.50ns INFO [00030265] Port=0 WR @06=BDCE7968ECB44BE9A8 + 30263.50ns INFO [00030265] Port=0 RD @00 + 30264.50ns INFO [00030266] Port=0 WR @02=EA9D08CC2A238FAFAA + 30264.50ns INFO [00030266] Port=0 RD @05 + 30265.50ns INFO [00030267] * RD COMPARE * port=0 adr=00 act=794CBB730C043585C4 exp=794CBB730C043585C4 + 30266.50ns INFO [00030268] * RD COMPARE * port=0 adr=05 act=89FAC30D621283F465 exp=89FAC30D621283F465 + 30266.50ns INFO [00030268] Port=0 RD @01 + 30267.50ns INFO [00030269] Port=0 WR @02=6BE9E79E7C5A1E34C6 + 30267.50ns INFO [00030269] Port=0 RD @01 + 30267.50ns INFO [00030269] Port=1 RD @06 + 30268.50ns INFO [00030270] * RD COMPARE * port=0 adr=01 act=A75532927B84E269FC exp=A75532927B84E269FC + 30268.50ns INFO [00030270] Port=0 RD @06 + 30269.50ns INFO [00030271] * RD COMPARE * port=0 adr=01 act=A75532927B84E269FC exp=A75532927B84E269FC + 30269.50ns INFO [00030271] * RD COMPARE * port=1 adr=06 act=BDCE7968ECB44BE9A8 exp=BDCE7968ECB44BE9A8 + 30269.50ns INFO [00030271] Port=0 WR @04=D281EC5CAF4971C1EC + 30269.50ns INFO [00030271] Port=1 RD @07 + 30270.50ns INFO [00030272] * RD COMPARE * port=0 adr=06 act=BDCE7968ECB44BE9A8 exp=BDCE7968ECB44BE9A8 + 30271.50ns INFO [00030273] * RD COMPARE * port=1 adr=07 act=C1DD29973CB9C84898 exp=C1DD29973CB9C84898 + 30272.50ns INFO [00030274] Port=0 WR @00=0BA91D08C7468CC402 + 30273.50ns INFO [00030275] Port=0 RD @02 + 30273.50ns INFO [00030275] Port=1 RD @01 + 30275.50ns INFO [00030277] * RD COMPARE * port=0 adr=02 act=6BE9E79E7C5A1E34C6 exp=6BE9E79E7C5A1E34C6 + 30275.50ns INFO [00030277] * RD COMPARE * port=1 adr=01 act=A75532927B84E269FC exp=A75532927B84E269FC + 30276.50ns INFO [00030278] Port=0 WR @07=E35557F07986273BF4 + 30276.50ns INFO [00030278] Port=0 RD @02 + 30277.50ns INFO [00030279] Port=0 RD @06 + 30278.50ns INFO [00030280] * RD COMPARE * port=0 adr=02 act=6BE9E79E7C5A1E34C6 exp=6BE9E79E7C5A1E34C6 + 30278.50ns INFO [00030280] Port=0 WR @02=3DA956FBEB46F43483 + 30278.50ns INFO [00030280] Port=1 RD @00 + 30279.50ns INFO [00030281] * RD COMPARE * port=0 adr=06 act=BDCE7968ECB44BE9A8 exp=BDCE7968ECB44BE9A8 + 30279.50ns INFO [00030281] Port=0 RD @00 + 30280.50ns INFO [00030282] * RD COMPARE * port=1 adr=00 act=0BA91D08C7468CC402 exp=0BA91D08C7468CC402 + 30280.50ns INFO [00030282] Port=0 WR @03=7AD4764E86AC9E77D6 + 30280.50ns INFO [00030282] Port=0 RD @07 + 30280.50ns INFO [00030282] Port=1 RD @06 + 30281.50ns INFO [00030283] * RD COMPARE * port=0 adr=00 act=0BA91D08C7468CC402 exp=0BA91D08C7468CC402 + 30281.50ns INFO [00030283] Port=0 WR @04=824018F49D21B1B9E4 + 30281.50ns INFO [00030283] Port=1 RD @03 + 30282.50ns INFO [00030284] * RD COMPARE * port=0 adr=07 act=E35557F07986273BF4 exp=E35557F07986273BF4 + 30282.50ns INFO [00030284] * RD COMPARE * port=1 adr=06 act=BDCE7968ECB44BE9A8 exp=BDCE7968ECB44BE9A8 + 30283.50ns INFO [00030285] * RD COMPARE * port=1 adr=03 act=7AD4764E86AC9E77D6 exp=7AD4764E86AC9E77D6 + 30285.50ns INFO [00030287] Port=0 WR @01=5FBC5F5CF7791E52D6 + 30286.50ns INFO [00030288] Port=0 WR @01=B0B944FE787010F683 + 30286.50ns INFO [00030288] Port=0 RD @00 + 30286.50ns INFO [00030288] Port=1 RD @02 + 30287.50ns INFO [00030289] Port=0 WR @06=9AAA2673EEF63495C0 + 30287.50ns INFO [00030289] Port=1 RD @05 + 30288.50ns INFO [00030290] * RD COMPARE * port=0 adr=00 act=0BA91D08C7468CC402 exp=0BA91D08C7468CC402 + 30288.50ns INFO [00030290] * RD COMPARE * port=1 adr=02 act=3DA956FBEB46F43483 exp=3DA956FBEB46F43483 + 30289.50ns INFO [00030291] * RD COMPARE * port=1 adr=05 act=89FAC30D621283F465 exp=89FAC30D621283F465 + 30289.50ns INFO [00030291] Port=0 WR @00=52782E777319B8B7AE + 30289.50ns INFO [00030291] Port=1 RD @06 + 30290.50ns INFO [00030292] Port=0 WR @00=54AB6306617DB6D87A + 30290.50ns INFO [00030292] Port=1 RD @07 + 30291.50ns INFO [00030293] * RD COMPARE * port=1 adr=06 act=9AAA2673EEF63495C0 exp=9AAA2673EEF63495C0 + 30291.50ns INFO [00030293] Port=0 WR @00=C325F48FC63327238C + 30292.50ns INFO [00030294] * RD COMPARE * port=1 adr=07 act=E35557F07986273BF4 exp=E35557F07986273BF4 + 30292.50ns INFO [00030294] Port=0 WR @07=202EB1E1C1829F1E45 + 30293.50ns INFO [00030295] Port=0 WR @04=380C60F5276BE2DEAF + 30297.50ns INFO [00030299] Port=1 RD @01 + 30298.00ns INFO [00030300] [00030300] ...tick... + 30299.50ns INFO [00030301] * RD COMPARE * port=1 adr=01 act=B0B944FE787010F683 exp=B0B944FE787010F683 + 30299.50ns INFO [00030301] Port=0 WR @03=F1A153393E67E6C5A6 + 30299.50ns INFO [00030301] Port=1 RD @01 + 30301.50ns INFO [00030303] * RD COMPARE * port=1 adr=01 act=B0B944FE787010F683 exp=B0B944FE787010F683 + 30301.50ns INFO [00030303] Port=0 WR @05=8A1798BD9137653574 + 30302.50ns INFO [00030304] Port=1 RD @05 + 30304.50ns INFO [00030306] * RD COMPARE * port=1 adr=05 act=8A1798BD9137653574 exp=8A1798BD9137653574 + 30304.50ns INFO [00030306] Port=0 RD @03 + 30305.50ns INFO [00030307] Port=0 RD @00 + 30305.50ns INFO [00030307] Port=1 RD @04 + 30306.50ns INFO [00030308] * RD COMPARE * port=0 adr=03 act=F1A153393E67E6C5A6 exp=F1A153393E67E6C5A6 + 30306.50ns INFO [00030308] Port=1 RD @00 + 30307.50ns INFO [00030309] * RD COMPARE * port=0 adr=00 act=C325F48FC63327238C exp=C325F48FC63327238C + 30307.50ns INFO [00030309] * RD COMPARE * port=1 adr=04 act=380C60F5276BE2DEAF exp=380C60F5276BE2DEAF + 30307.50ns INFO [00030309] Port=0 WR @00=624A8BECDD58F9E9A7 + 30307.50ns INFO [00030309] Port=0 RD @02 + 30307.50ns INFO [00030309] Port=1 RD @05 + 30308.50ns INFO [00030310] * RD COMPARE * port=1 adr=00 act=C325F48FC63327238C exp=C325F48FC63327238C + 30308.50ns INFO [00030310] Port=0 RD @07 + 30308.50ns INFO [00030310] Port=1 RD @01 + 30309.50ns INFO [00030311] * RD COMPARE * port=0 adr=02 act=3DA956FBEB46F43483 exp=3DA956FBEB46F43483 + 30309.50ns INFO [00030311] * RD COMPARE * port=1 adr=05 act=8A1798BD9137653574 exp=8A1798BD9137653574 + 30310.50ns INFO [00030312] * RD COMPARE * port=0 adr=07 act=202EB1E1C1829F1E45 exp=202EB1E1C1829F1E45 + 30310.50ns INFO [00030312] * RD COMPARE * port=1 adr=01 act=B0B944FE787010F683 exp=B0B944FE787010F683 + 30310.50ns INFO [00030312] Port=0 WR @03=FD56B15241CE827F71 + 30311.50ns INFO [00030313] Port=0 RD @03 + 30311.50ns INFO [00030313] Port=1 RD @05 + 30312.50ns INFO [00030314] Port=1 RD @05 + 30313.50ns INFO [00030315] * RD COMPARE * port=0 adr=03 act=FD56B15241CE827F71 exp=FD56B15241CE827F71 + 30313.50ns INFO [00030315] * RD COMPARE * port=1 adr=05 act=8A1798BD9137653574 exp=8A1798BD9137653574 + 30313.50ns INFO [00030315] Port=0 WR @02=F7E5C5BD5D2873D8A2 + 30313.50ns INFO [00030315] Port=0 RD @00 + 30313.50ns INFO [00030315] Port=1 RD @06 + 30314.50ns INFO [00030316] * RD COMPARE * port=1 adr=05 act=8A1798BD9137653574 exp=8A1798BD9137653574 + 30314.50ns INFO [00030316] Port=0 WR @01=BFBADF1D32525A5AA6 + 30314.50ns INFO [00030316] Port=1 RD @03 + 30315.50ns INFO [00030317] * RD COMPARE * port=0 adr=00 act=624A8BECDD58F9E9A7 exp=624A8BECDD58F9E9A7 + 30315.50ns INFO [00030317] * RD COMPARE * port=1 adr=06 act=9AAA2673EEF63495C0 exp=9AAA2673EEF63495C0 + 30315.50ns INFO [00030317] Port=0 RD @02 + 30315.50ns INFO [00030317] Port=1 RD @02 + 30316.50ns INFO [00030318] * RD COMPARE * port=1 adr=03 act=FD56B15241CE827F71 exp=FD56B15241CE827F71 + 30316.50ns INFO [00030318] Port=0 WR @00=98E10F692DDF753A43 + 30317.50ns INFO [00030319] * RD COMPARE * port=0 adr=02 act=F7E5C5BD5D2873D8A2 exp=F7E5C5BD5D2873D8A2 + 30317.50ns INFO [00030319] * RD COMPARE * port=1 adr=02 act=F7E5C5BD5D2873D8A2 exp=F7E5C5BD5D2873D8A2 + 30317.50ns INFO [00030319] Port=0 WR @02=D4CF30C7DE9F33E7F0 + 30317.50ns INFO [00030319] Port=0 RD @01 + 30318.50ns INFO [00030320] Port=0 RD @07 + 30319.50ns INFO [00030321] * RD COMPARE * port=0 adr=01 act=BFBADF1D32525A5AA6 exp=BFBADF1D32525A5AA6 + 30319.50ns INFO [00030321] Port=0 WR @06=C3570A0169EA109EB9 + 30319.50ns INFO [00030321] Port=0 RD @07 + 30320.50ns INFO [00030322] * RD COMPARE * port=0 adr=07 act=202EB1E1C1829F1E45 exp=202EB1E1C1829F1E45 + 30320.50ns INFO [00030322] Port=1 RD @06 + 30321.50ns INFO [00030323] * RD COMPARE * port=0 adr=07 act=202EB1E1C1829F1E45 exp=202EB1E1C1829F1E45 + 30321.50ns INFO [00030323] Port=0 RD @01 + 30322.50ns INFO [00030324] * RD COMPARE * port=1 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30322.50ns INFO [00030324] Port=0 WR @03=BAC1523AD80DD8709A + 30322.50ns INFO [00030324] Port=0 RD @07 + 30323.50ns INFO [00030325] * RD COMPARE * port=0 adr=01 act=BFBADF1D32525A5AA6 exp=BFBADF1D32525A5AA6 + 30323.50ns INFO [00030325] Port=0 RD @03 + 30324.50ns INFO [00030326] * RD COMPARE * port=0 adr=07 act=202EB1E1C1829F1E45 exp=202EB1E1C1829F1E45 + 30325.50ns INFO [00030327] * RD COMPARE * port=0 adr=03 act=BAC1523AD80DD8709A exp=BAC1523AD80DD8709A + 30327.50ns INFO [00030329] Port=1 RD @04 + 30328.50ns INFO [00030330] Port=0 WR @03=6213765A292006D570 + 30328.50ns INFO [00030330] Port=0 RD @00 + 30329.50ns INFO [00030331] * RD COMPARE * port=1 adr=04 act=380C60F5276BE2DEAF exp=380C60F5276BE2DEAF + 30329.50ns INFO [00030331] Port=0 RD @03 + 30329.50ns INFO [00030331] Port=1 RD @03 + 30330.50ns INFO [00030332] * RD COMPARE * port=0 adr=00 act=98E10F692DDF753A43 exp=98E10F692DDF753A43 + 30330.50ns INFO [00030332] Port=0 RD @04 + 30331.50ns INFO [00030333] * RD COMPARE * port=0 adr=03 act=6213765A292006D570 exp=6213765A292006D570 + 30331.50ns INFO [00030333] * RD COMPARE * port=1 adr=03 act=6213765A292006D570 exp=6213765A292006D570 + 30331.50ns INFO [00030333] Port=0 WR @01=A3F50AC4D778888C19 + 30332.50ns INFO [00030334] * RD COMPARE * port=0 adr=04 act=380C60F5276BE2DEAF exp=380C60F5276BE2DEAF + 30332.50ns INFO [00030334] Port=0 RD @01 + 30333.50ns INFO [00030335] Port=1 RD @05 + 30334.50ns INFO [00030336] * RD COMPARE * port=0 adr=01 act=A3F50AC4D778888C19 exp=A3F50AC4D778888C19 + 30335.50ns INFO [00030337] * RD COMPARE * port=1 adr=05 act=8A1798BD9137653574 exp=8A1798BD9137653574 + 30337.50ns INFO [00030339] Port=0 RD @02 + 30337.50ns INFO [00030339] Port=1 RD @03 + 30338.50ns INFO [00030340] Port=1 RD @05 + 30339.50ns INFO [00030341] * RD COMPARE * port=0 adr=02 act=D4CF30C7DE9F33E7F0 exp=D4CF30C7DE9F33E7F0 + 30339.50ns INFO [00030341] * RD COMPARE * port=1 adr=03 act=6213765A292006D570 exp=6213765A292006D570 + 30339.50ns INFO [00030341] Port=0 WR @03=0A9F5BF16DB9FF043D + 30340.50ns INFO [00030342] * RD COMPARE * port=1 adr=05 act=8A1798BD9137653574 exp=8A1798BD9137653574 + 30340.50ns INFO [00030342] Port=1 RD @05 + 30342.50ns INFO [00030344] * RD COMPARE * port=1 adr=05 act=8A1798BD9137653574 exp=8A1798BD9137653574 + 30342.50ns INFO [00030344] Port=1 RD @03 + 30343.50ns INFO [00030345] Port=0 WR @03=5C3D5E02687CD0E6E3 + 30343.50ns INFO [00030345] Port=1 RD @06 + 30344.50ns INFO [00030346] * RD COMPARE * port=1 adr=03 act=0A9F5BF16DB9FF043D exp=0A9F5BF16DB9FF043D + 30344.50ns INFO [00030346] Port=1 RD @06 + 30345.50ns INFO [00030347] * RD COMPARE * port=1 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30346.50ns INFO [00030348] * RD COMPARE * port=1 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30346.50ns INFO [00030348] Port=0 WR @05=14DBCA342097C29B76 + 30346.50ns INFO [00030348] Port=0 RD @06 + 30347.50ns INFO [00030349] Port=0 WR @04=11981C0874F1133E29 + 30348.50ns INFO [00030350] * RD COMPARE * port=0 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30349.50ns INFO [00030351] Port=0 WR @03=94A82C3F62FA25374C + 30349.50ns INFO [00030351] Port=1 RD @06 + 30350.50ns INFO [00030352] Port=0 RD @01 + 30351.50ns INFO [00030353] * RD COMPARE * port=1 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30351.50ns INFO [00030353] Port=0 RD @06 + 30352.50ns INFO [00030354] * RD COMPARE * port=0 adr=01 act=A3F50AC4D778888C19 exp=A3F50AC4D778888C19 + 30353.50ns INFO [00030355] * RD COMPARE * port=0 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30353.50ns INFO [00030355] Port=0 WR @05=ADD26AA6FF86B97BD9 + 30353.50ns INFO [00030355] Port=1 RD @07 + 30354.50ns INFO [00030356] Port=0 RD @00 + 30355.50ns INFO [00030357] * RD COMPARE * port=1 adr=07 act=202EB1E1C1829F1E45 exp=202EB1E1C1829F1E45 + 30355.50ns INFO [00030357] Port=0 WR @07=8F6DE3EB01FB4CEA9A + 30355.50ns INFO [00030357] Port=1 RD @05 + 30356.50ns INFO [00030358] * RD COMPARE * port=0 adr=00 act=98E10F692DDF753A43 exp=98E10F692DDF753A43 + 30356.50ns INFO [00030358] Port=0 WR @01=658DF94C22559C6D02 + 30357.50ns INFO [00030359] * RD COMPARE * port=1 adr=05 act=ADD26AA6FF86B97BD9 exp=ADD26AA6FF86B97BD9 + 30357.50ns INFO [00030359] Port=0 RD @06 + 30358.50ns INFO [00030360] Port=0 WR @04=6A39F1EA12C22A5FD5 + 30358.50ns INFO [00030360] Port=1 RD @03 + 30359.50ns INFO [00030361] * RD COMPARE * port=0 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30359.50ns INFO [00030361] Port=0 WR @01=865CA382FC8F02A335 + 30359.50ns INFO [00030361] Port=0 RD @02 + 30359.50ns INFO [00030361] Port=1 RD @05 + 30360.50ns INFO [00030362] * RD COMPARE * port=1 adr=03 act=94A82C3F62FA25374C exp=94A82C3F62FA25374C + 30360.50ns INFO [00030362] Port=0 WR @00=8AC235446123DB8549 + 30360.50ns INFO [00030362] Port=1 RD @07 + 30361.50ns INFO [00030363] * RD COMPARE * port=0 adr=02 act=D4CF30C7DE9F33E7F0 exp=D4CF30C7DE9F33E7F0 + 30361.50ns INFO [00030363] * RD COMPARE * port=1 adr=05 act=ADD26AA6FF86B97BD9 exp=ADD26AA6FF86B97BD9 + 30361.50ns INFO [00030363] Port=1 RD @02 + 30362.50ns INFO [00030364] * RD COMPARE * port=1 adr=07 act=8F6DE3EB01FB4CEA9A exp=8F6DE3EB01FB4CEA9A + 30363.50ns INFO [00030365] * RD COMPARE * port=1 adr=02 act=D4CF30C7DE9F33E7F0 exp=D4CF30C7DE9F33E7F0 + 30363.50ns INFO [00030365] Port=0 WR @03=6BB2FA46EDAFCE58BA + 30364.50ns INFO [00030366] Port=1 RD @02 + 30365.50ns INFO [00030367] Port=0 WR @07=BF861F07740D206109 + 30365.50ns INFO [00030367] Port=1 RD @02 + 30366.50ns INFO [00030368] * RD COMPARE * port=1 adr=02 act=D4CF30C7DE9F33E7F0 exp=D4CF30C7DE9F33E7F0 + 30366.50ns INFO [00030368] Port=0 WR @03=048382B02A1F7EB6C5 + 30366.50ns INFO [00030368] Port=0 RD @07 + 30367.50ns INFO [00030369] * RD COMPARE * port=1 adr=02 act=D4CF30C7DE9F33E7F0 exp=D4CF30C7DE9F33E7F0 + 30367.50ns INFO [00030369] Port=0 RD @06 + 30368.50ns INFO [00030370] * RD COMPARE * port=0 adr=07 act=BF861F07740D206109 exp=BF861F07740D206109 + 30368.50ns INFO [00030370] Port=0 RD @06 + 30369.50ns INFO [00030371] * RD COMPARE * port=0 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30369.50ns INFO [00030371] Port=1 RD @06 + 30370.50ns INFO [00030372] * RD COMPARE * port=0 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30371.50ns INFO [00030373] * RD COMPARE * port=1 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30371.50ns INFO [00030373] Port=0 WR @01=019522F2AA34D78D11 + 30372.50ns INFO [00030374] Port=0 WR @01=C5C5207A618102107D + 30373.50ns INFO [00030375] Port=0 WR @00=486CDF08C9AD3F89F2 + 30373.50ns INFO [00030375] Port=1 RD @06 + 30374.50ns INFO [00030376] Port=0 RD @03 + 30375.50ns INFO [00030377] * RD COMPARE * port=1 adr=06 act=C3570A0169EA109EB9 exp=C3570A0169EA109EB9 + 30375.50ns INFO [00030377] Port=0 WR @06=2AE8F4B5F858286302 + 30375.50ns INFO [00030377] Port=0 RD @01 + 30375.50ns INFO [00030377] Port=1 RD @03 + 30376.50ns INFO [00030378] * RD COMPARE * port=0 adr=03 act=048382B02A1F7EB6C5 exp=048382B02A1F7EB6C5 + 30376.50ns INFO [00030378] Port=0 WR @03=6A881B1650A2E8196C + 30377.50ns INFO [00030379] * RD COMPARE * port=0 adr=01 act=C5C5207A618102107D exp=C5C5207A618102107D + 30377.50ns INFO [00030379] * RD COMPARE * port=1 adr=03 act=048382B02A1F7EB6C5 exp=048382B02A1F7EB6C5 + 30377.50ns INFO [00030379] Port=1 RD @04 + 30378.50ns INFO [00030380] Port=0 WR @01=69895C94D82C7544B7 + 30378.50ns INFO [00030380] Port=0 RD @07 + 30378.50ns INFO [00030380] Port=1 RD @03 + 30379.50ns INFO [00030381] * RD COMPARE * port=1 adr=04 act=6A39F1EA12C22A5FD5 exp=6A39F1EA12C22A5FD5 + 30379.50ns INFO [00030381] Port=0 WR @05=622A6E27784C9CE8DB + 30379.50ns INFO [00030381] Port=0 RD @00 + 30379.50ns INFO [00030381] Port=1 RD @01 + 30380.50ns INFO [00030382] * RD COMPARE * port=0 adr=07 act=BF861F07740D206109 exp=BF861F07740D206109 + 30380.50ns INFO [00030382] * RD COMPARE * port=1 adr=03 act=6A881B1650A2E8196C exp=6A881B1650A2E8196C + 30381.50ns INFO [00030383] * RD COMPARE * port=0 adr=00 act=486CDF08C9AD3F89F2 exp=486CDF08C9AD3F89F2 + 30381.50ns INFO [00030383] * RD COMPARE * port=1 adr=01 act=69895C94D82C7544B7 exp=69895C94D82C7544B7 + 30381.50ns INFO [00030383] Port=0 WR @07=38FC9E9A7442528A5E + 30381.50ns INFO [00030383] Port=0 RD @04 + 30382.50ns INFO [00030384] Port=0 WR @05=AE03D448842953A1BA + 30383.50ns INFO [00030385] * RD COMPARE * port=0 adr=04 act=6A39F1EA12C22A5FD5 exp=6A39F1EA12C22A5FD5 + 30383.50ns INFO [00030385] Port=0 RD @05 + 30385.50ns INFO [00030387] * RD COMPARE * port=0 adr=05 act=AE03D448842953A1BA exp=AE03D448842953A1BA + 30385.50ns INFO [00030387] Port=0 RD @03 + 30386.50ns INFO [00030388] Port=0 RD @00 + 30386.50ns INFO [00030388] Port=1 RD @05 + 30387.50ns INFO [00030389] * RD COMPARE * port=0 adr=03 act=6A881B1650A2E8196C exp=6A881B1650A2E8196C + 30387.50ns INFO [00030389] Port=0 WR @07=CAF207C26B31D7AA44 + 30388.50ns INFO [00030390] * RD COMPARE * port=0 adr=00 act=486CDF08C9AD3F89F2 exp=486CDF08C9AD3F89F2 + 30388.50ns INFO [00030390] * RD COMPARE * port=1 adr=05 act=AE03D448842953A1BA exp=AE03D448842953A1BA + 30388.50ns INFO [00030390] Port=0 WR @05=D40C845DD74EDA9A05 + 30388.50ns INFO [00030390] Port=0 RD @01 + 30389.50ns INFO [00030391] Port=0 RD @02 + 30389.50ns INFO [00030391] Port=1 RD @06 + 30390.50ns INFO [00030392] * RD COMPARE * port=0 adr=01 act=69895C94D82C7544B7 exp=69895C94D82C7544B7 + 30390.50ns INFO [00030392] Port=0 WR @01=FC079AC02295BFD882 + 30391.50ns INFO [00030393] * RD COMPARE * port=0 adr=02 act=D4CF30C7DE9F33E7F0 exp=D4CF30C7DE9F33E7F0 + 30391.50ns INFO [00030393] * RD COMPARE * port=1 adr=06 act=2AE8F4B5F858286302 exp=2AE8F4B5F858286302 + 30391.50ns INFO [00030393] Port=1 RD @00 + 30392.50ns INFO [00030394] Port=0 WR @07=99CA52B2AC9BEDC0A8 + 30392.50ns INFO [00030394] Port=1 RD @00 + 30393.50ns INFO [00030395] * RD COMPARE * port=1 adr=00 act=486CDF08C9AD3F89F2 exp=486CDF08C9AD3F89F2 + 30393.50ns INFO [00030395] Port=0 WR @05=550153080B3F10343C + 30394.50ns INFO [00030396] * RD COMPARE * port=1 adr=00 act=486CDF08C9AD3F89F2 exp=486CDF08C9AD3F89F2 + 30394.50ns INFO [00030396] Port=0 WR @02=83B95ED4689CE2D39A + 30395.50ns INFO [00030397] Port=0 WR @00=A1BCD9E68DE349E2A8 + 30396.50ns INFO [00030398] Port=0 WR @04=6874964048F8709D98 + 30396.50ns INFO [00030398] Port=0 RD @02 + 30397.50ns INFO [00030399] Port=1 RD @02 + 30398.00ns INFO [00030400] [00030400] ...tick... + 30398.50ns INFO [00030400] * RD COMPARE * port=0 adr=02 act=83B95ED4689CE2D39A exp=83B95ED4689CE2D39A + 30398.50ns INFO [00030400] Port=0 WR @03=1EC8C0F5C5DCFE82F1 + 30398.50ns INFO [00030400] Port=0 RD @02 + 30399.50ns INFO [00030401] * RD COMPARE * port=1 adr=02 act=83B95ED4689CE2D39A exp=83B95ED4689CE2D39A + 30399.50ns INFO [00030401] Port=0 RD @05 + 30399.50ns INFO [00030401] Port=1 RD @00 + 30400.50ns INFO [00030402] * RD COMPARE * port=0 adr=02 act=83B95ED4689CE2D39A exp=83B95ED4689CE2D39A + 30400.50ns INFO [00030402] Port=0 WR @06=D3D259C0EB7F2F7792 + 30401.50ns INFO [00030403] * RD COMPARE * port=0 adr=05 act=550153080B3F10343C exp=550153080B3F10343C + 30401.50ns INFO [00030403] * RD COMPARE * port=1 adr=00 act=A1BCD9E68DE349E2A8 exp=A1BCD9E68DE349E2A8 + 30402.50ns INFO [00030404] Port=0 RD @02 + 30404.50ns INFO [00030406] * RD COMPARE * port=0 adr=02 act=83B95ED4689CE2D39A exp=83B95ED4689CE2D39A + 30405.50ns INFO [00030407] Port=0 WR @05=B0BD78F6DAF096BF4B + 30406.50ns INFO [00030408] Port=0 RD @03 + 30407.50ns INFO [00030409] Port=0 RD @07 + 30408.50ns INFO [00030410] * RD COMPARE * port=0 adr=03 act=1EC8C0F5C5DCFE82F1 exp=1EC8C0F5C5DCFE82F1 + 30409.50ns INFO [00030411] * RD COMPARE * port=0 adr=07 act=99CA52B2AC9BEDC0A8 exp=99CA52B2AC9BEDC0A8 + 30410.50ns INFO [00030412] Port=0 WR @06=35DE3CC6E682E41BC6 + 30411.50ns INFO [00030413] Port=0 WR @02=BA477C039E59EBA819 + 30411.50ns INFO [00030413] Port=1 RD @03 + 30413.50ns INFO [00030415] * RD COMPARE * port=1 adr=03 act=1EC8C0F5C5DCFE82F1 exp=1EC8C0F5C5DCFE82F1 + 30413.50ns INFO [00030415] Port=0 WR @00=9531F7CD47FA7D8D16 + 30413.50ns INFO [00030415] Port=0 RD @06 + 30414.50ns INFO [00030416] Port=0 WR @04=4CDCD69CC16801BAD7 + 30415.50ns INFO [00030417] * RD COMPARE * port=0 adr=06 act=35DE3CC6E682E41BC6 exp=35DE3CC6E682E41BC6 + 30415.50ns INFO [00030417] Port=0 RD @02 + 30415.50ns INFO [00030417] Port=1 RD @06 + 30416.50ns INFO [00030418] Port=0 WR @01=0A8A7FAD7D73242603 + 30417.50ns INFO [00030419] * RD COMPARE * port=0 adr=02 act=BA477C039E59EBA819 exp=BA477C039E59EBA819 + 30417.50ns INFO [00030419] * RD COMPARE * port=1 adr=06 act=35DE3CC6E682E41BC6 exp=35DE3CC6E682E41BC6 + 30417.50ns INFO [00030419] Port=0 WR @00=FD63C92D63E2C694C8 + 30419.50ns INFO [00030421] Port=0 WR @00=7A1DFC257081462D3A + 30421.50ns INFO [00030423] Port=0 RD @05 + 30421.50ns INFO [00030423] Port=1 RD @02 + 30422.50ns INFO [00030424] Port=0 WR @03=BBD6D323A3B20CA2F7 + 30422.50ns INFO [00030424] Port=1 RD @05 + 30423.50ns INFO [00030425] * RD COMPARE * port=0 adr=05 act=B0BD78F6DAF096BF4B exp=B0BD78F6DAF096BF4B + 30423.50ns INFO [00030425] * RD COMPARE * port=1 adr=02 act=BA477C039E59EBA819 exp=BA477C039E59EBA819 + 30423.50ns INFO [00030425] Port=0 RD @05 + 30424.50ns INFO [00030426] * RD COMPARE * port=1 adr=05 act=B0BD78F6DAF096BF4B exp=B0BD78F6DAF096BF4B + 30424.50ns INFO [00030426] Port=0 WR @01=A906E254447C488A51 + 30425.50ns INFO [00030427] * RD COMPARE * port=0 adr=05 act=B0BD78F6DAF096BF4B exp=B0BD78F6DAF096BF4B + 30426.50ns INFO [00030428] Port=0 RD @05 + 30427.50ns INFO [00030429] Port=1 RD @00 + 30428.50ns INFO [00030430] * RD COMPARE * port=0 adr=05 act=B0BD78F6DAF096BF4B exp=B0BD78F6DAF096BF4B + 30428.50ns INFO [00030430] Port=1 RD @00 + 30429.50ns INFO [00030431] * RD COMPARE * port=1 adr=00 act=7A1DFC257081462D3A exp=7A1DFC257081462D3A + 30429.50ns INFO [00030431] Port=1 RD @03 + 30430.50ns INFO [00030432] * RD COMPARE * port=1 adr=00 act=7A1DFC257081462D3A exp=7A1DFC257081462D3A + 30431.50ns INFO [00030433] * RD COMPARE * port=1 adr=03 act=BBD6D323A3B20CA2F7 exp=BBD6D323A3B20CA2F7 + 30431.50ns INFO [00030433] Port=0 WR @00=EA3D861D7C15DBD25B + 30433.50ns INFO [00030435] Port=0 WR @05=2AEF1C1F0D268536F3 + 30433.50ns INFO [00030435] Port=0 RD @03 + 30434.50ns INFO [00030436] Port=0 RD @05 + 30435.50ns INFO [00030437] * RD COMPARE * port=0 adr=03 act=BBD6D323A3B20CA2F7 exp=BBD6D323A3B20CA2F7 + 30435.50ns INFO [00030437] Port=0 RD @04 + 30436.50ns INFO [00030438] * RD COMPARE * port=0 adr=05 act=2AEF1C1F0D268536F3 exp=2AEF1C1F0D268536F3 + 30436.50ns INFO [00030438] Port=1 RD @03 + 30437.50ns INFO [00030439] * RD COMPARE * port=0 adr=04 act=4CDCD69CC16801BAD7 exp=4CDCD69CC16801BAD7 + 30437.50ns INFO [00030439] Port=1 RD @00 + 30438.50ns INFO [00030440] * RD COMPARE * port=1 adr=03 act=BBD6D323A3B20CA2F7 exp=BBD6D323A3B20CA2F7 + 30439.50ns INFO [00030441] * RD COMPARE * port=1 adr=00 act=EA3D861D7C15DBD25B exp=EA3D861D7C15DBD25B + 30439.50ns INFO [00030441] Port=0 RD @04 + 30440.50ns INFO [00030442] Port=0 RD @05 + 30441.50ns INFO [00030443] * RD COMPARE * port=0 adr=04 act=4CDCD69CC16801BAD7 exp=4CDCD69CC16801BAD7 + 30441.50ns INFO [00030443] Port=0 RD @03 + 30441.50ns INFO [00030443] Port=1 RD @07 + 30442.50ns INFO [00030444] * RD COMPARE * port=0 adr=05 act=2AEF1C1F0D268536F3 exp=2AEF1C1F0D268536F3 + 30442.50ns INFO [00030444] Port=0 WR @04=A1EF4DFD61A0201D3E + 30443.50ns INFO [00030445] * RD COMPARE * port=0 adr=03 act=BBD6D323A3B20CA2F7 exp=BBD6D323A3B20CA2F7 + 30443.50ns INFO [00030445] * RD COMPARE * port=1 adr=07 act=99CA52B2AC9BEDC0A8 exp=99CA52B2AC9BEDC0A8 + 30443.50ns INFO [00030445] Port=0 RD @07 + 30443.50ns INFO [00030445] Port=1 RD @03 + 30444.50ns INFO [00030446] Port=1 RD @00 + 30445.50ns INFO [00030447] * RD COMPARE * port=0 adr=07 act=99CA52B2AC9BEDC0A8 exp=99CA52B2AC9BEDC0A8 + 30445.50ns INFO [00030447] * RD COMPARE * port=1 adr=03 act=BBD6D323A3B20CA2F7 exp=BBD6D323A3B20CA2F7 + 30446.50ns INFO [00030448] * RD COMPARE * port=1 adr=00 act=EA3D861D7C15DBD25B exp=EA3D861D7C15DBD25B + 30447.50ns INFO [00030449] Port=0 RD @02 + 30447.50ns INFO [00030449] Port=1 RD @02 + 30448.50ns INFO [00030450] Port=0 WR @07=865604934326F02FE3 + 30448.50ns INFO [00030450] Port=0 RD @06 + 30449.50ns INFO [00030451] * RD COMPARE * port=0 adr=02 act=BA477C039E59EBA819 exp=BA477C039E59EBA819 + 30449.50ns INFO [00030451] * RD COMPARE * port=1 adr=02 act=BA477C039E59EBA819 exp=BA477C039E59EBA819 + 30449.50ns INFO [00030451] Port=0 WR @04=B2C25DC47A74948041 + 30449.50ns INFO [00030451] Port=0 RD @07 + 30450.50ns INFO [00030452] * RD COMPARE * port=0 adr=06 act=35DE3CC6E682E41BC6 exp=35DE3CC6E682E41BC6 + 30450.50ns INFO [00030452] Port=1 RD @00 + 30451.50ns INFO [00030453] * RD COMPARE * port=0 adr=07 act=865604934326F02FE3 exp=865604934326F02FE3 + 30452.50ns INFO [00030454] * RD COMPARE * port=1 adr=00 act=EA3D861D7C15DBD25B exp=EA3D861D7C15DBD25B + 30453.50ns INFO [00030455] Port=0 WR @03=1C28EB0A0F1AD76DA3 + 30453.50ns INFO [00030455] Port=0 RD @06 + 30454.50ns INFO [00030456] Port=0 WR @06=2499E355D90C3D8A8E + 30454.50ns INFO [00030456] Port=0 RD @04 + 30454.50ns INFO [00030456] Port=1 RD @02 + 30455.50ns INFO [00030457] * RD COMPARE * port=0 adr=06 act=35DE3CC6E682E41BC6 exp=35DE3CC6E682E41BC6 + 30456.50ns INFO [00030458] * RD COMPARE * port=0 adr=04 act=B2C25DC47A74948041 exp=B2C25DC47A74948041 + 30456.50ns INFO [00030458] * RD COMPARE * port=1 adr=02 act=BA477C039E59EBA819 exp=BA477C039E59EBA819 + 30458.50ns INFO [00030460] Port=0 RD @04 + 30459.50ns INFO [00030461] Port=0 WR @01=1BA976C9EA0626EB35 + 30460.50ns INFO [00030462] * RD COMPARE * port=0 adr=04 act=B2C25DC47A74948041 exp=B2C25DC47A74948041 + 30460.50ns INFO [00030462] Port=0 RD @04 + 30461.50ns INFO [00030463] Port=0 WR @06=6253B0C7E739A61039 + 30462.50ns INFO [00030464] * RD COMPARE * port=0 adr=04 act=B2C25DC47A74948041 exp=B2C25DC47A74948041 + 30462.50ns INFO [00030464] Port=0 WR @01=6F92CC217463BABB86 + 30463.50ns INFO [00030465] Port=1 RD @02 + 30464.50ns INFO [00030466] Port=0 RD @07 + 30465.50ns INFO [00030467] * RD COMPARE * port=1 adr=02 act=BA477C039E59EBA819 exp=BA477C039E59EBA819 + 30466.50ns INFO [00030468] * RD COMPARE * port=0 adr=07 act=865604934326F02FE3 exp=865604934326F02FE3 + 30467.50ns INFO [00030469] Port=1 RD @07 + 30468.50ns INFO [00030470] Port=0 RD @05 + 30469.50ns INFO [00030471] * RD COMPARE * port=1 adr=07 act=865604934326F02FE3 exp=865604934326F02FE3 + 30469.50ns INFO [00030471] Port=0 WR @02=6C00B57224C348FBA2 + 30469.50ns INFO [00030471] Port=0 RD @03 + 30470.50ns INFO [00030472] * RD COMPARE * port=0 adr=05 act=2AEF1C1F0D268536F3 exp=2AEF1C1F0D268536F3 + 30470.50ns INFO [00030472] Port=1 RD @07 + 30471.50ns INFO [00030473] * RD COMPARE * port=0 adr=03 act=1C28EB0A0F1AD76DA3 exp=1C28EB0A0F1AD76DA3 + 30471.50ns INFO [00030473] Port=1 RD @03 + 30472.50ns INFO [00030474] * RD COMPARE * port=1 adr=07 act=865604934326F02FE3 exp=865604934326F02FE3 + 30472.50ns INFO [00030474] Port=0 RD @00 + 30473.50ns INFO [00030475] * RD COMPARE * port=1 adr=03 act=1C28EB0A0F1AD76DA3 exp=1C28EB0A0F1AD76DA3 + 30474.50ns INFO [00030476] * RD COMPARE * port=0 adr=00 act=EA3D861D7C15DBD25B exp=EA3D861D7C15DBD25B + 30474.50ns INFO [00030476] Port=0 WR @04=0DFBBB8868FA7DA443 + 30474.50ns INFO [00030476] Port=0 RD @06 + 30475.50ns INFO [00030477] Port=0 RD @06 + 30475.50ns INFO [00030477] Port=1 RD @00 + 30476.50ns INFO [00030478] * RD COMPARE * port=0 adr=06 act=6253B0C7E739A61039 exp=6253B0C7E739A61039 + 30477.50ns INFO [00030479] * RD COMPARE * port=0 adr=06 act=6253B0C7E739A61039 exp=6253B0C7E739A61039 + 30477.50ns INFO [00030479] * RD COMPARE * port=1 adr=00 act=EA3D861D7C15DBD25B exp=EA3D861D7C15DBD25B + 30478.50ns INFO [00030480] Port=0 RD @05 + 30478.50ns INFO [00030480] Port=1 RD @03 + 30479.50ns INFO [00030481] Port=1 RD @07 + 30480.50ns INFO [00030482] * RD COMPARE * port=0 adr=05 act=2AEF1C1F0D268536F3 exp=2AEF1C1F0D268536F3 + 30480.50ns INFO [00030482] * RD COMPARE * port=1 adr=03 act=1C28EB0A0F1AD76DA3 exp=1C28EB0A0F1AD76DA3 + 30481.50ns INFO [00030483] * RD COMPARE * port=1 adr=07 act=865604934326F02FE3 exp=865604934326F02FE3 + 30481.50ns INFO [00030483] Port=0 WR @03=658D8051860B1D3706 + 30481.50ns INFO [00030483] Port=1 RD @01 + 30482.50ns INFO [00030484] Port=1 RD @06 + 30483.50ns INFO [00030485] * RD COMPARE * port=1 adr=01 act=6F92CC217463BABB86 exp=6F92CC217463BABB86 + 30483.50ns INFO [00030485] Port=0 WR @02=007EDB308F1FEF81BE + 30484.50ns INFO [00030486] * RD COMPARE * port=1 adr=06 act=6253B0C7E739A61039 exp=6253B0C7E739A61039 + 30484.50ns INFO [00030486] Port=0 RD @00 + 30484.50ns INFO [00030486] Port=1 RD @02 + 30485.50ns INFO [00030487] Port=1 RD @01 + 30486.50ns INFO [00030488] * RD COMPARE * port=0 adr=00 act=EA3D861D7C15DBD25B exp=EA3D861D7C15DBD25B + 30486.50ns INFO [00030488] * RD COMPARE * port=1 adr=02 act=007EDB308F1FEF81BE exp=007EDB308F1FEF81BE + 30486.50ns INFO [00030488] Port=1 RD @01 + 30487.50ns INFO [00030489] * RD COMPARE * port=1 adr=01 act=6F92CC217463BABB86 exp=6F92CC217463BABB86 + 30487.50ns INFO [00030489] Port=0 WR @03=FCC3CC17ACEA7E9ACC + 30487.50ns INFO [00030489] Port=0 RD @05 + 30488.50ns INFO [00030490] * RD COMPARE * port=1 adr=01 act=6F92CC217463BABB86 exp=6F92CC217463BABB86 + 30489.50ns INFO [00030491] * RD COMPARE * port=0 adr=05 act=2AEF1C1F0D268536F3 exp=2AEF1C1F0D268536F3 + 30489.50ns INFO [00030491] Port=0 WR @03=7A5D95DF012D2F0C88 + 30489.50ns INFO [00030491] Port=0 RD @01 + 30490.50ns INFO [00030492] Port=0 WR @06=6EC47187244EE07616 + 30490.50ns INFO [00030492] Port=0 RD @02 + 30491.50ns INFO [00030493] * RD COMPARE * port=0 adr=01 act=6F92CC217463BABB86 exp=6F92CC217463BABB86 + 30491.50ns INFO [00030493] Port=0 WR @03=5D6B0921637F1324B0 + 30492.50ns INFO [00030494] * RD COMPARE * port=0 adr=02 act=007EDB308F1FEF81BE exp=007EDB308F1FEF81BE + 30492.50ns INFO [00030494] Port=0 RD @05 + 30493.50ns INFO [00030495] Port=0 RD @03 + 30493.50ns INFO [00030495] Port=1 RD @06 + 30494.50ns INFO [00030496] * RD COMPARE * port=0 adr=05 act=2AEF1C1F0D268536F3 exp=2AEF1C1F0D268536F3 + 30494.50ns INFO [00030496] Port=1 RD @03 + 30495.50ns INFO [00030497] * RD COMPARE * port=0 adr=03 act=5D6B0921637F1324B0 exp=5D6B0921637F1324B0 + 30495.50ns INFO [00030497] * RD COMPARE * port=1 adr=06 act=6EC47187244EE07616 exp=6EC47187244EE07616 + 30495.50ns INFO [00030497] Port=0 RD @05 + 30496.50ns INFO [00030498] * RD COMPARE * port=1 adr=03 act=5D6B0921637F1324B0 exp=5D6B0921637F1324B0 + 30496.50ns INFO [00030498] Port=0 WR @03=A1A941A2CCCD1006AE + 30496.50ns INFO [00030498] Port=0 RD @00 + 30497.50ns INFO [00030499] * RD COMPARE * port=0 adr=05 act=2AEF1C1F0D268536F3 exp=2AEF1C1F0D268536F3 + 30497.50ns INFO [00030499] Port=0 WR @04=C13A2261B76E4C0ED4 + 30497.50ns INFO [00030499] Port=0 RD @07 + 30497.50ns INFO [00030499] Port=1 RD @01 + 30498.00ns INFO [00030500] [00030500] ...tick... + 30498.50ns INFO [00030500] * RD COMPARE * port=0 adr=00 act=EA3D861D7C15DBD25B exp=EA3D861D7C15DBD25B + 30498.50ns INFO [00030500] Port=0 WR @00=84C670EB65A806AC22 + 30499.50ns INFO [00030501] * RD COMPARE * port=0 adr=07 act=865604934326F02FE3 exp=865604934326F02FE3 + 30499.50ns INFO [00030501] * RD COMPARE * port=1 adr=01 act=6F92CC217463BABB86 exp=6F92CC217463BABB86 + 30499.50ns INFO [00030501] Port=0 WR @05=B622483B841F0D2D0C + 30500.50ns INFO [00030502] Port=0 WR @00=3417A95F285BB97D4B + 30502.50ns INFO [00030504] Port=1 RD @02 + 30503.50ns INFO [00030505] Port=0 RD @03 + 30504.50ns INFO [00030506] * RD COMPARE * port=1 adr=02 act=007EDB308F1FEF81BE exp=007EDB308F1FEF81BE + 30504.50ns INFO [00030506] Port=0 RD @02 + 30505.50ns INFO [00030507] * RD COMPARE * port=0 adr=03 act=A1A941A2CCCD1006AE exp=A1A941A2CCCD1006AE + 30505.50ns INFO [00030507] Port=0 RD @04 + 30506.50ns INFO [00030508] * RD COMPARE * port=0 adr=02 act=007EDB308F1FEF81BE exp=007EDB308F1FEF81BE + 30506.50ns INFO [00030508] Port=1 RD @02 + 30507.50ns INFO [00030509] * RD COMPARE * port=0 adr=04 act=C13A2261B76E4C0ED4 exp=C13A2261B76E4C0ED4 + 30508.50ns INFO [00030510] * RD COMPARE * port=1 adr=02 act=007EDB308F1FEF81BE exp=007EDB308F1FEF81BE + 30509.50ns INFO [00030511] Port=0 RD @00 + 30510.50ns INFO [00030512] Port=0 RD @04 + 30511.50ns INFO [00030513] * RD COMPARE * port=0 adr=00 act=3417A95F285BB97D4B exp=3417A95F285BB97D4B + 30512.50ns INFO [00030514] * RD COMPARE * port=0 adr=04 act=C13A2261B76E4C0ED4 exp=C13A2261B76E4C0ED4 + 30512.50ns INFO [00030514] Port=0 RD @01 + 30514.50ns INFO [00030516] * RD COMPARE * port=0 adr=01 act=6F92CC217463BABB86 exp=6F92CC217463BABB86 + 30516.50ns INFO [00030518] Port=0 WR @05=7D2BC19D0F9D0E42D0 + 30516.50ns INFO [00030518] Port=1 RD @06 + 30517.50ns INFO [00030519] Port=0 WR @03=614B939BD182EA7946 + 30518.50ns INFO [00030520] * RD COMPARE * port=1 adr=06 act=6EC47187244EE07616 exp=6EC47187244EE07616 + 30518.50ns INFO [00030520] Port=0 RD @03 + 30518.50ns INFO [00030520] Port=1 RD @03 + 30520.50ns INFO [00030522] * RD COMPARE * port=0 adr=03 act=614B939BD182EA7946 exp=614B939BD182EA7946 + 30520.50ns INFO [00030522] * RD COMPARE * port=1 adr=03 act=614B939BD182EA7946 exp=614B939BD182EA7946 + 30520.50ns INFO [00030522] Port=0 RD @04 + 30521.50ns INFO [00030523] Port=0 RD @04 + 30522.50ns INFO [00030524] * RD COMPARE * port=0 adr=04 act=C13A2261B76E4C0ED4 exp=C13A2261B76E4C0ED4 + 30523.50ns INFO [00030525] * RD COMPARE * port=0 adr=04 act=C13A2261B76E4C0ED4 exp=C13A2261B76E4C0ED4 + 30524.50ns INFO [00030526] Port=0 RD @02 + 30525.50ns INFO [00030527] Port=0 RD @03 + 30526.50ns INFO [00030528] * RD COMPARE * port=0 adr=02 act=007EDB308F1FEF81BE exp=007EDB308F1FEF81BE + 30526.50ns INFO [00030528] Port=0 RD @00 + 30526.50ns INFO [00030528] Port=1 RD @02 + 30527.50ns INFO [00030529] * RD COMPARE * port=0 adr=03 act=614B939BD182EA7946 exp=614B939BD182EA7946 + 30527.50ns INFO [00030529] Port=0 RD @06 + 30528.50ns INFO [00030530] * RD COMPARE * port=0 adr=00 act=3417A95F285BB97D4B exp=3417A95F285BB97D4B + 30528.50ns INFO [00030530] * RD COMPARE * port=1 adr=02 act=007EDB308F1FEF81BE exp=007EDB308F1FEF81BE + 30528.50ns INFO [00030530] Port=0 RD @00 + 30528.50ns INFO [00030530] Port=1 RD @06 + 30529.50ns INFO [00030531] * RD COMPARE * port=0 adr=06 act=6EC47187244EE07616 exp=6EC47187244EE07616 + 30530.50ns INFO [00030532] * RD COMPARE * port=0 adr=00 act=3417A95F285BB97D4B exp=3417A95F285BB97D4B + 30530.50ns INFO [00030532] * RD COMPARE * port=1 adr=06 act=6EC47187244EE07616 exp=6EC47187244EE07616 + 30533.50ns INFO [00030535] Port=0 RD @03 + 30534.50ns INFO [00030536] Port=1 RD @07 + 30535.50ns INFO [00030537] * RD COMPARE * port=0 adr=03 act=614B939BD182EA7946 exp=614B939BD182EA7946 + 30535.50ns INFO [00030537] Port=0 WR @00=0E9C7A3A3491551E83 + 30535.50ns INFO [00030537] Port=1 RD @03 + 30536.50ns INFO [00030538] * RD COMPARE * port=1 adr=07 act=865604934326F02FE3 exp=865604934326F02FE3 + 30536.50ns INFO [00030538] Port=0 WR @07=CFBD0F6AB2EE6F55D9 + 30536.50ns INFO [00030538] Port=1 RD @01 + 30537.50ns INFO [00030539] * RD COMPARE * port=1 adr=03 act=614B939BD182EA7946 exp=614B939BD182EA7946 + 30537.50ns INFO [00030539] Port=0 WR @01=29C359D860CB010C9F + 30537.50ns INFO [00030539] Port=1 RD @02 + 30538.50ns INFO [00030540] * RD COMPARE * port=1 adr=01 act=6F92CC217463BABB86 exp=6F92CC217463BABB86 + 30539.50ns INFO [00030541] * RD COMPARE * port=1 adr=02 act=007EDB308F1FEF81BE exp=007EDB308F1FEF81BE + 30539.50ns INFO [00030541] Port=0 WR @04=939B935C06A143B3BA + 30539.50ns INFO [00030541] Port=0 RD @07 + 30540.50ns INFO [00030542] Port=1 RD @04 + 30541.50ns INFO [00030543] * RD COMPARE * port=0 adr=07 act=CFBD0F6AB2EE6F55D9 exp=CFBD0F6AB2EE6F55D9 + 30542.50ns INFO [00030544] * RD COMPARE * port=1 adr=04 act=939B935C06A143B3BA exp=939B935C06A143B3BA + 30542.50ns INFO [00030544] Port=0 WR @03=4A9F1E962656D06B51 + 30543.50ns INFO [00030545] Port=0 WR @00=44508EDEAE8FBE8E5A + 30544.50ns INFO [00030546] Port=0 WR @00=1590744B5C0F593C13 + 30545.50ns INFO [00030547] Port=0 WR @03=0023786051DD35C45D + 30546.50ns INFO [00030548] Port=1 RD @05 + 30547.50ns INFO [00030549] Port=1 RD @02 + 30548.50ns INFO [00030550] * RD COMPARE * port=1 adr=05 act=7D2BC19D0F9D0E42D0 exp=7D2BC19D0F9D0E42D0 + 30548.50ns INFO [00030550] Port=1 RD @05 + 30549.50ns INFO [00030551] * RD COMPARE * port=1 adr=02 act=007EDB308F1FEF81BE exp=007EDB308F1FEF81BE + 30549.50ns INFO [00030551] Port=0 WR @04=6ED48D6D49BA8C155E + 30549.50ns INFO [00030551] Port=1 RD @00 + 30550.50ns INFO [00030552] * RD COMPARE * port=1 adr=05 act=7D2BC19D0F9D0E42D0 exp=7D2BC19D0F9D0E42D0 + 30550.50ns INFO [00030552] Port=0 WR @04=9947DD4DE6DCC6D3FD + 30550.50ns INFO [00030552] Port=0 RD @00 + 30551.50ns INFO [00030553] * RD COMPARE * port=1 adr=00 act=1590744B5C0F593C13 exp=1590744B5C0F593C13 + 30551.50ns INFO [00030553] Port=0 RD @06 + 30551.50ns INFO [00030553] Port=1 RD @02 + 30552.50ns INFO [00030554] * RD COMPARE * port=0 adr=00 act=1590744B5C0F593C13 exp=1590744B5C0F593C13 + 30552.50ns INFO [00030554] Port=0 WR @02=81D4628688690D40A0 + 30553.50ns INFO [00030555] * RD COMPARE * port=0 adr=06 act=6EC47187244EE07616 exp=6EC47187244EE07616 + 30553.50ns INFO [00030555] * RD COMPARE * port=1 adr=02 act=007EDB308F1FEF81BE exp=007EDB308F1FEF81BE + 30553.50ns INFO [00030555] Port=0 WR @05=DA8B4A1953E65BC1BA + 30554.50ns INFO [00030556] Port=0 RD @06 + 30555.50ns INFO [00030557] Port=0 RD @03 + 30556.50ns INFO [00030558] * RD COMPARE * port=0 adr=06 act=6EC47187244EE07616 exp=6EC47187244EE07616 + 30556.50ns INFO [00030558] Port=0 WR @07=ECBCFA0ED3C1BADDE4 + 30557.50ns INFO [00030559] * RD COMPARE * port=0 adr=03 act=0023786051DD35C45D exp=0023786051DD35C45D + 30557.50ns INFO [00030559] Port=0 RD @04 + 30559.50ns INFO [00030561] * RD COMPARE * port=0 adr=04 act=9947DD4DE6DCC6D3FD exp=9947DD4DE6DCC6D3FD + 30559.50ns INFO [00030561] Port=1 RD @00 + 30561.50ns INFO [00030563] * RD COMPARE * port=1 adr=00 act=1590744B5C0F593C13 exp=1590744B5C0F593C13 + 30562.50ns INFO [00030564] Port=0 RD @07 + 30562.50ns INFO [00030564] Port=1 RD @07 + 30563.50ns INFO [00030565] Port=0 RD @00 + 30563.50ns INFO [00030565] Port=1 RD @04 + 30564.50ns INFO [00030566] * RD COMPARE * port=0 adr=07 act=ECBCFA0ED3C1BADDE4 exp=ECBCFA0ED3C1BADDE4 + 30564.50ns INFO [00030566] * RD COMPARE * port=1 adr=07 act=ECBCFA0ED3C1BADDE4 exp=ECBCFA0ED3C1BADDE4 + 30564.50ns INFO [00030566] Port=0 WR @06=84BB8D70763F73B4A6 + 30565.50ns INFO [00030567] * RD COMPARE * port=0 adr=00 act=1590744B5C0F593C13 exp=1590744B5C0F593C13 + 30565.50ns INFO [00030567] * RD COMPARE * port=1 adr=04 act=9947DD4DE6DCC6D3FD exp=9947DD4DE6DCC6D3FD + 30565.50ns INFO [00030567] Port=0 WR @00=0BF8BFEC2015987355 + 30565.50ns INFO [00030567] Port=0 RD @06 + 30567.50ns INFO [00030569] * RD COMPARE * port=0 adr=06 act=84BB8D70763F73B4A6 exp=84BB8D70763F73B4A6 + 30568.50ns INFO [00030570] Port=0 RD @04 + 30568.50ns INFO [00030570] Port=1 RD @05 + 30569.50ns INFO [00030571] Port=0 RD @05 + 30570.50ns INFO [00030572] * RD COMPARE * port=0 adr=04 act=9947DD4DE6DCC6D3FD exp=9947DD4DE6DCC6D3FD + 30570.50ns INFO [00030572] * RD COMPARE * port=1 adr=05 act=DA8B4A1953E65BC1BA exp=DA8B4A1953E65BC1BA + 30570.50ns INFO [00030572] Port=0 WR @03=FD560A2B2EB85BB83B + 30570.50ns INFO [00030572] Port=0 RD @02 + 30570.50ns INFO [00030572] Port=1 RD @01 + 30571.50ns INFO [00030573] * RD COMPARE * port=0 adr=05 act=DA8B4A1953E65BC1BA exp=DA8B4A1953E65BC1BA + 30571.50ns INFO [00030573] Port=0 RD @00 + 30572.50ns INFO [00030574] * RD COMPARE * port=0 adr=02 act=81D4628688690D40A0 exp=81D4628688690D40A0 + 30572.50ns INFO [00030574] * RD COMPARE * port=1 adr=01 act=29C359D860CB010C9F exp=29C359D860CB010C9F + 30572.50ns INFO [00030574] Port=0 WR @02=B21F8ACDC74ECCEEE5 + 30572.50ns INFO [00030574] Port=0 RD @00 + 30573.50ns INFO [00030575] * RD COMPARE * port=0 adr=00 act=0BF8BFEC2015987355 exp=0BF8BFEC2015987355 + 30573.50ns INFO [00030575] Port=0 WR @00=FD61CB6A0058349F28 + 30573.50ns INFO [00030575] Port=0 RD @01 + 30573.50ns INFO [00030575] Port=1 RD @04 + 30574.50ns INFO [00030576] * RD COMPARE * port=0 adr=00 act=0BF8BFEC2015987355 exp=0BF8BFEC2015987355 + 30574.50ns INFO [00030576] Port=0 WR @06=C5322CCA32F0DD14BA + 30574.50ns INFO [00030576] Port=0 RD @03 + 30574.50ns INFO [00030576] Port=1 RD @00 + 30575.50ns INFO [00030577] * RD COMPARE * port=0 adr=01 act=29C359D860CB010C9F exp=29C359D860CB010C9F + 30575.50ns INFO [00030577] * RD COMPARE * port=1 adr=04 act=9947DD4DE6DCC6D3FD exp=9947DD4DE6DCC6D3FD + 30575.50ns INFO [00030577] Port=0 WR @01=7F61A86E9386697D73 + 30575.50ns INFO [00030577] Port=0 RD @05 + 30575.50ns INFO [00030577] Port=1 RD @00 + 30576.50ns INFO [00030578] * RD COMPARE * port=0 adr=03 act=FD560A2B2EB85BB83B exp=FD560A2B2EB85BB83B + 30576.50ns INFO [00030578] * RD COMPARE * port=1 adr=00 act=FD61CB6A0058349F28 exp=FD61CB6A0058349F28 + 30577.50ns INFO [00030579] * RD COMPARE * port=0 adr=05 act=DA8B4A1953E65BC1BA exp=DA8B4A1953E65BC1BA + 30577.50ns INFO [00030579] * RD COMPARE * port=1 adr=00 act=FD61CB6A0058349F28 exp=FD61CB6A0058349F28 + 30578.50ns INFO [00030580] Port=1 RD @00 + 30579.50ns INFO [00030581] Port=0 RD @07 + 30580.50ns INFO [00030582] * RD COMPARE * port=1 adr=00 act=FD61CB6A0058349F28 exp=FD61CB6A0058349F28 + 30581.50ns INFO [00030583] * RD COMPARE * port=0 adr=07 act=ECBCFA0ED3C1BADDE4 exp=ECBCFA0ED3C1BADDE4 + 30581.50ns INFO [00030583] Port=1 RD @04 + 30582.50ns INFO [00030584] Port=0 RD @02 + 30582.50ns INFO [00030584] Port=1 RD @00 + 30583.50ns INFO [00030585] * RD COMPARE * port=1 adr=04 act=9947DD4DE6DCC6D3FD exp=9947DD4DE6DCC6D3FD + 30584.50ns INFO [00030586] * RD COMPARE * port=0 adr=02 act=B21F8ACDC74ECCEEE5 exp=B21F8ACDC74ECCEEE5 + 30584.50ns INFO [00030586] * RD COMPARE * port=1 adr=00 act=FD61CB6A0058349F28 exp=FD61CB6A0058349F28 + 30584.50ns INFO [00030586] Port=0 WR @07=6E6A993BD9EA6DAA8C + 30584.50ns INFO [00030586] Port=0 RD @02 + 30584.50ns INFO [00030586] Port=1 RD @05 + 30586.50ns INFO [00030588] * RD COMPARE * port=0 adr=02 act=B21F8ACDC74ECCEEE5 exp=B21F8ACDC74ECCEEE5 + 30586.50ns INFO [00030588] * RD COMPARE * port=1 adr=05 act=DA8B4A1953E65BC1BA exp=DA8B4A1953E65BC1BA + 30586.50ns INFO [00030588] Port=0 RD @05 + 30587.50ns INFO [00030589] Port=0 RD @04 + 30587.50ns INFO [00030589] Port=1 RD @01 + 30588.50ns INFO [00030590] * RD COMPARE * port=0 adr=05 act=DA8B4A1953E65BC1BA exp=DA8B4A1953E65BC1BA + 30588.50ns INFO [00030590] Port=0 WR @02=B7E071E17BE745E07D + 30588.50ns INFO [00030590] Port=1 RD @03 + 30589.50ns INFO [00030591] * RD COMPARE * port=0 adr=04 act=9947DD4DE6DCC6D3FD exp=9947DD4DE6DCC6D3FD + 30589.50ns INFO [00030591] * RD COMPARE * port=1 adr=01 act=7F61A86E9386697D73 exp=7F61A86E9386697D73 + 30590.50ns INFO [00030592] * RD COMPARE * port=1 adr=03 act=FD560A2B2EB85BB83B exp=FD560A2B2EB85BB83B + 30590.50ns INFO [00030592] Port=0 WR @05=1B2360E73D4DDD3F5D + 30590.50ns INFO [00030592] Port=0 RD @07 + 30591.50ns INFO [00030593] Port=0 WR @01=A6634CA4D24ACFA9A9 + 30591.50ns INFO [00030593] Port=0 RD @02 + 30592.50ns INFO [00030594] * RD COMPARE * port=0 adr=07 act=6E6A993BD9EA6DAA8C exp=6E6A993BD9EA6DAA8C + 30592.50ns INFO [00030594] Port=0 RD @07 + 30593.50ns INFO [00030595] * RD COMPARE * port=0 adr=02 act=B7E071E17BE745E07D exp=B7E071E17BE745E07D + 30593.50ns INFO [00030595] Port=0 RD @05 + 30594.50ns INFO [00030596] * RD COMPARE * port=0 adr=07 act=6E6A993BD9EA6DAA8C exp=6E6A993BD9EA6DAA8C + 30595.50ns INFO [00030597] * RD COMPARE * port=0 adr=05 act=1B2360E73D4DDD3F5D exp=1B2360E73D4DDD3F5D + 30595.50ns INFO [00030597] Port=1 RD @05 + 30596.50ns INFO [00030598] Port=0 WR @02=5ED732CED31F791A26 + 30597.50ns INFO [00030599] * RD COMPARE * port=1 adr=05 act=1B2360E73D4DDD3F5D exp=1B2360E73D4DDD3F5D + 30598.00ns INFO [00030600] [00030600] ...tick... + 30600.50ns INFO [00030602] Port=0 WR @00=10C49DCFCE60AE95A1 + 30601.50ns INFO [00030603] Port=0 RD @01 + 30601.50ns INFO [00030603] Port=1 RD @02 + 30602.50ns INFO [00030604] Port=1 RD @00 + 30603.50ns INFO [00030605] * RD COMPARE * port=0 adr=01 act=A6634CA4D24ACFA9A9 exp=A6634CA4D24ACFA9A9 + 30603.50ns INFO [00030605] * RD COMPARE * port=1 adr=02 act=5ED732CED31F791A26 exp=5ED732CED31F791A26 + 30603.50ns INFO [00030605] Port=0 RD @05 + 30604.50ns INFO [00030606] * RD COMPARE * port=1 adr=00 act=10C49DCFCE60AE95A1 exp=10C49DCFCE60AE95A1 + 30604.50ns INFO [00030606] Port=0 RD @05 + 30605.50ns INFO [00030607] * RD COMPARE * port=0 adr=05 act=1B2360E73D4DDD3F5D exp=1B2360E73D4DDD3F5D + 30605.50ns INFO [00030607] Port=0 RD @01 + 30606.50ns INFO [00030608] * RD COMPARE * port=0 adr=05 act=1B2360E73D4DDD3F5D exp=1B2360E73D4DDD3F5D + 30606.50ns INFO [00030608] Port=0 RD @06 + 30607.50ns INFO [00030609] * RD COMPARE * port=0 adr=01 act=A6634CA4D24ACFA9A9 exp=A6634CA4D24ACFA9A9 + 30607.50ns INFO [00030609] Port=0 RD @00 + 30608.50ns INFO [00030610] * RD COMPARE * port=0 adr=06 act=C5322CCA32F0DD14BA exp=C5322CCA32F0DD14BA + 30609.50ns INFO [00030611] * RD COMPARE * port=0 adr=00 act=10C49DCFCE60AE95A1 exp=10C49DCFCE60AE95A1 + 30609.50ns INFO [00030611] Port=0 WR @04=FFC2E623E9431A5EBD + 30609.50ns INFO [00030611] Port=1 RD @02 + 30610.50ns INFO [00030612] Port=1 RD @07 + 30611.50ns INFO [00030613] * RD COMPARE * port=1 adr=02 act=5ED732CED31F791A26 exp=5ED732CED31F791A26 + 30611.50ns INFO [00030613] Port=0 WR @04=A967E79B57E0200FAF + 30612.50ns INFO [00030614] * RD COMPARE * port=1 adr=07 act=6E6A993BD9EA6DAA8C exp=6E6A993BD9EA6DAA8C + 30612.50ns INFO [00030614] Port=0 WR @01=DBF541C75143CA5B69 + 30613.50ns INFO [00030615] Port=0 RD @07 + 30614.50ns INFO [00030616] Port=1 RD @00 + 30615.50ns INFO [00030617] * RD COMPARE * port=0 adr=07 act=6E6A993BD9EA6DAA8C exp=6E6A993BD9EA6DAA8C + 30615.50ns INFO [00030617] Port=0 WR @02=345F659C393D9E8949 + 30616.50ns INFO [00030618] * RD COMPARE * port=1 adr=00 act=10C49DCFCE60AE95A1 exp=10C49DCFCE60AE95A1 + 30616.50ns INFO [00030618] Port=0 RD @02 + 30616.50ns INFO [00030618] Port=1 RD @05 + 30617.50ns INFO [00030619] Port=0 RD @07 + 30617.50ns INFO [00030619] Port=1 RD @02 + 30618.50ns INFO [00030620] * RD COMPARE * port=0 adr=02 act=345F659C393D9E8949 exp=345F659C393D9E8949 + 30618.50ns INFO [00030620] * RD COMPARE * port=1 adr=05 act=1B2360E73D4DDD3F5D exp=1B2360E73D4DDD3F5D + 30618.50ns INFO [00030620] Port=0 WR @05=885F6496D700B499B0 + 30618.50ns INFO [00030620] Port=1 RD @07 + 30619.50ns INFO [00030621] * RD COMPARE * port=0 adr=07 act=6E6A993BD9EA6DAA8C exp=6E6A993BD9EA6DAA8C + 30619.50ns INFO [00030621] * RD COMPARE * port=1 adr=02 act=345F659C393D9E8949 exp=345F659C393D9E8949 + 30619.50ns INFO [00030621] Port=0 WR @03=B4DA47E54006283256 + 30619.50ns INFO [00030621] Port=1 RD @07 + 30620.50ns INFO [00030622] * RD COMPARE * port=1 adr=07 act=6E6A993BD9EA6DAA8C exp=6E6A993BD9EA6DAA8C + 30620.50ns INFO [00030622] Port=0 WR @03=EE5ECD83358F1129F7 + 30620.50ns INFO [00030622] Port=0 RD @05 + 30621.50ns INFO [00030623] * RD COMPARE * port=1 adr=07 act=6E6A993BD9EA6DAA8C exp=6E6A993BD9EA6DAA8C + 30621.50ns INFO [00030623] Port=0 WR @04=CC27C14EA00310DA6A + 30622.50ns INFO [00030624] * RD COMPARE * port=0 adr=05 act=885F6496D700B499B0 exp=885F6496D700B499B0 + 30623.50ns INFO [00030625] Port=1 RD @02 + 30625.50ns INFO [00030627] * RD COMPARE * port=1 adr=02 act=345F659C393D9E8949 exp=345F659C393D9E8949 + 30625.50ns INFO [00030627] Port=0 WR @00=59C0961A75C52E75E7 + 30625.50ns INFO [00030627] Port=1 RD @02 + 30626.50ns INFO [00030628] Port=0 WR @02=D100D30DCFBB6A9916 + 30626.50ns INFO [00030628] Port=0 RD @06 + 30627.50ns INFO [00030629] * RD COMPARE * port=1 adr=02 act=345F659C393D9E8949 exp=345F659C393D9E8949 + 30627.50ns INFO [00030629] Port=0 RD @04 + 30628.50ns INFO [00030630] * RD COMPARE * port=0 adr=06 act=C5322CCA32F0DD14BA exp=C5322CCA32F0DD14BA + 30629.50ns INFO [00030631] * RD COMPARE * port=0 adr=04 act=CC27C14EA00310DA6A exp=CC27C14EA00310DA6A + 30629.50ns INFO [00030631] Port=0 RD @04 + 30629.50ns INFO [00030631] Port=1 RD @04 + 30630.50ns INFO [00030632] Port=0 WR @07=983A0174D15EB08BC7 + 30631.50ns INFO [00030633] * RD COMPARE * port=0 adr=04 act=CC27C14EA00310DA6A exp=CC27C14EA00310DA6A + 30631.50ns INFO [00030633] * RD COMPARE * port=1 adr=04 act=CC27C14EA00310DA6A exp=CC27C14EA00310DA6A + 30631.50ns INFO [00030633] Port=0 RD @05 + 30631.50ns INFO [00030633] Port=1 RD @07 + 30632.50ns INFO [00030634] Port=0 RD @05 + 30633.50ns INFO [00030635] * RD COMPARE * port=0 adr=05 act=885F6496D700B499B0 exp=885F6496D700B499B0 + 30633.50ns INFO [00030635] * RD COMPARE * port=1 adr=07 act=983A0174D15EB08BC7 exp=983A0174D15EB08BC7 + 30633.50ns INFO [00030635] Port=0 WR @00=0144B6C0EB4923BFA7 + 30634.50ns INFO [00030636] * RD COMPARE * port=0 adr=05 act=885F6496D700B499B0 exp=885F6496D700B499B0 + 30634.50ns INFO [00030636] Port=0 WR @01=20515C238054B2FAF4 + 30634.50ns INFO [00030636] Port=0 RD @07 + 30635.50ns INFO [00030637] Port=0 WR @05=E53D7A9B6495F1AA72 + 30635.50ns INFO [00030637] Port=0 RD @06 + 30635.50ns INFO [00030637] Port=1 RD @00 + 30636.50ns INFO [00030638] * RD COMPARE * port=0 adr=07 act=983A0174D15EB08BC7 exp=983A0174D15EB08BC7 + 30636.50ns INFO [00030638] Port=0 RD @02 + 30636.50ns INFO [00030638] Port=1 RD @01 + 30637.50ns INFO [00030639] * RD COMPARE * port=0 adr=06 act=C5322CCA32F0DD14BA exp=C5322CCA32F0DD14BA + 30637.50ns INFO [00030639] * RD COMPARE * port=1 adr=00 act=0144B6C0EB4923BFA7 exp=0144B6C0EB4923BFA7 + 30638.50ns INFO [00030640] * RD COMPARE * port=0 adr=02 act=D100D30DCFBB6A9916 exp=D100D30DCFBB6A9916 + 30638.50ns INFO [00030640] * RD COMPARE * port=1 adr=01 act=20515C238054B2FAF4 exp=20515C238054B2FAF4 + 30638.50ns INFO [00030640] Port=0 WR @06=D5A4EC5124266B4815 + 30638.50ns INFO [00030640] Port=1 RD @03 + 30639.50ns INFO [00030641] Port=0 RD @03 + 30640.50ns INFO [00030642] * RD COMPARE * port=1 adr=03 act=EE5ECD83358F1129F7 exp=EE5ECD83358F1129F7 + 30640.50ns INFO [00030642] Port=0 WR @07=663BB821EF45A745BC + 30641.50ns INFO [00030643] * RD COMPARE * port=0 adr=03 act=EE5ECD83358F1129F7 exp=EE5ECD83358F1129F7 + 30641.50ns INFO [00030643] Port=0 WR @00=F031E2DFE4A4E90924 + 30641.50ns INFO [00030643] Port=1 RD @03 + 30642.50ns INFO [00030644] Port=1 RD @04 + 30643.50ns INFO [00030645] * RD COMPARE * port=1 adr=03 act=EE5ECD83358F1129F7 exp=EE5ECD83358F1129F7 + 30643.50ns INFO [00030645] Port=0 WR @03=A2F31B86F742C40224 + 30643.50ns INFO [00030645] Port=1 RD @06 + 30644.50ns INFO [00030646] * RD COMPARE * port=1 adr=04 act=CC27C14EA00310DA6A exp=CC27C14EA00310DA6A + 30644.50ns INFO [00030646] Port=0 WR @07=359D42603D7593E9E7 + 30644.50ns INFO [00030646] Port=0 RD @02 + 30645.50ns INFO [00030647] * RD COMPARE * port=1 adr=06 act=D5A4EC5124266B4815 exp=D5A4EC5124266B4815 + 30645.50ns INFO [00030647] Port=0 WR @04=E7601A4B201D7B49BB + 30645.50ns INFO [00030647] Port=1 RD @00 + 30646.50ns INFO [00030648] * RD COMPARE * port=0 adr=02 act=D100D30DCFBB6A9916 exp=D100D30DCFBB6A9916 + 30646.50ns INFO [00030648] Port=0 RD @03 + 30647.50ns INFO [00030649] * RD COMPARE * port=1 adr=00 act=F031E2DFE4A4E90924 exp=F031E2DFE4A4E90924 + 30647.50ns INFO [00030649] Port=0 RD @02 + 30647.50ns INFO [00030649] Port=1 RD @06 + 30648.50ns INFO [00030650] * RD COMPARE * port=0 adr=03 act=A2F31B86F742C40224 exp=A2F31B86F742C40224 + 30648.50ns INFO [00030650] Port=0 WR @03=64A29758343362E96F + 30648.50ns INFO [00030650] Port=0 RD @01 + 30649.50ns INFO [00030651] * RD COMPARE * port=0 adr=02 act=D100D30DCFBB6A9916 exp=D100D30DCFBB6A9916 + 30649.50ns INFO [00030651] * RD COMPARE * port=1 adr=06 act=D5A4EC5124266B4815 exp=D5A4EC5124266B4815 + 30649.50ns INFO [00030651] Port=0 WR @04=ECF944753D3B92A44A + 30649.50ns INFO [00030651] Port=1 RD @03 + 30650.50ns INFO [00030652] * RD COMPARE * port=0 adr=01 act=20515C238054B2FAF4 exp=20515C238054B2FAF4 + 30651.50ns INFO [00030653] * RD COMPARE * port=1 adr=03 act=64A29758343362E96F exp=64A29758343362E96F + 30651.50ns INFO [00030653] Port=1 RD @03 + 30652.50ns INFO [00030654] Port=0 WR @06=B3E07E86F13850C1C6 + 30652.50ns INFO [00030654] Port=0 RD @07 + 30652.50ns INFO [00030654] Port=1 RD @02 + 30653.50ns INFO [00030655] * RD COMPARE * port=1 adr=03 act=64A29758343362E96F exp=64A29758343362E96F + 30653.50ns INFO [00030655] Port=0 RD @07 + 30653.50ns INFO [00030655] Port=1 RD @00 + 30654.50ns INFO [00030656] * RD COMPARE * port=0 adr=07 act=359D42603D7593E9E7 exp=359D42603D7593E9E7 + 30654.50ns INFO [00030656] * RD COMPARE * port=1 adr=02 act=D100D30DCFBB6A9916 exp=D100D30DCFBB6A9916 + 30654.50ns INFO [00030656] Port=0 WR @00=9D3710C51B833D9AE6 + 30654.50ns INFO [00030656] Port=0 RD @07 + 30654.50ns INFO [00030656] Port=1 RD @07 + 30655.50ns INFO [00030657] * RD COMPARE * port=0 adr=07 act=359D42603D7593E9E7 exp=359D42603D7593E9E7 + 30655.50ns INFO [00030657] * RD COMPARE * port=1 adr=00 act=F031E2DFE4A4E90924 exp=F031E2DFE4A4E90924 + 30656.50ns INFO [00030658] * RD COMPARE * port=0 adr=07 act=359D42603D7593E9E7 exp=359D42603D7593E9E7 + 30656.50ns INFO [00030658] * RD COMPARE * port=1 adr=07 act=359D42603D7593E9E7 exp=359D42603D7593E9E7 + 30657.50ns INFO [00030659] Port=0 RD @04 + 30657.50ns INFO [00030659] Port=1 RD @02 + 30658.50ns INFO [00030660] Port=0 WR @07=E8A77EDC045D503739 + 30658.50ns INFO [00030660] Port=0 RD @00 + 30659.50ns INFO [00030661] * RD COMPARE * port=0 adr=04 act=ECF944753D3B92A44A exp=ECF944753D3B92A44A + 30659.50ns INFO [00030661] * RD COMPARE * port=1 adr=02 act=D100D30DCFBB6A9916 exp=D100D30DCFBB6A9916 + 30659.50ns INFO [00030661] Port=0 RD @00 + 30659.50ns INFO [00030661] Port=1 RD @07 + 30660.50ns INFO [00030662] * RD COMPARE * port=0 adr=00 act=9D3710C51B833D9AE6 exp=9D3710C51B833D9AE6 + 30660.50ns INFO [00030662] Port=0 WR @04=2F0CE8F20388C7BD0C + 30660.50ns INFO [00030662] Port=0 RD @03 + 30660.50ns INFO [00030662] Port=1 RD @06 + 30661.50ns INFO [00030663] * RD COMPARE * port=0 adr=00 act=9D3710C51B833D9AE6 exp=9D3710C51B833D9AE6 + 30661.50ns INFO [00030663] * RD COMPARE * port=1 adr=07 act=E8A77EDC045D503739 exp=E8A77EDC045D503739 + 30662.50ns INFO [00030664] * RD COMPARE * port=0 adr=03 act=64A29758343362E96F exp=64A29758343362E96F + 30662.50ns INFO [00030664] * RD COMPARE * port=1 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30662.50ns INFO [00030664] Port=0 RD @04 + 30662.50ns INFO [00030664] Port=1 RD @00 + 30663.50ns INFO [00030665] Port=0 WR @03=91E085E17E05B23B45 + 30664.50ns INFO [00030666] * RD COMPARE * port=0 adr=04 act=2F0CE8F20388C7BD0C exp=2F0CE8F20388C7BD0C + 30664.50ns INFO [00030666] * RD COMPARE * port=1 adr=00 act=9D3710C51B833D9AE6 exp=9D3710C51B833D9AE6 + 30664.50ns INFO [00030666] Port=1 RD @00 + 30666.50ns INFO [00030668] * RD COMPARE * port=1 adr=00 act=9D3710C51B833D9AE6 exp=9D3710C51B833D9AE6 + 30666.50ns INFO [00030668] Port=0 WR @02=8A946E6191A6B3D59A + 30666.50ns INFO [00030668] Port=0 RD @06 + 30666.50ns INFO [00030668] Port=1 RD @03 + 30668.50ns INFO [00030670] * RD COMPARE * port=0 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30668.50ns INFO [00030670] * RD COMPARE * port=1 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30668.50ns INFO [00030670] Port=0 WR @04=44D3AED4B3E825C8E7 + 30668.50ns INFO [00030670] Port=0 RD @00 + 30669.50ns INFO [00030671] Port=0 RD @01 + 30670.50ns INFO [00030672] * RD COMPARE * port=0 adr=00 act=9D3710C51B833D9AE6 exp=9D3710C51B833D9AE6 + 30671.50ns INFO [00030673] * RD COMPARE * port=0 adr=01 act=20515C238054B2FAF4 exp=20515C238054B2FAF4 + 30671.50ns INFO [00030673] Port=0 RD @01 + 30671.50ns INFO [00030673] Port=1 RD @02 + 30672.50ns INFO [00030674] Port=0 RD @07 + 30673.50ns INFO [00030675] * RD COMPARE * port=0 adr=01 act=20515C238054B2FAF4 exp=20515C238054B2FAF4 + 30673.50ns INFO [00030675] * RD COMPARE * port=1 adr=02 act=8A946E6191A6B3D59A exp=8A946E6191A6B3D59A + 30673.50ns INFO [00030675] Port=0 RD @01 + 30674.50ns INFO [00030676] * RD COMPARE * port=0 adr=07 act=E8A77EDC045D503739 exp=E8A77EDC045D503739 + 30674.50ns INFO [00030676] Port=0 RD @04 + 30675.50ns INFO [00030677] * RD COMPARE * port=0 adr=01 act=20515C238054B2FAF4 exp=20515C238054B2FAF4 + 30676.50ns INFO [00030678] * RD COMPARE * port=0 adr=04 act=44D3AED4B3E825C8E7 exp=44D3AED4B3E825C8E7 + 30676.50ns INFO [00030678] Port=0 WR @02=02C936A312C5DDAE35 + 30676.50ns INFO [00030678] Port=0 RD @06 + 30677.50ns INFO [00030679] Port=0 RD @06 + 30677.50ns INFO [00030679] Port=1 RD @00 + 30678.50ns INFO [00030680] * RD COMPARE * port=0 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30679.50ns INFO [00030681] * RD COMPARE * port=0 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30679.50ns INFO [00030681] * RD COMPARE * port=1 adr=00 act=9D3710C51B833D9AE6 exp=9D3710C51B833D9AE6 + 30679.50ns INFO [00030681] Port=0 WR @07=0F22DA52381AF875CB + 30679.50ns INFO [00030681] Port=1 RD @05 + 30680.50ns INFO [00030682] Port=0 RD @01 + 30680.50ns INFO [00030682] Port=1 RD @01 + 30681.50ns INFO [00030683] * RD COMPARE * port=1 adr=05 act=E53D7A9B6495F1AA72 exp=E53D7A9B6495F1AA72 + 30681.50ns INFO [00030683] Port=1 RD @00 + 30682.50ns INFO [00030684] * RD COMPARE * port=0 adr=01 act=20515C238054B2FAF4 exp=20515C238054B2FAF4 + 30682.50ns INFO [00030684] * RD COMPARE * port=1 adr=01 act=20515C238054B2FAF4 exp=20515C238054B2FAF4 + 30683.50ns INFO [00030685] * RD COMPARE * port=1 adr=00 act=9D3710C51B833D9AE6 exp=9D3710C51B833D9AE6 + 30684.50ns INFO [00030686] Port=0 RD @04 + 30684.50ns INFO [00030686] Port=1 RD @01 + 30686.50ns INFO [00030688] * RD COMPARE * port=0 adr=04 act=44D3AED4B3E825C8E7 exp=44D3AED4B3E825C8E7 + 30686.50ns INFO [00030688] * RD COMPARE * port=1 adr=01 act=20515C238054B2FAF4 exp=20515C238054B2FAF4 + 30686.50ns INFO [00030688] Port=0 WR @07=83BD455353250E5705 + 30686.50ns INFO [00030688] Port=1 RD @04 + 30687.50ns INFO [00030689] Port=0 WR @00=9609643C7090EEEA48 + 30687.50ns INFO [00030689] Port=0 RD @03 + 30687.50ns INFO [00030689] Port=1 RD @02 + 30688.50ns INFO [00030690] * RD COMPARE * port=1 adr=04 act=44D3AED4B3E825C8E7 exp=44D3AED4B3E825C8E7 + 30688.50ns INFO [00030690] Port=1 RD @05 + 30689.50ns INFO [00030691] * RD COMPARE * port=0 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30689.50ns INFO [00030691] * RD COMPARE * port=1 adr=02 act=02C936A312C5DDAE35 exp=02C936A312C5DDAE35 + 30689.50ns INFO [00030691] Port=0 RD @05 + 30689.50ns INFO [00030691] Port=1 RD @03 + 30690.50ns INFO [00030692] * RD COMPARE * port=1 adr=05 act=E53D7A9B6495F1AA72 exp=E53D7A9B6495F1AA72 + 30690.50ns INFO [00030692] Port=0 RD @07 + 30690.50ns INFO [00030692] Port=1 RD @06 + 30691.50ns INFO [00030693] * RD COMPARE * port=0 adr=05 act=E53D7A9B6495F1AA72 exp=E53D7A9B6495F1AA72 + 30691.50ns INFO [00030693] * RD COMPARE * port=1 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30692.50ns INFO [00030694] * RD COMPARE * port=0 adr=07 act=83BD455353250E5705 exp=83BD455353250E5705 + 30692.50ns INFO [00030694] * RD COMPARE * port=1 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30692.50ns INFO [00030694] Port=0 WR @01=082404A706F1EC80E3 + 30692.50ns INFO [00030694] Port=1 RD @07 + 30693.50ns INFO [00030695] Port=1 RD @02 + 30694.50ns INFO [00030696] * RD COMPARE * port=1 adr=07 act=83BD455353250E5705 exp=83BD455353250E5705 + 30694.50ns INFO [00030696] Port=0 WR @02=070110B04415762030 + 30694.50ns INFO [00030696] Port=1 RD @06 + 30695.50ns INFO [00030697] * RD COMPARE * port=1 adr=02 act=02C936A312C5DDAE35 exp=02C936A312C5DDAE35 + 30696.50ns INFO [00030698] * RD COMPARE * port=1 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30696.50ns INFO [00030698] Port=0 RD @05 + 30697.50ns INFO [00030699] Port=0 RD @05 + 30697.50ns INFO [00030699] Port=1 RD @00 + 30698.00ns INFO [00030700] [00030700] ...tick... + 30698.50ns INFO [00030700] * RD COMPARE * port=0 adr=05 act=E53D7A9B6495F1AA72 exp=E53D7A9B6495F1AA72 + 30699.50ns INFO [00030701] * RD COMPARE * port=0 adr=05 act=E53D7A9B6495F1AA72 exp=E53D7A9B6495F1AA72 + 30699.50ns INFO [00030701] * RD COMPARE * port=1 adr=00 act=9609643C7090EEEA48 exp=9609643C7090EEEA48 + 30699.50ns INFO [00030701] Port=0 WR @00=69593161EBB5EA7065 + 30699.50ns INFO [00030701] Port=1 RD @06 + 30701.50ns INFO [00030703] * RD COMPARE * port=1 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30701.50ns INFO [00030703] Port=0 WR @07=FA0CAF95B70DC07059 + 30701.50ns INFO [00030703] Port=0 RD @02 + 30702.50ns INFO [00030704] Port=0 WR @07=F07AD773166B65F2F3 + 30702.50ns INFO [00030704] Port=0 RD @05 + 30703.50ns INFO [00030705] * RD COMPARE * port=0 adr=02 act=070110B04415762030 exp=070110B04415762030 + 30704.50ns INFO [00030706] * RD COMPARE * port=0 adr=05 act=E53D7A9B6495F1AA72 exp=E53D7A9B6495F1AA72 + 30705.50ns INFO [00030707] Port=0 WR @00=5A54199CAE3DDFCDDE + 30706.50ns INFO [00030708] Port=0 WR @02=08F6331609AEC0ED27 + 30706.50ns INFO [00030708] Port=1 RD @01 + 30707.50ns INFO [00030709] Port=0 WR @01=4186723EEA27E27FD8 + 30708.50ns INFO [00030710] * RD COMPARE * port=1 adr=01 act=082404A706F1EC80E3 exp=082404A706F1EC80E3 + 30708.50ns INFO [00030710] Port=0 WR @01=5848E38299217BA9BF + 30709.50ns INFO [00030711] Port=0 RD @06 + 30709.50ns INFO [00030711] Port=1 RD @02 + 30711.50ns INFO [00030713] * RD COMPARE * port=0 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30711.50ns INFO [00030713] * RD COMPARE * port=1 adr=02 act=08F6331609AEC0ED27 exp=08F6331609AEC0ED27 + 30713.50ns INFO [00030715] Port=1 RD @06 + 30714.50ns INFO [00030716] Port=1 RD @07 + 30715.50ns INFO [00030717] * RD COMPARE * port=1 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30715.50ns INFO [00030717] Port=0 WR @01=C6F3E8D4BC4A94C447 + 30716.50ns INFO [00030718] * RD COMPARE * port=1 adr=07 act=F07AD773166B65F2F3 exp=F07AD773166B65F2F3 + 30716.50ns INFO [00030718] Port=0 RD @03 + 30716.50ns INFO [00030718] Port=1 RD @03 + 30718.50ns INFO [00030720] * RD COMPARE * port=0 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30718.50ns INFO [00030720] * RD COMPARE * port=1 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30718.50ns INFO [00030720] Port=0 RD @02 + 30718.50ns INFO [00030720] Port=1 RD @00 + 30719.50ns INFO [00030721] Port=0 WR @02=491865D4DC87F6BBB4 + 30719.50ns INFO [00030721] Port=1 RD @03 + 30720.50ns INFO [00030722] * RD COMPARE * port=0 adr=02 act=08F6331609AEC0ED27 exp=08F6331609AEC0ED27 + 30720.50ns INFO [00030722] * RD COMPARE * port=1 adr=00 act=5A54199CAE3DDFCDDE exp=5A54199CAE3DDFCDDE + 30720.50ns INFO [00030722] Port=0 WR @00=0ED933965E6008AD27 + 30720.50ns INFO [00030722] Port=0 RD @03 + 30721.50ns INFO [00030723] * RD COMPARE * port=1 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30721.50ns INFO [00030723] Port=0 WR @04=C34A45E05A72721404 + 30721.50ns INFO [00030723] Port=0 RD @06 + 30721.50ns INFO [00030723] Port=1 RD @05 + 30722.50ns INFO [00030724] * RD COMPARE * port=0 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30722.50ns INFO [00030724] Port=0 RD @02 + 30723.50ns INFO [00030725] * RD COMPARE * port=0 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30723.50ns INFO [00030725] * RD COMPARE * port=1 adr=05 act=E53D7A9B6495F1AA72 exp=E53D7A9B6495F1AA72 + 30723.50ns INFO [00030725] Port=0 RD @00 + 30723.50ns INFO [00030725] Port=1 RD @05 + 30724.50ns INFO [00030726] * RD COMPARE * port=0 adr=02 act=491865D4DC87F6BBB4 exp=491865D4DC87F6BBB4 + 30724.50ns INFO [00030726] Port=0 WR @05=4651BE47C239F1DA3F + 30724.50ns INFO [00030726] Port=0 RD @03 + 30724.50ns INFO [00030726] Port=1 RD @03 + 30725.50ns INFO [00030727] * RD COMPARE * port=0 adr=00 act=0ED933965E6008AD27 exp=0ED933965E6008AD27 + 30725.50ns INFO [00030727] * RD COMPARE * port=1 adr=05 act=E53D7A9B6495F1AA72 exp=E53D7A9B6495F1AA72 + 30725.50ns INFO [00030727] Port=0 RD @07 + 30725.50ns INFO [00030727] Port=1 RD @03 + 30726.50ns INFO [00030728] * RD COMPARE * port=0 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30726.50ns INFO [00030728] * RD COMPARE * port=1 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30726.50ns INFO [00030728] Port=0 RD @04 + 30726.50ns INFO [00030728] Port=1 RD @07 + 30727.50ns INFO [00030729] * RD COMPARE * port=0 adr=07 act=F07AD773166B65F2F3 exp=F07AD773166B65F2F3 + 30727.50ns INFO [00030729] * RD COMPARE * port=1 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30727.50ns INFO [00030729] Port=0 RD @02 + 30727.50ns INFO [00030729] Port=1 RD @05 + 30728.50ns INFO [00030730] * RD COMPARE * port=0 adr=04 act=C34A45E05A72721404 exp=C34A45E05A72721404 + 30728.50ns INFO [00030730] * RD COMPARE * port=1 adr=07 act=F07AD773166B65F2F3 exp=F07AD773166B65F2F3 + 30728.50ns INFO [00030730] Port=0 WR @01=260A9054941DA8407C + 30728.50ns INFO [00030730] Port=1 RD @06 + 30729.50ns INFO [00030731] * RD COMPARE * port=0 adr=02 act=491865D4DC87F6BBB4 exp=491865D4DC87F6BBB4 + 30729.50ns INFO [00030731] * RD COMPARE * port=1 adr=05 act=4651BE47C239F1DA3F exp=4651BE47C239F1DA3F + 30729.50ns INFO [00030731] Port=0 WR @06=0C64F30A528E770664 + 30729.50ns INFO [00030731] Port=0 RD @03 + 30729.50ns INFO [00030731] Port=1 RD @05 + 30730.50ns INFO [00030732] * RD COMPARE * port=1 adr=06 act=B3E07E86F13850C1C6 exp=B3E07E86F13850C1C6 + 30730.50ns INFO [00030732] Port=0 WR @06=BBF37B0B23687C8826 + 30730.50ns INFO [00030732] Port=1 RD @02 + 30731.50ns INFO [00030733] * RD COMPARE * port=0 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30731.50ns INFO [00030733] * RD COMPARE * port=1 adr=05 act=4651BE47C239F1DA3F exp=4651BE47C239F1DA3F + 30731.50ns INFO [00030733] Port=0 RD @07 + 30732.50ns INFO [00030734] * RD COMPARE * port=1 adr=02 act=491865D4DC87F6BBB4 exp=491865D4DC87F6BBB4 + 30732.50ns INFO [00030734] Port=0 RD @01 + 30733.50ns INFO [00030735] * RD COMPARE * port=0 adr=07 act=F07AD773166B65F2F3 exp=F07AD773166B65F2F3 + 30733.50ns INFO [00030735] Port=0 WR @05=7973E092B0D6AB9139 + 30733.50ns INFO [00030735] Port=0 RD @03 + 30733.50ns INFO [00030735] Port=1 RD @03 + 30734.50ns INFO [00030736] * RD COMPARE * port=0 adr=01 act=260A9054941DA8407C exp=260A9054941DA8407C + 30734.50ns INFO [00030736] Port=0 WR @00=093280F76D4E5A3956 + 30735.50ns INFO [00030737] * RD COMPARE * port=0 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30735.50ns INFO [00030737] * RD COMPARE * port=1 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30735.50ns INFO [00030737] Port=0 WR @01=C638F0746D1F22FEC5 + 30735.50ns INFO [00030737] Port=1 RD @06 + 30737.50ns INFO [00030739] * RD COMPARE * port=1 adr=06 act=BBF37B0B23687C8826 exp=BBF37B0B23687C8826 + 30737.50ns INFO [00030739] Port=0 WR @00=DCC58A72E332F419BE + 30737.50ns INFO [00030739] Port=0 RD @01 + 30737.50ns INFO [00030739] Port=1 RD @02 + 30738.50ns INFO [00030740] Port=0 RD @07 + 30738.50ns INFO [00030740] Port=1 RD @05 + 30739.50ns INFO [00030741] * RD COMPARE * port=0 adr=01 act=C638F0746D1F22FEC5 exp=C638F0746D1F22FEC5 + 30739.50ns INFO [00030741] * RD COMPARE * port=1 adr=02 act=491865D4DC87F6BBB4 exp=491865D4DC87F6BBB4 + 30739.50ns INFO [00030741] Port=0 RD @01 + 30740.50ns INFO [00030742] * RD COMPARE * port=0 adr=07 act=F07AD773166B65F2F3 exp=F07AD773166B65F2F3 + 30740.50ns INFO [00030742] * RD COMPARE * port=1 adr=05 act=7973E092B0D6AB9139 exp=7973E092B0D6AB9139 + 30741.50ns INFO [00030743] * RD COMPARE * port=0 adr=01 act=C638F0746D1F22FEC5 exp=C638F0746D1F22FEC5 + 30741.50ns INFO [00030743] Port=1 RD @07 + 30742.50ns INFO [00030744] Port=0 RD @05 + 30742.50ns INFO [00030744] Port=1 RD @00 + 30743.50ns INFO [00030745] * RD COMPARE * port=1 adr=07 act=F07AD773166B65F2F3 exp=F07AD773166B65F2F3 + 30744.50ns INFO [00030746] * RD COMPARE * port=0 adr=05 act=7973E092B0D6AB9139 exp=7973E092B0D6AB9139 + 30744.50ns INFO [00030746] * RD COMPARE * port=1 adr=00 act=DCC58A72E332F419BE exp=DCC58A72E332F419BE + 30747.50ns INFO [00030749] Port=0 WR @07=19F228CD86D265866E + 30747.50ns INFO [00030749] Port=0 RD @06 + 30748.50ns INFO [00030750] Port=0 WR @02=D9CB4F8A366CBBDCBD + 30748.50ns INFO [00030750] Port=1 RD @04 + 30749.50ns INFO [00030751] * RD COMPARE * port=0 adr=06 act=BBF37B0B23687C8826 exp=BBF37B0B23687C8826 + 30749.50ns INFO [00030751] Port=0 RD @01 + 30750.50ns INFO [00030752] * RD COMPARE * port=1 adr=04 act=C34A45E05A72721404 exp=C34A45E05A72721404 + 30750.50ns INFO [00030752] Port=0 RD @02 + 30751.50ns INFO [00030753] * RD COMPARE * port=0 adr=01 act=C638F0746D1F22FEC5 exp=C638F0746D1F22FEC5 + 30752.50ns INFO [00030754] * RD COMPARE * port=0 adr=02 act=D9CB4F8A366CBBDCBD exp=D9CB4F8A366CBBDCBD + 30752.50ns INFO [00030754] Port=0 RD @03 + 30752.50ns INFO [00030754] Port=1 RD @00 + 30754.50ns INFO [00030756] * RD COMPARE * port=0 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30754.50ns INFO [00030756] * RD COMPARE * port=1 adr=00 act=DCC58A72E332F419BE exp=DCC58A72E332F419BE + 30754.50ns INFO [00030756] Port=0 WR @07=C73A833C268D5B9F50 + 30754.50ns INFO [00030756] Port=1 RD @06 + 30755.50ns INFO [00030757] Port=0 RD @04 + 30756.50ns INFO [00030758] * RD COMPARE * port=1 adr=06 act=BBF37B0B23687C8826 exp=BBF37B0B23687C8826 + 30756.50ns INFO [00030758] Port=1 RD @00 + 30757.50ns INFO [00030759] * RD COMPARE * port=0 adr=04 act=C34A45E05A72721404 exp=C34A45E05A72721404 + 30757.50ns INFO [00030759] Port=1 RD @05 + 30758.50ns INFO [00030760] * RD COMPARE * port=1 adr=00 act=DCC58A72E332F419BE exp=DCC58A72E332F419BE + 30758.50ns INFO [00030760] Port=0 RD @02 + 30759.50ns INFO [00030761] * RD COMPARE * port=1 adr=05 act=7973E092B0D6AB9139 exp=7973E092B0D6AB9139 + 30759.50ns INFO [00030761] Port=0 WR @04=80CC23E690AAD538A2 + 30759.50ns INFO [00030761] Port=1 RD @03 + 30760.50ns INFO [00030762] * RD COMPARE * port=0 adr=02 act=D9CB4F8A366CBBDCBD exp=D9CB4F8A366CBBDCBD + 30760.50ns INFO [00030762] Port=0 RD @07 + 30761.50ns INFO [00030763] * RD COMPARE * port=1 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30761.50ns INFO [00030763] Port=1 RD @01 + 30762.50ns INFO [00030764] * RD COMPARE * port=0 adr=07 act=C73A833C268D5B9F50 exp=C73A833C268D5B9F50 + 30763.50ns INFO [00030765] * RD COMPARE * port=1 adr=01 act=C638F0746D1F22FEC5 exp=C638F0746D1F22FEC5 + 30764.50ns INFO [00030766] Port=1 RD @03 + 30766.50ns INFO [00030768] * RD COMPARE * port=1 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30766.50ns INFO [00030768] Port=1 RD @05 + 30768.50ns INFO [00030770] * RD COMPARE * port=1 adr=05 act=7973E092B0D6AB9139 exp=7973E092B0D6AB9139 + 30769.50ns INFO [00030771] Port=0 RD @01 + 30769.50ns INFO [00030771] Port=1 RD @03 + 30770.50ns INFO [00030772] Port=0 WR @07=57F6638B8E69248F3E + 30770.50ns INFO [00030772] Port=0 RD @04 + 30771.50ns INFO [00030773] * RD COMPARE * port=0 adr=01 act=C638F0746D1F22FEC5 exp=C638F0746D1F22FEC5 + 30771.50ns INFO [00030773] * RD COMPARE * port=1 adr=03 act=91E085E17E05B23B45 exp=91E085E17E05B23B45 + 30771.50ns INFO [00030773] Port=0 WR @03=D6AD8EAEA18391F126 + 30771.50ns INFO [00030773] Port=0 RD @01 + 30772.50ns INFO [00030774] * RD COMPARE * port=0 adr=04 act=80CC23E690AAD538A2 exp=80CC23E690AAD538A2 + 30772.50ns INFO [00030774] Port=0 RD @01 + 30773.50ns INFO [00030775] * RD COMPARE * port=0 adr=01 act=C638F0746D1F22FEC5 exp=C638F0746D1F22FEC5 + 30773.50ns INFO [00030775] Port=0 RD @00 + 30774.50ns INFO [00030776] * RD COMPARE * port=0 adr=01 act=C638F0746D1F22FEC5 exp=C638F0746D1F22FEC5 + 30774.50ns INFO [00030776] Port=0 RD @02 + 30775.50ns INFO [00030777] * RD COMPARE * port=0 adr=00 act=DCC58A72E332F419BE exp=DCC58A72E332F419BE + 30775.50ns INFO [00030777] Port=0 WR @07=FA82A3E22E8C92E094 + 30775.50ns INFO [00030777] Port=0 RD @06 + 30775.50ns INFO [00030777] Port=1 RD @02 + 30776.50ns INFO [00030778] * RD COMPARE * port=0 adr=02 act=D9CB4F8A366CBBDCBD exp=D9CB4F8A366CBBDCBD + 30777.50ns INFO [00030779] * RD COMPARE * port=0 adr=06 act=BBF37B0B23687C8826 exp=BBF37B0B23687C8826 + 30777.50ns INFO [00030779] * RD COMPARE * port=1 adr=02 act=D9CB4F8A366CBBDCBD exp=D9CB4F8A366CBBDCBD + 30777.50ns INFO [00030779] Port=0 WR @02=8E059FA87314FF10EC + 30777.50ns INFO [00030779] Port=0 RD @07 + 30778.50ns INFO [00030780] Port=0 RD @04 + 30779.50ns INFO [00030781] * RD COMPARE * port=0 adr=07 act=FA82A3E22E8C92E094 exp=FA82A3E22E8C92E094 + 30779.50ns INFO [00030781] Port=0 RD @05 + 30779.50ns INFO [00030781] Port=1 RD @01 + 30780.50ns INFO [00030782] * RD COMPARE * port=0 adr=04 act=80CC23E690AAD538A2 exp=80CC23E690AAD538A2 + 30781.50ns INFO [00030783] * RD COMPARE * port=0 adr=05 act=7973E092B0D6AB9139 exp=7973E092B0D6AB9139 + 30781.50ns INFO [00030783] * RD COMPARE * port=1 adr=01 act=C638F0746D1F22FEC5 exp=C638F0746D1F22FEC5 + 30781.50ns INFO [00030783] Port=0 WR @04=FED4FBB4C8E3BE2EA0 + 30781.50ns INFO [00030783] Port=1 RD @00 + 30782.50ns INFO [00030784] Port=0 RD @02 + 30783.50ns INFO [00030785] * RD COMPARE * port=1 adr=00 act=DCC58A72E332F419BE exp=DCC58A72E332F419BE + 30783.50ns INFO [00030785] Port=1 RD @03 + 30784.50ns INFO [00030786] * RD COMPARE * port=0 adr=02 act=8E059FA87314FF10EC exp=8E059FA87314FF10EC + 30784.50ns INFO [00030786] Port=0 RD @06 + 30785.50ns INFO [00030787] * RD COMPARE * port=1 adr=03 act=D6AD8EAEA18391F126 exp=D6AD8EAEA18391F126 + 30785.50ns INFO [00030787] Port=0 WR @07=3F0FC6DB7DEA252AA2 + 30785.50ns INFO [00030787] Port=1 RD @04 + 30786.50ns INFO [00030788] * RD COMPARE * port=0 adr=06 act=BBF37B0B23687C8826 exp=BBF37B0B23687C8826 + 30786.50ns INFO [00030788] Port=0 WR @07=FB023784E34E40F898 + 30786.50ns INFO [00030788] Port=0 RD @01 + 30787.50ns INFO [00030789] * RD COMPARE * port=1 adr=04 act=FED4FBB4C8E3BE2EA0 exp=FED4FBB4C8E3BE2EA0 + 30787.50ns INFO [00030789] Port=0 WR @04=4A3848ED21D36B9613 + 30787.50ns INFO [00030789] Port=1 RD @07 + 30788.50ns INFO [00030790] * RD COMPARE * port=0 adr=01 act=C638F0746D1F22FEC5 exp=C638F0746D1F22FEC5 + 30789.50ns INFO [00030791] * RD COMPARE * port=1 adr=07 act=FB023784E34E40F898 exp=FB023784E34E40F898 + 30789.50ns INFO [00030791] Port=0 RD @02 + 30790.50ns INFO [00030792] Port=0 RD @07 + 30791.50ns INFO [00030793] * RD COMPARE * port=0 adr=02 act=8E059FA87314FF10EC exp=8E059FA87314FF10EC + 30791.50ns INFO [00030793] Port=0 WR @02=8F5E5AFDA1BE7E8CDA + 30792.50ns INFO [00030794] * RD COMPARE * port=0 adr=07 act=FB023784E34E40F898 exp=FB023784E34E40F898 + 30795.50ns INFO [00030797] Port=0 WR @05=0892BE81B440C67BE5 + 30796.50ns INFO [00030798] Port=0 RD @07 + 30796.50ns INFO [00030798] Port=1 RD @07 + 30797.50ns INFO [00030799] Port=0 WR @05=D19B8F211908972BA8 + 30797.50ns INFO [00030799] Port=1 RD @02 + 30798.00ns INFO [00030800] [00030800] ...tick... + 30798.50ns INFO [00030800] * RD COMPARE * port=0 adr=07 act=FB023784E34E40F898 exp=FB023784E34E40F898 + 30798.50ns INFO [00030800] * RD COMPARE * port=1 adr=07 act=FB023784E34E40F898 exp=FB023784E34E40F898 + 30798.50ns INFO [00030800] Port=0 WR @04=EA9CD86414D4310E86 + 30798.50ns INFO [00030800] Port=1 RD @02 + 30799.50ns INFO [00030801] * RD COMPARE * port=1 adr=02 act=8F5E5AFDA1BE7E8CDA exp=8F5E5AFDA1BE7E8CDA + 30799.50ns INFO [00030801] Port=1 RD @00 + 30800.50ns INFO [00030802] * RD COMPARE * port=1 adr=02 act=8F5E5AFDA1BE7E8CDA exp=8F5E5AFDA1BE7E8CDA + 30800.50ns INFO [00030802] Port=0 WR @07=4173C57E670454A665 + 30800.50ns INFO [00030802] Port=1 RD @05 + 30801.50ns INFO [00030803] * RD COMPARE * port=1 adr=00 act=DCC58A72E332F419BE exp=DCC58A72E332F419BE + 30801.50ns INFO [00030803] Port=1 RD @04 + 30802.50ns INFO [00030804] * RD COMPARE * port=1 adr=05 act=D19B8F211908972BA8 exp=D19B8F211908972BA8 + 30803.50ns INFO [00030805] * RD COMPARE * port=1 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30804.50ns INFO [00030806] Port=0 WR @06=CA865C75AAD862B16E + 30806.50ns INFO [00030808] Port=1 RD @05 + 30807.50ns INFO [00030809] Port=0 WR @05=B68AEC4FCD6DEAA7C9 + 30808.50ns INFO [00030810] * RD COMPARE * port=1 adr=05 act=D19B8F211908972BA8 exp=D19B8F211908972BA8 + 30808.50ns INFO [00030810] Port=0 RD @00 + 30808.50ns INFO [00030810] Port=1 RD @03 + 30810.50ns INFO [00030812] * RD COMPARE * port=0 adr=00 act=DCC58A72E332F419BE exp=DCC58A72E332F419BE + 30810.50ns INFO [00030812] * RD COMPARE * port=1 adr=03 act=D6AD8EAEA18391F126 exp=D6AD8EAEA18391F126 + 30811.50ns INFO [00030813] Port=0 RD @01 + 30811.50ns INFO [00030813] Port=1 RD @04 + 30812.50ns INFO [00030814] Port=0 RD @06 + 30813.50ns INFO [00030815] * RD COMPARE * port=0 adr=01 act=C638F0746D1F22FEC5 exp=C638F0746D1F22FEC5 + 30813.50ns INFO [00030815] * RD COMPARE * port=1 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30813.50ns INFO [00030815] Port=0 RD @02 + 30814.50ns INFO [00030816] * RD COMPARE * port=0 adr=06 act=CA865C75AAD862B16E exp=CA865C75AAD862B16E + 30814.50ns INFO [00030816] Port=0 WR @05=9BC62B27A74625E78B + 30815.50ns INFO [00030817] * RD COMPARE * port=0 adr=02 act=8F5E5AFDA1BE7E8CDA exp=8F5E5AFDA1BE7E8CDA + 30815.50ns INFO [00030817] Port=0 RD @03 + 30816.50ns INFO [00030818] Port=0 WR @01=E6D1D7AAAF9590B618 + 30817.50ns INFO [00030819] * RD COMPARE * port=0 adr=03 act=D6AD8EAEA18391F126 exp=D6AD8EAEA18391F126 + 30817.50ns INFO [00030819] Port=0 WR @01=AA163FF265D3678F7C + 30817.50ns INFO [00030819] Port=0 RD @03 + 30817.50ns INFO [00030819] Port=1 RD @05 + 30818.50ns INFO [00030820] Port=1 RD @03 + 30819.50ns INFO [00030821] * RD COMPARE * port=0 adr=03 act=D6AD8EAEA18391F126 exp=D6AD8EAEA18391F126 + 30819.50ns INFO [00030821] * RD COMPARE * port=1 adr=05 act=9BC62B27A74625E78B exp=9BC62B27A74625E78B + 30819.50ns INFO [00030821] Port=0 RD @02 + 30820.50ns INFO [00030822] * RD COMPARE * port=1 adr=03 act=D6AD8EAEA18391F126 exp=D6AD8EAEA18391F126 + 30821.50ns INFO [00030823] * RD COMPARE * port=0 adr=02 act=8F5E5AFDA1BE7E8CDA exp=8F5E5AFDA1BE7E8CDA + 30821.50ns INFO [00030823] Port=1 RD @01 + 30822.50ns INFO [00030824] Port=0 WR @00=94ED74732C95DE6006 + 30823.50ns INFO [00030825] * RD COMPARE * port=1 adr=01 act=AA163FF265D3678F7C exp=AA163FF265D3678F7C + 30824.50ns INFO [00030826] Port=0 WR @07=95B794C15E9E6B003D + 30824.50ns INFO [00030826] Port=0 RD @06 + 30824.50ns INFO [00030826] Port=1 RD @04 + 30825.50ns INFO [00030827] Port=0 RD @06 + 30825.50ns INFO [00030827] Port=1 RD @00 + 30826.50ns INFO [00030828] * RD COMPARE * port=0 adr=06 act=CA865C75AAD862B16E exp=CA865C75AAD862B16E + 30826.50ns INFO [00030828] * RD COMPARE * port=1 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30827.50ns INFO [00030829] * RD COMPARE * port=0 adr=06 act=CA865C75AAD862B16E exp=CA865C75AAD862B16E + 30827.50ns INFO [00030829] * RD COMPARE * port=1 adr=00 act=94ED74732C95DE6006 exp=94ED74732C95DE6006 + 30827.50ns INFO [00030829] Port=0 RD @07 + 30828.50ns INFO [00030830] Port=0 WR @07=3CBDCF4BD7F8A861A3 + 30829.50ns INFO [00030831] * RD COMPARE * port=0 adr=07 act=95B794C15E9E6B003D exp=95B794C15E9E6B003D + 30829.50ns INFO [00030831] Port=1 RD @01 + 30830.50ns INFO [00030832] Port=1 RD @05 + 30831.50ns INFO [00030833] * RD COMPARE * port=1 adr=01 act=AA163FF265D3678F7C exp=AA163FF265D3678F7C + 30831.50ns INFO [00030833] Port=0 RD @05 + 30831.50ns INFO [00030833] Port=1 RD @01 + 30832.50ns INFO [00030834] * RD COMPARE * port=1 adr=05 act=9BC62B27A74625E78B exp=9BC62B27A74625E78B + 30832.50ns INFO [00030834] Port=0 WR @06=CB8EFA3C4910668B48 + 30832.50ns INFO [00030834] Port=1 RD @02 + 30833.50ns INFO [00030835] * RD COMPARE * port=0 adr=05 act=9BC62B27A74625E78B exp=9BC62B27A74625E78B + 30833.50ns INFO [00030835] * RD COMPARE * port=1 adr=01 act=AA163FF265D3678F7C exp=AA163FF265D3678F7C + 30834.50ns INFO [00030836] * RD COMPARE * port=1 adr=02 act=8F5E5AFDA1BE7E8CDA exp=8F5E5AFDA1BE7E8CDA + 30834.50ns INFO [00030836] Port=0 RD @05 + 30836.50ns INFO [00030838] * RD COMPARE * port=0 adr=05 act=9BC62B27A74625E78B exp=9BC62B27A74625E78B + 30836.50ns INFO [00030838] Port=1 RD @03 + 30837.50ns INFO [00030839] Port=0 WR @02=E62926D967D979275C + 30837.50ns INFO [00030839] Port=0 RD @05 + 30837.50ns INFO [00030839] Port=1 RD @06 + 30838.50ns INFO [00030840] * RD COMPARE * port=1 adr=03 act=D6AD8EAEA18391F126 exp=D6AD8EAEA18391F126 + 30838.50ns INFO [00030840] Port=0 WR @06=331B9994BCC5B22075 + 30838.50ns INFO [00030840] Port=1 RD @04 + 30839.50ns INFO [00030841] * RD COMPARE * port=0 adr=05 act=9BC62B27A74625E78B exp=9BC62B27A74625E78B + 30839.50ns INFO [00030841] * RD COMPARE * port=1 adr=06 act=CB8EFA3C4910668B48 exp=CB8EFA3C4910668B48 + 30839.50ns INFO [00030841] Port=1 RD @06 + 30840.50ns INFO [00030842] * RD COMPARE * port=1 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30841.50ns INFO [00030843] * RD COMPARE * port=1 adr=06 act=331B9994BCC5B22075 exp=331B9994BCC5B22075 + 30841.50ns INFO [00030843] Port=0 WR @00=070369C691463AAE0A + 30841.50ns INFO [00030843] Port=0 RD @05 + 30841.50ns INFO [00030843] Port=1 RD @07 + 30842.50ns INFO [00030844] Port=1 RD @04 + 30843.50ns INFO [00030845] * RD COMPARE * port=0 adr=05 act=9BC62B27A74625E78B exp=9BC62B27A74625E78B + 30843.50ns INFO [00030845] * RD COMPARE * port=1 adr=07 act=3CBDCF4BD7F8A861A3 exp=3CBDCF4BD7F8A861A3 + 30843.50ns INFO [00030845] Port=0 RD @01 + 30844.50ns INFO [00030846] * RD COMPARE * port=1 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30844.50ns INFO [00030846] Port=0 WR @03=7D124C920DBA884C97 + 30844.50ns INFO [00030846] Port=0 RD @04 + 30844.50ns INFO [00030846] Port=1 RD @07 + 30845.50ns INFO [00030847] * RD COMPARE * port=0 adr=01 act=AA163FF265D3678F7C exp=AA163FF265D3678F7C + 30845.50ns INFO [00030847] Port=0 RD @05 + 30845.50ns INFO [00030847] Port=1 RD @00 + 30846.50ns INFO [00030848] * RD COMPARE * port=0 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30846.50ns INFO [00030848] * RD COMPARE * port=1 adr=07 act=3CBDCF4BD7F8A861A3 exp=3CBDCF4BD7F8A861A3 + 30846.50ns INFO [00030848] Port=0 WR @07=16C18CDB5D6196AB87 + 30846.50ns INFO [00030848] Port=0 RD @06 + 30846.50ns INFO [00030848] Port=1 RD @01 + 30847.50ns INFO [00030849] * RD COMPARE * port=0 adr=05 act=9BC62B27A74625E78B exp=9BC62B27A74625E78B + 30847.50ns INFO [00030849] * RD COMPARE * port=1 adr=00 act=070369C691463AAE0A exp=070369C691463AAE0A + 30848.50ns INFO [00030850] * RD COMPARE * port=0 adr=06 act=331B9994BCC5B22075 exp=331B9994BCC5B22075 + 30848.50ns INFO [00030850] * RD COMPARE * port=1 adr=01 act=AA163FF265D3678F7C exp=AA163FF265D3678F7C + 30848.50ns INFO [00030850] Port=1 RD @03 + 30850.50ns INFO [00030852] * RD COMPARE * port=1 adr=03 act=7D124C920DBA884C97 exp=7D124C920DBA884C97 + 30851.50ns INFO [00030853] Port=0 WR @00=75C492A5337D4C8D7D + 30853.50ns INFO [00030855] Port=0 RD @07 + 30853.50ns INFO [00030855] Port=1 RD @06 + 30854.50ns INFO [00030856] Port=1 RD @03 + 30855.50ns INFO [00030857] * RD COMPARE * port=0 adr=07 act=16C18CDB5D6196AB87 exp=16C18CDB5D6196AB87 + 30855.50ns INFO [00030857] * RD COMPARE * port=1 adr=06 act=331B9994BCC5B22075 exp=331B9994BCC5B22075 + 30855.50ns INFO [00030857] Port=0 WR @01=E709366865DBE45099 + 30856.50ns INFO [00030858] * RD COMPARE * port=1 adr=03 act=7D124C920DBA884C97 exp=7D124C920DBA884C97 + 30856.50ns INFO [00030858] Port=0 RD @00 + 30856.50ns INFO [00030858] Port=1 RD @00 + 30858.50ns INFO [00030860] * RD COMPARE * port=0 adr=00 act=75C492A5337D4C8D7D exp=75C492A5337D4C8D7D + 30858.50ns INFO [00030860] * RD COMPARE * port=1 adr=00 act=75C492A5337D4C8D7D exp=75C492A5337D4C8D7D + 30859.50ns INFO [00030861] Port=0 RD @07 + 30859.50ns INFO [00030861] Port=1 RD @03 + 30860.50ns INFO [00030862] Port=0 WR @01=92D1660CE3E3FF5CF8 + 30860.50ns INFO [00030862] Port=0 RD @04 + 30860.50ns INFO [00030862] Port=1 RD @04 + 30861.50ns INFO [00030863] * RD COMPARE * port=0 adr=07 act=16C18CDB5D6196AB87 exp=16C18CDB5D6196AB87 + 30861.50ns INFO [00030863] * RD COMPARE * port=1 adr=03 act=7D124C920DBA884C97 exp=7D124C920DBA884C97 + 30862.50ns INFO [00030864] * RD COMPARE * port=0 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30862.50ns INFO [00030864] * RD COMPARE * port=1 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30862.50ns INFO [00030864] Port=0 WR @05=A71961AC46A974B160 + 30863.50ns INFO [00030865] Port=1 RD @06 + 30865.50ns INFO [00030867] * RD COMPARE * port=1 adr=06 act=331B9994BCC5B22075 exp=331B9994BCC5B22075 + 30865.50ns INFO [00030867] Port=0 WR @00=CF2367232A14634CF5 + 30865.50ns INFO [00030867] Port=0 RD @02 + 30865.50ns INFO [00030867] Port=1 RD @07 + 30866.50ns INFO [00030868] Port=1 RD @02 + 30867.50ns INFO [00030869] * RD COMPARE * port=0 adr=02 act=E62926D967D979275C exp=E62926D967D979275C + 30867.50ns INFO [00030869] * RD COMPARE * port=1 adr=07 act=16C18CDB5D6196AB87 exp=16C18CDB5D6196AB87 + 30867.50ns INFO [00030869] Port=0 RD @03 + 30867.50ns INFO [00030869] Port=1 RD @00 + 30868.50ns INFO [00030870] * RD COMPARE * port=1 adr=02 act=E62926D967D979275C exp=E62926D967D979275C + 30868.50ns INFO [00030870] Port=0 RD @03 + 30869.50ns INFO [00030871] * RD COMPARE * port=0 adr=03 act=7D124C920DBA884C97 exp=7D124C920DBA884C97 + 30869.50ns INFO [00030871] * RD COMPARE * port=1 adr=00 act=CF2367232A14634CF5 exp=CF2367232A14634CF5 + 30869.50ns INFO [00030871] Port=0 WR @01=7D30F7A0D570DE9844 + 30869.50ns INFO [00030871] Port=0 RD @02 + 30870.50ns INFO [00030872] * RD COMPARE * port=0 adr=03 act=7D124C920DBA884C97 exp=7D124C920DBA884C97 + 30870.50ns INFO [00030872] Port=1 RD @03 + 30871.50ns INFO [00030873] * RD COMPARE * port=0 adr=02 act=E62926D967D979275C exp=E62926D967D979275C + 30871.50ns INFO [00030873] Port=0 WR @07=1B66CCFBE51E241E65 + 30871.50ns INFO [00030873] Port=0 RD @01 + 30871.50ns INFO [00030873] Port=1 RD @06 + 30872.50ns INFO [00030874] * RD COMPARE * port=1 adr=03 act=7D124C920DBA884C97 exp=7D124C920DBA884C97 + 30872.50ns INFO [00030874] Port=0 WR @01=5A1CCCA009F863B24F + 30873.50ns INFO [00030875] * RD COMPARE * port=0 adr=01 act=7D30F7A0D570DE9844 exp=7D30F7A0D570DE9844 + 30873.50ns INFO [00030875] * RD COMPARE * port=1 adr=06 act=331B9994BCC5B22075 exp=331B9994BCC5B22075 + 30874.50ns INFO [00030876] Port=0 RD @06 + 30875.50ns INFO [00030877] Port=0 RD @03 + 30875.50ns INFO [00030877] Port=1 RD @06 + 30876.50ns INFO [00030878] * RD COMPARE * port=0 adr=06 act=331B9994BCC5B22075 exp=331B9994BCC5B22075 + 30877.50ns INFO [00030879] * RD COMPARE * port=0 adr=03 act=7D124C920DBA884C97 exp=7D124C920DBA884C97 + 30877.50ns INFO [00030879] * RD COMPARE * port=1 adr=06 act=331B9994BCC5B22075 exp=331B9994BCC5B22075 + 30877.50ns INFO [00030879] Port=1 RD @07 + 30878.50ns INFO [00030880] Port=0 WR @06=538E730D9441BA5B9F + 30878.50ns INFO [00030880] Port=0 RD @05 + 30879.50ns INFO [00030881] * RD COMPARE * port=1 adr=07 act=1B66CCFBE51E241E65 exp=1B66CCFBE51E241E65 + 30879.50ns INFO [00030881] Port=0 WR @02=6273D1D39751A9B203 + 30879.50ns INFO [00030881] Port=0 RD @03 + 30880.50ns INFO [00030882] * RD COMPARE * port=0 adr=05 act=A71961AC46A974B160 exp=A71961AC46A974B160 + 30880.50ns INFO [00030882] Port=0 WR @00=6AC56F1A1CD5279052 + 30880.50ns INFO [00030882] Port=1 RD @01 + 30881.50ns INFO [00030883] * RD COMPARE * port=0 adr=03 act=7D124C920DBA884C97 exp=7D124C920DBA884C97 + 30881.50ns INFO [00030883] Port=0 RD @00 + 30882.50ns INFO [00030884] * RD COMPARE * port=1 adr=01 act=5A1CCCA009F863B24F exp=5A1CCCA009F863B24F + 30882.50ns INFO [00030884] Port=0 WR @05=75B8A39D066674E229 + 30883.50ns INFO [00030885] * RD COMPARE * port=0 adr=00 act=6AC56F1A1CD5279052 exp=6AC56F1A1CD5279052 + 30883.50ns INFO [00030885] Port=1 RD @05 + 30884.50ns INFO [00030886] Port=1 RD @07 + 30885.50ns INFO [00030887] * RD COMPARE * port=1 adr=05 act=75B8A39D066674E229 exp=75B8A39D066674E229 + 30885.50ns INFO [00030887] Port=1 RD @04 + 30886.50ns INFO [00030888] * RD COMPARE * port=1 adr=07 act=1B66CCFBE51E241E65 exp=1B66CCFBE51E241E65 + 30886.50ns INFO [00030888] Port=1 RD @03 + 30887.50ns INFO [00030889] * RD COMPARE * port=1 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30887.50ns INFO [00030889] Port=0 WR @00=202692FC5CB0EC1FD2 + 30887.50ns INFO [00030889] Port=0 RD @02 + 30887.50ns INFO [00030889] Port=1 RD @07 + 30888.50ns INFO [00030890] * RD COMPARE * port=1 adr=03 act=7D124C920DBA884C97 exp=7D124C920DBA884C97 + 30888.50ns INFO [00030890] Port=0 WR @03=14F1BD83DDCAE78460 + 30888.50ns INFO [00030890] Port=1 RD @01 + 30889.50ns INFO [00030891] * RD COMPARE * port=0 adr=02 act=6273D1D39751A9B203 exp=6273D1D39751A9B203 + 30889.50ns INFO [00030891] * RD COMPARE * port=1 adr=07 act=1B66CCFBE51E241E65 exp=1B66CCFBE51E241E65 + 30890.50ns INFO [00030892] * RD COMPARE * port=1 adr=01 act=5A1CCCA009F863B24F exp=5A1CCCA009F863B24F + 30890.50ns INFO [00030892] Port=0 WR @01=1FACE90269B84D19B3 + 30890.50ns INFO [00030892] Port=0 RD @05 + 30891.50ns INFO [00030893] Port=0 RD @00 + 30892.50ns INFO [00030894] * RD COMPARE * port=0 adr=05 act=75B8A39D066674E229 exp=75B8A39D066674E229 + 30893.50ns INFO [00030895] * RD COMPARE * port=0 adr=00 act=202692FC5CB0EC1FD2 exp=202692FC5CB0EC1FD2 + 30894.50ns INFO [00030896] Port=1 RD @06 + 30896.50ns INFO [00030898] * RD COMPARE * port=1 adr=06 act=538E730D9441BA5B9F exp=538E730D9441BA5B9F + 30896.50ns INFO [00030898] Port=0 WR @07=6EF176CC0FFEFAF5B7 + 30896.50ns INFO [00030898] Port=0 RD @04 + 30896.50ns INFO [00030898] Port=1 RD @06 + 30897.50ns INFO [00030899] Port=0 WR @00=BE8CF2E8D5435E5A68 + 30897.50ns INFO [00030899] Port=0 RD @06 + 30898.00ns INFO [00030900] [00030900] ...tick... + 30898.50ns INFO [00030900] * RD COMPARE * port=0 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30898.50ns INFO [00030900] * RD COMPARE * port=1 adr=06 act=538E730D9441BA5B9F exp=538E730D9441BA5B9F + 30898.50ns INFO [00030900] Port=0 WR @06=053597F0E428F72B3B + 30898.50ns INFO [00030900] Port=1 RD @05 + 30899.50ns INFO [00030901] * RD COMPARE * port=0 adr=06 act=538E730D9441BA5B9F exp=538E730D9441BA5B9F + 30899.50ns INFO [00030901] Port=0 WR @01=32BB4E7B6B428CF761 + 30900.50ns INFO [00030902] * RD COMPARE * port=1 adr=05 act=75B8A39D066674E229 exp=75B8A39D066674E229 + 30900.50ns INFO [00030902] Port=1 RD @01 + 30901.50ns INFO [00030903] Port=0 WR @05=A70671A9764D7B6634 + 30902.50ns INFO [00030904] * RD COMPARE * port=1 adr=01 act=32BB4E7B6B428CF761 exp=32BB4E7B6B428CF761 + 30902.50ns INFO [00030904] Port=0 RD @05 + 30903.50ns INFO [00030905] Port=1 RD @07 + 30904.50ns INFO [00030906] * RD COMPARE * port=0 adr=05 act=A70671A9764D7B6634 exp=A70671A9764D7B6634 + 30904.50ns INFO [00030906] Port=0 WR @02=BEBC18E16110338E5C + 30904.50ns INFO [00030906] Port=1 RD @05 + 30905.50ns INFO [00030907] * RD COMPARE * port=1 adr=07 act=6EF176CC0FFEFAF5B7 exp=6EF176CC0FFEFAF5B7 + 30905.50ns INFO [00030907] Port=0 RD @02 + 30906.50ns INFO [00030908] * RD COMPARE * port=1 adr=05 act=A70671A9764D7B6634 exp=A70671A9764D7B6634 + 30907.50ns INFO [00030909] * RD COMPARE * port=0 adr=02 act=BEBC18E16110338E5C exp=BEBC18E16110338E5C + 30909.50ns INFO [00030911] Port=0 RD @03 + 30910.50ns INFO [00030912] Port=0 WR @07=B2222D39686D9BA9B0 + 30910.50ns INFO [00030912] Port=0 RD @00 + 30910.50ns INFO [00030912] Port=1 RD @03 + 30911.50ns INFO [00030913] * RD COMPARE * port=0 adr=03 act=14F1BD83DDCAE78460 exp=14F1BD83DDCAE78460 + 30911.50ns INFO [00030913] Port=0 WR @00=D93042249CDD7DC04D + 30911.50ns INFO [00030913] Port=0 RD @05 + 30911.50ns INFO [00030913] Port=1 RD @01 + 30912.50ns INFO [00030914] * RD COMPARE * port=0 adr=00 act=BE8CF2E8D5435E5A68 exp=BE8CF2E8D5435E5A68 + 30912.50ns INFO [00030914] * RD COMPARE * port=1 adr=03 act=14F1BD83DDCAE78460 exp=14F1BD83DDCAE78460 + 30912.50ns INFO [00030914] Port=0 RD @03 + 30913.50ns INFO [00030915] * RD COMPARE * port=0 adr=05 act=A70671A9764D7B6634 exp=A70671A9764D7B6634 + 30913.50ns INFO [00030915] * RD COMPARE * port=1 adr=01 act=32BB4E7B6B428CF761 exp=32BB4E7B6B428CF761 + 30913.50ns INFO [00030915] Port=1 RD @06 + 30914.50ns INFO [00030916] * RD COMPARE * port=0 adr=03 act=14F1BD83DDCAE78460 exp=14F1BD83DDCAE78460 + 30914.50ns INFO [00030916] Port=0 WR @03=B27E6C32E01CBE3D25 + 30914.50ns INFO [00030916] Port=1 RD @01 + 30915.50ns INFO [00030917] * RD COMPARE * port=1 adr=06 act=053597F0E428F72B3B exp=053597F0E428F72B3B + 30916.50ns INFO [00030918] * RD COMPARE * port=1 adr=01 act=32BB4E7B6B428CF761 exp=32BB4E7B6B428CF761 + 30916.50ns INFO [00030918] Port=0 RD @02 + 30917.50ns INFO [00030919] Port=0 WR @00=6A27DF3AB0FDD01B9E + 30917.50ns INFO [00030919] Port=0 RD @07 + 30918.50ns INFO [00030920] * RD COMPARE * port=0 adr=02 act=BEBC18E16110338E5C exp=BEBC18E16110338E5C + 30919.50ns INFO [00030921] * RD COMPARE * port=0 adr=07 act=B2222D39686D9BA9B0 exp=B2222D39686D9BA9B0 + 30919.50ns INFO [00030921] Port=0 RD @04 + 30919.50ns INFO [00030921] Port=1 RD @04 + 30921.50ns INFO [00030923] * RD COMPARE * port=0 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30921.50ns INFO [00030923] * RD COMPARE * port=1 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30921.50ns INFO [00030923] Port=0 WR @01=7977E011C465BDBB48 + 30922.50ns INFO [00030924] Port=0 WR @03=97F07DB3ED9DE4AD2F + 30922.50ns INFO [00030924] Port=0 RD @01 + 30922.50ns INFO [00030924] Port=1 RD @01 + 30924.50ns INFO [00030926] * RD COMPARE * port=0 adr=01 act=7977E011C465BDBB48 exp=7977E011C465BDBB48 + 30924.50ns INFO [00030926] * RD COMPARE * port=1 adr=01 act=7977E011C465BDBB48 exp=7977E011C465BDBB48 + 30925.50ns INFO [00030927] Port=0 RD @05 + 30926.50ns INFO [00030928] Port=0 WR @01=12AF2597BD8363BB6F + 30927.50ns INFO [00030929] * RD COMPARE * port=0 adr=05 act=A70671A9764D7B6634 exp=A70671A9764D7B6634 + 30927.50ns INFO [00030929] Port=0 WR @00=79DB98E869566DA63B + 30928.50ns INFO [00030930] Port=0 WR @01=BFB44EDBBAD16F7822 + 30930.50ns INFO [00030932] Port=0 WR @00=6D97E2DEBDBD0594DD + 30930.50ns INFO [00030932] Port=1 RD @01 + 30931.50ns INFO [00030933] Port=1 RD @06 + 30932.50ns INFO [00030934] * RD COMPARE * port=1 adr=01 act=BFB44EDBBAD16F7822 exp=BFB44EDBBAD16F7822 + 30932.50ns INFO [00030934] Port=0 WR @00=B00D27E80F79C69E5C + 30932.50ns INFO [00030934] Port=0 RD @07 + 30932.50ns INFO [00030934] Port=1 RD @04 + 30933.50ns INFO [00030935] * RD COMPARE * port=1 adr=06 act=053597F0E428F72B3B exp=053597F0E428F72B3B + 30933.50ns INFO [00030935] Port=0 WR @07=7B20A0A0774B65602C + 30933.50ns INFO [00030935] Port=0 RD @05 + 30934.50ns INFO [00030936] * RD COMPARE * port=0 adr=07 act=B2222D39686D9BA9B0 exp=B2222D39686D9BA9B0 + 30934.50ns INFO [00030936] * RD COMPARE * port=1 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30934.50ns INFO [00030936] Port=0 RD @02 + 30935.50ns INFO [00030937] * RD COMPARE * port=0 adr=05 act=A70671A9764D7B6634 exp=A70671A9764D7B6634 + 30935.50ns INFO [00030937] Port=0 RD @07 + 30936.50ns INFO [00030938] * RD COMPARE * port=0 adr=02 act=BEBC18E16110338E5C exp=BEBC18E16110338E5C + 30936.50ns INFO [00030938] Port=0 WR @06=1D0FB79C36C55AC90B + 30936.50ns INFO [00030938] Port=0 RD @02 + 30936.50ns INFO [00030938] Port=1 RD @03 + 30937.50ns INFO [00030939] * RD COMPARE * port=0 adr=07 act=7B20A0A0774B65602C exp=7B20A0A0774B65602C + 30938.50ns INFO [00030940] * RD COMPARE * port=0 adr=02 act=BEBC18E16110338E5C exp=BEBC18E16110338E5C + 30938.50ns INFO [00030940] * RD COMPARE * port=1 adr=03 act=97F07DB3ED9DE4AD2F exp=97F07DB3ED9DE4AD2F + 30938.50ns INFO [00030940] Port=0 RD @00 + 30939.50ns INFO [00030941] Port=0 RD @02 + 30940.50ns INFO [00030942] * RD COMPARE * port=0 adr=00 act=B00D27E80F79C69E5C exp=B00D27E80F79C69E5C + 30940.50ns INFO [00030942] Port=0 WR @06=B6E119D0CDB4DDB399 + 30940.50ns INFO [00030942] Port=1 RD @04 + 30941.50ns INFO [00030943] * RD COMPARE * port=0 adr=02 act=BEBC18E16110338E5C exp=BEBC18E16110338E5C + 30942.50ns INFO [00030944] * RD COMPARE * port=1 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30943.50ns INFO [00030945] Port=0 WR @07=E7E33AAAE42D1697FA + 30943.50ns INFO [00030945] Port=0 RD @02 + 30944.50ns INFO [00030946] Port=0 WR @07=63BC216F3A7A2C04D9 + 30944.50ns INFO [00030946] Port=0 RD @00 + 30945.50ns INFO [00030947] * RD COMPARE * port=0 adr=02 act=BEBC18E16110338E5C exp=BEBC18E16110338E5C + 30945.50ns INFO [00030947] Port=0 WR @01=5AC591B3F6EE39DF35 + 30946.50ns INFO [00030948] * RD COMPARE * port=0 adr=00 act=B00D27E80F79C69E5C exp=B00D27E80F79C69E5C + 30946.50ns INFO [00030948] Port=0 RD @05 + 30946.50ns INFO [00030948] Port=1 RD @05 + 30947.50ns INFO [00030949] Port=0 RD @00 + 30948.50ns INFO [00030950] * RD COMPARE * port=0 adr=05 act=A70671A9764D7B6634 exp=A70671A9764D7B6634 + 30948.50ns INFO [00030950] * RD COMPARE * port=1 adr=05 act=A70671A9764D7B6634 exp=A70671A9764D7B6634 + 30948.50ns INFO [00030950] Port=0 WR @01=473AC296C2B7CFBDC2 + 30948.50ns INFO [00030950] Port=0 RD @00 + 30949.50ns INFO [00030951] * RD COMPARE * port=0 adr=00 act=B00D27E80F79C69E5C exp=B00D27E80F79C69E5C + 30949.50ns INFO [00030951] Port=1 RD @07 + 30950.50ns INFO [00030952] * RD COMPARE * port=0 adr=00 act=B00D27E80F79C69E5C exp=B00D27E80F79C69E5C + 30950.50ns INFO [00030952] Port=0 RD @05 + 30950.50ns INFO [00030952] Port=1 RD @02 + 30951.50ns INFO [00030953] * RD COMPARE * port=1 adr=07 act=63BC216F3A7A2C04D9 exp=63BC216F3A7A2C04D9 + 30951.50ns INFO [00030953] Port=0 WR @05=726ACFE847DF58075F + 30952.50ns INFO [00030954] * RD COMPARE * port=0 adr=05 act=A70671A9764D7B6634 exp=A70671A9764D7B6634 + 30952.50ns INFO [00030954] * RD COMPARE * port=1 adr=02 act=BEBC18E16110338E5C exp=BEBC18E16110338E5C + 30952.50ns INFO [00030954] Port=0 RD @01 + 30953.50ns INFO [00030955] Port=1 RD @00 + 30954.50ns INFO [00030956] * RD COMPARE * port=0 adr=01 act=473AC296C2B7CFBDC2 exp=473AC296C2B7CFBDC2 + 30954.50ns INFO [00030956] Port=0 WR @03=5DB54AF2DFB3E99F72 + 30954.50ns INFO [00030956] Port=0 RD @04 + 30955.50ns INFO [00030957] * RD COMPARE * port=1 adr=00 act=B00D27E80F79C69E5C exp=B00D27E80F79C69E5C + 30955.50ns INFO [00030957] Port=0 WR @02=0218592663E0BE387D + 30955.50ns INFO [00030957] Port=1 RD @00 + 30956.50ns INFO [00030958] * RD COMPARE * port=0 adr=04 act=EA9CD86414D4310E86 exp=EA9CD86414D4310E86 + 30956.50ns INFO [00030958] Port=0 RD @00 + 30956.50ns INFO [00030958] Port=1 RD @03 + 30957.50ns INFO [00030959] * RD COMPARE * port=1 adr=00 act=B00D27E80F79C69E5C exp=B00D27E80F79C69E5C + 30958.50ns INFO [00030960] * RD COMPARE * port=0 adr=00 act=B00D27E80F79C69E5C exp=B00D27E80F79C69E5C + 30958.50ns INFO [00030960] * RD COMPARE * port=1 adr=03 act=5DB54AF2DFB3E99F72 exp=5DB54AF2DFB3E99F72 + 30958.50ns INFO [00030960] Port=0 RD @06 + 30958.50ns INFO [00030960] Port=1 RD @07 + 30959.50ns INFO [00030961] Port=1 RD @03 + 30960.50ns INFO [00030962] * RD COMPARE * port=0 adr=06 act=B6E119D0CDB4DDB399 exp=B6E119D0CDB4DDB399 + 30960.50ns INFO [00030962] * RD COMPARE * port=1 adr=07 act=63BC216F3A7A2C04D9 exp=63BC216F3A7A2C04D9 + 30960.50ns INFO [00030962] Port=0 WR @07=7EF3BEDF43209CA4B1 + 30961.50ns INFO [00030963] * RD COMPARE * port=1 adr=03 act=5DB54AF2DFB3E99F72 exp=5DB54AF2DFB3E99F72 + 30962.50ns INFO [00030964] Port=0 WR @05=9737D6CB2BB172F993 + 30962.50ns INFO [00030964] Port=0 RD @07 + 30962.50ns INFO [00030964] Port=1 RD @06 + 30963.50ns INFO [00030965] Port=0 RD @03 + 30964.50ns INFO [00030966] * RD COMPARE * port=0 adr=07 act=7EF3BEDF43209CA4B1 exp=7EF3BEDF43209CA4B1 + 30964.50ns INFO [00030966] * RD COMPARE * port=1 adr=06 act=B6E119D0CDB4DDB399 exp=B6E119D0CDB4DDB399 + 30964.50ns INFO [00030966] Port=1 RD @07 + 30965.50ns INFO [00030967] * RD COMPARE * port=0 adr=03 act=5DB54AF2DFB3E99F72 exp=5DB54AF2DFB3E99F72 + 30965.50ns INFO [00030967] Port=0 WR @02=B2535500F83EB337CB + 30965.50ns INFO [00030967] Port=1 RD @00 + 30966.50ns INFO [00030968] * RD COMPARE * port=1 adr=07 act=7EF3BEDF43209CA4B1 exp=7EF3BEDF43209CA4B1 + 30966.50ns INFO [00030968] Port=0 WR @01=F7339594C28DEFB0E3 + 30967.50ns INFO [00030969] * RD COMPARE * port=1 adr=00 act=B00D27E80F79C69E5C exp=B00D27E80F79C69E5C + 30968.50ns INFO [00030970] Port=0 WR @07=ADC87A6CF79AD61E53 + 30969.50ns INFO [00030971] Port=0 WR @03=8244C78495AB9FFDFB + 30969.50ns INFO [00030971] Port=0 RD @05 + 30970.50ns INFO [00030972] Port=0 WR @02=FE30FEBDC0EA54C668 + 30970.50ns INFO [00030972] Port=0 RD @07 + 30970.50ns INFO [00030972] Port=1 RD @07 + 30971.50ns INFO [00030973] * RD COMPARE * port=0 adr=05 act=9737D6CB2BB172F993 exp=9737D6CB2BB172F993 + 30971.50ns INFO [00030973] Port=0 WR @04=1EE105038C75469A03 + 30971.50ns INFO [00030973] Port=0 RD @00 + 30972.50ns INFO [00030974] * RD COMPARE * port=0 adr=07 act=ADC87A6CF79AD61E53 exp=ADC87A6CF79AD61E53 + 30972.50ns INFO [00030974] * RD COMPARE * port=1 adr=07 act=ADC87A6CF79AD61E53 exp=ADC87A6CF79AD61E53 + 30972.50ns INFO [00030974] Port=0 WR @00=1B05B40B995E8949CD + 30972.50ns INFO [00030974] Port=1 RD @05 + 30973.50ns INFO [00030975] * RD COMPARE * port=0 adr=00 act=B00D27E80F79C69E5C exp=B00D27E80F79C69E5C + 30973.50ns INFO [00030975] Port=0 WR @03=C8CE2384EAA798EB99 + 30973.50ns INFO [00030975] Port=0 RD @01 + 30973.50ns INFO [00030975] Port=1 RD @07 + 30974.50ns INFO [00030976] * RD COMPARE * port=1 adr=05 act=9737D6CB2BB172F993 exp=9737D6CB2BB172F993 + 30974.50ns INFO [00030976] Port=0 WR @00=6BCE79B277E04C0095 + 30975.50ns INFO [00030977] * RD COMPARE * port=0 adr=01 act=F7339594C28DEFB0E3 exp=F7339594C28DEFB0E3 + 30975.50ns INFO [00030977] * RD COMPARE * port=1 adr=07 act=ADC87A6CF79AD61E53 exp=ADC87A6CF79AD61E53 + 30977.50ns INFO [00030979] Port=0 RD @04 + 30978.50ns INFO [00030980] Port=0 WR @06=F9C03C756C36F14CF6 + 30979.50ns INFO [00030981] * RD COMPARE * port=0 adr=04 act=1EE105038C75469A03 exp=1EE105038C75469A03 + 30979.50ns INFO [00030981] Port=0 RD @06 + 30981.50ns INFO [00030983] * RD COMPARE * port=0 adr=06 act=F9C03C756C36F14CF6 exp=F9C03C756C36F14CF6 + 30981.50ns INFO [00030983] Port=0 WR @07=E37FD27A11308A48CC + 30981.50ns INFO [00030983] Port=1 RD @03 + 30982.50ns INFO [00030984] Port=1 RD @05 + 30983.50ns INFO [00030985] * RD COMPARE * port=1 adr=03 act=C8CE2384EAA798EB99 exp=C8CE2384EAA798EB99 + 30983.50ns INFO [00030985] Port=0 RD @02 + 30984.50ns INFO [00030986] * RD COMPARE * port=1 adr=05 act=9737D6CB2BB172F993 exp=9737D6CB2BB172F993 + 30984.50ns INFO [00030986] Port=0 RD @06 + 30985.50ns INFO [00030987] * RD COMPARE * port=0 adr=02 act=FE30FEBDC0EA54C668 exp=FE30FEBDC0EA54C668 + 30985.50ns INFO [00030987] Port=0 RD @00 + 30985.50ns INFO [00030987] Port=1 RD @03 + 30986.50ns INFO [00030988] * RD COMPARE * port=0 adr=06 act=F9C03C756C36F14CF6 exp=F9C03C756C36F14CF6 + 30986.50ns INFO [00030988] Port=1 RD @07 + 30987.50ns INFO [00030989] * RD COMPARE * port=0 adr=00 act=6BCE79B277E04C0095 exp=6BCE79B277E04C0095 + 30987.50ns INFO [00030989] * RD COMPARE * port=1 adr=03 act=C8CE2384EAA798EB99 exp=C8CE2384EAA798EB99 + 30988.50ns INFO [00030990] * RD COMPARE * port=1 adr=07 act=E37FD27A11308A48CC exp=E37FD27A11308A48CC + 30988.50ns INFO [00030990] Port=0 WR @02=38A9C9C0BB82B83922 + 30990.50ns INFO [00030992] Port=1 RD @04 + 30991.50ns INFO [00030993] Port=1 RD @04 + 30992.50ns INFO [00030994] * RD COMPARE * port=1 adr=04 act=1EE105038C75469A03 exp=1EE105038C75469A03 + 30992.50ns INFO [00030994] Port=0 WR @04=A57FA265F5AA264245 + 30993.50ns INFO [00030995] * RD COMPARE * port=1 adr=04 act=1EE105038C75469A03 exp=1EE105038C75469A03 + 30993.50ns INFO [00030995] Port=0 RD @01 + 30995.50ns INFO [00030997] * RD COMPARE * port=0 adr=01 act=F7339594C28DEFB0E3 exp=F7339594C28DEFB0E3 + 30995.50ns INFO [00030997] Port=1 RD @05 + 30997.50ns INFO [00030999] * RD COMPARE * port=1 adr=05 act=9737D6CB2BB172F993 exp=9737D6CB2BB172F993 + 30998.00ns INFO [00031000] [00031000] ...tick... + 31000.50ns INFO [00031002] Port=0 WR @06=39143AE35A65B85BA3 + 31000.50ns INFO [00031002] Port=1 RD @02 + 31001.50ns INFO [00031003] Port=0 WR @02=C6C4D9E6255F8BCD3C + 31001.50ns INFO [00031003] Port=0 RD @07 + 31001.50ns INFO [00031003] Port=1 RD @03 + 31002.50ns INFO [00031004] * RD COMPARE * port=1 adr=02 act=38A9C9C0BB82B83922 exp=38A9C9C0BB82B83922 + 31002.50ns INFO [00031004] Port=1 RD @02 + 31003.50ns INFO [00031005] * RD COMPARE * port=0 adr=07 act=E37FD27A11308A48CC exp=E37FD27A11308A48CC + 31003.50ns INFO [00031005] * RD COMPARE * port=1 adr=03 act=C8CE2384EAA798EB99 exp=C8CE2384EAA798EB99 + 31004.50ns INFO [00031006] * RD COMPARE * port=1 adr=02 act=C6C4D9E6255F8BCD3C exp=C6C4D9E6255F8BCD3C + 31004.50ns INFO [00031006] Port=0 WR @02=DD15B8F5BC4F36CCF8 + 31004.50ns INFO [00031006] Port=0 RD @03 + 31005.50ns INFO [00031007] Port=0 WR @02=D94992781DA832D26C + 31006.50ns INFO [00031008] * RD COMPARE * port=0 adr=03 act=C8CE2384EAA798EB99 exp=C8CE2384EAA798EB99 + 31006.50ns INFO [00031008] Port=0 RD @01 + 31007.50ns INFO [00031009] Port=0 RD @00 + 31008.50ns INFO [00031010] * RD COMPARE * port=0 adr=01 act=F7339594C28DEFB0E3 exp=F7339594C28DEFB0E3 + 31008.50ns INFO [00031010] Port=1 RD @01 + 31009.50ns INFO [00031011] * RD COMPARE * port=0 adr=00 act=6BCE79B277E04C0095 exp=6BCE79B277E04C0095 + 31009.50ns INFO [00031011] Port=0 RD @06 + 31010.50ns INFO [00031012] * RD COMPARE * port=1 adr=01 act=F7339594C28DEFB0E3 exp=F7339594C28DEFB0E3 + 31011.50ns INFO [00031013] * RD COMPARE * port=0 adr=06 act=39143AE35A65B85BA3 exp=39143AE35A65B85BA3 + 31011.50ns INFO [00031013] Port=0 RD @00 + 31012.50ns INFO [00031014] Port=1 RD @04 + 31013.50ns INFO [00031015] * RD COMPARE * port=0 adr=00 act=6BCE79B277E04C0095 exp=6BCE79B277E04C0095 + 31013.50ns INFO [00031015] Port=0 WR @02=4D3AC7D24E290AFD8F + 31013.50ns INFO [00031015] Port=0 RD @03 + 31013.50ns INFO [00031015] Port=1 RD @01 + 31014.50ns INFO [00031016] * RD COMPARE * port=1 adr=04 act=A57FA265F5AA264245 exp=A57FA265F5AA264245 + 31014.50ns INFO [00031016] Port=0 WR @05=89D1DBEA662D6E749F + 31014.50ns INFO [00031016] Port=0 RD @03 + 31014.50ns INFO [00031016] Port=1 RD @02 + 31015.50ns INFO [00031017] * RD COMPARE * port=0 adr=03 act=C8CE2384EAA798EB99 exp=C8CE2384EAA798EB99 + 31015.50ns INFO [00031017] * RD COMPARE * port=1 adr=01 act=F7339594C28DEFB0E3 exp=F7339594C28DEFB0E3 + 31016.50ns INFO [00031018] * RD COMPARE * port=0 adr=03 act=C8CE2384EAA798EB99 exp=C8CE2384EAA798EB99 + 31016.50ns INFO [00031018] * RD COMPARE * port=1 adr=02 act=4D3AC7D24E290AFD8F exp=4D3AC7D24E290AFD8F + 31016.50ns INFO [00031018] Port=1 RD @03 + 31018.50ns INFO [00031020] * RD COMPARE * port=1 adr=03 act=C8CE2384EAA798EB99 exp=C8CE2384EAA798EB99 + 31019.50ns INFO [00031021] Port=0 WR @03=7F17BB3E568080F24E + 31020.50ns INFO [00031022] Port=0 WR @05=4F763B6827D11BAB76 + 31021.50ns INFO [00031023] Port=0 WR @05=9DD53ECA30CD726242 + 31021.50ns INFO [00031023] Port=0 RD @01 + 31021.50ns INFO [00031023] Port=1 RD @07 + 31022.50ns INFO [00031024] Port=0 RD @03 + 31023.50ns INFO [00031025] * RD COMPARE * port=0 adr=01 act=F7339594C28DEFB0E3 exp=F7339594C28DEFB0E3 + 31023.50ns INFO [00031025] * RD COMPARE * port=1 adr=07 act=E37FD27A11308A48CC exp=E37FD27A11308A48CC + 31023.50ns INFO [00031025] Port=1 RD @06 + 31024.50ns INFO [00031026] * RD COMPARE * port=0 adr=03 act=7F17BB3E568080F24E exp=7F17BB3E568080F24E + 31024.50ns INFO [00031026] Port=0 RD @04 + 31025.50ns INFO [00031027] * RD COMPARE * port=1 adr=06 act=39143AE35A65B85BA3 exp=39143AE35A65B85BA3 + 31025.50ns INFO [00031027] Port=0 WR @06=4D0D56B785AC650E8D + 31025.50ns INFO [00031027] Port=0 RD @01 + 31025.50ns INFO [00031027] Port=1 RD @07 + 31026.50ns INFO [00031028] * RD COMPARE * port=0 adr=04 act=A57FA265F5AA264245 exp=A57FA265F5AA264245 + 31027.50ns INFO [00031029] * RD COMPARE * port=0 adr=01 act=F7339594C28DEFB0E3 exp=F7339594C28DEFB0E3 + 31027.50ns INFO [00031029] * RD COMPARE * port=1 adr=07 act=E37FD27A11308A48CC exp=E37FD27A11308A48CC + 31027.50ns INFO [00031029] Port=0 WR @07=1A0E24C145B4DF52CB + 31028.50ns INFO [00031030] Port=0 WR @01=2C0D90E4C58B8A3F8F + 31028.50ns INFO [00031030] Port=0 RD @07 + 31028.50ns INFO [00031030] Port=1 RD @02 + 31029.50ns INFO [00031031] Port=1 RD @05 + 31030.50ns INFO [00031032] * RD COMPARE * port=0 adr=07 act=1A0E24C145B4DF52CB exp=1A0E24C145B4DF52CB + 31030.50ns INFO [00031032] * RD COMPARE * port=1 adr=02 act=4D3AC7D24E290AFD8F exp=4D3AC7D24E290AFD8F + 31030.50ns INFO [00031032] Port=0 RD @03 + 31031.50ns INFO [00031033] * RD COMPARE * port=1 adr=05 act=9DD53ECA30CD726242 exp=9DD53ECA30CD726242 + 31031.50ns INFO [00031033] Port=0 WR @06=58338FC0926394CF4D + 31031.50ns INFO [00031033] Port=0 RD @07 + 31032.50ns INFO [00031034] * RD COMPARE * port=0 adr=03 act=7F17BB3E568080F24E exp=7F17BB3E568080F24E + 31032.50ns INFO [00031034] Port=0 WR @03=179DD981A505F5E233 + 31033.50ns INFO [00031035] * RD COMPARE * port=0 adr=07 act=1A0E24C145B4DF52CB exp=1A0E24C145B4DF52CB + 31033.50ns INFO [00031035] Port=0 RD @00 + 31034.50ns INFO [00031036] Port=0 WR @03=C74AC865633A429D48 + 31034.50ns INFO [00031036] Port=1 RD @06 + 31035.50ns INFO [00031037] * RD COMPARE * port=0 adr=00 act=6BCE79B277E04C0095 exp=6BCE79B277E04C0095 + 31035.50ns INFO [00031037] Port=0 RD @05 + 31035.50ns INFO [00031037] Port=1 RD @04 + 31036.50ns INFO [00031038] * RD COMPARE * port=1 adr=06 act=58338FC0926394CF4D exp=58338FC0926394CF4D + 31037.50ns INFO [00031039] * RD COMPARE * port=0 adr=05 act=9DD53ECA30CD726242 exp=9DD53ECA30CD726242 + 31037.50ns INFO [00031039] * RD COMPARE * port=1 adr=04 act=A57FA265F5AA264245 exp=A57FA265F5AA264245 + 31037.50ns INFO [00031039] Port=0 RD @06 + 31037.50ns INFO [00031039] Port=1 RD @06 + 31038.50ns INFO [00031040] Port=0 WR @04=6C16A8858654B5FF77 + 31038.50ns INFO [00031040] Port=0 RD @00 + 31038.50ns INFO [00031040] Port=1 RD @00 + 31039.50ns INFO [00031041] * RD COMPARE * port=0 adr=06 act=58338FC0926394CF4D exp=58338FC0926394CF4D + 31039.50ns INFO [00031041] * RD COMPARE * port=1 adr=06 act=58338FC0926394CF4D exp=58338FC0926394CF4D + 31039.50ns INFO [00031041] Port=0 RD @01 + 31040.50ns INFO [00031042] * RD COMPARE * port=0 adr=00 act=6BCE79B277E04C0095 exp=6BCE79B277E04C0095 + 31040.50ns INFO [00031042] * RD COMPARE * port=1 adr=00 act=6BCE79B277E04C0095 exp=6BCE79B277E04C0095 + 31040.50ns INFO [00031042] Port=0 WR @04=995A78064A0C06F66E + 31041.50ns INFO [00031043] * RD COMPARE * port=0 adr=01 act=2C0D90E4C58B8A3F8F exp=2C0D90E4C58B8A3F8F + 31042.50ns INFO [00031044] Port=1 RD @05 + 31043.50ns INFO [00031045] Port=0 RD @01 + 31044.50ns INFO [00031046] * RD COMPARE * port=1 adr=05 act=9DD53ECA30CD726242 exp=9DD53ECA30CD726242 + 31045.50ns INFO [00031047] * RD COMPARE * port=0 adr=01 act=2C0D90E4C58B8A3F8F exp=2C0D90E4C58B8A3F8F + 31046.50ns INFO [00031048] Port=0 RD @07 + 31047.50ns INFO [00031049] Port=0 RD @06 + 31048.50ns INFO [00031050] * RD COMPARE * port=0 adr=07 act=1A0E24C145B4DF52CB exp=1A0E24C145B4DF52CB + 31048.50ns INFO [00031050] Port=0 RD @07 + 31048.50ns INFO [00031050] Port=1 RD @03 + 31049.50ns INFO [00031051] * RD COMPARE * port=0 adr=06 act=58338FC0926394CF4D exp=58338FC0926394CF4D + 31050.50ns INFO [00031052] * RD COMPARE * port=0 adr=07 act=1A0E24C145B4DF52CB exp=1A0E24C145B4DF52CB + 31050.50ns INFO [00031052] * RD COMPARE * port=1 adr=03 act=C74AC865633A429D48 exp=C74AC865633A429D48 + 31050.50ns INFO [00031052] Port=0 WR @07=7B6CD8B4DB7DC19A5E + 31050.50ns INFO [00031052] Port=1 RD @02 + 31051.50ns INFO [00031053] Port=0 WR @01=C297028294D10A74A9 + 31051.50ns INFO [00031053] Port=0 RD @06 + 31052.50ns INFO [00031054] * RD COMPARE * port=1 adr=02 act=4D3AC7D24E290AFD8F exp=4D3AC7D24E290AFD8F + 31052.50ns INFO [00031054] Port=0 WR @05=835C05311A8ACCF585 + 31052.50ns INFO [00031054] Port=1 RD @03 + 31053.50ns INFO [00031055] * RD COMPARE * port=0 adr=06 act=58338FC0926394CF4D exp=58338FC0926394CF4D + 31053.50ns INFO [00031055] Port=1 RD @00 + 31054.50ns INFO [00031056] * RD COMPARE * port=1 adr=03 act=C74AC865633A429D48 exp=C74AC865633A429D48 + 31055.50ns INFO [00031057] * RD COMPARE * port=1 adr=00 act=6BCE79B277E04C0095 exp=6BCE79B277E04C0095 + 31055.50ns INFO [00031057] Port=0 RD @01 + 31055.50ns INFO [00031057] Port=1 RD @07 + 31057.50ns INFO [00031059] * RD COMPARE * port=0 adr=01 act=C297028294D10A74A9 exp=C297028294D10A74A9 + 31057.50ns INFO [00031059] * RD COMPARE * port=1 adr=07 act=7B6CD8B4DB7DC19A5E exp=7B6CD8B4DB7DC19A5E + 31057.50ns INFO [00031059] Port=0 WR @01=2492B33D290B6BA7FF + 31060.50ns INFO [00031062] Port=0 WR @07=7DC273B2FEE1CE5BF3 + 31060.50ns INFO [00031062] Port=0 RD @02 + 31060.50ns INFO [00031062] Port=1 RD @00 + 31062.50ns INFO [00031064] * RD COMPARE * port=0 adr=02 act=4D3AC7D24E290AFD8F exp=4D3AC7D24E290AFD8F + 31062.50ns INFO [00031064] * RD COMPARE * port=1 adr=00 act=6BCE79B277E04C0095 exp=6BCE79B277E04C0095 + 31063.50ns INFO [00031065] Port=0 WR @02=FB0CF41E39E46A5FB6 + 31064.50ns INFO [00031066] Port=0 WR @03=9D8A2561F48CD1BD55 + 31064.50ns INFO [00031066] Port=0 RD @04 + 31064.50ns INFO [00031066] Port=1 RD @06 + 31066.50ns INFO [00031068] * RD COMPARE * port=0 adr=04 act=995A78064A0C06F66E exp=995A78064A0C06F66E + 31066.50ns INFO [00031068] * RD COMPARE * port=1 adr=06 act=58338FC0926394CF4D exp=58338FC0926394CF4D + 31066.50ns INFO [00031068] Port=0 WR @01=BB3B6ED809C1B07608 + 31067.50ns INFO [00031069] Port=0 RD @07 + 31067.50ns INFO [00031069] Port=1 RD @02 + 31068.50ns INFO [00031070] Port=0 WR @00=68FCFC9490AFCF35A7 + 31069.50ns INFO [00031071] * RD COMPARE * port=0 adr=07 act=7DC273B2FEE1CE5BF3 exp=7DC273B2FEE1CE5BF3 + 31069.50ns INFO [00031071] * RD COMPARE * port=1 adr=02 act=FB0CF41E39E46A5FB6 exp=FB0CF41E39E46A5FB6 + 31069.50ns INFO [00031071] Port=0 WR @05=7912050FCBFF14E7BF + 31069.50ns INFO [00031071] Port=0 RD @02 + 31071.50ns INFO [00031073] * RD COMPARE * port=0 adr=02 act=FB0CF41E39E46A5FB6 exp=FB0CF41E39E46A5FB6 + 31072.50ns INFO [00031074] Port=0 WR @03=212CF66105D3181756 + 31072.50ns INFO [00031074] Port=0 RD @07 + 31072.50ns INFO [00031074] Port=1 RD @00 + 31073.50ns INFO [00031075] Port=1 RD @07 + 31074.50ns INFO [00031076] * RD COMPARE * port=0 adr=07 act=7DC273B2FEE1CE5BF3 exp=7DC273B2FEE1CE5BF3 + 31074.50ns INFO [00031076] * RD COMPARE * port=1 adr=00 act=68FCFC9490AFCF35A7 exp=68FCFC9490AFCF35A7 + 31075.50ns INFO [00031077] * RD COMPARE * port=1 adr=07 act=7DC273B2FEE1CE5BF3 exp=7DC273B2FEE1CE5BF3 + 31077.50ns INFO [00031079] Port=0 RD @06 + 31078.50ns INFO [00031080] Port=1 RD @00 + 31079.50ns INFO [00031081] * RD COMPARE * port=0 adr=06 act=58338FC0926394CF4D exp=58338FC0926394CF4D + 31080.50ns INFO [00031082] * RD COMPARE * port=1 adr=00 act=68FCFC9490AFCF35A7 exp=68FCFC9490AFCF35A7 + 31080.50ns INFO [00031082] Port=0 WR @00=ADF7606392984B13AC + 31080.50ns INFO [00031082] Port=1 RD @01 + 31081.50ns INFO [00031083] Port=0 WR @00=F8C07B9E24D3D20721 + 31081.50ns INFO [00031083] Port=0 RD @02 + 31082.50ns INFO [00031084] * RD COMPARE * port=1 adr=01 act=BB3B6ED809C1B07608 exp=BB3B6ED809C1B07608 + 31082.50ns INFO [00031084] Port=0 RD @05 + 31083.50ns INFO [00031085] * RD COMPARE * port=0 adr=02 act=FB0CF41E39E46A5FB6 exp=FB0CF41E39E46A5FB6 + 31084.50ns INFO [00031086] * RD COMPARE * port=0 adr=05 act=7912050FCBFF14E7BF exp=7912050FCBFF14E7BF + 31084.50ns INFO [00031086] Port=1 RD @03 + 31085.50ns INFO [00031087] Port=0 WR @04=F10B1351B1E4E7D8B1 + 31086.50ns INFO [00031088] * RD COMPARE * port=1 adr=03 act=212CF66105D3181756 exp=212CF66105D3181756 + 31086.50ns INFO [00031088] Port=0 RD @01 + 31087.50ns INFO [00031089] Port=0 WR @03=BF5568793FD96D2F74 + 31087.50ns INFO [00031089] Port=0 RD @00 + 31088.50ns INFO [00031090] * RD COMPARE * port=0 adr=01 act=BB3B6ED809C1B07608 exp=BB3B6ED809C1B07608 + 31088.50ns INFO [00031090] Port=0 RD @07 + 31088.50ns INFO [00031090] Port=1 RD @04 + 31089.50ns INFO [00031091] * RD COMPARE * port=0 adr=00 act=F8C07B9E24D3D20721 exp=F8C07B9E24D3D20721 + 31090.50ns INFO [00031092] * RD COMPARE * port=0 adr=07 act=7DC273B2FEE1CE5BF3 exp=7DC273B2FEE1CE5BF3 + 31090.50ns INFO [00031092] * RD COMPARE * port=1 adr=04 act=F10B1351B1E4E7D8B1 exp=F10B1351B1E4E7D8B1 + 31090.50ns INFO [00031092] Port=0 RD @04 + 31091.50ns INFO [00031093] Port=1 RD @06 + 31092.50ns INFO [00031094] * RD COMPARE * port=0 adr=04 act=F10B1351B1E4E7D8B1 exp=F10B1351B1E4E7D8B1 + 31092.50ns INFO [00031094] Port=0 WR @04=B8798FF2268824F930 + 31092.50ns INFO [00031094] Port=1 RD @01 + 31093.50ns INFO [00031095] * RD COMPARE * port=1 adr=06 act=58338FC0926394CF4D exp=58338FC0926394CF4D + 31094.50ns INFO [00031096] * RD COMPARE * port=1 adr=01 act=BB3B6ED809C1B07608 exp=BB3B6ED809C1B07608 + 31094.50ns INFO [00031096] Port=0 RD @01 + 31094.50ns INFO [00031096] Port=1 RD @02 + 31095.50ns INFO [00031097] Port=0 WR @05=25D025DA2BC70A356A + 31096.50ns INFO [00031098] * RD COMPARE * port=0 adr=01 act=BB3B6ED809C1B07608 exp=BB3B6ED809C1B07608 + 31096.50ns INFO [00031098] * RD COMPARE * port=1 adr=02 act=FB0CF41E39E46A5FB6 exp=FB0CF41E39E46A5FB6 + 31097.50ns INFO [00031099] Port=0 RD @06 + 31097.50ns INFO [00031099] Port=1 RD @00 + 31098.00ns INFO [00031100] [00031100] ...tick... + 31098.50ns INFO [00031100] Port=1 RD @04 + 31099.50ns INFO [00031101] * RD COMPARE * port=0 adr=06 act=58338FC0926394CF4D exp=58338FC0926394CF4D + 31099.50ns INFO [00031101] * RD COMPARE * port=1 adr=00 act=F8C07B9E24D3D20721 exp=F8C07B9E24D3D20721 + 31099.50ns INFO [00031101] Port=1 RD @01 + 31100.50ns INFO [00031102] * RD COMPARE * port=1 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31100.50ns INFO [00031102] Port=0 WR @01=1DEE38C30CADB9987C + 31100.50ns INFO [00031102] Port=0 RD @04 + 31101.50ns INFO [00031103] * RD COMPARE * port=1 adr=01 act=BB3B6ED809C1B07608 exp=BB3B6ED809C1B07608 + 31102.50ns INFO [00031104] * RD COMPARE * port=0 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31102.50ns INFO [00031104] Port=0 RD @02 + 31103.50ns INFO [00031105] Port=1 RD @02 + 31104.50ns INFO [00031106] * RD COMPARE * port=0 adr=02 act=FB0CF41E39E46A5FB6 exp=FB0CF41E39E46A5FB6 + 31104.50ns INFO [00031106] Port=0 RD @02 + 31104.50ns INFO [00031106] Port=1 RD @04 + 31105.50ns INFO [00031107] * RD COMPARE * port=1 adr=02 act=FB0CF41E39E46A5FB6 exp=FB0CF41E39E46A5FB6 + 31105.50ns INFO [00031107] Port=0 WR @00=31A38C430B02B095BC + 31105.50ns INFO [00031107] Port=1 RD @01 + 31106.50ns INFO [00031108] * RD COMPARE * port=0 adr=02 act=FB0CF41E39E46A5FB6 exp=FB0CF41E39E46A5FB6 + 31106.50ns INFO [00031108] * RD COMPARE * port=1 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31106.50ns INFO [00031108] Port=1 RD @06 + 31107.50ns INFO [00031109] * RD COMPARE * port=1 adr=01 act=1DEE38C30CADB9987C exp=1DEE38C30CADB9987C + 31107.50ns INFO [00031109] Port=0 RD @04 + 31107.50ns INFO [00031109] Port=1 RD @02 + 31108.50ns INFO [00031110] * RD COMPARE * port=1 adr=06 act=58338FC0926394CF4D exp=58338FC0926394CF4D + 31109.50ns INFO [00031111] * RD COMPARE * port=0 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31109.50ns INFO [00031111] * RD COMPARE * port=1 adr=02 act=FB0CF41E39E46A5FB6 exp=FB0CF41E39E46A5FB6 + 31109.50ns INFO [00031111] Port=0 WR @01=AD6EBC62E8D7DCA000 + 31109.50ns INFO [00031111] Port=0 RD @04 + 31109.50ns INFO [00031111] Port=1 RD @02 + 31111.50ns INFO [00031113] * RD COMPARE * port=0 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31111.50ns INFO [00031113] * RD COMPARE * port=1 adr=02 act=FB0CF41E39E46A5FB6 exp=FB0CF41E39E46A5FB6 + 31112.50ns INFO [00031114] Port=0 WR @06=407739001DDFDE77C0 + 31112.50ns INFO [00031114] Port=0 RD @04 + 31112.50ns INFO [00031114] Port=1 RD @04 + 31113.50ns INFO [00031115] Port=1 RD @01 + 31114.50ns INFO [00031116] * RD COMPARE * port=0 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31114.50ns INFO [00031116] * RD COMPARE * port=1 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31114.50ns INFO [00031116] Port=0 WR @02=1829B15E24CBE16CB1 + 31114.50ns INFO [00031116] Port=1 RD @07 + 31115.50ns INFO [00031117] * RD COMPARE * port=1 adr=01 act=AD6EBC62E8D7DCA000 exp=AD6EBC62E8D7DCA000 + 31115.50ns INFO [00031117] Port=0 WR @05=7FEE64292D2AF28165 + 31115.50ns INFO [00031117] Port=0 RD @01 + 31115.50ns INFO [00031117] Port=1 RD @01 + 31116.50ns INFO [00031118] * RD COMPARE * port=1 adr=07 act=7DC273B2FEE1CE5BF3 exp=7DC273B2FEE1CE5BF3 + 31117.50ns INFO [00031119] * RD COMPARE * port=0 adr=01 act=AD6EBC62E8D7DCA000 exp=AD6EBC62E8D7DCA000 + 31117.50ns INFO [00031119] * RD COMPARE * port=1 adr=01 act=AD6EBC62E8D7DCA000 exp=AD6EBC62E8D7DCA000 + 31117.50ns INFO [00031119] Port=0 WR @00=5B5D8937212D1C6437 + 31117.50ns INFO [00031119] Port=1 RD @04 + 31118.50ns INFO [00031120] Port=0 WR @03=1B696C217B8383A8BD + 31119.50ns INFO [00031121] * RD COMPARE * port=1 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31120.50ns INFO [00031122] Port=0 WR @01=48ED9F89B46C5E48CD + 31120.50ns INFO [00031122] Port=1 RD @00 + 31122.50ns INFO [00031124] * RD COMPARE * port=1 adr=00 act=5B5D8937212D1C6437 exp=5B5D8937212D1C6437 + 31122.50ns INFO [00031124] Port=0 WR @01=846B2F62DAE6E6BEA3 + 31122.50ns INFO [00031124] Port=1 RD @02 + 31123.50ns INFO [00031125] Port=0 WR @03=CAD1F39911726EAB78 + 31124.50ns INFO [00031126] * RD COMPARE * port=1 adr=02 act=1829B15E24CBE16CB1 exp=1829B15E24CBE16CB1 + 31124.50ns INFO [00031126] Port=0 WR @02=AA094BAA6399FA0602 + 31124.50ns INFO [00031126] Port=0 RD @01 + 31126.50ns INFO [00031128] * RD COMPARE * port=0 adr=01 act=846B2F62DAE6E6BEA3 exp=846B2F62DAE6E6BEA3 + 31126.50ns INFO [00031128] Port=0 WR @00=23FDBAA30190514298 + 31126.50ns INFO [00031128] Port=1 RD @04 + 31127.50ns INFO [00031129] Port=1 RD @05 + 31128.50ns INFO [00031130] * RD COMPARE * port=1 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31129.50ns INFO [00031131] * RD COMPARE * port=1 adr=05 act=7FEE64292D2AF28165 exp=7FEE64292D2AF28165 + 31129.50ns INFO [00031131] Port=0 WR @02=48B2BF7E6458661D49 + 31130.50ns INFO [00031132] Port=1 RD @06 + 31131.50ns INFO [00031133] Port=1 RD @04 + 31132.50ns INFO [00031134] * RD COMPARE * port=1 adr=06 act=407739001DDFDE77C0 exp=407739001DDFDE77C0 + 31132.50ns INFO [00031134] Port=0 RD @04 + 31133.50ns INFO [00031135] * RD COMPARE * port=1 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31133.50ns INFO [00031135] Port=0 WR @04=23DB594608DEE6F476 + 31134.50ns INFO [00031136] * RD COMPARE * port=0 adr=04 act=B8798FF2268824F930 exp=B8798FF2268824F930 + 31134.50ns INFO [00031136] Port=1 RD @05 + 31135.50ns INFO [00031137] Port=1 RD @06 + 31136.50ns INFO [00031138] * RD COMPARE * port=1 adr=05 act=7FEE64292D2AF28165 exp=7FEE64292D2AF28165 + 31136.50ns INFO [00031138] Port=1 RD @00 + 31137.50ns INFO [00031139] * RD COMPARE * port=1 adr=06 act=407739001DDFDE77C0 exp=407739001DDFDE77C0 + 31138.50ns INFO [00031140] * RD COMPARE * port=1 adr=00 act=23FDBAA30190514298 exp=23FDBAA30190514298 + 31138.50ns INFO [00031140] Port=0 RD @06 + 31139.50ns INFO [00031141] Port=0 WR @04=E30FD133D4D6100679 + 31139.50ns INFO [00031141] Port=0 RD @02 + 31140.50ns INFO [00031142] * RD COMPARE * port=0 adr=06 act=407739001DDFDE77C0 exp=407739001DDFDE77C0 + 31140.50ns INFO [00031142] Port=0 WR @05=ED92D33F128DC11BCE + 31140.50ns INFO [00031142] Port=0 RD @02 + 31140.50ns INFO [00031142] Port=1 RD @04 + 31141.50ns INFO [00031143] * RD COMPARE * port=0 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31141.50ns INFO [00031143] Port=0 WR @01=FB1B38819E5D9D88EB + 31141.50ns INFO [00031143] Port=0 RD @06 + 31142.50ns INFO [00031144] * RD COMPARE * port=0 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31142.50ns INFO [00031144] * RD COMPARE * port=1 adr=04 act=E30FD133D4D6100679 exp=E30FD133D4D6100679 + 31142.50ns INFO [00031144] Port=0 RD @05 + 31143.50ns INFO [00031145] * RD COMPARE * port=0 adr=06 act=407739001DDFDE77C0 exp=407739001DDFDE77C0 + 31144.50ns INFO [00031146] * RD COMPARE * port=0 adr=05 act=ED92D33F128DC11BCE exp=ED92D33F128DC11BCE + 31144.50ns INFO [00031146] Port=1 RD @02 + 31145.50ns INFO [00031147] Port=1 RD @04 + 31146.50ns INFO [00031148] * RD COMPARE * port=1 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31146.50ns INFO [00031148] Port=0 WR @07=9B8B4AA15C9D679C78 + 31147.50ns INFO [00031149] * RD COMPARE * port=1 adr=04 act=E30FD133D4D6100679 exp=E30FD133D4D6100679 + 31148.50ns INFO [00031150] Port=0 WR @05=49134535E51024074F + 31148.50ns INFO [00031150] Port=1 RD @01 + 31149.50ns INFO [00031151] Port=0 RD @02 + 31150.50ns INFO [00031152] * RD COMPARE * port=1 adr=01 act=FB1B38819E5D9D88EB exp=FB1B38819E5D9D88EB + 31150.50ns INFO [00031152] Port=0 RD @06 + 31150.50ns INFO [00031152] Port=1 RD @03 + 31151.50ns INFO [00031153] * RD COMPARE * port=0 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31151.50ns INFO [00031153] Port=0 WR @07=124AB6E08F398F1A82 + 31152.50ns INFO [00031154] * RD COMPARE * port=0 adr=06 act=407739001DDFDE77C0 exp=407739001DDFDE77C0 + 31152.50ns INFO [00031154] * RD COMPARE * port=1 adr=03 act=CAD1F39911726EAB78 exp=CAD1F39911726EAB78 + 31152.50ns INFO [00031154] Port=1 RD @06 + 31153.50ns INFO [00031155] Port=0 WR @04=674BB817BFB73C15A6 + 31153.50ns INFO [00031155] Port=0 RD @05 + 31153.50ns INFO [00031155] Port=1 RD @03 + 31154.50ns INFO [00031156] * RD COMPARE * port=1 adr=06 act=407739001DDFDE77C0 exp=407739001DDFDE77C0 + 31155.50ns INFO [00031157] * RD COMPARE * port=0 adr=05 act=49134535E51024074F exp=49134535E51024074F + 31155.50ns INFO [00031157] * RD COMPARE * port=1 adr=03 act=CAD1F39911726EAB78 exp=CAD1F39911726EAB78 + 31155.50ns INFO [00031157] Port=1 RD @00 + 31156.50ns INFO [00031158] Port=0 RD @04 + 31156.50ns INFO [00031158] Port=1 RD @07 + 31157.50ns INFO [00031159] * RD COMPARE * port=1 adr=00 act=23FDBAA30190514298 exp=23FDBAA30190514298 + 31158.50ns INFO [00031160] * RD COMPARE * port=0 adr=04 act=674BB817BFB73C15A6 exp=674BB817BFB73C15A6 + 31158.50ns INFO [00031160] * RD COMPARE * port=1 adr=07 act=124AB6E08F398F1A82 exp=124AB6E08F398F1A82 + 31158.50ns INFO [00031160] Port=0 WR @00=6FC977EBC523184468 + 31159.50ns INFO [00031161] Port=0 WR @05=0859E1C80ECEA20BBC + 31160.50ns INFO [00031162] Port=0 WR @07=1FBA40E5380C3957B4 + 31160.50ns INFO [00031162] Port=1 RD @06 + 31161.50ns INFO [00031163] Port=0 WR @01=287F541863DB262936 + 31162.50ns INFO [00031164] * RD COMPARE * port=1 adr=06 act=407739001DDFDE77C0 exp=407739001DDFDE77C0 + 31162.50ns INFO [00031164] Port=0 RD @02 + 31163.50ns INFO [00031165] Port=0 RD @02 + 31163.50ns INFO [00031165] Port=1 RD @02 + 31164.50ns INFO [00031166] * RD COMPARE * port=0 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31165.50ns INFO [00031167] * RD COMPARE * port=0 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31165.50ns INFO [00031167] * RD COMPARE * port=1 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31165.50ns INFO [00031167] Port=0 WR @04=2DBAFD3528C309B740 + 31165.50ns INFO [00031167] Port=0 RD @06 + 31166.50ns INFO [00031168] Port=0 WR @00=722D1344AA31D9705B + 31166.50ns INFO [00031168] Port=0 RD @04 + 31167.50ns INFO [00031169] * RD COMPARE * port=0 adr=06 act=407739001DDFDE77C0 exp=407739001DDFDE77C0 + 31168.50ns INFO [00031170] * RD COMPARE * port=0 adr=04 act=2DBAFD3528C309B740 exp=2DBAFD3528C309B740 + 31168.50ns INFO [00031170] Port=0 WR @06=E45D0148090A089B7B + 31169.50ns INFO [00031171] Port=0 RD @07 + 31169.50ns INFO [00031171] Port=1 RD @04 + 31170.50ns INFO [00031172] Port=0 RD @07 + 31170.50ns INFO [00031172] Port=1 RD @07 + 31171.50ns INFO [00031173] * RD COMPARE * port=0 adr=07 act=1FBA40E5380C3957B4 exp=1FBA40E5380C3957B4 + 31171.50ns INFO [00031173] * RD COMPARE * port=1 adr=04 act=2DBAFD3528C309B740 exp=2DBAFD3528C309B740 + 31172.50ns INFO [00031174] * RD COMPARE * port=0 adr=07 act=1FBA40E5380C3957B4 exp=1FBA40E5380C3957B4 + 31172.50ns INFO [00031174] * RD COMPARE * port=1 adr=07 act=1FBA40E5380C3957B4 exp=1FBA40E5380C3957B4 + 31172.50ns INFO [00031174] Port=0 WR @07=EE16DEBCC3B39BDB96 + 31173.50ns INFO [00031175] Port=1 RD @00 + 31174.50ns INFO [00031176] Port=0 WR @00=C9164EE8E9B5739C63 + 31174.50ns INFO [00031176] Port=0 RD @07 + 31175.50ns INFO [00031177] * RD COMPARE * port=1 adr=00 act=722D1344AA31D9705B exp=722D1344AA31D9705B + 31176.50ns INFO [00031178] * RD COMPARE * port=0 adr=07 act=EE16DEBCC3B39BDB96 exp=EE16DEBCC3B39BDB96 + 31176.50ns INFO [00031178] Port=0 RD @02 + 31176.50ns INFO [00031178] Port=1 RD @01 + 31177.50ns INFO [00031179] Port=0 RD @06 + 31178.50ns INFO [00031180] * RD COMPARE * port=0 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31178.50ns INFO [00031180] * RD COMPARE * port=1 adr=01 act=287F541863DB262936 exp=287F541863DB262936 + 31178.50ns INFO [00031180] Port=0 RD @05 + 31179.50ns INFO [00031181] * RD COMPARE * port=0 adr=06 act=E45D0148090A089B7B exp=E45D0148090A089B7B + 31179.50ns INFO [00031181] Port=0 WR @01=3CCE3A6B75981E2A3F + 31179.50ns INFO [00031181] Port=1 RD @03 + 31180.50ns INFO [00031182] * RD COMPARE * port=0 adr=05 act=0859E1C80ECEA20BBC exp=0859E1C80ECEA20BBC + 31180.50ns INFO [00031182] Port=0 RD @03 + 31180.50ns INFO [00031182] Port=1 RD @02 + 31181.50ns INFO [00031183] * RD COMPARE * port=1 adr=03 act=CAD1F39911726EAB78 exp=CAD1F39911726EAB78 + 31182.50ns INFO [00031184] * RD COMPARE * port=0 adr=03 act=CAD1F39911726EAB78 exp=CAD1F39911726EAB78 + 31182.50ns INFO [00031184] * RD COMPARE * port=1 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31182.50ns INFO [00031184] Port=0 RD @02 + 31183.50ns INFO [00031185] Port=0 WR @06=8ECED7E6D6DCABE9C4 + 31184.50ns INFO [00031186] * RD COMPARE * port=0 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31184.50ns INFO [00031186] Port=0 RD @02 + 31184.50ns INFO [00031186] Port=1 RD @07 + 31185.50ns INFO [00031187] Port=0 WR @06=7498A462B598780307 + 31186.50ns INFO [00031188] * RD COMPARE * port=0 adr=02 act=48B2BF7E6458661D49 exp=48B2BF7E6458661D49 + 31186.50ns INFO [00031188] * RD COMPARE * port=1 adr=07 act=EE16DEBCC3B39BDB96 exp=EE16DEBCC3B39BDB96 + 31186.50ns INFO [00031188] Port=0 WR @00=A43F9156A726A3A88B + 31186.50ns INFO [00031188] Port=1 RD @06 + 31187.50ns INFO [00031189] Port=0 RD @04 + 31187.50ns INFO [00031189] Port=1 RD @00 + 31188.50ns INFO [00031190] * RD COMPARE * port=1 adr=06 act=7498A462B598780307 exp=7498A462B598780307 + 31188.50ns INFO [00031190] Port=1 RD @07 + 31189.50ns INFO [00031191] * RD COMPARE * port=0 adr=04 act=2DBAFD3528C309B740 exp=2DBAFD3528C309B740 + 31189.50ns INFO [00031191] * RD COMPARE * port=1 adr=00 act=A43F9156A726A3A88B exp=A43F9156A726A3A88B + 31189.50ns INFO [00031191] Port=0 RD @05 + 31190.50ns INFO [00031192] * RD COMPARE * port=1 adr=07 act=EE16DEBCC3B39BDB96 exp=EE16DEBCC3B39BDB96 + 31190.50ns INFO [00031192] Port=0 WR @05=B0E24F4EA8662A77CC + 31190.50ns INFO [00031192] Port=0 RD @01 + 31191.50ns INFO [00031193] * RD COMPARE * port=0 adr=05 act=0859E1C80ECEA20BBC exp=0859E1C80ECEA20BBC + 31191.50ns INFO [00031193] Port=0 RD @07 + 31192.50ns INFO [00031194] * RD COMPARE * port=0 adr=01 act=3CCE3A6B75981E2A3F exp=3CCE3A6B75981E2A3F + 31192.50ns INFO [00031194] Port=0 WR @02=4441DB2578F0B8CD6A + 31192.50ns INFO [00031194] Port=0 RD @04 + 31193.50ns INFO [00031195] * RD COMPARE * port=0 adr=07 act=EE16DEBCC3B39BDB96 exp=EE16DEBCC3B39BDB96 + 31194.50ns INFO [00031196] * RD COMPARE * port=0 adr=04 act=2DBAFD3528C309B740 exp=2DBAFD3528C309B740 + 31194.50ns INFO [00031196] Port=0 WR @05=60A83009CB765D88F9 + 31194.50ns INFO [00031196] Port=0 RD @03 + 31196.50ns INFO [00031198] * RD COMPARE * port=0 adr=03 act=CAD1F39911726EAB78 exp=CAD1F39911726EAB78 + 31196.50ns INFO [00031198] Port=0 WR @05=09D7A7B66DC789E0DA + 31196.50ns INFO [00031198] Port=0 RD @07 + 31198.00ns INFO [00031200] [00031200] ...tick... + 31198.50ns INFO [00031200] * RD COMPARE * port=0 adr=07 act=EE16DEBCC3B39BDB96 exp=EE16DEBCC3B39BDB96 + 31198.50ns INFO [00031200] Port=0 WR @07=1BB696F3F29F5E7DC1 + 31200.50ns INFO [00031202] Port=0 WR @01=99295B39A8E157CB5F + 31200.50ns INFO [00031202] Port=1 RD @04 + 31201.50ns INFO [00031203] Port=0 WR @01=02107394B6112C6A38 + 31201.50ns INFO [00031203] Port=1 RD @06 + 31202.50ns INFO [00031204] * RD COMPARE * port=1 adr=04 act=2DBAFD3528C309B740 exp=2DBAFD3528C309B740 + 31203.50ns INFO [00031205] * RD COMPARE * port=1 adr=06 act=7498A462B598780307 exp=7498A462B598780307 + 31203.50ns INFO [00031205] Port=0 WR @05=ABCA4E795268DA3888 + 31203.50ns INFO [00031205] Port=0 RD @04 + 31203.50ns INFO [00031205] Port=1 RD @06 + 31204.50ns INFO [00031206] Port=0 RD @02 + 31205.50ns INFO [00031207] * RD COMPARE * port=0 adr=04 act=2DBAFD3528C309B740 exp=2DBAFD3528C309B740 + 31205.50ns INFO [00031207] * RD COMPARE * port=1 adr=06 act=7498A462B598780307 exp=7498A462B598780307 + 31205.50ns INFO [00031207] Port=0 WR @06=5ED31BCD0F71CAD664 + 31206.50ns INFO [00031208] * RD COMPARE * port=0 adr=02 act=4441DB2578F0B8CD6A exp=4441DB2578F0B8CD6A + 31206.50ns INFO [00031208] Port=0 WR @00=B112103EAF4B75BC77 + 31206.50ns INFO [00031208] Port=0 RD @02 + 31206.50ns INFO [00031208] Port=1 RD @04 + 31207.50ns INFO [00031209] Port=0 WR @00=4488DAF69E6629CEF4 + 31207.50ns INFO [00031209] Port=1 RD @02 + 31208.50ns INFO [00031210] * RD COMPARE * port=0 adr=02 act=4441DB2578F0B8CD6A exp=4441DB2578F0B8CD6A + 31208.50ns INFO [00031210] * RD COMPARE * port=1 adr=04 act=2DBAFD3528C309B740 exp=2DBAFD3528C309B740 + 31208.50ns INFO [00031210] Port=0 RD @01 + 31208.50ns INFO [00031210] Port=1 RD @01 + 31209.50ns INFO [00031211] * RD COMPARE * port=1 adr=02 act=4441DB2578F0B8CD6A exp=4441DB2578F0B8CD6A + 31209.50ns INFO [00031211] Port=1 RD @04 + 31210.50ns INFO [00031212] * RD COMPARE * port=0 adr=01 act=02107394B6112C6A38 exp=02107394B6112C6A38 + 31210.50ns INFO [00031212] * RD COMPARE * port=1 adr=01 act=02107394B6112C6A38 exp=02107394B6112C6A38 + 31210.50ns INFO [00031212] Port=0 RD @07 + 31210.50ns INFO [00031212] Port=1 RD @05 + 31211.50ns INFO [00031213] * RD COMPARE * port=1 adr=04 act=2DBAFD3528C309B740 exp=2DBAFD3528C309B740 + 31211.50ns INFO [00031213] Port=0 WR @01=9D57698F5D68C74FA8 + 31212.50ns INFO [00031214] * RD COMPARE * port=0 adr=07 act=1BB696F3F29F5E7DC1 exp=1BB696F3F29F5E7DC1 + 31212.50ns INFO [00031214] * RD COMPARE * port=1 adr=05 act=ABCA4E795268DA3888 exp=ABCA4E795268DA3888 + 31212.50ns INFO [00031214] Port=0 WR @00=48A1ECD26A3F7E5B1C + 31212.50ns INFO [00031214] Port=0 RD @05 + 31212.50ns INFO [00031214] Port=1 RD @02 + 31213.50ns INFO [00031215] Port=0 WR @04=BF560CC20B1DCB1052 + 31213.50ns INFO [00031215] Port=1 RD @05 + 31214.50ns INFO [00031216] * RD COMPARE * port=0 adr=05 act=ABCA4E795268DA3888 exp=ABCA4E795268DA3888 + 31214.50ns INFO [00031216] * RD COMPARE * port=1 adr=02 act=4441DB2578F0B8CD6A exp=4441DB2578F0B8CD6A + 31214.50ns INFO [00031216] Port=0 RD @04 + 31215.50ns INFO [00031217] * RD COMPARE * port=1 adr=05 act=ABCA4E795268DA3888 exp=ABCA4E795268DA3888 + 31215.50ns INFO [00031217] Port=0 RD @01 + 31216.50ns INFO [00031218] * RD COMPARE * port=0 adr=04 act=BF560CC20B1DCB1052 exp=BF560CC20B1DCB1052 + 31216.50ns INFO [00031218] Port=0 WR @05=CA67734638674DC1A7 + 31216.50ns INFO [00031218] Port=0 RD @03 + 31217.50ns INFO [00031219] * RD COMPARE * port=0 adr=01 act=9D57698F5D68C74FA8 exp=9D57698F5D68C74FA8 + 31217.50ns INFO [00031219] Port=0 WR @07=5857CDFB2B99F406E9 + 31217.50ns INFO [00031219] Port=0 RD @01 + 31217.50ns INFO [00031219] Port=1 RD @02 + 31218.50ns INFO [00031220] * RD COMPARE * port=0 adr=03 act=CAD1F39911726EAB78 exp=CAD1F39911726EAB78 + 31218.50ns INFO [00031220] Port=1 RD @00 + 31219.50ns INFO [00031221] * RD COMPARE * port=0 adr=01 act=9D57698F5D68C74FA8 exp=9D57698F5D68C74FA8 + 31219.50ns INFO [00031221] * RD COMPARE * port=1 adr=02 act=4441DB2578F0B8CD6A exp=4441DB2578F0B8CD6A + 31219.50ns INFO [00031221] Port=0 RD @07 + 31219.50ns INFO [00031221] Port=1 RD @05 + 31220.50ns INFO [00031222] * RD COMPARE * port=1 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31220.50ns INFO [00031222] Port=0 WR @05=87805951AD5EA6B795 + 31220.50ns INFO [00031222] Port=0 RD @03 + 31221.50ns INFO [00031223] * RD COMPARE * port=0 adr=07 act=5857CDFB2B99F406E9 exp=5857CDFB2B99F406E9 + 31221.50ns INFO [00031223] * RD COMPARE * port=1 adr=05 act=CA67734638674DC1A7 exp=CA67734638674DC1A7 + 31221.50ns INFO [00031223] Port=0 RD @00 + 31221.50ns INFO [00031223] Port=1 RD @05 + 31222.50ns INFO [00031224] * RD COMPARE * port=0 adr=03 act=CAD1F39911726EAB78 exp=CAD1F39911726EAB78 + 31223.50ns INFO [00031225] * RD COMPARE * port=0 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31223.50ns INFO [00031225] * RD COMPARE * port=1 adr=05 act=87805951AD5EA6B795 exp=87805951AD5EA6B795 + 31224.50ns INFO [00031226] Port=0 WR @06=2E953447D0A1C7D5D5 + 31224.50ns INFO [00031226] Port=1 RD @00 + 31225.50ns INFO [00031227] Port=0 RD @00 + 31225.50ns INFO [00031227] Port=1 RD @00 + 31226.50ns INFO [00031228] * RD COMPARE * port=1 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31226.50ns INFO [00031228] Port=0 WR @01=E5BC396FE44ABBD58E + 31227.50ns INFO [00031229] * RD COMPARE * port=0 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31227.50ns INFO [00031229] * RD COMPARE * port=1 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31227.50ns INFO [00031229] Port=0 RD @06 + 31228.50ns INFO [00031230] Port=0 WR @05=805FA153FE4770244F + 31228.50ns INFO [00031230] Port=0 RD @04 + 31229.50ns INFO [00031231] * RD COMPARE * port=0 adr=06 act=2E953447D0A1C7D5D5 exp=2E953447D0A1C7D5D5 + 31229.50ns INFO [00031231] Port=0 WR @03=6B5DA3FADD03C45144 + 31229.50ns INFO [00031231] Port=1 RD @04 + 31230.50ns INFO [00031232] * RD COMPARE * port=0 adr=04 act=BF560CC20B1DCB1052 exp=BF560CC20B1DCB1052 + 31230.50ns INFO [00031232] Port=0 WR @02=7667F254E2C5B43281 + 31231.50ns INFO [00031233] * RD COMPARE * port=1 adr=04 act=BF560CC20B1DCB1052 exp=BF560CC20B1DCB1052 + 31231.50ns INFO [00031233] Port=0 WR @06=CC0F87EE23C2C89363 + 31231.50ns INFO [00031233] Port=0 RD @04 + 31232.50ns INFO [00031234] Port=0 RD @04 + 31232.50ns INFO [00031234] Port=1 RD @00 + 31233.50ns INFO [00031235] * RD COMPARE * port=0 adr=04 act=BF560CC20B1DCB1052 exp=BF560CC20B1DCB1052 + 31233.50ns INFO [00031235] Port=0 RD @00 + 31234.50ns INFO [00031236] * RD COMPARE * port=0 adr=04 act=BF560CC20B1DCB1052 exp=BF560CC20B1DCB1052 + 31234.50ns INFO [00031236] * RD COMPARE * port=1 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31234.50ns INFO [00031236] Port=0 RD @05 + 31235.50ns INFO [00031237] * RD COMPARE * port=0 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31235.50ns INFO [00031237] Port=1 RD @03 + 31236.50ns INFO [00031238] * RD COMPARE * port=0 adr=05 act=805FA153FE4770244F exp=805FA153FE4770244F + 31236.50ns INFO [00031238] Port=0 RD @00 + 31237.50ns INFO [00031239] * RD COMPARE * port=1 adr=03 act=6B5DA3FADD03C45144 exp=6B5DA3FADD03C45144 + 31237.50ns INFO [00031239] Port=0 RD @06 + 31238.50ns INFO [00031240] * RD COMPARE * port=0 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31238.50ns INFO [00031240] Port=0 RD @05 + 31238.50ns INFO [00031240] Port=1 RD @07 + 31239.50ns INFO [00031241] * RD COMPARE * port=0 adr=06 act=CC0F87EE23C2C89363 exp=CC0F87EE23C2C89363 + 31239.50ns INFO [00031241] Port=0 RD @07 + 31240.50ns INFO [00031242] * RD COMPARE * port=0 adr=05 act=805FA153FE4770244F exp=805FA153FE4770244F + 31240.50ns INFO [00031242] * RD COMPARE * port=1 adr=07 act=5857CDFB2B99F406E9 exp=5857CDFB2B99F406E9 + 31240.50ns INFO [00031242] Port=1 RD @04 + 31241.50ns INFO [00031243] * RD COMPARE * port=0 adr=07 act=5857CDFB2B99F406E9 exp=5857CDFB2B99F406E9 + 31241.50ns INFO [00031243] Port=1 RD @00 + 31242.50ns INFO [00031244] * RD COMPARE * port=1 adr=04 act=BF560CC20B1DCB1052 exp=BF560CC20B1DCB1052 + 31242.50ns INFO [00031244] Port=0 RD @07 + 31243.50ns INFO [00031245] * RD COMPARE * port=1 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31243.50ns INFO [00031245] Port=1 RD @00 + 31244.50ns INFO [00031246] * RD COMPARE * port=0 adr=07 act=5857CDFB2B99F406E9 exp=5857CDFB2B99F406E9 + 31244.50ns INFO [00031246] Port=0 RD @07 + 31245.50ns INFO [00031247] * RD COMPARE * port=1 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31245.50ns INFO [00031247] Port=0 RD @06 + 31245.50ns INFO [00031247] Port=1 RD @02 + 31246.50ns INFO [00031248] * RD COMPARE * port=0 adr=07 act=5857CDFB2B99F406E9 exp=5857CDFB2B99F406E9 + 31246.50ns INFO [00031248] Port=1 RD @00 + 31247.50ns INFO [00031249] * RD COMPARE * port=0 adr=06 act=CC0F87EE23C2C89363 exp=CC0F87EE23C2C89363 + 31247.50ns INFO [00031249] * RD COMPARE * port=1 adr=02 act=7667F254E2C5B43281 exp=7667F254E2C5B43281 + 31247.50ns INFO [00031249] Port=0 RD @04 + 31248.50ns INFO [00031250] * RD COMPARE * port=1 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31248.50ns INFO [00031250] Port=0 RD @07 + 31249.50ns INFO [00031251] * RD COMPARE * port=0 adr=04 act=BF560CC20B1DCB1052 exp=BF560CC20B1DCB1052 + 31249.50ns INFO [00031251] Port=0 WR @07=D11E890BA70AB7CA7F + 31250.50ns INFO [00031252] * RD COMPARE * port=0 adr=07 act=5857CDFB2B99F406E9 exp=5857CDFB2B99F406E9 + 31250.50ns INFO [00031252] Port=0 RD @03 + 31250.50ns INFO [00031252] Port=1 RD @03 + 31252.50ns INFO [00031254] * RD COMPARE * port=0 adr=03 act=6B5DA3FADD03C45144 exp=6B5DA3FADD03C45144 + 31252.50ns INFO [00031254] * RD COMPARE * port=1 adr=03 act=6B5DA3FADD03C45144 exp=6B5DA3FADD03C45144 + 31253.50ns INFO [00031255] Port=1 RD @04 + 31254.50ns INFO [00031256] Port=0 WR @02=BC4EB7F5630C2CFF27 + 31254.50ns INFO [00031256] Port=0 RD @07 + 31254.50ns INFO [00031256] Port=1 RD @06 + 31255.50ns INFO [00031257] * RD COMPARE * port=1 adr=04 act=BF560CC20B1DCB1052 exp=BF560CC20B1DCB1052 + 31255.50ns INFO [00031257] Port=0 WR @01=0BB872DD4D9C7B7D07 + 31255.50ns INFO [00031257] Port=1 RD @00 + 31256.50ns INFO [00031258] * RD COMPARE * port=0 adr=07 act=D11E890BA70AB7CA7F exp=D11E890BA70AB7CA7F + 31256.50ns INFO [00031258] * RD COMPARE * port=1 adr=06 act=CC0F87EE23C2C89363 exp=CC0F87EE23C2C89363 + 31256.50ns INFO [00031258] Port=0 WR @00=B147B152FCFE7F0792 + 31256.50ns INFO [00031258] Port=1 RD @01 + 31257.50ns INFO [00031259] * RD COMPARE * port=1 adr=00 act=48A1ECD26A3F7E5B1C exp=48A1ECD26A3F7E5B1C + 31257.50ns INFO [00031259] Port=0 WR @05=CF818F366507455CA6 + 31257.50ns INFO [00031259] Port=0 RD @06 + 31258.50ns INFO [00031260] * RD COMPARE * port=1 adr=01 act=0BB872DD4D9C7B7D07 exp=0BB872DD4D9C7B7D07 + 31258.50ns INFO [00031260] Port=0 WR @05=A46039EE58C6F1BC11 + 31258.50ns INFO [00031260] Port=0 RD @02 + 31258.50ns INFO [00031260] Port=1 RD @00 + 31259.50ns INFO [00031261] * RD COMPARE * port=0 adr=06 act=CC0F87EE23C2C89363 exp=CC0F87EE23C2C89363 + 31259.50ns INFO [00031261] Port=0 WR @06=5ECC3ACB4026F42D08 + 31260.50ns INFO [00031262] * RD COMPARE * port=0 adr=02 act=BC4EB7F5630C2CFF27 exp=BC4EB7F5630C2CFF27 + 31260.50ns INFO [00031262] * RD COMPARE * port=1 adr=00 act=B147B152FCFE7F0792 exp=B147B152FCFE7F0792 + 31260.50ns INFO [00031262] Port=0 RD @05 + 31261.50ns INFO [00031263] Port=0 WR @03=AADB3E7BCCB4DD0852 + 31261.50ns INFO [00031263] Port=1 RD @02 + 31262.50ns INFO [00031264] * RD COMPARE * port=0 adr=05 act=A46039EE58C6F1BC11 exp=A46039EE58C6F1BC11 + 31262.50ns INFO [00031264] Port=0 WR @06=C6C794217E17D0F89D + 31263.50ns INFO [00031265] * RD COMPARE * port=1 adr=02 act=BC4EB7F5630C2CFF27 exp=BC4EB7F5630C2CFF27 + 31263.50ns INFO [00031265] Port=0 RD @04 + 31263.50ns INFO [00031265] Port=1 RD @01 + 31264.50ns INFO [00031266] Port=0 WR @07=E0C56B797295FE0A61 + 31265.50ns INFO [00031267] * RD COMPARE * port=0 adr=04 act=BF560CC20B1DCB1052 exp=BF560CC20B1DCB1052 + 31265.50ns INFO [00031267] * RD COMPARE * port=1 adr=01 act=0BB872DD4D9C7B7D07 exp=0BB872DD4D9C7B7D07 + 31265.50ns INFO [00031267] Port=1 RD @02 + 31266.50ns INFO [00031268] Port=0 RD @00 + 31267.50ns INFO [00031269] * RD COMPARE * port=1 adr=02 act=BC4EB7F5630C2CFF27 exp=BC4EB7F5630C2CFF27 + 31267.50ns INFO [00031269] Port=0 WR @03=19C1B6743247EA2BD2 + 31267.50ns INFO [00031269] Port=0 RD @02 + 31268.50ns INFO [00031270] * RD COMPARE * port=0 adr=00 act=B147B152FCFE7F0792 exp=B147B152FCFE7F0792 + 31268.50ns INFO [00031270] Port=0 WR @04=F003A4AC1E79F163A8 + 31269.50ns INFO [00031271] * RD COMPARE * port=0 adr=02 act=BC4EB7F5630C2CFF27 exp=BC4EB7F5630C2CFF27 + 31269.50ns INFO [00031271] Port=0 WR @02=D59E38226547F452B7 + 31269.50ns INFO [00031271] Port=1 RD @04 + 31270.50ns INFO [00031272] Port=0 WR @05=C43BDB93FE061DA556 + 31271.50ns INFO [00031273] * RD COMPARE * port=1 adr=04 act=F003A4AC1E79F163A8 exp=F003A4AC1E79F163A8 + 31272.50ns INFO [00031274] Port=1 RD @07 + 31274.50ns INFO [00031276] * RD COMPARE * port=1 adr=07 act=E0C56B797295FE0A61 exp=E0C56B797295FE0A61 + 31274.50ns INFO [00031276] Port=1 RD @03 + 31275.50ns INFO [00031277] Port=0 WR @02=2214B5D991E05912E6 + 31275.50ns INFO [00031277] Port=1 RD @03 + 31276.50ns INFO [00031278] * RD COMPARE * port=1 adr=03 act=19C1B6743247EA2BD2 exp=19C1B6743247EA2BD2 + 31276.50ns INFO [00031278] Port=0 WR @04=4D0B081D54FFCF4FAC + 31277.50ns INFO [00031279] * RD COMPARE * port=1 adr=03 act=19C1B6743247EA2BD2 exp=19C1B6743247EA2BD2 + 31277.50ns INFO [00031279] Port=0 WR @07=1D0D1ED9D4520A49EC + 31277.50ns INFO [00031279] Port=0 RD @04 + 31277.50ns INFO [00031279] Port=1 RD @01 + 31279.50ns INFO [00031281] * RD COMPARE * port=0 adr=04 act=4D0B081D54FFCF4FAC exp=4D0B081D54FFCF4FAC + 31279.50ns INFO [00031281] * RD COMPARE * port=1 adr=01 act=0BB872DD4D9C7B7D07 exp=0BB872DD4D9C7B7D07 + 31280.50ns INFO [00031282] Port=0 WR @02=E10ACE386638B9B846 + 31280.50ns INFO [00031282] Port=1 RD @07 + 31281.50ns INFO [00031283] Port=1 RD @07 + 31282.50ns INFO [00031284] * RD COMPARE * port=1 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31283.50ns INFO [00031285] * RD COMPARE * port=1 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31283.50ns INFO [00031285] Port=0 WR @04=648149F85861EEB2E1 + 31284.50ns INFO [00031286] Port=0 RD @04 + 31285.50ns INFO [00031287] Port=0 RD @05 + 31286.50ns INFO [00031288] * RD COMPARE * port=0 adr=04 act=648149F85861EEB2E1 exp=648149F85861EEB2E1 + 31286.50ns INFO [00031288] Port=0 RD @01 + 31287.50ns INFO [00031289] * RD COMPARE * port=0 adr=05 act=C43BDB93FE061DA556 exp=C43BDB93FE061DA556 + 31287.50ns INFO [00031289] Port=0 RD @07 + 31287.50ns INFO [00031289] Port=1 RD @07 + 31288.50ns INFO [00031290] * RD COMPARE * port=0 adr=01 act=0BB872DD4D9C7B7D07 exp=0BB872DD4D9C7B7D07 + 31289.50ns INFO [00031291] * RD COMPARE * port=0 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31289.50ns INFO [00031291] * RD COMPARE * port=1 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31290.50ns INFO [00031292] Port=0 WR @01=80A7E39583ABCF8307 + 31290.50ns INFO [00031292] Port=1 RD @07 + 31291.50ns INFO [00031293] Port=0 WR @04=7B2097696CBCB3BB97 + 31292.50ns INFO [00031294] * RD COMPARE * port=1 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31292.50ns INFO [00031294] Port=0 RD @06 + 31292.50ns INFO [00031294] Port=1 RD @02 + 31293.50ns INFO [00031295] Port=0 RD @03 + 31293.50ns INFO [00031295] Port=1 RD @00 + 31294.50ns INFO [00031296] * RD COMPARE * port=0 adr=06 act=C6C794217E17D0F89D exp=C6C794217E17D0F89D + 31294.50ns INFO [00031296] * RD COMPARE * port=1 adr=02 act=E10ACE386638B9B846 exp=E10ACE386638B9B846 + 31295.50ns INFO [00031297] * RD COMPARE * port=0 adr=03 act=19C1B6743247EA2BD2 exp=19C1B6743247EA2BD2 + 31295.50ns INFO [00031297] * RD COMPARE * port=1 adr=00 act=B147B152FCFE7F0792 exp=B147B152FCFE7F0792 + 31295.50ns INFO [00031297] Port=0 WR @04=3427F86FFC9F307DCA + 31296.50ns INFO [00031298] Port=0 RD @03 + 31297.50ns INFO [00031299] Port=0 WR @02=47F585FBEE29BE64B6 + 31298.00ns INFO [00031300] [00031300] ...tick... + 31298.50ns INFO [00031300] * RD COMPARE * port=0 adr=03 act=19C1B6743247EA2BD2 exp=19C1B6743247EA2BD2 + 31298.50ns INFO [00031300] Port=0 WR @02=F55A410214C35F7535 + 31298.50ns INFO [00031300] Port=1 RD @05 + 31299.50ns INFO [00031301] Port=0 RD @00 + 31300.50ns INFO [00031302] * RD COMPARE * port=1 adr=05 act=C43BDB93FE061DA556 exp=C43BDB93FE061DA556 + 31300.50ns INFO [00031302] Port=0 RD @03 + 31301.50ns INFO [00031303] * RD COMPARE * port=0 adr=00 act=B147B152FCFE7F0792 exp=B147B152FCFE7F0792 + 31302.50ns INFO [00031304] * RD COMPARE * port=0 adr=03 act=19C1B6743247EA2BD2 exp=19C1B6743247EA2BD2 + 31302.50ns INFO [00031304] Port=1 RD @04 + 31303.50ns INFO [00031305] Port=0 RD @00 + 31304.50ns INFO [00031306] * RD COMPARE * port=1 adr=04 act=3427F86FFC9F307DCA exp=3427F86FFC9F307DCA + 31304.50ns INFO [00031306] Port=0 WR @05=1BDDC4590FEC335D5A + 31305.50ns INFO [00031307] * RD COMPARE * port=0 adr=00 act=B147B152FCFE7F0792 exp=B147B152FCFE7F0792 + 31305.50ns INFO [00031307] Port=1 RD @03 + 31307.50ns INFO [00031309] * RD COMPARE * port=1 adr=03 act=19C1B6743247EA2BD2 exp=19C1B6743247EA2BD2 + 31307.50ns INFO [00031309] Port=0 WR @06=48F8100CE8E966D6FA + 31307.50ns INFO [00031309] Port=0 RD @00 + 31307.50ns INFO [00031309] Port=1 RD @03 + 31308.50ns INFO [00031310] Port=0 RD @05 + 31309.50ns INFO [00031311] * RD COMPARE * port=0 adr=00 act=B147B152FCFE7F0792 exp=B147B152FCFE7F0792 + 31309.50ns INFO [00031311] * RD COMPARE * port=1 adr=03 act=19C1B6743247EA2BD2 exp=19C1B6743247EA2BD2 + 31309.50ns INFO [00031311] Port=1 RD @07 + 31310.50ns INFO [00031312] * RD COMPARE * port=0 adr=05 act=1BDDC4590FEC335D5A exp=1BDDC4590FEC335D5A + 31310.50ns INFO [00031312] Port=0 WR @04=010B8C2C00F63CA704 + 31311.50ns INFO [00031313] * RD COMPARE * port=1 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31313.50ns INFO [00031315] Port=0 RD @07 + 31315.50ns INFO [00031317] * RD COMPARE * port=0 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31315.50ns INFO [00031317] Port=0 WR @03=A7EE6B9B42F36B54B3 + 31315.50ns INFO [00031317] Port=0 RD @04 + 31316.50ns INFO [00031318] Port=0 WR @04=B0C9C1E62AFB7C40FC + 31316.50ns INFO [00031318] Port=0 RD @03 + 31317.50ns INFO [00031319] * RD COMPARE * port=0 adr=04 act=010B8C2C00F63CA704 exp=010B8C2C00F63CA704 + 31317.50ns INFO [00031319] Port=0 WR @06=C8BEA18E9819526966 + 31317.50ns INFO [00031319] Port=0 RD @07 + 31317.50ns INFO [00031319] Port=1 RD @03 + 31318.50ns INFO [00031320] * RD COMPARE * port=0 adr=03 act=A7EE6B9B42F36B54B3 exp=A7EE6B9B42F36B54B3 + 31318.50ns INFO [00031320] Port=0 WR @06=6FD82B6DC30D613E5B + 31319.50ns INFO [00031321] * RD COMPARE * port=0 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31319.50ns INFO [00031321] * RD COMPARE * port=1 adr=03 act=A7EE6B9B42F36B54B3 exp=A7EE6B9B42F36B54B3 + 31319.50ns INFO [00031321] Port=0 RD @00 + 31319.50ns INFO [00031321] Port=1 RD @06 + 31320.50ns INFO [00031322] Port=1 RD @00 + 31321.50ns INFO [00031323] * RD COMPARE * port=0 adr=00 act=B147B152FCFE7F0792 exp=B147B152FCFE7F0792 + 31321.50ns INFO [00031323] * RD COMPARE * port=1 adr=06 act=6FD82B6DC30D613E5B exp=6FD82B6DC30D613E5B + 31321.50ns INFO [00031323] Port=1 RD @07 + 31322.50ns INFO [00031324] * RD COMPARE * port=1 adr=00 act=B147B152FCFE7F0792 exp=B147B152FCFE7F0792 + 31322.50ns INFO [00031324] Port=0 RD @03 + 31322.50ns INFO [00031324] Port=1 RD @06 + 31323.50ns INFO [00031325] * RD COMPARE * port=1 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31323.50ns INFO [00031325] Port=0 RD @07 + 31323.50ns INFO [00031325] Port=1 RD @05 + 31324.50ns INFO [00031326] * RD COMPARE * port=0 adr=03 act=A7EE6B9B42F36B54B3 exp=A7EE6B9B42F36B54B3 + 31324.50ns INFO [00031326] * RD COMPARE * port=1 adr=06 act=6FD82B6DC30D613E5B exp=6FD82B6DC30D613E5B + 31324.50ns INFO [00031326] Port=0 WR @05=1015B2E5BEFCA56AFF + 31324.50ns INFO [00031326] Port=1 RD @04 + 31325.50ns INFO [00031327] * RD COMPARE * port=0 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31325.50ns INFO [00031327] * RD COMPARE * port=1 adr=05 act=1BDDC4590FEC335D5A exp=1BDDC4590FEC335D5A + 31326.50ns INFO [00031328] * RD COMPARE * port=1 adr=04 act=B0C9C1E62AFB7C40FC exp=B0C9C1E62AFB7C40FC + 31326.50ns INFO [00031328] Port=0 RD @01 + 31328.50ns INFO [00031330] * RD COMPARE * port=0 adr=01 act=80A7E39583ABCF8307 exp=80A7E39583ABCF8307 + 31328.50ns INFO [00031330] Port=1 RD @06 + 31329.50ns INFO [00031331] Port=0 RD @07 + 31330.50ns INFO [00031332] * RD COMPARE * port=1 adr=06 act=6FD82B6DC30D613E5B exp=6FD82B6DC30D613E5B + 31330.50ns INFO [00031332] Port=1 RD @07 + 31331.50ns INFO [00031333] * RD COMPARE * port=0 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31331.50ns INFO [00031333] Port=0 WR @06=B601DC82451770A36F + 31331.50ns INFO [00031333] Port=0 RD @04 + 31332.50ns INFO [00031334] * RD COMPARE * port=1 adr=07 act=1D0D1ED9D4520A49EC exp=1D0D1ED9D4520A49EC + 31332.50ns INFO [00031334] Port=0 WR @04=518516499AD79AC6AC + 31333.50ns INFO [00031335] * RD COMPARE * port=0 adr=04 act=B0C9C1E62AFB7C40FC exp=B0C9C1E62AFB7C40FC + 31333.50ns INFO [00031335] Port=0 WR @07=54CC456DC68EF8A87C + 31333.50ns INFO [00031335] Port=0 RD @02 + 31334.50ns INFO [00031336] Port=0 RD @04 + 31334.50ns INFO [00031336] Port=1 RD @07 + 31335.50ns INFO [00031337] * RD COMPARE * port=0 adr=02 act=F55A410214C35F7535 exp=F55A410214C35F7535 + 31335.50ns INFO [00031337] Port=0 WR @03=83D16139733DA39178 + 31335.50ns INFO [00031337] Port=1 RD @01 + 31336.50ns INFO [00031338] * RD COMPARE * port=0 adr=04 act=518516499AD79AC6AC exp=518516499AD79AC6AC + 31336.50ns INFO [00031338] * RD COMPARE * port=1 adr=07 act=54CC456DC68EF8A87C exp=54CC456DC68EF8A87C + 31337.50ns INFO [00031339] * RD COMPARE * port=1 adr=01 act=80A7E39583ABCF8307 exp=80A7E39583ABCF8307 + 31337.50ns INFO [00031339] Port=0 RD @07 + 31337.50ns INFO [00031339] Port=1 RD @02 + 31338.50ns INFO [00031340] Port=0 WR @02=549A90B25DF5AD79CD + 31338.50ns INFO [00031340] Port=1 RD @05 + 31339.50ns INFO [00031341] * RD COMPARE * port=0 adr=07 act=54CC456DC68EF8A87C exp=54CC456DC68EF8A87C + 31339.50ns INFO [00031341] * RD COMPARE * port=1 adr=02 act=F55A410214C35F7535 exp=F55A410214C35F7535 + 31339.50ns INFO [00031341] Port=1 RD @00 + 31340.50ns INFO [00031342] * RD COMPARE * port=1 adr=05 act=1015B2E5BEFCA56AFF exp=1015B2E5BEFCA56AFF + 31340.50ns INFO [00031342] Port=0 WR @07=FA825547AC6862D0FE + 31340.50ns INFO [00031342] Port=1 RD @01 + 31341.50ns INFO [00031343] * RD COMPARE * port=1 adr=00 act=B147B152FCFE7F0792 exp=B147B152FCFE7F0792 + 31341.50ns INFO [00031343] Port=0 RD @06 + 31342.50ns INFO [00031344] * RD COMPARE * port=1 adr=01 act=80A7E39583ABCF8307 exp=80A7E39583ABCF8307 + 31342.50ns INFO [00031344] Port=0 WR @06=C96230450D16616978 + 31342.50ns INFO [00031344] Port=1 RD @01 + 31343.50ns INFO [00031345] * RD COMPARE * port=0 adr=06 act=B601DC82451770A36F exp=B601DC82451770A36F + 31344.50ns INFO [00031346] * RD COMPARE * port=1 adr=01 act=80A7E39583ABCF8307 exp=80A7E39583ABCF8307 + 31344.50ns INFO [00031346] Port=0 RD @04 + 31344.50ns INFO [00031346] Port=1 RD @04 + 31345.50ns INFO [00031347] Port=0 RD @05 + 31346.50ns INFO [00031348] * RD COMPARE * port=0 adr=04 act=518516499AD79AC6AC exp=518516499AD79AC6AC + 31346.50ns INFO [00031348] * RD COMPARE * port=1 adr=04 act=518516499AD79AC6AC exp=518516499AD79AC6AC + 31346.50ns INFO [00031348] Port=0 WR @04=67DFE05B5F67616E40 + 31347.50ns INFO [00031349] * RD COMPARE * port=0 adr=05 act=1015B2E5BEFCA56AFF exp=1015B2E5BEFCA56AFF + 31348.50ns INFO [00031350] Port=0 WR @00=E80EB3C06AC4CB8EBF + 31349.50ns INFO [00031351] Port=1 RD @00 + 31350.50ns INFO [00031352] Port=0 RD @06 + 31350.50ns INFO [00031352] Port=1 RD @07 + 31351.50ns INFO [00031353] * RD COMPARE * port=1 adr=00 act=E80EB3C06AC4CB8EBF exp=E80EB3C06AC4CB8EBF + 31351.50ns INFO [00031353] Port=0 RD @04 + 31352.50ns INFO [00031354] * RD COMPARE * port=0 adr=06 act=C96230450D16616978 exp=C96230450D16616978 + 31352.50ns INFO [00031354] * RD COMPARE * port=1 adr=07 act=FA825547AC6862D0FE exp=FA825547AC6862D0FE + 31352.50ns INFO [00031354] Port=0 WR @06=911E8A25B2433D870C + 31353.50ns INFO [00031355] * RD COMPARE * port=0 adr=04 act=67DFE05B5F67616E40 exp=67DFE05B5F67616E40 + 31353.50ns INFO [00031355] Port=0 RD @01 + 31353.50ns INFO [00031355] Port=1 RD @05 + 31354.50ns INFO [00031356] Port=1 RD @04 + 31355.50ns INFO [00031357] * RD COMPARE * port=0 adr=01 act=80A7E39583ABCF8307 exp=80A7E39583ABCF8307 + 31355.50ns INFO [00031357] * RD COMPARE * port=1 adr=05 act=1015B2E5BEFCA56AFF exp=1015B2E5BEFCA56AFF + 31355.50ns INFO [00031357] Port=0 WR @03=104FA2666F3815A58D + 31356.50ns INFO [00031358] * RD COMPARE * port=1 adr=04 act=67DFE05B5F67616E40 exp=67DFE05B5F67616E40 + 31356.50ns INFO [00031358] Port=0 WR @07=952BB375B03A8A5AE3 + 31356.50ns INFO [00031358] Port=1 RD @03 + 31358.50ns INFO [00031360] * RD COMPARE * port=1 adr=03 act=104FA2666F3815A58D exp=104FA2666F3815A58D + 31358.50ns INFO [00031360] Port=0 WR @05=F4B6949A95CE8CDC03 + 31358.50ns INFO [00031360] Port=0 RD @00 + 31359.50ns INFO [00031361] Port=0 RD @07 + 31359.50ns INFO [00031361] Port=1 RD @04 + 31360.50ns INFO [00031362] * RD COMPARE * port=0 adr=00 act=E80EB3C06AC4CB8EBF exp=E80EB3C06AC4CB8EBF + 31361.50ns INFO [00031363] * RD COMPARE * port=0 adr=07 act=952BB375B03A8A5AE3 exp=952BB375B03A8A5AE3 + 31361.50ns INFO [00031363] * RD COMPARE * port=1 adr=04 act=67DFE05B5F67616E40 exp=67DFE05B5F67616E40 + 31361.50ns INFO [00031363] Port=1 RD @00 + 31363.50ns INFO [00031365] * RD COMPARE * port=1 adr=00 act=E80EB3C06AC4CB8EBF exp=E80EB3C06AC4CB8EBF + 31363.50ns INFO [00031365] Port=0 WR @07=586BDAA603EA645348 + 31363.50ns INFO [00031365] Port=0 RD @04 + 31364.50ns INFO [00031366] Port=0 RD @04 + 31365.50ns INFO [00031367] * RD COMPARE * port=0 adr=04 act=67DFE05B5F67616E40 exp=67DFE05B5F67616E40 + 31365.50ns INFO [00031367] Port=0 RD @07 + 31366.50ns INFO [00031368] * RD COMPARE * port=0 adr=04 act=67DFE05B5F67616E40 exp=67DFE05B5F67616E40 + 31366.50ns INFO [00031368] Port=0 WR @06=5239771371FB7B10B3 + 31366.50ns INFO [00031368] Port=1 RD @02 + 31367.50ns INFO [00031369] * RD COMPARE * port=0 adr=07 act=586BDAA603EA645348 exp=586BDAA603EA645348 + 31367.50ns INFO [00031369] Port=1 RD @04 + 31368.50ns INFO [00031370] * RD COMPARE * port=1 adr=02 act=549A90B25DF5AD79CD exp=549A90B25DF5AD79CD + 31369.50ns INFO [00031371] * RD COMPARE * port=1 adr=04 act=67DFE05B5F67616E40 exp=67DFE05B5F67616E40 + 31369.50ns INFO [00031371] Port=0 RD @02 + 31369.50ns INFO [00031371] Port=1 RD @07 + 31370.50ns INFO [00031372] Port=0 WR @06=7CFC3B995CC03C4899 + 31371.50ns INFO [00031373] * RD COMPARE * port=0 adr=02 act=549A90B25DF5AD79CD exp=549A90B25DF5AD79CD + 31371.50ns INFO [00031373] * RD COMPARE * port=1 adr=07 act=586BDAA603EA645348 exp=586BDAA603EA645348 + 31371.50ns INFO [00031373] Port=1 RD @06 + 31373.50ns INFO [00031375] * RD COMPARE * port=1 adr=06 act=7CFC3B995CC03C4899 exp=7CFC3B995CC03C4899 + 31373.50ns INFO [00031375] Port=1 RD @03 + 31374.50ns INFO [00031376] Port=0 WR @01=27BFDB9AD88250AE31 + 31375.50ns INFO [00031377] * RD COMPARE * port=1 adr=03 act=104FA2666F3815A58D exp=104FA2666F3815A58D + 31375.50ns INFO [00031377] Port=0 RD @06 + 31376.50ns INFO [00031378] Port=0 RD @00 + 31376.50ns INFO [00031378] Port=1 RD @04 + 31377.50ns INFO [00031379] * RD COMPARE * port=0 adr=06 act=7CFC3B995CC03C4899 exp=7CFC3B995CC03C4899 + 31377.50ns INFO [00031379] Port=1 RD @06 + 31378.50ns INFO [00031380] * RD COMPARE * port=0 adr=00 act=E80EB3C06AC4CB8EBF exp=E80EB3C06AC4CB8EBF + 31378.50ns INFO [00031380] * RD COMPARE * port=1 adr=04 act=67DFE05B5F67616E40 exp=67DFE05B5F67616E40 + 31378.50ns INFO [00031380] Port=0 WR @07=4E0C50AF7C36A9854E + 31378.50ns INFO [00031380] Port=0 RD @01 + 31378.50ns INFO [00031380] Port=1 RD @05 + 31379.50ns INFO [00031381] * RD COMPARE * port=1 adr=06 act=7CFC3B995CC03C4899 exp=7CFC3B995CC03C4899 + 31380.50ns INFO [00031382] * RD COMPARE * port=0 adr=01 act=27BFDB9AD88250AE31 exp=27BFDB9AD88250AE31 + 31380.50ns INFO [00031382] * RD COMPARE * port=1 adr=05 act=F4B6949A95CE8CDC03 exp=F4B6949A95CE8CDC03 + 31380.50ns INFO [00031382] Port=0 WR @02=0A3B2096A23F3F21F2 + 31380.50ns INFO [00031382] Port=0 RD @06 + 31380.50ns INFO [00031382] Port=1 RD @05 + 31382.50ns INFO [00031384] * RD COMPARE * port=0 adr=06 act=7CFC3B995CC03C4899 exp=7CFC3B995CC03C4899 + 31382.50ns INFO [00031384] * RD COMPARE * port=1 adr=05 act=F4B6949A95CE8CDC03 exp=F4B6949A95CE8CDC03 + 31382.50ns INFO [00031384] Port=0 RD @07 + 31383.50ns INFO [00031385] Port=0 WR @03=F4018EE87872D237E2 + 31383.50ns INFO [00031385] Port=0 RD @06 + 31383.50ns INFO [00031385] Port=1 RD @06 + 31384.50ns INFO [00031386] * RD COMPARE * port=0 adr=07 act=4E0C50AF7C36A9854E exp=4E0C50AF7C36A9854E + 31385.50ns INFO [00031387] * RD COMPARE * port=0 adr=06 act=7CFC3B995CC03C4899 exp=7CFC3B995CC03C4899 + 31385.50ns INFO [00031387] * RD COMPARE * port=1 adr=06 act=7CFC3B995CC03C4899 exp=7CFC3B995CC03C4899 + 31385.50ns INFO [00031387] Port=0 WR @07=B7DF1296E24D0F4810 + 31385.50ns INFO [00031387] Port=0 RD @03 + 31386.50ns INFO [00031388] Port=0 WR @06=931FBC578653D8B038 + 31386.50ns INFO [00031388] Port=0 RD @00 + 31386.50ns INFO [00031388] Port=1 RD @05 + 31387.50ns INFO [00031389] * RD COMPARE * port=0 adr=03 act=F4018EE87872D237E2 exp=F4018EE87872D237E2 + 31387.50ns INFO [00031389] Port=0 RD @06 + 31388.50ns INFO [00031390] * RD COMPARE * port=0 adr=00 act=E80EB3C06AC4CB8EBF exp=E80EB3C06AC4CB8EBF + 31388.50ns INFO [00031390] * RD COMPARE * port=1 adr=05 act=F4B6949A95CE8CDC03 exp=F4B6949A95CE8CDC03 + 31388.50ns INFO [00031390] Port=1 RD @00 + 31389.50ns INFO [00031391] * RD COMPARE * port=0 adr=06 act=931FBC578653D8B038 exp=931FBC578653D8B038 + 31389.50ns INFO [00031391] Port=0 RD @01 + 31390.50ns INFO [00031392] * RD COMPARE * port=1 adr=00 act=E80EB3C06AC4CB8EBF exp=E80EB3C06AC4CB8EBF + 31390.50ns INFO [00031392] Port=0 WR @07=80A74DC36884936986 + 31390.50ns INFO [00031392] Port=1 RD @01 + 31391.50ns INFO [00031393] * RD COMPARE * port=0 adr=01 act=27BFDB9AD88250AE31 exp=27BFDB9AD88250AE31 + 31391.50ns INFO [00031393] Port=0 RD @00 + 31392.50ns INFO [00031394] * RD COMPARE * port=1 adr=01 act=27BFDB9AD88250AE31 exp=27BFDB9AD88250AE31 + 31392.50ns INFO [00031394] Port=0 WR @01=D9BA7C29BA8BB2BF7E + 31393.50ns INFO [00031395] * RD COMPARE * port=0 adr=00 act=E80EB3C06AC4CB8EBF exp=E80EB3C06AC4CB8EBF + 31393.50ns INFO [00031395] Port=0 RD @03 + 31394.50ns INFO [00031396] Port=0 RD @05 + 31395.50ns INFO [00031397] * RD COMPARE * port=0 adr=03 act=F4018EE87872D237E2 exp=F4018EE87872D237E2 + 31395.50ns INFO [00031397] Port=1 RD @03 + 31396.50ns INFO [00031398] * RD COMPARE * port=0 adr=05 act=F4B6949A95CE8CDC03 exp=F4B6949A95CE8CDC03 + 31397.50ns INFO [00031399] * RD COMPARE * port=1 adr=03 act=F4018EE87872D237E2 exp=F4018EE87872D237E2 + 31398.00ns INFO [00031400] [00031400] ...tick... + 31399.50ns INFO [00031401] Port=0 RD @04 + 31401.50ns INFO [00031403] * RD COMPARE * port=0 adr=04 act=67DFE05B5F67616E40 exp=67DFE05B5F67616E40 + 31403.50ns INFO [00031405] Port=1 RD @02 + 31404.50ns INFO [00031406] Port=0 WR @00=1C158CCB11F17942B0 + 31405.50ns INFO [00031407] * RD COMPARE * port=1 adr=02 act=0A3B2096A23F3F21F2 exp=0A3B2096A23F3F21F2 + 31406.50ns INFO [00031408] Port=0 WR @04=FA0550AB95CACE895A + 31406.50ns INFO [00031408] Port=1 RD @06 + 31407.50ns INFO [00031409] Port=1 RD @03 + 31408.50ns INFO [00031410] * RD COMPARE * port=1 adr=06 act=931FBC578653D8B038 exp=931FBC578653D8B038 + 31408.50ns INFO [00031410] Port=0 WR @01=34D1C8AF9510C67660 + 31409.50ns INFO [00031411] * RD COMPARE * port=1 adr=03 act=F4018EE87872D237E2 exp=F4018EE87872D237E2 + 31409.50ns INFO [00031411] Port=0 RD @05 + 31409.50ns INFO [00031411] Port=1 RD @04 + 31411.50ns INFO [00031413] * RD COMPARE * port=0 adr=05 act=F4B6949A95CE8CDC03 exp=F4B6949A95CE8CDC03 + 31411.50ns INFO [00031413] * RD COMPARE * port=1 adr=04 act=FA0550AB95CACE895A exp=FA0550AB95CACE895A + 31411.50ns INFO [00031413] Port=0 RD @05 + 31411.50ns INFO [00031413] Port=1 RD @03 + 31413.50ns INFO [00031415] * RD COMPARE * port=0 adr=05 act=F4B6949A95CE8CDC03 exp=F4B6949A95CE8CDC03 + 31413.50ns INFO [00031415] * RD COMPARE * port=1 adr=03 act=F4018EE87872D237E2 exp=F4018EE87872D237E2 + 31413.50ns INFO [00031415] Port=0 RD @02 + 31414.50ns INFO [00031416] Port=0 RD @05 + 31415.50ns INFO [00031417] * RD COMPARE * port=0 adr=02 act=0A3B2096A23F3F21F2 exp=0A3B2096A23F3F21F2 + 31416.50ns INFO [00031418] * RD COMPARE * port=0 adr=05 act=F4B6949A95CE8CDC03 exp=F4B6949A95CE8CDC03 + 31419.50ns INFO [00031421] Port=0 WR @07=264A76A968B8DB4DEC + 31420.50ns INFO [00031422] Port=0 WR @06=69A64C30403F331095 + 31420.50ns INFO [00031422] Port=0 RD @03 + 31422.50ns INFO [00031424] * RD COMPARE * port=0 adr=03 act=F4018EE87872D237E2 exp=F4018EE87872D237E2 + 31423.50ns INFO [00031425] Port=1 RD @02 + 31425.50ns INFO [00031427] * RD COMPARE * port=1 adr=02 act=0A3B2096A23F3F21F2 exp=0A3B2096A23F3F21F2 + 31425.50ns INFO [00031427] Port=0 WR @03=8C81B5902D28D4AD01 + 31426.50ns INFO [00031428] Port=0 RD @02 + 31426.50ns INFO [00031428] Port=1 RD @02 + 31427.50ns INFO [00031429] Port=0 WR @07=F8105C42FBA7B58261 + 31428.50ns INFO [00031430] * RD COMPARE * port=0 adr=02 act=0A3B2096A23F3F21F2 exp=0A3B2096A23F3F21F2 + 31428.50ns INFO [00031430] * RD COMPARE * port=1 adr=02 act=0A3B2096A23F3F21F2 exp=0A3B2096A23F3F21F2 + 31430.50ns INFO [00031432] Port=0 RD @06 + 31430.50ns INFO [00031432] Port=1 RD @06 + 31431.50ns INFO [00031433] Port=1 RD @01 + 31432.50ns INFO [00031434] * RD COMPARE * port=0 adr=06 act=69A64C30403F331095 exp=69A64C30403F331095 + 31432.50ns INFO [00031434] * RD COMPARE * port=1 adr=06 act=69A64C30403F331095 exp=69A64C30403F331095 + 31432.50ns INFO [00031434] Port=0 WR @02=9F14B5A2B6BAA7BDD6 + 31433.50ns INFO [00031435] * RD COMPARE * port=1 adr=01 act=34D1C8AF9510C67660 exp=34D1C8AF9510C67660 + 31433.50ns INFO [00031435] Port=0 RD @00 + 31434.50ns INFO [00031436] Port=1 RD @04 + 31435.50ns INFO [00031437] * RD COMPARE * port=0 adr=00 act=1C158CCB11F17942B0 exp=1C158CCB11F17942B0 + 31435.50ns INFO [00031437] Port=0 WR @05=A0E83557BC317DBEA2 + 31435.50ns INFO [00031437] Port=0 RD @01 + 31436.50ns INFO [00031438] * RD COMPARE * port=1 adr=04 act=FA0550AB95CACE895A exp=FA0550AB95CACE895A + 31436.50ns INFO [00031438] Port=1 RD @05 + 31437.50ns INFO [00031439] * RD COMPARE * port=0 adr=01 act=34D1C8AF9510C67660 exp=34D1C8AF9510C67660 + 31437.50ns INFO [00031439] Port=0 RD @04 + 31438.50ns INFO [00031440] * RD COMPARE * port=1 adr=05 act=A0E83557BC317DBEA2 exp=A0E83557BC317DBEA2 + 31439.50ns INFO [00031441] * RD COMPARE * port=0 adr=04 act=FA0550AB95CACE895A exp=FA0550AB95CACE895A + 31439.50ns INFO [00031441] Port=0 WR @00=9846288B5E07AC5A17 + 31441.50ns INFO [00031443] Port=0 WR @01=E161D4A99664BDE45F + 31441.50ns INFO [00031443] Port=0 RD @05 + 31441.50ns INFO [00031443] Port=1 RD @07 + 31442.50ns INFO [00031444] Port=0 WR @01=7E888D7AD83E825612 + 31443.50ns INFO [00031445] * RD COMPARE * port=0 adr=05 act=A0E83557BC317DBEA2 exp=A0E83557BC317DBEA2 + 31443.50ns INFO [00031445] * RD COMPARE * port=1 adr=07 act=F8105C42FBA7B58261 exp=F8105C42FBA7B58261 + 31443.50ns INFO [00031445] Port=0 RD @07 + 31444.50ns INFO [00031446] Port=0 RD @01 + 31445.50ns INFO [00031447] * RD COMPARE * port=0 adr=07 act=F8105C42FBA7B58261 exp=F8105C42FBA7B58261 + 31445.50ns INFO [00031447] Port=0 WR @03=52970539894B51ECB1 + 31445.50ns INFO [00031447] Port=1 RD @02 + 31446.50ns INFO [00031448] * RD COMPARE * port=0 adr=01 act=7E888D7AD83E825612 exp=7E888D7AD83E825612 + 31446.50ns INFO [00031448] Port=0 WR @04=50528AB0BB07870CA2 + 31446.50ns INFO [00031448] Port=0 RD @00 + 31447.50ns INFO [00031449] * RD COMPARE * port=1 adr=02 act=9F14B5A2B6BAA7BDD6 exp=9F14B5A2B6BAA7BDD6 + 31447.50ns INFO [00031449] Port=0 WR @04=1AE5DE61BFF677C64E + 31447.50ns INFO [00031449] Port=1 RD @01 + 31448.50ns INFO [00031450] * RD COMPARE * port=0 adr=00 act=9846288B5E07AC5A17 exp=9846288B5E07AC5A17 + 31448.50ns INFO [00031450] Port=0 WR @06=3DA6B78630B30255A5 + 31448.50ns INFO [00031450] Port=0 RD @00 + 31449.50ns INFO [00031451] * RD COMPARE * port=1 adr=01 act=7E888D7AD83E825612 exp=7E888D7AD83E825612 + 31449.50ns INFO [00031451] Port=0 RD @03 + 31449.50ns INFO [00031451] Port=1 RD @06 + 31450.50ns INFO [00031452] * RD COMPARE * port=0 adr=00 act=9846288B5E07AC5A17 exp=9846288B5E07AC5A17 + 31451.50ns INFO [00031453] * RD COMPARE * port=0 adr=03 act=52970539894B51ECB1 exp=52970539894B51ECB1 + 31451.50ns INFO [00031453] * RD COMPARE * port=1 adr=06 act=3DA6B78630B30255A5 exp=3DA6B78630B30255A5 + 31452.50ns INFO [00031454] Port=0 RD @00 + 31454.50ns INFO [00031456] * RD COMPARE * port=0 adr=00 act=9846288B5E07AC5A17 exp=9846288B5E07AC5A17 + 31456.50ns INFO [00031458] Port=0 WR @05=DA4C609A759780B598 + 31456.50ns INFO [00031458] Port=1 RD @04 + 31457.50ns INFO [00031459] Port=0 RD @04 + 31457.50ns INFO [00031459] Port=1 RD @00 + 31458.50ns INFO [00031460] * RD COMPARE * port=1 adr=04 act=1AE5DE61BFF677C64E exp=1AE5DE61BFF677C64E + 31458.50ns INFO [00031460] Port=0 WR @07=9B73A31E98DE3F5451 + 31458.50ns INFO [00031460] Port=1 RD @00 + 31459.50ns INFO [00031461] * RD COMPARE * port=0 adr=04 act=1AE5DE61BFF677C64E exp=1AE5DE61BFF677C64E + 31459.50ns INFO [00031461] * RD COMPARE * port=1 adr=00 act=9846288B5E07AC5A17 exp=9846288B5E07AC5A17 + 31459.50ns INFO [00031461] Port=0 WR @03=AC7433277ABB4B8C28 + 31459.50ns INFO [00031461] Port=0 RD @02 + 31460.50ns INFO [00031462] * RD COMPARE * port=1 adr=00 act=9846288B5E07AC5A17 exp=9846288B5E07AC5A17 + 31460.50ns INFO [00031462] Port=0 RD @04 + 31460.50ns INFO [00031462] Port=1 RD @05 + 31461.50ns INFO [00031463] * RD COMPARE * port=0 adr=02 act=9F14B5A2B6BAA7BDD6 exp=9F14B5A2B6BAA7BDD6 + 31461.50ns INFO [00031463] Port=0 RD @00 + 31461.50ns INFO [00031463] Port=1 RD @03 + 31462.50ns INFO [00031464] * RD COMPARE * port=0 adr=04 act=1AE5DE61BFF677C64E exp=1AE5DE61BFF677C64E + 31462.50ns INFO [00031464] * RD COMPARE * port=1 adr=05 act=DA4C609A759780B598 exp=DA4C609A759780B598 + 31462.50ns INFO [00031464] Port=0 WR @05=298D17FF4354FD2A55 + 31462.50ns INFO [00031464] Port=0 RD @04 + 31463.50ns INFO [00031465] * RD COMPARE * port=0 adr=00 act=9846288B5E07AC5A17 exp=9846288B5E07AC5A17 + 31463.50ns INFO [00031465] * RD COMPARE * port=1 adr=03 act=AC7433277ABB4B8C28 exp=AC7433277ABB4B8C28 + 31463.50ns INFO [00031465] Port=0 WR @02=32FD6B2467EE52DA0B + 31463.50ns INFO [00031465] Port=1 RD @04 + 31464.50ns INFO [00031466] * RD COMPARE * port=0 adr=04 act=1AE5DE61BFF677C64E exp=1AE5DE61BFF677C64E + 31464.50ns INFO [00031466] Port=0 RD @05 + 31465.50ns INFO [00031467] * RD COMPARE * port=1 adr=04 act=1AE5DE61BFF677C64E exp=1AE5DE61BFF677C64E + 31465.50ns INFO [00031467] Port=1 RD @02 + 31466.50ns INFO [00031468] * RD COMPARE * port=0 adr=05 act=298D17FF4354FD2A55 exp=298D17FF4354FD2A55 + 31466.50ns INFO [00031468] Port=1 RD @02 + 31467.50ns INFO [00031469] * RD COMPARE * port=1 adr=02 act=32FD6B2467EE52DA0B exp=32FD6B2467EE52DA0B + 31467.50ns INFO [00031469] Port=0 RD @07 + 31468.50ns INFO [00031470] * RD COMPARE * port=1 adr=02 act=32FD6B2467EE52DA0B exp=32FD6B2467EE52DA0B + 31468.50ns INFO [00031470] Port=0 WR @07=DEF1FF90A18FF9A2FD + 31468.50ns INFO [00031470] Port=0 RD @06 + 31469.50ns INFO [00031471] * RD COMPARE * port=0 adr=07 act=9B73A31E98DE3F5451 exp=9B73A31E98DE3F5451 + 31469.50ns INFO [00031471] Port=0 WR @02=69456FFBBC4B4A5534 + 31469.50ns INFO [00031471] Port=0 RD @03 + 31470.50ns INFO [00031472] * RD COMPARE * port=0 adr=06 act=3DA6B78630B30255A5 exp=3DA6B78630B30255A5 + 31470.50ns INFO [00031472] Port=0 WR @05=94FAD64F4285EEBCDE + 31471.50ns INFO [00031473] * RD COMPARE * port=0 adr=03 act=AC7433277ABB4B8C28 exp=AC7433277ABB4B8C28 + 31471.50ns INFO [00031473] Port=0 RD @03 + 31473.50ns INFO [00031475] * RD COMPARE * port=0 adr=03 act=AC7433277ABB4B8C28 exp=AC7433277ABB4B8C28 + 31473.50ns INFO [00031475] Port=0 RD @05 + 31475.50ns INFO [00031477] * RD COMPARE * port=0 adr=05 act=94FAD64F4285EEBCDE exp=94FAD64F4285EEBCDE + 31476.50ns INFO [00031478] Port=1 RD @02 + 31477.50ns INFO [00031479] Port=0 WR @05=FEBCAA4EDC9DFFBF2E + 31477.50ns INFO [00031479] Port=0 RD @04 + 31478.50ns INFO [00031480] * RD COMPARE * port=1 adr=02 act=69456FFBBC4B4A5534 exp=69456FFBBC4B4A5534 + 31478.50ns INFO [00031480] Port=1 RD @05 + 31479.50ns INFO [00031481] * RD COMPARE * port=0 adr=04 act=1AE5DE61BFF677C64E exp=1AE5DE61BFF677C64E + 31479.50ns INFO [00031481] Port=0 WR @07=68658D401C67231420 + 31479.50ns INFO [00031481] Port=0 RD @04 + 31479.50ns INFO [00031481] Port=1 RD @05 + 31480.50ns INFO [00031482] * RD COMPARE * port=1 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31480.50ns INFO [00031482] Port=0 RD @06 + 31480.50ns INFO [00031482] Port=1 RD @06 + 31481.50ns INFO [00031483] * RD COMPARE * port=0 adr=04 act=1AE5DE61BFF677C64E exp=1AE5DE61BFF677C64E + 31481.50ns INFO [00031483] * RD COMPARE * port=1 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31481.50ns INFO [00031483] Port=0 WR @04=8798C376A54ED927BB + 31481.50ns INFO [00031483] Port=0 RD @00 + 31482.50ns INFO [00031484] * RD COMPARE * port=0 adr=06 act=3DA6B78630B30255A5 exp=3DA6B78630B30255A5 + 31482.50ns INFO [00031484] * RD COMPARE * port=1 adr=06 act=3DA6B78630B30255A5 exp=3DA6B78630B30255A5 + 31482.50ns INFO [00031484] Port=0 WR @04=7EA5F7F43B7C6716E0 + 31483.50ns INFO [00031485] * RD COMPARE * port=0 adr=00 act=9846288B5E07AC5A17 exp=9846288B5E07AC5A17 + 31483.50ns INFO [00031485] Port=1 RD @05 + 31484.50ns INFO [00031486] Port=0 RD @02 + 31485.50ns INFO [00031487] * RD COMPARE * port=1 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31486.50ns INFO [00031488] * RD COMPARE * port=0 adr=02 act=69456FFBBC4B4A5534 exp=69456FFBBC4B4A5534 + 31486.50ns INFO [00031488] Port=0 WR @04=9E2BA4C2A4C39557FC + 31486.50ns INFO [00031488] Port=1 RD @07 + 31488.50ns INFO [00031490] * RD COMPARE * port=1 adr=07 act=68658D401C67231420 exp=68658D401C67231420 + 31488.50ns INFO [00031490] Port=0 WR @02=ADB43587AF1C7915EF + 31489.50ns INFO [00031491] Port=1 RD @04 + 31491.50ns INFO [00031493] * RD COMPARE * port=1 adr=04 act=9E2BA4C2A4C39557FC exp=9E2BA4C2A4C39557FC + 31491.50ns INFO [00031493] Port=0 WR @01=FBF229CAB3DC134516 + 31492.50ns INFO [00031494] Port=0 WR @06=198AC4509D7C49E775 + 31492.50ns INFO [00031494] Port=0 RD @02 + 31493.50ns INFO [00031495] Port=0 RD @02 + 31494.50ns INFO [00031496] * RD COMPARE * port=0 adr=02 act=ADB43587AF1C7915EF exp=ADB43587AF1C7915EF + 31494.50ns INFO [00031496] Port=0 RD @04 + 31495.50ns INFO [00031497] * RD COMPARE * port=0 adr=02 act=ADB43587AF1C7915EF exp=ADB43587AF1C7915EF + 31495.50ns INFO [00031497] Port=1 RD @04 + 31496.50ns INFO [00031498] * RD COMPARE * port=0 adr=04 act=9E2BA4C2A4C39557FC exp=9E2BA4C2A4C39557FC + 31497.50ns INFO [00031499] * RD COMPARE * port=1 adr=04 act=9E2BA4C2A4C39557FC exp=9E2BA4C2A4C39557FC + 31497.50ns INFO [00031499] Port=1 RD @01 + 31498.00ns INFO [00031500] [00031500] ...tick... + 31499.50ns INFO [00031501] * RD COMPARE * port=1 adr=01 act=FBF229CAB3DC134516 exp=FBF229CAB3DC134516 + 31499.50ns INFO [00031501] Port=0 RD @04 + 31500.50ns INFO [00031502] Port=0 WR @01=C30DF724E1E6ACE026 + 31501.50ns INFO [00031503] * RD COMPARE * port=0 adr=04 act=9E2BA4C2A4C39557FC exp=9E2BA4C2A4C39557FC + 31501.50ns INFO [00031503] Port=0 WR @07=1C19197F0A1DA14394 + 31503.50ns INFO [00031505] Port=0 RD @01 + 31504.50ns INFO [00031506] Port=0 WR @00=C1D57C3354F55D639C + 31505.50ns INFO [00031507] * RD COMPARE * port=0 adr=01 act=C30DF724E1E6ACE026 exp=C30DF724E1E6ACE026 + 31505.50ns INFO [00031507] Port=1 RD @01 + 31506.50ns INFO [00031508] Port=0 RD @06 + 31506.50ns INFO [00031508] Port=1 RD @05 + 31507.50ns INFO [00031509] * RD COMPARE * port=1 adr=01 act=C30DF724E1E6ACE026 exp=C30DF724E1E6ACE026 + 31508.50ns INFO [00031510] * RD COMPARE * port=0 adr=06 act=198AC4509D7C49E775 exp=198AC4509D7C49E775 + 31508.50ns INFO [00031510] * RD COMPARE * port=1 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31508.50ns INFO [00031510] Port=0 WR @03=6A8E3A06427F0AC572 + 31509.50ns INFO [00031511] Port=0 WR @00=222062B92150CE0F44 + 31509.50ns INFO [00031511] Port=0 RD @03 + 31509.50ns INFO [00031511] Port=1 RD @06 + 31511.50ns INFO [00031513] * RD COMPARE * port=0 adr=03 act=6A8E3A06427F0AC572 exp=6A8E3A06427F0AC572 + 31511.50ns INFO [00031513] * RD COMPARE * port=1 adr=06 act=198AC4509D7C49E775 exp=198AC4509D7C49E775 + 31511.50ns INFO [00031513] Port=0 WR @03=F4AD4A85334CAB0DDC + 31511.50ns INFO [00031513] Port=0 RD @04 + 31511.50ns INFO [00031513] Port=1 RD @04 + 31513.50ns INFO [00031515] * RD COMPARE * port=0 adr=04 act=9E2BA4C2A4C39557FC exp=9E2BA4C2A4C39557FC + 31513.50ns INFO [00031515] * RD COMPARE * port=1 adr=04 act=9E2BA4C2A4C39557FC exp=9E2BA4C2A4C39557FC + 31513.50ns INFO [00031515] Port=1 RD @04 + 31514.50ns INFO [00031516] Port=1 RD @01 + 31515.50ns INFO [00031517] * RD COMPARE * port=1 adr=04 act=9E2BA4C2A4C39557FC exp=9E2BA4C2A4C39557FC + 31515.50ns INFO [00031517] Port=0 WR @01=012F59DEE8AAAA0555 + 31515.50ns INFO [00031517] Port=0 RD @03 + 31516.50ns INFO [00031518] * RD COMPARE * port=1 adr=01 act=C30DF724E1E6ACE026 exp=C30DF724E1E6ACE026 + 31517.50ns INFO [00031519] * RD COMPARE * port=0 adr=03 act=F4AD4A85334CAB0DDC exp=F4AD4A85334CAB0DDC + 31517.50ns INFO [00031519] Port=0 RD @05 + 31518.50ns INFO [00031520] Port=0 RD @06 + 31519.50ns INFO [00031521] * RD COMPARE * port=0 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31519.50ns INFO [00031521] Port=0 RD @03 + 31520.50ns INFO [00031522] * RD COMPARE * port=0 adr=06 act=198AC4509D7C49E775 exp=198AC4509D7C49E775 + 31520.50ns INFO [00031522] Port=0 RD @02 + 31520.50ns INFO [00031522] Port=1 RD @07 + 31521.50ns INFO [00031523] * RD COMPARE * port=0 adr=03 act=F4AD4A85334CAB0DDC exp=F4AD4A85334CAB0DDC + 31521.50ns INFO [00031523] Port=0 RD @05 + 31522.50ns INFO [00031524] * RD COMPARE * port=0 adr=02 act=ADB43587AF1C7915EF exp=ADB43587AF1C7915EF + 31522.50ns INFO [00031524] * RD COMPARE * port=1 adr=07 act=1C19197F0A1DA14394 exp=1C19197F0A1DA14394 + 31522.50ns INFO [00031524] Port=0 RD @06 + 31522.50ns INFO [00031524] Port=1 RD @02 + 31523.50ns INFO [00031525] * RD COMPARE * port=0 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31523.50ns INFO [00031525] Port=0 WR @04=56B591A2DB86F46F75 + 31524.50ns INFO [00031526] * RD COMPARE * port=0 adr=06 act=198AC4509D7C49E775 exp=198AC4509D7C49E775 + 31524.50ns INFO [00031526] * RD COMPARE * port=1 adr=02 act=ADB43587AF1C7915EF exp=ADB43587AF1C7915EF + 31524.50ns INFO [00031526] Port=0 WR @02=E6D7E4B0FDE7603174 + 31524.50ns INFO [00031526] Port=0 RD @01 + 31525.50ns INFO [00031527] Port=0 WR @06=34014A776F40615354 + 31525.50ns INFO [00031527] Port=1 RD @00 + 31526.50ns INFO [00031528] * RD COMPARE * port=0 adr=01 act=012F59DEE8AAAA0555 exp=012F59DEE8AAAA0555 + 31526.50ns INFO [00031528] Port=0 WR @04=70E1CE65710C6B4841 + 31527.50ns INFO [00031529] * RD COMPARE * port=1 adr=00 act=222062B92150CE0F44 exp=222062B92150CE0F44 + 31529.50ns INFO [00031531] Port=0 RD @00 + 31529.50ns INFO [00031531] Port=1 RD @03 + 31530.50ns INFO [00031532] Port=0 WR @04=4818D1551E1A482881 + 31531.50ns INFO [00031533] * RD COMPARE * port=0 adr=00 act=222062B92150CE0F44 exp=222062B92150CE0F44 + 31531.50ns INFO [00031533] * RD COMPARE * port=1 adr=03 act=F4AD4A85334CAB0DDC exp=F4AD4A85334CAB0DDC + 31531.50ns INFO [00031533] Port=0 WR @07=84A757541C0978F5B1 + 31531.50ns INFO [00031533] Port=1 RD @03 + 31532.50ns INFO [00031534] Port=0 WR @03=8E28AFD063D7957513 + 31533.50ns INFO [00031535] * RD COMPARE * port=1 adr=03 act=F4AD4A85334CAB0DDC exp=F4AD4A85334CAB0DDC + 31533.50ns INFO [00031535] Port=0 RD @05 + 31534.50ns INFO [00031536] Port=0 RD @00 + 31535.50ns INFO [00031537] * RD COMPARE * port=0 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31535.50ns INFO [00031537] Port=0 WR @06=248B21F516BCB8CBC3 + 31536.50ns INFO [00031538] * RD COMPARE * port=0 adr=00 act=222062B92150CE0F44 exp=222062B92150CE0F44 + 31537.50ns INFO [00031539] Port=0 RD @02 + 31538.50ns INFO [00031540] Port=0 WR @04=A15263B2B71929737C + 31538.50ns INFO [00031540] Port=0 RD @05 + 31538.50ns INFO [00031540] Port=1 RD @01 + 31539.50ns INFO [00031541] * RD COMPARE * port=0 adr=02 act=E6D7E4B0FDE7603174 exp=E6D7E4B0FDE7603174 + 31539.50ns INFO [00031541] Port=0 WR @02=B027642E031C8872ED + 31539.50ns INFO [00031541] Port=0 RD @07 + 31539.50ns INFO [00031541] Port=1 RD @07 + 31540.50ns INFO [00031542] * RD COMPARE * port=0 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31540.50ns INFO [00031542] * RD COMPARE * port=1 adr=01 act=012F59DEE8AAAA0555 exp=012F59DEE8AAAA0555 + 31541.50ns INFO [00031543] * RD COMPARE * port=0 adr=07 act=84A757541C0978F5B1 exp=84A757541C0978F5B1 + 31541.50ns INFO [00031543] * RD COMPARE * port=1 adr=07 act=84A757541C0978F5B1 exp=84A757541C0978F5B1 + 31542.50ns INFO [00031544] Port=0 RD @01 + 31544.50ns INFO [00031546] * RD COMPARE * port=0 adr=01 act=012F59DEE8AAAA0555 exp=012F59DEE8AAAA0555 + 31544.50ns INFO [00031546] Port=0 WR @01=9E4FD874097B6C265F + 31544.50ns INFO [00031546] Port=0 RD @06 + 31545.50ns INFO [00031547] Port=0 WR @03=BCB7F845CBCA56311A + 31545.50ns INFO [00031547] Port=1 RD @06 + 31546.50ns INFO [00031548] * RD COMPARE * port=0 adr=06 act=248B21F516BCB8CBC3 exp=248B21F516BCB8CBC3 + 31546.50ns INFO [00031548] Port=0 WR @02=51144700EF93507012 + 31547.50ns INFO [00031549] * RD COMPARE * port=1 adr=06 act=248B21F516BCB8CBC3 exp=248B21F516BCB8CBC3 + 31547.50ns INFO [00031549] Port=0 WR @01=4FC3AA381179C89ADB + 31547.50ns INFO [00031549] Port=0 RD @05 + 31549.50ns INFO [00031551] * RD COMPARE * port=0 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31550.50ns INFO [00031552] Port=0 RD @05 + 31551.50ns INFO [00031553] Port=1 RD @05 + 31552.50ns INFO [00031554] * RD COMPARE * port=0 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31552.50ns INFO [00031554] Port=1 RD @07 + 31553.50ns INFO [00031555] * RD COMPARE * port=1 adr=05 act=FEBCAA4EDC9DFFBF2E exp=FEBCAA4EDC9DFFBF2E + 31553.50ns INFO [00031555] Port=0 WR @07=1365870198F6324483 + 31554.50ns INFO [00031556] * RD COMPARE * port=1 adr=07 act=84A757541C0978F5B1 exp=84A757541C0978F5B1 + 31554.50ns INFO [00031556] Port=1 RD @01 + 31555.50ns INFO [00031557] Port=0 WR @03=EFE55D8F505261879E + 31556.50ns INFO [00031558] * RD COMPARE * port=1 adr=01 act=4FC3AA381179C89ADB exp=4FC3AA381179C89ADB + 31556.50ns INFO [00031558] Port=1 RD @06 + 31557.50ns INFO [00031559] Port=0 WR @03=CB54484207EEBFC4A3 + 31557.50ns INFO [00031559] Port=0 RD @04 + 31558.50ns INFO [00031560] * RD COMPARE * port=1 adr=06 act=248B21F516BCB8CBC3 exp=248B21F516BCB8CBC3 + 31559.50ns INFO [00031561] * RD COMPARE * port=0 adr=04 act=A15263B2B71929737C exp=A15263B2B71929737C + 31559.50ns INFO [00031561] Port=0 WR @01=7EF6E16D8CC4DDD02F + 31559.50ns INFO [00031561] Port=0 RD @07 + 31559.50ns INFO [00031561] Port=1 RD @06 + 31560.50ns INFO [00031562] Port=1 RD @02 + 31561.50ns INFO [00031563] * RD COMPARE * port=0 adr=07 act=1365870198F6324483 exp=1365870198F6324483 + 31561.50ns INFO [00031563] * RD COMPARE * port=1 adr=06 act=248B21F516BCB8CBC3 exp=248B21F516BCB8CBC3 + 31561.50ns INFO [00031563] Port=0 WR @02=A483C63477683338B8 + 31562.50ns INFO [00031564] * RD COMPARE * port=1 adr=02 act=51144700EF93507012 exp=51144700EF93507012 + 31562.50ns INFO [00031564] Port=0 RD @04 + 31563.50ns INFO [00031565] Port=0 WR @05=ED2C096788F46999D4 + 31563.50ns INFO [00031565] Port=1 RD @03 + 31564.50ns INFO [00031566] * RD COMPARE * port=0 adr=04 act=A15263B2B71929737C exp=A15263B2B71929737C + 31564.50ns INFO [00031566] Port=1 RD @00 + 31565.50ns INFO [00031567] * RD COMPARE * port=1 adr=03 act=CB54484207EEBFC4A3 exp=CB54484207EEBFC4A3 + 31565.50ns INFO [00031567] Port=0 RD @04 + 31565.50ns INFO [00031567] Port=1 RD @07 + 31566.50ns INFO [00031568] * RD COMPARE * port=1 adr=00 act=222062B92150CE0F44 exp=222062B92150CE0F44 + 31566.50ns INFO [00031568] Port=0 WR @07=BC2541075BAA9B0472 + 31566.50ns INFO [00031568] Port=0 RD @00 + 31567.50ns INFO [00031569] * RD COMPARE * port=0 adr=04 act=A15263B2B71929737C exp=A15263B2B71929737C + 31567.50ns INFO [00031569] * RD COMPARE * port=1 adr=07 act=1365870198F6324483 exp=1365870198F6324483 + 31567.50ns INFO [00031569] Port=0 WR @02=3DC2CC2B2CB78D75C0 + 31568.50ns INFO [00031570] * RD COMPARE * port=0 adr=00 act=222062B92150CE0F44 exp=222062B92150CE0F44 + 31568.50ns INFO [00031570] Port=1 RD @07 + 31570.50ns INFO [00031572] * RD COMPARE * port=1 adr=07 act=BC2541075BAA9B0472 exp=BC2541075BAA9B0472 + 31570.50ns INFO [00031572] Port=0 RD @07 + 31572.50ns INFO [00031574] * RD COMPARE * port=0 adr=07 act=BC2541075BAA9B0472 exp=BC2541075BAA9B0472 + 31572.50ns INFO [00031574] Port=1 RD @01 + 31574.50ns INFO [00031576] * RD COMPARE * port=1 adr=01 act=7EF6E16D8CC4DDD02F exp=7EF6E16D8CC4DDD02F + 31574.50ns INFO [00031576] Port=0 RD @01 + 31575.50ns INFO [00031577] Port=1 RD @05 + 31576.50ns INFO [00031578] * RD COMPARE * port=0 adr=01 act=7EF6E16D8CC4DDD02F exp=7EF6E16D8CC4DDD02F + 31576.50ns INFO [00031578] Port=1 RD @06 + 31577.50ns INFO [00031579] * RD COMPARE * port=1 adr=05 act=ED2C096788F46999D4 exp=ED2C096788F46999D4 + 31578.50ns INFO [00031580] * RD COMPARE * port=1 adr=06 act=248B21F516BCB8CBC3 exp=248B21F516BCB8CBC3 + 31578.50ns INFO [00031580] Port=1 RD @07 + 31579.50ns INFO [00031581] Port=0 RD @07 + 31579.50ns INFO [00031581] Port=1 RD @02 + 31580.50ns INFO [00031582] * RD COMPARE * port=1 adr=07 act=BC2541075BAA9B0472 exp=BC2541075BAA9B0472 + 31580.50ns INFO [00031582] Port=0 RD @01 + 31581.50ns INFO [00031583] * RD COMPARE * port=0 adr=07 act=BC2541075BAA9B0472 exp=BC2541075BAA9B0472 + 31581.50ns INFO [00031583] * RD COMPARE * port=1 adr=02 act=3DC2CC2B2CB78D75C0 exp=3DC2CC2B2CB78D75C0 + 31581.50ns INFO [00031583] Port=0 WR @07=BD11A3192EC21398F9 + 31581.50ns INFO [00031583] Port=0 RD @06 + 31581.50ns INFO [00031583] Port=1 RD @04 + 31582.50ns INFO [00031584] * RD COMPARE * port=0 adr=01 act=7EF6E16D8CC4DDD02F exp=7EF6E16D8CC4DDD02F + 31583.50ns INFO [00031585] * RD COMPARE * port=0 adr=06 act=248B21F516BCB8CBC3 exp=248B21F516BCB8CBC3 + 31583.50ns INFO [00031585] * RD COMPARE * port=1 adr=04 act=A15263B2B71929737C exp=A15263B2B71929737C + 31583.50ns INFO [00031585] Port=0 WR @00=3D3CD69683F0F6C9E7 + 31583.50ns INFO [00031585] Port=0 RD @01 + 31584.50ns INFO [00031586] Port=0 WR @07=938B8ED86FC54DC741 + 31584.50ns INFO [00031586] Port=0 RD @01 + 31584.50ns INFO [00031586] Port=1 RD @02 + 31585.50ns INFO [00031587] * RD COMPARE * port=0 adr=01 act=7EF6E16D8CC4DDD02F exp=7EF6E16D8CC4DDD02F + 31585.50ns INFO [00031587] Port=0 RD @06 + 31585.50ns INFO [00031587] Port=1 RD @06 + 31586.50ns INFO [00031588] * RD COMPARE * port=0 adr=01 act=7EF6E16D8CC4DDD02F exp=7EF6E16D8CC4DDD02F + 31586.50ns INFO [00031588] * RD COMPARE * port=1 adr=02 act=3DC2CC2B2CB78D75C0 exp=3DC2CC2B2CB78D75C0 + 31586.50ns INFO [00031588] Port=0 RD @00 + 31586.50ns INFO [00031588] Port=1 RD @01 + 31587.50ns INFO [00031589] * RD COMPARE * port=0 adr=06 act=248B21F516BCB8CBC3 exp=248B21F516BCB8CBC3 + 31587.50ns INFO [00031589] * RD COMPARE * port=1 adr=06 act=248B21F516BCB8CBC3 exp=248B21F516BCB8CBC3 + 31587.50ns INFO [00031589] Port=0 WR @07=A7711B406527DBF639 + 31587.50ns INFO [00031589] Port=1 RD @00 + 31588.50ns INFO [00031590] * RD COMPARE * port=0 adr=00 act=3D3CD69683F0F6C9E7 exp=3D3CD69683F0F6C9E7 + 31588.50ns INFO [00031590] * RD COMPARE * port=1 adr=01 act=7EF6E16D8CC4DDD02F exp=7EF6E16D8CC4DDD02F + 31588.50ns INFO [00031590] Port=0 WR @02=FFF00BC1CFB039F8FE + 31589.50ns INFO [00031591] * RD COMPARE * port=1 adr=00 act=3D3CD69683F0F6C9E7 exp=3D3CD69683F0F6C9E7 + 31589.50ns INFO [00031591] Port=1 RD @05 + 31590.50ns INFO [00031592] Port=0 WR @05=DE756190E717723570 + 31590.50ns INFO [00031592] Port=1 RD @01 + 31591.50ns INFO [00031593] * RD COMPARE * port=1 adr=05 act=ED2C096788F46999D4 exp=ED2C096788F46999D4 + 31591.50ns INFO [00031593] Port=0 WR @04=0DF4D6E2F1A355BC57 + 31591.50ns INFO [00031593] Port=0 RD @01 + 31592.50ns INFO [00031594] * RD COMPARE * port=1 adr=01 act=7EF6E16D8CC4DDD02F exp=7EF6E16D8CC4DDD02F + 31592.50ns INFO [00031594] Port=0 RD @07 + 31592.50ns INFO [00031594] Port=1 RD @00 + 31593.50ns INFO [00031595] * RD COMPARE * port=0 adr=01 act=7EF6E16D8CC4DDD02F exp=7EF6E16D8CC4DDD02F + 31593.50ns INFO [00031595] Port=1 RD @06 + 31594.50ns INFO [00031596] * RD COMPARE * port=0 adr=07 act=A7711B406527DBF639 exp=A7711B406527DBF639 + 31594.50ns INFO [00031596] * RD COMPARE * port=1 adr=00 act=3D3CD69683F0F6C9E7 exp=3D3CD69683F0F6C9E7 + 31594.50ns INFO [00031596] Port=0 WR @03=3EACCE5B32D2362AC5 + 31594.50ns INFO [00031596] Port=1 RD @01 + 31595.50ns INFO [00031597] * RD COMPARE * port=1 adr=06 act=248B21F516BCB8CBC3 exp=248B21F516BCB8CBC3 + 31595.50ns INFO [00031597] Port=0 WR @03=D615C43E7FA7CA2698 + 31595.50ns INFO [00031597] Port=0 RD @04 + 31596.50ns INFO [00031598] * RD COMPARE * port=1 adr=01 act=7EF6E16D8CC4DDD02F exp=7EF6E16D8CC4DDD02F + 31596.50ns INFO [00031598] Port=0 RD @04 + 31597.50ns INFO [00031599] * RD COMPARE * port=0 adr=04 act=0DF4D6E2F1A355BC57 exp=0DF4D6E2F1A355BC57 + 31598.00ns INFO [00031600] [00031600] ...tick... + 31598.50ns INFO [00031600] * RD COMPARE * port=0 adr=04 act=0DF4D6E2F1A355BC57 exp=0DF4D6E2F1A355BC57 + 31598.50ns INFO [00031600] Port=0 RD @03 + 31599.50ns INFO [00031601] Port=0 WR @05=8837C44E77B6FA5C78 + 31599.50ns INFO [00031601] Port=0 RD @00 + 31600.50ns INFO [00031602] * RD COMPARE * port=0 adr=03 act=D615C43E7FA7CA2698 exp=D615C43E7FA7CA2698 + 31600.50ns INFO [00031602] Port=0 WR @06=1677DA0771F5A15239 + 31600.50ns INFO [00031602] Port=0 RD @03 + 31601.50ns INFO [00031603] * RD COMPARE * port=0 adr=00 act=3D3CD69683F0F6C9E7 exp=3D3CD69683F0F6C9E7 + 31601.50ns INFO [00031603] Port=0 RD @03 + 31602.50ns INFO [00031604] * RD COMPARE * port=0 adr=03 act=D615C43E7FA7CA2698 exp=D615C43E7FA7CA2698 + 31602.50ns INFO [00031604] Port=0 WR @04=0DEFCA56679178C689 + 31602.50ns INFO [00031604] Port=1 RD @01 + 31603.50ns INFO [00031605] * RD COMPARE * port=0 adr=03 act=D615C43E7FA7CA2698 exp=D615C43E7FA7CA2698 + 31604.50ns INFO [00031606] * RD COMPARE * port=1 adr=01 act=7EF6E16D8CC4DDD02F exp=7EF6E16D8CC4DDD02F + 31605.50ns INFO [00031607] Port=0 RD @02 + 31605.50ns INFO [00031607] Port=1 RD @05 + 31606.50ns INFO [00031608] Port=0 WR @07=D0D2EC26C36FF205E2 + 31606.50ns INFO [00031608] Port=0 RD @00 + 31606.50ns INFO [00031608] Port=1 RD @05 + 31607.50ns INFO [00031609] * RD COMPARE * port=0 adr=02 act=FFF00BC1CFB039F8FE exp=FFF00BC1CFB039F8FE + 31607.50ns INFO [00031609] * RD COMPARE * port=1 adr=05 act=8837C44E77B6FA5C78 exp=8837C44E77B6FA5C78 + 31607.50ns INFO [00031609] Port=0 WR @01=06DA218D301A89AC39 + 31607.50ns INFO [00031609] Port=0 RD @04 + 31607.50ns INFO [00031609] Port=1 RD @04 + 31608.50ns INFO [00031610] * RD COMPARE * port=0 adr=00 act=3D3CD69683F0F6C9E7 exp=3D3CD69683F0F6C9E7 + 31608.50ns INFO [00031610] * RD COMPARE * port=1 adr=05 act=8837C44E77B6FA5C78 exp=8837C44E77B6FA5C78 + 31608.50ns INFO [00031610] Port=0 RD @04 + 31609.50ns INFO [00031611] * RD COMPARE * port=0 adr=04 act=0DEFCA56679178C689 exp=0DEFCA56679178C689 + 31609.50ns INFO [00031611] * RD COMPARE * port=1 adr=04 act=0DEFCA56679178C689 exp=0DEFCA56679178C689 + 31609.50ns INFO [00031611] Port=0 RD @01 + 31609.50ns INFO [00031611] Port=1 RD @02 + 31610.50ns INFO [00031612] * RD COMPARE * port=0 adr=04 act=0DEFCA56679178C689 exp=0DEFCA56679178C689 + 31610.50ns INFO [00031612] Port=0 RD @05 + 31610.50ns INFO [00031612] Port=1 RD @04 + 31611.50ns INFO [00031613] * RD COMPARE * port=0 adr=01 act=06DA218D301A89AC39 exp=06DA218D301A89AC39 + 31611.50ns INFO [00031613] * RD COMPARE * port=1 adr=02 act=FFF00BC1CFB039F8FE exp=FFF00BC1CFB039F8FE + 31612.50ns INFO [00031614] * RD COMPARE * port=0 adr=05 act=8837C44E77B6FA5C78 exp=8837C44E77B6FA5C78 + 31612.50ns INFO [00031614] * RD COMPARE * port=1 adr=04 act=0DEFCA56679178C689 exp=0DEFCA56679178C689 + 31612.50ns INFO [00031614] Port=0 WR @07=E1734AA09794C52D79 + 31612.50ns INFO [00031614] Port=0 RD @06 + 31612.50ns INFO [00031614] Port=1 RD @04 + 31613.50ns INFO [00031615] Port=0 WR @05=B32D113432D230B0C6 + 31614.50ns INFO [00031616] * RD COMPARE * port=0 adr=06 act=1677DA0771F5A15239 exp=1677DA0771F5A15239 + 31614.50ns INFO [00031616] * RD COMPARE * port=1 adr=04 act=0DEFCA56679178C689 exp=0DEFCA56679178C689 + 31614.50ns INFO [00031616] Port=0 WR @04=9CA737E0C2E519A42D + 31614.50ns INFO [00031616] Port=0 RD @06 + 31615.50ns INFO [00031617] Port=0 WR @02=B716AB1AB28D94B89B + 31615.50ns INFO [00031617] Port=0 RD @04 + 31615.50ns INFO [00031617] Port=1 RD @00 + 31616.50ns INFO [00031618] * RD COMPARE * port=0 adr=06 act=1677DA0771F5A15239 exp=1677DA0771F5A15239 + 31617.50ns INFO [00031619] * RD COMPARE * port=0 adr=04 act=9CA737E0C2E519A42D exp=9CA737E0C2E519A42D + 31617.50ns INFO [00031619] * RD COMPARE * port=1 adr=00 act=3D3CD69683F0F6C9E7 exp=3D3CD69683F0F6C9E7 + 31617.50ns INFO [00031619] Port=0 WR @00=F0A234302534EC2209 + 31617.50ns INFO [00031619] Port=0 RD @05 + 31618.50ns INFO [00031620] Port=1 RD @05 + 31619.50ns INFO [00031621] * RD COMPARE * port=0 adr=05 act=B32D113432D230B0C6 exp=B32D113432D230B0C6 + 31620.50ns INFO [00031622] * RD COMPARE * port=1 adr=05 act=B32D113432D230B0C6 exp=B32D113432D230B0C6 + 31620.50ns INFO [00031622] Port=0 RD @04 + 31622.50ns INFO [00031624] * RD COMPARE * port=0 adr=04 act=9CA737E0C2E519A42D exp=9CA737E0C2E519A42D + 31622.50ns INFO [00031624] Port=0 RD @04 + 31623.50ns INFO [00031625] Port=0 WR @04=EBCFD6549E2A6F4959 + 31623.50ns INFO [00031625] Port=0 RD @05 + 31623.50ns INFO [00031625] Port=1 RD @06 + 31624.50ns INFO [00031626] * RD COMPARE * port=0 adr=04 act=9CA737E0C2E519A42D exp=9CA737E0C2E519A42D + 31624.50ns INFO [00031626] Port=0 WR @06=9A7FE2D698DBB11848 + 31624.50ns INFO [00031626] Port=0 RD @04 + 31625.50ns INFO [00031627] * RD COMPARE * port=0 adr=05 act=B32D113432D230B0C6 exp=B32D113432D230B0C6 + 31625.50ns INFO [00031627] * RD COMPARE * port=1 adr=06 act=1677DA0771F5A15239 exp=1677DA0771F5A15239 + 31625.50ns INFO [00031627] Port=0 WR @00=10E1D5F4D25FED0346 + 31625.50ns INFO [00031627] Port=1 RD @05 + 31626.50ns INFO [00031628] * RD COMPARE * port=0 adr=04 act=EBCFD6549E2A6F4959 exp=EBCFD6549E2A6F4959 + 31626.50ns INFO [00031628] Port=0 WR @01=37746A38551292AC82 + 31626.50ns INFO [00031628] Port=1 RD @05 + 31627.50ns INFO [00031629] * RD COMPARE * port=1 adr=05 act=B32D113432D230B0C6 exp=B32D113432D230B0C6 + 31627.50ns INFO [00031629] Port=0 RD @04 + 31627.50ns INFO [00031629] Port=1 RD @03 + 31628.50ns INFO [00031630] * RD COMPARE * port=1 adr=05 act=B32D113432D230B0C6 exp=B32D113432D230B0C6 + 31628.50ns INFO [00031630] Port=0 WR @00=15411EF6011FB901B4 + 31628.50ns INFO [00031630] Port=1 RD @04 + 31629.50ns INFO [00031631] * RD COMPARE * port=0 adr=04 act=EBCFD6549E2A6F4959 exp=EBCFD6549E2A6F4959 + 31629.50ns INFO [00031631] * RD COMPARE * port=1 adr=03 act=D615C43E7FA7CA2698 exp=D615C43E7FA7CA2698 + 31629.50ns INFO [00031631] Port=0 WR @07=B82FC577D5F9BAC0E6 + 31630.50ns INFO [00031632] * RD COMPARE * port=1 adr=04 act=EBCFD6549E2A6F4959 exp=EBCFD6549E2A6F4959 + 31630.50ns INFO [00031632] Port=0 RD @06 + 31631.50ns INFO [00031633] Port=0 WR @04=9498B22E19957A1DD1 + 31631.50ns INFO [00031633] Port=0 RD @00 + 31632.50ns INFO [00031634] * RD COMPARE * port=0 adr=06 act=9A7FE2D698DBB11848 exp=9A7FE2D698DBB11848 + 31633.50ns INFO [00031635] * RD COMPARE * port=0 adr=00 act=15411EF6011FB901B4 exp=15411EF6011FB901B4 + 31633.50ns INFO [00031635] Port=0 WR @05=1E89120A4911CE17A1 + 31634.50ns INFO [00031636] Port=1 RD @07 + 31635.50ns INFO [00031637] Port=0 WR @06=E0C76B63CB1517555C + 31635.50ns INFO [00031637] Port=1 RD @04 + 31636.50ns INFO [00031638] * RD COMPARE * port=1 adr=07 act=B82FC577D5F9BAC0E6 exp=B82FC577D5F9BAC0E6 + 31636.50ns INFO [00031638] Port=0 WR @00=EBFE0A55037C499EBD + 31636.50ns INFO [00031638] Port=0 RD @05 + 31637.50ns INFO [00031639] * RD COMPARE * port=1 adr=04 act=9498B22E19957A1DD1 exp=9498B22E19957A1DD1 + 31637.50ns INFO [00031639] Port=0 WR @05=26C2792EE5DAF009CB + 31637.50ns INFO [00031639] Port=1 RD @07 + 31638.50ns INFO [00031640] * RD COMPARE * port=0 adr=05 act=1E89120A4911CE17A1 exp=1E89120A4911CE17A1 + 31638.50ns INFO [00031640] Port=0 RD @05 + 31639.50ns INFO [00031641] * RD COMPARE * port=1 adr=07 act=B82FC577D5F9BAC0E6 exp=B82FC577D5F9BAC0E6 + 31639.50ns INFO [00031641] Port=0 WR @07=5A4FBF1E02C1010950 + 31639.50ns INFO [00031641] Port=0 RD @05 + 31640.50ns INFO [00031642] * RD COMPARE * port=0 adr=05 act=26C2792EE5DAF009CB exp=26C2792EE5DAF009CB + 31640.50ns INFO [00031642] Port=0 RD @02 + 31640.50ns INFO [00031642] Port=1 RD @05 + 31641.50ns INFO [00031643] * RD COMPARE * port=0 adr=05 act=26C2792EE5DAF009CB exp=26C2792EE5DAF009CB + 31641.50ns INFO [00031643] Port=0 WR @05=7AF1408D1E0DDECDC4 + 31642.50ns INFO [00031644] * RD COMPARE * port=0 adr=02 act=B716AB1AB28D94B89B exp=B716AB1AB28D94B89B + 31642.50ns INFO [00031644] * RD COMPARE * port=1 adr=05 act=26C2792EE5DAF009CB exp=26C2792EE5DAF009CB + 31642.50ns INFO [00031644] Port=0 RD @02 + 31642.50ns INFO [00031644] Port=1 RD @07 + 31643.50ns INFO [00031645] Port=0 RD @03 + 31643.50ns INFO [00031645] Port=1 RD @05 + 31644.50ns INFO [00031646] * RD COMPARE * port=0 adr=02 act=B716AB1AB28D94B89B exp=B716AB1AB28D94B89B + 31644.50ns INFO [00031646] * RD COMPARE * port=1 adr=07 act=5A4FBF1E02C1010950 exp=5A4FBF1E02C1010950 + 31645.50ns INFO [00031647] * RD COMPARE * port=0 adr=03 act=D615C43E7FA7CA2698 exp=D615C43E7FA7CA2698 + 31645.50ns INFO [00031647] * RD COMPARE * port=1 adr=05 act=7AF1408D1E0DDECDC4 exp=7AF1408D1E0DDECDC4 + 31645.50ns INFO [00031647] Port=1 RD @06 + 31646.50ns INFO [00031648] Port=0 WR @02=0821426DF0EEDB19F7 + 31646.50ns INFO [00031648] Port=1 RD @04 + 31647.50ns INFO [00031649] * RD COMPARE * port=1 adr=06 act=E0C76B63CB1517555C exp=E0C76B63CB1517555C + 31647.50ns INFO [00031649] Port=1 RD @06 + 31648.50ns INFO [00031650] * RD COMPARE * port=1 adr=04 act=9498B22E19957A1DD1 exp=9498B22E19957A1DD1 + 31648.50ns INFO [00031650] Port=0 RD @04 + 31648.50ns INFO [00031650] Port=1 RD @05 + 31649.50ns INFO [00031651] * RD COMPARE * port=1 adr=06 act=E0C76B63CB1517555C exp=E0C76B63CB1517555C + 31649.50ns INFO [00031651] Port=0 RD @05 + 31649.50ns INFO [00031651] Port=1 RD @05 + 31650.50ns INFO [00031652] * RD COMPARE * port=0 adr=04 act=9498B22E19957A1DD1 exp=9498B22E19957A1DD1 + 31650.50ns INFO [00031652] * RD COMPARE * port=1 adr=05 act=7AF1408D1E0DDECDC4 exp=7AF1408D1E0DDECDC4 + 31650.50ns INFO [00031652] Port=0 RD @03 + 31651.50ns INFO [00031653] * RD COMPARE * port=0 adr=05 act=7AF1408D1E0DDECDC4 exp=7AF1408D1E0DDECDC4 + 31651.50ns INFO [00031653] * RD COMPARE * port=1 adr=05 act=7AF1408D1E0DDECDC4 exp=7AF1408D1E0DDECDC4 + 31651.50ns INFO [00031653] Port=0 WR @01=CDF1B9707E7EA86649 + 31652.50ns INFO [00031654] * RD COMPARE * port=0 adr=03 act=D615C43E7FA7CA2698 exp=D615C43E7FA7CA2698 + 31652.50ns INFO [00031654] Port=0 RD @02 + 31653.50ns INFO [00031655] Port=1 RD @03 + 31654.50ns INFO [00031656] * RD COMPARE * port=0 adr=02 act=0821426DF0EEDB19F7 exp=0821426DF0EEDB19F7 + 31654.50ns INFO [00031656] Port=0 RD @00 + 31655.50ns INFO [00031657] * RD COMPARE * port=1 adr=03 act=D615C43E7FA7CA2698 exp=D615C43E7FA7CA2698 + 31655.50ns INFO [00031657] Port=0 WR @01=4F5409BDEC457616CE + 31656.50ns INFO [00031658] * RD COMPARE * port=0 adr=00 act=EBFE0A55037C499EBD exp=EBFE0A55037C499EBD + 31656.50ns INFO [00031658] Port=0 WR @02=B9238329298ED1ADA9 + 31658.50ns INFO [00031660] Port=1 RD @01 + 31659.50ns INFO [00031661] Port=0 RD @04 + 31660.50ns INFO [00031662] * RD COMPARE * port=1 adr=01 act=4F5409BDEC457616CE exp=4F5409BDEC457616CE + 31660.50ns INFO [00031662] Port=0 RD @03 + 31660.50ns INFO [00031662] Port=1 RD @00 + 31661.50ns INFO [00031663] * RD COMPARE * port=0 adr=04 act=9498B22E19957A1DD1 exp=9498B22E19957A1DD1 + 31661.50ns INFO [00031663] Port=0 WR @00=8EA1BD47459792F6D1 + 31662.50ns INFO [00031664] * RD COMPARE * port=0 adr=03 act=D615C43E7FA7CA2698 exp=D615C43E7FA7CA2698 + 31662.50ns INFO [00031664] * RD COMPARE * port=1 adr=00 act=EBFE0A55037C499EBD exp=EBFE0A55037C499EBD + 31662.50ns INFO [00031664] Port=0 WR @06=85ED74CA15BAA0ADF0 + 31663.50ns INFO [00031665] Port=0 WR @03=EA1D9EE266751814F2 + 31663.50ns INFO [00031665] Port=0 RD @02 + 31664.50ns INFO [00031666] Port=0 WR @05=663CF57BE2D3AA6CDC + 31665.50ns INFO [00031667] * RD COMPARE * port=0 adr=02 act=B9238329298ED1ADA9 exp=B9238329298ED1ADA9 + 31665.50ns INFO [00031667] Port=0 WR @05=28B378F33D9A7B500E + 31666.50ns INFO [00031668] Port=0 WR @02=4F646AAF5071F9DA7D + 31666.50ns INFO [00031668] Port=0 RD @03 + 31667.50ns INFO [00031669] Port=0 RD @02 + 31668.50ns INFO [00031670] * RD COMPARE * port=0 adr=03 act=EA1D9EE266751814F2 exp=EA1D9EE266751814F2 + 31668.50ns INFO [00031670] Port=0 RD @01 + 31668.50ns INFO [00031670] Port=1 RD @03 + 31669.50ns INFO [00031671] * RD COMPARE * port=0 adr=02 act=4F646AAF5071F9DA7D exp=4F646AAF5071F9DA7D + 31669.50ns INFO [00031671] Port=0 RD @02 + 31669.50ns INFO [00031671] Port=1 RD @02 + 31670.50ns INFO [00031672] * RD COMPARE * port=0 adr=01 act=4F5409BDEC457616CE exp=4F5409BDEC457616CE + 31670.50ns INFO [00031672] * RD COMPARE * port=1 adr=03 act=EA1D9EE266751814F2 exp=EA1D9EE266751814F2 + 31670.50ns INFO [00031672] Port=0 WR @03=14CC16344A23D34F7D + 31670.50ns INFO [00031672] Port=0 RD @05 + 31670.50ns INFO [00031672] Port=1 RD @06 + 31671.50ns INFO [00031673] * RD COMPARE * port=0 adr=02 act=4F646AAF5071F9DA7D exp=4F646AAF5071F9DA7D + 31671.50ns INFO [00031673] * RD COMPARE * port=1 adr=02 act=4F646AAF5071F9DA7D exp=4F646AAF5071F9DA7D + 31671.50ns INFO [00031673] Port=1 RD @07 + 31672.50ns INFO [00031674] * RD COMPARE * port=0 adr=05 act=28B378F33D9A7B500E exp=28B378F33D9A7B500E + 31672.50ns INFO [00031674] * RD COMPARE * port=1 adr=06 act=85ED74CA15BAA0ADF0 exp=85ED74CA15BAA0ADF0 + 31673.50ns INFO [00031675] * RD COMPARE * port=1 adr=07 act=5A4FBF1E02C1010950 exp=5A4FBF1E02C1010950 + 31673.50ns INFO [00031675] Port=0 WR @02=E7B661C22362C0D5A1 + 31674.50ns INFO [00031676] Port=0 RD @04 + 31674.50ns INFO [00031676] Port=1 RD @01 + 31676.50ns INFO [00031678] * RD COMPARE * port=0 adr=04 act=9498B22E19957A1DD1 exp=9498B22E19957A1DD1 + 31676.50ns INFO [00031678] * RD COMPARE * port=1 adr=01 act=4F5409BDEC457616CE exp=4F5409BDEC457616CE + 31677.50ns INFO [00031679] Port=0 WR @01=077676BE9C78DF251F + 31677.50ns INFO [00031679] Port=1 RD @04 + 31678.50ns INFO [00031680] Port=0 WR @05=E33817387FC6B6EA35 + 31678.50ns INFO [00031680] Port=1 RD @02 + 31679.50ns INFO [00031681] * RD COMPARE * port=1 adr=04 act=9498B22E19957A1DD1 exp=9498B22E19957A1DD1 + 31679.50ns INFO [00031681] Port=0 WR @03=4CCC01A1894E43560E + 31679.50ns INFO [00031681] Port=1 RD @01 + 31680.50ns INFO [00031682] * RD COMPARE * port=1 adr=02 act=E7B661C22362C0D5A1 exp=E7B661C22362C0D5A1 + 31680.50ns INFO [00031682] Port=1 RD @02 + 31681.50ns INFO [00031683] * RD COMPARE * port=1 adr=01 act=077676BE9C78DF251F exp=077676BE9C78DF251F + 31681.50ns INFO [00031683] Port=0 RD @02 + 31681.50ns INFO [00031683] Port=1 RD @00 + 31682.50ns INFO [00031684] * RD COMPARE * port=1 adr=02 act=E7B661C22362C0D5A1 exp=E7B661C22362C0D5A1 + 31682.50ns INFO [00031684] Port=1 RD @02 + 31683.50ns INFO [00031685] * RD COMPARE * port=0 adr=02 act=E7B661C22362C0D5A1 exp=E7B661C22362C0D5A1 + 31683.50ns INFO [00031685] * RD COMPARE * port=1 adr=00 act=8EA1BD47459792F6D1 exp=8EA1BD47459792F6D1 + 31683.50ns INFO [00031685] Port=0 WR @03=CE8C8B48848C7EF81F + 31683.50ns INFO [00031685] Port=0 RD @00 + 31684.50ns INFO [00031686] * RD COMPARE * port=1 adr=02 act=E7B661C22362C0D5A1 exp=E7B661C22362C0D5A1 + 31684.50ns INFO [00031686] Port=1 RD @07 + 31685.50ns INFO [00031687] * RD COMPARE * port=0 adr=00 act=8EA1BD47459792F6D1 exp=8EA1BD47459792F6D1 + 31685.50ns INFO [00031687] Port=0 RD @00 + 31686.50ns INFO [00031688] * RD COMPARE * port=1 adr=07 act=5A4FBF1E02C1010950 exp=5A4FBF1E02C1010950 + 31686.50ns INFO [00031688] Port=0 WR @02=DA6D49B472B59BCC80 + 31687.50ns INFO [00031689] * RD COMPARE * port=0 adr=00 act=8EA1BD47459792F6D1 exp=8EA1BD47459792F6D1 + 31687.50ns INFO [00031689] Port=1 RD @04 + 31688.50ns INFO [00031690] Port=0 WR @05=244033BE4B1AE75524 + 31688.50ns INFO [00031690] Port=0 RD @02 + 31689.50ns INFO [00031691] * RD COMPARE * port=1 adr=04 act=9498B22E19957A1DD1 exp=9498B22E19957A1DD1 + 31689.50ns INFO [00031691] Port=0 WR @02=F738522E4932DC3ACA + 31690.50ns INFO [00031692] * RD COMPARE * port=0 adr=02 act=DA6D49B472B59BCC80 exp=DA6D49B472B59BCC80 + 31690.50ns INFO [00031692] Port=0 RD @03 + 31690.50ns INFO [00031692] Port=1 RD @02 + 31691.50ns INFO [00031693] Port=0 WR @04=0E5BA800C5AF5455F1 + 31691.50ns INFO [00031693] Port=0 RD @07 + 31692.50ns INFO [00031694] * RD COMPARE * port=0 adr=03 act=CE8C8B48848C7EF81F exp=CE8C8B48848C7EF81F + 31692.50ns INFO [00031694] * RD COMPARE * port=1 adr=02 act=F738522E4932DC3ACA exp=F738522E4932DC3ACA + 31692.50ns INFO [00031694] Port=0 RD @06 + 31693.50ns INFO [00031695] * RD COMPARE * port=0 adr=07 act=5A4FBF1E02C1010950 exp=5A4FBF1E02C1010950 + 31693.50ns INFO [00031695] Port=0 WR @02=61661E159E6FB8E04D + 31693.50ns INFO [00031695] Port=0 RD @05 + 31694.50ns INFO [00031696] * RD COMPARE * port=0 adr=06 act=85ED74CA15BAA0ADF0 exp=85ED74CA15BAA0ADF0 + 31694.50ns INFO [00031696] Port=0 WR @03=F3FF43E75BE2E711CB + 31694.50ns INFO [00031696] Port=0 RD @04 + 31694.50ns INFO [00031696] Port=1 RD @02 + 31695.50ns INFO [00031697] * RD COMPARE * port=0 adr=05 act=244033BE4B1AE75524 exp=244033BE4B1AE75524 + 31695.50ns INFO [00031697] Port=1 RD @01 + 31696.50ns INFO [00031698] * RD COMPARE * port=0 adr=04 act=0E5BA800C5AF5455F1 exp=0E5BA800C5AF5455F1 + 31696.50ns INFO [00031698] * RD COMPARE * port=1 adr=02 act=61661E159E6FB8E04D exp=61661E159E6FB8E04D + 31696.50ns INFO [00031698] Port=1 RD @05 + 31697.50ns INFO [00031699] * RD COMPARE * port=1 adr=01 act=077676BE9C78DF251F exp=077676BE9C78DF251F + 31697.50ns INFO [00031699] Port=0 WR @03=CCC43B73C98F3C5A2C + 31697.50ns INFO [00031699] Port=0 RD @01 + 31697.50ns INFO [00031699] Port=1 RD @04 + 31698.00ns INFO [00031700] [00031700] ...tick... + 31698.50ns INFO [00031700] * RD COMPARE * port=1 adr=05 act=244033BE4B1AE75524 exp=244033BE4B1AE75524 + 31699.50ns INFO [00031701] * RD COMPARE * port=0 adr=01 act=077676BE9C78DF251F exp=077676BE9C78DF251F + 31699.50ns INFO [00031701] * RD COMPARE * port=1 adr=04 act=0E5BA800C5AF5455F1 exp=0E5BA800C5AF5455F1 + 31699.50ns INFO [00031701] Port=0 WR @02=78A8477119A4920F97 + 31699.50ns INFO [00031701] Port=0 RD @04 + 31699.50ns INFO [00031701] Port=1 RD @00 + 31700.50ns INFO [00031702] Port=0 WR @03=7061ADBE9AFE43C4F4 + 31701.50ns INFO [00031703] * RD COMPARE * port=0 adr=04 act=0E5BA800C5AF5455F1 exp=0E5BA800C5AF5455F1 + 31701.50ns INFO [00031703] * RD COMPARE * port=1 adr=00 act=8EA1BD47459792F6D1 exp=8EA1BD47459792F6D1 + 31701.50ns INFO [00031703] Port=1 RD @07 + 31702.50ns INFO [00031704] Port=0 WR @02=9AFBD2DC38A2E7E251 + 31703.50ns INFO [00031705] * RD COMPARE * port=1 adr=07 act=5A4FBF1E02C1010950 exp=5A4FBF1E02C1010950 + 31703.50ns INFO [00031705] Port=0 WR @03=0AE042B8ACE1AF7A10 + 31705.50ns INFO [00031707] Port=1 RD @06 + 31706.50ns INFO [00031708] Port=0 WR @01=7D6DA7B741F45982B7 + 31707.50ns INFO [00031709] * RD COMPARE * port=1 adr=06 act=85ED74CA15BAA0ADF0 exp=85ED74CA15BAA0ADF0 + 31707.50ns INFO [00031709] Port=0 RD @04 + 31708.50ns INFO [00031710] Port=0 WR @06=3F825CD93D60B09FED + 31708.50ns INFO [00031710] Port=0 RD @04 + 31709.50ns INFO [00031711] * RD COMPARE * port=0 adr=04 act=0E5BA800C5AF5455F1 exp=0E5BA800C5AF5455F1 + 31709.50ns INFO [00031711] Port=0 WR @02=060235B3984A20FCC2 + 31710.50ns INFO [00031712] * RD COMPARE * port=0 adr=04 act=0E5BA800C5AF5455F1 exp=0E5BA800C5AF5455F1 + 31711.50ns INFO [00031713] Port=1 RD @04 + 31712.50ns INFO [00031714] Port=0 RD @01 + 31713.50ns INFO [00031715] * RD COMPARE * port=1 adr=04 act=0E5BA800C5AF5455F1 exp=0E5BA800C5AF5455F1 + 31713.50ns INFO [00031715] Port=0 RD @07 + 31713.50ns INFO [00031715] Port=1 RD @01 + 31714.50ns INFO [00031716] * RD COMPARE * port=0 adr=01 act=7D6DA7B741F45982B7 exp=7D6DA7B741F45982B7 + 31714.50ns INFO [00031716] Port=0 WR @04=F473F5EFA8733BB95D + 31714.50ns INFO [00031716] Port=0 RD @03 + 31715.50ns INFO [00031717] * RD COMPARE * port=0 adr=07 act=5A4FBF1E02C1010950 exp=5A4FBF1E02C1010950 + 31715.50ns INFO [00031717] * RD COMPARE * port=1 adr=01 act=7D6DA7B741F45982B7 exp=7D6DA7B741F45982B7 + 31715.50ns INFO [00031717] Port=0 WR @04=A7F5656E638CE73B41 + 31715.50ns INFO [00031717] Port=0 RD @05 + 31716.50ns INFO [00031718] * RD COMPARE * port=0 adr=03 act=0AE042B8ACE1AF7A10 exp=0AE042B8ACE1AF7A10 + 31716.50ns INFO [00031718] Port=0 WR @06=069629913AA03B215D + 31716.50ns INFO [00031718] Port=0 RD @02 + 31716.50ns INFO [00031718] Port=1 RD @03 + 31717.50ns INFO [00031719] * RD COMPARE * port=0 adr=05 act=244033BE4B1AE75524 exp=244033BE4B1AE75524 + 31717.50ns INFO [00031719] Port=0 RD @04 + 31718.50ns INFO [00031720] * RD COMPARE * port=0 adr=02 act=060235B3984A20FCC2 exp=060235B3984A20FCC2 + 31718.50ns INFO [00031720] * RD COMPARE * port=1 adr=03 act=0AE042B8ACE1AF7A10 exp=0AE042B8ACE1AF7A10 + 31718.50ns INFO [00031720] Port=0 RD @01 + 31719.50ns INFO [00031721] * RD COMPARE * port=0 adr=04 act=A7F5656E638CE73B41 exp=A7F5656E638CE73B41 + 31719.50ns INFO [00031721] Port=0 WR @02=602320E10FA8236E19 + 31720.50ns INFO [00031722] * RD COMPARE * port=0 adr=01 act=7D6DA7B741F45982B7 exp=7D6DA7B741F45982B7 + 31721.50ns INFO [00031723] Port=0 WR @03=82A535EE322E831301 + 31723.50ns INFO [00031725] Port=0 WR @02=59EB5D839059F796FA + 31724.50ns INFO [00031726] Port=0 WR @02=95D1C242D47500C904 + 31725.50ns INFO [00031727] Port=0 WR @07=F71125145C54FE0644 + 31725.50ns INFO [00031727] Port=1 RD @03 + 31726.50ns INFO [00031728] Port=0 WR @07=EA8EBEE86AE9844A29 + 31726.50ns INFO [00031728] Port=0 RD @00 + 31726.50ns INFO [00031728] Port=1 RD @05 + 31727.50ns INFO [00031729] * RD COMPARE * port=1 adr=03 act=82A535EE322E831301 exp=82A535EE322E831301 + 31727.50ns INFO [00031729] Port=0 WR @04=975601C16D30E8CBED + 31727.50ns INFO [00031729] Port=0 RD @03 + 31728.50ns INFO [00031730] * RD COMPARE * port=0 adr=00 act=8EA1BD47459792F6D1 exp=8EA1BD47459792F6D1 + 31728.50ns INFO [00031730] * RD COMPARE * port=1 adr=05 act=244033BE4B1AE75524 exp=244033BE4B1AE75524 + 31728.50ns INFO [00031730] Port=0 RD @03 + 31729.50ns INFO [00031731] * RD COMPARE * port=0 adr=03 act=82A535EE322E831301 exp=82A535EE322E831301 + 31729.50ns INFO [00031731] Port=1 RD @02 + 31730.50ns INFO [00031732] * RD COMPARE * port=0 adr=03 act=82A535EE322E831301 exp=82A535EE322E831301 + 31730.50ns INFO [00031732] Port=0 WR @04=FDE0E4EE8DD6058DC0 + 31730.50ns INFO [00031732] Port=0 RD @07 + 31731.50ns INFO [00031733] * RD COMPARE * port=1 adr=02 act=95D1C242D47500C904 exp=95D1C242D47500C904 + 31731.50ns INFO [00031733] Port=0 RD @03 + 31732.50ns INFO [00031734] * RD COMPARE * port=0 adr=07 act=EA8EBEE86AE9844A29 exp=EA8EBEE86AE9844A29 + 31732.50ns INFO [00031734] Port=0 RD @01 + 31733.50ns INFO [00031735] * RD COMPARE * port=0 adr=03 act=82A535EE322E831301 exp=82A535EE322E831301 + 31733.50ns INFO [00031735] Port=0 WR @05=FE18ECFB77B3C19C4B + 31734.50ns INFO [00031736] * RD COMPARE * port=0 adr=01 act=7D6DA7B741F45982B7 exp=7D6DA7B741F45982B7 + 31734.50ns INFO [00031736] Port=0 RD @02 + 31735.50ns INFO [00031737] Port=0 RD @01 + 31736.50ns INFO [00031738] * RD COMPARE * port=0 adr=02 act=95D1C242D47500C904 exp=95D1C242D47500C904 + 31736.50ns INFO [00031738] Port=0 WR @07=AF34E6E901D883F93F + 31736.50ns INFO [00031738] Port=1 RD @01 + 31737.50ns INFO [00031739] * RD COMPARE * port=0 adr=01 act=7D6DA7B741F45982B7 exp=7D6DA7B741F45982B7 + 31737.50ns INFO [00031739] Port=0 WR @06=10C106670220C1B6CF + 31737.50ns INFO [00031739] Port=0 RD @02 + 31738.50ns INFO [00031740] * RD COMPARE * port=1 adr=01 act=7D6DA7B741F45982B7 exp=7D6DA7B741F45982B7 + 31738.50ns INFO [00031740] Port=0 WR @05=CF54CCB9BA136D3699 + 31739.50ns INFO [00031741] * RD COMPARE * port=0 adr=02 act=95D1C242D47500C904 exp=95D1C242D47500C904 + 31739.50ns INFO [00031741] Port=0 WR @04=D22B2B173D3A1A6046 + 31740.50ns INFO [00031742] Port=0 RD @00 + 31740.50ns INFO [00031742] Port=1 RD @06 + 31741.50ns INFO [00031743] Port=0 RD @06 + 31741.50ns INFO [00031743] Port=1 RD @03 + 31742.50ns INFO [00031744] * RD COMPARE * port=0 adr=00 act=8EA1BD47459792F6D1 exp=8EA1BD47459792F6D1 + 31742.50ns INFO [00031744] * RD COMPARE * port=1 adr=06 act=10C106670220C1B6CF exp=10C106670220C1B6CF + 31742.50ns INFO [00031744] Port=0 RD @06 + 31743.50ns INFO [00031745] * RD COMPARE * port=0 adr=06 act=10C106670220C1B6CF exp=10C106670220C1B6CF + 31743.50ns INFO [00031745] * RD COMPARE * port=1 adr=03 act=82A535EE322E831301 exp=82A535EE322E831301 + 31743.50ns INFO [00031745] Port=0 WR @03=364C08ABDEA02D7412 + 31743.50ns INFO [00031745] Port=0 RD @04 + 31744.50ns INFO [00031746] * RD COMPARE * port=0 adr=06 act=10C106670220C1B6CF exp=10C106670220C1B6CF + 31745.50ns INFO [00031747] * RD COMPARE * port=0 adr=04 act=D22B2B173D3A1A6046 exp=D22B2B173D3A1A6046 + 31745.50ns INFO [00031747] Port=0 WR @04=03FBAD5E32A41B7964 + 31745.50ns INFO [00031747] Port=0 RD @05 + 31746.50ns INFO [00031748] Port=0 RD @05 + 31746.50ns INFO [00031748] Port=1 RD @05 + 31747.50ns INFO [00031749] * RD COMPARE * port=0 adr=05 act=CF54CCB9BA136D3699 exp=CF54CCB9BA136D3699 + 31747.50ns INFO [00031749] Port=0 WR @05=EF501F8B4FA02556CA + 31748.50ns INFO [00031750] * RD COMPARE * port=0 adr=05 act=CF54CCB9BA136D3699 exp=CF54CCB9BA136D3699 + 31748.50ns INFO [00031750] * RD COMPARE * port=1 adr=05 act=CF54CCB9BA136D3699 exp=CF54CCB9BA136D3699 + 31748.50ns INFO [00031750] Port=0 WR @02=8846004BAD5F0596A7 + 31751.50ns INFO [00031753] Port=0 RD @03 + 31753.50ns INFO [00031755] * RD COMPARE * port=0 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31754.50ns INFO [00031756] Port=0 RD @07 + 31754.50ns INFO [00031756] Port=1 RD @03 + 31755.50ns INFO [00031757] Port=0 WR @04=452E4BD5E6B9C8E359 + 31756.50ns INFO [00031758] * RD COMPARE * port=0 adr=07 act=AF34E6E901D883F93F exp=AF34E6E901D883F93F + 31756.50ns INFO [00031758] * RD COMPARE * port=1 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31756.50ns INFO [00031758] Port=0 WR @04=B89D238FAFDD2F3EA2 + 31757.50ns INFO [00031759] Port=1 RD @00 + 31758.50ns INFO [00031760] Port=0 WR @01=A7DB0F3817CDAEA8DB + 31759.50ns INFO [00031761] * RD COMPARE * port=1 adr=00 act=8EA1BD47459792F6D1 exp=8EA1BD47459792F6D1 + 31759.50ns INFO [00031761] Port=0 WR @05=7D1F84FD6861E13C28 + 31759.50ns INFO [00031761] Port=0 RD @04 + 31759.50ns INFO [00031761] Port=1 RD @03 + 31760.50ns INFO [00031762] Port=0 WR @00=47A35AA8B10121FB57 + 31761.50ns INFO [00031763] * RD COMPARE * port=0 adr=04 act=B89D238FAFDD2F3EA2 exp=B89D238FAFDD2F3EA2 + 31761.50ns INFO [00031763] * RD COMPARE * port=1 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31761.50ns INFO [00031763] Port=1 RD @05 + 31762.50ns INFO [00031764] Port=1 RD @06 + 31763.50ns INFO [00031765] * RD COMPARE * port=1 adr=05 act=7D1F84FD6861E13C28 exp=7D1F84FD6861E13C28 + 31763.50ns INFO [00031765] Port=0 WR @06=224717DEC5479123CB + 31763.50ns INFO [00031765] Port=0 RD @07 + 31764.50ns INFO [00031766] * RD COMPARE * port=1 adr=06 act=10C106670220C1B6CF exp=10C106670220C1B6CF + 31764.50ns INFO [00031766] Port=0 WR @07=0EFBBCE36C0EEA0E05 + 31765.50ns INFO [00031767] * RD COMPARE * port=0 adr=07 act=AF34E6E901D883F93F exp=AF34E6E901D883F93F + 31766.50ns INFO [00031768] Port=0 WR @04=7CA7BF8523B58FFD1F + 31767.50ns INFO [00031769] Port=0 RD @04 + 31768.50ns INFO [00031770] Port=0 RD @05 + 31768.50ns INFO [00031770] Port=1 RD @00 + 31769.50ns INFO [00031771] * RD COMPARE * port=0 adr=04 act=7CA7BF8523B58FFD1F exp=7CA7BF8523B58FFD1F + 31769.50ns INFO [00031771] Port=1 RD @01 + 31770.50ns INFO [00031772] * RD COMPARE * port=0 adr=05 act=7D1F84FD6861E13C28 exp=7D1F84FD6861E13C28 + 31770.50ns INFO [00031772] * RD COMPARE * port=1 adr=00 act=47A35AA8B10121FB57 exp=47A35AA8B10121FB57 + 31770.50ns INFO [00031772] Port=1 RD @04 + 31771.50ns INFO [00031773] * RD COMPARE * port=1 adr=01 act=A7DB0F3817CDAEA8DB exp=A7DB0F3817CDAEA8DB + 31771.50ns INFO [00031773] Port=0 WR @02=CDA417BAE6D88CA4B8 + 31772.50ns INFO [00031774] * RD COMPARE * port=1 adr=04 act=7CA7BF8523B58FFD1F exp=7CA7BF8523B58FFD1F + 31773.50ns INFO [00031775] Port=1 RD @06 + 31774.50ns INFO [00031776] Port=1 RD @03 + 31775.50ns INFO [00031777] * RD COMPARE * port=1 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31776.50ns INFO [00031778] * RD COMPARE * port=1 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31776.50ns INFO [00031778] Port=0 WR @01=5D55E425BE6C007F63 + 31776.50ns INFO [00031778] Port=0 RD @03 + 31777.50ns INFO [00031779] Port=1 RD @04 + 31778.50ns INFO [00031780] * RD COMPARE * port=0 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31779.50ns INFO [00031781] * RD COMPARE * port=1 adr=04 act=7CA7BF8523B58FFD1F exp=7CA7BF8523B58FFD1F + 31780.50ns INFO [00031782] Port=0 WR @07=EE9EB9BCD948A3D426 + 31780.50ns INFO [00031782] Port=0 RD @02 + 31781.50ns INFO [00031783] Port=0 WR @02=A8E57A9435480D5C91 + 31782.50ns INFO [00031784] * RD COMPARE * port=0 adr=02 act=CDA417BAE6D88CA4B8 exp=CDA417BAE6D88CA4B8 + 31783.50ns INFO [00031785] Port=0 RD @07 + 31783.50ns INFO [00031785] Port=1 RD @02 + 31784.50ns INFO [00031786] Port=1 RD @05 + 31785.50ns INFO [00031787] * RD COMPARE * port=0 adr=07 act=EE9EB9BCD948A3D426 exp=EE9EB9BCD948A3D426 + 31785.50ns INFO [00031787] * RD COMPARE * port=1 adr=02 act=A8E57A9435480D5C91 exp=A8E57A9435480D5C91 + 31785.50ns INFO [00031787] Port=0 RD @04 + 31785.50ns INFO [00031787] Port=1 RD @07 + 31786.50ns INFO [00031788] * RD COMPARE * port=1 adr=05 act=7D1F84FD6861E13C28 exp=7D1F84FD6861E13C28 + 31786.50ns INFO [00031788] Port=1 RD @07 + 31787.50ns INFO [00031789] * RD COMPARE * port=0 adr=04 act=7CA7BF8523B58FFD1F exp=7CA7BF8523B58FFD1F + 31787.50ns INFO [00031789] * RD COMPARE * port=1 adr=07 act=EE9EB9BCD948A3D426 exp=EE9EB9BCD948A3D426 + 31788.50ns INFO [00031790] * RD COMPARE * port=1 adr=07 act=EE9EB9BCD948A3D426 exp=EE9EB9BCD948A3D426 + 31788.50ns INFO [00031790] Port=0 WR @05=13D54AC39CE3A62208 + 31788.50ns INFO [00031790] Port=0 RD @07 + 31788.50ns INFO [00031790] Port=1 RD @03 + 31790.50ns INFO [00031792] * RD COMPARE * port=0 adr=07 act=EE9EB9BCD948A3D426 exp=EE9EB9BCD948A3D426 + 31790.50ns INFO [00031792] * RD COMPARE * port=1 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31790.50ns INFO [00031792] Port=1 RD @03 + 31791.50ns INFO [00031793] Port=0 WR @04=9D0986A4A54A336596 + 31792.50ns INFO [00031794] * RD COMPARE * port=1 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31794.50ns INFO [00031796] Port=1 RD @03 + 31796.50ns INFO [00031798] * RD COMPARE * port=1 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31796.50ns INFO [00031798] Port=0 RD @06 + 31796.50ns INFO [00031798] Port=1 RD @00 + 31797.50ns INFO [00031799] Port=0 WR @04=613D5B59E1AD7384BA + 31798.00ns INFO [00031800] [00031800] ...tick... + 31798.50ns INFO [00031800] * RD COMPARE * port=0 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31798.50ns INFO [00031800] * RD COMPARE * port=1 adr=00 act=47A35AA8B10121FB57 exp=47A35AA8B10121FB57 + 31798.50ns INFO [00031800] Port=0 RD @05 + 31800.50ns INFO [00031802] * RD COMPARE * port=0 adr=05 act=13D54AC39CE3A62208 exp=13D54AC39CE3A62208 + 31801.50ns INFO [00031803] Port=0 WR @02=24A2B7418DA3DD2752 + 31801.50ns INFO [00031803] Port=0 RD @06 + 31801.50ns INFO [00031803] Port=1 RD @01 + 31802.50ns INFO [00031804] Port=1 RD @06 + 31803.50ns INFO [00031805] * RD COMPARE * port=0 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31803.50ns INFO [00031805] * RD COMPARE * port=1 adr=01 act=5D55E425BE6C007F63 exp=5D55E425BE6C007F63 + 31804.50ns INFO [00031806] * RD COMPARE * port=1 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31805.50ns INFO [00031807] Port=0 WR @05=DC2766A39BE8BDE366 + 31806.50ns INFO [00031808] Port=0 WR @07=5CAEA211A9171BDB66 + 31806.50ns INFO [00031808] Port=0 RD @03 + 31807.50ns INFO [00031809] Port=1 RD @03 + 31808.50ns INFO [00031810] * RD COMPARE * port=0 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31809.50ns INFO [00031811] * RD COMPARE * port=1 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31809.50ns INFO [00031811] Port=1 RD @05 + 31811.50ns INFO [00031813] * RD COMPARE * port=1 adr=05 act=DC2766A39BE8BDE366 exp=DC2766A39BE8BDE366 + 31811.50ns INFO [00031813] Port=0 WR @01=CEF00F169942471829 + 31811.50ns INFO [00031813] Port=0 RD @03 + 31811.50ns INFO [00031813] Port=1 RD @02 + 31812.50ns INFO [00031814] Port=0 WR @00=11606084ED823125CE + 31812.50ns INFO [00031814] Port=0 RD @02 + 31813.50ns INFO [00031815] * RD COMPARE * port=0 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31813.50ns INFO [00031815] * RD COMPARE * port=1 adr=02 act=24A2B7418DA3DD2752 exp=24A2B7418DA3DD2752 + 31814.50ns INFO [00031816] * RD COMPARE * port=0 adr=02 act=24A2B7418DA3DD2752 exp=24A2B7418DA3DD2752 + 31816.50ns INFO [00031818] Port=0 WR @02=18437D4D21355BD291 + 31816.50ns INFO [00031818] Port=0 RD @06 + 31817.50ns INFO [00031819] Port=1 RD @04 + 31818.50ns INFO [00031820] * RD COMPARE * port=0 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31818.50ns INFO [00031820] Port=0 WR @02=A4D8125E80763A4AEA + 31818.50ns INFO [00031820] Port=0 RD @00 + 31818.50ns INFO [00031820] Port=1 RD @06 + 31819.50ns INFO [00031821] * RD COMPARE * port=1 adr=04 act=613D5B59E1AD7384BA exp=613D5B59E1AD7384BA + 31819.50ns INFO [00031821] Port=0 WR @00=27526EFD0A27EBDEA0 + 31819.50ns INFO [00031821] Port=0 RD @06 + 31820.50ns INFO [00031822] * RD COMPARE * port=0 adr=00 act=11606084ED823125CE exp=11606084ED823125CE + 31820.50ns INFO [00031822] * RD COMPARE * port=1 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31820.50ns INFO [00031822] Port=1 RD @03 + 31821.50ns INFO [00031823] * RD COMPARE * port=0 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31822.50ns INFO [00031824] * RD COMPARE * port=1 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31823.50ns INFO [00031825] Port=0 WR @07=54A3E7E247A564EF89 + 31823.50ns INFO [00031825] Port=0 RD @04 + 31824.50ns INFO [00031826] Port=1 RD @04 + 31825.50ns INFO [00031827] * RD COMPARE * port=0 adr=04 act=613D5B59E1AD7384BA exp=613D5B59E1AD7384BA + 31825.50ns INFO [00031827] Port=0 WR @01=B03804569DFE2B4B7F + 31826.50ns INFO [00031828] * RD COMPARE * port=1 adr=04 act=613D5B59E1AD7384BA exp=613D5B59E1AD7384BA + 31827.50ns INFO [00031829] Port=0 WR @00=74186E866425B0ECED + 31827.50ns INFO [00031829] Port=1 RD @04 + 31828.50ns INFO [00031830] Port=0 RD @02 + 31828.50ns INFO [00031830] Port=1 RD @01 + 31829.50ns INFO [00031831] * RD COMPARE * port=1 adr=04 act=613D5B59E1AD7384BA exp=613D5B59E1AD7384BA + 31829.50ns INFO [00031831] Port=0 WR @05=44DD62DAB1F831C608 + 31830.50ns INFO [00031832] * RD COMPARE * port=0 adr=02 act=A4D8125E80763A4AEA exp=A4D8125E80763A4AEA + 31830.50ns INFO [00031832] * RD COMPARE * port=1 adr=01 act=B03804569DFE2B4B7F exp=B03804569DFE2B4B7F + 31832.50ns INFO [00031834] Port=1 RD @01 + 31833.50ns INFO [00031835] Port=0 RD @01 + 31834.50ns INFO [00031836] * RD COMPARE * port=1 adr=01 act=B03804569DFE2B4B7F exp=B03804569DFE2B4B7F + 31834.50ns INFO [00031836] Port=1 RD @06 + 31835.50ns INFO [00031837] * RD COMPARE * port=0 adr=01 act=B03804569DFE2B4B7F exp=B03804569DFE2B4B7F + 31835.50ns INFO [00031837] Port=0 RD @05 + 31836.50ns INFO [00031838] * RD COMPARE * port=1 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31836.50ns INFO [00031838] Port=0 RD @03 + 31836.50ns INFO [00031838] Port=1 RD @04 + 31837.50ns INFO [00031839] * RD COMPARE * port=0 adr=05 act=44DD62DAB1F831C608 exp=44DD62DAB1F831C608 + 31837.50ns INFO [00031839] Port=0 WR @03=86FFD8564DCF6CD4CD + 31837.50ns INFO [00031839] Port=1 RD @04 + 31838.50ns INFO [00031840] * RD COMPARE * port=0 adr=03 act=364C08ABDEA02D7412 exp=364C08ABDEA02D7412 + 31838.50ns INFO [00031840] * RD COMPARE * port=1 adr=04 act=613D5B59E1AD7384BA exp=613D5B59E1AD7384BA + 31838.50ns INFO [00031840] Port=0 RD @07 + 31839.50ns INFO [00031841] * RD COMPARE * port=1 adr=04 act=613D5B59E1AD7384BA exp=613D5B59E1AD7384BA + 31839.50ns INFO [00031841] Port=0 WR @02=9AD040DCFAF296B0B8 + 31839.50ns INFO [00031841] Port=0 RD @06 + 31840.50ns INFO [00031842] * RD COMPARE * port=0 adr=07 act=54A3E7E247A564EF89 exp=54A3E7E247A564EF89 + 31840.50ns INFO [00031842] Port=0 WR @07=A16D481D7D2F81E0B8 + 31840.50ns INFO [00031842] Port=1 RD @00 + 31841.50ns INFO [00031843] * RD COMPARE * port=0 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31841.50ns INFO [00031843] Port=0 WR @00=B520167309244288D0 + 31841.50ns INFO [00031843] Port=0 RD @04 + 31842.50ns INFO [00031844] * RD COMPARE * port=1 adr=00 act=74186E866425B0ECED exp=74186E866425B0ECED + 31843.50ns INFO [00031845] * RD COMPARE * port=0 adr=04 act=613D5B59E1AD7384BA exp=613D5B59E1AD7384BA + 31843.50ns INFO [00031845] Port=0 RD @04 + 31843.50ns INFO [00031845] Port=1 RD @06 + 31845.50ns INFO [00031847] * RD COMPARE * port=0 adr=04 act=613D5B59E1AD7384BA exp=613D5B59E1AD7384BA + 31845.50ns INFO [00031847] * RD COMPARE * port=1 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31845.50ns INFO [00031847] Port=0 WR @05=ABF2B434CA96793D1E + 31845.50ns INFO [00031847] Port=0 RD @03 + 31846.50ns INFO [00031848] Port=0 WR @04=0E30CD4F4E64FE841E + 31846.50ns INFO [00031848] Port=0 RD @01 + 31846.50ns INFO [00031848] Port=1 RD @03 + 31847.50ns INFO [00031849] * RD COMPARE * port=0 adr=03 act=86FFD8564DCF6CD4CD exp=86FFD8564DCF6CD4CD + 31847.50ns INFO [00031849] Port=0 RD @00 + 31848.50ns INFO [00031850] * RD COMPARE * port=0 adr=01 act=B03804569DFE2B4B7F exp=B03804569DFE2B4B7F + 31848.50ns INFO [00031850] * RD COMPARE * port=1 adr=03 act=86FFD8564DCF6CD4CD exp=86FFD8564DCF6CD4CD + 31848.50ns INFO [00031850] Port=0 WR @05=BFD5991125C6A04119 + 31849.50ns INFO [00031851] * RD COMPARE * port=0 adr=00 act=B520167309244288D0 exp=B520167309244288D0 + 31850.50ns INFO [00031852] Port=0 RD @06 + 31851.50ns INFO [00031853] Port=0 RD @01 + 31851.50ns INFO [00031853] Port=1 RD @03 + 31852.50ns INFO [00031854] * RD COMPARE * port=0 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31852.50ns INFO [00031854] Port=0 WR @03=DAFFE406C6ED3C8F6B + 31853.50ns INFO [00031855] * RD COMPARE * port=0 adr=01 act=B03804569DFE2B4B7F exp=B03804569DFE2B4B7F + 31853.50ns INFO [00031855] * RD COMPARE * port=1 adr=03 act=86FFD8564DCF6CD4CD exp=86FFD8564DCF6CD4CD + 31853.50ns INFO [00031855] Port=1 RD @07 + 31854.50ns INFO [00031856] Port=1 RD @04 + 31855.50ns INFO [00031857] * RD COMPARE * port=1 adr=07 act=A16D481D7D2F81E0B8 exp=A16D481D7D2F81E0B8 + 31856.50ns INFO [00031858] * RD COMPARE * port=1 adr=04 act=0E30CD4F4E64FE841E exp=0E30CD4F4E64FE841E + 31856.50ns INFO [00031858] Port=0 WR @04=46ECDFB5923A7943D7 + 31856.50ns INFO [00031858] Port=0 RD @05 + 31858.50ns INFO [00031860] * RD COMPARE * port=0 adr=05 act=BFD5991125C6A04119 exp=BFD5991125C6A04119 + 31858.50ns INFO [00031860] Port=0 RD @06 + 31859.50ns INFO [00031861] Port=0 WR @01=2ECB42E9201C393F17 + 31859.50ns INFO [00031861] Port=0 RD @03 + 31860.50ns INFO [00031862] * RD COMPARE * port=0 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31860.50ns INFO [00031862] Port=1 RD @01 + 31861.50ns INFO [00031863] * RD COMPARE * port=0 adr=03 act=DAFFE406C6ED3C8F6B exp=DAFFE406C6ED3C8F6B + 31861.50ns INFO [00031863] Port=0 WR @04=3EB0B47B47D121536E + 31862.50ns INFO [00031864] * RD COMPARE * port=1 adr=01 act=2ECB42E9201C393F17 exp=2ECB42E9201C393F17 + 31862.50ns INFO [00031864] Port=1 RD @02 + 31864.50ns INFO [00031866] * RD COMPARE * port=1 adr=02 act=9AD040DCFAF296B0B8 exp=9AD040DCFAF296B0B8 + 31864.50ns INFO [00031866] Port=0 WR @03=361012CC30EB637E21 + 31864.50ns INFO [00031866] Port=0 RD @06 + 31865.50ns INFO [00031867] Port=0 WR @01=C40BDEFACC9DF6CEBB + 31865.50ns INFO [00031867] Port=1 RD @06 + 31866.50ns INFO [00031868] * RD COMPARE * port=0 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31867.50ns INFO [00031869] * RD COMPARE * port=1 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31867.50ns INFO [00031869] Port=0 RD @07 + 31867.50ns INFO [00031869] Port=1 RD @06 + 31868.50ns INFO [00031870] Port=0 WR @05=F4C79737C395F7908D + 31869.50ns INFO [00031871] * RD COMPARE * port=0 adr=07 act=A16D481D7D2F81E0B8 exp=A16D481D7D2F81E0B8 + 31869.50ns INFO [00031871] * RD COMPARE * port=1 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31869.50ns INFO [00031871] Port=0 WR @02=96D2657A2997D60AB2 + 31869.50ns INFO [00031871] Port=1 RD @07 + 31871.50ns INFO [00031873] * RD COMPARE * port=1 adr=07 act=A16D481D7D2F81E0B8 exp=A16D481D7D2F81E0B8 + 31872.50ns INFO [00031874] Port=0 RD @06 + 31873.50ns INFO [00031875] Port=0 WR @07=69E45CEF0CCC14C6D2 + 31873.50ns INFO [00031875] Port=1 RD @01 + 31874.50ns INFO [00031876] * RD COMPARE * port=0 adr=06 act=224717DEC5479123CB exp=224717DEC5479123CB + 31875.50ns INFO [00031877] * RD COMPARE * port=1 adr=01 act=C40BDEFACC9DF6CEBB exp=C40BDEFACC9DF6CEBB + 31875.50ns INFO [00031877] Port=0 WR @06=68AABDD781A82FBC91 + 31875.50ns INFO [00031877] Port=0 RD @02 + 31875.50ns INFO [00031877] Port=1 RD @00 + 31876.50ns INFO [00031878] Port=0 WR @05=59E9C204356FE1E5D2 + 31876.50ns INFO [00031878] Port=0 RD @04 + 31877.50ns INFO [00031879] * RD COMPARE * port=0 adr=02 act=96D2657A2997D60AB2 exp=96D2657A2997D60AB2 + 31877.50ns INFO [00031879] * RD COMPARE * port=1 adr=00 act=B520167309244288D0 exp=B520167309244288D0 + 31877.50ns INFO [00031879] Port=0 RD @06 + 31878.50ns INFO [00031880] * RD COMPARE * port=0 adr=04 act=3EB0B47B47D121536E exp=3EB0B47B47D121536E + 31878.50ns INFO [00031880] Port=0 RD @07 + 31878.50ns INFO [00031880] Port=1 RD @04 + 31879.50ns INFO [00031881] * RD COMPARE * port=0 adr=06 act=68AABDD781A82FBC91 exp=68AABDD781A82FBC91 + 31879.50ns INFO [00031881] Port=0 RD @07 + 31880.50ns INFO [00031882] * RD COMPARE * port=0 adr=07 act=69E45CEF0CCC14C6D2 exp=69E45CEF0CCC14C6D2 + 31880.50ns INFO [00031882] * RD COMPARE * port=1 adr=04 act=3EB0B47B47D121536E exp=3EB0B47B47D121536E + 31880.50ns INFO [00031882] Port=1 RD @07 + 31881.50ns INFO [00031883] * RD COMPARE * port=0 adr=07 act=69E45CEF0CCC14C6D2 exp=69E45CEF0CCC14C6D2 + 31881.50ns INFO [00031883] Port=1 RD @01 + 31882.50ns INFO [00031884] * RD COMPARE * port=1 adr=07 act=69E45CEF0CCC14C6D2 exp=69E45CEF0CCC14C6D2 + 31882.50ns INFO [00031884] Port=0 WR @05=4694960A34C021270F + 31882.50ns INFO [00031884] Port=1 RD @04 + 31883.50ns INFO [00031885] * RD COMPARE * port=1 adr=01 act=C40BDEFACC9DF6CEBB exp=C40BDEFACC9DF6CEBB + 31883.50ns INFO [00031885] Port=1 RD @05 + 31884.50ns INFO [00031886] * RD COMPARE * port=1 adr=04 act=3EB0B47B47D121536E exp=3EB0B47B47D121536E + 31884.50ns INFO [00031886] Port=0 WR @04=DEA2593DB12C4FEDC6 + 31884.50ns INFO [00031886] Port=1 RD @07 + 31885.50ns INFO [00031887] * RD COMPARE * port=1 adr=05 act=4694960A34C021270F exp=4694960A34C021270F + 31885.50ns INFO [00031887] Port=0 RD @04 + 31886.50ns INFO [00031888] * RD COMPARE * port=1 adr=07 act=69E45CEF0CCC14C6D2 exp=69E45CEF0CCC14C6D2 + 31887.50ns INFO [00031889] * RD COMPARE * port=0 adr=04 act=DEA2593DB12C4FEDC6 exp=DEA2593DB12C4FEDC6 + 31889.50ns INFO [00031891] Port=0 WR @02=EDF4DE4DADD1592CB8 + 31890.50ns INFO [00031892] Port=0 WR @01=E1E49FC9E2138F09CD + 31890.50ns INFO [00031892] Port=1 RD @07 + 31891.50ns INFO [00031893] Port=0 RD @04 + 31891.50ns INFO [00031893] Port=1 RD @05 + 31892.50ns INFO [00031894] * RD COMPARE * port=1 adr=07 act=69E45CEF0CCC14C6D2 exp=69E45CEF0CCC14C6D2 + 31892.50ns INFO [00031894] Port=1 RD @05 + 31893.50ns INFO [00031895] * RD COMPARE * port=0 adr=04 act=DEA2593DB12C4FEDC6 exp=DEA2593DB12C4FEDC6 + 31893.50ns INFO [00031895] * RD COMPARE * port=1 adr=05 act=4694960A34C021270F exp=4694960A34C021270F + 31893.50ns INFO [00031895] Port=1 RD @01 + 31894.50ns INFO [00031896] * RD COMPARE * port=1 adr=05 act=4694960A34C021270F exp=4694960A34C021270F + 31894.50ns INFO [00031896] Port=1 RD @00 + 31895.50ns INFO [00031897] * RD COMPARE * port=1 adr=01 act=E1E49FC9E2138F09CD exp=E1E49FC9E2138F09CD + 31895.50ns INFO [00031897] Port=0 WR @01=F83CF1E39480D2532F + 31895.50ns INFO [00031897] Port=1 RD @06 + 31896.50ns INFO [00031898] * RD COMPARE * port=1 adr=00 act=B520167309244288D0 exp=B520167309244288D0 + 31896.50ns INFO [00031898] Port=0 RD @02 + 31896.50ns INFO [00031898] Port=1 RD @00 + 31897.50ns INFO [00031899] * RD COMPARE * port=1 adr=06 act=68AABDD781A82FBC91 exp=68AABDD781A82FBC91 + 31897.50ns INFO [00031899] Port=0 RD @00 + 31898.00ns INFO [00031900] [00031900] ...tick... + 31898.50ns INFO [00031900] * RD COMPARE * port=0 adr=02 act=EDF4DE4DADD1592CB8 exp=EDF4DE4DADD1592CB8 + 31898.50ns INFO [00031900] * RD COMPARE * port=1 adr=00 act=B520167309244288D0 exp=B520167309244288D0 + 31898.50ns INFO [00031900] Port=1 RD @04 + 31899.50ns INFO [00031901] * RD COMPARE * port=0 adr=00 act=B520167309244288D0 exp=B520167309244288D0 + 31899.50ns INFO [00031901] Port=1 RD @04 + 31900.50ns INFO [00031902] * RD COMPARE * port=1 adr=04 act=DEA2593DB12C4FEDC6 exp=DEA2593DB12C4FEDC6 + 31900.50ns INFO [00031902] Port=0 WR @03=DA8B5D03F156836F22 + 31900.50ns INFO [00031902] Port=0 RD @04 + 31901.50ns INFO [00031903] * RD COMPARE * port=1 adr=04 act=DEA2593DB12C4FEDC6 exp=DEA2593DB12C4FEDC6 + 31901.50ns INFO [00031903] Port=0 RD @06 + 31901.50ns INFO [00031903] Port=1 RD @02 + 31902.50ns INFO [00031904] * RD COMPARE * port=0 adr=04 act=DEA2593DB12C4FEDC6 exp=DEA2593DB12C4FEDC6 + 31902.50ns INFO [00031904] Port=0 RD @00 + 31902.50ns INFO [00031904] Port=1 RD @07 + 31903.50ns INFO [00031905] * RD COMPARE * port=0 adr=06 act=68AABDD781A82FBC91 exp=68AABDD781A82FBC91 + 31903.50ns INFO [00031905] * RD COMPARE * port=1 adr=02 act=EDF4DE4DADD1592CB8 exp=EDF4DE4DADD1592CB8 + 31903.50ns INFO [00031905] Port=0 RD @01 + 31904.50ns INFO [00031906] * RD COMPARE * port=0 adr=00 act=B520167309244288D0 exp=B520167309244288D0 + 31904.50ns INFO [00031906] * RD COMPARE * port=1 adr=07 act=69E45CEF0CCC14C6D2 exp=69E45CEF0CCC14C6D2 + 31904.50ns INFO [00031906] Port=0 WR @04=98E61433CA4FEA5D06 + 31904.50ns INFO [00031906] Port=1 RD @00 + 31905.50ns INFO [00031907] * RD COMPARE * port=0 adr=01 act=F83CF1E39480D2532F exp=F83CF1E39480D2532F + 31905.50ns INFO [00031907] Port=0 RD @07 + 31905.50ns INFO [00031907] Port=1 RD @04 + 31906.50ns INFO [00031908] * RD COMPARE * port=1 adr=00 act=B520167309244288D0 exp=B520167309244288D0 + 31906.50ns INFO [00031908] Port=0 WR @02=F355E8B8597FAF52C2 + 31907.50ns INFO [00031909] * RD COMPARE * port=0 adr=07 act=69E45CEF0CCC14C6D2 exp=69E45CEF0CCC14C6D2 + 31907.50ns INFO [00031909] * RD COMPARE * port=1 adr=04 act=98E61433CA4FEA5D06 exp=98E61433CA4FEA5D06 + 31907.50ns INFO [00031909] Port=0 WR @01=FA2771EB58EDF4CCE3 + 31907.50ns INFO [00031909] Port=0 RD @05 + 31908.50ns INFO [00031910] Port=0 WR @07=491FFE2CE8B848479E + 31908.50ns INFO [00031910] Port=1 RD @02 + 31909.50ns INFO [00031911] * RD COMPARE * port=0 adr=05 act=4694960A34C021270F exp=4694960A34C021270F + 31909.50ns INFO [00031911] Port=0 RD @05 + 31910.50ns INFO [00031912] * RD COMPARE * port=1 adr=02 act=F355E8B8597FAF52C2 exp=F355E8B8597FAF52C2 + 31910.50ns INFO [00031912] Port=0 WR @05=0F968DBFF35848198B + 31910.50ns INFO [00031912] Port=1 RD @00 + 31911.50ns INFO [00031913] * RD COMPARE * port=0 adr=05 act=4694960A34C021270F exp=4694960A34C021270F + 31911.50ns INFO [00031913] Port=0 WR @04=52ADBDAFDFCAB65914 + 31911.50ns INFO [00031913] Port=0 RD @01 + 31912.50ns INFO [00031914] * RD COMPARE * port=1 adr=00 act=B520167309244288D0 exp=B520167309244288D0 + 31913.50ns INFO [00031915] * RD COMPARE * port=0 adr=01 act=FA2771EB58EDF4CCE3 exp=FA2771EB58EDF4CCE3 + 31914.50ns INFO [00031916] Port=0 WR @05=E02C6F4129A06F1001 + 31915.50ns INFO [00031917] Port=0 WR @06=2911FB097F2E130229 + 31915.50ns INFO [00031917] Port=1 RD @05 + 31916.50ns INFO [00031918] Port=0 WR @02=ED246701BEDFD3B336 + 31916.50ns INFO [00031918] Port=1 RD @06 + 31917.50ns INFO [00031919] * RD COMPARE * port=1 adr=05 act=E02C6F4129A06F1001 exp=E02C6F4129A06F1001 + 31917.50ns INFO [00031919] Port=0 WR @02=534E0C07E8D257FD93 + 31918.50ns INFO [00031920] * RD COMPARE * port=1 adr=06 act=2911FB097F2E130229 exp=2911FB097F2E130229 + 31918.50ns INFO [00031920] Port=1 RD @02 + 31919.50ns INFO [00031921] Port=1 RD @03 + 31920.50ns INFO [00031922] * RD COMPARE * port=1 adr=02 act=534E0C07E8D257FD93 exp=534E0C07E8D257FD93 + 31920.50ns INFO [00031922] Port=0 WR @02=95985BDCADE8B377B5 + 31920.50ns INFO [00031922] Port=1 RD @04 + 31921.50ns INFO [00031923] * RD COMPARE * port=1 adr=03 act=DA8B5D03F156836F22 exp=DA8B5D03F156836F22 + 31921.50ns INFO [00031923] Port=1 RD @03 + 31922.50ns INFO [00031924] * RD COMPARE * port=1 adr=04 act=52ADBDAFDFCAB65914 exp=52ADBDAFDFCAB65914 + 31922.50ns INFO [00031924] Port=0 RD @03 + 31923.50ns INFO [00031925] * RD COMPARE * port=1 adr=03 act=DA8B5D03F156836F22 exp=DA8B5D03F156836F22 + 31923.50ns INFO [00031925] Port=0 WR @05=DB2BDEAA8D7B7FC35A + 31924.50ns INFO [00031926] * RD COMPARE * port=0 adr=03 act=DA8B5D03F156836F22 exp=DA8B5D03F156836F22 + 31924.50ns INFO [00031926] Port=0 WR @06=7F0A3025D3DC5C7FB2 + 31924.50ns INFO [00031926] Port=0 RD @04 + 31926.50ns INFO [00031928] * RD COMPARE * port=0 adr=04 act=52ADBDAFDFCAB65914 exp=52ADBDAFDFCAB65914 + 31926.50ns INFO [00031928] Port=0 RD @05 + 31927.50ns INFO [00031929] Port=1 RD @01 + 31928.50ns INFO [00031930] * RD COMPARE * port=0 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 31928.50ns INFO [00031930] Port=0 WR @00=B6926B1685B00D636B + 31929.50ns INFO [00031931] * RD COMPARE * port=1 adr=01 act=FA2771EB58EDF4CCE3 exp=FA2771EB58EDF4CCE3 + 31929.50ns INFO [00031931] Port=0 RD @01 + 31930.50ns INFO [00031932] Port=0 WR @03=EF91E5EF0D01993236 + 31930.50ns INFO [00031932] Port=0 RD @05 + 31931.50ns INFO [00031933] * RD COMPARE * port=0 adr=01 act=FA2771EB58EDF4CCE3 exp=FA2771EB58EDF4CCE3 + 31931.50ns INFO [00031933] Port=0 WR @04=8A12E18945A153D6E5 + 31932.50ns INFO [00031934] * RD COMPARE * port=0 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 31933.50ns INFO [00031935] Port=0 WR @01=67BA5DEE1A42CBFEE8 + 31933.50ns INFO [00031935] Port=1 RD @00 + 31934.50ns INFO [00031936] Port=0 WR @04=DCA88B0B5CC009AE2D + 31934.50ns INFO [00031936] Port=0 RD @01 + 31934.50ns INFO [00031936] Port=1 RD @06 + 31935.50ns INFO [00031937] * RD COMPARE * port=1 adr=00 act=B6926B1685B00D636B exp=B6926B1685B00D636B + 31935.50ns INFO [00031937] Port=0 RD @06 + 31936.50ns INFO [00031938] * RD COMPARE * port=0 adr=01 act=67BA5DEE1A42CBFEE8 exp=67BA5DEE1A42CBFEE8 + 31936.50ns INFO [00031938] * RD COMPARE * port=1 adr=06 act=7F0A3025D3DC5C7FB2 exp=7F0A3025D3DC5C7FB2 + 31936.50ns INFO [00031938] Port=0 WR @07=E3736971E919DBB59E + 31937.50ns INFO [00031939] * RD COMPARE * port=0 adr=06 act=7F0A3025D3DC5C7FB2 exp=7F0A3025D3DC5C7FB2 + 31937.50ns INFO [00031939] Port=0 RD @02 + 31938.50ns INFO [00031940] Port=0 RD @02 + 31939.50ns INFO [00031941] * RD COMPARE * port=0 adr=02 act=95985BDCADE8B377B5 exp=95985BDCADE8B377B5 + 31939.50ns INFO [00031941] Port=0 RD @00 + 31940.50ns INFO [00031942] * RD COMPARE * port=0 adr=02 act=95985BDCADE8B377B5 exp=95985BDCADE8B377B5 + 31941.50ns INFO [00031943] * RD COMPARE * port=0 adr=00 act=B6926B1685B00D636B exp=B6926B1685B00D636B + 31942.50ns INFO [00031944] Port=0 WR @03=5B1A7572DEDDF1F747 + 31942.50ns INFO [00031944] Port=0 RD @05 + 31943.50ns INFO [00031945] Port=0 WR @00=F6DD90DE8CE9975FD6 + 31943.50ns INFO [00031945] Port=0 RD @07 + 31944.50ns INFO [00031946] * RD COMPARE * port=0 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 31944.50ns INFO [00031946] Port=0 WR @06=C90AB9F9DCCAD9B818 + 31945.50ns INFO [00031947] * RD COMPARE * port=0 adr=07 act=E3736971E919DBB59E exp=E3736971E919DBB59E + 31946.50ns INFO [00031948] Port=0 RD @02 + 31946.50ns INFO [00031948] Port=1 RD @03 + 31947.50ns INFO [00031949] Port=0 WR @07=5970F8A23253FA993F + 31947.50ns INFO [00031949] Port=0 RD @06 + 31948.50ns INFO [00031950] * RD COMPARE * port=0 adr=02 act=95985BDCADE8B377B5 exp=95985BDCADE8B377B5 + 31948.50ns INFO [00031950] * RD COMPARE * port=1 adr=03 act=5B1A7572DEDDF1F747 exp=5B1A7572DEDDF1F747 + 31948.50ns INFO [00031950] Port=0 WR @07=C7F003ECC231A202BD + 31948.50ns INFO [00031950] Port=0 RD @05 + 31949.50ns INFO [00031951] * RD COMPARE * port=0 adr=06 act=C90AB9F9DCCAD9B818 exp=C90AB9F9DCCAD9B818 + 31950.50ns INFO [00031952] * RD COMPARE * port=0 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 31950.50ns INFO [00031952] Port=1 RD @07 + 31951.50ns INFO [00031953] Port=0 RD @02 + 31952.50ns INFO [00031954] * RD COMPARE * port=1 adr=07 act=C7F003ECC231A202BD exp=C7F003ECC231A202BD + 31953.50ns INFO [00031955] * RD COMPARE * port=0 adr=02 act=95985BDCADE8B377B5 exp=95985BDCADE8B377B5 + 31953.50ns INFO [00031955] Port=0 RD @05 + 31954.50ns INFO [00031956] Port=0 WR @04=7C95009AA391179E59 + 31954.50ns INFO [00031956] Port=0 RD @02 + 31954.50ns INFO [00031956] Port=1 RD @05 + 31955.50ns INFO [00031957] * RD COMPARE * port=0 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 31956.50ns INFO [00031958] * RD COMPARE * port=0 adr=02 act=95985BDCADE8B377B5 exp=95985BDCADE8B377B5 + 31956.50ns INFO [00031958] * RD COMPARE * port=1 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 31956.50ns INFO [00031958] Port=0 RD @06 + 31957.50ns INFO [00031959] Port=0 RD @03 + 31957.50ns INFO [00031959] Port=1 RD @01 + 31958.50ns INFO [00031960] * RD COMPARE * port=0 adr=06 act=C90AB9F9DCCAD9B818 exp=C90AB9F9DCCAD9B818 + 31958.50ns INFO [00031960] Port=1 RD @00 + 31959.50ns INFO [00031961] * RD COMPARE * port=0 adr=03 act=5B1A7572DEDDF1F747 exp=5B1A7572DEDDF1F747 + 31959.50ns INFO [00031961] * RD COMPARE * port=1 adr=01 act=67BA5DEE1A42CBFEE8 exp=67BA5DEE1A42CBFEE8 + 31960.50ns INFO [00031962] * RD COMPARE * port=1 adr=00 act=F6DD90DE8CE9975FD6 exp=F6DD90DE8CE9975FD6 + 31961.50ns INFO [00031963] Port=0 WR @07=86B72588D88B509496 + 31962.50ns INFO [00031964] Port=0 WR @00=67A8B95DBF95753992 + 31962.50ns INFO [00031964] Port=0 RD @03 + 31964.50ns INFO [00031966] * RD COMPARE * port=0 adr=03 act=5B1A7572DEDDF1F747 exp=5B1A7572DEDDF1F747 + 31964.50ns INFO [00031966] Port=0 RD @05 + 31965.50ns INFO [00031967] Port=0 RD @05 + 31965.50ns INFO [00031967] Port=1 RD @05 + 31966.50ns INFO [00031968] * RD COMPARE * port=0 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 31966.50ns INFO [00031968] Port=0 WR @02=59F339F5C11E43310D + 31967.50ns INFO [00031969] * RD COMPARE * port=0 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 31967.50ns INFO [00031969] * RD COMPARE * port=1 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 31968.50ns INFO [00031970] Port=1 RD @01 + 31970.50ns INFO [00031972] * RD COMPARE * port=1 adr=01 act=67BA5DEE1A42CBFEE8 exp=67BA5DEE1A42CBFEE8 + 31970.50ns INFO [00031972] Port=0 WR @02=C96226A38B99A32D67 + 31970.50ns INFO [00031972] Port=1 RD @01 + 31971.50ns INFO [00031973] Port=0 WR @04=A0377311D6F23CD903 + 31971.50ns INFO [00031973] Port=1 RD @06 + 31972.50ns INFO [00031974] * RD COMPARE * port=1 adr=01 act=67BA5DEE1A42CBFEE8 exp=67BA5DEE1A42CBFEE8 + 31972.50ns INFO [00031974] Port=0 WR @03=6D5BA45F13483AD3D4 + 31972.50ns INFO [00031974] Port=1 RD @04 + 31973.50ns INFO [00031975] * RD COMPARE * port=1 adr=06 act=C90AB9F9DCCAD9B818 exp=C90AB9F9DCCAD9B818 + 31973.50ns INFO [00031975] Port=0 WR @04=85D5F070B1E1D611B5 + 31974.50ns INFO [00031976] * RD COMPARE * port=1 adr=04 act=A0377311D6F23CD903 exp=A0377311D6F23CD903 + 31974.50ns INFO [00031976] Port=0 WR @04=02D66DD8CACE91B6F4 + 31974.50ns INFO [00031976] Port=0 RD @05 + 31974.50ns INFO [00031976] Port=1 RD @03 + 31975.50ns INFO [00031977] Port=0 WR @00=7D0FD623B6831B54EB + 31975.50ns INFO [00031977] Port=0 RD @03 + 31975.50ns INFO [00031977] Port=1 RD @07 + 31976.50ns INFO [00031978] * RD COMPARE * port=0 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 31976.50ns INFO [00031978] * RD COMPARE * port=1 adr=03 act=6D5BA45F13483AD3D4 exp=6D5BA45F13483AD3D4 + 31976.50ns INFO [00031978] Port=1 RD @04 + 31977.50ns INFO [00031979] * RD COMPARE * port=0 adr=03 act=6D5BA45F13483AD3D4 exp=6D5BA45F13483AD3D4 + 31977.50ns INFO [00031979] * RD COMPARE * port=1 adr=07 act=86B72588D88B509496 exp=86B72588D88B509496 + 31977.50ns INFO [00031979] Port=0 RD @02 + 31978.50ns INFO [00031980] * RD COMPARE * port=1 adr=04 act=02D66DD8CACE91B6F4 exp=02D66DD8CACE91B6F4 + 31979.50ns INFO [00031981] * RD COMPARE * port=0 adr=02 act=C96226A38B99A32D67 exp=C96226A38B99A32D67 + 31979.50ns INFO [00031981] Port=0 RD @01 + 31979.50ns INFO [00031981] Port=1 RD @02 + 31981.50ns INFO [00031983] * RD COMPARE * port=0 adr=01 act=67BA5DEE1A42CBFEE8 exp=67BA5DEE1A42CBFEE8 + 31981.50ns INFO [00031983] * RD COMPARE * port=1 adr=02 act=C96226A38B99A32D67 exp=C96226A38B99A32D67 + 31981.50ns INFO [00031983] Port=0 RD @01 + 31981.50ns INFO [00031983] Port=1 RD @03 + 31982.50ns INFO [00031984] Port=0 WR @07=832368161679C6F3AC + 31982.50ns INFO [00031984] Port=0 RD @06 + 31983.50ns INFO [00031985] * RD COMPARE * port=0 adr=01 act=67BA5DEE1A42CBFEE8 exp=67BA5DEE1A42CBFEE8 + 31983.50ns INFO [00031985] * RD COMPARE * port=1 adr=03 act=6D5BA45F13483AD3D4 exp=6D5BA45F13483AD3D4 + 31984.50ns INFO [00031986] * RD COMPARE * port=0 adr=06 act=C90AB9F9DCCAD9B818 exp=C90AB9F9DCCAD9B818 + 31984.50ns INFO [00031986] Port=0 RD @01 + 31985.50ns INFO [00031987] Port=0 RD @02 + 31986.50ns INFO [00031988] * RD COMPARE * port=0 adr=01 act=67BA5DEE1A42CBFEE8 exp=67BA5DEE1A42CBFEE8 + 31986.50ns INFO [00031988] Port=0 RD @03 + 31987.50ns INFO [00031989] * RD COMPARE * port=0 adr=02 act=C96226A38B99A32D67 exp=C96226A38B99A32D67 + 31987.50ns INFO [00031989] Port=0 WR @04=40435971243ABD1194 + 31987.50ns INFO [00031989] Port=0 RD @01 + 31988.50ns INFO [00031990] * RD COMPARE * port=0 adr=03 act=6D5BA45F13483AD3D4 exp=6D5BA45F13483AD3D4 + 31988.50ns INFO [00031990] Port=0 WR @02=4F1A65830E99460E15 + 31988.50ns INFO [00031990] Port=0 RD @00 + 31989.50ns INFO [00031991] * RD COMPARE * port=0 adr=01 act=67BA5DEE1A42CBFEE8 exp=67BA5DEE1A42CBFEE8 + 31989.50ns INFO [00031991] Port=0 RD @07 + 31989.50ns INFO [00031991] Port=1 RD @03 + 31990.50ns INFO [00031992] * RD COMPARE * port=0 adr=00 act=7D0FD623B6831B54EB exp=7D0FD623B6831B54EB + 31990.50ns INFO [00031992] Port=0 RD @03 + 31991.50ns INFO [00031993] * RD COMPARE * port=0 adr=07 act=832368161679C6F3AC exp=832368161679C6F3AC + 31991.50ns INFO [00031993] * RD COMPARE * port=1 adr=03 act=6D5BA45F13483AD3D4 exp=6D5BA45F13483AD3D4 + 31992.50ns INFO [00031994] * RD COMPARE * port=0 adr=03 act=6D5BA45F13483AD3D4 exp=6D5BA45F13483AD3D4 + 31992.50ns INFO [00031994] Port=0 WR @01=D53FC9C5270BB3F7EA + 31993.50ns INFO [00031995] Port=1 RD @03 + 31994.50ns INFO [00031996] Port=0 RD @03 + 31995.50ns INFO [00031997] * RD COMPARE * port=1 adr=03 act=6D5BA45F13483AD3D4 exp=6D5BA45F13483AD3D4 + 31996.50ns INFO [00031998] * RD COMPARE * port=0 adr=03 act=6D5BA45F13483AD3D4 exp=6D5BA45F13483AD3D4 + 31997.50ns INFO [00031999] Port=0 WR @07=1734A2119D2F0A1F42 + 31998.00ns INFO [00032000] [00032000] ...tick... + 31998.50ns INFO [00032000] Port=0 RD @03 + 31999.50ns INFO [00032001] Port=0 WR @04=457296B5D16FA1B4C4 + 31999.50ns INFO [00032001] Port=0 RD @06 + 32000.50ns INFO [00032002] * RD COMPARE * port=0 adr=03 act=6D5BA45F13483AD3D4 exp=6D5BA45F13483AD3D4 + 32000.50ns INFO [00032002] Port=1 RD @01 + 32001.50ns INFO [00032003] * RD COMPARE * port=0 adr=06 act=C90AB9F9DCCAD9B818 exp=C90AB9F9DCCAD9B818 + 32001.50ns INFO [00032003] Port=0 WR @07=FC24BEA8551E7B7557 + 32001.50ns INFO [00032003] Port=1 RD @02 + 32002.50ns INFO [00032004] * RD COMPARE * port=1 adr=01 act=D53FC9C5270BB3F7EA exp=D53FC9C5270BB3F7EA + 32002.50ns INFO [00032004] Port=0 WR @07=C5116A5C5CDE604F93 + 32003.50ns INFO [00032005] * RD COMPARE * port=1 adr=02 act=4F1A65830E99460E15 exp=4F1A65830E99460E15 + 32003.50ns INFO [00032005] Port=0 WR @04=0086F66F8870971EBC + 32003.50ns INFO [00032005] Port=0 RD @01 + 32005.50ns INFO [00032007] * RD COMPARE * port=0 adr=01 act=D53FC9C5270BB3F7EA exp=D53FC9C5270BB3F7EA + 32005.50ns INFO [00032007] Port=0 RD @05 + 32005.50ns INFO [00032007] Port=1 RD @06 + 32006.50ns INFO [00032008] Port=0 WR @03=90922FA29704AD6F3E + 32006.50ns INFO [00032008] Port=0 RD @04 + 32006.50ns INFO [00032008] Port=1 RD @05 + 32007.50ns INFO [00032009] * RD COMPARE * port=0 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 32007.50ns INFO [00032009] * RD COMPARE * port=1 adr=06 act=C90AB9F9DCCAD9B818 exp=C90AB9F9DCCAD9B818 + 32007.50ns INFO [00032009] Port=0 WR @06=5392DB2C0022C05B3C + 32008.50ns INFO [00032010] * RD COMPARE * port=0 adr=04 act=0086F66F8870971EBC exp=0086F66F8870971EBC + 32008.50ns INFO [00032010] * RD COMPARE * port=1 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 32009.50ns INFO [00032011] Port=1 RD @01 + 32010.50ns INFO [00032012] Port=0 RD @03 + 32011.50ns INFO [00032013] * RD COMPARE * port=1 adr=01 act=D53FC9C5270BB3F7EA exp=D53FC9C5270BB3F7EA + 32011.50ns INFO [00032013] Port=0 WR @03=FF29AEE75EDD9C5084 + 32012.50ns INFO [00032014] * RD COMPARE * port=0 adr=03 act=90922FA29704AD6F3E exp=90922FA29704AD6F3E + 32012.50ns INFO [00032014] Port=1 RD @00 + 32013.50ns INFO [00032015] Port=1 RD @00 + 32014.50ns INFO [00032016] * RD COMPARE * port=1 adr=00 act=7D0FD623B6831B54EB exp=7D0FD623B6831B54EB + 32014.50ns INFO [00032016] Port=0 WR @04=A63B5AF8D82952125D + 32014.50ns INFO [00032016] Port=1 RD @05 + 32015.50ns INFO [00032017] * RD COMPARE * port=1 adr=00 act=7D0FD623B6831B54EB exp=7D0FD623B6831B54EB + 32015.50ns INFO [00032017] Port=0 WR @02=9DC738091E18091D01 + 32015.50ns INFO [00032017] Port=0 RD @07 + 32015.50ns INFO [00032017] Port=1 RD @05 + 32016.50ns INFO [00032018] * RD COMPARE * port=1 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 32016.50ns INFO [00032018] Port=0 WR @05=D8EF1C8D9A6295BFAF + 32016.50ns INFO [00032018] Port=1 RD @06 + 32017.50ns INFO [00032019] * RD COMPARE * port=0 adr=07 act=C5116A5C5CDE604F93 exp=C5116A5C5CDE604F93 + 32017.50ns INFO [00032019] * RD COMPARE * port=1 adr=05 act=DB2BDEAA8D7B7FC35A exp=DB2BDEAA8D7B7FC35A + 32018.50ns INFO [00032020] * RD COMPARE * port=1 adr=06 act=5392DB2C0022C05B3C exp=5392DB2C0022C05B3C + 32018.50ns INFO [00032020] Port=0 RD @06 + 32018.50ns INFO [00032020] Port=1 RD @04 + 32019.50ns INFO [00032021] Port=0 WR @02=8CAEA240047DD046BA + 32019.50ns INFO [00032021] Port=0 RD @05 + 32020.50ns INFO [00032022] * RD COMPARE * port=0 adr=06 act=5392DB2C0022C05B3C exp=5392DB2C0022C05B3C + 32020.50ns INFO [00032022] * RD COMPARE * port=1 adr=04 act=A63B5AF8D82952125D exp=A63B5AF8D82952125D + 32020.50ns INFO [00032022] Port=0 WR @04=A7BC6498005FDA6327 + 32021.50ns INFO [00032023] * RD COMPARE * port=0 adr=05 act=D8EF1C8D9A6295BFAF exp=D8EF1C8D9A6295BFAF + 32021.50ns INFO [00032023] Port=0 WR @05=7CE74E83D0D0AECBA2 + 32021.50ns INFO [00032023] Port=0 RD @07 + 32021.50ns INFO [00032023] Port=1 RD @00 + 32022.50ns INFO [00032024] Port=1 RD @00 + 32023.50ns INFO [00032025] * RD COMPARE * port=0 adr=07 act=C5116A5C5CDE604F93 exp=C5116A5C5CDE604F93 + 32023.50ns INFO [00032025] * RD COMPARE * port=1 adr=00 act=7D0FD623B6831B54EB exp=7D0FD623B6831B54EB + 32023.50ns INFO [00032025] Port=0 WR @06=32F3216CCD8CEB8561 + 32024.50ns INFO [00032026] * RD COMPARE * port=1 adr=00 act=7D0FD623B6831B54EB exp=7D0FD623B6831B54EB + 32024.50ns INFO [00032026] Port=0 WR @06=71EA387A87EF8539ED + 32025.50ns INFO [00032027] Port=0 RD @04 + 32026.50ns INFO [00032028] Port=0 WR @04=11A798F581D03D27A9 + 32027.50ns INFO [00032029] * RD COMPARE * port=0 adr=04 act=A7BC6498005FDA6327 exp=A7BC6498005FDA6327 + 32031.50ns INFO [00032033] Port=1 RD @07 + 32032.50ns INFO [00032034] Port=0 WR @02=A91373A0D2E751046E + 32033.50ns INFO [00032035] * RD COMPARE * port=1 adr=07 act=C5116A5C5CDE604F93 exp=C5116A5C5CDE604F93 + 32033.50ns INFO [00032035] Port=1 RD @03 + 32035.50ns INFO [00032037] * RD COMPARE * port=1 adr=03 act=FF29AEE75EDD9C5084 exp=FF29AEE75EDD9C5084 + 32035.50ns INFO [00032037] Port=1 RD @06 + 32037.50ns INFO [00032039] * RD COMPARE * port=1 adr=06 act=71EA387A87EF8539ED exp=71EA387A87EF8539ED + 32037.50ns INFO [00032039] Port=0 WR @02=94D0899E992074B48E + 32037.50ns INFO [00032039] Port=0 RD @05 + 32038.50ns INFO [00032040] Port=1 RD @05 + 32039.50ns INFO [00032041] * RD COMPARE * port=0 adr=05 act=7CE74E83D0D0AECBA2 exp=7CE74E83D0D0AECBA2 + 32040.50ns INFO [00032042] * RD COMPARE * port=1 adr=05 act=7CE74E83D0D0AECBA2 exp=7CE74E83D0D0AECBA2 + 32041.50ns INFO [00032043] Port=0 RD @01 + 32041.50ns INFO [00032043] Port=1 RD @00 + 32042.50ns INFO [00032044] Port=0 WR @05=FF06E8363C35B0CF4E + 32042.50ns INFO [00032044] Port=0 RD @06 + 32043.50ns INFO [00032045] * RD COMPARE * port=0 adr=01 act=D53FC9C5270BB3F7EA exp=D53FC9C5270BB3F7EA + 32043.50ns INFO [00032045] * RD COMPARE * port=1 adr=00 act=7D0FD623B6831B54EB exp=7D0FD623B6831B54EB + 32043.50ns INFO [00032045] Port=0 WR @01=44984AE86C6764129A + 32043.50ns INFO [00032045] Port=0 RD @05 + 32043.50ns INFO [00032045] Port=1 RD @06 + 32044.50ns INFO [00032046] * RD COMPARE * port=0 adr=06 act=71EA387A87EF8539ED exp=71EA387A87EF8539ED + 32044.50ns INFO [00032046] Port=0 WR @07=8556B873ED7E7F7A0A + 32044.50ns INFO [00032046] Port=0 RD @05 + 32044.50ns INFO [00032046] Port=1 RD @00 + 32045.50ns INFO [00032047] * RD COMPARE * port=0 adr=05 act=FF06E8363C35B0CF4E exp=FF06E8363C35B0CF4E + 32045.50ns INFO [00032047] * RD COMPARE * port=1 adr=06 act=71EA387A87EF8539ED exp=71EA387A87EF8539ED + 32045.50ns INFO [00032047] Port=0 RD @00 + 32046.50ns INFO [00032048] * RD COMPARE * port=0 adr=05 act=FF06E8363C35B0CF4E exp=FF06E8363C35B0CF4E + 32046.50ns INFO [00032048] * RD COMPARE * port=1 adr=00 act=7D0FD623B6831B54EB exp=7D0FD623B6831B54EB + 32047.50ns INFO [00032049] * RD COMPARE * port=0 adr=00 act=7D0FD623B6831B54EB exp=7D0FD623B6831B54EB + 32047.50ns INFO [00032049] Port=0 WR @04=54B595BAC33C8D8934 + 32047.50ns INFO [00032049] Port=1 RD @02 + 32048.50ns INFO [00032050] Port=0 WR @03=15D0C074A69C2B0032 + 32048.50ns INFO [00032050] Port=0 RD @05 + 32048.50ns INFO [00032050] Port=1 RD @02 + 32049.50ns INFO [00032051] * RD COMPARE * port=1 adr=02 act=94D0899E992074B48E exp=94D0899E992074B48E + 32049.50ns INFO [00032051] Port=0 RD @01 + 32050.50ns INFO [00032052] * RD COMPARE * port=0 adr=05 act=FF06E8363C35B0CF4E exp=FF06E8363C35B0CF4E + 32050.50ns INFO [00032052] * RD COMPARE * port=1 adr=02 act=94D0899E992074B48E exp=94D0899E992074B48E + 32050.50ns INFO [00032052] Port=0 RD @00 + 32051.50ns INFO [00032053] * RD COMPARE * port=0 adr=01 act=44984AE86C6764129A exp=44984AE86C6764129A + 32051.50ns INFO [00032053] Port=0 WR @06=E0DF98A311DFBE8507 + 32051.50ns INFO [00032053] Port=1 RD @02 + 32052.50ns INFO [00032054] * RD COMPARE * port=0 adr=00 act=7D0FD623B6831B54EB exp=7D0FD623B6831B54EB + 32053.50ns INFO [00032055] * RD COMPARE * port=1 adr=02 act=94D0899E992074B48E exp=94D0899E992074B48E + 32055.50ns INFO [00032057] Port=1 RD @04 + 32056.50ns INFO [00032058] Port=0 RD @03 + 32057.50ns INFO [00032059] * RD COMPARE * port=1 adr=04 act=54B595BAC33C8D8934 exp=54B595BAC33C8D8934 + 32057.50ns INFO [00032059] Port=0 WR @01=4BB44625540241969D + 32058.50ns INFO [00032060] * RD COMPARE * port=0 adr=03 act=15D0C074A69C2B0032 exp=15D0C074A69C2B0032 + 32058.50ns INFO [00032060] Port=0 WR @06=D94D82DAE43C4504C4 + 32058.50ns INFO [00032060] Port=1 RD @03 + 32059.50ns INFO [00032061] Port=0 WR @05=E94D4E57B0365589F1 + 32060.50ns INFO [00032062] * RD COMPARE * port=1 adr=03 act=15D0C074A69C2B0032 exp=15D0C074A69C2B0032 + 32060.50ns INFO [00032062] Port=0 WR @02=230150B30D0B672021 + 32061.50ns INFO [00032063] Port=0 WR @03=D237C0FFCDB918FA4B + 32062.50ns INFO [00032064] Port=0 RD @04 + 32062.50ns INFO [00032064] Port=1 RD @07 + 32063.50ns INFO [00032065] Port=0 WR @00=B50492114CD04D74D2 + 32063.50ns INFO [00032065] Port=0 RD @05 + 32063.50ns INFO [00032065] Port=1 RD @04 + 32064.50ns INFO [00032066] * RD COMPARE * port=0 adr=04 act=54B595BAC33C8D8934 exp=54B595BAC33C8D8934 + 32064.50ns INFO [00032066] * RD COMPARE * port=1 adr=07 act=8556B873ED7E7F7A0A exp=8556B873ED7E7F7A0A + 32064.50ns INFO [00032066] Port=0 WR @05=9CFDBD4091C6DDD6C8 + 32064.50ns INFO [00032066] Port=0 RD @01 + 32065.50ns INFO [00032067] * RD COMPARE * port=0 adr=05 act=E94D4E57B0365589F1 exp=E94D4E57B0365589F1 + 32065.50ns INFO [00032067] * RD COMPARE * port=1 adr=04 act=54B595BAC33C8D8934 exp=54B595BAC33C8D8934 + 32065.50ns INFO [00032067] Port=1 RD @04 + 32066.50ns INFO [00032068] * RD COMPARE * port=0 adr=01 act=4BB44625540241969D exp=4BB44625540241969D + 32067.50ns INFO [00032069] * RD COMPARE * port=1 adr=04 act=54B595BAC33C8D8934 exp=54B595BAC33C8D8934 + 32067.50ns INFO [00032069] Port=0 RD @01 + 32068.50ns INFO [00032070] Port=0 RD @01 + 32069.50ns INFO [00032071] * RD COMPARE * port=0 adr=01 act=4BB44625540241969D exp=4BB44625540241969D + 32070.50ns INFO [00032072] * RD COMPARE * port=0 adr=01 act=4BB44625540241969D exp=4BB44625540241969D + 32070.50ns INFO [00032072] Port=0 RD @03 + 32071.50ns INFO [00032073] Port=0 WR @01=E416D83AD43F50542A + 32071.50ns INFO [00032073] Port=0 RD @05 + 32072.50ns INFO [00032074] * RD COMPARE * port=0 adr=03 act=D237C0FFCDB918FA4B exp=D237C0FFCDB918FA4B + 32073.50ns INFO [00032075] * RD COMPARE * port=0 adr=05 act=9CFDBD4091C6DDD6C8 exp=9CFDBD4091C6DDD6C8 + 32073.50ns INFO [00032075] Port=0 WR @04=8F7B6DB8A4363CA15C + 32073.50ns INFO [00032075] Port=0 RD @06 + 32074.50ns INFO [00032076] Port=0 WR @07=7B49428DB489A7F527 + 32075.50ns INFO [00032077] * RD COMPARE * port=0 adr=06 act=D94D82DAE43C4504C4 exp=D94D82DAE43C4504C4 + 32075.50ns INFO [00032077] Port=1 RD @03 + 32076.50ns INFO [00032078] Port=0 WR @01=8D45B06A5FDC0E121B + 32076.50ns INFO [00032078] Port=1 RD @04 + 32077.50ns INFO [00032079] * RD COMPARE * port=1 adr=03 act=D237C0FFCDB918FA4B exp=D237C0FFCDB918FA4B + 32077.50ns INFO [00032079] Port=0 WR @02=781BA3DCED55030CB6 + 32077.50ns INFO [00032079] Port=1 RD @00 + 32078.50ns INFO [00032080] * RD COMPARE * port=1 adr=04 act=8F7B6DB8A4363CA15C exp=8F7B6DB8A4363CA15C + 32078.50ns INFO [00032080] Port=0 WR @01=9383092FFF39BFB450 + 32078.50ns INFO [00032080] Port=0 RD @00 + 32079.50ns INFO [00032081] * RD COMPARE * port=1 adr=00 act=B50492114CD04D74D2 exp=B50492114CD04D74D2 + 32079.50ns INFO [00032081] Port=0 WR @06=3EAA0EC169FFEE182C + 32079.50ns INFO [00032081] Port=0 RD @05 + 32080.50ns INFO [00032082] * RD COMPARE * port=0 adr=00 act=B50492114CD04D74D2 exp=B50492114CD04D74D2 + 32080.50ns INFO [00032082] Port=0 RD @04 + 32081.50ns INFO [00032083] * RD COMPARE * port=0 adr=05 act=9CFDBD4091C6DDD6C8 exp=9CFDBD4091C6DDD6C8 + 32081.50ns INFO [00032083] Port=0 WR @00=38CFE819BE7AEF79D6 + 32082.50ns INFO [00032084] * RD COMPARE * port=0 adr=04 act=8F7B6DB8A4363CA15C exp=8F7B6DB8A4363CA15C + 32082.50ns INFO [00032084] Port=0 WR @05=EAA8F11F4708373903 + 32082.50ns INFO [00032084] Port=0 RD @01 + 32082.50ns INFO [00032084] Port=1 RD @04 + 32083.50ns INFO [00032085] Port=0 WR @03=1A7FC1DB8AD542533D + 32083.50ns INFO [00032085] Port=0 RD @00 + 32083.50ns INFO [00032085] Port=1 RD @02 + 32084.50ns INFO [00032086] * RD COMPARE * port=0 adr=01 act=9383092FFF39BFB450 exp=9383092FFF39BFB450 + 32084.50ns INFO [00032086] * RD COMPARE * port=1 adr=04 act=8F7B6DB8A4363CA15C exp=8F7B6DB8A4363CA15C + 32085.50ns INFO [00032087] * RD COMPARE * port=0 adr=00 act=38CFE819BE7AEF79D6 exp=38CFE819BE7AEF79D6 + 32085.50ns INFO [00032087] * RD COMPARE * port=1 adr=02 act=781BA3DCED55030CB6 exp=781BA3DCED55030CB6 + 32085.50ns INFO [00032087] Port=0 WR @01=50F4AF01A3912297A9 + 32085.50ns INFO [00032087] Port=0 RD @05 + 32087.50ns INFO [00032089] * RD COMPARE * port=0 adr=05 act=EAA8F11F4708373903 exp=EAA8F11F4708373903 + 32087.50ns INFO [00032089] Port=1 RD @02 + 32088.50ns INFO [00032090] Port=0 WR @05=4BE622BFE634FE5DF2 + 32088.50ns INFO [00032090] Port=0 RD @01 + 32088.50ns INFO [00032090] Port=1 RD @03 + 32089.50ns INFO [00032091] * RD COMPARE * port=1 adr=02 act=781BA3DCED55030CB6 exp=781BA3DCED55030CB6 + 32089.50ns INFO [00032091] Port=0 RD @02 + 32089.50ns INFO [00032091] Port=1 RD @04 + 32090.50ns INFO [00032092] * RD COMPARE * port=0 adr=01 act=50F4AF01A3912297A9 exp=50F4AF01A3912297A9 + 32090.50ns INFO [00032092] * RD COMPARE * port=1 adr=03 act=1A7FC1DB8AD542533D exp=1A7FC1DB8AD542533D + 32091.50ns INFO [00032093] * RD COMPARE * port=0 adr=02 act=781BA3DCED55030CB6 exp=781BA3DCED55030CB6 + 32091.50ns INFO [00032093] * RD COMPARE * port=1 adr=04 act=8F7B6DB8A4363CA15C exp=8F7B6DB8A4363CA15C + 32091.50ns INFO [00032093] Port=1 RD @04 + 32093.50ns INFO [00032095] * RD COMPARE * port=1 adr=04 act=8F7B6DB8A4363CA15C exp=8F7B6DB8A4363CA15C + 32093.50ns INFO [00032095] Port=0 WR @01=8BD6752CCB5C200FF3 + 32094.50ns INFO [00032096] Port=0 WR @06=B4D253388E2E07003C + 32094.50ns INFO [00032096] Port=0 RD @02 + 32094.50ns INFO [00032096] Port=1 RD @00 + 32096.50ns INFO [00032098] * RD COMPARE * port=0 adr=02 act=781BA3DCED55030CB6 exp=781BA3DCED55030CB6 + 32096.50ns INFO [00032098] * RD COMPARE * port=1 adr=00 act=38CFE819BE7AEF79D6 exp=38CFE819BE7AEF79D6 + 32097.50ns INFO [00032099] Port=0 WR @01=87256B97A7E1083D62 + 32098.00ns INFO [00032100] [00032100] ...tick... + 32098.50ns INFO [00032100] Port=0 RD @02 + 32099.50ns INFO [00032101] Port=0 WR @06=8271176783A8DAA0C5 + 32100.50ns INFO [00032102] * RD COMPARE * port=0 adr=02 act=781BA3DCED55030CB6 exp=781BA3DCED55030CB6 + 32100.50ns INFO [00032102] Port=0 RD @03 + 32101.50ns INFO [00032103] Port=1 RD @04 + 32102.50ns INFO [00032104] * RD COMPARE * port=0 adr=03 act=1A7FC1DB8AD542533D exp=1A7FC1DB8AD542533D + 32102.50ns INFO [00032104] Port=0 WR @01=E1916088DCE321797B + 32103.50ns INFO [00032105] * RD COMPARE * port=1 adr=04 act=8F7B6DB8A4363CA15C exp=8F7B6DB8A4363CA15C + 32103.50ns INFO [00032105] Port=1 RD @02 + 32104.50ns INFO [00032106] Port=1 RD @01 + 32105.50ns INFO [00032107] * RD COMPARE * port=1 adr=02 act=781BA3DCED55030CB6 exp=781BA3DCED55030CB6 + 32105.50ns INFO [00032107] Port=0 WR @01=3A6034C73287F95242 + 32105.50ns INFO [00032107] Port=1 RD @03 + 32106.50ns INFO [00032108] * RD COMPARE * port=1 adr=01 act=E1916088DCE321797B exp=E1916088DCE321797B + 32106.50ns INFO [00032108] Port=0 RD @05 + 32107.50ns INFO [00032109] * RD COMPARE * port=1 adr=03 act=1A7FC1DB8AD542533D exp=1A7FC1DB8AD542533D + 32107.50ns INFO [00032109] Port=0 WR @02=9E4A981F6B317E03AF + 32107.50ns INFO [00032109] Port=0 RD @05 + 32107.50ns INFO [00032109] Port=1 RD @06 + 32108.50ns INFO [00032110] * RD COMPARE * port=0 adr=05 act=4BE622BFE634FE5DF2 exp=4BE622BFE634FE5DF2 + 32108.50ns INFO [00032110] Port=0 WR @04=CB580431AD7EE3150F + 32108.50ns INFO [00032110] Port=1 RD @07 + 32109.50ns INFO [00032111] * RD COMPARE * port=0 adr=05 act=4BE622BFE634FE5DF2 exp=4BE622BFE634FE5DF2 + 32109.50ns INFO [00032111] * RD COMPARE * port=1 adr=06 act=8271176783A8DAA0C5 exp=8271176783A8DAA0C5 + 32109.50ns INFO [00032111] Port=0 WR @02=D4D9EA39860D37ABD7 + 32109.50ns INFO [00032111] Port=0 RD @06 + 32109.50ns INFO [00032111] Port=1 RD @00 + 32110.50ns INFO [00032112] * RD COMPARE * port=1 adr=07 act=7B49428DB489A7F527 exp=7B49428DB489A7F527 + 32110.50ns INFO [00032112] Port=1 RD @03 + 32111.50ns INFO [00032113] * RD COMPARE * port=0 adr=06 act=8271176783A8DAA0C5 exp=8271176783A8DAA0C5 + 32111.50ns INFO [00032113] * RD COMPARE * port=1 adr=00 act=38CFE819BE7AEF79D6 exp=38CFE819BE7AEF79D6 + 32111.50ns INFO [00032113] Port=0 RD @05 + 32112.50ns INFO [00032114] * RD COMPARE * port=1 adr=03 act=1A7FC1DB8AD542533D exp=1A7FC1DB8AD542533D + 32112.50ns INFO [00032114] Port=1 RD @06 + 32113.50ns INFO [00032115] * RD COMPARE * port=0 adr=05 act=4BE622BFE634FE5DF2 exp=4BE622BFE634FE5DF2 + 32113.50ns INFO [00032115] Port=1 RD @06 + 32114.50ns INFO [00032116] * RD COMPARE * port=1 adr=06 act=8271176783A8DAA0C5 exp=8271176783A8DAA0C5 + 32115.50ns INFO [00032117] * RD COMPARE * port=1 adr=06 act=8271176783A8DAA0C5 exp=8271176783A8DAA0C5 + 32115.50ns INFO [00032117] Port=0 RD @07 + 32116.50ns INFO [00032118] Port=0 RD @00 + 32117.50ns INFO [00032119] * RD COMPARE * port=0 adr=07 act=7B49428DB489A7F527 exp=7B49428DB489A7F527 + 32117.50ns INFO [00032119] Port=0 WR @00=7F39C57BAC2233069B + 32118.50ns INFO [00032120] * RD COMPARE * port=0 adr=00 act=38CFE819BE7AEF79D6 exp=38CFE819BE7AEF79D6 + 32118.50ns INFO [00032120] Port=0 RD @07 + 32119.50ns INFO [00032121] Port=1 RD @07 + 32120.50ns INFO [00032122] * RD COMPARE * port=0 adr=07 act=7B49428DB489A7F527 exp=7B49428DB489A7F527 + 32120.50ns INFO [00032122] Port=0 WR @05=84CB15B32CDE19D6B9 + 32120.50ns INFO [00032122] Port=0 RD @04 + 32121.50ns INFO [00032123] * RD COMPARE * port=1 adr=07 act=7B49428DB489A7F527 exp=7B49428DB489A7F527 + 32121.50ns INFO [00032123] Port=0 RD @04 + 32122.50ns INFO [00032124] * RD COMPARE * port=0 adr=04 act=CB580431AD7EE3150F exp=CB580431AD7EE3150F + 32122.50ns INFO [00032124] Port=0 RD @04 + 32123.50ns INFO [00032125] * RD COMPARE * port=0 adr=04 act=CB580431AD7EE3150F exp=CB580431AD7EE3150F + 32123.50ns INFO [00032125] Port=1 RD @06 + 32124.50ns INFO [00032126] * RD COMPARE * port=0 adr=04 act=CB580431AD7EE3150F exp=CB580431AD7EE3150F + 32124.50ns INFO [00032126] Port=0 RD @05 + 32125.50ns INFO [00032127] * RD COMPARE * port=1 adr=06 act=8271176783A8DAA0C5 exp=8271176783A8DAA0C5 + 32125.50ns INFO [00032127] Port=1 RD @04 + 32126.50ns INFO [00032128] * RD COMPARE * port=0 adr=05 act=84CB15B32CDE19D6B9 exp=84CB15B32CDE19D6B9 + 32126.50ns INFO [00032128] Port=0 WR @01=9DA5D7006DFDD92594 + 32127.50ns INFO [00032129] * RD COMPARE * port=1 adr=04 act=CB580431AD7EE3150F exp=CB580431AD7EE3150F + 32127.50ns INFO [00032129] Port=1 RD @00 + 32128.50ns INFO [00032130] Port=0 WR @02=76D489AF9D0E7BF5C5 + 32129.50ns INFO [00032131] * RD COMPARE * port=1 adr=00 act=7F39C57BAC2233069B exp=7F39C57BAC2233069B + 32129.50ns INFO [00032131] Port=0 WR @06=93E1E7F5476FA3242E + 32130.50ns INFO [00032132] Port=0 WR @04=DCE00E03EE9DF0D9B8 + 32131.50ns INFO [00032133] Port=0 RD @04 + 32131.50ns INFO [00032133] Port=1 RD @03 + 32132.50ns INFO [00032134] Port=1 RD @06 + 32133.50ns INFO [00032135] * RD COMPARE * port=0 adr=04 act=DCE00E03EE9DF0D9B8 exp=DCE00E03EE9DF0D9B8 + 32133.50ns INFO [00032135] * RD COMPARE * port=1 adr=03 act=1A7FC1DB8AD542533D exp=1A7FC1DB8AD542533D + 32133.50ns INFO [00032135] Port=0 WR @03=EC69A57ABD72641365 + 32133.50ns INFO [00032135] Port=0 RD @01 + 32133.50ns INFO [00032135] Port=1 RD @06 + 32134.50ns INFO [00032136] * RD COMPARE * port=1 adr=06 act=93E1E7F5476FA3242E exp=93E1E7F5476FA3242E + 32134.50ns INFO [00032136] Port=0 WR @06=A59B61504547F81D3D + 32134.50ns INFO [00032136] Port=0 RD @01 + 32134.50ns INFO [00032136] Port=1 RD @07 + 32135.50ns INFO [00032137] * RD COMPARE * port=0 adr=01 act=9DA5D7006DFDD92594 exp=9DA5D7006DFDD92594 + 32135.50ns INFO [00032137] * RD COMPARE * port=1 adr=06 act=93E1E7F5476FA3242E exp=93E1E7F5476FA3242E + 32135.50ns INFO [00032137] Port=0 WR @00=FEF5057FD678830E6A + 32135.50ns INFO [00032137] Port=0 RD @07 + 32136.50ns INFO [00032138] * RD COMPARE * port=0 adr=01 act=9DA5D7006DFDD92594 exp=9DA5D7006DFDD92594 + 32136.50ns INFO [00032138] * RD COMPARE * port=1 adr=07 act=7B49428DB489A7F527 exp=7B49428DB489A7F527 + 32136.50ns INFO [00032138] Port=1 RD @05 + 32137.50ns INFO [00032139] * RD COMPARE * port=0 adr=07 act=7B49428DB489A7F527 exp=7B49428DB489A7F527 + 32137.50ns INFO [00032139] Port=0 RD @04 + 32138.50ns INFO [00032140] * RD COMPARE * port=1 adr=05 act=84CB15B32CDE19D6B9 exp=84CB15B32CDE19D6B9 + 32138.50ns INFO [00032140] Port=0 RD @04 + 32138.50ns INFO [00032140] Port=1 RD @00 + 32139.50ns INFO [00032141] * RD COMPARE * port=0 adr=04 act=DCE00E03EE9DF0D9B8 exp=DCE00E03EE9DF0D9B8 + 32139.50ns INFO [00032141] Port=0 WR @07=881D518DDAF3DF4D23 + 32139.50ns INFO [00032141] Port=0 RD @03 + 32139.50ns INFO [00032141] Port=1 RD @06 + 32140.50ns INFO [00032142] * RD COMPARE * port=0 adr=04 act=DCE00E03EE9DF0D9B8 exp=DCE00E03EE9DF0D9B8 + 32140.50ns INFO [00032142] * RD COMPARE * port=1 adr=00 act=FEF5057FD678830E6A exp=FEF5057FD678830E6A + 32140.50ns INFO [00032142] Port=0 WR @02=9968DB0BFB8C302ED7 + 32140.50ns INFO [00032142] Port=0 RD @01 + 32140.50ns INFO [00032142] Port=1 RD @06 + 32141.50ns INFO [00032143] * RD COMPARE * port=0 adr=03 act=EC69A57ABD72641365 exp=EC69A57ABD72641365 + 32141.50ns INFO [00032143] * RD COMPARE * port=1 adr=06 act=A59B61504547F81D3D exp=A59B61504547F81D3D + 32141.50ns INFO [00032143] Port=0 WR @07=95FADF6F3C48FC993F + 32142.50ns INFO [00032144] * RD COMPARE * port=0 adr=01 act=9DA5D7006DFDD92594 exp=9DA5D7006DFDD92594 + 32142.50ns INFO [00032144] * RD COMPARE * port=1 adr=06 act=A59B61504547F81D3D exp=A59B61504547F81D3D + 32142.50ns INFO [00032144] Port=1 RD @00 + 32144.50ns INFO [00032146] * RD COMPARE * port=1 adr=00 act=FEF5057FD678830E6A exp=FEF5057FD678830E6A + 32146.50ns INFO [00032148] Port=0 RD @07 + 32147.50ns INFO [00032149] Port=0 WR @01=45489A0C9D04695A70 + 32147.50ns INFO [00032149] Port=0 RD @05 + 32148.50ns INFO [00032150] * RD COMPARE * port=0 adr=07 act=95FADF6F3C48FC993F exp=95FADF6F3C48FC993F + 32148.50ns INFO [00032150] Port=1 RD @04 + 32149.50ns INFO [00032151] * RD COMPARE * port=0 adr=05 act=84CB15B32CDE19D6B9 exp=84CB15B32CDE19D6B9 + 32149.50ns INFO [00032151] Port=0 WR @07=680FE80EA748D8E8A4 + 32149.50ns INFO [00032151] Port=0 RD @02 + 32150.50ns INFO [00032152] * RD COMPARE * port=1 adr=04 act=DCE00E03EE9DF0D9B8 exp=DCE00E03EE9DF0D9B8 + 32150.50ns INFO [00032152] Port=0 WR @02=2F323C4484E7689F51 + 32151.50ns INFO [00032153] * RD COMPARE * port=0 adr=02 act=9968DB0BFB8C302ED7 exp=9968DB0BFB8C302ED7 + 32151.50ns INFO [00032153] Port=0 RD @00 + 32153.50ns INFO [00032155] * RD COMPARE * port=0 adr=00 act=FEF5057FD678830E6A exp=FEF5057FD678830E6A + 32154.50ns INFO [00032156] Port=0 WR @03=BF75F2CCEC563F44AE + 32154.50ns INFO [00032156] Port=1 RD @02 + 32155.50ns INFO [00032157] Port=0 RD @00 + 32156.50ns INFO [00032158] * RD COMPARE * port=1 adr=02 act=2F323C4484E7689F51 exp=2F323C4484E7689F51 + 32157.50ns INFO [00032159] * RD COMPARE * port=0 adr=00 act=FEF5057FD678830E6A exp=FEF5057FD678830E6A + 32157.50ns INFO [00032159] Port=0 WR @04=6E073836B73BADAC6C + 32157.50ns INFO [00032159] Port=1 RD @05 + 32158.50ns INFO [00032160] Port=0 RD @03 + 32159.50ns INFO [00032161] * RD COMPARE * port=1 adr=05 act=84CB15B32CDE19D6B9 exp=84CB15B32CDE19D6B9 + 32159.50ns INFO [00032161] Port=1 RD @00 + 32160.50ns INFO [00032162] * RD COMPARE * port=0 adr=03 act=BF75F2CCEC563F44AE exp=BF75F2CCEC563F44AE + 32160.50ns INFO [00032162] Port=1 RD @05 + 32161.50ns INFO [00032163] * RD COMPARE * port=1 adr=00 act=FEF5057FD678830E6A exp=FEF5057FD678830E6A + 32161.50ns INFO [00032163] Port=0 RD @07 + 32161.50ns INFO [00032163] Port=1 RD @03 + 32162.50ns INFO [00032164] * RD COMPARE * port=1 adr=05 act=84CB15B32CDE19D6B9 exp=84CB15B32CDE19D6B9 + 32162.50ns INFO [00032164] Port=0 WR @02=74CC4E1234DCEA94AB + 32162.50ns INFO [00032164] Port=0 RD @01 + 32163.50ns INFO [00032165] * RD COMPARE * port=0 adr=07 act=680FE80EA748D8E8A4 exp=680FE80EA748D8E8A4 + 32163.50ns INFO [00032165] * RD COMPARE * port=1 adr=03 act=BF75F2CCEC563F44AE exp=BF75F2CCEC563F44AE + 32163.50ns INFO [00032165] Port=0 WR @02=F34C92AA15811EBDA9 + 32163.50ns INFO [00032165] Port=0 RD @01 + 32163.50ns INFO [00032165] Port=1 RD @00 + 32164.50ns INFO [00032166] * RD COMPARE * port=0 adr=01 act=45489A0C9D04695A70 exp=45489A0C9D04695A70 + 32165.50ns INFO [00032167] * RD COMPARE * port=0 adr=01 act=45489A0C9D04695A70 exp=45489A0C9D04695A70 + 32165.50ns INFO [00032167] * RD COMPARE * port=1 adr=00 act=FEF5057FD678830E6A exp=FEF5057FD678830E6A + 32165.50ns INFO [00032167] Port=0 WR @06=165DC2451A818114A2 + 32166.50ns INFO [00032168] Port=0 WR @00=B1BAACECA17237E73A + 32167.50ns INFO [00032169] Port=0 RD @06 + 32169.50ns INFO [00032171] * RD COMPARE * port=0 adr=06 act=165DC2451A818114A2 exp=165DC2451A818114A2 + 32169.50ns INFO [00032171] Port=0 RD @02 + 32170.50ns INFO [00032172] Port=0 WR @07=513073A80D73006B08 + 32170.50ns INFO [00032172] Port=0 RD @05 + 32171.50ns INFO [00032173] * RD COMPARE * port=0 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32171.50ns INFO [00032173] Port=0 RD @02 + 32172.50ns INFO [00032174] * RD COMPARE * port=0 adr=05 act=84CB15B32CDE19D6B9 exp=84CB15B32CDE19D6B9 + 32172.50ns INFO [00032174] Port=0 WR @00=40BD965EE84472CCB4 + 32172.50ns INFO [00032174] Port=0 RD @04 + 32173.50ns INFO [00032175] * RD COMPARE * port=0 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32173.50ns INFO [00032175] Port=0 RD @07 + 32173.50ns INFO [00032175] Port=1 RD @02 + 32174.50ns INFO [00032176] * RD COMPARE * port=0 adr=04 act=6E073836B73BADAC6C exp=6E073836B73BADAC6C + 32174.50ns INFO [00032176] Port=0 RD @01 + 32175.50ns INFO [00032177] * RD COMPARE * port=0 adr=07 act=513073A80D73006B08 exp=513073A80D73006B08 + 32175.50ns INFO [00032177] * RD COMPARE * port=1 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32175.50ns INFO [00032177] Port=0 WR @06=BBE92D0A2F8573A175 + 32175.50ns INFO [00032177] Port=1 RD @03 + 32176.50ns INFO [00032178] * RD COMPARE * port=0 adr=01 act=45489A0C9D04695A70 exp=45489A0C9D04695A70 + 32176.50ns INFO [00032178] Port=0 RD @03 + 32177.50ns INFO [00032179] * RD COMPARE * port=1 adr=03 act=BF75F2CCEC563F44AE exp=BF75F2CCEC563F44AE + 32178.50ns INFO [00032180] * RD COMPARE * port=0 adr=03 act=BF75F2CCEC563F44AE exp=BF75F2CCEC563F44AE + 32178.50ns INFO [00032180] Port=0 WR @05=58E0091BC5D886DD65 + 32179.50ns INFO [00032181] Port=0 WR @07=1B86D86636302C4128 + 32179.50ns INFO [00032181] Port=0 RD @02 + 32179.50ns INFO [00032181] Port=1 RD @03 + 32181.50ns INFO [00032183] * RD COMPARE * port=0 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32181.50ns INFO [00032183] * RD COMPARE * port=1 adr=03 act=BF75F2CCEC563F44AE exp=BF75F2CCEC563F44AE + 32181.50ns INFO [00032183] Port=0 RD @02 + 32182.50ns INFO [00032184] Port=0 RD @01 + 32182.50ns INFO [00032184] Port=1 RD @07 + 32183.50ns INFO [00032185] * RD COMPARE * port=0 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32183.50ns INFO [00032185] Port=0 WR @06=F9231C77FC0F5F8736 + 32183.50ns INFO [00032185] Port=0 RD @05 + 32184.50ns INFO [00032186] * RD COMPARE * port=0 adr=01 act=45489A0C9D04695A70 exp=45489A0C9D04695A70 + 32184.50ns INFO [00032186] * RD COMPARE * port=1 adr=07 act=1B86D86636302C4128 exp=1B86D86636302C4128 + 32184.50ns INFO [00032186] Port=0 WR @04=3835769282E11BFBEB + 32184.50ns INFO [00032186] Port=0 RD @05 + 32184.50ns INFO [00032186] Port=1 RD @06 + 32185.50ns INFO [00032187] * RD COMPARE * port=0 adr=05 act=58E0091BC5D886DD65 exp=58E0091BC5D886DD65 + 32186.50ns INFO [00032188] * RD COMPARE * port=0 adr=05 act=58E0091BC5D886DD65 exp=58E0091BC5D886DD65 + 32186.50ns INFO [00032188] * RD COMPARE * port=1 adr=06 act=F9231C77FC0F5F8736 exp=F9231C77FC0F5F8736 + 32186.50ns INFO [00032188] Port=0 WR @05=C96B423A2369A2D196 + 32186.50ns INFO [00032188] Port=0 RD @02 + 32188.50ns INFO [00032190] * RD COMPARE * port=0 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32190.50ns INFO [00032192] Port=0 WR @05=95FCF036E6DE4D037E + 32191.50ns INFO [00032193] Port=0 RD @02 + 32191.50ns INFO [00032193] Port=1 RD @07 + 32193.50ns INFO [00032195] * RD COMPARE * port=0 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32193.50ns INFO [00032195] * RD COMPARE * port=1 adr=07 act=1B86D86636302C4128 exp=1B86D86636302C4128 + 32193.50ns INFO [00032195] Port=0 RD @02 + 32193.50ns INFO [00032195] Port=1 RD @02 + 32194.50ns INFO [00032196] Port=0 WR @05=21EFEDDF1596280C36 + 32194.50ns INFO [00032196] Port=0 RD @00 + 32194.50ns INFO [00032196] Port=1 RD @03 + 32195.50ns INFO [00032197] * RD COMPARE * port=0 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32195.50ns INFO [00032197] * RD COMPARE * port=1 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32196.50ns INFO [00032198] * RD COMPARE * port=0 adr=00 act=40BD965EE84472CCB4 exp=40BD965EE84472CCB4 + 32196.50ns INFO [00032198] * RD COMPARE * port=1 adr=03 act=BF75F2CCEC563F44AE exp=BF75F2CCEC563F44AE + 32196.50ns INFO [00032198] Port=1 RD @06 + 32197.50ns INFO [00032199] Port=0 WR @04=FF34C4AE029FD5E3F1 + 32198.00ns INFO [00032200] [00032200] ...tick... + 32198.50ns INFO [00032200] * RD COMPARE * port=1 adr=06 act=F9231C77FC0F5F8736 exp=F9231C77FC0F5F8736 + 32198.50ns INFO [00032200] Port=1 RD @04 + 32199.50ns INFO [00032201] Port=0 RD @02 + 32200.50ns INFO [00032202] * RD COMPARE * port=1 adr=04 act=FF34C4AE029FD5E3F1 exp=FF34C4AE029FD5E3F1 + 32201.50ns INFO [00032203] * RD COMPARE * port=0 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32201.50ns INFO [00032203] Port=0 RD @06 + 32201.50ns INFO [00032203] Port=1 RD @00 + 32202.50ns INFO [00032204] Port=1 RD @01 + 32203.50ns INFO [00032205] * RD COMPARE * port=0 adr=06 act=F9231C77FC0F5F8736 exp=F9231C77FC0F5F8736 + 32203.50ns INFO [00032205] * RD COMPARE * port=1 adr=00 act=40BD965EE84472CCB4 exp=40BD965EE84472CCB4 + 32203.50ns INFO [00032205] Port=0 WR @05=BE98E0010B8D938588 + 32203.50ns INFO [00032205] Port=0 RD @00 + 32203.50ns INFO [00032205] Port=1 RD @07 + 32204.50ns INFO [00032206] * RD COMPARE * port=1 adr=01 act=45489A0C9D04695A70 exp=45489A0C9D04695A70 + 32205.50ns INFO [00032207] * RD COMPARE * port=0 adr=00 act=40BD965EE84472CCB4 exp=40BD965EE84472CCB4 + 32205.50ns INFO [00032207] * RD COMPARE * port=1 adr=07 act=1B86D86636302C4128 exp=1B86D86636302C4128 + 32205.50ns INFO [00032207] Port=0 RD @01 + 32205.50ns INFO [00032207] Port=1 RD @06 + 32207.50ns INFO [00032209] * RD COMPARE * port=0 adr=01 act=45489A0C9D04695A70 exp=45489A0C9D04695A70 + 32207.50ns INFO [00032209] * RD COMPARE * port=1 adr=06 act=F9231C77FC0F5F8736 exp=F9231C77FC0F5F8736 + 32208.50ns INFO [00032210] Port=0 WR @04=D5D7311A24BF31D9F7 + 32208.50ns INFO [00032210] Port=1 RD @07 + 32209.50ns INFO [00032211] Port=0 RD @05 + 32210.50ns INFO [00032212] * RD COMPARE * port=1 adr=07 act=1B86D86636302C4128 exp=1B86D86636302C4128 + 32210.50ns INFO [00032212] Port=0 WR @01=32E096489F7982795D + 32210.50ns INFO [00032212] Port=0 RD @00 + 32211.50ns INFO [00032213] * RD COMPARE * port=0 adr=05 act=BE98E0010B8D938588 exp=BE98E0010B8D938588 + 32211.50ns INFO [00032213] Port=0 WR @05=C32CF4F0C49FA73C64 + 32211.50ns INFO [00032213] Port=1 RD @04 + 32212.50ns INFO [00032214] * RD COMPARE * port=0 adr=00 act=40BD965EE84472CCB4 exp=40BD965EE84472CCB4 + 32212.50ns INFO [00032214] Port=0 WR @07=3A0919098B26F44E29 + 32212.50ns INFO [00032214] Port=0 RD @01 + 32212.50ns INFO [00032214] Port=1 RD @05 + 32213.50ns INFO [00032215] * RD COMPARE * port=1 adr=04 act=D5D7311A24BF31D9F7 exp=D5D7311A24BF31D9F7 + 32213.50ns INFO [00032215] Port=0 WR @00=FEFBCC6960C83C9C2A + 32213.50ns INFO [00032215] Port=1 RD @01 + 32214.50ns INFO [00032216] * RD COMPARE * port=0 adr=01 act=32E096489F7982795D exp=32E096489F7982795D + 32214.50ns INFO [00032216] * RD COMPARE * port=1 adr=05 act=C32CF4F0C49FA73C64 exp=C32CF4F0C49FA73C64 + 32214.50ns INFO [00032216] Port=0 RD @02 + 32214.50ns INFO [00032216] Port=1 RD @05 + 32215.50ns INFO [00032217] * RD COMPARE * port=1 adr=01 act=32E096489F7982795D exp=32E096489F7982795D + 32215.50ns INFO [00032217] Port=0 WR @07=3D16E6C285FFCEA985 + 32215.50ns INFO [00032217] Port=0 RD @06 + 32215.50ns INFO [00032217] Port=1 RD @00 + 32216.50ns INFO [00032218] * RD COMPARE * port=0 adr=02 act=F34C92AA15811EBDA9 exp=F34C92AA15811EBDA9 + 32216.50ns INFO [00032218] * RD COMPARE * port=1 adr=05 act=C32CF4F0C49FA73C64 exp=C32CF4F0C49FA73C64 + 32216.50ns INFO [00032218] Port=0 WR @04=85732D0A9420DA48D6 + 32216.50ns INFO [00032218] Port=0 RD @00 + 32217.50ns INFO [00032219] * RD COMPARE * port=0 adr=06 act=F9231C77FC0F5F8736 exp=F9231C77FC0F5F8736 + 32217.50ns INFO [00032219] * RD COMPARE * port=1 adr=00 act=FEFBCC6960C83C9C2A exp=FEFBCC6960C83C9C2A + 32217.50ns INFO [00032219] Port=0 WR @05=2A7BA1FA4E49E80519 + 32217.50ns INFO [00032219] Port=0 RD @04 + 32218.50ns INFO [00032220] * RD COMPARE * port=0 adr=00 act=FEFBCC6960C83C9C2A exp=FEFBCC6960C83C9C2A + 32218.50ns INFO [00032220] Port=0 WR @05=51CC7806C05EA539CF + 32218.50ns INFO [00032220] Port=1 RD @04 + 32219.50ns INFO [00032221] * RD COMPARE * port=0 adr=04 act=85732D0A9420DA48D6 exp=85732D0A9420DA48D6 + 32219.50ns INFO [00032221] Port=0 WR @06=AF03C954982253A1DB + 32219.50ns INFO [00032221] Port=0 RD @07 + 32219.50ns INFO [00032221] Port=1 RD @04 + 32220.50ns INFO [00032222] * RD COMPARE * port=1 adr=04 act=85732D0A9420DA48D6 exp=85732D0A9420DA48D6 + 32220.50ns INFO [00032222] Port=0 RD @00 + 32221.50ns INFO [00032223] * RD COMPARE * port=0 adr=07 act=3D16E6C285FFCEA985 exp=3D16E6C285FFCEA985 + 32221.50ns INFO [00032223] * RD COMPARE * port=1 adr=04 act=85732D0A9420DA48D6 exp=85732D0A9420DA48D6 + 32221.50ns INFO [00032223] Port=0 WR @02=995A4E658923A1F64B + 32222.50ns INFO [00032224] * RD COMPARE * port=0 adr=00 act=FEFBCC6960C83C9C2A exp=FEFBCC6960C83C9C2A + 32222.50ns INFO [00032224] Port=0 RD @02 + 32222.50ns INFO [00032224] Port=1 RD @02 + 32223.50ns INFO [00032225] Port=0 RD @02 + 32223.50ns INFO [00032225] Port=1 RD @05 + 32224.50ns INFO [00032226] * RD COMPARE * port=0 adr=02 act=995A4E658923A1F64B exp=995A4E658923A1F64B + 32224.50ns INFO [00032226] * RD COMPARE * port=1 adr=02 act=995A4E658923A1F64B exp=995A4E658923A1F64B + 32224.50ns INFO [00032226] Port=0 WR @01=6447224A10383364D2 + 32224.50ns INFO [00032226] Port=1 RD @06 + 32225.50ns INFO [00032227] * RD COMPARE * port=0 adr=02 act=995A4E658923A1F64B exp=995A4E658923A1F64B + 32225.50ns INFO [00032227] * RD COMPARE * port=1 adr=05 act=51CC7806C05EA539CF exp=51CC7806C05EA539CF + 32225.50ns INFO [00032227] Port=1 RD @04 + 32226.50ns INFO [00032228] * RD COMPARE * port=1 adr=06 act=AF03C954982253A1DB exp=AF03C954982253A1DB + 32226.50ns INFO [00032228] Port=0 WR @01=8880620E47E40BE008 + 32226.50ns INFO [00032228] Port=0 RD @04 + 32227.50ns INFO [00032229] * RD COMPARE * port=1 adr=04 act=85732D0A9420DA48D6 exp=85732D0A9420DA48D6 + 32227.50ns INFO [00032229] Port=0 WR @00=BA2E233447AD6251F6 + 32228.50ns INFO [00032230] * RD COMPARE * port=0 adr=04 act=85732D0A9420DA48D6 exp=85732D0A9420DA48D6 + 32228.50ns INFO [00032230] Port=0 WR @02=D2A691EFBF156EB916 + 32228.50ns INFO [00032230] Port=0 RD @04 + 32228.50ns INFO [00032230] Port=1 RD @06 + 32229.50ns INFO [00032231] Port=0 WR @00=CC6083087023E18250 + 32230.50ns INFO [00032232] * RD COMPARE * port=0 adr=04 act=85732D0A9420DA48D6 exp=85732D0A9420DA48D6 + 32230.50ns INFO [00032232] * RD COMPARE * port=1 adr=06 act=AF03C954982253A1DB exp=AF03C954982253A1DB + 32230.50ns INFO [00032232] Port=0 WR @06=CB69A84EA34EDDFFB7 + 32230.50ns INFO [00032232] Port=0 RD @05 + 32231.50ns INFO [00032233] Port=0 RD @06 + 32232.50ns INFO [00032234] * RD COMPARE * port=0 adr=05 act=51CC7806C05EA539CF exp=51CC7806C05EA539CF + 32232.50ns INFO [00032234] Port=0 RD @05 + 32233.50ns INFO [00032235] * RD COMPARE * port=0 adr=06 act=CB69A84EA34EDDFFB7 exp=CB69A84EA34EDDFFB7 + 32233.50ns INFO [00032235] Port=1 RD @00 + 32234.50ns INFO [00032236] * RD COMPARE * port=0 adr=05 act=51CC7806C05EA539CF exp=51CC7806C05EA539CF + 32234.50ns INFO [00032236] Port=0 RD @02 + 32235.50ns INFO [00032237] * RD COMPARE * port=1 adr=00 act=CC6083087023E18250 exp=CC6083087023E18250 + 32235.50ns INFO [00032237] Port=0 RD @05 + 32235.50ns INFO [00032237] Port=1 RD @05 + 32236.50ns INFO [00032238] * RD COMPARE * port=0 adr=02 act=D2A691EFBF156EB916 exp=D2A691EFBF156EB916 + 32236.50ns INFO [00032238] Port=0 RD @00 + 32237.50ns INFO [00032239] * RD COMPARE * port=0 adr=05 act=51CC7806C05EA539CF exp=51CC7806C05EA539CF + 32237.50ns INFO [00032239] * RD COMPARE * port=1 adr=05 act=51CC7806C05EA539CF exp=51CC7806C05EA539CF + 32237.50ns INFO [00032239] Port=0 RD @00 + 32237.50ns INFO [00032239] Port=1 RD @02 + 32238.50ns INFO [00032240] * RD COMPARE * port=0 adr=00 act=CC6083087023E18250 exp=CC6083087023E18250 + 32238.50ns INFO [00032240] Port=0 WR @02=D91658B905FCE8F9AF + 32239.50ns INFO [00032241] * RD COMPARE * port=0 adr=00 act=CC6083087023E18250 exp=CC6083087023E18250 + 32239.50ns INFO [00032241] * RD COMPARE * port=1 adr=02 act=D2A691EFBF156EB916 exp=D2A691EFBF156EB916 + 32239.50ns INFO [00032241] Port=0 WR @06=58328813DD0D18099E + 32240.50ns INFO [00032242] Port=0 WR @01=82EC0546D585EF25E9 + 32241.50ns INFO [00032243] Port=0 WR @01=85A97A0A5FAFF567A3 + 32241.50ns INFO [00032243] Port=0 RD @02 + 32242.50ns INFO [00032244] Port=0 WR @05=70CD687EF1F6435442 + 32242.50ns INFO [00032244] Port=0 RD @06 + 32242.50ns INFO [00032244] Port=1 RD @01 + 32243.50ns INFO [00032245] * RD COMPARE * port=0 adr=02 act=D91658B905FCE8F9AF exp=D91658B905FCE8F9AF + 32243.50ns INFO [00032245] Port=1 RD @07 + 32244.50ns INFO [00032246] * RD COMPARE * port=0 adr=06 act=58328813DD0D18099E exp=58328813DD0D18099E + 32244.50ns INFO [00032246] * RD COMPARE * port=1 adr=01 act=85A97A0A5FAFF567A3 exp=85A97A0A5FAFF567A3 + 32244.50ns INFO [00032246] Port=0 RD @06 + 32245.50ns INFO [00032247] * RD COMPARE * port=1 adr=07 act=3D16E6C285FFCEA985 exp=3D16E6C285FFCEA985 + 32246.50ns INFO [00032248] * RD COMPARE * port=0 adr=06 act=58328813DD0D18099E exp=58328813DD0D18099E + 32247.50ns INFO [00032249] Port=0 RD @07 + 32247.50ns INFO [00032249] Port=1 RD @02 + 32248.50ns INFO [00032250] Port=0 WR @04=24C137EE24ACA1E0E1 + 32249.50ns INFO [00032251] * RD COMPARE * port=0 adr=07 act=3D16E6C285FFCEA985 exp=3D16E6C285FFCEA985 + 32249.50ns INFO [00032251] * RD COMPARE * port=1 adr=02 act=D91658B905FCE8F9AF exp=D91658B905FCE8F9AF + 32249.50ns INFO [00032251] Port=0 WR @00=6A7E39540B1B7017AD + 32249.50ns INFO [00032251] Port=0 RD @02 + 32250.50ns INFO [00032252] Port=0 WR @01=B62B2661D68CF4B717 + 32251.50ns INFO [00032253] * RD COMPARE * port=0 adr=02 act=D91658B905FCE8F9AF exp=D91658B905FCE8F9AF + 32251.50ns INFO [00032253] Port=0 RD @04 + 32251.50ns INFO [00032253] Port=1 RD @07 + 32252.50ns INFO [00032254] Port=0 RD @07 + 32253.50ns INFO [00032255] * RD COMPARE * port=0 adr=04 act=24C137EE24ACA1E0E1 exp=24C137EE24ACA1E0E1 + 32253.50ns INFO [00032255] * RD COMPARE * port=1 adr=07 act=3D16E6C285FFCEA985 exp=3D16E6C285FFCEA985 + 32254.50ns INFO [00032256] * RD COMPARE * port=0 adr=07 act=3D16E6C285FFCEA985 exp=3D16E6C285FFCEA985 + 32254.50ns INFO [00032256] Port=0 RD @01 + 32256.50ns INFO [00032258] * RD COMPARE * port=0 adr=01 act=B62B2661D68CF4B717 exp=B62B2661D68CF4B717 + 32257.50ns INFO [00032259] Port=0 WR @02=0A308C340FA7AE1FD8 + 32257.50ns INFO [00032259] Port=1 RD @03 + 32258.50ns INFO [00032260] Port=0 WR @01=BD9D225890E9EC768A + 32259.50ns INFO [00032261] * RD COMPARE * port=1 adr=03 act=BF75F2CCEC563F44AE exp=BF75F2CCEC563F44AE + 32260.50ns INFO [00032262] Port=0 WR @06=054F6E7030063B58FB + 32260.50ns INFO [00032262] Port=1 RD @03 + 32262.50ns INFO [00032264] * RD COMPARE * port=1 adr=03 act=BF75F2CCEC563F44AE exp=BF75F2CCEC563F44AE + 32262.50ns INFO [00032264] Port=0 RD @03 + 32263.50ns INFO [00032265] Port=0 WR @01=CDFC4B32E90499FF98 + 32263.50ns INFO [00032265] Port=0 RD @04 + 32264.50ns INFO [00032266] * RD COMPARE * port=0 adr=03 act=BF75F2CCEC563F44AE exp=BF75F2CCEC563F44AE + 32264.50ns INFO [00032266] Port=0 WR @07=6E279F6BCC1265EF5D + 32264.50ns INFO [00032266] Port=0 RD @04 + 32264.50ns INFO [00032266] Port=1 RD @01 + 32265.50ns INFO [00032267] * RD COMPARE * port=0 adr=04 act=24C137EE24ACA1E0E1 exp=24C137EE24ACA1E0E1 + 32265.50ns INFO [00032267] Port=1 RD @00 + 32266.50ns INFO [00032268] * RD COMPARE * port=0 adr=04 act=24C137EE24ACA1E0E1 exp=24C137EE24ACA1E0E1 + 32266.50ns INFO [00032268] * RD COMPARE * port=1 adr=01 act=CDFC4B32E90499FF98 exp=CDFC4B32E90499FF98 + 32267.50ns INFO [00032269] * RD COMPARE * port=1 adr=00 act=6A7E39540B1B7017AD exp=6A7E39540B1B7017AD + 32268.50ns INFO [00032270] Port=0 WR @06=704E75F5BA096A5BF3 + 32268.50ns INFO [00032270] Port=0 RD @01 + 32268.50ns INFO [00032270] Port=1 RD @02 + 32269.50ns INFO [00032271] Port=0 WR @04=50AD4ADAF1E8038CCF + 32269.50ns INFO [00032271] Port=1 RD @03 + 32270.50ns INFO [00032272] * RD COMPARE * port=0 adr=01 act=CDFC4B32E90499FF98 exp=CDFC4B32E90499FF98 + 32270.50ns INFO [00032272] * RD COMPARE * port=1 adr=02 act=0A308C340FA7AE1FD8 exp=0A308C340FA7AE1FD8 + 32271.50ns INFO [00032273] * RD COMPARE * port=1 adr=03 act=BF75F2CCEC563F44AE exp=BF75F2CCEC563F44AE + 32271.50ns INFO [00032273] Port=0 WR @04=7162DED5600E1294E4 + 32271.50ns INFO [00032273] Port=1 RD @05 + 32272.50ns INFO [00032274] Port=0 WR @03=67702E37755B40C635 + 32272.50ns INFO [00032274] Port=0 RD @01 + 32272.50ns INFO [00032274] Port=1 RD @04 + 32273.50ns INFO [00032275] * RD COMPARE * port=1 adr=05 act=70CD687EF1F6435442 exp=70CD687EF1F6435442 + 32273.50ns INFO [00032275] Port=0 WR @01=7A62D25C5C1EB652E4 + 32274.50ns INFO [00032276] * RD COMPARE * port=0 adr=01 act=CDFC4B32E90499FF98 exp=CDFC4B32E90499FF98 + 32274.50ns INFO [00032276] * RD COMPARE * port=1 adr=04 act=7162DED5600E1294E4 exp=7162DED5600E1294E4 + 32274.50ns INFO [00032276] Port=0 WR @05=5EF865473BEEC9EBBE + 32274.50ns INFO [00032276] Port=0 RD @00 + 32274.50ns INFO [00032276] Port=1 RD @06 + 32276.50ns INFO [00032278] * RD COMPARE * port=0 adr=00 act=6A7E39540B1B7017AD exp=6A7E39540B1B7017AD + 32276.50ns INFO [00032278] * RD COMPARE * port=1 adr=06 act=704E75F5BA096A5BF3 exp=704E75F5BA096A5BF3 + 32276.50ns INFO [00032278] Port=1 RD @02 + 32277.50ns INFO [00032279] Port=0 WR @03=651B739EF1FB3868F2 + 32277.50ns INFO [00032279] Port=1 RD @04 + 32278.50ns INFO [00032280] * RD COMPARE * port=1 adr=02 act=0A308C340FA7AE1FD8 exp=0A308C340FA7AE1FD8 + 32279.50ns INFO [00032281] * RD COMPARE * port=1 adr=04 act=7162DED5600E1294E4 exp=7162DED5600E1294E4 + 32280.50ns INFO [00032282] Port=1 RD @01 + 32281.50ns INFO [00032283] Port=0 WR @01=ACE8DBCC74CF78160E + 32282.50ns INFO [00032284] * RD COMPARE * port=1 adr=01 act=7A62D25C5C1EB652E4 exp=7A62D25C5C1EB652E4 + 32283.50ns INFO [00032285] Port=0 RD @06 + 32283.50ns INFO [00032285] Port=1 RD @03 + 32284.50ns INFO [00032286] Port=0 WR @04=2DB41F7925EBA33EB6 + 32285.50ns INFO [00032287] * RD COMPARE * port=0 adr=06 act=704E75F5BA096A5BF3 exp=704E75F5BA096A5BF3 + 32285.50ns INFO [00032287] * RD COMPARE * port=1 adr=03 act=651B739EF1FB3868F2 exp=651B739EF1FB3868F2 + 32285.50ns INFO [00032287] Port=0 RD @06 + 32286.50ns INFO [00032288] Port=0 WR @06=26F23DC1E8BB635D8C + 32286.50ns INFO [00032288] Port=1 RD @02 + 32287.50ns INFO [00032289] * RD COMPARE * port=0 adr=06 act=704E75F5BA096A5BF3 exp=704E75F5BA096A5BF3 + 32287.50ns INFO [00032289] Port=0 WR @06=E8AF60373217B9F81A + 32287.50ns INFO [00032289] Port=0 RD @04 + 32287.50ns INFO [00032289] Port=1 RD @05 + 32288.50ns INFO [00032290] * RD COMPARE * port=1 adr=02 act=0A308C340FA7AE1FD8 exp=0A308C340FA7AE1FD8 + 32288.50ns INFO [00032290] Port=0 WR @01=88089F280A067664F5 + 32288.50ns INFO [00032290] Port=0 RD @02 + 32288.50ns INFO [00032290] Port=1 RD @05 + 32289.50ns INFO [00032291] * RD COMPARE * port=0 adr=04 act=2DB41F7925EBA33EB6 exp=2DB41F7925EBA33EB6 + 32289.50ns INFO [00032291] * RD COMPARE * port=1 adr=05 act=5EF865473BEEC9EBBE exp=5EF865473BEEC9EBBE + 32289.50ns INFO [00032291] Port=1 RD @02 + 32290.50ns INFO [00032292] * RD COMPARE * port=0 adr=02 act=0A308C340FA7AE1FD8 exp=0A308C340FA7AE1FD8 + 32290.50ns INFO [00032292] * RD COMPARE * port=1 adr=05 act=5EF865473BEEC9EBBE exp=5EF865473BEEC9EBBE + 32290.50ns INFO [00032292] Port=0 WR @06=94763B4A727C8F60BC + 32291.50ns INFO [00032293] * RD COMPARE * port=1 adr=02 act=0A308C340FA7AE1FD8 exp=0A308C340FA7AE1FD8 + 32291.50ns INFO [00032293] Port=1 RD @00 + 32292.50ns INFO [00032294] Port=0 WR @05=5DC07271753395ADD6 + 32293.50ns INFO [00032295] * RD COMPARE * port=1 adr=00 act=6A7E39540B1B7017AD exp=6A7E39540B1B7017AD + 32294.50ns INFO [00032296] Port=0 WR @05=85593CAD791FE62E5D + 32294.50ns INFO [00032296] Port=0 RD @03 + 32294.50ns INFO [00032296] Port=1 RD @01 + 32295.50ns INFO [00032297] Port=1 RD @00 + 32296.50ns INFO [00032298] * RD COMPARE * port=0 adr=03 act=651B739EF1FB3868F2 exp=651B739EF1FB3868F2 + 32296.50ns INFO [00032298] * RD COMPARE * port=1 adr=01 act=88089F280A067664F5 exp=88089F280A067664F5 + 32296.50ns INFO [00032298] Port=0 WR @06=2540102646C58558A2 + 32297.50ns INFO [00032299] * RD COMPARE * port=1 adr=00 act=6A7E39540B1B7017AD exp=6A7E39540B1B7017AD + 32297.50ns INFO [00032299] Port=1 RD @03 + 32298.00ns INFO [00032300] [00032300] ...tick... + 32298.50ns INFO [00032300] Port=1 RD @07 + 32299.50ns INFO [00032301] * RD COMPARE * port=1 adr=03 act=651B739EF1FB3868F2 exp=651B739EF1FB3868F2 + 32299.50ns INFO [00032301] Port=0 WR @07=B759034E961BC76DEC + 32299.50ns INFO [00032301] Port=1 RD @05 + 32300.50ns INFO [00032302] * RD COMPARE * port=1 adr=07 act=6E279F6BCC1265EF5D exp=6E279F6BCC1265EF5D + 32300.50ns INFO [00032302] Port=0 WR @07=DE46D539DC07996FEE + 32300.50ns INFO [00032302] Port=1 RD @06 + 32301.50ns INFO [00032303] * RD COMPARE * port=1 adr=05 act=85593CAD791FE62E5D exp=85593CAD791FE62E5D + 32301.50ns INFO [00032303] Port=0 WR @07=E2AA2C2440378BEBB6 + 32301.50ns INFO [00032303] Port=0 RD @01 + 32301.50ns INFO [00032303] Port=1 RD @04 + 32302.50ns INFO [00032304] * RD COMPARE * port=1 adr=06 act=2540102646C58558A2 exp=2540102646C58558A2 + 32302.50ns INFO [00032304] Port=0 RD @00 + 32303.50ns INFO [00032305] * RD COMPARE * port=0 adr=01 act=88089F280A067664F5 exp=88089F280A067664F5 + 32303.50ns INFO [00032305] * RD COMPARE * port=1 adr=04 act=2DB41F7925EBA33EB6 exp=2DB41F7925EBA33EB6 + 32303.50ns INFO [00032305] Port=1 RD @03 + 32304.50ns INFO [00032306] * RD COMPARE * port=0 adr=00 act=6A7E39540B1B7017AD exp=6A7E39540B1B7017AD + 32304.50ns INFO [00032306] Port=0 WR @03=B03D28D26B0F50A410 + 32304.50ns INFO [00032306] Port=0 RD @01 + 32305.50ns INFO [00032307] * RD COMPARE * port=1 adr=03 act=651B739EF1FB3868F2 exp=651B739EF1FB3868F2 + 32305.50ns INFO [00032307] Port=0 WR @07=16401493C12F330588 + 32306.50ns INFO [00032308] * RD COMPARE * port=0 adr=01 act=88089F280A067664F5 exp=88089F280A067664F5 + 32307.50ns INFO [00032309] Port=0 WR @00=F94CED08AD07AC73AC + 32307.50ns INFO [00032309] Port=0 RD @04 + 32307.50ns INFO [00032309] Port=1 RD @03 + 32308.50ns INFO [00032310] Port=1 RD @06 + 32309.50ns INFO [00032311] * RD COMPARE * port=0 adr=04 act=2DB41F7925EBA33EB6 exp=2DB41F7925EBA33EB6 + 32309.50ns INFO [00032311] * RD COMPARE * port=1 adr=03 act=B03D28D26B0F50A410 exp=B03D28D26B0F50A410 + 32309.50ns INFO [00032311] Port=0 WR @02=2443E2256D0E23060E + 32310.50ns INFO [00032312] * RD COMPARE * port=1 adr=06 act=2540102646C58558A2 exp=2540102646C58558A2 + 32310.50ns INFO [00032312] Port=0 WR @02=FBEE71E4A7BEF4E467 + 32310.50ns INFO [00032312] Port=0 RD @05 + 32312.50ns INFO [00032314] * RD COMPARE * port=0 adr=05 act=85593CAD791FE62E5D exp=85593CAD791FE62E5D + 32312.50ns INFO [00032314] Port=0 WR @04=E1D1AEECE0D27E4761 + 32312.50ns INFO [00032314] Port=0 RD @00 + 32314.50ns INFO [00032316] * RD COMPARE * port=0 adr=00 act=F94CED08AD07AC73AC exp=F94CED08AD07AC73AC + 32314.50ns INFO [00032316] Port=0 RD @02 + 32314.50ns INFO [00032316] Port=1 RD @03 + 32315.50ns INFO [00032317] Port=0 RD @00 + 32316.50ns INFO [00032318] * RD COMPARE * port=0 adr=02 act=FBEE71E4A7BEF4E467 exp=FBEE71E4A7BEF4E467 + 32316.50ns INFO [00032318] * RD COMPARE * port=1 adr=03 act=B03D28D26B0F50A410 exp=B03D28D26B0F50A410 + 32316.50ns INFO [00032318] Port=0 WR @00=62DCAD2DE45D2B97DB + 32317.50ns INFO [00032319] * RD COMPARE * port=0 adr=00 act=F94CED08AD07AC73AC exp=F94CED08AD07AC73AC + 32317.50ns INFO [00032319] Port=0 RD @02 + 32318.50ns INFO [00032320] Port=0 WR @04=B3A9DDAA30C5761BE2 + 32319.50ns INFO [00032321] * RD COMPARE * port=0 adr=02 act=FBEE71E4A7BEF4E467 exp=FBEE71E4A7BEF4E467 + 32319.50ns INFO [00032321] Port=0 RD @02 + 32319.50ns INFO [00032321] Port=1 RD @03 + 32320.50ns INFO [00032322] Port=0 RD @05 + 32321.50ns INFO [00032323] * RD COMPARE * port=0 adr=02 act=FBEE71E4A7BEF4E467 exp=FBEE71E4A7BEF4E467 + 32321.50ns INFO [00032323] * RD COMPARE * port=1 adr=03 act=B03D28D26B0F50A410 exp=B03D28D26B0F50A410 + 32322.50ns INFO [00032324] * RD COMPARE * port=0 adr=05 act=85593CAD791FE62E5D exp=85593CAD791FE62E5D + 32322.50ns INFO [00032324] Port=0 WR @01=90C35CCF0D09F8C946 + 32322.50ns INFO [00032324] Port=0 RD @04 + 32323.50ns INFO [00032325] Port=0 RD @07 + 32323.50ns INFO [00032325] Port=1 RD @02 + 32324.50ns INFO [00032326] * RD COMPARE * port=0 adr=04 act=B3A9DDAA30C5761BE2 exp=B3A9DDAA30C5761BE2 + 32324.50ns INFO [00032326] Port=0 WR @00=D434E93741DFD78B6C + 32325.50ns INFO [00032327] * RD COMPARE * port=0 adr=07 act=16401493C12F330588 exp=16401493C12F330588 + 32325.50ns INFO [00032327] * RD COMPARE * port=1 adr=02 act=FBEE71E4A7BEF4E467 exp=FBEE71E4A7BEF4E467 + 32325.50ns INFO [00032327] Port=0 WR @02=39DE0A623AF07BA538 + 32325.50ns INFO [00032327] Port=0 RD @06 + 32326.50ns INFO [00032328] Port=0 RD @07 + 32327.50ns INFO [00032329] * RD COMPARE * port=0 adr=06 act=2540102646C58558A2 exp=2540102646C58558A2 + 32327.50ns INFO [00032329] Port=0 WR @01=506ADA972B688BCA46 + 32327.50ns INFO [00032329] Port=0 RD @07 + 32328.50ns INFO [00032330] * RD COMPARE * port=0 adr=07 act=16401493C12F330588 exp=16401493C12F330588 + 32328.50ns INFO [00032330] Port=0 RD @02 + 32329.50ns INFO [00032331] * RD COMPARE * port=0 adr=07 act=16401493C12F330588 exp=16401493C12F330588 + 32329.50ns INFO [00032331] Port=0 WR @03=F22A5FB946631ACC42 + 32330.50ns INFO [00032332] * RD COMPARE * port=0 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32330.50ns INFO [00032332] Port=1 RD @03 + 32331.50ns INFO [00032333] Port=1 RD @05 + 32332.50ns INFO [00032334] * RD COMPARE * port=1 adr=03 act=F22A5FB946631ACC42 exp=F22A5FB946631ACC42 + 32332.50ns INFO [00032334] Port=0 WR @00=9D6FD0831687408186 + 32332.50ns INFO [00032334] Port=1 RD @02 + 32333.50ns INFO [00032335] * RD COMPARE * port=1 adr=05 act=85593CAD791FE62E5D exp=85593CAD791FE62E5D + 32333.50ns INFO [00032335] Port=1 RD @06 + 32334.50ns INFO [00032336] * RD COMPARE * port=1 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32334.50ns INFO [00032336] Port=0 WR @05=A6081040B66242B08D + 32335.50ns INFO [00032337] * RD COMPARE * port=1 adr=06 act=2540102646C58558A2 exp=2540102646C58558A2 + 32335.50ns INFO [00032337] Port=0 WR @04=B1CAF2A3939C0EAC85 + 32335.50ns INFO [00032337] Port=1 RD @05 + 32336.50ns INFO [00032338] Port=0 WR @06=9F0ABE781B06716FFF + 32337.50ns INFO [00032339] * RD COMPARE * port=1 adr=05 act=A6081040B66242B08D exp=A6081040B66242B08D + 32337.50ns INFO [00032339] Port=0 RD @05 + 32338.50ns INFO [00032340] Port=0 WR @04=75D28712D6B235103C + 32338.50ns INFO [00032340] Port=1 RD @03 + 32339.50ns INFO [00032341] * RD COMPARE * port=0 adr=05 act=A6081040B66242B08D exp=A6081040B66242B08D + 32339.50ns INFO [00032341] Port=0 RD @07 + 32340.50ns INFO [00032342] * RD COMPARE * port=1 adr=03 act=F22A5FB946631ACC42 exp=F22A5FB946631ACC42 + 32340.50ns INFO [00032342] Port=0 WR @04=A6E1E5F11A8076B10F + 32340.50ns INFO [00032342] Port=0 RD @03 + 32340.50ns INFO [00032342] Port=1 RD @02 + 32341.50ns INFO [00032343] * RD COMPARE * port=0 adr=07 act=16401493C12F330588 exp=16401493C12F330588 + 32341.50ns INFO [00032343] Port=0 WR @04=F557C6AB0A7471AFBF + 32341.50ns INFO [00032343] Port=1 RD @02 + 32342.50ns INFO [00032344] * RD COMPARE * port=0 adr=03 act=F22A5FB946631ACC42 exp=F22A5FB946631ACC42 + 32342.50ns INFO [00032344] * RD COMPARE * port=1 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32342.50ns INFO [00032344] Port=0 RD @06 + 32343.50ns INFO [00032345] * RD COMPARE * port=1 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32343.50ns INFO [00032345] Port=0 WR @03=89E6FF18CFADC4FC52 + 32344.50ns INFO [00032346] * RD COMPARE * port=0 adr=06 act=9F0ABE781B06716FFF exp=9F0ABE781B06716FFF + 32345.50ns INFO [00032347] Port=0 WR @06=780A879FEFEE40E600 + 32347.50ns INFO [00032349] Port=0 WR @01=77636EC6A0F187A76C + 32348.50ns INFO [00032350] Port=0 WR @04=93C81A0CD39168D4FE + 32348.50ns INFO [00032350] Port=0 RD @07 + 32350.50ns INFO [00032352] * RD COMPARE * port=0 adr=07 act=16401493C12F330588 exp=16401493C12F330588 + 32350.50ns INFO [00032352] Port=0 WR @00=1FCD1388144DB6BD39 + 32350.50ns INFO [00032352] Port=0 RD @05 + 32351.50ns INFO [00032353] Port=0 WR @04=AE31A41668E556D2B9 + 32351.50ns INFO [00032353] Port=0 RD @00 + 32351.50ns INFO [00032353] Port=1 RD @07 + 32352.50ns INFO [00032354] * RD COMPARE * port=0 adr=05 act=A6081040B66242B08D exp=A6081040B66242B08D + 32353.50ns INFO [00032355] * RD COMPARE * port=0 adr=00 act=1FCD1388144DB6BD39 exp=1FCD1388144DB6BD39 + 32353.50ns INFO [00032355] * RD COMPARE * port=1 adr=07 act=16401493C12F330588 exp=16401493C12F330588 + 32353.50ns INFO [00032355] Port=0 WR @03=DB29B39F46EA0B984C + 32354.50ns INFO [00032356] Port=0 WR @03=6BF9E94B4C578E4D43 + 32354.50ns INFO [00032356] Port=0 RD @02 + 32354.50ns INFO [00032356] Port=1 RD @05 + 32355.50ns INFO [00032357] Port=0 WR @05=650144E99A278018A0 + 32355.50ns INFO [00032357] Port=1 RD @03 + 32356.50ns INFO [00032358] * RD COMPARE * port=0 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32356.50ns INFO [00032358] * RD COMPARE * port=1 adr=05 act=A6081040B66242B08D exp=A6081040B66242B08D + 32356.50ns INFO [00032358] Port=1 RD @02 + 32357.50ns INFO [00032359] * RD COMPARE * port=1 adr=03 act=6BF9E94B4C578E4D43 exp=6BF9E94B4C578E4D43 + 32358.50ns INFO [00032360] * RD COMPARE * port=1 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32358.50ns INFO [00032360] Port=0 RD @00 + 32358.50ns INFO [00032360] Port=1 RD @06 + 32359.50ns INFO [00032361] Port=0 RD @06 + 32359.50ns INFO [00032361] Port=1 RD @01 + 32360.50ns INFO [00032362] * RD COMPARE * port=0 adr=00 act=1FCD1388144DB6BD39 exp=1FCD1388144DB6BD39 + 32360.50ns INFO [00032362] * RD COMPARE * port=1 adr=06 act=780A879FEFEE40E600 exp=780A879FEFEE40E600 + 32360.50ns INFO [00032362] Port=0 WR @07=885293EB6208D82918 + 32360.50ns INFO [00032362] Port=0 RD @00 + 32360.50ns INFO [00032362] Port=1 RD @00 + 32361.50ns INFO [00032363] * RD COMPARE * port=0 adr=06 act=780A879FEFEE40E600 exp=780A879FEFEE40E600 + 32361.50ns INFO [00032363] * RD COMPARE * port=1 adr=01 act=77636EC6A0F187A76C exp=77636EC6A0F187A76C + 32361.50ns INFO [00032363] Port=1 RD @04 + 32362.50ns INFO [00032364] * RD COMPARE * port=0 adr=00 act=1FCD1388144DB6BD39 exp=1FCD1388144DB6BD39 + 32362.50ns INFO [00032364] * RD COMPARE * port=1 adr=00 act=1FCD1388144DB6BD39 exp=1FCD1388144DB6BD39 + 32363.50ns INFO [00032365] * RD COMPARE * port=1 adr=04 act=AE31A41668E556D2B9 exp=AE31A41668E556D2B9 + 32363.50ns INFO [00032365] Port=0 RD @00 + 32363.50ns INFO [00032365] Port=1 RD @04 + 32364.50ns INFO [00032366] Port=0 WR @00=5DFEBFF5566768058C + 32364.50ns INFO [00032366] Port=0 RD @07 + 32365.50ns INFO [00032367] * RD COMPARE * port=0 adr=00 act=1FCD1388144DB6BD39 exp=1FCD1388144DB6BD39 + 32365.50ns INFO [00032367] * RD COMPARE * port=1 adr=04 act=AE31A41668E556D2B9 exp=AE31A41668E556D2B9 + 32365.50ns INFO [00032367] Port=0 WR @04=C304CDF09556170012 + 32365.50ns INFO [00032367] Port=1 RD @02 + 32366.50ns INFO [00032368] * RD COMPARE * port=0 adr=07 act=885293EB6208D82918 exp=885293EB6208D82918 + 32366.50ns INFO [00032368] Port=0 WR @05=6DC478082EA35360A1 + 32366.50ns INFO [00032368] Port=1 RD @06 + 32367.50ns INFO [00032369] * RD COMPARE * port=1 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32367.50ns INFO [00032369] Port=0 RD @07 + 32368.50ns INFO [00032370] * RD COMPARE * port=1 adr=06 act=780A879FEFEE40E600 exp=780A879FEFEE40E600 + 32368.50ns INFO [00032370] Port=0 RD @03 + 32369.50ns INFO [00032371] * RD COMPARE * port=0 adr=07 act=885293EB6208D82918 exp=885293EB6208D82918 + 32369.50ns INFO [00032371] Port=1 RD @02 + 32370.50ns INFO [00032372] * RD COMPARE * port=0 adr=03 act=6BF9E94B4C578E4D43 exp=6BF9E94B4C578E4D43 + 32370.50ns INFO [00032372] Port=0 RD @06 + 32370.50ns INFO [00032372] Port=1 RD @06 + 32371.50ns INFO [00032373] * RD COMPARE * port=1 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32371.50ns INFO [00032373] Port=0 WR @07=0241ABD00C6F59D4A9 + 32371.50ns INFO [00032373] Port=0 RD @04 + 32371.50ns INFO [00032373] Port=1 RD @02 + 32372.50ns INFO [00032374] * RD COMPARE * port=0 adr=06 act=780A879FEFEE40E600 exp=780A879FEFEE40E600 + 32372.50ns INFO [00032374] * RD COMPARE * port=1 adr=06 act=780A879FEFEE40E600 exp=780A879FEFEE40E600 + 32372.50ns INFO [00032374] Port=1 RD @04 + 32373.50ns INFO [00032375] * RD COMPARE * port=0 adr=04 act=C304CDF09556170012 exp=C304CDF09556170012 + 32373.50ns INFO [00032375] * RD COMPARE * port=1 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32373.50ns INFO [00032375] Port=0 WR @00=D6524F7A01F70C4BD2 + 32373.50ns INFO [00032375] Port=0 RD @01 + 32373.50ns INFO [00032375] Port=1 RD @02 + 32374.50ns INFO [00032376] * RD COMPARE * port=1 adr=04 act=C304CDF09556170012 exp=C304CDF09556170012 + 32375.50ns INFO [00032377] * RD COMPARE * port=0 adr=01 act=77636EC6A0F187A76C exp=77636EC6A0F187A76C + 32375.50ns INFO [00032377] * RD COMPARE * port=1 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32375.50ns INFO [00032377] Port=0 WR @03=704C125857EAA5A0F4 + 32375.50ns INFO [00032377] Port=1 RD @05 + 32376.50ns INFO [00032378] Port=0 WR @05=87A2C7C0B14F417B7F + 32376.50ns INFO [00032378] Port=0 RD @02 + 32377.50ns INFO [00032379] * RD COMPARE * port=1 adr=05 act=6DC478082EA35360A1 exp=6DC478082EA35360A1 + 32377.50ns INFO [00032379] Port=0 WR @01=C24D37E24F20CAEB52 + 32377.50ns INFO [00032379] Port=1 RD @00 + 32378.50ns INFO [00032380] * RD COMPARE * port=0 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32378.50ns INFO [00032380] Port=0 WR @03=73E22B843E81DC56A4 + 32379.50ns INFO [00032381] * RD COMPARE * port=1 adr=00 act=D6524F7A01F70C4BD2 exp=D6524F7A01F70C4BD2 + 32379.50ns INFO [00032381] Port=1 RD @03 + 32380.50ns INFO [00032382] Port=0 RD @02 + 32381.50ns INFO [00032383] * RD COMPARE * port=1 adr=03 act=73E22B843E81DC56A4 exp=73E22B843E81DC56A4 + 32381.50ns INFO [00032383] Port=0 WR @05=AA16DB5EC080BF8796 + 32382.50ns INFO [00032384] * RD COMPARE * port=0 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32382.50ns INFO [00032384] Port=0 WR @03=DE068CAB9D6EE639B5 + 32384.50ns INFO [00032386] Port=1 RD @00 + 32385.50ns INFO [00032387] Port=0 RD @05 + 32386.50ns INFO [00032388] * RD COMPARE * port=1 adr=00 act=D6524F7A01F70C4BD2 exp=D6524F7A01F70C4BD2 + 32386.50ns INFO [00032388] Port=0 RD @03 + 32386.50ns INFO [00032388] Port=1 RD @01 + 32387.50ns INFO [00032389] * RD COMPARE * port=0 adr=05 act=AA16DB5EC080BF8796 exp=AA16DB5EC080BF8796 + 32388.50ns INFO [00032390] * RD COMPARE * port=0 adr=03 act=DE068CAB9D6EE639B5 exp=DE068CAB9D6EE639B5 + 32388.50ns INFO [00032390] * RD COMPARE * port=1 adr=01 act=C24D37E24F20CAEB52 exp=C24D37E24F20CAEB52 + 32388.50ns INFO [00032390] Port=1 RD @04 + 32389.50ns INFO [00032391] Port=0 WR @03=262DAEB5F5829F7AB4 + 32389.50ns INFO [00032391] Port=0 RD @07 + 32389.50ns INFO [00032391] Port=1 RD @07 + 32390.50ns INFO [00032392] * RD COMPARE * port=1 adr=04 act=C304CDF09556170012 exp=C304CDF09556170012 + 32390.50ns INFO [00032392] Port=1 RD @02 + 32391.50ns INFO [00032393] * RD COMPARE * port=0 adr=07 act=0241ABD00C6F59D4A9 exp=0241ABD00C6F59D4A9 + 32391.50ns INFO [00032393] * RD COMPARE * port=1 adr=07 act=0241ABD00C6F59D4A9 exp=0241ABD00C6F59D4A9 + 32392.50ns INFO [00032394] * RD COMPARE * port=1 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32392.50ns INFO [00032394] Port=0 WR @01=FA85469D9941D040FD + 32392.50ns INFO [00032394] Port=0 RD @05 + 32393.50ns INFO [00032395] Port=0 RD @01 + 32393.50ns INFO [00032395] Port=1 RD @03 + 32394.50ns INFO [00032396] * RD COMPARE * port=0 adr=05 act=AA16DB5EC080BF8796 exp=AA16DB5EC080BF8796 + 32394.50ns INFO [00032396] Port=0 RD @00 + 32394.50ns INFO [00032396] Port=1 RD @02 + 32395.50ns INFO [00032397] * RD COMPARE * port=0 adr=01 act=FA85469D9941D040FD exp=FA85469D9941D040FD + 32395.50ns INFO [00032397] * RD COMPARE * port=1 adr=03 act=262DAEB5F5829F7AB4 exp=262DAEB5F5829F7AB4 + 32396.50ns INFO [00032398] * RD COMPARE * port=0 adr=00 act=D6524F7A01F70C4BD2 exp=D6524F7A01F70C4BD2 + 32396.50ns INFO [00032398] * RD COMPARE * port=1 adr=02 act=39DE0A623AF07BA538 exp=39DE0A623AF07BA538 + 32397.50ns INFO [00032399] Port=0 WR @05=952400F6E1A7795F50 + 32397.50ns INFO [00032399] Port=1 RD @03 + 32398.00ns INFO [00032400] [00032400] ...tick... + 32398.50ns INFO [00032400] Port=0 WR @03=BF425090268AE20D4F + 32398.50ns INFO [00032400] Port=0 RD @05 + 32399.50ns INFO [00032401] * RD COMPARE * port=1 adr=03 act=262DAEB5F5829F7AB4 exp=262DAEB5F5829F7AB4 + 32399.50ns INFO [00032401] Port=1 RD @00 + 32400.50ns INFO [00032402] * RD COMPARE * port=0 adr=05 act=952400F6E1A7795F50 exp=952400F6E1A7795F50 + 32401.50ns INFO [00032403] * RD COMPARE * port=1 adr=00 act=D6524F7A01F70C4BD2 exp=D6524F7A01F70C4BD2 + 32402.50ns INFO [00032404] Port=0 WR @01=32809B8A522A7F1782 + 32403.50ns INFO [00032405] Port=0 WR @02=7623E36404AD24F0E8 + 32403.50ns INFO [00032405] Port=0 RD @00 + 32403.50ns INFO [00032405] Port=1 RD @05 + 32404.50ns INFO [00032406] Port=0 WR @02=7B85396A83F838D91F + 32404.50ns INFO [00032406] Port=1 RD @07 + 32405.50ns INFO [00032407] * RD COMPARE * port=0 adr=00 act=D6524F7A01F70C4BD2 exp=D6524F7A01F70C4BD2 + 32405.50ns INFO [00032407] * RD COMPARE * port=1 adr=05 act=952400F6E1A7795F50 exp=952400F6E1A7795F50 + 32406.50ns INFO [00032408] * RD COMPARE * port=1 adr=07 act=0241ABD00C6F59D4A9 exp=0241ABD00C6F59D4A9 + 32408.50ns INFO [00032410] Port=0 RD @06 + 32408.50ns INFO [00032410] Port=1 RD @07 + 32409.50ns INFO [00032411] Port=0 WR @06=1C6EFB21F22ECF55CB + 32409.50ns INFO [00032411] Port=0 RD @04 + 32410.50ns INFO [00032412] * RD COMPARE * port=0 adr=06 act=780A879FEFEE40E600 exp=780A879FEFEE40E600 + 32410.50ns INFO [00032412] * RD COMPARE * port=1 adr=07 act=0241ABD00C6F59D4A9 exp=0241ABD00C6F59D4A9 + 32410.50ns INFO [00032412] Port=0 RD @02 + 32411.50ns INFO [00032413] * RD COMPARE * port=0 adr=04 act=C304CDF09556170012 exp=C304CDF09556170012 + 32411.50ns INFO [00032413] Port=0 WR @02=909197571082E25E7E + 32411.50ns INFO [00032413] Port=0 RD @05 + 32412.50ns INFO [00032414] * RD COMPARE * port=0 adr=02 act=7B85396A83F838D91F exp=7B85396A83F838D91F + 32413.50ns INFO [00032415] * RD COMPARE * port=0 adr=05 act=952400F6E1A7795F50 exp=952400F6E1A7795F50 + 32415.50ns INFO [00032417] Port=0 WR @02=9C70A8B8E2CC1BF4BD + 32416.50ns INFO [00032418] Port=0 WR @07=9D9D2A88C3349D2068 + 32416.50ns INFO [00032418] Port=0 RD @05 + 32417.50ns INFO [00032419] Port=1 RD @03 + 32418.50ns INFO [00032420] * RD COMPARE * port=0 adr=05 act=952400F6E1A7795F50 exp=952400F6E1A7795F50 + 32418.50ns INFO [00032420] Port=1 RD @06 + 32419.50ns INFO [00032421] * RD COMPARE * port=1 adr=03 act=BF425090268AE20D4F exp=BF425090268AE20D4F + 32419.50ns INFO [00032421] Port=0 WR @07=A91463E2D0803ED967 + 32420.50ns INFO [00032422] * RD COMPARE * port=1 adr=06 act=1C6EFB21F22ECF55CB exp=1C6EFB21F22ECF55CB + 32420.50ns INFO [00032422] Port=0 RD @05 + 32422.50ns INFO [00032424] * RD COMPARE * port=0 adr=05 act=952400F6E1A7795F50 exp=952400F6E1A7795F50 + 32422.50ns INFO [00032424] Port=0 RD @06 + 32422.50ns INFO [00032424] Port=1 RD @05 + 32423.50ns INFO [00032425] Port=1 RD @06 + 32424.50ns INFO [00032426] * RD COMPARE * port=0 adr=06 act=1C6EFB21F22ECF55CB exp=1C6EFB21F22ECF55CB + 32424.50ns INFO [00032426] * RD COMPARE * port=1 adr=05 act=952400F6E1A7795F50 exp=952400F6E1A7795F50 + 32424.50ns INFO [00032426] Port=0 WR @03=4ED85BC3771E0218F7 + 32424.50ns INFO [00032426] Port=0 RD @02 + 32424.50ns INFO [00032426] Port=1 RD @02 + 32425.50ns INFO [00032427] * RD COMPARE * port=1 adr=06 act=1C6EFB21F22ECF55CB exp=1C6EFB21F22ECF55CB + 32425.50ns INFO [00032427] Port=0 WR @05=5E4865896694460CBC + 32426.50ns INFO [00032428] * RD COMPARE * port=0 adr=02 act=9C70A8B8E2CC1BF4BD exp=9C70A8B8E2CC1BF4BD + 32426.50ns INFO [00032428] * RD COMPARE * port=1 adr=02 act=9C70A8B8E2CC1BF4BD exp=9C70A8B8E2CC1BF4BD + 32426.50ns INFO [00032428] Port=1 RD @02 + 32427.50ns INFO [00032429] Port=1 RD @00 + 32428.50ns INFO [00032430] * RD COMPARE * port=1 adr=02 act=9C70A8B8E2CC1BF4BD exp=9C70A8B8E2CC1BF4BD + 32428.50ns INFO [00032430] Port=0 RD @04 + 32428.50ns INFO [00032430] Port=1 RD @02 + 32429.50ns INFO [00032431] * RD COMPARE * port=1 adr=00 act=D6524F7A01F70C4BD2 exp=D6524F7A01F70C4BD2 + 32430.50ns INFO [00032432] * RD COMPARE * port=0 adr=04 act=C304CDF09556170012 exp=C304CDF09556170012 + 32430.50ns INFO [00032432] * RD COMPARE * port=1 adr=02 act=9C70A8B8E2CC1BF4BD exp=9C70A8B8E2CC1BF4BD + 32431.50ns INFO [00032433] Port=1 RD @00 + 32433.50ns INFO [00032435] * RD COMPARE * port=1 adr=00 act=D6524F7A01F70C4BD2 exp=D6524F7A01F70C4BD2 + 32433.50ns INFO [00032435] Port=0 WR @00=9F4BC28701B97A65CF + 32433.50ns INFO [00032435] Port=1 RD @01 + 32434.50ns INFO [00032436] Port=0 WR @05=B8B6E9E69FE8E9420C + 32434.50ns INFO [00032436] Port=1 RD @03 + 32435.50ns INFO [00032437] * RD COMPARE * port=1 adr=01 act=32809B8A522A7F1782 exp=32809B8A522A7F1782 + 32435.50ns INFO [00032437] Port=0 WR @02=7AEBF61FB225884EA8 + 32435.50ns INFO [00032437] Port=1 RD @06 + 32436.50ns INFO [00032438] * RD COMPARE * port=1 adr=03 act=4ED85BC3771E0218F7 exp=4ED85BC3771E0218F7 + 32436.50ns INFO [00032438] Port=0 WR @03=78BF16A00A2F7B8A0F + 32436.50ns INFO [00032438] Port=0 RD @05 + 32436.50ns INFO [00032438] Port=1 RD @02 + 32437.50ns INFO [00032439] * RD COMPARE * port=1 adr=06 act=1C6EFB21F22ECF55CB exp=1C6EFB21F22ECF55CB + 32437.50ns INFO [00032439] Port=0 WR @05=5BDB075574484BA219 + 32438.50ns INFO [00032440] * RD COMPARE * port=0 adr=05 act=B8B6E9E69FE8E9420C exp=B8B6E9E69FE8E9420C + 32438.50ns INFO [00032440] * RD COMPARE * port=1 adr=02 act=7AEBF61FB225884EA8 exp=7AEBF61FB225884EA8 + 32438.50ns INFO [00032440] Port=0 WR @03=87957C57B746F79DC2 + 32439.50ns INFO [00032441] Port=1 RD @07 + 32440.50ns INFO [00032442] Port=0 WR @02=56DC3210B4FA6A9FCB + 32440.50ns INFO [00032442] Port=0 RD @04 + 32440.50ns INFO [00032442] Port=1 RD @04 + 32441.50ns INFO [00032443] * RD COMPARE * port=1 adr=07 act=A91463E2D0803ED967 exp=A91463E2D0803ED967 + 32441.50ns INFO [00032443] Port=0 WR @00=8CF7698A3D471A5C1C + 32441.50ns INFO [00032443] Port=1 RD @06 + 32442.50ns INFO [00032444] * RD COMPARE * port=0 adr=04 act=C304CDF09556170012 exp=C304CDF09556170012 + 32442.50ns INFO [00032444] * RD COMPARE * port=1 adr=04 act=C304CDF09556170012 exp=C304CDF09556170012 + 32442.50ns INFO [00032444] Port=0 WR @01=45E84FA6439AD54D84 + 32443.50ns INFO [00032445] * RD COMPARE * port=1 adr=06 act=1C6EFB21F22ECF55CB exp=1C6EFB21F22ECF55CB + 32443.50ns INFO [00032445] Port=0 WR @02=08E16BA11A4284CF19 + 32443.50ns INFO [00032445] Port=0 RD @07 + 32444.50ns INFO [00032446] Port=0 WR @03=391D144EE5DDD884DE + 32444.50ns INFO [00032446] Port=1 RD @00 + 32445.50ns INFO [00032447] * RD COMPARE * port=0 adr=07 act=A91463E2D0803ED967 exp=A91463E2D0803ED967 + 32445.50ns INFO [00032447] Port=0 WR @06=49675F3331B32D1350 + 32445.50ns INFO [00032447] Port=0 RD @01 + 32446.50ns INFO [00032448] * RD COMPARE * port=1 adr=00 act=8CF7698A3D471A5C1C exp=8CF7698A3D471A5C1C + 32446.50ns INFO [00032448] Port=0 WR @07=FCA134857D0E1C9D30 + 32446.50ns INFO [00032448] Port=0 RD @00 + 32446.50ns INFO [00032448] Port=1 RD @01 + 32447.50ns INFO [00032449] * RD COMPARE * port=0 adr=01 act=45E84FA6439AD54D84 exp=45E84FA6439AD54D84 + 32447.50ns INFO [00032449] Port=0 WR @05=6FCCF6A079E4ECA5AC + 32447.50ns INFO [00032449] Port=0 RD @06 + 32447.50ns INFO [00032449] Port=1 RD @00 + 32448.50ns INFO [00032450] * RD COMPARE * port=0 adr=00 act=8CF7698A3D471A5C1C exp=8CF7698A3D471A5C1C + 32448.50ns INFO [00032450] * RD COMPARE * port=1 adr=01 act=45E84FA6439AD54D84 exp=45E84FA6439AD54D84 + 32449.50ns INFO [00032451] * RD COMPARE * port=0 adr=06 act=49675F3331B32D1350 exp=49675F3331B32D1350 + 32449.50ns INFO [00032451] * RD COMPARE * port=1 adr=00 act=8CF7698A3D471A5C1C exp=8CF7698A3D471A5C1C + 32449.50ns INFO [00032451] Port=1 RD @05 + 32450.50ns INFO [00032452] Port=0 WR @01=48597CF08A6E960A1B + 32450.50ns INFO [00032452] Port=1 RD @04 + 32451.50ns INFO [00032453] * RD COMPARE * port=1 adr=05 act=6FCCF6A079E4ECA5AC exp=6FCCF6A079E4ECA5AC + 32451.50ns INFO [00032453] Port=1 RD @00 + 32452.50ns INFO [00032454] * RD COMPARE * port=1 adr=04 act=C304CDF09556170012 exp=C304CDF09556170012 + 32453.50ns INFO [00032455] * RD COMPARE * port=1 adr=00 act=8CF7698A3D471A5C1C exp=8CF7698A3D471A5C1C + 32454.50ns INFO [00032456] Port=1 RD @07 + 32455.50ns INFO [00032457] Port=0 RD @06 + 32456.50ns INFO [00032458] * RD COMPARE * port=1 adr=07 act=FCA134857D0E1C9D30 exp=FCA134857D0E1C9D30 + 32456.50ns INFO [00032458] Port=0 WR @07=06B46398C0ACF4CF90 + 32456.50ns INFO [00032458] Port=1 RD @01 + 32457.50ns INFO [00032459] * RD COMPARE * port=0 adr=06 act=49675F3331B32D1350 exp=49675F3331B32D1350 + 32458.50ns INFO [00032460] * RD COMPARE * port=1 adr=01 act=48597CF08A6E960A1B exp=48597CF08A6E960A1B + 32458.50ns INFO [00032460] Port=1 RD @00 + 32459.50ns INFO [00032461] Port=0 WR @07=CF54E2B54057D6BB98 + 32460.50ns INFO [00032462] * RD COMPARE * port=1 adr=00 act=8CF7698A3D471A5C1C exp=8CF7698A3D471A5C1C + 32462.50ns INFO [00032464] Port=0 WR @07=ABBCC13F2B5BF78D7F + 32462.50ns INFO [00032464] Port=0 RD @05 + 32463.50ns INFO [00032465] Port=0 RD @04 + 32463.50ns INFO [00032465] Port=1 RD @01 + 32464.50ns INFO [00032466] * RD COMPARE * port=0 adr=05 act=6FCCF6A079E4ECA5AC exp=6FCCF6A079E4ECA5AC + 32465.50ns INFO [00032467] * RD COMPARE * port=0 adr=04 act=C304CDF09556170012 exp=C304CDF09556170012 + 32465.50ns INFO [00032467] * RD COMPARE * port=1 adr=01 act=48597CF08A6E960A1B exp=48597CF08A6E960A1B + 32465.50ns INFO [00032467] Port=0 WR @02=DCF8B4C8DACC8D6A2E + 32465.50ns INFO [00032467] Port=0 RD @01 + 32466.50ns INFO [00032468] Port=1 RD @04 + 32467.50ns INFO [00032469] * RD COMPARE * port=0 adr=01 act=48597CF08A6E960A1B exp=48597CF08A6E960A1B + 32467.50ns INFO [00032469] Port=0 WR @02=AA8264004CBF786B13 + 32467.50ns INFO [00032469] Port=1 RD @05 + 32468.50ns INFO [00032470] * RD COMPARE * port=1 adr=04 act=C304CDF09556170012 exp=C304CDF09556170012 + 32468.50ns INFO [00032470] Port=0 WR @04=B5B0DE98B0F5D48539 + 32469.50ns INFO [00032471] * RD COMPARE * port=1 adr=05 act=6FCCF6A079E4ECA5AC exp=6FCCF6A079E4ECA5AC + 32469.50ns INFO [00032471] Port=0 WR @03=89D55190BB69AC5119 + 32469.50ns INFO [00032471] Port=1 RD @05 + 32470.50ns INFO [00032472] Port=1 RD @07 + 32471.50ns INFO [00032473] * RD COMPARE * port=1 adr=05 act=6FCCF6A079E4ECA5AC exp=6FCCF6A079E4ECA5AC + 32471.50ns INFO [00032473] Port=0 WR @03=6F4D76BC955EDD7EA6 + 32472.50ns INFO [00032474] * RD COMPARE * port=1 adr=07 act=ABBCC13F2B5BF78D7F exp=ABBCC13F2B5BF78D7F + 32472.50ns INFO [00032474] Port=0 WR @00=D1456F58B33949B089 + 32472.50ns INFO [00032474] Port=0 RD @05 + 32474.50ns INFO [00032476] * RD COMPARE * port=0 adr=05 act=6FCCF6A079E4ECA5AC exp=6FCCF6A079E4ECA5AC + 32474.50ns INFO [00032476] Port=0 WR @07=13DF860732B1BA7939 + 32475.50ns INFO [00032477] Port=0 WR @06=0DC56C8A09C30E01DA + 32475.50ns INFO [00032477] Port=0 RD @00 + 32475.50ns INFO [00032477] Port=1 RD @05 + 32476.50ns INFO [00032478] Port=0 WR @00=93635ACD0EBC5E5444 + 32477.50ns INFO [00032479] * RD COMPARE * port=0 adr=00 act=D1456F58B33949B089 exp=D1456F58B33949B089 + 32477.50ns INFO [00032479] * RD COMPARE * port=1 adr=05 act=6FCCF6A079E4ECA5AC exp=6FCCF6A079E4ECA5AC + 32477.50ns INFO [00032479] Port=1 RD @02 + 32478.50ns INFO [00032480] Port=0 RD @05 + 32478.50ns INFO [00032480] Port=1 RD @07 + 32479.50ns INFO [00032481] * RD COMPARE * port=1 adr=02 act=AA8264004CBF786B13 exp=AA8264004CBF786B13 + 32480.50ns INFO [00032482] * RD COMPARE * port=0 adr=05 act=6FCCF6A079E4ECA5AC exp=6FCCF6A079E4ECA5AC + 32480.50ns INFO [00032482] * RD COMPARE * port=1 adr=07 act=13DF860732B1BA7939 exp=13DF860732B1BA7939 + 32480.50ns INFO [00032482] Port=0 WR @04=CECA8611E253A3F68E + 32480.50ns INFO [00032482] Port=0 RD @07 + 32480.50ns INFO [00032482] Port=1 RD @07 + 32481.50ns INFO [00032483] Port=0 RD @04 + 32481.50ns INFO [00032483] Port=1 RD @07 + 32482.50ns INFO [00032484] * RD COMPARE * port=0 adr=07 act=13DF860732B1BA7939 exp=13DF860732B1BA7939 + 32482.50ns INFO [00032484] * RD COMPARE * port=1 adr=07 act=13DF860732B1BA7939 exp=13DF860732B1BA7939 + 32482.50ns INFO [00032484] Port=0 WR @03=38B0B880500A16F425 + 32482.50ns INFO [00032484] Port=0 RD @07 + 32482.50ns INFO [00032484] Port=1 RD @02 + 32483.50ns INFO [00032485] * RD COMPARE * port=0 adr=04 act=CECA8611E253A3F68E exp=CECA8611E253A3F68E + 32483.50ns INFO [00032485] * RD COMPARE * port=1 adr=07 act=13DF860732B1BA7939 exp=13DF860732B1BA7939 + 32483.50ns INFO [00032485] Port=0 WR @01=FA1BEB8F9D8958F5DD + 32483.50ns INFO [00032485] Port=0 RD @04 + 32484.50ns INFO [00032486] * RD COMPARE * port=0 adr=07 act=13DF860732B1BA7939 exp=13DF860732B1BA7939 + 32484.50ns INFO [00032486] * RD COMPARE * port=1 adr=02 act=AA8264004CBF786B13 exp=AA8264004CBF786B13 + 32484.50ns INFO [00032486] Port=1 RD @00 + 32485.50ns INFO [00032487] * RD COMPARE * port=0 adr=04 act=CECA8611E253A3F68E exp=CECA8611E253A3F68E + 32486.50ns INFO [00032488] * RD COMPARE * port=1 adr=00 act=93635ACD0EBC5E5444 exp=93635ACD0EBC5E5444 + 32486.50ns INFO [00032488] Port=0 WR @03=7CCB36DC67B58631D3 + 32487.50ns INFO [00032489] Port=0 WR @01=30CEE41CA9B5BFCE4E + 32487.50ns INFO [00032489] Port=1 RD @02 + 32489.50ns INFO [00032491] * RD COMPARE * port=1 adr=02 act=AA8264004CBF786B13 exp=AA8264004CBF786B13 + 32490.50ns INFO [00032492] Port=1 RD @02 + 32491.50ns INFO [00032493] Port=0 RD @04 + 32491.50ns INFO [00032493] Port=1 RD @02 + 32492.50ns INFO [00032494] * RD COMPARE * port=1 adr=02 act=AA8264004CBF786B13 exp=AA8264004CBF786B13 + 32493.50ns INFO [00032495] * RD COMPARE * port=0 adr=04 act=CECA8611E253A3F68E exp=CECA8611E253A3F68E + 32493.50ns INFO [00032495] * RD COMPARE * port=1 adr=02 act=AA8264004CBF786B13 exp=AA8264004CBF786B13 + 32495.50ns INFO [00032497] Port=0 WR @01=AC8FABB335F60A0AC4 + 32495.50ns INFO [00032497] Port=0 RD @06 + 32495.50ns INFO [00032497] Port=1 RD @03 + 32496.50ns INFO [00032498] Port=0 RD @06 + 32496.50ns INFO [00032498] Port=1 RD @07 + 32497.50ns INFO [00032499] * RD COMPARE * port=0 adr=06 act=0DC56C8A09C30E01DA exp=0DC56C8A09C30E01DA + 32497.50ns INFO [00032499] * RD COMPARE * port=1 adr=03 act=7CCB36DC67B58631D3 exp=7CCB36DC67B58631D3 + 32497.50ns INFO [00032499] Port=0 RD @07 + 32498.00ns INFO [00032500] [00032500] ...tick... + 32498.50ns INFO [00032500] * RD COMPARE * port=0 adr=06 act=0DC56C8A09C30E01DA exp=0DC56C8A09C30E01DA + 32498.50ns INFO [00032500] * RD COMPARE * port=1 adr=07 act=13DF860732B1BA7939 exp=13DF860732B1BA7939 + 32498.50ns INFO [00032500] Port=0 WR @03=DF66034184F0261205 + 32499.50ns INFO [00032501] * RD COMPARE * port=0 adr=07 act=13DF860732B1BA7939 exp=13DF860732B1BA7939 + 32499.50ns INFO [00032501] Port=0 WR @06=B6C4DBE8C4F0BD1E3E + 32500.50ns INFO [00032502] Port=0 WR @02=DDE971E9B95F30D0A5 + 32500.50ns INFO [00032502] Port=0 RD @06 + 32500.50ns INFO [00032502] Port=1 RD @03 + 32502.50ns INFO [00032504] * RD COMPARE * port=0 adr=06 act=B6C4DBE8C4F0BD1E3E exp=B6C4DBE8C4F0BD1E3E + 32502.50ns INFO [00032504] * RD COMPARE * port=1 adr=03 act=DF66034184F0261205 exp=DF66034184F0261205 + 32502.50ns INFO [00032504] Port=0 WR @00=F2A2B7CA97B3B2D7E8 + 32503.50ns INFO [00032505] Port=0 WR @03=25D78FA36FB846E9FC + 32503.50ns INFO [00032505] Port=1 RD @02 + 32504.50ns INFO [00032506] Port=0 RD @00 + 32505.50ns INFO [00032507] * RD COMPARE * port=1 adr=02 act=DDE971E9B95F30D0A5 exp=DDE971E9B95F30D0A5 + 32505.50ns INFO [00032507] Port=0 WR @07=C62663308B6CCA9475 + 32506.50ns INFO [00032508] * RD COMPARE * port=0 adr=00 act=F2A2B7CA97B3B2D7E8 exp=F2A2B7CA97B3B2D7E8 + 32507.50ns INFO [00032509] Port=0 WR @07=EEB7A0B26A94E7FCF0 + 32507.50ns INFO [00032509] Port=0 RD @03 + 32508.50ns INFO [00032510] Port=1 RD @03 + 32509.50ns INFO [00032511] * RD COMPARE * port=0 adr=03 act=25D78FA36FB846E9FC exp=25D78FA36FB846E9FC + 32509.50ns INFO [00032511] Port=0 RD @00 + 32510.50ns INFO [00032512] * RD COMPARE * port=1 adr=03 act=25D78FA36FB846E9FC exp=25D78FA36FB846E9FC + 32510.50ns INFO [00032512] Port=0 RD @06 + 32511.50ns INFO [00032513] * RD COMPARE * port=0 adr=00 act=F2A2B7CA97B3B2D7E8 exp=F2A2B7CA97B3B2D7E8 + 32512.50ns INFO [00032514] * RD COMPARE * port=0 adr=06 act=B6C4DBE8C4F0BD1E3E exp=B6C4DBE8C4F0BD1E3E + 32512.50ns INFO [00032514] Port=0 RD @05 + 32513.50ns INFO [00032515] Port=0 WR @03=F135A4D51BA5227DA9 + 32513.50ns INFO [00032515] Port=1 RD @04 + 32514.50ns INFO [00032516] * RD COMPARE * port=0 adr=05 act=6FCCF6A079E4ECA5AC exp=6FCCF6A079E4ECA5AC + 32514.50ns INFO [00032516] Port=0 WR @00=D9F9F5E9C121DA78D8 + 32515.50ns INFO [00032517] * RD COMPARE * port=1 adr=04 act=CECA8611E253A3F68E exp=CECA8611E253A3F68E + 32515.50ns INFO [00032517] Port=0 WR @00=27AEFA6F8761B105F2 + 32516.50ns INFO [00032518] Port=0 RD @04 + 32516.50ns INFO [00032518] Port=1 RD @05 + 32517.50ns INFO [00032519] Port=0 RD @06 + 32517.50ns INFO [00032519] Port=1 RD @05 + 32518.50ns INFO [00032520] * RD COMPARE * port=0 adr=04 act=CECA8611E253A3F68E exp=CECA8611E253A3F68E + 32518.50ns INFO [00032520] * RD COMPARE * port=1 adr=05 act=6FCCF6A079E4ECA5AC exp=6FCCF6A079E4ECA5AC + 32518.50ns INFO [00032520] Port=0 WR @04=7B5E9E2FBE81766643 + 32518.50ns INFO [00032520] Port=0 RD @00 + 32519.50ns INFO [00032521] * RD COMPARE * port=0 adr=06 act=B6C4DBE8C4F0BD1E3E exp=B6C4DBE8C4F0BD1E3E + 32519.50ns INFO [00032521] * RD COMPARE * port=1 adr=05 act=6FCCF6A079E4ECA5AC exp=6FCCF6A079E4ECA5AC + 32519.50ns INFO [00032521] Port=0 WR @04=757271886A4471C69F + 32520.50ns INFO [00032522] * RD COMPARE * port=0 adr=00 act=27AEFA6F8761B105F2 exp=27AEFA6F8761B105F2 + 32521.50ns INFO [00032523] Port=0 WR @05=9B3F5DCFE62B1A064F + 32522.50ns INFO [00032524] Port=0 WR @07=7938864CDF885BC4C4 + 32523.50ns INFO [00032525] Port=1 RD @07 + 32524.50ns INFO [00032526] Port=0 WR @01=1A60D57A4A630007B4 + 32524.50ns INFO [00032526] Port=1 RD @06 + 32525.50ns INFO [00032527] * RD COMPARE * port=1 adr=07 act=7938864CDF885BC4C4 exp=7938864CDF885BC4C4 + 32525.50ns INFO [00032527] Port=0 WR @07=797FFDC1DDCC19DFD2 + 32526.50ns INFO [00032528] * RD COMPARE * port=1 adr=06 act=B6C4DBE8C4F0BD1E3E exp=B6C4DBE8C4F0BD1E3E + 32526.50ns INFO [00032528] Port=0 WR @06=44AEA1A011442E1702 + 32526.50ns INFO [00032528] Port=1 RD @04 + 32527.50ns INFO [00032529] Port=0 WR @06=6AEBEAEBF0C91B92F7 + 32528.50ns INFO [00032530] * RD COMPARE * port=1 adr=04 act=757271886A4471C69F exp=757271886A4471C69F + 32528.50ns INFO [00032530] Port=0 WR @01=28B30A8CAF9294D3F0 + 32528.50ns INFO [00032530] Port=1 RD @06 + 32529.50ns INFO [00032531] Port=1 RD @07 + 32530.50ns INFO [00032532] * RD COMPARE * port=1 adr=06 act=6AEBEAEBF0C91B92F7 exp=6AEBEAEBF0C91B92F7 + 32530.50ns INFO [00032532] Port=0 WR @07=DDF828A3D5124BBA4C + 32531.50ns INFO [00032533] * RD COMPARE * port=1 adr=07 act=797FFDC1DDCC19DFD2 exp=797FFDC1DDCC19DFD2 + 32531.50ns INFO [00032533] Port=0 WR @05=1A1B8D6C15CAC2788C + 32531.50ns INFO [00032533] Port=0 RD @03 + 32533.50ns INFO [00032535] * RD COMPARE * port=0 adr=03 act=F135A4D51BA5227DA9 exp=F135A4D51BA5227DA9 + 32534.50ns INFO [00032536] Port=0 RD @07 + 32534.50ns INFO [00032536] Port=1 RD @06 + 32535.50ns INFO [00032537] Port=0 WR @01=8CB71D02F55604BC12 + 32535.50ns INFO [00032537] Port=1 RD @06 + 32536.50ns INFO [00032538] * RD COMPARE * port=0 adr=07 act=DDF828A3D5124BBA4C exp=DDF828A3D5124BBA4C + 32536.50ns INFO [00032538] * RD COMPARE * port=1 adr=06 act=6AEBEAEBF0C91B92F7 exp=6AEBEAEBF0C91B92F7 + 32536.50ns INFO [00032538] Port=0 RD @00 + 32536.50ns INFO [00032538] Port=1 RD @07 + 32537.50ns INFO [00032539] * RD COMPARE * port=1 adr=06 act=6AEBEAEBF0C91B92F7 exp=6AEBEAEBF0C91B92F7 + 32537.50ns INFO [00032539] Port=0 WR @04=0AB57A9D4F79A839D8 + 32537.50ns INFO [00032539] Port=0 RD @07 + 32538.50ns INFO [00032540] * RD COMPARE * port=0 adr=00 act=27AEFA6F8761B105F2 exp=27AEFA6F8761B105F2 + 32538.50ns INFO [00032540] * RD COMPARE * port=1 adr=07 act=DDF828A3D5124BBA4C exp=DDF828A3D5124BBA4C + 32538.50ns INFO [00032540] Port=1 RD @04 + 32539.50ns INFO [00032541] * RD COMPARE * port=0 adr=07 act=DDF828A3D5124BBA4C exp=DDF828A3D5124BBA4C + 32539.50ns INFO [00032541] Port=0 WR @07=A411CC09D4854A01A9 + 32540.50ns INFO [00032542] * RD COMPARE * port=1 adr=04 act=0AB57A9D4F79A839D8 exp=0AB57A9D4F79A839D8 + 32540.50ns INFO [00032542] Port=1 RD @05 + 32541.50ns INFO [00032543] Port=0 WR @04=8DD130DE31E39D0497 + 32541.50ns INFO [00032543] Port=0 RD @06 + 32541.50ns INFO [00032543] Port=1 RD @07 + 32542.50ns INFO [00032544] * RD COMPARE * port=1 adr=05 act=1A1B8D6C15CAC2788C exp=1A1B8D6C15CAC2788C + 32542.50ns INFO [00032544] Port=0 WR @07=ACAA2426BA364E19C6 + 32543.50ns INFO [00032545] * RD COMPARE * port=0 adr=06 act=6AEBEAEBF0C91B92F7 exp=6AEBEAEBF0C91B92F7 + 32543.50ns INFO [00032545] * RD COMPARE * port=1 adr=07 act=A411CC09D4854A01A9 exp=A411CC09D4854A01A9 + 32544.50ns INFO [00032546] Port=0 RD @04 + 32546.50ns INFO [00032548] * RD COMPARE * port=0 adr=04 act=8DD130DE31E39D0497 exp=8DD130DE31E39D0497 + 32546.50ns INFO [00032548] Port=0 WR @03=B5A665775B17C014BC + 32547.50ns INFO [00032549] Port=0 RD @00 + 32548.50ns INFO [00032550] Port=0 WR @06=F826356613C1733447 + 32549.50ns INFO [00032551] * RD COMPARE * port=0 adr=00 act=27AEFA6F8761B105F2 exp=27AEFA6F8761B105F2 + 32549.50ns INFO [00032551] Port=0 WR @01=2E7D524D0F4C7F8291 + 32549.50ns INFO [00032551] Port=1 RD @05 + 32550.50ns INFO [00032552] Port=1 RD @06 + 32551.50ns INFO [00032553] * RD COMPARE * port=1 adr=05 act=1A1B8D6C15CAC2788C exp=1A1B8D6C15CAC2788C + 32551.50ns INFO [00032553] Port=1 RD @05 + 32552.50ns INFO [00032554] * RD COMPARE * port=1 adr=06 act=F826356613C1733447 exp=F826356613C1733447 + 32552.50ns INFO [00032554] Port=0 WR @05=B942E9EFF350ED1868 + 32552.50ns INFO [00032554] Port=0 RD @00 + 32552.50ns INFO [00032554] Port=1 RD @06 + 32553.50ns INFO [00032555] * RD COMPARE * port=1 adr=05 act=1A1B8D6C15CAC2788C exp=1A1B8D6C15CAC2788C + 32553.50ns INFO [00032555] Port=0 RD @02 + 32554.50ns INFO [00032556] * RD COMPARE * port=0 adr=00 act=27AEFA6F8761B105F2 exp=27AEFA6F8761B105F2 + 32554.50ns INFO [00032556] * RD COMPARE * port=1 adr=06 act=F826356613C1733447 exp=F826356613C1733447 + 32554.50ns INFO [00032556] Port=0 RD @06 + 32555.50ns INFO [00032557] * RD COMPARE * port=0 adr=02 act=DDE971E9B95F30D0A5 exp=DDE971E9B95F30D0A5 + 32555.50ns INFO [00032557] Port=0 WR @02=8E93A2732A83000B36 + 32556.50ns INFO [00032558] * RD COMPARE * port=0 adr=06 act=F826356613C1733447 exp=F826356613C1733447 + 32557.50ns INFO [00032559] Port=0 RD @02 + 32559.50ns INFO [00032561] * RD COMPARE * port=0 adr=02 act=8E93A2732A83000B36 exp=8E93A2732A83000B36 + 32559.50ns INFO [00032561] Port=0 RD @03 + 32561.50ns INFO [00032563] * RD COMPARE * port=0 adr=03 act=B5A665775B17C014BC exp=B5A665775B17C014BC + 32563.50ns INFO [00032565] Port=0 RD @01 + 32563.50ns INFO [00032565] Port=1 RD @06 + 32564.50ns INFO [00032566] Port=0 WR @04=C222A1AA647D402E16 + 32564.50ns INFO [00032566] Port=0 RD @02 + 32565.50ns INFO [00032567] * RD COMPARE * port=0 adr=01 act=2E7D524D0F4C7F8291 exp=2E7D524D0F4C7F8291 + 32565.50ns INFO [00032567] * RD COMPARE * port=1 adr=06 act=F826356613C1733447 exp=F826356613C1733447 + 32565.50ns INFO [00032567] Port=0 RD @06 + 32566.50ns INFO [00032568] * RD COMPARE * port=0 adr=02 act=8E93A2732A83000B36 exp=8E93A2732A83000B36 + 32566.50ns INFO [00032568] Port=0 WR @02=E93434B35DC05E6452 + 32566.50ns INFO [00032568] Port=0 RD @01 + 32567.50ns INFO [00032569] * RD COMPARE * port=0 adr=06 act=F826356613C1733447 exp=F826356613C1733447 + 32567.50ns INFO [00032569] Port=0 WR @06=FDFC70D1839631F4DE + 32568.50ns INFO [00032570] * RD COMPARE * port=0 adr=01 act=2E7D524D0F4C7F8291 exp=2E7D524D0F4C7F8291 + 32568.50ns INFO [00032570] Port=0 WR @03=B1B26AA9F60BD293B2 + 32568.50ns INFO [00032570] Port=0 RD @04 + 32568.50ns INFO [00032570] Port=1 RD @07 + 32569.50ns INFO [00032571] Port=0 WR @00=EEFA84CA393C377D54 + 32569.50ns INFO [00032571] Port=0 RD @02 + 32569.50ns INFO [00032571] Port=1 RD @01 + 32570.50ns INFO [00032572] * RD COMPARE * port=0 adr=04 act=C222A1AA647D402E16 exp=C222A1AA647D402E16 + 32570.50ns INFO [00032572] * RD COMPARE * port=1 adr=07 act=ACAA2426BA364E19C6 exp=ACAA2426BA364E19C6 + 32570.50ns INFO [00032572] Port=0 RD @00 + 32571.50ns INFO [00032573] * RD COMPARE * port=0 adr=02 act=E93434B35DC05E6452 exp=E93434B35DC05E6452 + 32571.50ns INFO [00032573] * RD COMPARE * port=1 adr=01 act=2E7D524D0F4C7F8291 exp=2E7D524D0F4C7F8291 + 32572.50ns INFO [00032574] * RD COMPARE * port=0 adr=00 act=EEFA84CA393C377D54 exp=EEFA84CA393C377D54 + 32572.50ns INFO [00032574] Port=0 WR @05=28B1360AF6DBD604EF + 32573.50ns INFO [00032575] Port=0 RD @01 + 32573.50ns INFO [00032575] Port=1 RD @05 + 32574.50ns INFO [00032576] Port=1 RD @05 + 32575.50ns INFO [00032577] * RD COMPARE * port=0 adr=01 act=2E7D524D0F4C7F8291 exp=2E7D524D0F4C7F8291 + 32575.50ns INFO [00032577] * RD COMPARE * port=1 adr=05 act=28B1360AF6DBD604EF exp=28B1360AF6DBD604EF + 32575.50ns INFO [00032577] Port=0 WR @04=BD245CACDB70F06E7B + 32575.50ns INFO [00032577] Port=0 RD @05 + 32575.50ns INFO [00032577] Port=1 RD @02 + 32576.50ns INFO [00032578] * RD COMPARE * port=1 adr=05 act=28B1360AF6DBD604EF exp=28B1360AF6DBD604EF + 32576.50ns INFO [00032578] Port=0 RD @04 + 32576.50ns INFO [00032578] Port=1 RD @03 + 32577.50ns INFO [00032579] * RD COMPARE * port=0 adr=05 act=28B1360AF6DBD604EF exp=28B1360AF6DBD604EF + 32577.50ns INFO [00032579] * RD COMPARE * port=1 adr=02 act=E93434B35DC05E6452 exp=E93434B35DC05E6452 + 32577.50ns INFO [00032579] Port=0 WR @02=936DB239CE7C38A07D + 32578.50ns INFO [00032580] * RD COMPARE * port=0 adr=04 act=BD245CACDB70F06E7B exp=BD245CACDB70F06E7B + 32578.50ns INFO [00032580] * RD COMPARE * port=1 adr=03 act=B1B26AA9F60BD293B2 exp=B1B26AA9F60BD293B2 + 32578.50ns INFO [00032580] Port=0 WR @05=F481ED8AD7444875AC + 32578.50ns INFO [00032580] Port=1 RD @01 + 32579.50ns INFO [00032581] Port=0 RD @05 + 32580.50ns INFO [00032582] * RD COMPARE * port=1 adr=01 act=2E7D524D0F4C7F8291 exp=2E7D524D0F4C7F8291 + 32580.50ns INFO [00032582] Port=0 WR @03=A2980DB0D36215AC52 + 32580.50ns INFO [00032582] Port=1 RD @00 + 32581.50ns INFO [00032583] * RD COMPARE * port=0 adr=05 act=F481ED8AD7444875AC exp=F481ED8AD7444875AC + 32581.50ns INFO [00032583] Port=1 RD @02 + 32582.50ns INFO [00032584] * RD COMPARE * port=1 adr=00 act=EEFA84CA393C377D54 exp=EEFA84CA393C377D54 + 32582.50ns INFO [00032584] Port=0 WR @02=DF34115AFB3B38E391 + 32582.50ns INFO [00032584] Port=0 RD @04 + 32582.50ns INFO [00032584] Port=1 RD @06 + 32583.50ns INFO [00032585] * RD COMPARE * port=1 adr=02 act=936DB239CE7C38A07D exp=936DB239CE7C38A07D + 32583.50ns INFO [00032585] Port=0 WR @06=EE4DD8BE363BC0449E + 32584.50ns INFO [00032586] * RD COMPARE * port=0 adr=04 act=BD245CACDB70F06E7B exp=BD245CACDB70F06E7B + 32584.50ns INFO [00032586] * RD COMPARE * port=1 adr=06 act=FDFC70D1839631F4DE exp=FDFC70D1839631F4DE + 32584.50ns INFO [00032586] Port=0 WR @05=0EE0EB90642EC8B6AA + 32584.50ns INFO [00032586] Port=1 RD @03 + 32585.50ns INFO [00032587] Port=1 RD @04 + 32586.50ns INFO [00032588] * RD COMPARE * port=1 adr=03 act=A2980DB0D36215AC52 exp=A2980DB0D36215AC52 + 32587.50ns INFO [00032589] * RD COMPARE * port=1 adr=04 act=BD245CACDB70F06E7B exp=BD245CACDB70F06E7B + 32587.50ns INFO [00032589] Port=0 WR @05=CDD024DA66827A1BB7 + 32587.50ns INFO [00032589] Port=0 RD @03 + 32588.50ns INFO [00032590] Port=1 RD @04 + 32589.50ns INFO [00032591] * RD COMPARE * port=0 adr=03 act=A2980DB0D36215AC52 exp=A2980DB0D36215AC52 + 32589.50ns INFO [00032591] Port=0 RD @07 + 32589.50ns INFO [00032591] Port=1 RD @04 + 32590.50ns INFO [00032592] * RD COMPARE * port=1 adr=04 act=BD245CACDB70F06E7B exp=BD245CACDB70F06E7B + 32590.50ns INFO [00032592] Port=0 WR @04=FD08970DB94DE42BD6 + 32590.50ns INFO [00032592] Port=1 RD @06 + 32591.50ns INFO [00032593] * RD COMPARE * port=0 adr=07 act=ACAA2426BA364E19C6 exp=ACAA2426BA364E19C6 + 32591.50ns INFO [00032593] * RD COMPARE * port=1 adr=04 act=BD245CACDB70F06E7B exp=BD245CACDB70F06E7B + 32591.50ns INFO [00032593] Port=0 WR @06=D29154CB10E56EE8A2 + 32591.50ns INFO [00032593] Port=1 RD @01 + 32592.50ns INFO [00032594] * RD COMPARE * port=1 adr=06 act=EE4DD8BE363BC0449E exp=EE4DD8BE363BC0449E + 32592.50ns INFO [00032594] Port=0 WR @05=E9A5B512784D4CF4C5 + 32593.50ns INFO [00032595] * RD COMPARE * port=1 adr=01 act=2E7D524D0F4C7F8291 exp=2E7D524D0F4C7F8291 + 32594.50ns INFO [00032596] Port=0 WR @03=379377C0752BE282EC + 32594.50ns INFO [00032596] Port=0 RD @05 + 32596.50ns INFO [00032598] * RD COMPARE * port=0 adr=05 act=E9A5B512784D4CF4C5 exp=E9A5B512784D4CF4C5 + 32597.50ns INFO [00032599] Port=0 WR @03=55A28FEDD57A365B64 + 32598.00ns INFO [00032600] [00032600] ...tick... + 32599.50ns INFO [00032601] Port=0 WR @06=4F473E661027912BC6 + 32599.50ns INFO [00032601] Port=0 RD @07 + 32600.50ns INFO [00032602] Port=1 RD @06 + 32601.50ns INFO [00032603] * RD COMPARE * port=0 adr=07 act=ACAA2426BA364E19C6 exp=ACAA2426BA364E19C6 + 32602.50ns INFO [00032604] * RD COMPARE * port=1 adr=06 act=4F473E661027912BC6 exp=4F473E661027912BC6 + 32603.50ns INFO [00032605] Port=0 WR @04=7EFD9231629047C82B + 32603.50ns INFO [00032605] Port=1 RD @06 + 32604.50ns INFO [00032606] Port=0 RD @00 + 32604.50ns INFO [00032606] Port=1 RD @07 + 32605.50ns INFO [00032607] * RD COMPARE * port=1 adr=06 act=4F473E661027912BC6 exp=4F473E661027912BC6 + 32605.50ns INFO [00032607] Port=1 RD @03 + 32606.50ns INFO [00032608] * RD COMPARE * port=0 adr=00 act=EEFA84CA393C377D54 exp=EEFA84CA393C377D54 + 32606.50ns INFO [00032608] * RD COMPARE * port=1 adr=07 act=ACAA2426BA364E19C6 exp=ACAA2426BA364E19C6 + 32606.50ns INFO [00032608] Port=0 WR @06=AF1E57834A7EB1D31F + 32606.50ns INFO [00032608] Port=0 RD @00 + 32607.50ns INFO [00032609] * RD COMPARE * port=1 adr=03 act=55A28FEDD57A365B64 exp=55A28FEDD57A365B64 + 32607.50ns INFO [00032609] Port=0 WR @07=93F8C795A0D724A26D + 32607.50ns INFO [00032609] Port=1 RD @06 + 32608.50ns INFO [00032610] * RD COMPARE * port=0 adr=00 act=EEFA84CA393C377D54 exp=EEFA84CA393C377D54 + 32608.50ns INFO [00032610] Port=0 WR @01=76493FF29F243A727C + 32608.50ns INFO [00032610] Port=0 RD @03 + 32609.50ns INFO [00032611] * RD COMPARE * port=1 adr=06 act=AF1E57834A7EB1D31F exp=AF1E57834A7EB1D31F + 32609.50ns INFO [00032611] Port=0 WR @05=A3D927D159F3762A13 + 32610.50ns INFO [00032612] * RD COMPARE * port=0 adr=03 act=55A28FEDD57A365B64 exp=55A28FEDD57A365B64 + 32610.50ns INFO [00032612] Port=0 WR @02=B2CEF65C35C78B9060 + 32610.50ns INFO [00032612] Port=0 RD @04 + 32610.50ns INFO [00032612] Port=1 RD @03 + 32611.50ns INFO [00032613] Port=0 WR @03=68EAF74E872C61BCD7 + 32612.50ns INFO [00032614] * RD COMPARE * port=0 adr=04 act=7EFD9231629047C82B exp=7EFD9231629047C82B + 32612.50ns INFO [00032614] * RD COMPARE * port=1 adr=03 act=55A28FEDD57A365B64 exp=55A28FEDD57A365B64 + 32614.50ns INFO [00032616] Port=0 WR @04=78C8B62E758D9FF48D + 32615.50ns INFO [00032617] Port=0 WR @05=49196F72DE9536880C + 32615.50ns INFO [00032617] Port=1 RD @07 + 32616.50ns INFO [00032618] Port=0 WR @07=B18C1FB587C82F28B9 + 32617.50ns INFO [00032619] * RD COMPARE * port=1 adr=07 act=93F8C795A0D724A26D exp=93F8C795A0D724A26D + 32618.50ns INFO [00032620] Port=0 WR @02=0FD6157400465B962E + 32619.50ns INFO [00032621] Port=0 WR @01=5BB0D234A456EEE952 + 32619.50ns INFO [00032621] Port=1 RD @05 + 32620.50ns INFO [00032622] Port=0 WR @06=AEBDDC812817AE2D2C + 32620.50ns INFO [00032622] Port=0 RD @07 + 32620.50ns INFO [00032622] Port=1 RD @03 + 32621.50ns INFO [00032623] * RD COMPARE * port=1 adr=05 act=49196F72DE9536880C exp=49196F72DE9536880C + 32621.50ns INFO [00032623] Port=0 WR @06=CA309381AEBD43FC98 + 32621.50ns INFO [00032623] Port=0 RD @05 + 32621.50ns INFO [00032623] Port=1 RD @07 + 32622.50ns INFO [00032624] * RD COMPARE * port=0 adr=07 act=B18C1FB587C82F28B9 exp=B18C1FB587C82F28B9 + 32622.50ns INFO [00032624] * RD COMPARE * port=1 adr=03 act=68EAF74E872C61BCD7 exp=68EAF74E872C61BCD7 + 32623.50ns INFO [00032625] * RD COMPARE * port=0 adr=05 act=49196F72DE9536880C exp=49196F72DE9536880C + 32623.50ns INFO [00032625] * RD COMPARE * port=1 adr=07 act=B18C1FB587C82F28B9 exp=B18C1FB587C82F28B9 + 32623.50ns INFO [00032625] Port=0 RD @01 + 32625.50ns INFO [00032627] * RD COMPARE * port=0 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32626.50ns INFO [00032628] Port=1 RD @06 + 32627.50ns INFO [00032629] Port=0 WR @05=A87F018B2E8A354436 + 32627.50ns INFO [00032629] Port=0 RD @07 + 32628.50ns INFO [00032630] * RD COMPARE * port=1 adr=06 act=CA309381AEBD43FC98 exp=CA309381AEBD43FC98 + 32628.50ns INFO [00032630] Port=0 WR @00=A073C81DE64BD4A67D + 32628.50ns INFO [00032630] Port=0 RD @03 + 32629.50ns INFO [00032631] * RD COMPARE * port=0 adr=07 act=B18C1FB587C82F28B9 exp=B18C1FB587C82F28B9 + 32629.50ns INFO [00032631] Port=0 RD @05 + 32630.50ns INFO [00032632] * RD COMPARE * port=0 adr=03 act=68EAF74E872C61BCD7 exp=68EAF74E872C61BCD7 + 32630.50ns INFO [00032632] Port=0 RD @01 + 32630.50ns INFO [00032632] Port=1 RD @07 + 32631.50ns INFO [00032633] * RD COMPARE * port=0 adr=05 act=A87F018B2E8A354436 exp=A87F018B2E8A354436 + 32631.50ns INFO [00032633] Port=0 WR @07=BD889954FFE81F89B2 + 32632.50ns INFO [00032634] * RD COMPARE * port=0 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32632.50ns INFO [00032634] * RD COMPARE * port=1 adr=07 act=B18C1FB587C82F28B9 exp=B18C1FB587C82F28B9 + 32632.50ns INFO [00032634] Port=1 RD @01 + 32633.50ns INFO [00032635] Port=1 RD @00 + 32634.50ns INFO [00032636] * RD COMPARE * port=1 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32634.50ns INFO [00032636] Port=0 WR @02=3FA6B4A1E4003332D9 + 32634.50ns INFO [00032636] Port=1 RD @07 + 32635.50ns INFO [00032637] * RD COMPARE * port=1 adr=00 act=A073C81DE64BD4A67D exp=A073C81DE64BD4A67D + 32635.50ns INFO [00032637] Port=0 WR @07=4589D834D7163DB290 + 32635.50ns INFO [00032637] Port=0 RD @00 + 32635.50ns INFO [00032637] Port=1 RD @00 + 32636.50ns INFO [00032638] * RD COMPARE * port=1 adr=07 act=BD889954FFE81F89B2 exp=BD889954FFE81F89B2 + 32637.50ns INFO [00032639] * RD COMPARE * port=0 adr=00 act=A073C81DE64BD4A67D exp=A073C81DE64BD4A67D + 32637.50ns INFO [00032639] * RD COMPARE * port=1 adr=00 act=A073C81DE64BD4A67D exp=A073C81DE64BD4A67D + 32637.50ns INFO [00032639] Port=0 WR @00=7039F4C1D5C29FEEBA + 32637.50ns INFO [00032639] Port=0 RD @06 + 32638.50ns INFO [00032640] Port=0 WR @06=4BB7E3EC03B3323C29 + 32638.50ns INFO [00032640] Port=1 RD @03 + 32639.50ns INFO [00032641] * RD COMPARE * port=0 adr=06 act=CA309381AEBD43FC98 exp=CA309381AEBD43FC98 + 32639.50ns INFO [00032641] Port=0 WR @04=2F330AA5A9733B5E5F + 32639.50ns INFO [00032641] Port=0 RD @02 + 32640.50ns INFO [00032642] * RD COMPARE * port=1 adr=03 act=68EAF74E872C61BCD7 exp=68EAF74E872C61BCD7 + 32640.50ns INFO [00032642] Port=0 RD @02 + 32641.50ns INFO [00032643] * RD COMPARE * port=0 adr=02 act=3FA6B4A1E4003332D9 exp=3FA6B4A1E4003332D9 + 32642.50ns INFO [00032644] * RD COMPARE * port=0 adr=02 act=3FA6B4A1E4003332D9 exp=3FA6B4A1E4003332D9 + 32642.50ns INFO [00032644] Port=0 WR @05=5D3272052A78AE6B40 + 32642.50ns INFO [00032644] Port=0 RD @01 + 32642.50ns INFO [00032644] Port=1 RD @02 + 32643.50ns INFO [00032645] Port=0 WR @03=02732B33F00A240FAD + 32644.50ns INFO [00032646] * RD COMPARE * port=0 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32644.50ns INFO [00032646] * RD COMPARE * port=1 adr=02 act=3FA6B4A1E4003332D9 exp=3FA6B4A1E4003332D9 + 32644.50ns INFO [00032646] Port=0 WR @06=CFC59163CEAC3A6545 + 32645.50ns INFO [00032647] Port=0 WR @05=4212FF6516D0B29316 + 32645.50ns INFO [00032647] Port=1 RD @07 + 32646.50ns INFO [00032648] Port=0 RD @01 + 32647.50ns INFO [00032649] * RD COMPARE * port=1 adr=07 act=4589D834D7163DB290 exp=4589D834D7163DB290 + 32647.50ns INFO [00032649] Port=0 WR @07=CA578102C567F05E77 + 32648.50ns INFO [00032650] * RD COMPARE * port=0 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32648.50ns INFO [00032650] Port=0 RD @07 + 32648.50ns INFO [00032650] Port=1 RD @01 + 32650.50ns INFO [00032652] * RD COMPARE * port=0 adr=07 act=CA578102C567F05E77 exp=CA578102C567F05E77 + 32650.50ns INFO [00032652] * RD COMPARE * port=1 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32651.50ns INFO [00032653] Port=0 WR @02=F7660FA9F385CFA4D5 + 32651.50ns INFO [00032653] Port=0 RD @06 + 32653.50ns INFO [00032655] * RD COMPARE * port=0 adr=06 act=CFC59163CEAC3A6545 exp=CFC59163CEAC3A6545 + 32653.50ns INFO [00032655] Port=0 WR @00=C01A8A1D3A288FAA81 + 32655.50ns INFO [00032657] Port=1 RD @05 + 32657.50ns INFO [00032659] * RD COMPARE * port=1 adr=05 act=4212FF6516D0B29316 exp=4212FF6516D0B29316 + 32657.50ns INFO [00032659] Port=0 RD @05 + 32657.50ns INFO [00032659] Port=1 RD @00 + 32658.50ns INFO [00032660] Port=0 WR @05=4FC444DA2AAEB72622 + 32658.50ns INFO [00032660] Port=0 RD @03 + 32659.50ns INFO [00032661] * RD COMPARE * port=0 adr=05 act=4212FF6516D0B29316 exp=4212FF6516D0B29316 + 32659.50ns INFO [00032661] * RD COMPARE * port=1 adr=00 act=C01A8A1D3A288FAA81 exp=C01A8A1D3A288FAA81 + 32660.50ns INFO [00032662] * RD COMPARE * port=0 adr=03 act=02732B33F00A240FAD exp=02732B33F00A240FAD + 32660.50ns INFO [00032662] Port=0 WR @07=08E6B134EFF829A57F + 32660.50ns INFO [00032662] Port=1 RD @03 + 32661.50ns INFO [00032663] Port=0 RD @07 + 32662.50ns INFO [00032664] * RD COMPARE * port=1 adr=03 act=02732B33F00A240FAD exp=02732B33F00A240FAD + 32663.50ns INFO [00032665] * RD COMPARE * port=0 adr=07 act=08E6B134EFF829A57F exp=08E6B134EFF829A57F + 32663.50ns INFO [00032665] Port=0 WR @02=FD1F3C42936AE08DE4 + 32663.50ns INFO [00032665] Port=0 RD @01 + 32664.50ns INFO [00032666] Port=0 WR @05=670E64A3B3B5271B00 + 32664.50ns INFO [00032666] Port=1 RD @00 + 32665.50ns INFO [00032667] * RD COMPARE * port=0 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32665.50ns INFO [00032667] Port=0 WR @06=F7A574A69B075DFE5C + 32666.50ns INFO [00032668] * RD COMPARE * port=1 adr=00 act=C01A8A1D3A288FAA81 exp=C01A8A1D3A288FAA81 + 32666.50ns INFO [00032668] Port=0 WR @07=97FF0A13A0562908FE + 32666.50ns INFO [00032668] Port=0 RD @06 + 32668.50ns INFO [00032670] * RD COMPARE * port=0 adr=06 act=F7A574A69B075DFE5C exp=F7A574A69B075DFE5C + 32669.50ns INFO [00032671] Port=0 WR @02=B408C451A19316AD5F + 32670.50ns INFO [00032672] Port=0 RD @00 + 32670.50ns INFO [00032672] Port=1 RD @02 + 32671.50ns INFO [00032673] Port=0 WR @05=45DC9E1A28138A89A7 + 32671.50ns INFO [00032673] Port=0 RD @01 + 32672.50ns INFO [00032674] * RD COMPARE * port=0 adr=00 act=C01A8A1D3A288FAA81 exp=C01A8A1D3A288FAA81 + 32672.50ns INFO [00032674] * RD COMPARE * port=1 adr=02 act=B408C451A19316AD5F exp=B408C451A19316AD5F + 32672.50ns INFO [00032674] Port=0 RD @02 + 32673.50ns INFO [00032675] * RD COMPARE * port=0 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32674.50ns INFO [00032676] * RD COMPARE * port=0 adr=02 act=B408C451A19316AD5F exp=B408C451A19316AD5F + 32674.50ns INFO [00032676] Port=0 RD @04 + 32675.50ns INFO [00032677] Port=0 RD @04 + 32676.50ns INFO [00032678] * RD COMPARE * port=0 adr=04 act=2F330AA5A9733B5E5F exp=2F330AA5A9733B5E5F + 32676.50ns INFO [00032678] Port=0 RD @01 + 32677.50ns INFO [00032679] * RD COMPARE * port=0 adr=04 act=2F330AA5A9733B5E5F exp=2F330AA5A9733B5E5F + 32678.50ns INFO [00032680] * RD COMPARE * port=0 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32678.50ns INFO [00032680] Port=0 WR @02=7B760BC48F5F2F0B61 + 32678.50ns INFO [00032680] Port=0 RD @05 + 32678.50ns INFO [00032680] Port=1 RD @07 + 32679.50ns INFO [00032681] Port=0 RD @02 + 32679.50ns INFO [00032681] Port=1 RD @05 + 32680.50ns INFO [00032682] * RD COMPARE * port=0 adr=05 act=45DC9E1A28138A89A7 exp=45DC9E1A28138A89A7 + 32680.50ns INFO [00032682] * RD COMPARE * port=1 adr=07 act=97FF0A13A0562908FE exp=97FF0A13A0562908FE + 32680.50ns INFO [00032682] Port=0 WR @02=78AA5370456A9A9C86 + 32680.50ns INFO [00032682] Port=1 RD @04 + 32681.50ns INFO [00032683] * RD COMPARE * port=0 adr=02 act=7B760BC48F5F2F0B61 exp=7B760BC48F5F2F0B61 + 32681.50ns INFO [00032683] * RD COMPARE * port=1 adr=05 act=45DC9E1A28138A89A7 exp=45DC9E1A28138A89A7 + 32682.50ns INFO [00032684] * RD COMPARE * port=1 adr=04 act=2F330AA5A9733B5E5F exp=2F330AA5A9733B5E5F + 32682.50ns INFO [00032684] Port=0 WR @03=84442AAA85B7CA246C + 32682.50ns INFO [00032684] Port=1 RD @00 + 32683.50ns INFO [00032685] Port=0 WR @05=910BA2D3C3772F9AE3 + 32684.50ns INFO [00032686] * RD COMPARE * port=1 adr=00 act=C01A8A1D3A288FAA81 exp=C01A8A1D3A288FAA81 + 32685.50ns INFO [00032687] Port=0 RD @03 + 32685.50ns INFO [00032687] Port=1 RD @06 + 32686.50ns INFO [00032688] Port=0 RD @07 + 32687.50ns INFO [00032689] * RD COMPARE * port=0 adr=03 act=84442AAA85B7CA246C exp=84442AAA85B7CA246C + 32687.50ns INFO [00032689] * RD COMPARE * port=1 adr=06 act=F7A574A69B075DFE5C exp=F7A574A69B075DFE5C + 32687.50ns INFO [00032689] Port=0 RD @06 + 32688.50ns INFO [00032690] * RD COMPARE * port=0 adr=07 act=97FF0A13A0562908FE exp=97FF0A13A0562908FE + 32689.50ns INFO [00032691] * RD COMPARE * port=0 adr=06 act=F7A574A69B075DFE5C exp=F7A574A69B075DFE5C + 32689.50ns INFO [00032691] Port=0 WR @03=3C97E67241CD4E19C2 + 32689.50ns INFO [00032691] Port=0 RD @07 + 32690.50ns INFO [00032692] Port=0 WR @04=A40BF83CDBF8E04BC1 + 32690.50ns INFO [00032692] Port=0 RD @02 + 32691.50ns INFO [00032693] * RD COMPARE * port=0 adr=07 act=97FF0A13A0562908FE exp=97FF0A13A0562908FE + 32691.50ns INFO [00032693] Port=0 WR @04=3D0ECB019F950E5C4B + 32691.50ns INFO [00032693] Port=0 RD @05 + 32691.50ns INFO [00032693] Port=1 RD @00 + 32692.50ns INFO [00032694] * RD COMPARE * port=0 adr=02 act=78AA5370456A9A9C86 exp=78AA5370456A9A9C86 + 32692.50ns INFO [00032694] Port=0 RD @00 + 32692.50ns INFO [00032694] Port=1 RD @06 + 32693.50ns INFO [00032695] * RD COMPARE * port=0 adr=05 act=910BA2D3C3772F9AE3 exp=910BA2D3C3772F9AE3 + 32693.50ns INFO [00032695] * RD COMPARE * port=1 adr=00 act=C01A8A1D3A288FAA81 exp=C01A8A1D3A288FAA81 + 32693.50ns INFO [00032695] Port=0 RD @03 + 32693.50ns INFO [00032695] Port=1 RD @07 + 32694.50ns INFO [00032696] * RD COMPARE * port=0 adr=00 act=C01A8A1D3A288FAA81 exp=C01A8A1D3A288FAA81 + 32694.50ns INFO [00032696] * RD COMPARE * port=1 adr=06 act=F7A574A69B075DFE5C exp=F7A574A69B075DFE5C + 32694.50ns INFO [00032696] Port=0 WR @02=9313CF9E0DA684680E + 32695.50ns INFO [00032697] * RD COMPARE * port=0 adr=03 act=3C97E67241CD4E19C2 exp=3C97E67241CD4E19C2 + 32695.50ns INFO [00032697] * RD COMPARE * port=1 adr=07 act=97FF0A13A0562908FE exp=97FF0A13A0562908FE + 32695.50ns INFO [00032697] Port=0 WR @02=5B27F17F892071C9CB + 32695.50ns INFO [00032697] Port=0 RD @00 + 32695.50ns INFO [00032697] Port=1 RD @07 + 32696.50ns INFO [00032698] Port=0 RD @02 + 32697.50ns INFO [00032699] * RD COMPARE * port=0 adr=00 act=C01A8A1D3A288FAA81 exp=C01A8A1D3A288FAA81 + 32697.50ns INFO [00032699] * RD COMPARE * port=1 adr=07 act=97FF0A13A0562908FE exp=97FF0A13A0562908FE + 32697.50ns INFO [00032699] Port=0 RD @00 + 32698.00ns INFO [00032700] [00032700] ...tick... + 32698.50ns INFO [00032700] * RD COMPARE * port=0 adr=02 act=5B27F17F892071C9CB exp=5B27F17F892071C9CB + 32699.50ns INFO [00032701] * RD COMPARE * port=0 adr=00 act=C01A8A1D3A288FAA81 exp=C01A8A1D3A288FAA81 + 32699.50ns INFO [00032701] Port=0 RD @01 + 32699.50ns INFO [00032701] Port=1 RD @07 + 32700.50ns INFO [00032702] Port=0 WR @07=9562C075FB6969CC4A + 32701.50ns INFO [00032703] * RD COMPARE * port=0 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32701.50ns INFO [00032703] * RD COMPARE * port=1 adr=07 act=97FF0A13A0562908FE exp=97FF0A13A0562908FE + 32701.50ns INFO [00032703] Port=1 RD @03 + 32702.50ns INFO [00032704] Port=0 WR @00=A06D5C68FF9B848B5E + 32703.50ns INFO [00032705] * RD COMPARE * port=1 adr=03 act=3C97E67241CD4E19C2 exp=3C97E67241CD4E19C2 + 32703.50ns INFO [00032705] Port=0 RD @07 + 32704.50ns INFO [00032706] Port=0 WR @06=F012949608C71BFE4C + 32705.50ns INFO [00032707] * RD COMPARE * port=0 adr=07 act=9562C075FB6969CC4A exp=9562C075FB6969CC4A + 32705.50ns INFO [00032707] Port=0 WR @00=09D9EA4140D29D58A9 + 32705.50ns INFO [00032707] Port=1 RD @07 + 32706.50ns INFO [00032708] Port=0 WR @02=7D005F344D2B89B31D + 32706.50ns INFO [00032708] Port=1 RD @05 + 32707.50ns INFO [00032709] * RD COMPARE * port=1 adr=07 act=9562C075FB6969CC4A exp=9562C075FB6969CC4A + 32707.50ns INFO [00032709] Port=1 RD @01 + 32708.50ns INFO [00032710] * RD COMPARE * port=1 adr=05 act=910BA2D3C3772F9AE3 exp=910BA2D3C3772F9AE3 + 32708.50ns INFO [00032710] Port=0 RD @03 + 32709.50ns INFO [00032711] * RD COMPARE * port=1 adr=01 act=5BB0D234A456EEE952 exp=5BB0D234A456EEE952 + 32709.50ns INFO [00032711] Port=0 WR @03=41080522DB077635DB + 32710.50ns INFO [00032712] * RD COMPARE * port=0 adr=03 act=3C97E67241CD4E19C2 exp=3C97E67241CD4E19C2 + 32710.50ns INFO [00032712] Port=0 RD @07 + 32710.50ns INFO [00032712] Port=1 RD @07 + 32711.50ns INFO [00032713] Port=0 WR @03=BDDAB4C367D199AED8 + 32712.50ns INFO [00032714] * RD COMPARE * port=0 adr=07 act=9562C075FB6969CC4A exp=9562C075FB6969CC4A + 32712.50ns INFO [00032714] * RD COMPARE * port=1 adr=07 act=9562C075FB6969CC4A exp=9562C075FB6969CC4A + 32712.50ns INFO [00032714] Port=0 RD @04 + 32713.50ns INFO [00032715] Port=0 WR @00=549BA2F100337B204E + 32713.50ns INFO [00032715] Port=0 RD @04 + 32714.50ns INFO [00032716] * RD COMPARE * port=0 adr=04 act=3D0ECB019F950E5C4B exp=3D0ECB019F950E5C4B + 32714.50ns INFO [00032716] Port=1 RD @00 + 32715.50ns INFO [00032717] * RD COMPARE * port=0 adr=04 act=3D0ECB019F950E5C4B exp=3D0ECB019F950E5C4B + 32715.50ns INFO [00032717] Port=0 RD @02 + 32715.50ns INFO [00032717] Port=1 RD @03 + 32716.50ns INFO [00032718] * RD COMPARE * port=1 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32717.50ns INFO [00032719] * RD COMPARE * port=0 adr=02 act=7D005F344D2B89B31D exp=7D005F344D2B89B31D + 32717.50ns INFO [00032719] * RD COMPARE * port=1 adr=03 act=BDDAB4C367D199AED8 exp=BDDAB4C367D199AED8 + 32717.50ns INFO [00032719] Port=0 WR @02=F0D9EEDA8009FE27E2 + 32718.50ns INFO [00032720] Port=0 RD @05 + 32719.50ns INFO [00032721] Port=0 WR @03=2BAB4145E4C1E710F0 + 32720.50ns INFO [00032722] * RD COMPARE * port=0 adr=05 act=910BA2D3C3772F9AE3 exp=910BA2D3C3772F9AE3 + 32720.50ns INFO [00032722] Port=0 WR @01=D1595DB7569B153F94 + 32721.50ns INFO [00032723] Port=1 RD @06 + 32722.50ns INFO [00032724] Port=0 WR @04=83E1AD04978BA9A604 + 32722.50ns INFO [00032724] Port=1 RD @07 + 32723.50ns INFO [00032725] * RD COMPARE * port=1 adr=06 act=F012949608C71BFE4C exp=F012949608C71BFE4C + 32724.50ns INFO [00032726] * RD COMPARE * port=1 adr=07 act=9562C075FB6969CC4A exp=9562C075FB6969CC4A + 32724.50ns INFO [00032726] Port=0 WR @04=FAC9EBA82F263FC17F + 32724.50ns INFO [00032726] Port=0 RD @00 + 32725.50ns INFO [00032727] Port=1 RD @03 + 32726.50ns INFO [00032728] * RD COMPARE * port=0 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32727.50ns INFO [00032729] * RD COMPARE * port=1 adr=03 act=2BAB4145E4C1E710F0 exp=2BAB4145E4C1E710F0 + 32728.50ns INFO [00032730] Port=0 RD @02 + 32729.50ns INFO [00032731] Port=0 WR @04=05699197E5B4A2F82D + 32729.50ns INFO [00032731] Port=0 RD @05 + 32730.50ns INFO [00032732] * RD COMPARE * port=0 adr=02 act=F0D9EEDA8009FE27E2 exp=F0D9EEDA8009FE27E2 + 32730.50ns INFO [00032732] Port=1 RD @01 + 32731.50ns INFO [00032733] * RD COMPARE * port=0 adr=05 act=910BA2D3C3772F9AE3 exp=910BA2D3C3772F9AE3 + 32732.50ns INFO [00032734] * RD COMPARE * port=1 adr=01 act=D1595DB7569B153F94 exp=D1595DB7569B153F94 + 32732.50ns INFO [00032734] Port=1 RD @04 + 32733.50ns INFO [00032735] Port=0 RD @04 + 32733.50ns INFO [00032735] Port=1 RD @03 + 32734.50ns INFO [00032736] * RD COMPARE * port=1 adr=04 act=05699197E5B4A2F82D exp=05699197E5B4A2F82D + 32735.50ns INFO [00032737] * RD COMPARE * port=0 adr=04 act=05699197E5B4A2F82D exp=05699197E5B4A2F82D + 32735.50ns INFO [00032737] * RD COMPARE * port=1 adr=03 act=2BAB4145E4C1E710F0 exp=2BAB4145E4C1E710F0 + 32735.50ns INFO [00032737] Port=0 WR @06=E40016639755AC876F + 32735.50ns INFO [00032737] Port=1 RD @07 + 32736.50ns INFO [00032738] Port=1 RD @05 + 32737.50ns INFO [00032739] * RD COMPARE * port=1 adr=07 act=9562C075FB6969CC4A exp=9562C075FB6969CC4A + 32737.50ns INFO [00032739] Port=0 WR @05=E700C294DA5EDE7941 + 32737.50ns INFO [00032739] Port=0 RD @06 + 32738.50ns INFO [00032740] * RD COMPARE * port=1 adr=05 act=910BA2D3C3772F9AE3 exp=910BA2D3C3772F9AE3 + 32738.50ns INFO [00032740] Port=0 RD @02 + 32739.50ns INFO [00032741] * RD COMPARE * port=0 adr=06 act=E40016639755AC876F exp=E40016639755AC876F + 32739.50ns INFO [00032741] Port=0 WR @06=9400CDFEDA72BE6E9B + 32740.50ns INFO [00032742] * RD COMPARE * port=0 adr=02 act=F0D9EEDA8009FE27E2 exp=F0D9EEDA8009FE27E2 + 32740.50ns INFO [00032742] Port=0 RD @04 + 32740.50ns INFO [00032742] Port=1 RD @03 + 32741.50ns INFO [00032743] Port=0 WR @03=AA5D05EBCE1AC8B9BE + 32742.50ns INFO [00032744] * RD COMPARE * port=0 adr=04 act=05699197E5B4A2F82D exp=05699197E5B4A2F82D + 32742.50ns INFO [00032744] * RD COMPARE * port=1 adr=03 act=2BAB4145E4C1E710F0 exp=2BAB4145E4C1E710F0 + 32743.50ns INFO [00032745] Port=0 RD @06 + 32744.50ns INFO [00032746] Port=0 RD @06 + 32745.50ns INFO [00032747] * RD COMPARE * port=0 adr=06 act=9400CDFEDA72BE6E9B exp=9400CDFEDA72BE6E9B + 32745.50ns INFO [00032747] Port=0 WR @07=9FA3F03D50180AA023 + 32745.50ns INFO [00032747] Port=1 RD @03 + 32746.50ns INFO [00032748] * RD COMPARE * port=0 adr=06 act=9400CDFEDA72BE6E9B exp=9400CDFEDA72BE6E9B + 32746.50ns INFO [00032748] Port=1 RD @00 + 32747.50ns INFO [00032749] * RD COMPARE * port=1 adr=03 act=AA5D05EBCE1AC8B9BE exp=AA5D05EBCE1AC8B9BE + 32748.50ns INFO [00032750] * RD COMPARE * port=1 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32749.50ns INFO [00032751] Port=0 RD @04 + 32751.50ns INFO [00032753] * RD COMPARE * port=0 adr=04 act=05699197E5B4A2F82D exp=05699197E5B4A2F82D + 32752.50ns INFO [00032754] Port=1 RD @05 + 32753.50ns INFO [00032755] Port=0 WR @03=0F2C782CB663BB2AFC + 32754.50ns INFO [00032756] * RD COMPARE * port=1 adr=05 act=E700C294DA5EDE7941 exp=E700C294DA5EDE7941 + 32754.50ns INFO [00032756] Port=0 WR @02=01691B540455B9F842 + 32754.50ns INFO [00032756] Port=0 RD @07 + 32756.50ns INFO [00032758] * RD COMPARE * port=0 adr=07 act=9FA3F03D50180AA023 exp=9FA3F03D50180AA023 + 32756.50ns INFO [00032758] Port=1 RD @02 + 32757.50ns INFO [00032759] Port=1 RD @06 + 32758.50ns INFO [00032760] * RD COMPARE * port=1 adr=02 act=01691B540455B9F842 exp=01691B540455B9F842 + 32759.50ns INFO [00032761] * RD COMPARE * port=1 adr=06 act=9400CDFEDA72BE6E9B exp=9400CDFEDA72BE6E9B + 32759.50ns INFO [00032761] Port=0 WR @02=CF8B667AEA1E81F295 + 32759.50ns INFO [00032761] Port=0 RD @04 + 32759.50ns INFO [00032761] Port=1 RD @03 + 32761.50ns INFO [00032763] * RD COMPARE * port=0 adr=04 act=05699197E5B4A2F82D exp=05699197E5B4A2F82D + 32761.50ns INFO [00032763] * RD COMPARE * port=1 adr=03 act=0F2C782CB663BB2AFC exp=0F2C782CB663BB2AFC + 32761.50ns INFO [00032763] Port=1 RD @06 + 32762.50ns INFO [00032764] Port=0 RD @07 + 32763.50ns INFO [00032765] * RD COMPARE * port=1 adr=06 act=9400CDFEDA72BE6E9B exp=9400CDFEDA72BE6E9B + 32763.50ns INFO [00032765] Port=1 RD @04 + 32764.50ns INFO [00032766] * RD COMPARE * port=0 adr=07 act=9FA3F03D50180AA023 exp=9FA3F03D50180AA023 + 32765.50ns INFO [00032767] * RD COMPARE * port=1 adr=04 act=05699197E5B4A2F82D exp=05699197E5B4A2F82D + 32765.50ns INFO [00032767] Port=0 WR @06=83E7897010151776F0 + 32765.50ns INFO [00032767] Port=0 RD @00 + 32765.50ns INFO [00032767] Port=1 RD @07 + 32766.50ns INFO [00032768] Port=1 RD @01 + 32767.50ns INFO [00032769] * RD COMPARE * port=0 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32767.50ns INFO [00032769] * RD COMPARE * port=1 adr=07 act=9FA3F03D50180AA023 exp=9FA3F03D50180AA023 + 32768.50ns INFO [00032770] * RD COMPARE * port=1 adr=01 act=D1595DB7569B153F94 exp=D1595DB7569B153F94 + 32769.50ns INFO [00032771] Port=0 RD @00 + 32770.50ns INFO [00032772] Port=0 WR @07=9DB3C0BA64B2F8BB62 + 32770.50ns INFO [00032772] Port=0 RD @00 + 32771.50ns INFO [00032773] * RD COMPARE * port=0 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32771.50ns INFO [00032773] Port=0 RD @04 + 32772.50ns INFO [00032774] * RD COMPARE * port=0 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32772.50ns INFO [00032774] Port=1 RD @00 + 32773.50ns INFO [00032775] * RD COMPARE * port=0 adr=04 act=05699197E5B4A2F82D exp=05699197E5B4A2F82D + 32773.50ns INFO [00032775] Port=0 WR @05=C4C1BAFC56D3D45CAA + 32773.50ns INFO [00032775] Port=1 RD @07 + 32774.50ns INFO [00032776] * RD COMPARE * port=1 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32775.50ns INFO [00032777] * RD COMPARE * port=1 adr=07 act=9DB3C0BA64B2F8BB62 exp=9DB3C0BA64B2F8BB62 + 32775.50ns INFO [00032777] Port=1 RD @06 + 32776.50ns INFO [00032778] Port=1 RD @05 + 32777.50ns INFO [00032779] * RD COMPARE * port=1 adr=06 act=83E7897010151776F0 exp=83E7897010151776F0 + 32778.50ns INFO [00032780] * RD COMPARE * port=1 adr=05 act=C4C1BAFC56D3D45CAA exp=C4C1BAFC56D3D45CAA + 32778.50ns INFO [00032780] Port=1 RD @01 + 32780.50ns INFO [00032782] * RD COMPARE * port=1 adr=01 act=D1595DB7569B153F94 exp=D1595DB7569B153F94 + 32780.50ns INFO [00032782] Port=1 RD @02 + 32781.50ns INFO [00032783] Port=0 RD @07 + 32782.50ns INFO [00032784] * RD COMPARE * port=1 adr=02 act=CF8B667AEA1E81F295 exp=CF8B667AEA1E81F295 + 32782.50ns INFO [00032784] Port=0 WR @07=BAB3747CF76408BC74 + 32782.50ns INFO [00032784] Port=0 RD @01 + 32782.50ns INFO [00032784] Port=1 RD @02 + 32783.50ns INFO [00032785] * RD COMPARE * port=0 adr=07 act=9DB3C0BA64B2F8BB62 exp=9DB3C0BA64B2F8BB62 + 32783.50ns INFO [00032785] Port=0 WR @05=1D21559BEC74D5CEFE + 32783.50ns INFO [00032785] Port=0 RD @03 + 32784.50ns INFO [00032786] * RD COMPARE * port=0 adr=01 act=D1595DB7569B153F94 exp=D1595DB7569B153F94 + 32784.50ns INFO [00032786] * RD COMPARE * port=1 adr=02 act=CF8B667AEA1E81F295 exp=CF8B667AEA1E81F295 + 32784.50ns INFO [00032786] Port=0 RD @02 + 32784.50ns INFO [00032786] Port=1 RD @00 + 32785.50ns INFO [00032787] * RD COMPARE * port=0 adr=03 act=0F2C782CB663BB2AFC exp=0F2C782CB663BB2AFC + 32785.50ns INFO [00032787] Port=0 RD @03 + 32786.50ns INFO [00032788] * RD COMPARE * port=0 adr=02 act=CF8B667AEA1E81F295 exp=CF8B667AEA1E81F295 + 32786.50ns INFO [00032788] * RD COMPARE * port=1 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32786.50ns INFO [00032788] Port=0 WR @06=11574415278A3A9022 + 32786.50ns INFO [00032788] Port=0 RD @01 + 32786.50ns INFO [00032788] Port=1 RD @02 + 32787.50ns INFO [00032789] * RD COMPARE * port=0 adr=03 act=0F2C782CB663BB2AFC exp=0F2C782CB663BB2AFC + 32787.50ns INFO [00032789] Port=0 WR @06=F2933BF26FDC92376E + 32787.50ns INFO [00032789] Port=0 RD @00 + 32788.50ns INFO [00032790] * RD COMPARE * port=0 adr=01 act=D1595DB7569B153F94 exp=D1595DB7569B153F94 + 32788.50ns INFO [00032790] * RD COMPARE * port=1 adr=02 act=CF8B667AEA1E81F295 exp=CF8B667AEA1E81F295 + 32788.50ns INFO [00032790] Port=0 WR @02=D293A2D5FECC3F64CB + 32789.50ns INFO [00032791] * RD COMPARE * port=0 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32789.50ns INFO [00032791] Port=0 WR @05=71AE33EBE460F138C6 + 32790.50ns INFO [00032792] Port=0 WR @02=3D71D004A36B5FCD3F + 32791.50ns INFO [00032793] Port=1 RD @06 + 32792.50ns INFO [00032794] Port=1 RD @02 + 32793.50ns INFO [00032795] * RD COMPARE * port=1 adr=06 act=F2933BF26FDC92376E exp=F2933BF26FDC92376E + 32793.50ns INFO [00032795] Port=0 WR @06=5AD2665A408FFA6654 + 32794.50ns INFO [00032796] * RD COMPARE * port=1 adr=02 act=3D71D004A36B5FCD3F exp=3D71D004A36B5FCD3F + 32795.50ns INFO [00032797] Port=0 RD @05 + 32795.50ns INFO [00032797] Port=1 RD @00 + 32796.50ns INFO [00032798] Port=0 RD @07 + 32797.50ns INFO [00032799] * RD COMPARE * port=0 adr=05 act=71AE33EBE460F138C6 exp=71AE33EBE460F138C6 + 32797.50ns INFO [00032799] * RD COMPARE * port=1 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32797.50ns INFO [00032799] Port=0 RD @02 + 32798.00ns INFO [00032800] [00032800] ...tick... + 32798.50ns INFO [00032800] * RD COMPARE * port=0 adr=07 act=BAB3747CF76408BC74 exp=BAB3747CF76408BC74 + 32798.50ns INFO [00032800] Port=0 RD @05 + 32798.50ns INFO [00032800] Port=1 RD @02 + 32799.50ns INFO [00032801] * RD COMPARE * port=0 adr=02 act=3D71D004A36B5FCD3F exp=3D71D004A36B5FCD3F + 32799.50ns INFO [00032801] Port=0 WR @05=08E2DEE1D9FD24D4B6 + 32799.50ns INFO [00032801] Port=0 RD @00 + 32800.50ns INFO [00032802] * RD COMPARE * port=0 adr=05 act=71AE33EBE460F138C6 exp=71AE33EBE460F138C6 + 32800.50ns INFO [00032802] * RD COMPARE * port=1 adr=02 act=3D71D004A36B5FCD3F exp=3D71D004A36B5FCD3F + 32801.50ns INFO [00032803] * RD COMPARE * port=0 adr=00 act=549BA2F100337B204E exp=549BA2F100337B204E + 32801.50ns INFO [00032803] Port=0 WR @02=8C276AA3DE645876A8 + 32801.50ns INFO [00032803] Port=0 RD @05 + 32802.50ns INFO [00032804] Port=0 WR @01=66847267DA9A4EA743 + 32802.50ns INFO [00032804] Port=0 RD @05 + 32803.50ns INFO [00032805] * RD COMPARE * port=0 adr=05 act=08E2DEE1D9FD24D4B6 exp=08E2DEE1D9FD24D4B6 + 32803.50ns INFO [00032805] Port=0 WR @05=8DA9F5532AA517E764 + 32803.50ns INFO [00032805] Port=1 RD @01 + 32804.50ns INFO [00032806] * RD COMPARE * port=0 adr=05 act=08E2DEE1D9FD24D4B6 exp=08E2DEE1D9FD24D4B6 + 32804.50ns INFO [00032806] Port=0 RD @01 + 32805.50ns INFO [00032807] * RD COMPARE * port=1 adr=01 act=66847267DA9A4EA743 exp=66847267DA9A4EA743 + 32805.50ns INFO [00032807] Port=0 RD @01 + 32805.50ns INFO [00032807] Port=1 RD @07 + 32806.50ns INFO [00032808] * RD COMPARE * port=0 adr=01 act=66847267DA9A4EA743 exp=66847267DA9A4EA743 + 32806.50ns INFO [00032808] Port=0 WR @00=CCE34E3760FE09D417 + 32806.50ns INFO [00032808] Port=0 RD @05 + 32807.50ns INFO [00032809] * RD COMPARE * port=0 adr=01 act=66847267DA9A4EA743 exp=66847267DA9A4EA743 + 32807.50ns INFO [00032809] * RD COMPARE * port=1 adr=07 act=BAB3747CF76408BC74 exp=BAB3747CF76408BC74 + 32808.50ns INFO [00032810] * RD COMPARE * port=0 adr=05 act=8DA9F5532AA517E764 exp=8DA9F5532AA517E764 + 32808.50ns INFO [00032810] Port=1 RD @02 + 32809.50ns INFO [00032811] Port=0 RD @02 + 32810.50ns INFO [00032812] * RD COMPARE * port=1 adr=02 act=8C276AA3DE645876A8 exp=8C276AA3DE645876A8 + 32810.50ns INFO [00032812] Port=0 WR @04=82D4599D3C63FBAE55 + 32810.50ns INFO [00032812] Port=0 RD @06 + 32810.50ns INFO [00032812] Port=1 RD @07 + 32811.50ns INFO [00032813] * RD COMPARE * port=0 adr=02 act=8C276AA3DE645876A8 exp=8C276AA3DE645876A8 + 32812.50ns INFO [00032814] * RD COMPARE * port=0 adr=06 act=5AD2665A408FFA6654 exp=5AD2665A408FFA6654 + 32812.50ns INFO [00032814] * RD COMPARE * port=1 adr=07 act=BAB3747CF76408BC74 exp=BAB3747CF76408BC74 + 32813.50ns INFO [00032815] Port=0 RD @04 + 32814.50ns INFO [00032816] Port=0 RD @06 + 32815.50ns INFO [00032817] * RD COMPARE * port=0 adr=04 act=82D4599D3C63FBAE55 exp=82D4599D3C63FBAE55 + 32816.50ns INFO [00032818] * RD COMPARE * port=0 adr=06 act=5AD2665A408FFA6654 exp=5AD2665A408FFA6654 + 32816.50ns INFO [00032818] Port=0 WR @00=53DC22B5E1D05C6F6B + 32816.50ns INFO [00032818] Port=0 RD @04 + 32817.50ns INFO [00032819] Port=0 WR @04=655C86620313206D3C + 32817.50ns INFO [00032819] Port=1 RD @00 + 32818.50ns INFO [00032820] * RD COMPARE * port=0 adr=04 act=82D4599D3C63FBAE55 exp=82D4599D3C63FBAE55 + 32818.50ns INFO [00032820] Port=0 RD @00 + 32819.50ns INFO [00032821] * RD COMPARE * port=1 adr=00 act=53DC22B5E1D05C6F6B exp=53DC22B5E1D05C6F6B + 32820.50ns INFO [00032822] * RD COMPARE * port=0 adr=00 act=53DC22B5E1D05C6F6B exp=53DC22B5E1D05C6F6B + 32820.50ns INFO [00032822] Port=1 RD @00 + 32822.50ns INFO [00032824] * RD COMPARE * port=1 adr=00 act=53DC22B5E1D05C6F6B exp=53DC22B5E1D05C6F6B + 32824.50ns INFO [00032826] Port=0 RD @02 + 32825.50ns INFO [00032827] Port=0 WR @03=C3F8661A3B9F0F9EEF + 32825.50ns INFO [00032827] Port=1 RD @06 + 32826.50ns INFO [00032828] * RD COMPARE * port=0 adr=02 act=8C276AA3DE645876A8 exp=8C276AA3DE645876A8 + 32826.50ns INFO [00032828] Port=0 WR @00=86735B8548B4C258B7 + 32827.50ns INFO [00032829] * RD COMPARE * port=1 adr=06 act=5AD2665A408FFA6654 exp=5AD2665A408FFA6654 + 32827.50ns INFO [00032829] Port=0 WR @07=25FA61450A72FF7D06 + 32828.50ns INFO [00032830] Port=0 WR @06=98418E4BD855C8170E + 32830.50ns INFO [00032832] Port=0 WR @07=F660475F1565691F0A + 32830.50ns INFO [00032832] Port=0 RD @05 + 32831.50ns INFO [00032833] Port=0 RD @01 + 32832.50ns INFO [00032834] * RD COMPARE * port=0 adr=05 act=8DA9F5532AA517E764 exp=8DA9F5532AA517E764 + 32832.50ns INFO [00032834] Port=0 RD @01 + 32832.50ns INFO [00032834] Port=1 RD @05 + 32833.50ns INFO [00032835] * RD COMPARE * port=0 adr=01 act=66847267DA9A4EA743 exp=66847267DA9A4EA743 + 32833.50ns INFO [00032835] Port=0 RD @03 + 32833.50ns INFO [00032835] Port=1 RD @00 + 32834.50ns INFO [00032836] * RD COMPARE * port=0 adr=01 act=66847267DA9A4EA743 exp=66847267DA9A4EA743 + 32834.50ns INFO [00032836] * RD COMPARE * port=1 adr=05 act=8DA9F5532AA517E764 exp=8DA9F5532AA517E764 + 32834.50ns INFO [00032836] Port=0 WR @01=4CFBC90488281685EC + 32834.50ns INFO [00032836] Port=1 RD @03 + 32835.50ns INFO [00032837] * RD COMPARE * port=0 adr=03 act=C3F8661A3B9F0F9EEF exp=C3F8661A3B9F0F9EEF + 32835.50ns INFO [00032837] * RD COMPARE * port=1 adr=00 act=86735B8548B4C258B7 exp=86735B8548B4C258B7 + 32835.50ns INFO [00032837] Port=0 WR @04=11DD6FF8AA042646A1 + 32835.50ns INFO [00032837] Port=1 RD @01 + 32836.50ns INFO [00032838] * RD COMPARE * port=1 adr=03 act=C3F8661A3B9F0F9EEF exp=C3F8661A3B9F0F9EEF + 32837.50ns INFO [00032839] * RD COMPARE * port=1 adr=01 act=4CFBC90488281685EC exp=4CFBC90488281685EC + 32838.50ns INFO [00032840] Port=0 WR @03=8E01F7BC24584E1027 + 32838.50ns INFO [00032840] Port=0 RD @02 + 32838.50ns INFO [00032840] Port=1 RD @01 + 32840.50ns INFO [00032842] * RD COMPARE * port=0 adr=02 act=8C276AA3DE645876A8 exp=8C276AA3DE645876A8 + 32840.50ns INFO [00032842] * RD COMPARE * port=1 adr=01 act=4CFBC90488281685EC exp=4CFBC90488281685EC + 32843.50ns INFO [00032845] Port=0 RD @04 + 32844.50ns INFO [00032846] Port=0 WR @04=96ED18E60EC5B2313B + 32845.50ns INFO [00032847] * RD COMPARE * port=0 adr=04 act=11DD6FF8AA042646A1 exp=11DD6FF8AA042646A1 + 32845.50ns INFO [00032847] Port=0 WR @02=44B604DD6F61BD4ABC + 32846.50ns INFO [00032848] Port=1 RD @02 + 32847.50ns INFO [00032849] Port=0 WR @07=6D359006DAB6D1191A + 32847.50ns INFO [00032849] Port=1 RD @06 + 32848.50ns INFO [00032850] * RD COMPARE * port=1 adr=02 act=44B604DD6F61BD4ABC exp=44B604DD6F61BD4ABC + 32849.50ns INFO [00032851] * RD COMPARE * port=1 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32849.50ns INFO [00032851] Port=0 WR @01=4BAE477A1F3C0CF308 + 32849.50ns INFO [00032851] Port=1 RD @02 + 32850.50ns INFO [00032852] Port=0 WR @02=5B358CF6667336A9BC + 32851.50ns INFO [00032853] * RD COMPARE * port=1 adr=02 act=44B604DD6F61BD4ABC exp=44B604DD6F61BD4ABC + 32851.50ns INFO [00032853] Port=0 RD @06 + 32853.50ns INFO [00032855] * RD COMPARE * port=0 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32853.50ns INFO [00032855] Port=1 RD @05 + 32854.50ns INFO [00032856] Port=1 RD @04 + 32855.50ns INFO [00032857] * RD COMPARE * port=1 adr=05 act=8DA9F5532AA517E764 exp=8DA9F5532AA517E764 + 32856.50ns INFO [00032858] * RD COMPARE * port=1 adr=04 act=96ED18E60EC5B2313B exp=96ED18E60EC5B2313B + 32856.50ns INFO [00032858] Port=0 RD @02 + 32856.50ns INFO [00032858] Port=1 RD @06 + 32857.50ns INFO [00032859] Port=0 RD @02 + 32858.50ns INFO [00032860] * RD COMPARE * port=0 adr=02 act=5B358CF6667336A9BC exp=5B358CF6667336A9BC + 32858.50ns INFO [00032860] * RD COMPARE * port=1 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32858.50ns INFO [00032860] Port=0 WR @01=24D37E3E2961BA39BC + 32858.50ns INFO [00032860] Port=0 RD @05 + 32859.50ns INFO [00032861] * RD COMPARE * port=0 adr=02 act=5B358CF6667336A9BC exp=5B358CF6667336A9BC + 32859.50ns INFO [00032861] Port=0 RD @00 + 32859.50ns INFO [00032861] Port=1 RD @01 + 32860.50ns INFO [00032862] * RD COMPARE * port=0 adr=05 act=8DA9F5532AA517E764 exp=8DA9F5532AA517E764 + 32860.50ns INFO [00032862] Port=1 RD @01 + 32861.50ns INFO [00032863] * RD COMPARE * port=0 adr=00 act=86735B8548B4C258B7 exp=86735B8548B4C258B7 + 32861.50ns INFO [00032863] * RD COMPARE * port=1 adr=01 act=24D37E3E2961BA39BC exp=24D37E3E2961BA39BC + 32861.50ns INFO [00032863] Port=0 WR @07=299C2EBD9AF8CC3717 + 32862.50ns INFO [00032864] * RD COMPARE * port=1 adr=01 act=24D37E3E2961BA39BC exp=24D37E3E2961BA39BC + 32862.50ns INFO [00032864] Port=0 RD @03 + 32863.50ns INFO [00032865] Port=0 WR @04=4B5E49F7D5072152D1 + 32864.50ns INFO [00032866] * RD COMPARE * port=0 adr=03 act=8E01F7BC24584E1027 exp=8E01F7BC24584E1027 + 32864.50ns INFO [00032866] Port=0 RD @04 + 32864.50ns INFO [00032866] Port=1 RD @06 + 32865.50ns INFO [00032867] Port=0 WR @07=8DEBF107622611B45E + 32865.50ns INFO [00032867] Port=1 RD @01 + 32866.50ns INFO [00032868] * RD COMPARE * port=0 adr=04 act=4B5E49F7D5072152D1 exp=4B5E49F7D5072152D1 + 32866.50ns INFO [00032868] * RD COMPARE * port=1 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32866.50ns INFO [00032868] Port=0 RD @00 + 32866.50ns INFO [00032868] Port=1 RD @06 + 32867.50ns INFO [00032869] * RD COMPARE * port=1 adr=01 act=24D37E3E2961BA39BC exp=24D37E3E2961BA39BC + 32867.50ns INFO [00032869] Port=0 WR @03=0AFB81B0C29CA0FAC5 + 32868.50ns INFO [00032870] * RD COMPARE * port=0 adr=00 act=86735B8548B4C258B7 exp=86735B8548B4C258B7 + 32868.50ns INFO [00032870] * RD COMPARE * port=1 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32868.50ns INFO [00032870] Port=0 RD @06 + 32870.50ns INFO [00032872] * RD COMPARE * port=0 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32871.50ns INFO [00032873] Port=1 RD @02 + 32872.50ns INFO [00032874] Port=0 RD @06 + 32872.50ns INFO [00032874] Port=1 RD @03 + 32873.50ns INFO [00032875] * RD COMPARE * port=1 adr=02 act=5B358CF6667336A9BC exp=5B358CF6667336A9BC + 32873.50ns INFO [00032875] Port=1 RD @02 + 32874.50ns INFO [00032876] * RD COMPARE * port=0 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32874.50ns INFO [00032876] * RD COMPARE * port=1 adr=03 act=0AFB81B0C29CA0FAC5 exp=0AFB81B0C29CA0FAC5 + 32874.50ns INFO [00032876] Port=0 WR @07=DA408181D545C16487 + 32874.50ns INFO [00032876] Port=0 RD @00 + 32875.50ns INFO [00032877] * RD COMPARE * port=1 adr=02 act=5B358CF6667336A9BC exp=5B358CF6667336A9BC + 32876.50ns INFO [00032878] * RD COMPARE * port=0 adr=00 act=86735B8548B4C258B7 exp=86735B8548B4C258B7 + 32876.50ns INFO [00032878] Port=1 RD @07 + 32877.50ns INFO [00032879] Port=0 WR @03=B49F330DD10215DEB7 + 32878.50ns INFO [00032880] * RD COMPARE * port=1 adr=07 act=DA408181D545C16487 exp=DA408181D545C16487 + 32878.50ns INFO [00032880] Port=0 RD @06 + 32878.50ns INFO [00032880] Port=1 RD @02 + 32879.50ns INFO [00032881] Port=1 RD @00 + 32880.50ns INFO [00032882] * RD COMPARE * port=0 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32880.50ns INFO [00032882] * RD COMPARE * port=1 adr=02 act=5B358CF6667336A9BC exp=5B358CF6667336A9BC + 32881.50ns INFO [00032883] * RD COMPARE * port=1 adr=00 act=86735B8548B4C258B7 exp=86735B8548B4C258B7 + 32881.50ns INFO [00032883] Port=0 RD @07 + 32882.50ns INFO [00032884] Port=0 RD @02 + 32883.50ns INFO [00032885] * RD COMPARE * port=0 adr=07 act=DA408181D545C16487 exp=DA408181D545C16487 + 32883.50ns INFO [00032885] Port=1 RD @06 + 32884.50ns INFO [00032886] * RD COMPARE * port=0 adr=02 act=5B358CF6667336A9BC exp=5B358CF6667336A9BC + 32885.50ns INFO [00032887] * RD COMPARE * port=1 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32885.50ns INFO [00032887] Port=0 WR @00=5741F06C4C8E15FC27 + 32885.50ns INFO [00032887] Port=0 RD @01 + 32886.50ns INFO [00032888] Port=0 RD @00 + 32886.50ns INFO [00032888] Port=1 RD @00 + 32887.50ns INFO [00032889] * RD COMPARE * port=0 adr=01 act=24D37E3E2961BA39BC exp=24D37E3E2961BA39BC + 32887.50ns INFO [00032889] Port=0 RD @06 + 32887.50ns INFO [00032889] Port=1 RD @04 + 32888.50ns INFO [00032890] * RD COMPARE * port=0 adr=00 act=5741F06C4C8E15FC27 exp=5741F06C4C8E15FC27 + 32888.50ns INFO [00032890] * RD COMPARE * port=1 adr=00 act=5741F06C4C8E15FC27 exp=5741F06C4C8E15FC27 + 32889.50ns INFO [00032891] * RD COMPARE * port=0 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32889.50ns INFO [00032891] * RD COMPARE * port=1 adr=04 act=4B5E49F7D5072152D1 exp=4B5E49F7D5072152D1 + 32889.50ns INFO [00032891] Port=0 WR @05=824CC49EA1B54A1400 + 32889.50ns INFO [00032891] Port=0 RD @02 + 32890.50ns INFO [00032892] Port=0 WR @02=B2AF4B36017CDD8573 + 32890.50ns INFO [00032892] Port=1 RD @00 + 32891.50ns INFO [00032893] * RD COMPARE * port=0 adr=02 act=5B358CF6667336A9BC exp=5B358CF6667336A9BC + 32891.50ns INFO [00032893] Port=0 WR @02=009DCAD76F8E555D23 + 32892.50ns INFO [00032894] * RD COMPARE * port=1 adr=00 act=5741F06C4C8E15FC27 exp=5741F06C4C8E15FC27 + 32892.50ns INFO [00032894] Port=1 RD @07 + 32893.50ns INFO [00032895] Port=0 WR @03=9B4CC909893D972DFA + 32893.50ns INFO [00032895] Port=0 RD @06 + 32894.50ns INFO [00032896] * RD COMPARE * port=1 adr=07 act=DA408181D545C16487 exp=DA408181D545C16487 + 32894.50ns INFO [00032896] Port=0 RD @03 + 32895.50ns INFO [00032897] * RD COMPARE * port=0 adr=06 act=98418E4BD855C8170E exp=98418E4BD855C8170E + 32896.50ns INFO [00032898] * RD COMPARE * port=0 adr=03 act=9B4CC909893D972DFA exp=9B4CC909893D972DFA + 32896.50ns INFO [00032898] Port=0 RD @02 + 32897.50ns INFO [00032899] Port=0 WR @04=CC912618E3175C0484 + 32897.50ns INFO [00032899] Port=0 RD @03 + 32898.00ns INFO [00032900] [00032900] ...tick... + 32898.50ns INFO [00032900] * RD COMPARE * port=0 adr=02 act=009DCAD76F8E555D23 exp=009DCAD76F8E555D23 + 32898.50ns INFO [00032900] Port=0 WR @06=65256E39A916713269 + 32899.50ns INFO [00032901] * RD COMPARE * port=0 adr=03 act=9B4CC909893D972DFA exp=9B4CC909893D972DFA + 32900.50ns INFO [00032902] Port=0 RD @06 + 32900.50ns INFO [00032902] Port=1 RD @07 + 32902.50ns INFO [00032904] * RD COMPARE * port=0 adr=06 act=65256E39A916713269 exp=65256E39A916713269 + 32902.50ns INFO [00032904] * RD COMPARE * port=1 adr=07 act=DA408181D545C16487 exp=DA408181D545C16487 + 32903.50ns INFO [00032905] Port=1 RD @04 + 32904.50ns INFO [00032906] Port=0 WR @07=4DB05CB1E96817EADF + 32905.50ns INFO [00032907] * RD COMPARE * port=1 adr=04 act=CC912618E3175C0484 exp=CC912618E3175C0484 + 32906.50ns INFO [00032908] Port=0 WR @03=D2788990121D0628CB + 32906.50ns INFO [00032908] Port=1 RD @02 + 32908.50ns INFO [00032910] * RD COMPARE * port=1 adr=02 act=009DCAD76F8E555D23 exp=009DCAD76F8E555D23 + 32908.50ns INFO [00032910] Port=0 WR @05=F641C4E50EB49A0933 + 32908.50ns INFO [00032910] Port=1 RD @00 + 32909.50ns INFO [00032911] Port=0 WR @07=C4F3CA8ABAF9A914E0 + 32910.50ns INFO [00032912] * RD COMPARE * port=1 adr=00 act=5741F06C4C8E15FC27 exp=5741F06C4C8E15FC27 + 32911.50ns INFO [00032913] Port=0 WR @00=9577F6C1C40840C731 + 32911.50ns INFO [00032913] Port=0 RD @03 + 32912.50ns INFO [00032914] Port=0 RD @07 + 32912.50ns INFO [00032914] Port=1 RD @07 + 32913.50ns INFO [00032915] * RD COMPARE * port=0 adr=03 act=D2788990121D0628CB exp=D2788990121D0628CB + 32914.50ns INFO [00032916] * RD COMPARE * port=0 adr=07 act=C4F3CA8ABAF9A914E0 exp=C4F3CA8ABAF9A914E0 + 32914.50ns INFO [00032916] * RD COMPARE * port=1 adr=07 act=C4F3CA8ABAF9A914E0 exp=C4F3CA8ABAF9A914E0 + 32915.50ns INFO [00032917] Port=1 RD @06 + 32916.50ns INFO [00032918] Port=0 WR @00=B5891AEC9C3A031F9F + 32916.50ns INFO [00032918] Port=0 RD @06 + 32916.50ns INFO [00032918] Port=1 RD @05 + 32917.50ns INFO [00032919] * RD COMPARE * port=1 adr=06 act=65256E39A916713269 exp=65256E39A916713269 + 32917.50ns INFO [00032919] Port=0 WR @06=EEE446F0B857098202 + 32917.50ns INFO [00032919] Port=0 RD @05 + 32918.50ns INFO [00032920] * RD COMPARE * port=0 adr=06 act=65256E39A916713269 exp=65256E39A916713269 + 32918.50ns INFO [00032920] * RD COMPARE * port=1 adr=05 act=F641C4E50EB49A0933 exp=F641C4E50EB49A0933 + 32918.50ns INFO [00032920] Port=0 RD @04 + 32918.50ns INFO [00032920] Port=1 RD @00 + 32919.50ns INFO [00032921] * RD COMPARE * port=0 adr=05 act=F641C4E50EB49A0933 exp=F641C4E50EB49A0933 + 32919.50ns INFO [00032921] Port=0 WR @07=7240EF928F84D3838E + 32920.50ns INFO [00032922] * RD COMPARE * port=0 adr=04 act=CC912618E3175C0484 exp=CC912618E3175C0484 + 32920.50ns INFO [00032922] * RD COMPARE * port=1 adr=00 act=B5891AEC9C3A031F9F exp=B5891AEC9C3A031F9F + 32920.50ns INFO [00032922] Port=0 WR @05=B2EE6460A11C0EBF65 + 32921.50ns INFO [00032923] Port=0 RD @03 + 32922.50ns INFO [00032924] Port=1 RD @01 + 32923.50ns INFO [00032925] * RD COMPARE * port=0 adr=03 act=D2788990121D0628CB exp=D2788990121D0628CB + 32923.50ns INFO [00032925] Port=1 RD @02 + 32924.50ns INFO [00032926] * RD COMPARE * port=1 adr=01 act=24D37E3E2961BA39BC exp=24D37E3E2961BA39BC + 32924.50ns INFO [00032926] Port=0 WR @06=C8892BEFE6F78D81FC + 32925.50ns INFO [00032927] * RD COMPARE * port=1 adr=02 act=009DCAD76F8E555D23 exp=009DCAD76F8E555D23 + 32925.50ns INFO [00032927] Port=0 WR @07=CB8060A43AC0C64766 + 32925.50ns INFO [00032927] Port=0 RD @01 + 32927.50ns INFO [00032929] * RD COMPARE * port=0 adr=01 act=24D37E3E2961BA39BC exp=24D37E3E2961BA39BC + 32927.50ns INFO [00032929] Port=1 RD @03 + 32928.50ns INFO [00032930] Port=0 WR @00=20CF6E65895C2C7541 + 32929.50ns INFO [00032931] * RD COMPARE * port=1 adr=03 act=D2788990121D0628CB exp=D2788990121D0628CB + 32929.50ns INFO [00032931] Port=0 WR @05=510D140B305DC759BA + 32929.50ns INFO [00032931] Port=1 RD @02 + 32930.50ns INFO [00032932] Port=0 WR @07=7D7D05BDA1B80AB293 + 32931.50ns INFO [00032933] * RD COMPARE * port=1 adr=02 act=009DCAD76F8E555D23 exp=009DCAD76F8E555D23 + 32931.50ns INFO [00032933] Port=0 WR @06=6E17939E50EF136AAC + 32931.50ns INFO [00032933] Port=1 RD @05 + 32932.50ns INFO [00032934] Port=0 WR @00=FA752DB4E40130FEA7 + 32932.50ns INFO [00032934] Port=0 RD @01 + 32933.50ns INFO [00032935] * RD COMPARE * port=1 adr=05 act=510D140B305DC759BA exp=510D140B305DC759BA + 32933.50ns INFO [00032935] Port=0 WR @00=6102ABD8C64169E8B4 + 32934.50ns INFO [00032936] * RD COMPARE * port=0 adr=01 act=24D37E3E2961BA39BC exp=24D37E3E2961BA39BC + 32934.50ns INFO [00032936] Port=0 RD @01 + 32935.50ns INFO [00032937] Port=1 RD @07 + 32936.50ns INFO [00032938] * RD COMPARE * port=0 adr=01 act=24D37E3E2961BA39BC exp=24D37E3E2961BA39BC + 32936.50ns INFO [00032938] Port=1 RD @00 + 32937.50ns INFO [00032939] * RD COMPARE * port=1 adr=07 act=7D7D05BDA1B80AB293 exp=7D7D05BDA1B80AB293 + 32937.50ns INFO [00032939] Port=0 RD @02 + 32937.50ns INFO [00032939] Port=1 RD @01 + 32938.50ns INFO [00032940] * RD COMPARE * port=1 adr=00 act=6102ABD8C64169E8B4 exp=6102ABD8C64169E8B4 + 32938.50ns INFO [00032940] Port=0 RD @05 + 32938.50ns INFO [00032940] Port=1 RD @04 + 32939.50ns INFO [00032941] * RD COMPARE * port=0 adr=02 act=009DCAD76F8E555D23 exp=009DCAD76F8E555D23 + 32939.50ns INFO [00032941] * RD COMPARE * port=1 adr=01 act=24D37E3E2961BA39BC exp=24D37E3E2961BA39BC + 32939.50ns INFO [00032941] Port=0 WR @06=66406F9F856C7F9E3D + 32939.50ns INFO [00032941] Port=0 RD @07 + 32940.50ns INFO [00032942] * RD COMPARE * port=0 adr=05 act=510D140B305DC759BA exp=510D140B305DC759BA + 32940.50ns INFO [00032942] * RD COMPARE * port=1 adr=04 act=CC912618E3175C0484 exp=CC912618E3175C0484 + 32940.50ns INFO [00032942] Port=1 RD @07 + 32941.50ns INFO [00032943] * RD COMPARE * port=0 adr=07 act=7D7D05BDA1B80AB293 exp=7D7D05BDA1B80AB293 + 32941.50ns INFO [00032943] Port=0 RD @06 + 32941.50ns INFO [00032943] Port=1 RD @04 + 32942.50ns INFO [00032944] * RD COMPARE * port=1 adr=07 act=7D7D05BDA1B80AB293 exp=7D7D05BDA1B80AB293 + 32942.50ns INFO [00032944] Port=0 RD @04 + 32943.50ns INFO [00032945] * RD COMPARE * port=0 adr=06 act=66406F9F856C7F9E3D exp=66406F9F856C7F9E3D + 32943.50ns INFO [00032945] * RD COMPARE * port=1 adr=04 act=CC912618E3175C0484 exp=CC912618E3175C0484 + 32944.50ns INFO [00032946] * RD COMPARE * port=0 adr=04 act=CC912618E3175C0484 exp=CC912618E3175C0484 + 32944.50ns INFO [00032946] Port=0 WR @02=5C3024D8DCF8D52DFF + 32944.50ns INFO [00032946] Port=0 RD @05 + 32944.50ns INFO [00032946] Port=1 RD @03 + 32945.50ns INFO [00032947] Port=0 WR @02=7CA77CE2AB3663B50C + 32945.50ns INFO [00032947] Port=0 RD @04 + 32946.50ns INFO [00032948] * RD COMPARE * port=0 adr=05 act=510D140B305DC759BA exp=510D140B305DC759BA + 32946.50ns INFO [00032948] * RD COMPARE * port=1 adr=03 act=D2788990121D0628CB exp=D2788990121D0628CB + 32947.50ns INFO [00032949] * RD COMPARE * port=0 adr=04 act=CC912618E3175C0484 exp=CC912618E3175C0484 + 32947.50ns INFO [00032949] Port=1 RD @02 + 32949.50ns INFO [00032951] * RD COMPARE * port=1 adr=02 act=7CA77CE2AB3663B50C exp=7CA77CE2AB3663B50C + 32951.50ns INFO [00032953] Port=0 RD @04 + 32952.50ns INFO [00032954] Port=0 RD @05 + 32953.50ns INFO [00032955] * RD COMPARE * port=0 adr=04 act=CC912618E3175C0484 exp=CC912618E3175C0484 + 32953.50ns INFO [00032955] Port=0 WR @00=709E293337C181ABE5 + 32954.50ns INFO [00032956] * RD COMPARE * port=0 adr=05 act=510D140B305DC759BA exp=510D140B305DC759BA + 32954.50ns INFO [00032956] Port=0 WR @03=8DC5A7CEEC6672D42B + 32954.50ns INFO [00032956] Port=0 RD @05 + 32955.50ns INFO [00032957] Port=0 WR @05=9DED94DD0867705F74 + 32956.50ns INFO [00032958] * RD COMPARE * port=0 adr=05 act=510D140B305DC759BA exp=510D140B305DC759BA + 32956.50ns INFO [00032958] Port=0 WR @03=C0B3D06119913A59D6 + 32957.50ns INFO [00032959] Port=0 RD @06 + 32959.50ns INFO [00032961] * RD COMPARE * port=0 adr=06 act=66406F9F856C7F9E3D exp=66406F9F856C7F9E3D + 32960.50ns INFO [00032962] Port=0 WR @01=61B048F81B385B6A95 + 32960.50ns INFO [00032962] Port=0 RD @06 + 32960.50ns INFO [00032962] Port=1 RD @07 + 32961.50ns INFO [00032963] Port=0 RD @03 + 32962.50ns INFO [00032964] * RD COMPARE * port=0 adr=06 act=66406F9F856C7F9E3D exp=66406F9F856C7F9E3D + 32962.50ns INFO [00032964] * RD COMPARE * port=1 adr=07 act=7D7D05BDA1B80AB293 exp=7D7D05BDA1B80AB293 + 32962.50ns INFO [00032964] Port=0 RD @02 + 32963.50ns INFO [00032965] * RD COMPARE * port=0 adr=03 act=C0B3D06119913A59D6 exp=C0B3D06119913A59D6 + 32963.50ns INFO [00032965] Port=0 RD @04 + 32963.50ns INFO [00032965] Port=1 RD @06 + 32964.50ns INFO [00032966] * RD COMPARE * port=0 adr=02 act=7CA77CE2AB3663B50C exp=7CA77CE2AB3663B50C + 32964.50ns INFO [00032966] Port=0 WR @07=D92971433750EE36E9 + 32964.50ns INFO [00032966] Port=0 RD @02 + 32964.50ns INFO [00032966] Port=1 RD @03 + 32965.50ns INFO [00032967] * RD COMPARE * port=0 adr=04 act=CC912618E3175C0484 exp=CC912618E3175C0484 + 32965.50ns INFO [00032967] * RD COMPARE * port=1 adr=06 act=66406F9F856C7F9E3D exp=66406F9F856C7F9E3D + 32965.50ns INFO [00032967] Port=0 WR @03=B68F3EE76060395CF9 + 32965.50ns INFO [00032967] Port=0 RD @04 + 32966.50ns INFO [00032968] * RD COMPARE * port=0 adr=02 act=7CA77CE2AB3663B50C exp=7CA77CE2AB3663B50C + 32966.50ns INFO [00032968] * RD COMPARE * port=1 adr=03 act=C0B3D06119913A59D6 exp=C0B3D06119913A59D6 + 32966.50ns INFO [00032968] Port=0 WR @04=DCD1A937421898DF0C + 32966.50ns INFO [00032968] Port=1 RD @06 + 32967.50ns INFO [00032969] * RD COMPARE * port=0 adr=04 act=CC912618E3175C0484 exp=CC912618E3175C0484 + 32968.50ns INFO [00032970] * RD COMPARE * port=1 adr=06 act=66406F9F856C7F9E3D exp=66406F9F856C7F9E3D + 32968.50ns INFO [00032970] Port=0 RD @03 + 32968.50ns INFO [00032970] Port=1 RD @07 + 32969.50ns INFO [00032971] Port=0 RD @05 + 32970.50ns INFO [00032972] * RD COMPARE * port=0 adr=03 act=B68F3EE76060395CF9 exp=B68F3EE76060395CF9 + 32970.50ns INFO [00032972] * RD COMPARE * port=1 adr=07 act=D92971433750EE36E9 exp=D92971433750EE36E9 + 32971.50ns INFO [00032973] * RD COMPARE * port=0 adr=05 act=9DED94DD0867705F74 exp=9DED94DD0867705F74 + 32971.50ns INFO [00032973] Port=0 WR @07=D69C64CD96E20A0EAB + 32971.50ns INFO [00032973] Port=1 RD @01 + 32972.50ns INFO [00032974] Port=0 RD @06 + 32972.50ns INFO [00032974] Port=1 RD @05 + 32973.50ns INFO [00032975] * RD COMPARE * port=1 adr=01 act=61B048F81B385B6A95 exp=61B048F81B385B6A95 + 32973.50ns INFO [00032975] Port=0 WR @06=1587BA65FEA56C8EAD + 32974.50ns INFO [00032976] * RD COMPARE * port=0 adr=06 act=66406F9F856C7F9E3D exp=66406F9F856C7F9E3D + 32974.50ns INFO [00032976] * RD COMPARE * port=1 adr=05 act=9DED94DD0867705F74 exp=9DED94DD0867705F74 + 32974.50ns INFO [00032976] Port=0 WR @02=5AD154C6A6B843FA23 + 32975.50ns INFO [00032977] Port=0 WR @01=8CFFF94F4345F66960 + 32975.50ns INFO [00032977] Port=1 RD @02 + 32976.50ns INFO [00032978] Port=0 WR @07=921CDBDCA0CE1969DF + 32976.50ns INFO [00032978] Port=1 RD @00 + 32977.50ns INFO [00032979] * RD COMPARE * port=1 adr=02 act=5AD154C6A6B843FA23 exp=5AD154C6A6B843FA23 + 32978.50ns INFO [00032980] * RD COMPARE * port=1 adr=00 act=709E293337C181ABE5 exp=709E293337C181ABE5 + 32978.50ns INFO [00032980] Port=0 WR @02=95246AC14B9A32B40C + 32979.50ns INFO [00032981] Port=0 WR @03=54E2CAD0322C243905 + 32979.50ns INFO [00032981] Port=0 RD @04 + 32979.50ns INFO [00032981] Port=1 RD @04 + 32980.50ns INFO [00032982] Port=0 WR @03=37D51EB1EED3281F15 + 32981.50ns INFO [00032983] * RD COMPARE * port=0 adr=04 act=DCD1A937421898DF0C exp=DCD1A937421898DF0C + 32981.50ns INFO [00032983] * RD COMPARE * port=1 adr=04 act=DCD1A937421898DF0C exp=DCD1A937421898DF0C + 32981.50ns INFO [00032983] Port=0 WR @06=20B205AFECC989110E + 32981.50ns INFO [00032983] Port=0 RD @05 + 32981.50ns INFO [00032983] Port=1 RD @01 + 32982.50ns INFO [00032984] Port=0 WR @00=D32DA98DE9BB7CDE47 + 32983.50ns INFO [00032985] * RD COMPARE * port=0 adr=05 act=9DED94DD0867705F74 exp=9DED94DD0867705F74 + 32983.50ns INFO [00032985] * RD COMPARE * port=1 adr=01 act=8CFFF94F4345F66960 exp=8CFFF94F4345F66960 + 32983.50ns INFO [00032985] Port=0 RD @02 + 32985.50ns INFO [00032987] * RD COMPARE * port=0 adr=02 act=95246AC14B9A32B40C exp=95246AC14B9A32B40C + 32985.50ns INFO [00032987] Port=0 WR @04=92368598E7331B445E + 32985.50ns INFO [00032987] Port=0 RD @01 + 32985.50ns INFO [00032987] Port=1 RD @01 + 32986.50ns INFO [00032988] Port=0 RD @00 + 32987.50ns INFO [00032989] * RD COMPARE * port=0 adr=01 act=8CFFF94F4345F66960 exp=8CFFF94F4345F66960 + 32987.50ns INFO [00032989] * RD COMPARE * port=1 adr=01 act=8CFFF94F4345F66960 exp=8CFFF94F4345F66960 + 32987.50ns INFO [00032989] Port=0 RD @05 + 32988.50ns INFO [00032990] * RD COMPARE * port=0 adr=00 act=D32DA98DE9BB7CDE47 exp=D32DA98DE9BB7CDE47 + 32988.50ns INFO [00032990] Port=1 RD @06 + 32989.50ns INFO [00032991] * RD COMPARE * port=0 adr=05 act=9DED94DD0867705F74 exp=9DED94DD0867705F74 + 32990.50ns INFO [00032992] * RD COMPARE * port=1 adr=06 act=20B205AFECC989110E exp=20B205AFECC989110E + 32990.50ns INFO [00032992] Port=0 RD @04 + 32991.50ns INFO [00032993] Port=0 WR @06=B9D59F89DC8E51C3C3 + 32992.50ns INFO [00032994] * RD COMPARE * port=0 adr=04 act=92368598E7331B445E exp=92368598E7331B445E + 32992.50ns INFO [00032994] Port=0 RD @00 + 32992.50ns INFO [00032994] Port=1 RD @01 + 32993.50ns INFO [00032995] Port=0 RD @01 + 32994.50ns INFO [00032996] * RD COMPARE * port=0 adr=00 act=D32DA98DE9BB7CDE47 exp=D32DA98DE9BB7CDE47 + 32994.50ns INFO [00032996] * RD COMPARE * port=1 adr=01 act=8CFFF94F4345F66960 exp=8CFFF94F4345F66960 + 32995.50ns INFO [00032997] * RD COMPARE * port=0 adr=01 act=8CFFF94F4345F66960 exp=8CFFF94F4345F66960 + 32995.50ns INFO [00032997] Port=1 RD @00 + 32997.50ns INFO [00032999] * RD COMPARE * port=1 adr=00 act=D32DA98DE9BB7CDE47 exp=D32DA98DE9BB7CDE47 + 32997.50ns INFO [00032999] Port=1 RD @02 + 32998.00ns INFO [00033000] [00033000] ...tick... + 32998.50ns INFO [00033000] Port=0 RD @05 + 32999.50ns INFO [00033001] * RD COMPARE * port=1 adr=02 act=95246AC14B9A32B40C exp=95246AC14B9A32B40C + 33000.50ns INFO [00033002] * RD COMPARE * port=0 adr=05 act=9DED94DD0867705F74 exp=9DED94DD0867705F74 + 33000.50ns INFO [00033002] Port=0 WR @04=D691468AA1D922B01B + 33000.50ns INFO [00033002] Port=1 RD @01 + 33001.50ns INFO [00033003] Port=0 RD @06 + 33001.50ns INFO [00033003] Port=1 RD @05 + 33002.50ns INFO [00033004] * RD COMPARE * port=1 adr=01 act=8CFFF94F4345F66960 exp=8CFFF94F4345F66960 + 33002.50ns INFO [00033004] Port=0 WR @05=6CF0EADB669E64AF0C + 33002.50ns INFO [00033004] Port=0 RD @03 + 33002.50ns INFO [00033004] Port=1 RD @03 + 33003.50ns INFO [00033005] * RD COMPARE * port=0 adr=06 act=B9D59F89DC8E51C3C3 exp=B9D59F89DC8E51C3C3 + 33003.50ns INFO [00033005] * RD COMPARE * port=1 adr=05 act=9DED94DD0867705F74 exp=9DED94DD0867705F74 + 33003.50ns INFO [00033005] Port=0 WR @05=4EFAC31521BB6D67A1 + 33004.50ns INFO [00033006] * RD COMPARE * port=0 adr=03 act=37D51EB1EED3281F15 exp=37D51EB1EED3281F15 + 33004.50ns INFO [00033006] * RD COMPARE * port=1 adr=03 act=37D51EB1EED3281F15 exp=37D51EB1EED3281F15 + 33004.50ns INFO [00033006] Port=0 WR @06=6647DAA43B469E55BD + 33004.50ns INFO [00033006] Port=1 RD @03 + 33005.50ns INFO [00033007] Port=0 RD @06 + 33006.50ns INFO [00033008] * RD COMPARE * port=1 adr=03 act=37D51EB1EED3281F15 exp=37D51EB1EED3281F15 + 33006.50ns INFO [00033008] Port=0 WR @06=00D0AED8D530B5287D + 33006.50ns INFO [00033008] Port=0 RD @02 + 33007.50ns INFO [00033009] * RD COMPARE * port=0 adr=06 act=6647DAA43B469E55BD exp=6647DAA43B469E55BD + 33007.50ns INFO [00033009] Port=0 WR @00=E20588730C0D17B2F0 + 33007.50ns INFO [00033009] Port=1 RD @03 + 33008.50ns INFO [00033010] * RD COMPARE * port=0 adr=02 act=95246AC14B9A32B40C exp=95246AC14B9A32B40C + 33008.50ns INFO [00033010] Port=0 WR @02=9DAD2581AA3ADE7207 + 33008.50ns INFO [00033010] Port=0 RD @06 + 33009.50ns INFO [00033011] * RD COMPARE * port=1 adr=03 act=37D51EB1EED3281F15 exp=37D51EB1EED3281F15 + 33009.50ns INFO [00033011] Port=0 WR @03=C221C80F2901A4E88F + 33009.50ns INFO [00033011] Port=1 RD @07 + 33010.50ns INFO [00033012] * RD COMPARE * port=0 adr=06 act=00D0AED8D530B5287D exp=00D0AED8D530B5287D + 33010.50ns INFO [00033012] Port=0 RD @05 + 33011.50ns INFO [00033013] * RD COMPARE * port=1 adr=07 act=921CDBDCA0CE1969DF exp=921CDBDCA0CE1969DF + 33011.50ns INFO [00033013] Port=0 WR @00=2E3469D8DC21591447 + 33011.50ns INFO [00033013] Port=1 RD @02 + 33012.50ns INFO [00033014] * RD COMPARE * port=0 adr=05 act=4EFAC31521BB6D67A1 exp=4EFAC31521BB6D67A1 + 33013.50ns INFO [00033015] * RD COMPARE * port=1 adr=02 act=9DAD2581AA3ADE7207 exp=9DAD2581AA3ADE7207 + 33013.50ns INFO [00033015] Port=0 RD @05 + 33013.50ns INFO [00033015] Port=1 RD @01 + 33015.50ns INFO [00033017] * RD COMPARE * port=0 adr=05 act=4EFAC31521BB6D67A1 exp=4EFAC31521BB6D67A1 + 33015.50ns INFO [00033017] * RD COMPARE * port=1 adr=01 act=8CFFF94F4345F66960 exp=8CFFF94F4345F66960 + 33015.50ns INFO [00033017] Port=1 RD @03 + 33017.50ns INFO [00033019] * RD COMPARE * port=1 adr=03 act=C221C80F2901A4E88F exp=C221C80F2901A4E88F + 33017.50ns INFO [00033019] Port=1 RD @01 + 33018.50ns INFO [00033020] Port=0 WR @05=5EB28B443C5919EF9F + 33019.50ns INFO [00033021] * RD COMPARE * port=1 adr=01 act=8CFFF94F4345F66960 exp=8CFFF94F4345F66960 + 33019.50ns INFO [00033021] Port=1 RD @04 + 33020.50ns INFO [00033022] Port=0 WR @04=8CE92CDA6EB090D154 + 33021.50ns INFO [00033023] * RD COMPARE * port=1 adr=04 act=D691468AA1D922B01B exp=D691468AA1D922B01B + 33021.50ns INFO [00033023] Port=1 RD @01 + 33023.50ns INFO [00033025] * RD COMPARE * port=1 adr=01 act=8CFFF94F4345F66960 exp=8CFFF94F4345F66960 + 33023.50ns INFO [00033025] Port=0 WR @04=0D241D96A3581C11C5 + 33024.50ns INFO [00033026] Port=0 WR @01=BD81ABB54105020A14 + 33024.50ns INFO [00033026] Port=0 RD @02 + 33025.50ns INFO [00033027] Port=0 WR @00=2EC8622A636B8B479C + 33026.50ns INFO [00033028] * RD COMPARE * port=0 adr=02 act=9DAD2581AA3ADE7207 exp=9DAD2581AA3ADE7207 + 33027.50ns INFO [00033029] Port=0 WR @00=9C78414D7811C6D88C + 33027.50ns INFO [00033029] Port=0 RD @06 + 33029.50ns INFO [00033031] * RD COMPARE * port=0 adr=06 act=00D0AED8D530B5287D exp=00D0AED8D530B5287D + 33029.50ns INFO [00033031] Port=0 WR @02=20B89B31D5B59ED93E + 33030.50ns INFO [00033032] Port=1 RD @01 + 33031.50ns INFO [00033033] Port=0 RD @03 + 33032.50ns INFO [00033034] * RD COMPARE * port=1 adr=01 act=BD81ABB54105020A14 exp=BD81ABB54105020A14 + 33032.50ns INFO [00033034] Port=0 WR @00=2E3B0C6DA24807AD26 + 33032.50ns INFO [00033034] Port=0 RD @05 + 33033.50ns INFO [00033035] * RD COMPARE * port=0 adr=03 act=C221C80F2901A4E88F exp=C221C80F2901A4E88F + 33033.50ns INFO [00033035] Port=0 WR @07=3A2DF35CE56BD82D60 + 33033.50ns INFO [00033035] Port=1 RD @04 + 33034.50ns INFO [00033036] * RD COMPARE * port=0 adr=05 act=5EB28B443C5919EF9F exp=5EB28B443C5919EF9F + 33035.50ns INFO [00033037] * RD COMPARE * port=1 adr=04 act=0D241D96A3581C11C5 exp=0D241D96A3581C11C5 + 33036.50ns INFO [00033038] Port=0 WR @02=07AA473BC0F822E9D0 + 33037.50ns INFO [00033039] Port=0 RD @04 + 33037.50ns INFO [00033039] Port=1 RD @07 + 33039.50ns INFO [00033041] * RD COMPARE * port=0 adr=04 act=0D241D96A3581C11C5 exp=0D241D96A3581C11C5 + 33039.50ns INFO [00033041] * RD COMPARE * port=1 adr=07 act=3A2DF35CE56BD82D60 exp=3A2DF35CE56BD82D60 + 33039.50ns INFO [00033041] Port=0 WR @05=48AC6E036E791B86D0 + 33039.50ns INFO [00033041] Port=1 RD @04 + 33040.50ns INFO [00033042] Port=0 WR @03=788E5D924BB0685814 + 33040.50ns INFO [00033042] Port=0 RD @07 + 33041.50ns INFO [00033043] * RD COMPARE * port=1 adr=04 act=0D241D96A3581C11C5 exp=0D241D96A3581C11C5 + 33041.50ns INFO [00033043] Port=0 WR @05=0361B03FCF6AC7A95B + 33041.50ns INFO [00033043] Port=1 RD @00 + 33042.50ns INFO [00033044] * RD COMPARE * port=0 adr=07 act=3A2DF35CE56BD82D60 exp=3A2DF35CE56BD82D60 + 33042.50ns INFO [00033044] Port=0 WR @05=909E4CAF6B37AAF9C0 + 33042.50ns INFO [00033044] Port=0 RD @06 + 33043.50ns INFO [00033045] * RD COMPARE * port=1 adr=00 act=2E3B0C6DA24807AD26 exp=2E3B0C6DA24807AD26 + 33044.50ns INFO [00033046] * RD COMPARE * port=0 adr=06 act=00D0AED8D530B5287D exp=00D0AED8D530B5287D + 33044.50ns INFO [00033046] Port=0 WR @05=CF55B89CA04A01CC2B + 33044.50ns INFO [00033046] Port=1 RD @01 + 33045.50ns INFO [00033047] Port=0 RD @04 + 33046.50ns INFO [00033048] * RD COMPARE * port=1 adr=01 act=BD81ABB54105020A14 exp=BD81ABB54105020A14 + 33046.50ns INFO [00033048] Port=0 WR @01=25FC46C9160D79E75C + 33046.50ns INFO [00033048] Port=0 RD @00 + 33047.50ns INFO [00033049] * RD COMPARE * port=0 adr=04 act=0D241D96A3581C11C5 exp=0D241D96A3581C11C5 + 33047.50ns INFO [00033049] Port=0 WR @07=C13F5B790D07213513 + 33047.50ns INFO [00033049] Port=0 RD @06 + 33047.50ns INFO [00033049] Port=1 RD @00 + 33048.50ns INFO [00033050] * RD COMPARE * port=0 adr=00 act=2E3B0C6DA24807AD26 exp=2E3B0C6DA24807AD26 + 33049.50ns INFO [00033051] * RD COMPARE * port=0 adr=06 act=00D0AED8D530B5287D exp=00D0AED8D530B5287D + 33049.50ns INFO [00033051] * RD COMPARE * port=1 adr=00 act=2E3B0C6DA24807AD26 exp=2E3B0C6DA24807AD26 + 33049.50ns INFO [00033051] Port=0 WR @04=FAB30F2300E4321B4B + 33049.50ns INFO [00033051] Port=0 RD @00 + 33050.50ns INFO [00033052] Port=0 WR @06=CE88BBB0DF9959C222 + 33050.50ns INFO [00033052] Port=0 RD @01 + 33051.50ns INFO [00033053] * RD COMPARE * port=0 adr=00 act=2E3B0C6DA24807AD26 exp=2E3B0C6DA24807AD26 + 33052.50ns INFO [00033054] * RD COMPARE * port=0 adr=01 act=25FC46C9160D79E75C exp=25FC46C9160D79E75C + 33053.50ns INFO [00033055] Port=0 WR @02=060A13CB8F727DAA03 + 33053.50ns INFO [00033055] Port=0 RD @05 + 33054.50ns INFO [00033056] Port=0 WR @00=A1B88D968F97478578 + 33055.50ns INFO [00033057] * RD COMPARE * port=0 adr=05 act=CF55B89CA04A01CC2B exp=CF55B89CA04A01CC2B + 33055.50ns INFO [00033057] Port=0 RD @05 + 33055.50ns INFO [00033057] Port=1 RD @04 + 33056.50ns INFO [00033058] Port=1 RD @01 + 33057.50ns INFO [00033059] * RD COMPARE * port=0 adr=05 act=CF55B89CA04A01CC2B exp=CF55B89CA04A01CC2B + 33057.50ns INFO [00033059] * RD COMPARE * port=1 adr=04 act=FAB30F2300E4321B4B exp=FAB30F2300E4321B4B + 33057.50ns INFO [00033059] Port=0 RD @03 + 33058.50ns INFO [00033060] * RD COMPARE * port=1 adr=01 act=25FC46C9160D79E75C exp=25FC46C9160D79E75C + 33058.50ns INFO [00033060] Port=1 RD @00 + 33059.50ns INFO [00033061] * RD COMPARE * port=0 adr=03 act=788E5D924BB0685814 exp=788E5D924BB0685814 + 33059.50ns INFO [00033061] Port=1 RD @01 + 33060.50ns INFO [00033062] * RD COMPARE * port=1 adr=00 act=A1B88D968F97478578 exp=A1B88D968F97478578 + 33060.50ns INFO [00033062] Port=0 WR @00=A970698F475CF1DD26 + 33061.50ns INFO [00033063] * RD COMPARE * port=1 adr=01 act=25FC46C9160D79E75C exp=25FC46C9160D79E75C + 33061.50ns INFO [00033063] Port=0 WR @07=361E434C5E7BE06AAA + 33061.50ns INFO [00033063] Port=1 RD @03 + 33062.50ns INFO [00033064] Port=0 WR @03=FC50976937F72498E2 + 33062.50ns INFO [00033064] Port=1 RD @04 + 33063.50ns INFO [00033065] * RD COMPARE * port=1 adr=03 act=788E5D924BB0685814 exp=788E5D924BB0685814 + 33064.50ns INFO [00033066] * RD COMPARE * port=1 adr=04 act=FAB30F2300E4321B4B exp=FAB30F2300E4321B4B + 33064.50ns INFO [00033066] Port=0 WR @01=952F28A4076420B67D + 33066.50ns INFO [00033068] Port=1 RD @06 + 33067.50ns INFO [00033069] Port=0 RD @03 + 33067.50ns INFO [00033069] Port=1 RD @07 + 33068.50ns INFO [00033070] * RD COMPARE * port=1 adr=06 act=CE88BBB0DF9959C222 exp=CE88BBB0DF9959C222 + 33069.50ns INFO [00033071] * RD COMPARE * port=0 adr=03 act=FC50976937F72498E2 exp=FC50976937F72498E2 + 33069.50ns INFO [00033071] * RD COMPARE * port=1 adr=07 act=361E434C5E7BE06AAA exp=361E434C5E7BE06AAA + 33069.50ns INFO [00033071] Port=0 WR @04=2C34A2A2CD90EBA403 + 33069.50ns INFO [00033071] Port=0 RD @05 + 33070.50ns INFO [00033072] Port=1 RD @05 + 33071.50ns INFO [00033073] * RD COMPARE * port=0 adr=05 act=CF55B89CA04A01CC2B exp=CF55B89CA04A01CC2B + 33071.50ns INFO [00033073] Port=0 WR @02=304378790E87E84E9E + 33071.50ns INFO [00033073] Port=1 RD @01 + 33072.50ns INFO [00033074] * RD COMPARE * port=1 adr=05 act=CF55B89CA04A01CC2B exp=CF55B89CA04A01CC2B + 33072.50ns INFO [00033074] Port=0 WR @03=A1DB4282BE3BBD84B1 + 33072.50ns INFO [00033074] Port=1 RD @05 + 33073.50ns INFO [00033075] * RD COMPARE * port=1 adr=01 act=952F28A4076420B67D exp=952F28A4076420B67D + 33073.50ns INFO [00033075] Port=0 WR @07=4309DD6460F2D86712 + 33074.50ns INFO [00033076] * RD COMPARE * port=1 adr=05 act=CF55B89CA04A01CC2B exp=CF55B89CA04A01CC2B + 33074.50ns INFO [00033076] Port=0 WR @07=5152903CC0CD7A53DA + 33074.50ns INFO [00033076] Port=1 RD @05 + 33075.50ns INFO [00033077] Port=1 RD @07 + 33076.50ns INFO [00033078] * RD COMPARE * port=1 adr=05 act=CF55B89CA04A01CC2B exp=CF55B89CA04A01CC2B + 33077.50ns INFO [00033079] * RD COMPARE * port=1 adr=07 act=5152903CC0CD7A53DA exp=5152903CC0CD7A53DA + 33078.50ns INFO [00033080] Port=0 WR @03=382A2BD899AB5E7552 + 33078.50ns INFO [00033080] Port=0 RD @01 + 33078.50ns INFO [00033080] Port=1 RD @00 + 33080.50ns INFO [00033082] * RD COMPARE * port=0 adr=01 act=952F28A4076420B67D exp=952F28A4076420B67D + 33080.50ns INFO [00033082] * RD COMPARE * port=1 adr=00 act=A970698F475CF1DD26 exp=A970698F475CF1DD26 + 33080.50ns INFO [00033082] Port=0 RD @07 + 33080.50ns INFO [00033082] Port=1 RD @00 + 33081.50ns INFO [00033083] Port=0 WR @00=1719FA927CA2DB3036 + 33081.50ns INFO [00033083] Port=0 RD @07 + 33081.50ns INFO [00033083] Port=1 RD @07 + 33082.50ns INFO [00033084] * RD COMPARE * port=0 adr=07 act=5152903CC0CD7A53DA exp=5152903CC0CD7A53DA + 33082.50ns INFO [00033084] * RD COMPARE * port=1 adr=00 act=A970698F475CF1DD26 exp=A970698F475CF1DD26 + 33082.50ns INFO [00033084] Port=0 WR @00=34495EEFC227E0E3B9 + 33082.50ns INFO [00033084] Port=0 RD @07 + 33082.50ns INFO [00033084] Port=1 RD @07 + 33083.50ns INFO [00033085] * RD COMPARE * port=0 adr=07 act=5152903CC0CD7A53DA exp=5152903CC0CD7A53DA + 33083.50ns INFO [00033085] * RD COMPARE * port=1 adr=07 act=5152903CC0CD7A53DA exp=5152903CC0CD7A53DA + 33083.50ns INFO [00033085] Port=0 WR @00=3957931E5A5914F1C7 + 33084.50ns INFO [00033086] * RD COMPARE * port=0 adr=07 act=5152903CC0CD7A53DA exp=5152903CC0CD7A53DA + 33084.50ns INFO [00033086] * RD COMPARE * port=1 adr=07 act=5152903CC0CD7A53DA exp=5152903CC0CD7A53DA + 33084.50ns INFO [00033086] Port=0 WR @05=746C461CF5B9F10941 + 33084.50ns INFO [00033086] Port=1 RD @03 + 33086.50ns INFO [00033088] * RD COMPARE * port=1 adr=03 act=382A2BD899AB5E7552 exp=382A2BD899AB5E7552 + 33088.50ns INFO [00033090] Port=0 WR @07=F395E3C2131F024C86 + 33088.50ns INFO [00033090] Port=0 RD @00 + 33089.50ns INFO [00033091] Port=0 RD @06 + 33089.50ns INFO [00033091] Port=1 RD @01 + 33090.50ns INFO [00033092] * RD COMPARE * port=0 adr=00 act=3957931E5A5914F1C7 exp=3957931E5A5914F1C7 + 33091.50ns INFO [00033093] * RD COMPARE * port=0 adr=06 act=CE88BBB0DF9959C222 exp=CE88BBB0DF9959C222 + 33091.50ns INFO [00033093] * RD COMPARE * port=1 adr=01 act=952F28A4076420B67D exp=952F28A4076420B67D + 33091.50ns INFO [00033093] Port=0 RD @04 + 33093.50ns INFO [00033095] * RD COMPARE * port=0 adr=04 act=2C34A2A2CD90EBA403 exp=2C34A2A2CD90EBA403 + 33093.50ns INFO [00033095] Port=1 RD @02 + 33094.50ns INFO [00033096] Port=0 RD @04 + 33094.50ns INFO [00033096] Port=1 RD @02 + 33095.50ns INFO [00033097] * RD COMPARE * port=1 adr=02 act=304378790E87E84E9E exp=304378790E87E84E9E + 33095.50ns INFO [00033097] Port=0 WR @07=C330CAC820CF8EE670 + 33095.50ns INFO [00033097] Port=1 RD @01 + 33096.50ns INFO [00033098] * RD COMPARE * port=0 adr=04 act=2C34A2A2CD90EBA403 exp=2C34A2A2CD90EBA403 + 33096.50ns INFO [00033098] * RD COMPARE * port=1 adr=02 act=304378790E87E84E9E exp=304378790E87E84E9E + 33096.50ns INFO [00033098] Port=0 WR @00=EDF2DD8C9663288AF9 + 33096.50ns INFO [00033098] Port=0 RD @06 + 33097.50ns INFO [00033099] * RD COMPARE * port=1 adr=01 act=952F28A4076420B67D exp=952F28A4076420B67D + 33097.50ns INFO [00033099] Port=0 WR @05=AFE2DD787690D8A029 + 33098.00ns INFO [00033100] [00033100] ...tick... + 33098.50ns INFO [00033100] * RD COMPARE * port=0 adr=06 act=CE88BBB0DF9959C222 exp=CE88BBB0DF9959C222 + 33098.50ns INFO [00033100] Port=0 WR @02=B0A9FF4D830BFBFD57 + 33099.50ns INFO [00033101] Port=1 RD @01 + 33100.50ns INFO [00033102] Port=0 WR @02=6E7C71CB5EEF28285E + 33100.50ns INFO [00033102] Port=0 RD @00 + 33100.50ns INFO [00033102] Port=1 RD @07 + 33101.50ns INFO [00033103] * RD COMPARE * port=1 adr=01 act=952F28A4076420B67D exp=952F28A4076420B67D + 33101.50ns INFO [00033103] Port=0 RD @00 + 33101.50ns INFO [00033103] Port=1 RD @05 + 33102.50ns INFO [00033104] * RD COMPARE * port=0 adr=00 act=EDF2DD8C9663288AF9 exp=EDF2DD8C9663288AF9 + 33102.50ns INFO [00033104] * RD COMPARE * port=1 adr=07 act=C330CAC820CF8EE670 exp=C330CAC820CF8EE670 + 33102.50ns INFO [00033104] Port=0 WR @04=B749348AA2044EB34E + 33102.50ns INFO [00033104] Port=0 RD @00 + 33102.50ns INFO [00033104] Port=1 RD @00 + 33103.50ns INFO [00033105] * RD COMPARE * port=0 adr=00 act=EDF2DD8C9663288AF9 exp=EDF2DD8C9663288AF9 + 33103.50ns INFO [00033105] * RD COMPARE * port=1 adr=05 act=AFE2DD787690D8A029 exp=AFE2DD787690D8A029 + 33103.50ns INFO [00033105] Port=0 RD @00 + 33104.50ns INFO [00033106] * RD COMPARE * port=0 adr=00 act=EDF2DD8C9663288AF9 exp=EDF2DD8C9663288AF9 + 33104.50ns INFO [00033106] * RD COMPARE * port=1 adr=00 act=EDF2DD8C9663288AF9 exp=EDF2DD8C9663288AF9 + 33104.50ns INFO [00033106] Port=0 WR @06=F0E09F193B26B37A7C + 33105.50ns INFO [00033107] * RD COMPARE * port=0 adr=00 act=EDF2DD8C9663288AF9 exp=EDF2DD8C9663288AF9 + 33105.50ns INFO [00033107] Port=0 WR @05=46DB2CECF94FF0ADBE + 33105.50ns INFO [00033107] Port=0 RD @04 + 33105.50ns INFO [00033107] Port=1 RD @00 + 33106.50ns INFO [00033108] Port=0 WR @03=0991FD990AB561A47C + 33106.50ns INFO [00033108] Port=0 RD @04 + 33107.50ns INFO [00033109] * RD COMPARE * port=0 adr=04 act=B749348AA2044EB34E exp=B749348AA2044EB34E + 33107.50ns INFO [00033109] * RD COMPARE * port=1 adr=00 act=EDF2DD8C9663288AF9 exp=EDF2DD8C9663288AF9 + 33107.50ns INFO [00033109] Port=1 RD @02 + 33108.50ns INFO [00033110] * RD COMPARE * port=0 adr=04 act=B749348AA2044EB34E exp=B749348AA2044EB34E + 33109.50ns INFO [00033111] * RD COMPARE * port=1 adr=02 act=6E7C71CB5EEF28285E exp=6E7C71CB5EEF28285E + 33109.50ns INFO [00033111] Port=0 WR @05=5DA8A76DAA3FB6C82A + 33109.50ns INFO [00033111] Port=0 RD @00 + 33109.50ns INFO [00033111] Port=1 RD @07 + 33110.50ns INFO [00033112] Port=0 WR @00=E3ACD6C411042287E5 + 33111.50ns INFO [00033113] * RD COMPARE * port=0 adr=00 act=EDF2DD8C9663288AF9 exp=EDF2DD8C9663288AF9 + 33111.50ns INFO [00033113] * RD COMPARE * port=1 adr=07 act=C330CAC820CF8EE670 exp=C330CAC820CF8EE670 + 33111.50ns INFO [00033113] Port=0 WR @07=95421B6FCC23EFFF03 + 33111.50ns INFO [00033113] Port=1 RD @04 + 33112.50ns INFO [00033114] Port=0 WR @00=26570B44677CCC9377 + 33112.50ns INFO [00033114] Port=0 RD @01 + 33113.50ns INFO [00033115] * RD COMPARE * port=1 adr=04 act=B749348AA2044EB34E exp=B749348AA2044EB34E + 33113.50ns INFO [00033115] Port=1 RD @00 + 33114.50ns INFO [00033116] * RD COMPARE * port=0 adr=01 act=952F28A4076420B67D exp=952F28A4076420B67D + 33114.50ns INFO [00033116] Port=0 RD @03 + 33115.50ns INFO [00033117] * RD COMPARE * port=1 adr=00 act=26570B44677CCC9377 exp=26570B44677CCC9377 + 33115.50ns INFO [00033117] Port=1 RD @01 + 33116.50ns INFO [00033118] * RD COMPARE * port=0 adr=03 act=0991FD990AB561A47C exp=0991FD990AB561A47C + 33116.50ns INFO [00033118] Port=0 WR @05=9FD905FCDFD1F1FEAA + 33116.50ns INFO [00033118] Port=0 RD @01 + 33117.50ns INFO [00033119] * RD COMPARE * port=1 adr=01 act=952F28A4076420B67D exp=952F28A4076420B67D + 33118.50ns INFO [00033120] * RD COMPARE * port=0 adr=01 act=952F28A4076420B67D exp=952F28A4076420B67D + 33118.50ns INFO [00033120] Port=0 RD @03 + 33119.50ns INFO [00033121] Port=0 WR @07=714B91F9209FF5B350 + 33120.50ns INFO [00033122] * RD COMPARE * port=0 adr=03 act=0991FD990AB561A47C exp=0991FD990AB561A47C + 33120.50ns INFO [00033122] Port=0 WR @05=59B1E5111D027BC7F4 + 33121.50ns INFO [00033123] Port=0 RD @03 + 33123.50ns INFO [00033125] * RD COMPARE * port=0 adr=03 act=0991FD990AB561A47C exp=0991FD990AB561A47C + 33123.50ns INFO [00033125] Port=1 RD @00 + 33124.50ns INFO [00033126] Port=0 RD @03 + 33124.50ns INFO [00033126] Port=1 RD @04 + 33125.50ns INFO [00033127] * RD COMPARE * port=1 adr=00 act=26570B44677CCC9377 exp=26570B44677CCC9377 + 33125.50ns INFO [00033127] Port=0 WR @05=1699634B0D1096D8DD + 33126.50ns INFO [00033128] * RD COMPARE * port=0 adr=03 act=0991FD990AB561A47C exp=0991FD990AB561A47C + 33126.50ns INFO [00033128] * RD COMPARE * port=1 adr=04 act=B749348AA2044EB34E exp=B749348AA2044EB34E + 33126.50ns INFO [00033128] Port=0 WR @00=CBC6EF9160993A1484 + 33126.50ns INFO [00033128] Port=1 RD @03 + 33127.50ns INFO [00033129] Port=0 WR @04=F865D7CA786360511F + 33128.50ns INFO [00033130] * RD COMPARE * port=1 adr=03 act=0991FD990AB561A47C exp=0991FD990AB561A47C + 33128.50ns INFO [00033130] Port=0 WR @07=5C2097964F345B27B6 + 33129.50ns INFO [00033131] Port=1 RD @05 + 33130.50ns INFO [00033132] Port=1 RD @07 + 33131.50ns INFO [00033133] * RD COMPARE * port=1 adr=05 act=1699634B0D1096D8DD exp=1699634B0D1096D8DD + 33132.50ns INFO [00033134] * RD COMPARE * port=1 adr=07 act=5C2097964F345B27B6 exp=5C2097964F345B27B6 + 33134.50ns INFO [00033136] Port=0 WR @06=FC8F324F0348CB7B72 + 33135.50ns INFO [00033137] Port=0 RD @06 + 33136.50ns INFO [00033138] Port=1 RD @00 + 33137.50ns INFO [00033139] * RD COMPARE * port=0 adr=06 act=FC8F324F0348CB7B72 exp=FC8F324F0348CB7B72 + 33137.50ns INFO [00033139] Port=0 WR @02=94594A4EF1AC7BE31E + 33137.50ns INFO [00033139] Port=0 RD @00 + 33138.50ns INFO [00033140] * RD COMPARE * port=1 adr=00 act=CBC6EF9160993A1484 exp=CBC6EF9160993A1484 + 33138.50ns INFO [00033140] Port=0 RD @02 + 33139.50ns INFO [00033141] * RD COMPARE * port=0 adr=00 act=CBC6EF9160993A1484 exp=CBC6EF9160993A1484 + 33139.50ns INFO [00033141] Port=1 RD @02 + 33140.50ns INFO [00033142] * RD COMPARE * port=0 adr=02 act=94594A4EF1AC7BE31E exp=94594A4EF1AC7BE31E + 33140.50ns INFO [00033142] Port=0 WR @00=5F360A0B20A18519E4 + 33141.50ns INFO [00033143] * RD COMPARE * port=1 adr=02 act=94594A4EF1AC7BE31E exp=94594A4EF1AC7BE31E + 33141.50ns INFO [00033143] Port=0 WR @01=EF3DCAAD478F0E760C + 33142.50ns INFO [00033144] Port=1 RD @03 + 33144.50ns INFO [00033146] * RD COMPARE * port=1 adr=03 act=0991FD990AB561A47C exp=0991FD990AB561A47C + 33144.50ns INFO [00033146] Port=0 WR @02=74EEC0F1A09390027C + 33145.50ns INFO [00033147] Port=0 RD @07 + 33146.50ns INFO [00033148] Port=0 WR @06=1CF01DC1F8633A4ABA + 33147.50ns INFO [00033149] * RD COMPARE * port=0 adr=07 act=5C2097964F345B27B6 exp=5C2097964F345B27B6 + 33147.50ns INFO [00033149] Port=0 WR @04=5C8F1DFBE117C6A1E1 + 33148.50ns INFO [00033150] Port=1 RD @03 + 33149.50ns INFO [00033151] Port=0 WR @06=BA50C7D9D945E04B4F + 33149.50ns INFO [00033151] Port=0 RD @02 + 33149.50ns INFO [00033151] Port=1 RD @00 + 33150.50ns INFO [00033152] * RD COMPARE * port=1 adr=03 act=0991FD990AB561A47C exp=0991FD990AB561A47C + 33151.50ns INFO [00033153] * RD COMPARE * port=0 adr=02 act=74EEC0F1A09390027C exp=74EEC0F1A09390027C + 33151.50ns INFO [00033153] * RD COMPARE * port=1 adr=00 act=5F360A0B20A18519E4 exp=5F360A0B20A18519E4 + 33151.50ns INFO [00033153] Port=1 RD @06 + 33152.50ns INFO [00033154] Port=0 WR @01=E3CC7689A5DF5EBA40 + 33152.50ns INFO [00033154] Port=1 RD @06 + 33153.50ns INFO [00033155] * RD COMPARE * port=1 adr=06 act=BA50C7D9D945E04B4F exp=BA50C7D9D945E04B4F + 33153.50ns INFO [00033155] Port=0 RD @03 + 33154.50ns INFO [00033156] * RD COMPARE * port=1 adr=06 act=BA50C7D9D945E04B4F exp=BA50C7D9D945E04B4F + 33154.50ns INFO [00033156] Port=0 RD @07 + 33155.50ns INFO [00033157] * RD COMPARE * port=0 adr=03 act=0991FD990AB561A47C exp=0991FD990AB561A47C + 33155.50ns INFO [00033157] Port=1 RD @07 + 33156.50ns INFO [00033158] * RD COMPARE * port=0 adr=07 act=5C2097964F345B27B6 exp=5C2097964F345B27B6 + 33157.50ns INFO [00033159] * RD COMPARE * port=1 adr=07 act=5C2097964F345B27B6 exp=5C2097964F345B27B6 + 33158.50ns INFO [00033160] Port=0 WR @07=3C635D75886EB8C56C + 33160.50ns INFO [00033162] Port=0 WR @05=BDF6079B551CA295ED + 33160.50ns INFO [00033162] Port=0 RD @07 + 33160.50ns INFO [00033162] Port=1 RD @07 + 33162.50ns INFO [00033164] * RD COMPARE * port=0 adr=07 act=3C635D75886EB8C56C exp=3C635D75886EB8C56C + 33162.50ns INFO [00033164] * RD COMPARE * port=1 adr=07 act=3C635D75886EB8C56C exp=3C635D75886EB8C56C + 33162.50ns INFO [00033164] Port=0 RD @06 + 33164.50ns INFO [00033166] * RD COMPARE * port=0 adr=06 act=BA50C7D9D945E04B4F exp=BA50C7D9D945E04B4F + 33164.50ns INFO [00033166] Port=0 WR @02=783B370864D24A9362 + 33164.50ns INFO [00033166] Port=0 RD @01 + 33164.50ns INFO [00033166] Port=1 RD @00 + 33165.50ns INFO [00033167] Port=0 WR @03=80C5029D715E815B4B + 33165.50ns INFO [00033167] Port=1 RD @01 + 33166.50ns INFO [00033168] * RD COMPARE * port=0 adr=01 act=E3CC7689A5DF5EBA40 exp=E3CC7689A5DF5EBA40 + 33166.50ns INFO [00033168] * RD COMPARE * port=1 adr=00 act=5F360A0B20A18519E4 exp=5F360A0B20A18519E4 + 33166.50ns INFO [00033168] Port=0 WR @06=D56DF62D3E8848617F + 33166.50ns INFO [00033168] Port=0 RD @07 + 33167.50ns INFO [00033169] * RD COMPARE * port=1 adr=01 act=E3CC7689A5DF5EBA40 exp=E3CC7689A5DF5EBA40 + 33167.50ns INFO [00033169] Port=0 WR @07=48078383A5C405C3F5 + 33168.50ns INFO [00033170] * RD COMPARE * port=0 adr=07 act=3C635D75886EB8C56C exp=3C635D75886EB8C56C + 33169.50ns INFO [00033171] Port=0 RD @02 + 33169.50ns INFO [00033171] Port=1 RD @04 + 33170.50ns INFO [00033172] Port=0 WR @07=18A4E34FBB1C6AA24C + 33171.50ns INFO [00033173] * RD COMPARE * port=0 adr=02 act=783B370864D24A9362 exp=783B370864D24A9362 + 33171.50ns INFO [00033173] * RD COMPARE * port=1 adr=04 act=5C8F1DFBE117C6A1E1 exp=5C8F1DFBE117C6A1E1 + 33171.50ns INFO [00033173] Port=0 WR @07=AC121D929113C21DFC + 33171.50ns INFO [00033173] Port=0 RD @02 + 33172.50ns INFO [00033174] Port=0 WR @06=782056DF2C929C48E6 + 33172.50ns INFO [00033174] Port=0 RD @01 + 33173.50ns INFO [00033175] * RD COMPARE * port=0 adr=02 act=783B370864D24A9362 exp=783B370864D24A9362 + 33174.50ns INFO [00033176] * RD COMPARE * port=0 adr=01 act=E3CC7689A5DF5EBA40 exp=E3CC7689A5DF5EBA40 + 33175.50ns INFO [00033177] Port=0 RD @07 + 33176.50ns INFO [00033178] Port=0 WR @00=00CF822DB1BF9018A0 + 33177.50ns INFO [00033179] * RD COMPARE * port=0 adr=07 act=AC121D929113C21DFC exp=AC121D929113C21DFC + 33177.50ns INFO [00033179] Port=0 WR @00=A553A70547A2AC2544 + 33178.50ns INFO [00033180] Port=0 WR @05=F2BE4FD0B0436812A4 + 33178.50ns INFO [00033180] Port=0 RD @03 + 33178.50ns INFO [00033180] Port=1 RD @06 + 33179.50ns INFO [00033181] Port=0 WR @00=AF05A40FFE454A124B + 33180.50ns INFO [00033182] * RD COMPARE * port=0 adr=03 act=80C5029D715E815B4B exp=80C5029D715E815B4B + 33180.50ns INFO [00033182] * RD COMPARE * port=1 adr=06 act=782056DF2C929C48E6 exp=782056DF2C929C48E6 + 33180.50ns INFO [00033182] Port=1 RD @07 + 33181.50ns INFO [00033183] Port=0 RD @06 + 33182.50ns INFO [00033184] * RD COMPARE * port=1 adr=07 act=AC121D929113C21DFC exp=AC121D929113C21DFC + 33182.50ns INFO [00033184] Port=0 WR @01=2EED9A1EEFCE3D4B00 + 33182.50ns INFO [00033184] Port=0 RD @03 + 33182.50ns INFO [00033184] Port=1 RD @06 + 33183.50ns INFO [00033185] * RD COMPARE * port=0 adr=06 act=782056DF2C929C48E6 exp=782056DF2C929C48E6 + 33183.50ns INFO [00033185] Port=0 RD @03 + 33183.50ns INFO [00033185] Port=1 RD @02 + 33184.50ns INFO [00033186] * RD COMPARE * port=0 adr=03 act=80C5029D715E815B4B exp=80C5029D715E815B4B + 33184.50ns INFO [00033186] * RD COMPARE * port=1 adr=06 act=782056DF2C929C48E6 exp=782056DF2C929C48E6 + 33185.50ns INFO [00033187] * RD COMPARE * port=0 adr=03 act=80C5029D715E815B4B exp=80C5029D715E815B4B + 33185.50ns INFO [00033187] * RD COMPARE * port=1 adr=02 act=783B370864D24A9362 exp=783B370864D24A9362 + 33185.50ns INFO [00033187] Port=0 WR @02=38C7EF0DB714C8614C + 33185.50ns INFO [00033187] Port=0 RD @03 + 33185.50ns INFO [00033187] Port=1 RD @06 + 33186.50ns INFO [00033188] Port=0 RD @00 + 33187.50ns INFO [00033189] * RD COMPARE * port=0 adr=03 act=80C5029D715E815B4B exp=80C5029D715E815B4B + 33187.50ns INFO [00033189] * RD COMPARE * port=1 adr=06 act=782056DF2C929C48E6 exp=782056DF2C929C48E6 + 33187.50ns INFO [00033189] Port=0 RD @02 + 33188.50ns INFO [00033190] * RD COMPARE * port=0 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33189.50ns INFO [00033191] * RD COMPARE * port=0 adr=02 act=38C7EF0DB714C8614C exp=38C7EF0DB714C8614C + 33189.50ns INFO [00033191] Port=0 RD @01 + 33189.50ns INFO [00033191] Port=1 RD @04 + 33190.50ns INFO [00033192] Port=0 RD @04 + 33190.50ns INFO [00033192] Port=1 RD @02 + 33191.50ns INFO [00033193] * RD COMPARE * port=0 adr=01 act=2EED9A1EEFCE3D4B00 exp=2EED9A1EEFCE3D4B00 + 33191.50ns INFO [00033193] * RD COMPARE * port=1 adr=04 act=5C8F1DFBE117C6A1E1 exp=5C8F1DFBE117C6A1E1 + 33191.50ns INFO [00033193] Port=0 WR @02=07FC2ED78AED3116A7 + 33192.50ns INFO [00033194] * RD COMPARE * port=0 adr=04 act=5C8F1DFBE117C6A1E1 exp=5C8F1DFBE117C6A1E1 + 33192.50ns INFO [00033194] * RD COMPARE * port=1 adr=02 act=38C7EF0DB714C8614C exp=38C7EF0DB714C8614C + 33192.50ns INFO [00033194] Port=1 RD @04 + 33193.50ns INFO [00033195] Port=0 WR @03=26705073C70CED97CC + 33193.50ns INFO [00033195] Port=0 RD @04 + 33193.50ns INFO [00033195] Port=1 RD @07 + 33194.50ns INFO [00033196] * RD COMPARE * port=1 adr=04 act=5C8F1DFBE117C6A1E1 exp=5C8F1DFBE117C6A1E1 + 33194.50ns INFO [00033196] Port=0 RD @01 + 33195.50ns INFO [00033197] * RD COMPARE * port=0 adr=04 act=5C8F1DFBE117C6A1E1 exp=5C8F1DFBE117C6A1E1 + 33195.50ns INFO [00033197] * RD COMPARE * port=1 adr=07 act=AC121D929113C21DFC exp=AC121D929113C21DFC + 33196.50ns INFO [00033198] * RD COMPARE * port=0 adr=01 act=2EED9A1EEFCE3D4B00 exp=2EED9A1EEFCE3D4B00 + 33196.50ns INFO [00033198] Port=1 RD @05 + 33197.50ns INFO [00033199] Port=0 WR @01=6D125F0869BD741276 + 33198.00ns INFO [00033200] [00033200] ...tick... + 33198.50ns INFO [00033200] * RD COMPARE * port=1 adr=05 act=F2BE4FD0B0436812A4 exp=F2BE4FD0B0436812A4 + 33198.50ns INFO [00033200] Port=0 WR @02=03B61F265DDBB244C6 + 33199.50ns INFO [00033201] Port=0 WR @01=610C2DD7096BFDBD4D + 33199.50ns INFO [00033201] Port=1 RD @05 + 33201.50ns INFO [00033203] * RD COMPARE * port=1 adr=05 act=F2BE4FD0B0436812A4 exp=F2BE4FD0B0436812A4 + 33202.50ns INFO [00033204] Port=0 WR @03=66F163F38180F3B77B + 33202.50ns INFO [00033204] Port=0 RD @04 + 33203.50ns INFO [00033205] Port=0 WR @03=F5E15C738BEDB3E32A + 33204.50ns INFO [00033206] * RD COMPARE * port=0 adr=04 act=5C8F1DFBE117C6A1E1 exp=5C8F1DFBE117C6A1E1 + 33204.50ns INFO [00033206] Port=0 RD @07 + 33205.50ns INFO [00033207] Port=0 WR @07=9A7922AB8095BBB8FE + 33206.50ns INFO [00033208] * RD COMPARE * port=0 adr=07 act=AC121D929113C21DFC exp=AC121D929113C21DFC + 33206.50ns INFO [00033208] Port=0 WR @05=E178B50CF589067BB3 + 33208.50ns INFO [00033210] Port=0 RD @01 + 33208.50ns INFO [00033210] Port=1 RD @07 + 33209.50ns INFO [00033211] Port=0 RD @03 + 33209.50ns INFO [00033211] Port=1 RD @05 + 33210.50ns INFO [00033212] * RD COMPARE * port=0 adr=01 act=610C2DD7096BFDBD4D exp=610C2DD7096BFDBD4D + 33210.50ns INFO [00033212] * RD COMPARE * port=1 adr=07 act=9A7922AB8095BBB8FE exp=9A7922AB8095BBB8FE + 33210.50ns INFO [00033212] Port=0 WR @06=5FEC90B3B5A09D997C + 33210.50ns INFO [00033212] Port=1 RD @00 + 33211.50ns INFO [00033213] * RD COMPARE * port=0 adr=03 act=F5E15C738BEDB3E32A exp=F5E15C738BEDB3E32A + 33211.50ns INFO [00033213] * RD COMPARE * port=1 adr=05 act=E178B50CF589067BB3 exp=E178B50CF589067BB3 + 33211.50ns INFO [00033213] Port=0 WR @07=881A42C7486C873532 + 33211.50ns INFO [00033213] Port=0 RD @01 + 33211.50ns INFO [00033213] Port=1 RD @03 + 33212.50ns INFO [00033214] * RD COMPARE * port=1 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33212.50ns INFO [00033214] Port=0 WR @01=8ADEB3C61E499DA233 + 33213.50ns INFO [00033215] * RD COMPARE * port=0 adr=01 act=610C2DD7096BFDBD4D exp=610C2DD7096BFDBD4D + 33213.50ns INFO [00033215] * RD COMPARE * port=1 adr=03 act=F5E15C738BEDB3E32A exp=F5E15C738BEDB3E32A + 33213.50ns INFO [00033215] Port=1 RD @03 + 33215.50ns INFO [00033217] * RD COMPARE * port=1 adr=03 act=F5E15C738BEDB3E32A exp=F5E15C738BEDB3E32A + 33215.50ns INFO [00033217] Port=0 WR @05=1F8482397CD01687ED + 33216.50ns INFO [00033218] Port=0 WR @01=91E7137307B09AD44E + 33216.50ns INFO [00033218] Port=0 RD @03 + 33216.50ns INFO [00033218] Port=1 RD @00 + 33218.50ns INFO [00033220] * RD COMPARE * port=0 adr=03 act=F5E15C738BEDB3E32A exp=F5E15C738BEDB3E32A + 33218.50ns INFO [00033220] * RD COMPARE * port=1 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33218.50ns INFO [00033220] Port=0 WR @07=8914D1B1ACD6A063D0 + 33218.50ns INFO [00033220] Port=0 RD @03 + 33220.50ns INFO [00033222] * RD COMPARE * port=0 adr=03 act=F5E15C738BEDB3E32A exp=F5E15C738BEDB3E32A + 33220.50ns INFO [00033222] Port=0 RD @02 + 33220.50ns INFO [00033222] Port=1 RD @05 + 33222.50ns INFO [00033224] * RD COMPARE * port=0 adr=02 act=03B61F265DDBB244C6 exp=03B61F265DDBB244C6 + 33222.50ns INFO [00033224] * RD COMPARE * port=1 adr=05 act=1F8482397CD01687ED exp=1F8482397CD01687ED + 33222.50ns INFO [00033224] Port=1 RD @00 + 33223.50ns INFO [00033225] Port=0 RD @04 + 33223.50ns INFO [00033225] Port=1 RD @01 + 33224.50ns INFO [00033226] * RD COMPARE * port=1 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33224.50ns INFO [00033226] Port=1 RD @07 + 33225.50ns INFO [00033227] * RD COMPARE * port=0 adr=04 act=5C8F1DFBE117C6A1E1 exp=5C8F1DFBE117C6A1E1 + 33225.50ns INFO [00033227] * RD COMPARE * port=1 adr=01 act=91E7137307B09AD44E exp=91E7137307B09AD44E + 33225.50ns INFO [00033227] Port=0 RD @05 + 33226.50ns INFO [00033228] * RD COMPARE * port=1 adr=07 act=8914D1B1ACD6A063D0 exp=8914D1B1ACD6A063D0 + 33226.50ns INFO [00033228] Port=0 WR @06=EFBE409347D81B76BF + 33227.50ns INFO [00033229] * RD COMPARE * port=0 adr=05 act=1F8482397CD01687ED exp=1F8482397CD01687ED + 33227.50ns INFO [00033229] Port=0 WR @04=D47193F1265B57B1DC + 33227.50ns INFO [00033229] Port=0 RD @00 + 33228.50ns INFO [00033230] Port=0 WR @01=2C07EA9CA1D1A958DC + 33228.50ns INFO [00033230] Port=1 RD @06 + 33229.50ns INFO [00033231] * RD COMPARE * port=0 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33229.50ns INFO [00033231] Port=0 WR @04=BF4D776F2E21B29BB1 + 33230.50ns INFO [00033232] * RD COMPARE * port=1 adr=06 act=EFBE409347D81B76BF exp=EFBE409347D81B76BF + 33230.50ns INFO [00033232] Port=0 RD @04 + 33232.50ns INFO [00033234] * RD COMPARE * port=0 adr=04 act=BF4D776F2E21B29BB1 exp=BF4D776F2E21B29BB1 + 33233.50ns INFO [00033235] Port=0 WR @01=D175366AC309BDA527 + 33233.50ns INFO [00033235] Port=0 RD @06 + 33233.50ns INFO [00033235] Port=1 RD @03 + 33234.50ns INFO [00033236] Port=0 WR @03=6EAD542E059B16978C + 33234.50ns INFO [00033236] Port=1 RD @06 + 33235.50ns INFO [00033237] * RD COMPARE * port=0 adr=06 act=EFBE409347D81B76BF exp=EFBE409347D81B76BF + 33235.50ns INFO [00033237] * RD COMPARE * port=1 adr=03 act=F5E15C738BEDB3E32A exp=F5E15C738BEDB3E32A + 33235.50ns INFO [00033237] Port=0 WR @05=944BB9CBB98252CBAB + 33235.50ns INFO [00033237] Port=0 RD @00 + 33235.50ns INFO [00033237] Port=1 RD @06 + 33236.50ns INFO [00033238] * RD COMPARE * port=1 adr=06 act=EFBE409347D81B76BF exp=EFBE409347D81B76BF + 33236.50ns INFO [00033238] Port=0 WR @04=CF656A0431BAE2176D + 33236.50ns INFO [00033238] Port=0 RD @06 + 33236.50ns INFO [00033238] Port=1 RD @00 + 33237.50ns INFO [00033239] * RD COMPARE * port=0 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33237.50ns INFO [00033239] * RD COMPARE * port=1 adr=06 act=EFBE409347D81B76BF exp=EFBE409347D81B76BF + 33237.50ns INFO [00033239] Port=1 RD @00 + 33238.50ns INFO [00033240] * RD COMPARE * port=0 adr=06 act=EFBE409347D81B76BF exp=EFBE409347D81B76BF + 33238.50ns INFO [00033240] * RD COMPARE * port=1 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33238.50ns INFO [00033240] Port=0 WR @05=452F475B62B2642100 + 33239.50ns INFO [00033241] * RD COMPARE * port=1 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33239.50ns INFO [00033241] Port=0 RD @03 + 33240.50ns INFO [00033242] Port=0 WR @06=A903DEEC38A7B0B655 + 33240.50ns INFO [00033242] Port=0 RD @07 + 33240.50ns INFO [00033242] Port=1 RD @05 + 33241.50ns INFO [00033243] * RD COMPARE * port=0 adr=03 act=6EAD542E059B16978C exp=6EAD542E059B16978C + 33241.50ns INFO [00033243] Port=1 RD @04 + 33242.50ns INFO [00033244] * RD COMPARE * port=0 adr=07 act=8914D1B1ACD6A063D0 exp=8914D1B1ACD6A063D0 + 33242.50ns INFO [00033244] * RD COMPARE * port=1 adr=05 act=452F475B62B2642100 exp=452F475B62B2642100 + 33242.50ns INFO [00033244] Port=0 WR @07=2505D2A102E7A0ED99 + 33242.50ns INFO [00033244] Port=0 RD @03 + 33243.50ns INFO [00033245] * RD COMPARE * port=1 adr=04 act=CF656A0431BAE2176D exp=CF656A0431BAE2176D + 33243.50ns INFO [00033245] Port=0 RD @07 + 33244.50ns INFO [00033246] * RD COMPARE * port=0 adr=03 act=6EAD542E059B16978C exp=6EAD542E059B16978C + 33245.50ns INFO [00033247] * RD COMPARE * port=0 adr=07 act=2505D2A102E7A0ED99 exp=2505D2A102E7A0ED99 + 33245.50ns INFO [00033247] Port=0 RD @06 + 33246.50ns INFO [00033248] Port=0 RD @06 + 33247.50ns INFO [00033249] * RD COMPARE * port=0 adr=06 act=A903DEEC38A7B0B655 exp=A903DEEC38A7B0B655 + 33248.50ns INFO [00033250] * RD COMPARE * port=0 adr=06 act=A903DEEC38A7B0B655 exp=A903DEEC38A7B0B655 + 33249.50ns INFO [00033251] Port=1 RD @04 + 33250.50ns INFO [00033252] Port=1 RD @00 + 33251.50ns INFO [00033253] * RD COMPARE * port=1 adr=04 act=CF656A0431BAE2176D exp=CF656A0431BAE2176D + 33252.50ns INFO [00033254] * RD COMPARE * port=1 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33254.50ns INFO [00033256] Port=0 WR @04=89656EBFBC6E90039D + 33254.50ns INFO [00033256] Port=1 RD @00 + 33255.50ns INFO [00033257] Port=0 RD @06 + 33255.50ns INFO [00033257] Port=1 RD @07 + 33256.50ns INFO [00033258] * RD COMPARE * port=1 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33257.50ns INFO [00033259] * RD COMPARE * port=0 adr=06 act=A903DEEC38A7B0B655 exp=A903DEEC38A7B0B655 + 33257.50ns INFO [00033259] * RD COMPARE * port=1 adr=07 act=2505D2A102E7A0ED99 exp=2505D2A102E7A0ED99 + 33257.50ns INFO [00033259] Port=0 WR @03=AD15F374545B1156E2 + 33258.50ns INFO [00033260] Port=0 WR @07=498E56AE319B289222 + 33259.50ns INFO [00033261] Port=1 RD @02 + 33261.50ns INFO [00033263] * RD COMPARE * port=1 adr=02 act=03B61F265DDBB244C6 exp=03B61F265DDBB244C6 + 33261.50ns INFO [00033263] Port=0 RD @00 + 33262.50ns INFO [00033264] Port=1 RD @05 + 33263.50ns INFO [00033265] * RD COMPARE * port=0 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33264.50ns INFO [00033266] * RD COMPARE * port=1 adr=05 act=452F475B62B2642100 exp=452F475B62B2642100 + 33264.50ns INFO [00033266] Port=0 RD @00 + 33266.50ns INFO [00033268] * RD COMPARE * port=0 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33267.50ns INFO [00033269] Port=0 WR @02=83C138D4355D500A53 + 33267.50ns INFO [00033269] Port=1 RD @07 + 33268.50ns INFO [00033270] Port=0 WR @02=67C1901B5B9CF05447 + 33269.50ns INFO [00033271] * RD COMPARE * port=1 adr=07 act=498E56AE319B289222 exp=498E56AE319B289222 + 33269.50ns INFO [00033271] Port=0 WR @06=7918CD7F27135FE283 + 33269.50ns INFO [00033271] Port=1 RD @07 + 33270.50ns INFO [00033272] Port=0 RD @03 + 33270.50ns INFO [00033272] Port=1 RD @07 + 33271.50ns INFO [00033273] * RD COMPARE * port=1 adr=07 act=498E56AE319B289222 exp=498E56AE319B289222 + 33272.50ns INFO [00033274] * RD COMPARE * port=0 adr=03 act=AD15F374545B1156E2 exp=AD15F374545B1156E2 + 33272.50ns INFO [00033274] * RD COMPARE * port=1 adr=07 act=498E56AE319B289222 exp=498E56AE319B289222 + 33272.50ns INFO [00033274] Port=0 RD @00 + 33273.50ns INFO [00033275] Port=0 WR @07=A291E760D983F94817 + 33273.50ns INFO [00033275] Port=1 RD @06 + 33274.50ns INFO [00033276] * RD COMPARE * port=0 adr=00 act=AF05A40FFE454A124B exp=AF05A40FFE454A124B + 33274.50ns INFO [00033276] Port=0 WR @07=E6FF4A34A7192D39C1 + 33275.50ns INFO [00033277] * RD COMPARE * port=1 adr=06 act=7918CD7F27135FE283 exp=7918CD7F27135FE283 + 33275.50ns INFO [00033277] Port=1 RD @07 + 33277.50ns INFO [00033279] * RD COMPARE * port=1 adr=07 act=E6FF4A34A7192D39C1 exp=E6FF4A34A7192D39C1 + 33279.50ns INFO [00033281] Port=0 RD @05 + 33281.50ns INFO [00033283] * RD COMPARE * port=0 adr=05 act=452F475B62B2642100 exp=452F475B62B2642100 + 33281.50ns INFO [00033283] Port=0 WR @05=C61ACD57ACD7D28B98 + 33281.50ns INFO [00033283] Port=1 RD @01 + 33283.50ns INFO [00033285] * RD COMPARE * port=1 adr=01 act=D175366AC309BDA527 exp=D175366AC309BDA527 + 33283.50ns INFO [00033285] Port=0 RD @04 + 33283.50ns INFO [00033285] Port=1 RD @02 + 33284.50ns INFO [00033286] Port=0 WR @03=1F407AC5D9DF8666CD + 33284.50ns INFO [00033286] Port=0 RD @01 + 33284.50ns INFO [00033286] Port=1 RD @05 + 33285.50ns INFO [00033287] * RD COMPARE * port=0 adr=04 act=89656EBFBC6E90039D exp=89656EBFBC6E90039D + 33285.50ns INFO [00033287] * RD COMPARE * port=1 adr=02 act=67C1901B5B9CF05447 exp=67C1901B5B9CF05447 + 33285.50ns INFO [00033287] Port=0 WR @04=75719F2332435CBFF0 + 33286.50ns INFO [00033288] * RD COMPARE * port=0 adr=01 act=D175366AC309BDA527 exp=D175366AC309BDA527 + 33286.50ns INFO [00033288] * RD COMPARE * port=1 adr=05 act=C61ACD57ACD7D28B98 exp=C61ACD57ACD7D28B98 + 33286.50ns INFO [00033288] Port=0 WR @00=BD2815223158BA912C + 33286.50ns INFO [00033288] Port=1 RD @01 + 33287.50ns INFO [00033289] Port=0 WR @04=BA3619A33311A58881 + 33288.50ns INFO [00033290] * RD COMPARE * port=1 adr=01 act=D175366AC309BDA527 exp=D175366AC309BDA527 + 33288.50ns INFO [00033290] Port=0 WR @05=89FAD0DF946083CB0A + 33288.50ns INFO [00033290] Port=1 RD @04 + 33289.50ns INFO [00033291] Port=0 WR @00=7280C4A2BAB4FF4733 + 33290.50ns INFO [00033292] * RD COMPARE * port=1 adr=04 act=BA3619A33311A58881 exp=BA3619A33311A58881 + 33293.50ns INFO [00033295] Port=0 WR @03=3602C6B0CF1B061CB6 + 33293.50ns INFO [00033295] Port=0 RD @06 + 33294.50ns INFO [00033296] Port=0 WR @05=A75FD42D8B2EA72ACA + 33294.50ns INFO [00033296] Port=1 RD @01 + 33295.50ns INFO [00033297] * RD COMPARE * port=0 adr=06 act=7918CD7F27135FE283 exp=7918CD7F27135FE283 + 33295.50ns INFO [00033297] Port=0 WR @05=89012E2F03E308DE29 + 33296.50ns INFO [00033298] * RD COMPARE * port=1 adr=01 act=D175366AC309BDA527 exp=D175366AC309BDA527 + 33296.50ns INFO [00033298] Port=0 WR @02=AA6D4EAF975341C0FD + 33296.50ns INFO [00033298] Port=1 RD @04 + 33297.50ns INFO [00033299] Port=0 WR @00=EFF8EB7AC676AFA918 + 33297.50ns INFO [00033299] Port=1 RD @02 + 33298.00ns INFO [00033300] [00033300] ...tick... + 33298.50ns INFO [00033300] * RD COMPARE * port=1 adr=04 act=BA3619A33311A58881 exp=BA3619A33311A58881 + 33298.50ns INFO [00033300] Port=1 RD @04 + 33299.50ns INFO [00033301] * RD COMPARE * port=1 adr=02 act=AA6D4EAF975341C0FD exp=AA6D4EAF975341C0FD + 33300.50ns INFO [00033302] * RD COMPARE * port=1 adr=04 act=BA3619A33311A58881 exp=BA3619A33311A58881 + 33300.50ns INFO [00033302] Port=0 WR @07=9251DAF321953CCBE1 + 33300.50ns INFO [00033302] Port=1 RD @00 + 33301.50ns INFO [00033303] Port=0 WR @03=7A6D5663CC1A8D4994 + 33301.50ns INFO [00033303] Port=0 RD @02 + 33301.50ns INFO [00033303] Port=1 RD @01 + 33302.50ns INFO [00033304] * RD COMPARE * port=1 adr=00 act=EFF8EB7AC676AFA918 exp=EFF8EB7AC676AFA918 + 33302.50ns INFO [00033304] Port=0 RD @04 + 33303.50ns INFO [00033305] * RD COMPARE * port=0 adr=02 act=AA6D4EAF975341C0FD exp=AA6D4EAF975341C0FD + 33303.50ns INFO [00033305] * RD COMPARE * port=1 adr=01 act=D175366AC309BDA527 exp=D175366AC309BDA527 + 33303.50ns INFO [00033305] Port=0 WR @04=C9EF5AB0986BFCC376 + 33304.50ns INFO [00033306] * RD COMPARE * port=0 adr=04 act=BA3619A33311A58881 exp=BA3619A33311A58881 + 33304.50ns INFO [00033306] Port=1 RD @03 + 33305.50ns INFO [00033307] Port=0 WR @00=77B14003B9BE407A69 + 33305.50ns INFO [00033307] Port=1 RD @02 + 33306.50ns INFO [00033308] * RD COMPARE * port=1 adr=03 act=7A6D5663CC1A8D4994 exp=7A6D5663CC1A8D4994 + 33306.50ns INFO [00033308] Port=0 RD @04 + 33307.50ns INFO [00033309] * RD COMPARE * port=1 adr=02 act=AA6D4EAF975341C0FD exp=AA6D4EAF975341C0FD + 33307.50ns INFO [00033309] Port=0 WR @07=A756CFC071C0588C49 + 33307.50ns INFO [00033309] Port=1 RD @05 + 33308.50ns INFO [00033310] * RD COMPARE * port=0 adr=04 act=C9EF5AB0986BFCC376 exp=C9EF5AB0986BFCC376 + 33308.50ns INFO [00033310] Port=0 RD @03 + 33309.50ns INFO [00033311] * RD COMPARE * port=1 adr=05 act=89012E2F03E308DE29 exp=89012E2F03E308DE29 + 33309.50ns INFO [00033311] Port=0 WR @06=05095CCBA027DB33F2 + 33309.50ns INFO [00033311] Port=0 RD @07 + 33310.50ns INFO [00033312] * RD COMPARE * port=0 adr=03 act=7A6D5663CC1A8D4994 exp=7A6D5663CC1A8D4994 + 33310.50ns INFO [00033312] Port=0 WR @02=429ADA5BFAA87E796B + 33311.50ns INFO [00033313] * RD COMPARE * port=0 adr=07 act=A756CFC071C0588C49 exp=A756CFC071C0588C49 + 33311.50ns INFO [00033313] Port=0 WR @00=AC8DA2A79F2EE7C290 + 33311.50ns INFO [00033313] Port=1 RD @03 + 33312.50ns INFO [00033314] Port=0 RD @01 + 33313.50ns INFO [00033315] * RD COMPARE * port=1 adr=03 act=7A6D5663CC1A8D4994 exp=7A6D5663CC1A8D4994 + 33313.50ns INFO [00033315] Port=0 WR @03=A4172401DFAFAA53D9 + 33313.50ns INFO [00033315] Port=1 RD @02 + 33314.50ns INFO [00033316] * RD COMPARE * port=0 adr=01 act=D175366AC309BDA527 exp=D175366AC309BDA527 + 33314.50ns INFO [00033316] Port=0 WR @02=138FB8BDB900AF1CF9 + 33314.50ns INFO [00033316] Port=0 RD @00 + 33315.50ns INFO [00033317] * RD COMPARE * port=1 adr=02 act=429ADA5BFAA87E796B exp=429ADA5BFAA87E796B + 33315.50ns INFO [00033317] Port=1 RD @06 + 33316.50ns INFO [00033318] * RD COMPARE * port=0 adr=00 act=AC8DA2A79F2EE7C290 exp=AC8DA2A79F2EE7C290 + 33316.50ns INFO [00033318] Port=0 WR @07=FE7D8B340EA7497934 + 33316.50ns INFO [00033318] Port=0 RD @01 + 33316.50ns INFO [00033318] Port=1 RD @04 + 33317.50ns INFO [00033319] * RD COMPARE * port=1 adr=06 act=05095CCBA027DB33F2 exp=05095CCBA027DB33F2 + 33317.50ns INFO [00033319] Port=0 WR @07=2D7C7348F7BD38B8FC + 33318.50ns INFO [00033320] * RD COMPARE * port=0 adr=01 act=D175366AC309BDA527 exp=D175366AC309BDA527 + 33318.50ns INFO [00033320] * RD COMPARE * port=1 adr=04 act=C9EF5AB0986BFCC376 exp=C9EF5AB0986BFCC376 + 33318.50ns INFO [00033320] Port=1 RD @07 + 33319.50ns INFO [00033321] Port=0 WR @06=1134D6DD69F2C4E40F + 33319.50ns INFO [00033321] Port=0 RD @03 + 33319.50ns INFO [00033321] Port=1 RD @05 + 33320.50ns INFO [00033322] * RD COMPARE * port=1 adr=07 act=2D7C7348F7BD38B8FC exp=2D7C7348F7BD38B8FC + 33320.50ns INFO [00033322] Port=1 RD @00 + 33321.50ns INFO [00033323] * RD COMPARE * port=0 adr=03 act=A4172401DFAFAA53D9 exp=A4172401DFAFAA53D9 + 33321.50ns INFO [00033323] * RD COMPARE * port=1 adr=05 act=89012E2F03E308DE29 exp=89012E2F03E308DE29 + 33322.50ns INFO [00033324] * RD COMPARE * port=1 adr=00 act=AC8DA2A79F2EE7C290 exp=AC8DA2A79F2EE7C290 + 33323.50ns INFO [00033325] Port=0 WR @06=339B1D455EC03A4AA8 + 33323.50ns INFO [00033325] Port=1 RD @03 + 33325.50ns INFO [00033327] * RD COMPARE * port=1 adr=03 act=A4172401DFAFAA53D9 exp=A4172401DFAFAA53D9 + 33325.50ns INFO [00033327] Port=0 WR @00=E9D0AFE17DDD17CEA3 + 33325.50ns INFO [00033327] Port=0 RD @06 + 33326.50ns INFO [00033328] Port=1 RD @03 + 33327.50ns INFO [00033329] * RD COMPARE * port=0 adr=06 act=339B1D455EC03A4AA8 exp=339B1D455EC03A4AA8 + 33327.50ns INFO [00033329] Port=0 RD @00 + 33328.50ns INFO [00033330] * RD COMPARE * port=1 adr=03 act=A4172401DFAFAA53D9 exp=A4172401DFAFAA53D9 + 33329.50ns INFO [00033331] * RD COMPARE * port=0 adr=00 act=E9D0AFE17DDD17CEA3 exp=E9D0AFE17DDD17CEA3 + 33329.50ns INFO [00033331] Port=0 WR @00=83720B9B0B7FAA4246 + 33329.50ns INFO [00033331] Port=0 RD @01 + 33331.50ns INFO [00033333] * RD COMPARE * port=0 adr=01 act=D175366AC309BDA527 exp=D175366AC309BDA527 + 33331.50ns INFO [00033333] Port=0 WR @01=013F6F2491DAB6B263 + 33331.50ns INFO [00033333] Port=0 RD @03 + 33333.50ns INFO [00033335] * RD COMPARE * port=0 adr=03 act=A4172401DFAFAA53D9 exp=A4172401DFAFAA53D9 + 33334.50ns INFO [00033336] Port=1 RD @04 + 33335.50ns INFO [00033337] Port=0 WR @00=59A10882F51F1B15A4 + 33335.50ns INFO [00033337] Port=0 RD @03 + 33336.50ns INFO [00033338] * RD COMPARE * port=1 adr=04 act=C9EF5AB0986BFCC376 exp=C9EF5AB0986BFCC376 + 33336.50ns INFO [00033338] Port=0 RD @01 + 33337.50ns INFO [00033339] * RD COMPARE * port=0 adr=03 act=A4172401DFAFAA53D9 exp=A4172401DFAFAA53D9 + 33337.50ns INFO [00033339] Port=0 WR @07=4E44A3A9767D08E416 + 33337.50ns INFO [00033339] Port=1 RD @04 + 33338.50ns INFO [00033340] * RD COMPARE * port=0 adr=01 act=013F6F2491DAB6B263 exp=013F6F2491DAB6B263 + 33338.50ns INFO [00033340] Port=0 WR @05=9F71D6FBACF5BCBFC4 + 33338.50ns INFO [00033340] Port=1 RD @01 + 33339.50ns INFO [00033341] * RD COMPARE * port=1 adr=04 act=C9EF5AB0986BFCC376 exp=C9EF5AB0986BFCC376 + 33339.50ns INFO [00033341] Port=0 WR @01=048D290DACF0F90595 + 33340.50ns INFO [00033342] * RD COMPARE * port=1 adr=01 act=013F6F2491DAB6B263 exp=013F6F2491DAB6B263 + 33340.50ns INFO [00033342] Port=0 RD @05 + 33340.50ns INFO [00033342] Port=1 RD @02 + 33341.50ns INFO [00033343] Port=0 WR @05=317F0939B7E9FBF124 + 33341.50ns INFO [00033343] Port=1 RD @00 + 33342.50ns INFO [00033344] * RD COMPARE * port=0 adr=05 act=9F71D6FBACF5BCBFC4 exp=9F71D6FBACF5BCBFC4 + 33342.50ns INFO [00033344] * RD COMPARE * port=1 adr=02 act=138FB8BDB900AF1CF9 exp=138FB8BDB900AF1CF9 + 33343.50ns INFO [00033345] * RD COMPARE * port=1 adr=00 act=59A10882F51F1B15A4 exp=59A10882F51F1B15A4 + 33343.50ns INFO [00033345] Port=0 RD @04 + 33343.50ns INFO [00033345] Port=1 RD @00 + 33344.50ns INFO [00033346] Port=1 RD @07 + 33345.50ns INFO [00033347] * RD COMPARE * port=0 adr=04 act=C9EF5AB0986BFCC376 exp=C9EF5AB0986BFCC376 + 33345.50ns INFO [00033347] * RD COMPARE * port=1 adr=00 act=59A10882F51F1B15A4 exp=59A10882F51F1B15A4 + 33345.50ns INFO [00033347] Port=0 RD @04 + 33346.50ns INFO [00033348] * RD COMPARE * port=1 adr=07 act=4E44A3A9767D08E416 exp=4E44A3A9767D08E416 + 33346.50ns INFO [00033348] Port=0 WR @06=9D779B68BAB88D207D + 33346.50ns INFO [00033348] Port=0 RD @03 + 33346.50ns INFO [00033348] Port=1 RD @04 + 33347.50ns INFO [00033349] * RD COMPARE * port=0 adr=04 act=C9EF5AB0986BFCC376 exp=C9EF5AB0986BFCC376 + 33348.50ns INFO [00033350] * RD COMPARE * port=0 adr=03 act=A4172401DFAFAA53D9 exp=A4172401DFAFAA53D9 + 33348.50ns INFO [00033350] * RD COMPARE * port=1 adr=04 act=C9EF5AB0986BFCC376 exp=C9EF5AB0986BFCC376 + 33351.50ns INFO [00033353] Port=0 WR @04=8BB9AE5A624C70E8DC + 33351.50ns INFO [00033353] Port=1 RD @00 + 33352.50ns INFO [00033354] Port=0 WR @04=86A0B355ACBFD6348B + 33352.50ns INFO [00033354] Port=1 RD @01 + 33353.50ns INFO [00033355] * RD COMPARE * port=1 adr=00 act=59A10882F51F1B15A4 exp=59A10882F51F1B15A4 + 33353.50ns INFO [00033355] Port=0 RD @01 + 33354.50ns INFO [00033356] * RD COMPARE * port=1 adr=01 act=048D290DACF0F90595 exp=048D290DACF0F90595 + 33355.50ns INFO [00033357] * RD COMPARE * port=0 adr=01 act=048D290DACF0F90595 exp=048D290DACF0F90595 + 33355.50ns INFO [00033357] Port=0 WR @05=7EA25DB280D256DDB3 + 33357.50ns INFO [00033359] Port=0 WR @01=035F28F04833206B53 + 33358.50ns INFO [00033360] Port=0 WR @05=6A8D10964A691CC1CD + 33358.50ns INFO [00033360] Port=0 RD @04 + 33359.50ns INFO [00033361] Port=1 RD @05 + 33360.50ns INFO [00033362] * RD COMPARE * port=0 adr=04 act=86A0B355ACBFD6348B exp=86A0B355ACBFD6348B + 33360.50ns INFO [00033362] Port=1 RD @05 + 33361.50ns INFO [00033363] * RD COMPARE * port=1 adr=05 act=6A8D10964A691CC1CD exp=6A8D10964A691CC1CD + 33362.50ns INFO [00033364] * RD COMPARE * port=1 adr=05 act=6A8D10964A691CC1CD exp=6A8D10964A691CC1CD + 33362.50ns INFO [00033364] Port=1 RD @02 + 33364.50ns INFO [00033366] * RD COMPARE * port=1 adr=02 act=138FB8BDB900AF1CF9 exp=138FB8BDB900AF1CF9 + 33364.50ns INFO [00033366] Port=0 WR @01=76153BB1146F60FFB3 + 33364.50ns INFO [00033366] Port=1 RD @00 + 33365.50ns INFO [00033367] Port=0 RD @04 + 33366.50ns INFO [00033368] * RD COMPARE * port=1 adr=00 act=59A10882F51F1B15A4 exp=59A10882F51F1B15A4 + 33366.50ns INFO [00033368] Port=0 RD @07 + 33366.50ns INFO [00033368] Port=1 RD @04 + 33367.50ns INFO [00033369] * RD COMPARE * port=0 adr=04 act=86A0B355ACBFD6348B exp=86A0B355ACBFD6348B + 33367.50ns INFO [00033369] Port=0 RD @01 + 33367.50ns INFO [00033369] Port=1 RD @03 + 33368.50ns INFO [00033370] * RD COMPARE * port=0 adr=07 act=4E44A3A9767D08E416 exp=4E44A3A9767D08E416 + 33368.50ns INFO [00033370] * RD COMPARE * port=1 adr=04 act=86A0B355ACBFD6348B exp=86A0B355ACBFD6348B + 33368.50ns INFO [00033370] Port=0 WR @05=D42680112814BA6D74 + 33368.50ns INFO [00033370] Port=0 RD @07 + 33368.50ns INFO [00033370] Port=1 RD @03 + 33369.50ns INFO [00033371] * RD COMPARE * port=0 adr=01 act=76153BB1146F60FFB3 exp=76153BB1146F60FFB3 + 33369.50ns INFO [00033371] * RD COMPARE * port=1 adr=03 act=A4172401DFAFAA53D9 exp=A4172401DFAFAA53D9 + 33369.50ns INFO [00033371] Port=0 RD @00 + 33369.50ns INFO [00033371] Port=1 RD @01 + 33370.50ns INFO [00033372] * RD COMPARE * port=0 adr=07 act=4E44A3A9767D08E416 exp=4E44A3A9767D08E416 + 33370.50ns INFO [00033372] * RD COMPARE * port=1 adr=03 act=A4172401DFAFAA53D9 exp=A4172401DFAFAA53D9 + 33370.50ns INFO [00033372] Port=0 WR @02=6CD9A100A1ABEE78D7 + 33371.50ns INFO [00033373] * RD COMPARE * port=0 adr=00 act=59A10882F51F1B15A4 exp=59A10882F51F1B15A4 + 33371.50ns INFO [00033373] * RD COMPARE * port=1 adr=01 act=76153BB1146F60FFB3 exp=76153BB1146F60FFB3 + 33371.50ns INFO [00033373] Port=0 WR @03=2AA7B68AAA560B88B4 + 33374.50ns INFO [00033376] Port=0 WR @03=96278E0A70EC969F74 + 33374.50ns INFO [00033376] Port=0 RD @00 + 33375.50ns INFO [00033377] Port=0 WR @01=D2EC9B6BB2B3FFD4BF + 33376.50ns INFO [00033378] * RD COMPARE * port=0 adr=00 act=59A10882F51F1B15A4 exp=59A10882F51F1B15A4 + 33380.50ns INFO [00033382] Port=0 RD @07 + 33380.50ns INFO [00033382] Port=1 RD @06 + 33381.50ns INFO [00033383] Port=0 WR @05=E47F07057046E3ADC1 + 33381.50ns INFO [00033383] Port=1 RD @00 + 33382.50ns INFO [00033384] * RD COMPARE * port=0 adr=07 act=4E44A3A9767D08E416 exp=4E44A3A9767D08E416 + 33382.50ns INFO [00033384] * RD COMPARE * port=1 adr=06 act=9D779B68BAB88D207D exp=9D779B68BAB88D207D + 33382.50ns INFO [00033384] Port=0 WR @04=29C6F317FB44F03BAF + 33382.50ns INFO [00033384] Port=1 RD @02 + 33383.50ns INFO [00033385] * RD COMPARE * port=1 adr=00 act=59A10882F51F1B15A4 exp=59A10882F51F1B15A4 + 33383.50ns INFO [00033385] Port=0 RD @05 + 33384.50ns INFO [00033386] * RD COMPARE * port=1 adr=02 act=6CD9A100A1ABEE78D7 exp=6CD9A100A1ABEE78D7 + 33384.50ns INFO [00033386] Port=0 WR @01=580BD442E688CBA918 + 33384.50ns INFO [00033386] Port=0 RD @07 + 33385.50ns INFO [00033387] * RD COMPARE * port=0 adr=05 act=E47F07057046E3ADC1 exp=E47F07057046E3ADC1 + 33385.50ns INFO [00033387] Port=0 WR @00=5185B6DBBA2DB35753 + 33385.50ns INFO [00033387] Port=1 RD @04 + 33386.50ns INFO [00033388] * RD COMPARE * port=0 adr=07 act=4E44A3A9767D08E416 exp=4E44A3A9767D08E416 + 33387.50ns INFO [00033389] * RD COMPARE * port=1 adr=04 act=29C6F317FB44F03BAF exp=29C6F317FB44F03BAF + 33388.50ns INFO [00033390] Port=0 RD @01 + 33389.50ns INFO [00033391] Port=1 RD @06 + 33390.50ns INFO [00033392] * RD COMPARE * port=0 adr=01 act=580BD442E688CBA918 exp=580BD442E688CBA918 + 33390.50ns INFO [00033392] Port=0 WR @04=A8DDBF9E5AE05931D0 + 33390.50ns INFO [00033392] Port=1 RD @00 + 33391.50ns INFO [00033393] * RD COMPARE * port=1 adr=06 act=9D779B68BAB88D207D exp=9D779B68BAB88D207D + 33391.50ns INFO [00033393] Port=1 RD @01 + 33392.50ns INFO [00033394] * RD COMPARE * port=1 adr=00 act=5185B6DBBA2DB35753 exp=5185B6DBBA2DB35753 + 33392.50ns INFO [00033394] Port=0 WR @04=9CAB0AF77B4FD15322 + 33393.50ns INFO [00033395] * RD COMPARE * port=1 adr=01 act=580BD442E688CBA918 exp=580BD442E688CBA918 + 33393.50ns INFO [00033395] Port=1 RD @07 + 33394.50ns INFO [00033396] Port=1 RD @00 + 33395.50ns INFO [00033397] * RD COMPARE * port=1 adr=07 act=4E44A3A9767D08E416 exp=4E44A3A9767D08E416 + 33395.50ns INFO [00033397] Port=0 WR @07=F7DB5957D266546286 + 33395.50ns INFO [00033397] Port=1 RD @02 + 33396.50ns INFO [00033398] * RD COMPARE * port=1 adr=00 act=5185B6DBBA2DB35753 exp=5185B6DBBA2DB35753 + 33397.50ns INFO [00033399] * RD COMPARE * port=1 adr=02 act=6CD9A100A1ABEE78D7 exp=6CD9A100A1ABEE78D7 + 33397.50ns INFO [00033399] Port=0 RD @07 + 33398.00ns INFO [00033400] [00033400] ...tick... + 33398.50ns INFO [00033400] Port=0 RD @01 + 33399.50ns INFO [00033401] * RD COMPARE * port=0 adr=07 act=F7DB5957D266546286 exp=F7DB5957D266546286 + 33400.50ns INFO [00033402] * RD COMPARE * port=0 adr=01 act=580BD442E688CBA918 exp=580BD442E688CBA918 + 33400.50ns INFO [00033402] Port=1 RD @07 + 33402.50ns INFO [00033404] * RD COMPARE * port=1 adr=07 act=F7DB5957D266546286 exp=F7DB5957D266546286 + 33402.50ns INFO [00033404] Port=0 WR @06=22D615CA4C39AB7B9E + 33402.50ns INFO [00033404] Port=0 RD @05 + 33402.50ns INFO [00033404] Port=1 RD @04 + 33403.50ns INFO [00033405] Port=0 WR @02=1D4C166C977C88FA55 + 33403.50ns INFO [00033405] Port=0 RD @04 + 33404.50ns INFO [00033406] * RD COMPARE * port=0 adr=05 act=E47F07057046E3ADC1 exp=E47F07057046E3ADC1 + 33404.50ns INFO [00033406] * RD COMPARE * port=1 adr=04 act=9CAB0AF77B4FD15322 exp=9CAB0AF77B4FD15322 + 33405.50ns INFO [00033407] * RD COMPARE * port=0 adr=04 act=9CAB0AF77B4FD15322 exp=9CAB0AF77B4FD15322 + 33405.50ns INFO [00033407] Port=0 RD @03 + 33406.50ns INFO [00033408] Port=0 WR @05=A4680CDDB325AF9598 + 33407.50ns INFO [00033409] * RD COMPARE * port=0 adr=03 act=96278E0A70EC969F74 exp=96278E0A70EC969F74 + 33407.50ns INFO [00033409] Port=0 WR @05=2F6BD1BCABE443CC47 + 33407.50ns INFO [00033409] Port=0 RD @06 + 33409.50ns INFO [00033411] * RD COMPARE * port=0 adr=06 act=22D615CA4C39AB7B9E exp=22D615CA4C39AB7B9E + 33410.50ns INFO [00033412] Port=0 WR @04=F5228CC2B29FC63B39 + 33411.50ns INFO [00033413] Port=0 WR @01=6BFD0AD817C6487E03 + 33411.50ns INFO [00033413] Port=1 RD @04 + 33412.50ns INFO [00033414] Port=0 WR @04=EC4CC1564C01B60CB5 + 33412.50ns INFO [00033414] Port=1 RD @03 + 33413.50ns INFO [00033415] * RD COMPARE * port=1 adr=04 act=F5228CC2B29FC63B39 exp=F5228CC2B29FC63B39 + 33413.50ns INFO [00033415] Port=0 WR @04=A65A0439C7069E570C + 33413.50ns INFO [00033415] Port=0 RD @06 + 33413.50ns INFO [00033415] Port=1 RD @00 + 33414.50ns INFO [00033416] * RD COMPARE * port=1 adr=03 act=96278E0A70EC969F74 exp=96278E0A70EC969F74 + 33415.50ns INFO [00033417] * RD COMPARE * port=0 adr=06 act=22D615CA4C39AB7B9E exp=22D615CA4C39AB7B9E + 33415.50ns INFO [00033417] * RD COMPARE * port=1 adr=00 act=5185B6DBBA2DB35753 exp=5185B6DBBA2DB35753 + 33415.50ns INFO [00033417] Port=0 WR @02=03E7698FCFBB795E67 + 33415.50ns INFO [00033417] Port=0 RD @04 + 33417.50ns INFO [00033419] * RD COMPARE * port=0 adr=04 act=A65A0439C7069E570C exp=A65A0439C7069E570C + 33417.50ns INFO [00033419] Port=1 RD @05 + 33418.50ns INFO [00033420] Port=0 WR @07=8DF6FED5D8731BFDD9 + 33418.50ns INFO [00033420] Port=0 RD @03 + 33418.50ns INFO [00033420] Port=1 RD @06 + 33419.50ns INFO [00033421] * RD COMPARE * port=1 adr=05 act=2F6BD1BCABE443CC47 exp=2F6BD1BCABE443CC47 + 33420.50ns INFO [00033422] * RD COMPARE * port=0 adr=03 act=96278E0A70EC969F74 exp=96278E0A70EC969F74 + 33420.50ns INFO [00033422] * RD COMPARE * port=1 adr=06 act=22D615CA4C39AB7B9E exp=22D615CA4C39AB7B9E + 33420.50ns INFO [00033422] Port=0 WR @05=13DE6D3B8D8700C315 + 33420.50ns INFO [00033422] Port=0 RD @07 + 33420.50ns INFO [00033422] Port=1 RD @07 + 33421.50ns INFO [00033423] Port=1 RD @00 + 33422.50ns INFO [00033424] * RD COMPARE * port=0 adr=07 act=8DF6FED5D8731BFDD9 exp=8DF6FED5D8731BFDD9 + 33422.50ns INFO [00033424] * RD COMPARE * port=1 adr=07 act=8DF6FED5D8731BFDD9 exp=8DF6FED5D8731BFDD9 + 33423.50ns INFO [00033425] * RD COMPARE * port=1 adr=00 act=5185B6DBBA2DB35753 exp=5185B6DBBA2DB35753 + 33423.50ns INFO [00033425] Port=0 RD @07 + 33423.50ns INFO [00033425] Port=1 RD @06 + 33424.50ns INFO [00033426] Port=0 RD @06 + 33425.50ns INFO [00033427] * RD COMPARE * port=0 adr=07 act=8DF6FED5D8731BFDD9 exp=8DF6FED5D8731BFDD9 + 33425.50ns INFO [00033427] * RD COMPARE * port=1 adr=06 act=22D615CA4C39AB7B9E exp=22D615CA4C39AB7B9E + 33425.50ns INFO [00033427] Port=1 RD @03 + 33426.50ns INFO [00033428] * RD COMPARE * port=0 adr=06 act=22D615CA4C39AB7B9E exp=22D615CA4C39AB7B9E + 33427.50ns INFO [00033429] * RD COMPARE * port=1 adr=03 act=96278E0A70EC969F74 exp=96278E0A70EC969F74 + 33428.50ns INFO [00033430] Port=1 RD @05 + 33429.50ns INFO [00033431] Port=0 WR @03=F9D2A8376BF5262E38 + 33429.50ns INFO [00033431] Port=0 RD @04 + 33429.50ns INFO [00033431] Port=1 RD @05 + 33430.50ns INFO [00033432] * RD COMPARE * port=1 adr=05 act=13DE6D3B8D8700C315 exp=13DE6D3B8D8700C315 + 33430.50ns INFO [00033432] Port=0 RD @01 + 33431.50ns INFO [00033433] * RD COMPARE * port=0 adr=04 act=A65A0439C7069E570C exp=A65A0439C7069E570C + 33431.50ns INFO [00033433] * RD COMPARE * port=1 adr=05 act=13DE6D3B8D8700C315 exp=13DE6D3B8D8700C315 + 33431.50ns INFO [00033433] Port=0 RD @03 + 33432.50ns INFO [00033434] * RD COMPARE * port=0 adr=01 act=6BFD0AD817C6487E03 exp=6BFD0AD817C6487E03 + 33432.50ns INFO [00033434] Port=0 WR @01=40AFEC77393081DF05 + 33432.50ns INFO [00033434] Port=0 RD @04 + 33433.50ns INFO [00033435] * RD COMPARE * port=0 adr=03 act=F9D2A8376BF5262E38 exp=F9D2A8376BF5262E38 + 33433.50ns INFO [00033435] Port=1 RD @00 + 33434.50ns INFO [00033436] * RD COMPARE * port=0 adr=04 act=A65A0439C7069E570C exp=A65A0439C7069E570C + 33435.50ns INFO [00033437] * RD COMPARE * port=1 adr=00 act=5185B6DBBA2DB35753 exp=5185B6DBBA2DB35753 + 33435.50ns INFO [00033437] Port=1 RD @02 + 33436.50ns INFO [00033438] Port=0 WR @07=7F2E72E27E6FB4BF5D + 33436.50ns INFO [00033438] Port=1 RD @01 + 33437.50ns INFO [00033439] * RD COMPARE * port=1 adr=02 act=03E7698FCFBB795E67 exp=03E7698FCFBB795E67 + 33437.50ns INFO [00033439] Port=0 RD @01 + 33438.50ns INFO [00033440] * RD COMPARE * port=1 adr=01 act=40AFEC77393081DF05 exp=40AFEC77393081DF05 + 33439.50ns INFO [00033441] * RD COMPARE * port=0 adr=01 act=40AFEC77393081DF05 exp=40AFEC77393081DF05 + 33439.50ns INFO [00033441] Port=1 RD @02 + 33441.50ns INFO [00033443] * RD COMPARE * port=1 adr=02 act=03E7698FCFBB795E67 exp=03E7698FCFBB795E67 + 33441.50ns INFO [00033443] Port=0 WR @02=25D0F9F156B4929DA8 + 33442.50ns INFO [00033444] Port=0 RD @04 + 33443.50ns INFO [00033445] Port=1 RD @04 + 33444.50ns INFO [00033446] * RD COMPARE * port=0 adr=04 act=A65A0439C7069E570C exp=A65A0439C7069E570C + 33444.50ns INFO [00033446] Port=0 WR @00=424C2D56E067B61C82 + 33444.50ns INFO [00033446] Port=1 RD @01 + 33445.50ns INFO [00033447] * RD COMPARE * port=1 adr=04 act=A65A0439C7069E570C exp=A65A0439C7069E570C + 33445.50ns INFO [00033447] Port=1 RD @03 + 33446.50ns INFO [00033448] * RD COMPARE * port=1 adr=01 act=40AFEC77393081DF05 exp=40AFEC77393081DF05 + 33446.50ns INFO [00033448] Port=0 WR @05=281BDFACC1DB6265F8 + 33446.50ns INFO [00033448] Port=0 RD @04 + 33446.50ns INFO [00033448] Port=1 RD @04 + 33447.50ns INFO [00033449] * RD COMPARE * port=1 adr=03 act=F9D2A8376BF5262E38 exp=F9D2A8376BF5262E38 + 33448.50ns INFO [00033450] * RD COMPARE * port=0 adr=04 act=A65A0439C7069E570C exp=A65A0439C7069E570C + 33448.50ns INFO [00033450] * RD COMPARE * port=1 adr=04 act=A65A0439C7069E570C exp=A65A0439C7069E570C + 33448.50ns INFO [00033450] Port=1 RD @00 + 33449.50ns INFO [00033451] Port=0 WR @01=7B86CD847192164B56 + 33449.50ns INFO [00033451] Port=0 RD @07 + 33450.50ns INFO [00033452] * RD COMPARE * port=1 adr=00 act=424C2D56E067B61C82 exp=424C2D56E067B61C82 + 33450.50ns INFO [00033452] Port=0 RD @02 + 33451.50ns INFO [00033453] * RD COMPARE * port=0 adr=07 act=7F2E72E27E6FB4BF5D exp=7F2E72E27E6FB4BF5D + 33452.50ns INFO [00033454] * RD COMPARE * port=0 adr=02 act=25D0F9F156B4929DA8 exp=25D0F9F156B4929DA8 + 33453.50ns INFO [00033455] Port=0 RD @06 + 33455.50ns INFO [00033457] * RD COMPARE * port=0 adr=06 act=22D615CA4C39AB7B9E exp=22D615CA4C39AB7B9E + 33455.50ns INFO [00033457] Port=0 WR @06=581D412D11857B0FF3 + 33458.50ns INFO [00033460] Port=0 RD @06 + 33460.50ns INFO [00033462] * RD COMPARE * port=0 adr=06 act=581D412D11857B0FF3 exp=581D412D11857B0FF3 + 33460.50ns INFO [00033462] Port=0 WR @06=C25C0EB191424F8F14 + 33460.50ns INFO [00033462] Port=1 RD @07 + 33462.50ns INFO [00033464] * RD COMPARE * port=1 adr=07 act=7F2E72E27E6FB4BF5D exp=7F2E72E27E6FB4BF5D + 33462.50ns INFO [00033464] Port=0 WR @00=1F9B660D1ABBD5A7C4 + 33462.50ns INFO [00033464] Port=1 RD @04 + 33463.50ns INFO [00033465] Port=0 WR @01=C596928281BE01FE96 + 33463.50ns INFO [00033465] Port=1 RD @07 + 33464.50ns INFO [00033466] * RD COMPARE * port=1 adr=04 act=A65A0439C7069E570C exp=A65A0439C7069E570C + 33464.50ns INFO [00033466] Port=0 WR @02=478150ECE6503D5ABC + 33464.50ns INFO [00033466] Port=0 RD @01 + 33465.50ns INFO [00033467] * RD COMPARE * port=1 adr=07 act=7F2E72E27E6FB4BF5D exp=7F2E72E27E6FB4BF5D + 33465.50ns INFO [00033467] Port=0 WR @02=98A567A9BDD9B2E2B4 + 33465.50ns INFO [00033467] Port=0 RD @00 + 33465.50ns INFO [00033467] Port=1 RD @04 + 33466.50ns INFO [00033468] * RD COMPARE * port=0 adr=01 act=C596928281BE01FE96 exp=C596928281BE01FE96 + 33466.50ns INFO [00033468] Port=0 RD @02 + 33467.50ns INFO [00033469] * RD COMPARE * port=0 adr=00 act=1F9B660D1ABBD5A7C4 exp=1F9B660D1ABBD5A7C4 + 33467.50ns INFO [00033469] * RD COMPARE * port=1 adr=04 act=A65A0439C7069E570C exp=A65A0439C7069E570C + 33467.50ns INFO [00033469] Port=0 WR @02=CC47C492A18AF0228C + 33468.50ns INFO [00033470] * RD COMPARE * port=0 adr=02 act=98A567A9BDD9B2E2B4 exp=98A567A9BDD9B2E2B4 + 33470.50ns INFO [00033472] Port=0 WR @01=EE53C7B2C1656A8000 + 33470.50ns INFO [00033472] Port=1 RD @02 + 33472.50ns INFO [00033474] * RD COMPARE * port=1 adr=02 act=CC47C492A18AF0228C exp=CC47C492A18AF0228C + 33472.50ns INFO [00033474] Port=0 WR @04=47F602E662A0938738 + 33472.50ns INFO [00033474] Port=1 RD @07 + 33473.50ns INFO [00033475] Port=0 WR @03=A1183705B733E20C73 + 33473.50ns INFO [00033475] Port=0 RD @00 + 33474.50ns INFO [00033476] * RD COMPARE * port=1 adr=07 act=7F2E72E27E6FB4BF5D exp=7F2E72E27E6FB4BF5D + 33474.50ns INFO [00033476] Port=1 RD @05 + 33475.50ns INFO [00033477] * RD COMPARE * port=0 adr=00 act=1F9B660D1ABBD5A7C4 exp=1F9B660D1ABBD5A7C4 + 33476.50ns INFO [00033478] * RD COMPARE * port=1 adr=05 act=281BDFACC1DB6265F8 exp=281BDFACC1DB6265F8 + 33476.50ns INFO [00033478] Port=0 WR @01=11D483FD41E10D175C + 33476.50ns INFO [00033478] Port=1 RD @05 + 33477.50ns INFO [00033479] Port=0 RD @04 + 33478.50ns INFO [00033480] * RD COMPARE * port=1 adr=05 act=281BDFACC1DB6265F8 exp=281BDFACC1DB6265F8 + 33479.50ns INFO [00033481] * RD COMPARE * port=0 adr=04 act=47F602E662A0938738 exp=47F602E662A0938738 + 33479.50ns INFO [00033481] Port=1 RD @03 + 33480.50ns INFO [00033482] Port=0 WR @04=C443C4B0DF8AE2AC53 + 33480.50ns INFO [00033482] Port=1 RD @05 + 33481.50ns INFO [00033483] * RD COMPARE * port=1 adr=03 act=A1183705B733E20C73 exp=A1183705B733E20C73 + 33481.50ns INFO [00033483] Port=1 RD @06 + 33482.50ns INFO [00033484] * RD COMPARE * port=1 adr=05 act=281BDFACC1DB6265F8 exp=281BDFACC1DB6265F8 + 33482.50ns INFO [00033484] Port=0 WR @01=0FBDDDA1DC11F640E6 + 33483.50ns INFO [00033485] * RD COMPARE * port=1 adr=06 act=C25C0EB191424F8F14 exp=C25C0EB191424F8F14 + 33484.50ns INFO [00033486] Port=1 RD @04 + 33486.50ns INFO [00033488] * RD COMPARE * port=1 adr=04 act=C443C4B0DF8AE2AC53 exp=C443C4B0DF8AE2AC53 + 33486.50ns INFO [00033488] Port=1 RD @00 + 33487.50ns INFO [00033489] Port=0 RD @04 + 33487.50ns INFO [00033489] Port=1 RD @01 + 33488.50ns INFO [00033490] * RD COMPARE * port=1 adr=00 act=1F9B660D1ABBD5A7C4 exp=1F9B660D1ABBD5A7C4 + 33488.50ns INFO [00033490] Port=0 WR @05=0A22F31825282DD683 + 33488.50ns INFO [00033490] Port=0 RD @01 + 33489.50ns INFO [00033491] * RD COMPARE * port=0 adr=04 act=C443C4B0DF8AE2AC53 exp=C443C4B0DF8AE2AC53 + 33489.50ns INFO [00033491] * RD COMPARE * port=1 adr=01 act=0FBDDDA1DC11F640E6 exp=0FBDDDA1DC11F640E6 + 33489.50ns INFO [00033491] Port=0 WR @04=E205D66FEB4A16444F + 33489.50ns INFO [00033491] Port=0 RD @07 + 33489.50ns INFO [00033491] Port=1 RD @00 + 33490.50ns INFO [00033492] * RD COMPARE * port=0 adr=01 act=0FBDDDA1DC11F640E6 exp=0FBDDDA1DC11F640E6 + 33491.50ns INFO [00033493] * RD COMPARE * port=0 adr=07 act=7F2E72E27E6FB4BF5D exp=7F2E72E27E6FB4BF5D + 33491.50ns INFO [00033493] * RD COMPARE * port=1 adr=00 act=1F9B660D1ABBD5A7C4 exp=1F9B660D1ABBD5A7C4 + 33492.50ns INFO [00033494] Port=0 WR @06=868A8C41117AECC63F + 33492.50ns INFO [00033494] Port=1 RD @01 + 33494.50ns INFO [00033496] * RD COMPARE * port=1 adr=01 act=0FBDDDA1DC11F640E6 exp=0FBDDDA1DC11F640E6 + 33495.50ns INFO [00033497] Port=0 WR @03=58226DB3C311FCDEA9 + 33495.50ns INFO [00033497] Port=0 RD @07 + 33496.50ns INFO [00033498] Port=0 WR @05=F2CCF502DB1C1216EF + 33496.50ns INFO [00033498] Port=0 RD @07 + 33496.50ns INFO [00033498] Port=1 RD @01 + 33497.50ns INFO [00033499] * RD COMPARE * port=0 adr=07 act=7F2E72E27E6FB4BF5D exp=7F2E72E27E6FB4BF5D + 33497.50ns INFO [00033499] Port=0 RD @02 + 33498.00ns INFO [00033500] [00033500] ...tick... + 33498.50ns INFO [00033500] * RD COMPARE * port=0 adr=07 act=7F2E72E27E6FB4BF5D exp=7F2E72E27E6FB4BF5D + 33498.50ns INFO [00033500] * RD COMPARE * port=1 adr=01 act=0FBDDDA1DC11F640E6 exp=0FBDDDA1DC11F640E6 + 33499.50ns INFO [00033501] * RD COMPARE * port=0 adr=02 act=CC47C492A18AF0228C exp=CC47C492A18AF0228C + 33499.50ns INFO [00033501] Port=0 RD @05 + 33499.50ns INFO [00033501] Port=1 RD @01 + 33500.50ns INFO [00033502] Port=0 WR @02=D87478F1322279E83D + 33500.50ns INFO [00033502] Port=0 RD @03 + 33501.50ns INFO [00033503] * RD COMPARE * port=0 adr=05 act=F2CCF502DB1C1216EF exp=F2CCF502DB1C1216EF + 33501.50ns INFO [00033503] * RD COMPARE * port=1 adr=01 act=0FBDDDA1DC11F640E6 exp=0FBDDDA1DC11F640E6 + 33501.50ns INFO [00033503] Port=0 WR @07=15AFF7F0D8B316D3ED + 33502.50ns INFO [00033504] * RD COMPARE * port=0 adr=03 act=58226DB3C311FCDEA9 exp=58226DB3C311FCDEA9 + 33506.50ns INFO [00033508] Port=0 RD @02 + 33506.50ns INFO [00033508] Port=1 RD @03 + 33507.50ns INFO [00033509] Port=0 RD @06 + 33507.50ns INFO [00033509] Port=1 RD @06 + 33508.50ns INFO [00033510] * RD COMPARE * port=0 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33508.50ns INFO [00033510] * RD COMPARE * port=1 adr=03 act=58226DB3C311FCDEA9 exp=58226DB3C311FCDEA9 + 33508.50ns INFO [00033510] Port=0 WR @00=E8D811CC8F1A85D212 + 33509.50ns INFO [00033511] * RD COMPARE * port=0 adr=06 act=868A8C41117AECC63F exp=868A8C41117AECC63F + 33509.50ns INFO [00033511] * RD COMPARE * port=1 adr=06 act=868A8C41117AECC63F exp=868A8C41117AECC63F + 33509.50ns INFO [00033511] Port=0 WR @01=50FCDBE0204D8DFEFB + 33510.50ns INFO [00033512] Port=0 WR @06=CA6F6919CD02AFD2CC + 33510.50ns INFO [00033512] Port=1 RD @07 + 33511.50ns INFO [00033513] Port=0 RD @05 + 33512.50ns INFO [00033514] * RD COMPARE * port=1 adr=07 act=15AFF7F0D8B316D3ED exp=15AFF7F0D8B316D3ED + 33513.50ns INFO [00033515] * RD COMPARE * port=0 adr=05 act=F2CCF502DB1C1216EF exp=F2CCF502DB1C1216EF + 33513.50ns INFO [00033515] Port=0 WR @06=73ECB3320439DA6028 + 33515.50ns INFO [00033517] Port=0 RD @04 + 33515.50ns INFO [00033517] Port=1 RD @02 + 33516.50ns INFO [00033518] Port=0 RD @02 + 33516.50ns INFO [00033518] Port=1 RD @06 + 33517.50ns INFO [00033519] * RD COMPARE * port=0 adr=04 act=E205D66FEB4A16444F exp=E205D66FEB4A16444F + 33517.50ns INFO [00033519] * RD COMPARE * port=1 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33517.50ns INFO [00033519] Port=0 WR @07=52102D2428090A6BD7 + 33517.50ns INFO [00033519] Port=0 RD @06 + 33517.50ns INFO [00033519] Port=1 RD @04 + 33518.50ns INFO [00033520] * RD COMPARE * port=0 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33518.50ns INFO [00033520] * RD COMPARE * port=1 adr=06 act=73ECB3320439DA6028 exp=73ECB3320439DA6028 + 33518.50ns INFO [00033520] Port=1 RD @03 + 33519.50ns INFO [00033521] * RD COMPARE * port=0 adr=06 act=73ECB3320439DA6028 exp=73ECB3320439DA6028 + 33519.50ns INFO [00033521] * RD COMPARE * port=1 adr=04 act=E205D66FEB4A16444F exp=E205D66FEB4A16444F + 33519.50ns INFO [00033521] Port=0 RD @06 + 33520.50ns INFO [00033522] * RD COMPARE * port=1 adr=03 act=58226DB3C311FCDEA9 exp=58226DB3C311FCDEA9 + 33520.50ns INFO [00033522] Port=0 WR @06=0034CB0E3E75D6F391 + 33520.50ns INFO [00033522] Port=0 RD @07 + 33520.50ns INFO [00033522] Port=1 RD @02 + 33521.50ns INFO [00033523] * RD COMPARE * port=0 adr=06 act=73ECB3320439DA6028 exp=73ECB3320439DA6028 + 33521.50ns INFO [00033523] Port=0 RD @01 + 33522.50ns INFO [00033524] * RD COMPARE * port=0 adr=07 act=52102D2428090A6BD7 exp=52102D2428090A6BD7 + 33522.50ns INFO [00033524] * RD COMPARE * port=1 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33523.50ns INFO [00033525] * RD COMPARE * port=0 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33523.50ns INFO [00033525] Port=0 WR @07=AECC0CB07C38B94253 + 33523.50ns INFO [00033525] Port=0 RD @03 + 33525.50ns INFO [00033527] * RD COMPARE * port=0 adr=03 act=58226DB3C311FCDEA9 exp=58226DB3C311FCDEA9 + 33525.50ns INFO [00033527] Port=0 WR @03=C1CB03D4F1E72D9C05 + 33526.50ns INFO [00033528] Port=0 WR @03=ABDDACB8168D03FD1A + 33526.50ns INFO [00033528] Port=0 RD @01 + 33526.50ns INFO [00033528] Port=1 RD @01 + 33527.50ns INFO [00033529] Port=0 RD @04 + 33527.50ns INFO [00033529] Port=1 RD @04 + 33528.50ns INFO [00033530] * RD COMPARE * port=0 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33528.50ns INFO [00033530] * RD COMPARE * port=1 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33528.50ns INFO [00033530] Port=0 WR @04=21F4158ADFB4BC298F + 33528.50ns INFO [00033530] Port=0 RD @06 + 33529.50ns INFO [00033531] * RD COMPARE * port=0 adr=04 act=E205D66FEB4A16444F exp=E205D66FEB4A16444F + 33529.50ns INFO [00033531] * RD COMPARE * port=1 adr=04 act=E205D66FEB4A16444F exp=E205D66FEB4A16444F + 33529.50ns INFO [00033531] Port=1 RD @03 + 33530.50ns INFO [00033532] * RD COMPARE * port=0 adr=06 act=0034CB0E3E75D6F391 exp=0034CB0E3E75D6F391 + 33530.50ns INFO [00033532] Port=0 RD @04 + 33531.50ns INFO [00033533] * RD COMPARE * port=1 adr=03 act=ABDDACB8168D03FD1A exp=ABDDACB8168D03FD1A + 33531.50ns INFO [00033533] Port=1 RD @01 + 33532.50ns INFO [00033534] * RD COMPARE * port=0 adr=04 act=21F4158ADFB4BC298F exp=21F4158ADFB4BC298F + 33532.50ns INFO [00033534] Port=0 WR @04=D229B723680056AB22 + 33532.50ns INFO [00033534] Port=0 RD @01 + 33533.50ns INFO [00033535] * RD COMPARE * port=1 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33533.50ns INFO [00033535] Port=1 RD @02 + 33534.50ns INFO [00033536] * RD COMPARE * port=0 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33534.50ns INFO [00033536] Port=0 WR @06=97E8407C10EEF346DA + 33535.50ns INFO [00033537] * RD COMPARE * port=1 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33535.50ns INFO [00033537] Port=0 RD @03 + 33535.50ns INFO [00033537] Port=1 RD @01 + 33537.50ns INFO [00033539] * RD COMPARE * port=0 adr=03 act=ABDDACB8168D03FD1A exp=ABDDACB8168D03FD1A + 33537.50ns INFO [00033539] * RD COMPARE * port=1 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33538.50ns INFO [00033540] Port=0 WR @04=29BEF9CBB103FB2816 + 33538.50ns INFO [00033540] Port=1 RD @05 + 33539.50ns INFO [00033541] Port=0 WR @05=B236D5952CEA766D70 + 33539.50ns INFO [00033541] Port=0 RD @01 + 33540.50ns INFO [00033542] * RD COMPARE * port=1 adr=05 act=F2CCF502DB1C1216EF exp=F2CCF502DB1C1216EF + 33540.50ns INFO [00033542] Port=0 RD @05 + 33541.50ns INFO [00033543] * RD COMPARE * port=0 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33541.50ns INFO [00033543] Port=1 RD @01 + 33542.50ns INFO [00033544] * RD COMPARE * port=0 adr=05 act=B236D5952CEA766D70 exp=B236D5952CEA766D70 + 33542.50ns INFO [00033544] Port=0 WR @03=5398A1FCA4776F66E1 + 33543.50ns INFO [00033545] * RD COMPARE * port=1 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33543.50ns INFO [00033545] Port=0 WR @06=C18A36FD3C3B5796F4 + 33543.50ns INFO [00033545] Port=0 RD @01 + 33545.50ns INFO [00033547] * RD COMPARE * port=0 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33545.50ns INFO [00033547] Port=0 RD @06 + 33546.50ns INFO [00033548] Port=0 RD @04 + 33547.50ns INFO [00033549] * RD COMPARE * port=0 adr=06 act=C18A36FD3C3B5796F4 exp=C18A36FD3C3B5796F4 + 33547.50ns INFO [00033549] Port=0 RD @07 + 33548.50ns INFO [00033550] * RD COMPARE * port=0 adr=04 act=29BEF9CBB103FB2816 exp=29BEF9CBB103FB2816 + 33548.50ns INFO [00033550] Port=0 RD @07 + 33548.50ns INFO [00033550] Port=1 RD @07 + 33549.50ns INFO [00033551] * RD COMPARE * port=0 adr=07 act=AECC0CB07C38B94253 exp=AECC0CB07C38B94253 + 33549.50ns INFO [00033551] Port=1 RD @06 + 33550.50ns INFO [00033552] * RD COMPARE * port=0 adr=07 act=AECC0CB07C38B94253 exp=AECC0CB07C38B94253 + 33550.50ns INFO [00033552] * RD COMPARE * port=1 adr=07 act=AECC0CB07C38B94253 exp=AECC0CB07C38B94253 + 33550.50ns INFO [00033552] Port=0 RD @01 + 33551.50ns INFO [00033553] * RD COMPARE * port=1 adr=06 act=C18A36FD3C3B5796F4 exp=C18A36FD3C3B5796F4 + 33552.50ns INFO [00033554] * RD COMPARE * port=0 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33552.50ns INFO [00033554] Port=0 RD @04 + 33552.50ns INFO [00033554] Port=1 RD @07 + 33553.50ns INFO [00033555] Port=0 WR @05=1ADD2053C45A800A62 + 33553.50ns INFO [00033555] Port=1 RD @02 + 33554.50ns INFO [00033556] * RD COMPARE * port=0 adr=04 act=29BEF9CBB103FB2816 exp=29BEF9CBB103FB2816 + 33554.50ns INFO [00033556] * RD COMPARE * port=1 adr=07 act=AECC0CB07C38B94253 exp=AECC0CB07C38B94253 + 33554.50ns INFO [00033556] Port=0 WR @06=9ED5AB9B98611A5513 + 33555.50ns INFO [00033557] * RD COMPARE * port=1 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33555.50ns INFO [00033557] Port=1 RD @01 + 33557.50ns INFO [00033559] * RD COMPARE * port=1 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33557.50ns INFO [00033559] Port=0 RD @00 + 33558.50ns INFO [00033560] Port=0 WR @00=0FFBC092B9B0B6E109 + 33558.50ns INFO [00033560] Port=0 RD @04 + 33559.50ns INFO [00033561] * RD COMPARE * port=0 adr=00 act=E8D811CC8F1A85D212 exp=E8D811CC8F1A85D212 + 33559.50ns INFO [00033561] Port=0 RD @02 + 33560.50ns INFO [00033562] * RD COMPARE * port=0 adr=04 act=29BEF9CBB103FB2816 exp=29BEF9CBB103FB2816 + 33560.50ns INFO [00033562] Port=0 RD @01 + 33561.50ns INFO [00033563] * RD COMPARE * port=0 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33561.50ns INFO [00033563] Port=1 RD @02 + 33562.50ns INFO [00033564] * RD COMPARE * port=0 adr=01 act=50FCDBE0204D8DFEFB exp=50FCDBE0204D8DFEFB + 33562.50ns INFO [00033564] Port=0 RD @04 + 33563.50ns INFO [00033565] * RD COMPARE * port=1 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33563.50ns INFO [00033565] Port=0 WR @07=5316229ECDC0A1102A + 33564.50ns INFO [00033566] * RD COMPARE * port=0 adr=04 act=29BEF9CBB103FB2816 exp=29BEF9CBB103FB2816 + 33564.50ns INFO [00033566] Port=0 RD @04 + 33566.50ns INFO [00033568] * RD COMPARE * port=0 adr=04 act=29BEF9CBB103FB2816 exp=29BEF9CBB103FB2816 + 33566.50ns INFO [00033568] Port=0 WR @05=FE6FB795B7641CB4BC + 33566.50ns INFO [00033568] Port=0 RD @02 + 33566.50ns INFO [00033568] Port=1 RD @07 + 33567.50ns INFO [00033569] Port=0 WR @01=383DE72C82EE7D043F + 33567.50ns INFO [00033569] Port=0 RD @03 + 33568.50ns INFO [00033570] * RD COMPARE * port=0 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33568.50ns INFO [00033570] * RD COMPARE * port=1 adr=07 act=5316229ECDC0A1102A exp=5316229ECDC0A1102A + 33568.50ns INFO [00033570] Port=0 RD @04 + 33568.50ns INFO [00033570] Port=1 RD @07 + 33569.50ns INFO [00033571] * RD COMPARE * port=0 adr=03 act=5398A1FCA4776F66E1 exp=5398A1FCA4776F66E1 + 33569.50ns INFO [00033571] Port=1 RD @05 + 33570.50ns INFO [00033572] * RD COMPARE * port=0 adr=04 act=29BEF9CBB103FB2816 exp=29BEF9CBB103FB2816 + 33570.50ns INFO [00033572] * RD COMPARE * port=1 adr=07 act=5316229ECDC0A1102A exp=5316229ECDC0A1102A + 33570.50ns INFO [00033572] Port=0 WR @04=F5FAAF6110E9273E54 + 33570.50ns INFO [00033572] Port=0 RD @02 + 33570.50ns INFO [00033572] Port=1 RD @02 + 33571.50ns INFO [00033573] * RD COMPARE * port=1 adr=05 act=FE6FB795B7641CB4BC exp=FE6FB795B7641CB4BC + 33571.50ns INFO [00033573] Port=0 RD @06 + 33572.50ns INFO [00033574] * RD COMPARE * port=0 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33572.50ns INFO [00033574] * RD COMPARE * port=1 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33572.50ns INFO [00033574] Port=0 RD @01 + 33573.50ns INFO [00033575] * RD COMPARE * port=0 adr=06 act=9ED5AB9B98611A5513 exp=9ED5AB9B98611A5513 + 33573.50ns INFO [00033575] Port=0 RD @06 + 33573.50ns INFO [00033575] Port=1 RD @00 + 33574.50ns INFO [00033576] * RD COMPARE * port=0 adr=01 act=383DE72C82EE7D043F exp=383DE72C82EE7D043F + 33575.50ns INFO [00033577] * RD COMPARE * port=0 adr=06 act=9ED5AB9B98611A5513 exp=9ED5AB9B98611A5513 + 33575.50ns INFO [00033577] * RD COMPARE * port=1 adr=00 act=0FFBC092B9B0B6E109 exp=0FFBC092B9B0B6E109 + 33575.50ns INFO [00033577] Port=0 WR @01=1C41040DA220AB763E + 33578.50ns INFO [00033580] Port=0 RD @04 + 33579.50ns INFO [00033581] Port=0 RD @04 + 33579.50ns INFO [00033581] Port=1 RD @00 + 33580.50ns INFO [00033582] * RD COMPARE * port=0 adr=04 act=F5FAAF6110E9273E54 exp=F5FAAF6110E9273E54 + 33581.50ns INFO [00033583] * RD COMPARE * port=0 adr=04 act=F5FAAF6110E9273E54 exp=F5FAAF6110E9273E54 + 33581.50ns INFO [00033583] * RD COMPARE * port=1 adr=00 act=0FFBC092B9B0B6E109 exp=0FFBC092B9B0B6E109 + 33581.50ns INFO [00033583] Port=0 WR @04=25508B982879E6E8C6 + 33581.50ns INFO [00033583] Port=0 RD @06 + 33583.50ns INFO [00033585] * RD COMPARE * port=0 adr=06 act=9ED5AB9B98611A5513 exp=9ED5AB9B98611A5513 + 33584.50ns INFO [00033586] Port=0 WR @04=89F9EBC66EB953CAC6 + 33584.50ns INFO [00033586] Port=1 RD @05 + 33585.50ns INFO [00033587] Port=0 WR @05=8AB17CF39D78E56AFA + 33586.50ns INFO [00033588] * RD COMPARE * port=1 adr=05 act=FE6FB795B7641CB4BC exp=FE6FB795B7641CB4BC + 33586.50ns INFO [00033588] Port=0 RD @02 + 33586.50ns INFO [00033588] Port=1 RD @06 + 33588.50ns INFO [00033590] * RD COMPARE * port=0 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33588.50ns INFO [00033590] * RD COMPARE * port=1 adr=06 act=9ED5AB9B98611A5513 exp=9ED5AB9B98611A5513 + 33591.50ns INFO [00033593] Port=1 RD @01 + 33592.50ns INFO [00033594] Port=0 RD @04 + 33593.50ns INFO [00033595] * RD COMPARE * port=1 adr=01 act=1C41040DA220AB763E exp=1C41040DA220AB763E + 33593.50ns INFO [00033595] Port=1 RD @01 + 33594.50ns INFO [00033596] * RD COMPARE * port=0 adr=04 act=89F9EBC66EB953CAC6 exp=89F9EBC66EB953CAC6 + 33594.50ns INFO [00033596] Port=0 RD @04 + 33595.50ns INFO [00033597] * RD COMPARE * port=1 adr=01 act=1C41040DA220AB763E exp=1C41040DA220AB763E + 33595.50ns INFO [00033597] Port=0 WR @06=F49A3D3F6FEC963CD8 + 33595.50ns INFO [00033597] Port=1 RD @04 + 33596.50ns INFO [00033598] * RD COMPARE * port=0 adr=04 act=89F9EBC66EB953CAC6 exp=89F9EBC66EB953CAC6 + 33597.50ns INFO [00033599] * RD COMPARE * port=1 adr=04 act=89F9EBC66EB953CAC6 exp=89F9EBC66EB953CAC6 + 33597.50ns INFO [00033599] Port=0 WR @04=E9733ADAC6DACC528F + 33597.50ns INFO [00033599] Port=1 RD @02 + 33598.00ns INFO [00033600] [00033600] ...tick... + 33599.50ns INFO [00033601] * RD COMPARE * port=1 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33599.50ns INFO [00033601] Port=0 RD @00 + 33600.50ns INFO [00033602] Port=1 RD @07 + 33601.50ns INFO [00033603] * RD COMPARE * port=0 adr=00 act=0FFBC092B9B0B6E109 exp=0FFBC092B9B0B6E109 + 33601.50ns INFO [00033603] Port=0 RD @02 + 33602.50ns INFO [00033604] * RD COMPARE * port=1 adr=07 act=5316229ECDC0A1102A exp=5316229ECDC0A1102A + 33602.50ns INFO [00033604] Port=0 RD @02 + 33603.50ns INFO [00033605] * RD COMPARE * port=0 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33603.50ns INFO [00033605] Port=1 RD @03 + 33604.50ns INFO [00033606] * RD COMPARE * port=0 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33604.50ns INFO [00033606] Port=0 WR @01=94F976FB28D4EC000D + 33604.50ns INFO [00033606] Port=1 RD @07 + 33605.50ns INFO [00033607] * RD COMPARE * port=1 adr=03 act=5398A1FCA4776F66E1 exp=5398A1FCA4776F66E1 + 33605.50ns INFO [00033607] Port=1 RD @06 + 33606.50ns INFO [00033608] * RD COMPARE * port=1 adr=07 act=5316229ECDC0A1102A exp=5316229ECDC0A1102A + 33606.50ns INFO [00033608] Port=0 RD @01 + 33607.50ns INFO [00033609] * RD COMPARE * port=1 adr=06 act=F49A3D3F6FEC963CD8 exp=F49A3D3F6FEC963CD8 + 33607.50ns INFO [00033609] Port=1 RD @01 + 33608.50ns INFO [00033610] * RD COMPARE * port=0 adr=01 act=94F976FB28D4EC000D exp=94F976FB28D4EC000D + 33608.50ns INFO [00033610] Port=0 WR @04=AFA921C3E57BB6E437 + 33608.50ns INFO [00033610] Port=1 RD @01 + 33609.50ns INFO [00033611] * RD COMPARE * port=1 adr=01 act=94F976FB28D4EC000D exp=94F976FB28D4EC000D + 33610.50ns INFO [00033612] * RD COMPARE * port=1 adr=01 act=94F976FB28D4EC000D exp=94F976FB28D4EC000D + 33610.50ns INFO [00033612] Port=0 WR @04=6FA0D3CACF8155FB13 + 33610.50ns INFO [00033612] Port=0 RD @03 + 33610.50ns INFO [00033612] Port=1 RD @03 + 33611.50ns INFO [00033613] Port=0 WR @04=B51E2183DA7D3CC0B3 + 33611.50ns INFO [00033613] Port=1 RD @07 + 33612.50ns INFO [00033614] * RD COMPARE * port=0 adr=03 act=5398A1FCA4776F66E1 exp=5398A1FCA4776F66E1 + 33612.50ns INFO [00033614] * RD COMPARE * port=1 adr=03 act=5398A1FCA4776F66E1 exp=5398A1FCA4776F66E1 + 33613.50ns INFO [00033615] * RD COMPARE * port=1 adr=07 act=5316229ECDC0A1102A exp=5316229ECDC0A1102A + 33613.50ns INFO [00033615] Port=0 RD @02 + 33613.50ns INFO [00033615] Port=1 RD @03 + 33614.50ns INFO [00033616] Port=0 RD @02 + 33614.50ns INFO [00033616] Port=1 RD @05 + 33615.50ns INFO [00033617] * RD COMPARE * port=0 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33615.50ns INFO [00033617] * RD COMPARE * port=1 adr=03 act=5398A1FCA4776F66E1 exp=5398A1FCA4776F66E1 + 33615.50ns INFO [00033617] Port=0 WR @04=6AFFA3DEBBF6431AFB + 33616.50ns INFO [00033618] * RD COMPARE * port=0 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33616.50ns INFO [00033618] * RD COMPARE * port=1 adr=05 act=8AB17CF39D78E56AFA exp=8AB17CF39D78E56AFA + 33616.50ns INFO [00033618] Port=0 WR @07=6B549CB505EABD4F46 + 33617.50ns INFO [00033619] Port=0 RD @01 + 33619.50ns INFO [00033621] * RD COMPARE * port=0 adr=01 act=94F976FB28D4EC000D exp=94F976FB28D4EC000D + 33619.50ns INFO [00033621] Port=0 RD @07 + 33620.50ns INFO [00033622] Port=1 RD @06 + 33621.50ns INFO [00033623] * RD COMPARE * port=0 adr=07 act=6B549CB505EABD4F46 exp=6B549CB505EABD4F46 + 33621.50ns INFO [00033623] Port=0 RD @06 + 33622.50ns INFO [00033624] * RD COMPARE * port=1 adr=06 act=F49A3D3F6FEC963CD8 exp=F49A3D3F6FEC963CD8 + 33622.50ns INFO [00033624] Port=1 RD @02 + 33623.50ns INFO [00033625] * RD COMPARE * port=0 adr=06 act=F49A3D3F6FEC963CD8 exp=F49A3D3F6FEC963CD8 + 33624.50ns INFO [00033626] * RD COMPARE * port=1 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33628.50ns INFO [00033630] Port=0 RD @03 + 33630.50ns INFO [00033632] * RD COMPARE * port=0 adr=03 act=5398A1FCA4776F66E1 exp=5398A1FCA4776F66E1 + 33630.50ns INFO [00033632] Port=0 WR @04=286637FCA8DB2792EB + 33630.50ns INFO [00033632] Port=0 RD @03 + 33631.50ns INFO [00033633] Port=0 WR @00=5FCB0BE07C629F63FA + 33632.50ns INFO [00033634] * RD COMPARE * port=0 adr=03 act=5398A1FCA4776F66E1 exp=5398A1FCA4776F66E1 + 33632.50ns INFO [00033634] Port=0 WR @07=742DD860D7FE5D5FAC + 33632.50ns INFO [00033634] Port=0 RD @00 + 33632.50ns INFO [00033634] Port=1 RD @02 + 33633.50ns INFO [00033635] Port=0 WR @02=199F110D50AC6576E2 + 33633.50ns INFO [00033635] Port=0 RD @07 + 33633.50ns INFO [00033635] Port=1 RD @01 + 33634.50ns INFO [00033636] * RD COMPARE * port=0 adr=00 act=5FCB0BE07C629F63FA exp=5FCB0BE07C629F63FA + 33634.50ns INFO [00033636] * RD COMPARE * port=1 adr=02 act=D87478F1322279E83D exp=D87478F1322279E83D + 33634.50ns INFO [00033636] Port=0 WR @01=5716FAAABA28E76488 + 33635.50ns INFO [00033637] * RD COMPARE * port=0 adr=07 act=742DD860D7FE5D5FAC exp=742DD860D7FE5D5FAC + 33635.50ns INFO [00033637] * RD COMPARE * port=1 adr=01 act=94F976FB28D4EC000D exp=94F976FB28D4EC000D + 33636.50ns INFO [00033638] Port=0 WR @07=16D384E4B8848B24CE + 33637.50ns INFO [00033639] Port=0 WR @02=BED19FD1D2F03E6CBF + 33638.50ns INFO [00033640] Port=1 RD @01 + 33639.50ns INFO [00033641] Port=0 WR @04=5407490074344383EB + 33640.50ns INFO [00033642] * RD COMPARE * port=1 adr=01 act=5716FAAABA28E76488 exp=5716FAAABA28E76488 + 33640.50ns INFO [00033642] Port=1 RD @01 + 33641.50ns INFO [00033643] Port=0 RD @04 + 33642.50ns INFO [00033644] * RD COMPARE * port=1 adr=01 act=5716FAAABA28E76488 exp=5716FAAABA28E76488 + 33642.50ns INFO [00033644] Port=0 WR @04=39A4E039754BA5F104 + 33643.50ns INFO [00033645] * RD COMPARE * port=0 adr=04 act=5407490074344383EB exp=5407490074344383EB + 33643.50ns INFO [00033645] Port=1 RD @03 + 33644.50ns INFO [00033646] Port=0 WR @07=40A8B1787798309D78 + 33645.50ns INFO [00033647] * RD COMPARE * port=1 adr=03 act=5398A1FCA4776F66E1 exp=5398A1FCA4776F66E1 + 33646.50ns INFO [00033648] Port=0 RD @07 + 33646.50ns INFO [00033648] Port=1 RD @01 + 33647.50ns INFO [00033649] Port=0 RD @05 + 33648.50ns INFO [00033650] * RD COMPARE * port=0 adr=07 act=40A8B1787798309D78 exp=40A8B1787798309D78 + 33648.50ns INFO [00033650] * RD COMPARE * port=1 adr=01 act=5716FAAABA28E76488 exp=5716FAAABA28E76488 + 33648.50ns INFO [00033650] Port=0 WR @00=0C8BB2434A45D328DA + 33649.50ns INFO [00033651] * RD COMPARE * port=0 adr=05 act=8AB17CF39D78E56AFA exp=8AB17CF39D78E56AFA + 33649.50ns INFO [00033651] Port=0 WR @07=3807DE97F4643E2BC3 + 33649.50ns INFO [00033651] Port=0 RD @02 + 33650.50ns INFO [00033652] Port=0 WR @00=F2AF6A745A0C4AA1CA + 33651.50ns INFO [00033653] * RD COMPARE * port=0 adr=02 act=BED19FD1D2F03E6CBF exp=BED19FD1D2F03E6CBF + 33651.50ns INFO [00033653] Port=0 WR @03=EF21ADBB12021BE720 + 33652.50ns INFO [00033654] Port=0 RD @00 + 33652.50ns INFO [00033654] Port=1 RD @07 + 33653.50ns INFO [00033655] Port=0 WR @07=8CDFB6887CFFB20773 + 33653.50ns INFO [00033655] Port=0 RD @05 + 33653.50ns INFO [00033655] Port=1 RD @01 + 33654.50ns INFO [00033656] * RD COMPARE * port=0 adr=00 act=F2AF6A745A0C4AA1CA exp=F2AF6A745A0C4AA1CA + 33654.50ns INFO [00033656] * RD COMPARE * port=1 adr=07 act=3807DE97F4643E2BC3 exp=3807DE97F4643E2BC3 + 33654.50ns INFO [00033656] Port=1 RD @01 + 33655.50ns INFO [00033657] * RD COMPARE * port=0 adr=05 act=8AB17CF39D78E56AFA exp=8AB17CF39D78E56AFA + 33655.50ns INFO [00033657] * RD COMPARE * port=1 adr=01 act=5716FAAABA28E76488 exp=5716FAAABA28E76488 + 33656.50ns INFO [00033658] * RD COMPARE * port=1 adr=01 act=5716FAAABA28E76488 exp=5716FAAABA28E76488 + 33660.50ns INFO [00033662] Port=0 WR @03=68ED66FD60E1F62856 + 33663.50ns INFO [00033665] Port=0 RD @01 + 33664.50ns INFO [00033666] Port=0 RD @03 + 33665.50ns INFO [00033667] * RD COMPARE * port=0 adr=01 act=5716FAAABA28E76488 exp=5716FAAABA28E76488 + 33665.50ns INFO [00033667] Port=0 RD @04 + 33666.50ns INFO [00033668] * RD COMPARE * port=0 adr=03 act=68ED66FD60E1F62856 exp=68ED66FD60E1F62856 + 33666.50ns INFO [00033668] Port=0 WR @02=4981B0EF873C23752D + 33667.50ns INFO [00033669] * RD COMPARE * port=0 adr=04 act=39A4E039754BA5F104 exp=39A4E039754BA5F104 + 33667.50ns INFO [00033669] Port=0 WR @00=E2EBE87AF6B6CB7F5E + 33667.50ns INFO [00033669] Port=0 RD @05 + 33667.50ns INFO [00033669] Port=1 RD @07 + 33668.50ns INFO [00033670] Port=0 RD @04 + 33668.50ns INFO [00033670] Port=1 RD @02 + 33669.50ns INFO [00033671] * RD COMPARE * port=0 adr=05 act=8AB17CF39D78E56AFA exp=8AB17CF39D78E56AFA + 33669.50ns INFO [00033671] * RD COMPARE * port=1 adr=07 act=8CDFB6887CFFB20773 exp=8CDFB6887CFFB20773 + 33670.50ns INFO [00033672] * RD COMPARE * port=0 adr=04 act=39A4E039754BA5F104 exp=39A4E039754BA5F104 + 33670.50ns INFO [00033672] * RD COMPARE * port=1 adr=02 act=4981B0EF873C23752D exp=4981B0EF873C23752D + 33670.50ns INFO [00033672] Port=0 RD @01 + 33670.50ns INFO [00033672] Port=1 RD @05 + 33671.50ns INFO [00033673] Port=0 RD @05 + 33672.50ns INFO [00033674] * RD COMPARE * port=0 adr=01 act=5716FAAABA28E76488 exp=5716FAAABA28E76488 + 33672.50ns INFO [00033674] * RD COMPARE * port=1 adr=05 act=8AB17CF39D78E56AFA exp=8AB17CF39D78E56AFA + 33673.50ns INFO [00033675] * RD COMPARE * port=0 adr=05 act=8AB17CF39D78E56AFA exp=8AB17CF39D78E56AFA + 33674.50ns INFO [00033676] Port=0 WR @07=5B22A04150BAF6015D + 33674.50ns INFO [00033676] Port=0 RD @03 + 33674.50ns INFO [00033676] Port=1 RD @04 + 33675.50ns INFO [00033677] Port=0 WR @02=8139BAE368FA24E2C1 + 33675.50ns INFO [00033677] Port=1 RD @04 + 33676.50ns INFO [00033678] * RD COMPARE * port=0 adr=03 act=68ED66FD60E1F62856 exp=68ED66FD60E1F62856 + 33676.50ns INFO [00033678] * RD COMPARE * port=1 adr=04 act=39A4E039754BA5F104 exp=39A4E039754BA5F104 + 33676.50ns INFO [00033678] Port=1 RD @07 + 33677.50ns INFO [00033679] * RD COMPARE * port=1 adr=04 act=39A4E039754BA5F104 exp=39A4E039754BA5F104 + 33677.50ns INFO [00033679] Port=0 WR @02=4B7BC2BCC2472A5C1E + 33678.50ns INFO [00033680] * RD COMPARE * port=1 adr=07 act=5B22A04150BAF6015D exp=5B22A04150BAF6015D + 33678.50ns INFO [00033680] Port=1 RD @05 + 33679.50ns INFO [00033681] Port=0 RD @06 + 33680.50ns INFO [00033682] * RD COMPARE * port=1 adr=05 act=8AB17CF39D78E56AFA exp=8AB17CF39D78E56AFA + 33680.50ns INFO [00033682] Port=1 RD @00 + 33681.50ns INFO [00033683] * RD COMPARE * port=0 adr=06 act=F49A3D3F6FEC963CD8 exp=F49A3D3F6FEC963CD8 + 33681.50ns INFO [00033683] Port=0 RD @04 + 33681.50ns INFO [00033683] Port=1 RD @06 + 33682.50ns INFO [00033684] * RD COMPARE * port=1 adr=00 act=E2EBE87AF6B6CB7F5E exp=E2EBE87AF6B6CB7F5E + 33682.50ns INFO [00033684] Port=0 RD @03 + 33683.50ns INFO [00033685] * RD COMPARE * port=0 adr=04 act=39A4E039754BA5F104 exp=39A4E039754BA5F104 + 33683.50ns INFO [00033685] * RD COMPARE * port=1 adr=06 act=F49A3D3F6FEC963CD8 exp=F49A3D3F6FEC963CD8 + 33683.50ns INFO [00033685] Port=0 WR @01=690439BFF34B18F883 + 33683.50ns INFO [00033685] Port=1 RD @06 + 33684.50ns INFO [00033686] * RD COMPARE * port=0 adr=03 act=68ED66FD60E1F62856 exp=68ED66FD60E1F62856 + 33684.50ns INFO [00033686] Port=0 RD @04 + 33685.50ns INFO [00033687] * RD COMPARE * port=1 adr=06 act=F49A3D3F6FEC963CD8 exp=F49A3D3F6FEC963CD8 + 33686.50ns INFO [00033688] * RD COMPARE * port=0 adr=04 act=39A4E039754BA5F104 exp=39A4E039754BA5F104 + 33686.50ns INFO [00033688] Port=1 RD @04 + 33688.50ns INFO [00033690] * RD COMPARE * port=1 adr=04 act=39A4E039754BA5F104 exp=39A4E039754BA5F104 + 33689.50ns INFO [00033691] Port=0 WR @03=FD0BBFF8F94C04AF8C + 33690.50ns INFO [00033692] Port=0 RD @00 + 33690.50ns INFO [00033692] Port=1 RD @02 + 33691.50ns INFO [00033693] Port=0 RD @07 + 33692.50ns INFO [00033694] * RD COMPARE * port=0 adr=00 act=E2EBE87AF6B6CB7F5E exp=E2EBE87AF6B6CB7F5E + 33692.50ns INFO [00033694] * RD COMPARE * port=1 adr=02 act=4B7BC2BCC2472A5C1E exp=4B7BC2BCC2472A5C1E + 33692.50ns INFO [00033694] Port=1 RD @05 + 33693.50ns INFO [00033695] * RD COMPARE * port=0 adr=07 act=5B22A04150BAF6015D exp=5B22A04150BAF6015D + 33693.50ns INFO [00033695] Port=0 RD @01 + 33694.50ns INFO [00033696] * RD COMPARE * port=1 adr=05 act=8AB17CF39D78E56AFA exp=8AB17CF39D78E56AFA + 33694.50ns INFO [00033696] Port=0 RD @04 + 33694.50ns INFO [00033696] Port=1 RD @01 + 33695.50ns INFO [00033697] * RD COMPARE * port=0 adr=01 act=690439BFF34B18F883 exp=690439BFF34B18F883 + 33695.50ns INFO [00033697] Port=0 WR @00=8CE3EBFDFAB0D75138 + 33696.50ns INFO [00033698] * RD COMPARE * port=0 adr=04 act=39A4E039754BA5F104 exp=39A4E039754BA5F104 + 33696.50ns INFO [00033698] * RD COMPARE * port=1 adr=01 act=690439BFF34B18F883 exp=690439BFF34B18F883 + 33696.50ns INFO [00033698] Port=0 RD @07 + 33696.50ns INFO [00033698] Port=1 RD @03 + 33697.50ns INFO [00033699] Port=0 WR @07=9E0CD8A00592E8ABDA + 33697.50ns INFO [00033699] Port=1 RD @01 + 33698.00ns INFO [00033700] [00033700] ...tick... + 33698.50ns INFO [00033700] * RD COMPARE * port=0 adr=07 act=5B22A04150BAF6015D exp=5B22A04150BAF6015D + 33698.50ns INFO [00033700] * RD COMPARE * port=1 adr=03 act=FD0BBFF8F94C04AF8C exp=FD0BBFF8F94C04AF8C + 33698.50ns INFO [00033700] Port=1 RD @02 + 33699.50ns INFO [00033701] * RD COMPARE * port=1 adr=01 act=690439BFF34B18F883 exp=690439BFF34B18F883 + 33699.50ns INFO [00033701] Port=0 WR @03=4E4E38DBAF855D81B3 + 33700.50ns INFO [00033702] * RD COMPARE * port=1 adr=02 act=4B7BC2BCC2472A5C1E exp=4B7BC2BCC2472A5C1E + 33700.50ns INFO [00033702] Port=0 WR @00=1270FC88C6C1463188 + 33701.50ns INFO [00033703] Port=0 WR @03=208D3D046D6239D0E3 + 33701.50ns INFO [00033703] Port=1 RD @02 + 33702.50ns INFO [00033704] Port=1 RD @03 + 33703.50ns INFO [00033705] * RD COMPARE * port=1 adr=02 act=4B7BC2BCC2472A5C1E exp=4B7BC2BCC2472A5C1E + 33704.50ns INFO [00033706] * RD COMPARE * port=1 adr=03 act=208D3D046D6239D0E3 exp=208D3D046D6239D0E3 + 33705.50ns INFO [00033707] Port=0 RD @00 + 33706.50ns INFO [00033708] Port=0 RD @02 + 33707.50ns INFO [00033709] * RD COMPARE * port=0 adr=00 act=1270FC88C6C1463188 exp=1270FC88C6C1463188 + 33707.50ns INFO [00033709] Port=0 RD @04 + 33707.50ns INFO [00033709] Port=1 RD @00 + 33708.50ns INFO [00033710] * RD COMPARE * port=0 adr=02 act=4B7BC2BCC2472A5C1E exp=4B7BC2BCC2472A5C1E + 33708.50ns INFO [00033710] Port=0 WR @04=80F1045D29EAA47577 + 33709.50ns INFO [00033711] * RD COMPARE * port=0 adr=04 act=39A4E039754BA5F104 exp=39A4E039754BA5F104 + 33709.50ns INFO [00033711] * RD COMPARE * port=1 adr=00 act=1270FC88C6C1463188 exp=1270FC88C6C1463188 + 33709.50ns INFO [00033711] Port=0 RD @05 + 33711.50ns INFO [00033713] * RD COMPARE * port=0 adr=05 act=8AB17CF39D78E56AFA exp=8AB17CF39D78E56AFA + 33711.50ns INFO [00033713] Port=0 RD @07 + 33712.50ns INFO [00033714] Port=0 RD @05 + 33713.50ns INFO [00033715] * RD COMPARE * port=0 adr=07 act=9E0CD8A00592E8ABDA exp=9E0CD8A00592E8ABDA + 33713.50ns INFO [00033715] Port=0 RD @07 + 33714.50ns INFO [00033716] * RD COMPARE * port=0 adr=05 act=8AB17CF39D78E56AFA exp=8AB17CF39D78E56AFA + 33714.50ns INFO [00033716] Port=0 WR @03=EF7313A62313F9C6E2 + 33714.50ns INFO [00033716] Port=1 RD @00 + 33715.50ns INFO [00033717] * RD COMPARE * port=0 adr=07 act=9E0CD8A00592E8ABDA exp=9E0CD8A00592E8ABDA + 33715.50ns INFO [00033717] Port=0 WR @07=ABF47656BBA5C0CC3C + 33715.50ns INFO [00033717] Port=0 RD @02 + 33716.50ns INFO [00033718] * RD COMPARE * port=1 adr=00 act=1270FC88C6C1463188 exp=1270FC88C6C1463188 + 33716.50ns INFO [00033718] Port=0 WR @02=CDDFE65D38F855D874 + 33716.50ns INFO [00033718] Port=0 RD @00 + 33716.50ns INFO [00033718] Port=1 RD @00 + 33717.50ns INFO [00033719] * RD COMPARE * port=0 adr=02 act=4B7BC2BCC2472A5C1E exp=4B7BC2BCC2472A5C1E + 33717.50ns INFO [00033719] Port=0 WR @05=5328F68D340208B614 + 33717.50ns INFO [00033719] Port=1 RD @02 + 33718.50ns INFO [00033720] * RD COMPARE * port=0 adr=00 act=1270FC88C6C1463188 exp=1270FC88C6C1463188 + 33718.50ns INFO [00033720] * RD COMPARE * port=1 adr=00 act=1270FC88C6C1463188 exp=1270FC88C6C1463188 + 33718.50ns INFO [00033720] Port=1 RD @04 + 33719.50ns INFO [00033721] * RD COMPARE * port=1 adr=02 act=CDDFE65D38F855D874 exp=CDDFE65D38F855D874 + 33719.50ns INFO [00033721] Port=0 WR @03=F05F6292E725682E8E + 33719.50ns INFO [00033721] Port=1 RD @04 + 33720.50ns INFO [00033722] * RD COMPARE * port=1 adr=04 act=80F1045D29EAA47577 exp=80F1045D29EAA47577 + 33720.50ns INFO [00033722] Port=0 WR @02=485A5B66C291F860C2 + 33720.50ns INFO [00033722] Port=0 RD @05 + 33720.50ns INFO [00033722] Port=1 RD @05 + 33721.50ns INFO [00033723] * RD COMPARE * port=1 adr=04 act=80F1045D29EAA47577 exp=80F1045D29EAA47577 + 33721.50ns INFO [00033723] Port=0 RD @07 + 33722.50ns INFO [00033724] * RD COMPARE * port=0 adr=05 act=5328F68D340208B614 exp=5328F68D340208B614 + 33722.50ns INFO [00033724] * RD COMPARE * port=1 adr=05 act=5328F68D340208B614 exp=5328F68D340208B614 + 33723.50ns INFO [00033725] * RD COMPARE * port=0 adr=07 act=ABF47656BBA5C0CC3C exp=ABF47656BBA5C0CC3C + 33723.50ns INFO [00033725] Port=1 RD @02 + 33724.50ns INFO [00033726] Port=0 WR @06=E111DE22985621E080 + 33724.50ns INFO [00033726] Port=0 RD @04 + 33724.50ns INFO [00033726] Port=1 RD @02 + 33725.50ns INFO [00033727] * RD COMPARE * port=1 adr=02 act=485A5B66C291F860C2 exp=485A5B66C291F860C2 + 33725.50ns INFO [00033727] Port=0 WR @02=72630EA787582BE47C + 33725.50ns INFO [00033727] Port=1 RD @03 + 33726.50ns INFO [00033728] * RD COMPARE * port=0 adr=04 act=80F1045D29EAA47577 exp=80F1045D29EAA47577 + 33726.50ns INFO [00033728] * RD COMPARE * port=1 adr=02 act=485A5B66C291F860C2 exp=485A5B66C291F860C2 + 33726.50ns INFO [00033728] Port=1 RD @02 + 33727.50ns INFO [00033729] * RD COMPARE * port=1 adr=03 act=F05F6292E725682E8E exp=F05F6292E725682E8E + 33727.50ns INFO [00033729] Port=1 RD @01 + 33728.50ns INFO [00033730] * RD COMPARE * port=1 adr=02 act=72630EA787582BE47C exp=72630EA787582BE47C + 33728.50ns INFO [00033730] Port=1 RD @04 + 33729.50ns INFO [00033731] * RD COMPARE * port=1 adr=01 act=690439BFF34B18F883 exp=690439BFF34B18F883 + 33729.50ns INFO [00033731] Port=1 RD @00 + 33730.50ns INFO [00033732] * RD COMPARE * port=1 adr=04 act=80F1045D29EAA47577 exp=80F1045D29EAA47577 + 33730.50ns INFO [00033732] Port=0 RD @07 + 33730.50ns INFO [00033732] Port=1 RD @07 + 33731.50ns INFO [00033733] * RD COMPARE * port=1 adr=00 act=1270FC88C6C1463188 exp=1270FC88C6C1463188 + 33731.50ns INFO [00033733] Port=1 RD @05 + 33732.50ns INFO [00033734] * RD COMPARE * port=0 adr=07 act=ABF47656BBA5C0CC3C exp=ABF47656BBA5C0CC3C + 33732.50ns INFO [00033734] * RD COMPARE * port=1 adr=07 act=ABF47656BBA5C0CC3C exp=ABF47656BBA5C0CC3C + 33732.50ns INFO [00033734] Port=1 RD @00 + 33733.50ns INFO [00033735] * RD COMPARE * port=1 adr=05 act=5328F68D340208B614 exp=5328F68D340208B614 + 33734.50ns INFO [00033736] * RD COMPARE * port=1 adr=00 act=1270FC88C6C1463188 exp=1270FC88C6C1463188 + 33735.50ns INFO [00033737] Port=0 WR @04=F1CD0C03D18BD37344 + 33736.50ns INFO [00033738] Port=0 RD @01 + 33738.50ns INFO [00033740] * RD COMPARE * port=0 adr=01 act=690439BFF34B18F883 exp=690439BFF34B18F883 + 33738.50ns INFO [00033740] Port=0 WR @06=FE1A4B27F38BE63F3C + 33738.50ns INFO [00033740] Port=0 RD @07 + 33739.50ns INFO [00033741] Port=0 RD @05 + 33739.50ns INFO [00033741] Port=1 RD @03 + 33740.50ns INFO [00033742] * RD COMPARE * port=0 adr=07 act=ABF47656BBA5C0CC3C exp=ABF47656BBA5C0CC3C + 33740.50ns INFO [00033742] Port=0 RD @02 + 33741.50ns INFO [00033743] * RD COMPARE * port=0 adr=05 act=5328F68D340208B614 exp=5328F68D340208B614 + 33741.50ns INFO [00033743] * RD COMPARE * port=1 adr=03 act=F05F6292E725682E8E exp=F05F6292E725682E8E + 33741.50ns INFO [00033743] Port=0 RD @07 + 33741.50ns INFO [00033743] Port=1 RD @04 + 33742.50ns INFO [00033744] * RD COMPARE * port=0 adr=02 act=72630EA787582BE47C exp=72630EA787582BE47C + 33742.50ns INFO [00033744] Port=0 RD @07 + 33743.50ns INFO [00033745] * RD COMPARE * port=0 adr=07 act=ABF47656BBA5C0CC3C exp=ABF47656BBA5C0CC3C + 33743.50ns INFO [00033745] * RD COMPARE * port=1 adr=04 act=F1CD0C03D18BD37344 exp=F1CD0C03D18BD37344 + 33744.50ns INFO [00033746] * RD COMPARE * port=0 adr=07 act=ABF47656BBA5C0CC3C exp=ABF47656BBA5C0CC3C + 33745.50ns INFO [00033747] Port=0 RD @05 + 33745.50ns INFO [00033747] Port=1 RD @04 + 33747.50ns INFO [00033749] * RD COMPARE * port=0 adr=05 act=5328F68D340208B614 exp=5328F68D340208B614 + 33747.50ns INFO [00033749] * RD COMPARE * port=1 adr=04 act=F1CD0C03D18BD37344 exp=F1CD0C03D18BD37344 + 33749.50ns INFO [00033751] Port=0 WR @06=D489EBE1F292E945D6 + 33750.50ns INFO [00033752] Port=0 RD @04 + 33751.50ns INFO [00033753] Port=0 WR @01=A784E7D0CCF3547337 + 33751.50ns INFO [00033753] Port=1 RD @03 + 33752.50ns INFO [00033754] * RD COMPARE * port=0 adr=04 act=F1CD0C03D18BD37344 exp=F1CD0C03D18BD37344 + 33752.50ns INFO [00033754] Port=0 WR @00=DBA33EFA167CEFFD38 + 33752.50ns INFO [00033754] Port=0 RD @02 + 33753.50ns INFO [00033755] * RD COMPARE * port=1 adr=03 act=F05F6292E725682E8E exp=F05F6292E725682E8E + 33753.50ns INFO [00033755] Port=0 RD @07 + 33753.50ns INFO [00033755] Port=1 RD @06 + 33754.50ns INFO [00033756] * RD COMPARE * port=0 adr=02 act=72630EA787582BE47C exp=72630EA787582BE47C + 33754.50ns INFO [00033756] Port=0 WR @00=98B12FBADBF920830D + 33754.50ns INFO [00033756] Port=0 RD @06 + 33754.50ns INFO [00033756] Port=1 RD @02 + 33755.50ns INFO [00033757] * RD COMPARE * port=0 adr=07 act=ABF47656BBA5C0CC3C exp=ABF47656BBA5C0CC3C + 33755.50ns INFO [00033757] * RD COMPARE * port=1 adr=06 act=D489EBE1F292E945D6 exp=D489EBE1F292E945D6 + 33755.50ns INFO [00033757] Port=1 RD @07 + 33756.50ns INFO [00033758] * RD COMPARE * port=0 adr=06 act=D489EBE1F292E945D6 exp=D489EBE1F292E945D6 + 33756.50ns INFO [00033758] * RD COMPARE * port=1 adr=02 act=72630EA787582BE47C exp=72630EA787582BE47C + 33756.50ns INFO [00033758] Port=0 WR @01=AFDB82196021DADA8E + 33756.50ns INFO [00033758] Port=1 RD @03 + 33757.50ns INFO [00033759] * RD COMPARE * port=1 adr=07 act=ABF47656BBA5C0CC3C exp=ABF47656BBA5C0CC3C + 33758.50ns INFO [00033760] * RD COMPARE * port=1 adr=03 act=F05F6292E725682E8E exp=F05F6292E725682E8E + 33758.50ns INFO [00033760] Port=0 WR @00=DA76E7D6F9575E3B1F + 33759.50ns INFO [00033761] Port=0 WR @00=1873CFB5DC78D3830A + 33759.50ns INFO [00033761] Port=1 RD @03 + 33760.50ns INFO [00033762] Port=1 RD @03 + 33761.50ns INFO [00033763] * RD COMPARE * port=1 adr=03 act=F05F6292E725682E8E exp=F05F6292E725682E8E + 33761.50ns INFO [00033763] Port=0 WR @07=E9A0065CE829451A3E + 33761.50ns INFO [00033763] Port=0 RD @03 + 33761.50ns INFO [00033763] Port=1 RD @03 + 33762.50ns INFO [00033764] * RD COMPARE * port=1 adr=03 act=F05F6292E725682E8E exp=F05F6292E725682E8E + 33762.50ns INFO [00033764] Port=1 RD @06 + 33763.50ns INFO [00033765] * RD COMPARE * port=0 adr=03 act=F05F6292E725682E8E exp=F05F6292E725682E8E + 33763.50ns INFO [00033765] * RD COMPARE * port=1 adr=03 act=F05F6292E725682E8E exp=F05F6292E725682E8E + 33764.50ns INFO [00033766] * RD COMPARE * port=1 adr=06 act=D489EBE1F292E945D6 exp=D489EBE1F292E945D6 + 33764.50ns INFO [00033766] Port=1 RD @02 + 33765.50ns INFO [00033767] Port=0 RD @07 + 33766.50ns INFO [00033768] * RD COMPARE * port=1 adr=02 act=72630EA787582BE47C exp=72630EA787582BE47C + 33766.50ns INFO [00033768] Port=0 RD @04 + 33767.50ns INFO [00033769] * RD COMPARE * port=0 adr=07 act=E9A0065CE829451A3E exp=E9A0065CE829451A3E + 33767.50ns INFO [00033769] Port=0 RD @06 + 33768.50ns INFO [00033770] * RD COMPARE * port=0 adr=04 act=F1CD0C03D18BD37344 exp=F1CD0C03D18BD37344 + 33768.50ns INFO [00033770] Port=0 WR @01=985DABCA05B98B1B57 + 33769.50ns INFO [00033771] * RD COMPARE * port=0 adr=06 act=D489EBE1F292E945D6 exp=D489EBE1F292E945D6 + 33769.50ns INFO [00033771] Port=0 WR @05=5DA606C6BD0F15B0A8 + 33770.50ns INFO [00033772] Port=1 RD @04 + 33772.50ns INFO [00033774] * RD COMPARE * port=1 adr=04 act=F1CD0C03D18BD37344 exp=F1CD0C03D18BD37344 + 33772.50ns INFO [00033774] Port=0 WR @03=010DF01AB87AA71380 + 33773.50ns INFO [00033775] Port=0 WR @01=58081B6EA3783C7C9E + 33774.50ns INFO [00033776] Port=0 RD @03 + 33775.50ns INFO [00033777] Port=0 WR @06=41758ED91E42B9A395 + 33775.50ns INFO [00033777] Port=0 RD @01 + 33776.50ns INFO [00033778] * RD COMPARE * port=0 adr=03 act=010DF01AB87AA71380 exp=010DF01AB87AA71380 + 33776.50ns INFO [00033778] Port=1 RD @06 + 33777.50ns INFO [00033779] * RD COMPARE * port=0 adr=01 act=58081B6EA3783C7C9E exp=58081B6EA3783C7C9E + 33777.50ns INFO [00033779] Port=0 WR @01=2F8AF84B8D344FFB5D + 33778.50ns INFO [00033780] * RD COMPARE * port=1 adr=06 act=41758ED91E42B9A395 exp=41758ED91E42B9A395 + 33778.50ns INFO [00033780] Port=0 RD @03 + 33778.50ns INFO [00033780] Port=1 RD @02 + 33779.50ns INFO [00033781] Port=0 WR @00=CDCA935BECCFD30D0B + 33779.50ns INFO [00033781] Port=0 RD @01 + 33780.50ns INFO [00033782] * RD COMPARE * port=0 adr=03 act=010DF01AB87AA71380 exp=010DF01AB87AA71380 + 33780.50ns INFO [00033782] * RD COMPARE * port=1 adr=02 act=72630EA787582BE47C exp=72630EA787582BE47C + 33780.50ns INFO [00033782] Port=0 WR @02=23D59B36E691264A63 + 33781.50ns INFO [00033783] * RD COMPARE * port=0 adr=01 act=2F8AF84B8D344FFB5D exp=2F8AF84B8D344FFB5D + 33781.50ns INFO [00033783] Port=0 WR @01=07EF840373A36C576C + 33781.50ns INFO [00033783] Port=1 RD @02 + 33782.50ns INFO [00033784] Port=0 RD @03 + 33783.50ns INFO [00033785] * RD COMPARE * port=1 adr=02 act=23D59B36E691264A63 exp=23D59B36E691264A63 + 33783.50ns INFO [00033785] Port=0 RD @00 + 33784.50ns INFO [00033786] * RD COMPARE * port=0 adr=03 act=010DF01AB87AA71380 exp=010DF01AB87AA71380 + 33785.50ns INFO [00033787] * RD COMPARE * port=0 adr=00 act=CDCA935BECCFD30D0B exp=CDCA935BECCFD30D0B + 33785.50ns INFO [00033787] Port=0 WR @01=230EE96628751A9E50 + 33785.50ns INFO [00033787] Port=1 RD @02 + 33787.50ns INFO [00033789] * RD COMPARE * port=1 adr=02 act=23D59B36E691264A63 exp=23D59B36E691264A63 + 33787.50ns INFO [00033789] Port=0 WR @07=4C4B3D699FF23BB04F + 33787.50ns INFO [00033789] Port=1 RD @02 + 33789.50ns INFO [00033791] * RD COMPARE * port=1 adr=02 act=23D59B36E691264A63 exp=23D59B36E691264A63 + 33789.50ns INFO [00033791] Port=0 WR @02=552A97085D8C7DCFC1 + 33790.50ns INFO [00033792] Port=0 RD @04 + 33791.50ns INFO [00033793] Port=0 WR @00=C03DDA3D8E92E16F66 + 33791.50ns INFO [00033793] Port=1 RD @07 + 33792.50ns INFO [00033794] * RD COMPARE * port=0 adr=04 act=F1CD0C03D18BD37344 exp=F1CD0C03D18BD37344 + 33792.50ns INFO [00033794] Port=1 RD @03 + 33793.50ns INFO [00033795] * RD COMPARE * port=1 adr=07 act=4C4B3D699FF23BB04F exp=4C4B3D699FF23BB04F + 33794.50ns INFO [00033796] * RD COMPARE * port=1 adr=03 act=010DF01AB87AA71380 exp=010DF01AB87AA71380 + 33794.50ns INFO [00033796] Port=0 RD @07 + 33795.50ns INFO [00033797] Port=0 RD @00 + 33796.50ns INFO [00033798] * RD COMPARE * port=0 adr=07 act=4C4B3D699FF23BB04F exp=4C4B3D699FF23BB04F + 33797.50ns INFO [00033799] * RD COMPARE * port=0 adr=00 act=C03DDA3D8E92E16F66 exp=C03DDA3D8E92E16F66 + 33798.00ns INFO [00033800] [00033800] ...tick... + 33799.50ns INFO [00033801] Port=0 WR @06=32C513D6114BBFBB2D + 33799.50ns INFO [00033801] Port=0 RD @04 + 33800.50ns INFO [00033802] Port=0 WR @01=52BF952AEBAE0F8A86 + 33801.50ns INFO [00033803] * RD COMPARE * port=0 adr=04 act=F1CD0C03D18BD37344 exp=F1CD0C03D18BD37344 + 33801.50ns INFO [00033803] Port=1 RD @02 + 33803.50ns INFO [00033805] * RD COMPARE * port=1 adr=02 act=552A97085D8C7DCFC1 exp=552A97085D8C7DCFC1 + 33803.50ns INFO [00033805] Port=0 RD @06 + 33804.50ns INFO [00033806] Port=0 WR @02=0AE4C489E5A80415E8 + 33804.50ns INFO [00033806] Port=0 RD @04 + 33804.50ns INFO [00033806] Port=1 RD @06 + 33805.50ns INFO [00033807] * RD COMPARE * port=0 adr=06 act=32C513D6114BBFBB2D exp=32C513D6114BBFBB2D + 33806.50ns INFO [00033808] * RD COMPARE * port=0 adr=04 act=F1CD0C03D18BD37344 exp=F1CD0C03D18BD37344 + 33806.50ns INFO [00033808] * RD COMPARE * port=1 adr=06 act=32C513D6114BBFBB2D exp=32C513D6114BBFBB2D + 33807.50ns INFO [00033809] Port=1 RD @04 + 33809.50ns INFO [00033811] * RD COMPARE * port=1 adr=04 act=F1CD0C03D18BD37344 exp=F1CD0C03D18BD37344 + 33810.50ns INFO [00033812] Port=1 RD @05 + 33811.50ns INFO [00033813] Port=0 WR @05=79F99EE72588AA50DB + 33812.50ns INFO [00033814] * RD COMPARE * port=1 adr=05 act=5DA606C6BD0F15B0A8 exp=5DA606C6BD0F15B0A8 + 33814.50ns INFO [00033816] Port=0 WR @00=3D0D2B27357C249981 + 33815.50ns INFO [00033817] Port=0 WR @06=E82217757F07778ECC + 33816.50ns INFO [00033818] Port=0 WR @07=909A32C74B899F258C + 33816.50ns INFO [00033818] Port=0 RD @04 + 33816.50ns INFO [00033818] Port=1 RD @05 + 33818.50ns INFO [00033820] * RD COMPARE * port=0 adr=04 act=F1CD0C03D18BD37344 exp=F1CD0C03D18BD37344 + 33818.50ns INFO [00033820] * RD COMPARE * port=1 adr=05 act=79F99EE72588AA50DB exp=79F99EE72588AA50DB + 33818.50ns INFO [00033820] Port=0 WR @06=D09A2C28A523585951 + 33818.50ns INFO [00033820] Port=1 RD @01 + 33819.50ns INFO [00033821] Port=0 RD @02 + 33820.50ns INFO [00033822] * RD COMPARE * port=1 adr=01 act=52BF952AEBAE0F8A86 exp=52BF952AEBAE0F8A86 + 33820.50ns INFO [00033822] Port=1 RD @01 + 33821.50ns INFO [00033823] * RD COMPARE * port=0 adr=02 act=0AE4C489E5A80415E8 exp=0AE4C489E5A80415E8 + 33822.50ns INFO [00033824] * RD COMPARE * port=1 adr=01 act=52BF952AEBAE0F8A86 exp=52BF952AEBAE0F8A86 + 33822.50ns INFO [00033824] Port=0 WR @07=AC7163433EDFCC38AC + 33824.50ns INFO [00033826] Port=0 RD @06 + 33825.50ns INFO [00033827] Port=0 WR @06=5C37AB8D1FA813D307 + 33825.50ns INFO [00033827] Port=1 RD @05 + 33826.50ns INFO [00033828] * RD COMPARE * port=0 adr=06 act=D09A2C28A523585951 exp=D09A2C28A523585951 + 33827.50ns INFO [00033829] * RD COMPARE * port=1 adr=05 act=79F99EE72588AA50DB exp=79F99EE72588AA50DB + 33827.50ns INFO [00033829] Port=0 RD @03 + 33828.50ns INFO [00033830] Port=0 WR @04=DBA9D302BF94D4174B + 33828.50ns INFO [00033830] Port=0 RD @00 + 33828.50ns INFO [00033830] Port=1 RD @07 + 33829.50ns INFO [00033831] * RD COMPARE * port=0 adr=03 act=010DF01AB87AA71380 exp=010DF01AB87AA71380 + 33829.50ns INFO [00033831] Port=1 RD @01 + 33830.50ns INFO [00033832] * RD COMPARE * port=0 adr=00 act=3D0D2B27357C249981 exp=3D0D2B27357C249981 + 33830.50ns INFO [00033832] * RD COMPARE * port=1 adr=07 act=AC7163433EDFCC38AC exp=AC7163433EDFCC38AC + 33831.50ns INFO [00033833] * RD COMPARE * port=1 adr=01 act=52BF952AEBAE0F8A86 exp=52BF952AEBAE0F8A86 + 33831.50ns INFO [00033833] Port=1 RD @00 + 33832.50ns INFO [00033834] Port=1 RD @00 + 33833.50ns INFO [00033835] * RD COMPARE * port=1 adr=00 act=3D0D2B27357C249981 exp=3D0D2B27357C249981 + 33833.50ns INFO [00033835] Port=1 RD @03 + 33834.50ns INFO [00033836] * RD COMPARE * port=1 adr=00 act=3D0D2B27357C249981 exp=3D0D2B27357C249981 + 33835.50ns INFO [00033837] * RD COMPARE * port=1 adr=03 act=010DF01AB87AA71380 exp=010DF01AB87AA71380 + 33835.50ns INFO [00033837] Port=0 RD @05 + 33836.50ns INFO [00033838] Port=0 RD @07 + 33836.50ns INFO [00033838] Port=1 RD @04 + 33837.50ns INFO [00033839] * RD COMPARE * port=0 adr=05 act=79F99EE72588AA50DB exp=79F99EE72588AA50DB + 33838.50ns INFO [00033840] * RD COMPARE * port=0 adr=07 act=AC7163433EDFCC38AC exp=AC7163433EDFCC38AC + 33838.50ns INFO [00033840] * RD COMPARE * port=1 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33838.50ns INFO [00033840] Port=0 WR @01=5C997CA7AEDBA0E5DF + 33838.50ns INFO [00033840] Port=1 RD @00 + 33839.50ns INFO [00033841] Port=0 WR @06=0BA801A2F21410E3E9 + 33840.50ns INFO [00033842] * RD COMPARE * port=1 adr=00 act=3D0D2B27357C249981 exp=3D0D2B27357C249981 + 33840.50ns INFO [00033842] Port=0 RD @06 + 33840.50ns INFO [00033842] Port=1 RD @03 + 33841.50ns INFO [00033843] Port=0 WR @06=C2B045ABC2095274CC + 33841.50ns INFO [00033843] Port=1 RD @02 + 33842.50ns INFO [00033844] * RD COMPARE * port=0 adr=06 act=0BA801A2F21410E3E9 exp=0BA801A2F21410E3E9 + 33842.50ns INFO [00033844] * RD COMPARE * port=1 adr=03 act=010DF01AB87AA71380 exp=010DF01AB87AA71380 + 33842.50ns INFO [00033844] Port=1 RD @07 + 33843.50ns INFO [00033845] * RD COMPARE * port=1 adr=02 act=0AE4C489E5A80415E8 exp=0AE4C489E5A80415E8 + 33843.50ns INFO [00033845] Port=0 WR @00=99459F39B331CC00D3 + 33843.50ns INFO [00033845] Port=1 RD @05 + 33844.50ns INFO [00033846] * RD COMPARE * port=1 adr=07 act=AC7163433EDFCC38AC exp=AC7163433EDFCC38AC + 33845.50ns INFO [00033847] * RD COMPARE * port=1 adr=05 act=79F99EE72588AA50DB exp=79F99EE72588AA50DB + 33845.50ns INFO [00033847] Port=0 WR @01=8B420C499C4F4029EC + 33845.50ns INFO [00033847] Port=0 RD @05 + 33847.50ns INFO [00033849] * RD COMPARE * port=0 adr=05 act=79F99EE72588AA50DB exp=79F99EE72588AA50DB + 33848.50ns INFO [00033850] Port=0 WR @01=774D98A501D3C30596 + 33848.50ns INFO [00033850] Port=0 RD @02 + 33848.50ns INFO [00033850] Port=1 RD @04 + 33849.50ns INFO [00033851] Port=0 RD @00 + 33849.50ns INFO [00033851] Port=1 RD @06 + 33850.50ns INFO [00033852] * RD COMPARE * port=0 adr=02 act=0AE4C489E5A80415E8 exp=0AE4C489E5A80415E8 + 33850.50ns INFO [00033852] * RD COMPARE * port=1 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33850.50ns INFO [00033852] Port=0 WR @05=BB6A56356F9C991A15 + 33850.50ns INFO [00033852] Port=0 RD @01 + 33850.50ns INFO [00033852] Port=1 RD @01 + 33851.50ns INFO [00033853] * RD COMPARE * port=0 adr=00 act=99459F39B331CC00D3 exp=99459F39B331CC00D3 + 33851.50ns INFO [00033853] * RD COMPARE * port=1 adr=06 act=C2B045ABC2095274CC exp=C2B045ABC2095274CC + 33851.50ns INFO [00033853] Port=1 RD @03 + 33852.50ns INFO [00033854] * RD COMPARE * port=0 adr=01 act=774D98A501D3C30596 exp=774D98A501D3C30596 + 33852.50ns INFO [00033854] * RD COMPARE * port=1 adr=01 act=774D98A501D3C30596 exp=774D98A501D3C30596 + 33852.50ns INFO [00033854] Port=0 WR @00=FA937A84B97B80B9C1 + 33852.50ns INFO [00033854] Port=0 RD @05 + 33852.50ns INFO [00033854] Port=1 RD @06 + 33853.50ns INFO [00033855] * RD COMPARE * port=1 adr=03 act=010DF01AB87AA71380 exp=010DF01AB87AA71380 + 33853.50ns INFO [00033855] Port=0 WR @05=C958597CE988121DC2 + 33853.50ns INFO [00033855] Port=1 RD @04 + 33854.50ns INFO [00033856] * RD COMPARE * port=0 adr=05 act=BB6A56356F9C991A15 exp=BB6A56356F9C991A15 + 33854.50ns INFO [00033856] * RD COMPARE * port=1 adr=06 act=C2B045ABC2095274CC exp=C2B045ABC2095274CC + 33854.50ns INFO [00033856] Port=1 RD @02 + 33855.50ns INFO [00033857] * RD COMPARE * port=1 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33855.50ns INFO [00033857] Port=1 RD @05 + 33856.50ns INFO [00033858] * RD COMPARE * port=1 adr=02 act=0AE4C489E5A80415E8 exp=0AE4C489E5A80415E8 + 33856.50ns INFO [00033858] Port=0 RD @06 + 33857.50ns INFO [00033859] * RD COMPARE * port=1 adr=05 act=C958597CE988121DC2 exp=C958597CE988121DC2 + 33857.50ns INFO [00033859] Port=0 WR @05=56FDEB1AAA073BC0C6 + 33857.50ns INFO [00033859] Port=1 RD @01 + 33858.50ns INFO [00033860] * RD COMPARE * port=0 adr=06 act=C2B045ABC2095274CC exp=C2B045ABC2095274CC + 33858.50ns INFO [00033860] Port=0 RD @03 + 33859.50ns INFO [00033861] * RD COMPARE * port=1 adr=01 act=774D98A501D3C30596 exp=774D98A501D3C30596 + 33859.50ns INFO [00033861] Port=0 WR @07=E3A7094A614BC0B9EB + 33860.50ns INFO [00033862] * RD COMPARE * port=0 adr=03 act=010DF01AB87AA71380 exp=010DF01AB87AA71380 + 33860.50ns INFO [00033862] Port=0 RD @02 + 33861.50ns INFO [00033863] Port=0 RD @02 + 33862.50ns INFO [00033864] * RD COMPARE * port=0 adr=02 act=0AE4C489E5A80415E8 exp=0AE4C489E5A80415E8 + 33862.50ns INFO [00033864] Port=0 WR @02=842BEEEF903E390765 + 33862.50ns INFO [00033864] Port=1 RD @05 + 33863.50ns INFO [00033865] * RD COMPARE * port=0 adr=02 act=0AE4C489E5A80415E8 exp=0AE4C489E5A80415E8 + 33864.50ns INFO [00033866] * RD COMPARE * port=1 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33864.50ns INFO [00033866] Port=1 RD @04 + 33865.50ns INFO [00033867] Port=0 WR @00=EDB957DEB2FA80E692 + 33866.50ns INFO [00033868] * RD COMPARE * port=1 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33866.50ns INFO [00033868] Port=1 RD @04 + 33867.50ns INFO [00033869] Port=0 RD @02 + 33867.50ns INFO [00033869] Port=1 RD @02 + 33868.50ns INFO [00033870] * RD COMPARE * port=1 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33868.50ns INFO [00033870] Port=0 RD @00 + 33869.50ns INFO [00033871] * RD COMPARE * port=0 adr=02 act=842BEEEF903E390765 exp=842BEEEF903E390765 + 33869.50ns INFO [00033871] * RD COMPARE * port=1 adr=02 act=842BEEEF903E390765 exp=842BEEEF903E390765 + 33870.50ns INFO [00033872] * RD COMPARE * port=0 adr=00 act=EDB957DEB2FA80E692 exp=EDB957DEB2FA80E692 + 33870.50ns INFO [00033872] Port=0 WR @03=CBD92D712C2CC35BA6 + 33870.50ns INFO [00033872] Port=1 RD @04 + 33871.50ns INFO [00033873] Port=0 WR @02=43C2EBE87DA3600152 + 33872.50ns INFO [00033874] * RD COMPARE * port=1 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33872.50ns INFO [00033874] Port=0 WR @02=E55DB36259E1C96A71 + 33873.50ns INFO [00033875] Port=0 WR @07=2684D3176D4569BCF0 + 33873.50ns INFO [00033875] Port=0 RD @02 + 33873.50ns INFO [00033875] Port=1 RD @04 + 33875.50ns INFO [00033877] * RD COMPARE * port=0 adr=02 act=E55DB36259E1C96A71 exp=E55DB36259E1C96A71 + 33875.50ns INFO [00033877] * RD COMPARE * port=1 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33875.50ns INFO [00033877] Port=0 WR @01=790768914D43607F6E + 33875.50ns INFO [00033877] Port=0 RD @04 + 33876.50ns INFO [00033878] Port=0 RD @07 + 33877.50ns INFO [00033879] * RD COMPARE * port=0 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33877.50ns INFO [00033879] Port=0 RD @05 + 33878.50ns INFO [00033880] * RD COMPARE * port=0 adr=07 act=2684D3176D4569BCF0 exp=2684D3176D4569BCF0 + 33878.50ns INFO [00033880] Port=0 RD @04 + 33879.50ns INFO [00033881] * RD COMPARE * port=0 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33879.50ns INFO [00033881] Port=1 RD @03 + 33880.50ns INFO [00033882] * RD COMPARE * port=0 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33880.50ns INFO [00033882] Port=0 RD @00 + 33880.50ns INFO [00033882] Port=1 RD @02 + 33881.50ns INFO [00033883] * RD COMPARE * port=1 adr=03 act=CBD92D712C2CC35BA6 exp=CBD92D712C2CC35BA6 + 33881.50ns INFO [00033883] Port=0 RD @04 + 33881.50ns INFO [00033883] Port=1 RD @00 + 33882.50ns INFO [00033884] * RD COMPARE * port=0 adr=00 act=EDB957DEB2FA80E692 exp=EDB957DEB2FA80E692 + 33882.50ns INFO [00033884] * RD COMPARE * port=1 adr=02 act=E55DB36259E1C96A71 exp=E55DB36259E1C96A71 + 33882.50ns INFO [00033884] Port=0 RD @06 + 33882.50ns INFO [00033884] Port=1 RD @02 + 33883.50ns INFO [00033885] * RD COMPARE * port=0 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33883.50ns INFO [00033885] * RD COMPARE * port=1 adr=00 act=EDB957DEB2FA80E692 exp=EDB957DEB2FA80E692 + 33883.50ns INFO [00033885] Port=1 RD @02 + 33884.50ns INFO [00033886] * RD COMPARE * port=0 adr=06 act=C2B045ABC2095274CC exp=C2B045ABC2095274CC + 33884.50ns INFO [00033886] * RD COMPARE * port=1 adr=02 act=E55DB36259E1C96A71 exp=E55DB36259E1C96A71 + 33884.50ns INFO [00033886] Port=0 WR @02=346DF17CF99FB92497 + 33884.50ns INFO [00033886] Port=0 RD @06 + 33885.50ns INFO [00033887] * RD COMPARE * port=1 adr=02 act=E55DB36259E1C96A71 exp=E55DB36259E1C96A71 + 33885.50ns INFO [00033887] Port=1 RD @04 + 33886.50ns INFO [00033888] * RD COMPARE * port=0 adr=06 act=C2B045ABC2095274CC exp=C2B045ABC2095274CC + 33886.50ns INFO [00033888] Port=0 WR @04=90962F4726B03B4977 + 33886.50ns INFO [00033888] Port=1 RD @02 + 33887.50ns INFO [00033889] * RD COMPARE * port=1 adr=04 act=DBA9D302BF94D4174B exp=DBA9D302BF94D4174B + 33887.50ns INFO [00033889] Port=0 RD @04 + 33887.50ns INFO [00033889] Port=1 RD @03 + 33888.50ns INFO [00033890] * RD COMPARE * port=1 adr=02 act=346DF17CF99FB92497 exp=346DF17CF99FB92497 + 33888.50ns INFO [00033890] Port=1 RD @03 + 33889.50ns INFO [00033891] * RD COMPARE * port=0 adr=04 act=90962F4726B03B4977 exp=90962F4726B03B4977 + 33889.50ns INFO [00033891] * RD COMPARE * port=1 adr=03 act=CBD92D712C2CC35BA6 exp=CBD92D712C2CC35BA6 + 33889.50ns INFO [00033891] Port=0 RD @07 + 33890.50ns INFO [00033892] * RD COMPARE * port=1 adr=03 act=CBD92D712C2CC35BA6 exp=CBD92D712C2CC35BA6 + 33891.50ns INFO [00033893] * RD COMPARE * port=0 adr=07 act=2684D3176D4569BCF0 exp=2684D3176D4569BCF0 + 33891.50ns INFO [00033893] Port=0 WR @06=B3934BFD53BFEF1153 + 33891.50ns INFO [00033893] Port=1 RD @04 + 33892.50ns INFO [00033894] Port=0 RD @02 + 33892.50ns INFO [00033894] Port=1 RD @07 + 33893.50ns INFO [00033895] * RD COMPARE * port=1 adr=04 act=90962F4726B03B4977 exp=90962F4726B03B4977 + 33893.50ns INFO [00033895] Port=0 WR @07=2242577EEF2AFBE40E + 33893.50ns INFO [00033895] Port=0 RD @02 + 33893.50ns INFO [00033895] Port=1 RD @01 + 33894.50ns INFO [00033896] * RD COMPARE * port=0 adr=02 act=346DF17CF99FB92497 exp=346DF17CF99FB92497 + 33894.50ns INFO [00033896] * RD COMPARE * port=1 adr=07 act=2684D3176D4569BCF0 exp=2684D3176D4569BCF0 + 33894.50ns INFO [00033896] Port=0 RD @05 + 33894.50ns INFO [00033896] Port=1 RD @05 + 33895.50ns INFO [00033897] * RD COMPARE * port=0 adr=02 act=346DF17CF99FB92497 exp=346DF17CF99FB92497 + 33895.50ns INFO [00033897] * RD COMPARE * port=1 adr=01 act=790768914D43607F6E exp=790768914D43607F6E + 33895.50ns INFO [00033897] Port=1 RD @02 + 33896.50ns INFO [00033898] * RD COMPARE * port=0 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33896.50ns INFO [00033898] * RD COMPARE * port=1 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33896.50ns INFO [00033898] Port=0 RD @02 + 33897.50ns INFO [00033899] * RD COMPARE * port=1 adr=02 act=346DF17CF99FB92497 exp=346DF17CF99FB92497 + 33897.50ns INFO [00033899] Port=0 WR @02=694EFBB13C937FA942 + 33897.50ns INFO [00033899] Port=1 RD @01 + 33898.00ns INFO [00033900] [00033900] ...tick... + 33898.50ns INFO [00033900] * RD COMPARE * port=0 adr=02 act=346DF17CF99FB92497 exp=346DF17CF99FB92497 + 33899.50ns INFO [00033901] * RD COMPARE * port=1 adr=01 act=790768914D43607F6E exp=790768914D43607F6E + 33903.50ns INFO [00033905] Port=0 RD @01 + 33904.50ns INFO [00033906] Port=0 RD @04 + 33904.50ns INFO [00033906] Port=1 RD @07 + 33905.50ns INFO [00033907] * RD COMPARE * port=0 adr=01 act=790768914D43607F6E exp=790768914D43607F6E + 33905.50ns INFO [00033907] Port=0 RD @07 + 33906.50ns INFO [00033908] * RD COMPARE * port=0 adr=04 act=90962F4726B03B4977 exp=90962F4726B03B4977 + 33906.50ns INFO [00033908] * RD COMPARE * port=1 adr=07 act=2242577EEF2AFBE40E exp=2242577EEF2AFBE40E + 33906.50ns INFO [00033908] Port=0 RD @00 + 33907.50ns INFO [00033909] * RD COMPARE * port=0 adr=07 act=2242577EEF2AFBE40E exp=2242577EEF2AFBE40E + 33907.50ns INFO [00033909] Port=1 RD @05 + 33908.50ns INFO [00033910] * RD COMPARE * port=0 adr=00 act=EDB957DEB2FA80E692 exp=EDB957DEB2FA80E692 + 33908.50ns INFO [00033910] Port=0 WR @01=6A3128211775EF40DF + 33908.50ns INFO [00033910] Port=0 RD @02 + 33909.50ns INFO [00033911] * RD COMPARE * port=1 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33909.50ns INFO [00033911] Port=0 WR @06=1B50AECBFD40CAD31F + 33910.50ns INFO [00033912] * RD COMPARE * port=0 adr=02 act=694EFBB13C937FA942 exp=694EFBB13C937FA942 + 33910.50ns INFO [00033912] Port=0 WR @02=29F936380A8DACE20B + 33911.50ns INFO [00033913] Port=0 WR @04=E7E2CF9AFAA0C77830 + 33911.50ns INFO [00033913] Port=0 RD @05 + 33912.50ns INFO [00033914] Port=0 WR @01=F662F79CFFE62139A4 + 33912.50ns INFO [00033914] Port=0 RD @00 + 33912.50ns INFO [00033914] Port=1 RD @00 + 33913.50ns INFO [00033915] * RD COMPARE * port=0 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33913.50ns INFO [00033915] Port=0 WR @04=847D08B9EDBF553D15 + 33913.50ns INFO [00033915] Port=1 RD @01 + 33914.50ns INFO [00033916] * RD COMPARE * port=0 adr=00 act=EDB957DEB2FA80E692 exp=EDB957DEB2FA80E692 + 33914.50ns INFO [00033916] * RD COMPARE * port=1 adr=00 act=EDB957DEB2FA80E692 exp=EDB957DEB2FA80E692 + 33914.50ns INFO [00033916] Port=0 WR @06=14E71AC12830259054 + 33914.50ns INFO [00033916] Port=0 RD @04 + 33915.50ns INFO [00033917] * RD COMPARE * port=1 adr=01 act=F662F79CFFE62139A4 exp=F662F79CFFE62139A4 + 33915.50ns INFO [00033917] Port=0 WR @01=19B96FA825D1D68F7B + 33916.50ns INFO [00033918] * RD COMPARE * port=0 adr=04 act=847D08B9EDBF553D15 exp=847D08B9EDBF553D15 + 33916.50ns INFO [00033918] Port=0 RD @02 + 33917.50ns INFO [00033919] Port=0 WR @00=C96247BDC12252B6B3 + 33917.50ns INFO [00033919] Port=0 RD @05 + 33917.50ns INFO [00033919] Port=1 RD @05 + 33918.50ns INFO [00033920] * RD COMPARE * port=0 adr=02 act=29F936380A8DACE20B exp=29F936380A8DACE20B + 33918.50ns INFO [00033920] Port=0 WR @06=2DFC73ACB013F6DF7A + 33918.50ns INFO [00033920] Port=1 RD @07 + 33919.50ns INFO [00033921] * RD COMPARE * port=0 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33919.50ns INFO [00033921] * RD COMPARE * port=1 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33920.50ns INFO [00033922] * RD COMPARE * port=1 adr=07 act=2242577EEF2AFBE40E exp=2242577EEF2AFBE40E + 33920.50ns INFO [00033922] Port=0 WR @03=E8C9064E514697A7B0 + 33920.50ns INFO [00033922] Port=0 RD @04 + 33921.50ns INFO [00033923] Port=0 WR @01=401623D46B8EB09312 + 33921.50ns INFO [00033923] Port=0 RD @04 + 33921.50ns INFO [00033923] Port=1 RD @05 + 33922.50ns INFO [00033924] * RD COMPARE * port=0 adr=04 act=847D08B9EDBF553D15 exp=847D08B9EDBF553D15 + 33922.50ns INFO [00033924] Port=0 WR @06=670DA1F2B77C21DEEE + 33923.50ns INFO [00033925] * RD COMPARE * port=0 adr=04 act=847D08B9EDBF553D15 exp=847D08B9EDBF553D15 + 33923.50ns INFO [00033925] * RD COMPARE * port=1 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33923.50ns INFO [00033925] Port=0 WR @01=CFFF443A986CBF96BB + 33924.50ns INFO [00033926] Port=0 WR @03=BB2F76D836EF86D486 + 33924.50ns INFO [00033926] Port=0 RD @04 + 33924.50ns INFO [00033926] Port=1 RD @05 + 33925.50ns INFO [00033927] Port=0 RD @00 + 33926.50ns INFO [00033928] * RD COMPARE * port=0 adr=04 act=847D08B9EDBF553D15 exp=847D08B9EDBF553D15 + 33926.50ns INFO [00033928] * RD COMPARE * port=1 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33926.50ns INFO [00033928] Port=0 WR @04=CE6B53A6A1B892F6CB + 33926.50ns INFO [00033928] Port=0 RD @01 + 33927.50ns INFO [00033929] * RD COMPARE * port=0 adr=00 act=C96247BDC12252B6B3 exp=C96247BDC12252B6B3 + 33927.50ns INFO [00033929] Port=0 RD @05 + 33927.50ns INFO [00033929] Port=1 RD @04 + 33928.50ns INFO [00033930] * RD COMPARE * port=0 adr=01 act=CFFF443A986CBF96BB exp=CFFF443A986CBF96BB + 33928.50ns INFO [00033930] Port=0 WR @00=745911E19C1143F07F + 33928.50ns INFO [00033930] Port=0 RD @02 + 33928.50ns INFO [00033930] Port=1 RD @06 + 33929.50ns INFO [00033931] * RD COMPARE * port=0 adr=05 act=56FDEB1AAA073BC0C6 exp=56FDEB1AAA073BC0C6 + 33929.50ns INFO [00033931] * RD COMPARE * port=1 adr=04 act=CE6B53A6A1B892F6CB exp=CE6B53A6A1B892F6CB + 33929.50ns INFO [00033931] Port=0 WR @04=246DC40C80FFED211A + 33929.50ns INFO [00033931] Port=0 RD @01 + 33929.50ns INFO [00033931] Port=1 RD @00 + 33930.50ns INFO [00033932] * RD COMPARE * port=0 adr=02 act=29F936380A8DACE20B exp=29F936380A8DACE20B + 33930.50ns INFO [00033932] * RD COMPARE * port=1 adr=06 act=670DA1F2B77C21DEEE exp=670DA1F2B77C21DEEE + 33930.50ns INFO [00033932] Port=0 RD @04 + 33931.50ns INFO [00033933] * RD COMPARE * port=0 adr=01 act=CFFF443A986CBF96BB exp=CFFF443A986CBF96BB + 33931.50ns INFO [00033933] * RD COMPARE * port=1 adr=00 act=745911E19C1143F07F exp=745911E19C1143F07F + 33931.50ns INFO [00033933] Port=1 RD @07 + 33932.50ns INFO [00033934] * RD COMPARE * port=0 adr=04 act=246DC40C80FFED211A exp=246DC40C80FFED211A + 33933.50ns INFO [00033935] * RD COMPARE * port=1 adr=07 act=2242577EEF2AFBE40E exp=2242577EEF2AFBE40E + 33934.50ns INFO [00033936] Port=0 WR @04=E56D9568B90BBED1FD + 33934.50ns INFO [00033936] Port=0 RD @02 + 33936.50ns INFO [00033938] * RD COMPARE * port=0 adr=02 act=29F936380A8DACE20B exp=29F936380A8DACE20B + 33936.50ns INFO [00033938] Port=0 WR @07=9E06EF337DF2A201F3 + 33939.50ns INFO [00033941] Port=0 WR @05=FE49BD7D222D44CA73 + 33939.50ns INFO [00033941] Port=0 RD @06 + 33939.50ns INFO [00033941] Port=1 RD @00 + 33940.50ns INFO [00033942] Port=0 WR @05=96B882E303A87CF16F + 33941.50ns INFO [00033943] * RD COMPARE * port=0 adr=06 act=670DA1F2B77C21DEEE exp=670DA1F2B77C21DEEE + 33941.50ns INFO [00033943] * RD COMPARE * port=1 adr=00 act=745911E19C1143F07F exp=745911E19C1143F07F + 33941.50ns INFO [00033943] Port=1 RD @05 + 33942.50ns INFO [00033944] Port=0 WR @04=BD1348B30F8CD39258 + 33943.50ns INFO [00033945] * RD COMPARE * port=1 adr=05 act=96B882E303A87CF16F exp=96B882E303A87CF16F + 33945.50ns INFO [00033947] Port=0 RD @02 + 33945.50ns INFO [00033947] Port=1 RD @05 + 33946.50ns INFO [00033948] Port=0 WR @06=81362956065865EE3E + 33946.50ns INFO [00033948] Port=1 RD @02 + 33947.50ns INFO [00033949] * RD COMPARE * port=0 adr=02 act=29F936380A8DACE20B exp=29F936380A8DACE20B + 33947.50ns INFO [00033949] * RD COMPARE * port=1 adr=05 act=96B882E303A87CF16F exp=96B882E303A87CF16F + 33947.50ns INFO [00033949] Port=1 RD @05 + 33948.50ns INFO [00033950] * RD COMPARE * port=1 adr=02 act=29F936380A8DACE20B exp=29F936380A8DACE20B + 33948.50ns INFO [00033950] Port=0 WR @01=AEFF5A7EA1C8DA1A26 + 33949.50ns INFO [00033951] * RD COMPARE * port=1 adr=05 act=96B882E303A87CF16F exp=96B882E303A87CF16F + 33949.50ns INFO [00033951] Port=0 RD @03 + 33949.50ns INFO [00033951] Port=1 RD @04 + 33951.50ns INFO [00033953] * RD COMPARE * port=0 adr=03 act=BB2F76D836EF86D486 exp=BB2F76D836EF86D486 + 33951.50ns INFO [00033953] * RD COMPARE * port=1 adr=04 act=BD1348B30F8CD39258 exp=BD1348B30F8CD39258 + 33951.50ns INFO [00033953] Port=1 RD @04 + 33952.50ns INFO [00033954] Port=0 RD @06 + 33952.50ns INFO [00033954] Port=1 RD @07 + 33953.50ns INFO [00033955] * RD COMPARE * port=1 adr=04 act=BD1348B30F8CD39258 exp=BD1348B30F8CD39258 + 33953.50ns INFO [00033955] Port=0 RD @03 + 33954.50ns INFO [00033956] * RD COMPARE * port=0 adr=06 act=81362956065865EE3E exp=81362956065865EE3E + 33954.50ns INFO [00033956] * RD COMPARE * port=1 adr=07 act=9E06EF337DF2A201F3 exp=9E06EF337DF2A201F3 + 33954.50ns INFO [00033956] Port=0 WR @04=999F0F4DCB99877201 + 33954.50ns INFO [00033956] Port=0 RD @06 + 33955.50ns INFO [00033957] * RD COMPARE * port=0 adr=03 act=BB2F76D836EF86D486 exp=BB2F76D836EF86D486 + 33955.50ns INFO [00033957] Port=0 WR @04=99F39DF22FE3363CFC + 33956.50ns INFO [00033958] * RD COMPARE * port=0 adr=06 act=81362956065865EE3E exp=81362956065865EE3E + 33957.50ns INFO [00033959] Port=0 WR @06=88881D23AD0D61C475 + 33958.50ns INFO [00033960] Port=1 RD @07 + 33959.50ns INFO [00033961] Port=1 RD @03 + 33960.50ns INFO [00033962] * RD COMPARE * port=1 adr=07 act=9E06EF337DF2A201F3 exp=9E06EF337DF2A201F3 + 33960.50ns INFO [00033962] Port=0 WR @07=6C83CF973142464CBB + 33961.50ns INFO [00033963] * RD COMPARE * port=1 adr=03 act=BB2F76D836EF86D486 exp=BB2F76D836EF86D486 + 33961.50ns INFO [00033963] Port=1 RD @00 + 33962.50ns INFO [00033964] Port=1 RD @02 + 33963.50ns INFO [00033965] * RD COMPARE * port=1 adr=00 act=745911E19C1143F07F exp=745911E19C1143F07F + 33964.50ns INFO [00033966] * RD COMPARE * port=1 adr=02 act=29F936380A8DACE20B exp=29F936380A8DACE20B + 33964.50ns INFO [00033966] Port=0 RD @01 + 33965.50ns INFO [00033967] Port=1 RD @03 + 33966.50ns INFO [00033968] * RD COMPARE * port=0 adr=01 act=AEFF5A7EA1C8DA1A26 exp=AEFF5A7EA1C8DA1A26 + 33967.50ns INFO [00033969] * RD COMPARE * port=1 adr=03 act=BB2F76D836EF86D486 exp=BB2F76D836EF86D486 + 33969.50ns INFO [00033971] Port=0 WR @00=5272C7C736F482899E + 33971.50ns INFO [00033973] Port=0 WR @05=B18F8FCA586CA390C4 + 33971.50ns INFO [00033973] Port=0 RD @06 + 33971.50ns INFO [00033973] Port=1 RD @01 + 33972.50ns INFO [00033974] Port=0 RD @04 + 33973.50ns INFO [00033975] * RD COMPARE * port=0 adr=06 act=88881D23AD0D61C475 exp=88881D23AD0D61C475 + 33973.50ns INFO [00033975] * RD COMPARE * port=1 adr=01 act=AEFF5A7EA1C8DA1A26 exp=AEFF5A7EA1C8DA1A26 + 33974.50ns INFO [00033976] * RD COMPARE * port=0 adr=04 act=99F39DF22FE3363CFC exp=99F39DF22FE3363CFC + 33974.50ns INFO [00033976] Port=0 WR @07=8240F44BBBDB715DFF + 33975.50ns INFO [00033977] Port=0 WR @01=E36CDF1BBB6CAEDFC8 + 33975.50ns INFO [00033977] Port=0 RD @06 + 33975.50ns INFO [00033977] Port=1 RD @07 + 33976.50ns INFO [00033978] Port=0 RD @07 + 33977.50ns INFO [00033979] * RD COMPARE * port=0 adr=06 act=88881D23AD0D61C475 exp=88881D23AD0D61C475 + 33977.50ns INFO [00033979] * RD COMPARE * port=1 adr=07 act=8240F44BBBDB715DFF exp=8240F44BBBDB715DFF + 33978.50ns INFO [00033980] * RD COMPARE * port=0 adr=07 act=8240F44BBBDB715DFF exp=8240F44BBBDB715DFF + 33978.50ns INFO [00033980] Port=0 WR @03=A753E6F26B9A483495 + 33980.50ns INFO [00033982] Port=0 WR @01=76911BD622A7582919 + 33980.50ns INFO [00033982] Port=1 RD @02 + 33981.50ns INFO [00033983] Port=1 RD @00 + 33982.50ns INFO [00033984] * RD COMPARE * port=1 adr=02 act=29F936380A8DACE20B exp=29F936380A8DACE20B + 33983.50ns INFO [00033985] * RD COMPARE * port=1 adr=00 act=5272C7C736F482899E exp=5272C7C736F482899E + 33984.50ns INFO [00033986] Port=0 RD @05 + 33985.50ns INFO [00033987] Port=0 WR @05=AC7A82DDDD8301DF21 + 33985.50ns INFO [00033987] Port=0 RD @02 + 33985.50ns INFO [00033987] Port=1 RD @00 + 33986.50ns INFO [00033988] * RD COMPARE * port=0 adr=05 act=B18F8FCA586CA390C4 exp=B18F8FCA586CA390C4 + 33986.50ns INFO [00033988] Port=0 WR @07=ED8740CE4381C62AEE + 33987.50ns INFO [00033989] * RD COMPARE * port=0 adr=02 act=29F936380A8DACE20B exp=29F936380A8DACE20B + 33987.50ns INFO [00033989] * RD COMPARE * port=1 adr=00 act=5272C7C736F482899E exp=5272C7C736F482899E + 33987.50ns INFO [00033989] Port=0 WR @04=64B7F5BB0AE89D8DF8 + 33987.50ns INFO [00033989] Port=0 RD @01 + 33987.50ns INFO [00033989] Port=1 RD @07 + 33988.50ns INFO [00033990] Port=0 WR @03=B07D02019085209470 + 33988.50ns INFO [00033990] Port=1 RD @07 + 33989.50ns INFO [00033991] * RD COMPARE * port=0 adr=01 act=76911BD622A7582919 exp=76911BD622A7582919 + 33989.50ns INFO [00033991] * RD COMPARE * port=1 adr=07 act=ED8740CE4381C62AEE exp=ED8740CE4381C62AEE + 33989.50ns INFO [00033991] Port=0 WR @00=3F74EEE1D7FF404E10 + 33989.50ns INFO [00033991] Port=0 RD @07 + 33989.50ns INFO [00033991] Port=1 RD @02 + 33990.50ns INFO [00033992] * RD COMPARE * port=1 adr=07 act=ED8740CE4381C62AEE exp=ED8740CE4381C62AEE + 33990.50ns INFO [00033992] Port=0 WR @00=2F1FC0103B13AB3016 + 33990.50ns INFO [00033992] Port=0 RD @06 + 33991.50ns INFO [00033993] * RD COMPARE * port=0 adr=07 act=ED8740CE4381C62AEE exp=ED8740CE4381C62AEE + 33991.50ns INFO [00033993] * RD COMPARE * port=1 adr=02 act=29F936380A8DACE20B exp=29F936380A8DACE20B + 33991.50ns INFO [00033993] Port=0 WR @06=795E05805B08BCB0AF + 33991.50ns INFO [00033993] Port=1 RD @01 + 33992.50ns INFO [00033994] * RD COMPARE * port=0 adr=06 act=88881D23AD0D61C475 exp=88881D23AD0D61C475 + 33992.50ns INFO [00033994] Port=0 WR @03=2DA092934969E9199D + 33992.50ns INFO [00033994] Port=0 RD @07 + 33993.50ns INFO [00033995] * RD COMPARE * port=1 adr=01 act=76911BD622A7582919 exp=76911BD622A7582919 + 33993.50ns INFO [00033995] Port=0 RD @07 + 33994.50ns INFO [00033996] * RD COMPARE * port=0 adr=07 act=ED8740CE4381C62AEE exp=ED8740CE4381C62AEE + 33994.50ns INFO [00033996] Port=0 WR @04=988E1D94EA66980A27 + 33994.50ns INFO [00033996] Port=0 RD @02 + 33995.50ns INFO [00033997] * RD COMPARE * port=0 adr=07 act=ED8740CE4381C62AEE exp=ED8740CE4381C62AEE + 33995.50ns INFO [00033997] Port=1 RD @06 + 33996.50ns INFO [00033998] * RD COMPARE * port=0 adr=02 act=29F936380A8DACE20B exp=29F936380A8DACE20B + 33996.50ns INFO [00033998] Port=0 WR @07=2139B98E074C9F5F28 + 33997.50ns INFO [00033999] * RD COMPARE * port=1 adr=06 act=795E05805B08BCB0AF exp=795E05805B08BCB0AF + 33997.50ns INFO [00033999] Port=0 RD @06 + 33998.00ns INFO [00034000] [00034000] ...tick... + 33998.50ns INFO [00034000] Port=0 WR @02=0D445741CD7418BE53 + 33998.50ns INFO [00034000] Port=0 RD @01 + 33998.50ns INFO [00034000] Port=1 RD @01 + 33999.50ns INFO [00034001] * RD COMPARE * port=0 adr=06 act=795E05805B08BCB0AF exp=795E05805B08BCB0AF + 33999.50ns INFO [00034001] Port=0 WR @03=70698775B52A3836CB + 34000.50ns INFO [00034002] * RD COMPARE * port=0 adr=01 act=76911BD622A7582919 exp=76911BD622A7582919 + 34000.50ns INFO [00034002] * RD COMPARE * port=1 adr=01 act=76911BD622A7582919 exp=76911BD622A7582919 + 34003.50ns INFO [00034005] Port=0 WR @05=D929FA3B00218DB8CE + 34004.50ns INFO [00034006] Port=1 RD @03 + 34005.50ns INFO [00034007] Port=0 RD @01 + 34005.50ns INFO [00034007] Port=1 RD @06 + 34006.50ns INFO [00034008] * RD COMPARE * port=1 adr=03 act=70698775B52A3836CB exp=70698775B52A3836CB + 34006.50ns INFO [00034008] Port=1 RD @07 + 34007.50ns INFO [00034009] * RD COMPARE * port=0 adr=01 act=76911BD622A7582919 exp=76911BD622A7582919 + 34007.50ns INFO [00034009] * RD COMPARE * port=1 adr=06 act=795E05805B08BCB0AF exp=795E05805B08BCB0AF + 34008.50ns INFO [00034010] * RD COMPARE * port=1 adr=07 act=2139B98E074C9F5F28 exp=2139B98E074C9F5F28 + 34008.50ns INFO [00034010] Port=0 WR @01=20C724996B94F2A040 + 34009.50ns INFO [00034011] Port=0 WR @01=292238E3B98A0C9728 + 34010.50ns INFO [00034012] Port=0 RD @07 + 34011.50ns INFO [00034013] Port=0 WR @01=24E8AE29B40A42EC33 + 34011.50ns INFO [00034013] Port=0 RD @05 + 34012.50ns INFO [00034014] * RD COMPARE * port=0 adr=07 act=2139B98E074C9F5F28 exp=2139B98E074C9F5F28 + 34012.50ns INFO [00034014] Port=0 WR @06=BE89378BE279DFBD9E + 34013.50ns INFO [00034015] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34013.50ns INFO [00034015] Port=0 RD @07 + 34014.50ns INFO [00034016] Port=0 WR @07=0297496CBD5C4FCC72 + 34014.50ns INFO [00034016] Port=0 RD @01 + 34015.50ns INFO [00034017] * RD COMPARE * port=0 adr=07 act=2139B98E074C9F5F28 exp=2139B98E074C9F5F28 + 34015.50ns INFO [00034017] Port=0 WR @01=2DCE968E0792F14E57 + 34016.50ns INFO [00034018] * RD COMPARE * port=0 adr=01 act=24E8AE29B40A42EC33 exp=24E8AE29B40A42EC33 + 34017.50ns INFO [00034019] Port=0 RD @00 + 34018.50ns INFO [00034020] Port=1 RD @03 + 34019.50ns INFO [00034021] * RD COMPARE * port=0 adr=00 act=2F1FC0103B13AB3016 exp=2F1FC0103B13AB3016 + 34020.50ns INFO [00034022] * RD COMPARE * port=1 adr=03 act=70698775B52A3836CB exp=70698775B52A3836CB + 34021.50ns INFO [00034023] Port=0 RD @05 + 34021.50ns INFO [00034023] Port=1 RD @01 + 34022.50ns INFO [00034024] Port=0 RD @05 + 34022.50ns INFO [00034024] Port=1 RD @03 + 34023.50ns INFO [00034025] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34023.50ns INFO [00034025] * RD COMPARE * port=1 adr=01 act=2DCE968E0792F14E57 exp=2DCE968E0792F14E57 + 34023.50ns INFO [00034025] Port=0 RD @01 + 34024.50ns INFO [00034026] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34024.50ns INFO [00034026] * RD COMPARE * port=1 adr=03 act=70698775B52A3836CB exp=70698775B52A3836CB + 34025.50ns INFO [00034027] * RD COMPARE * port=0 adr=01 act=2DCE968E0792F14E57 exp=2DCE968E0792F14E57 + 34027.50ns INFO [00034029] Port=0 WR @01=DD75C457075006F99B + 34028.50ns INFO [00034030] Port=0 WR @03=C0BE22AA9442D42908 + 34029.50ns INFO [00034031] Port=0 WR @06=1B954E2CB2D96300BC + 34030.50ns INFO [00034032] Port=0 WR @06=A6C6144BA825E9AF5F + 34030.50ns INFO [00034032] Port=1 RD @04 + 34031.50ns INFO [00034033] Port=0 WR @02=63ACE28EBD4F6ED3E3 + 34032.50ns INFO [00034034] * RD COMPARE * port=1 adr=04 act=988E1D94EA66980A27 exp=988E1D94EA66980A27 + 34032.50ns INFO [00034034] Port=0 RD @00 + 34033.50ns INFO [00034035] Port=0 WR @00=FC5C71BB2D9EE47C93 + 34034.50ns INFO [00034036] * RD COMPARE * port=0 adr=00 act=2F1FC0103B13AB3016 exp=2F1FC0103B13AB3016 + 34034.50ns INFO [00034036] Port=0 WR @01=FF3726402854ECB0AA + 34036.50ns INFO [00034038] Port=0 RD @03 + 34037.50ns INFO [00034039] Port=0 RD @00 + 34038.50ns INFO [00034040] * RD COMPARE * port=0 adr=03 act=C0BE22AA9442D42908 exp=C0BE22AA9442D42908 + 34038.50ns INFO [00034040] Port=0 WR @02=D3AEC4506BD0716B0E + 34038.50ns INFO [00034040] Port=0 RD @00 + 34039.50ns INFO [00034041] * RD COMPARE * port=0 adr=00 act=FC5C71BB2D9EE47C93 exp=FC5C71BB2D9EE47C93 + 34039.50ns INFO [00034041] Port=0 RD @02 + 34040.50ns INFO [00034042] * RD COMPARE * port=0 adr=00 act=FC5C71BB2D9EE47C93 exp=FC5C71BB2D9EE47C93 + 34040.50ns INFO [00034042] Port=0 WR @01=B90C0E5EF41C5A9D32 + 34041.50ns INFO [00034043] * RD COMPARE * port=0 adr=02 act=D3AEC4506BD0716B0E exp=D3AEC4506BD0716B0E + 34042.50ns INFO [00034044] Port=1 RD @01 + 34044.50ns INFO [00034046] * RD COMPARE * port=1 adr=01 act=B90C0E5EF41C5A9D32 exp=B90C0E5EF41C5A9D32 + 34044.50ns INFO [00034046] Port=0 WR @07=49826FA99C1670A30C + 34044.50ns INFO [00034046] Port=0 RD @05 + 34044.50ns INFO [00034046] Port=1 RD @06 + 34045.50ns INFO [00034047] Port=0 RD @06 + 34046.50ns INFO [00034048] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34046.50ns INFO [00034048] * RD COMPARE * port=1 adr=06 act=A6C6144BA825E9AF5F exp=A6C6144BA825E9AF5F + 34046.50ns INFO [00034048] Port=0 RD @04 + 34046.50ns INFO [00034048] Port=1 RD @02 + 34047.50ns INFO [00034049] * RD COMPARE * port=0 adr=06 act=A6C6144BA825E9AF5F exp=A6C6144BA825E9AF5F + 34047.50ns INFO [00034049] Port=0 WR @06=2B56BB888C8F677E8D + 34048.50ns INFO [00034050] * RD COMPARE * port=0 adr=04 act=988E1D94EA66980A27 exp=988E1D94EA66980A27 + 34048.50ns INFO [00034050] * RD COMPARE * port=1 adr=02 act=D3AEC4506BD0716B0E exp=D3AEC4506BD0716B0E + 34048.50ns INFO [00034050] Port=0 RD @03 + 34049.50ns INFO [00034051] Port=0 WR @03=3AFB6F913BAE11F485 + 34049.50ns INFO [00034051] Port=1 RD @04 + 34050.50ns INFO [00034052] * RD COMPARE * port=0 adr=03 act=C0BE22AA9442D42908 exp=C0BE22AA9442D42908 + 34051.50ns INFO [00034053] * RD COMPARE * port=1 adr=04 act=988E1D94EA66980A27 exp=988E1D94EA66980A27 + 34051.50ns INFO [00034053] Port=0 WR @00=7601CC9C9CA13E2C28 + 34051.50ns INFO [00034053] Port=0 RD @06 + 34053.50ns INFO [00034055] * RD COMPARE * port=0 adr=06 act=2B56BB888C8F677E8D exp=2B56BB888C8F677E8D + 34053.50ns INFO [00034055] Port=0 WR @07=1A9E9161B6CF1EE425 + 34053.50ns INFO [00034055] Port=0 RD @00 + 34053.50ns INFO [00034055] Port=1 RD @06 + 34054.50ns INFO [00034056] Port=0 RD @00 + 34055.50ns INFO [00034057] * RD COMPARE * port=0 adr=00 act=7601CC9C9CA13E2C28 exp=7601CC9C9CA13E2C28 + 34055.50ns INFO [00034057] * RD COMPARE * port=1 adr=06 act=2B56BB888C8F677E8D exp=2B56BB888C8F677E8D + 34055.50ns INFO [00034057] Port=0 WR @07=41AC8CCF84F721FDE5 + 34055.50ns INFO [00034057] Port=0 RD @05 + 34055.50ns INFO [00034057] Port=1 RD @02 + 34056.50ns INFO [00034058] * RD COMPARE * port=0 adr=00 act=7601CC9C9CA13E2C28 exp=7601CC9C9CA13E2C28 + 34056.50ns INFO [00034058] Port=0 WR @06=8E8CE6054678056117 + 34056.50ns INFO [00034058] Port=1 RD @03 + 34057.50ns INFO [00034059] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34057.50ns INFO [00034059] * RD COMPARE * port=1 adr=02 act=D3AEC4506BD0716B0E exp=D3AEC4506BD0716B0E + 34058.50ns INFO [00034060] * RD COMPARE * port=1 adr=03 act=3AFB6F913BAE11F485 exp=3AFB6F913BAE11F485 + 34058.50ns INFO [00034060] Port=0 WR @00=9CDD7A426EAB952E0A + 34058.50ns INFO [00034060] Port=0 RD @07 + 34059.50ns INFO [00034061] Port=0 WR @07=336920310DF80FCB56 + 34060.50ns INFO [00034062] * RD COMPARE * port=0 adr=07 act=41AC8CCF84F721FDE5 exp=41AC8CCF84F721FDE5 + 34060.50ns INFO [00034062] Port=0 RD @04 + 34061.50ns INFO [00034063] Port=0 RD @01 + 34061.50ns INFO [00034063] Port=1 RD @07 + 34062.50ns INFO [00034064] * RD COMPARE * port=0 adr=04 act=988E1D94EA66980A27 exp=988E1D94EA66980A27 + 34062.50ns INFO [00034064] Port=0 WR @07=0C491857FF7A6AB9F1 + 34062.50ns INFO [00034064] Port=0 RD @05 + 34063.50ns INFO [00034065] * RD COMPARE * port=0 adr=01 act=B90C0E5EF41C5A9D32 exp=B90C0E5EF41C5A9D32 + 34063.50ns INFO [00034065] * RD COMPARE * port=1 adr=07 act=336920310DF80FCB56 exp=336920310DF80FCB56 + 34064.50ns INFO [00034066] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34065.50ns INFO [00034067] Port=0 RD @03 + 34066.50ns INFO [00034068] Port=0 WR @07=F2780D66CB925445F2 + 34067.50ns INFO [00034069] * RD COMPARE * port=0 adr=03 act=3AFB6F913BAE11F485 exp=3AFB6F913BAE11F485 + 34073.50ns INFO [00034075] Port=0 WR @02=E937C8887EF988EEEE + 34073.50ns INFO [00034075] Port=1 RD @04 + 34074.50ns INFO [00034076] Port=1 RD @05 + 34075.50ns INFO [00034077] * RD COMPARE * port=1 adr=04 act=988E1D94EA66980A27 exp=988E1D94EA66980A27 + 34075.50ns INFO [00034077] Port=0 RD @01 + 34076.50ns INFO [00034078] * RD COMPARE * port=1 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34076.50ns INFO [00034078] Port=0 RD @04 + 34077.50ns INFO [00034079] * RD COMPARE * port=0 adr=01 act=B90C0E5EF41C5A9D32 exp=B90C0E5EF41C5A9D32 + 34078.50ns INFO [00034080] * RD COMPARE * port=0 adr=04 act=988E1D94EA66980A27 exp=988E1D94EA66980A27 + 34079.50ns INFO [00034081] Port=0 RD @00 + 34080.50ns INFO [00034082] Port=0 WR @04=4D30AD1385A4FAC0B8 + 34081.50ns INFO [00034083] * RD COMPARE * port=0 adr=00 act=9CDD7A426EAB952E0A exp=9CDD7A426EAB952E0A + 34081.50ns INFO [00034083] Port=0 WR @00=8C47E47E45B3360372 + 34081.50ns INFO [00034083] Port=0 RD @04 + 34081.50ns INFO [00034083] Port=1 RD @07 + 34083.50ns INFO [00034085] * RD COMPARE * port=0 adr=04 act=4D30AD1385A4FAC0B8 exp=4D30AD1385A4FAC0B8 + 34083.50ns INFO [00034085] * RD COMPARE * port=1 adr=07 act=F2780D66CB925445F2 exp=F2780D66CB925445F2 + 34083.50ns INFO [00034085] Port=0 RD @05 + 34084.50ns INFO [00034086] Port=1 RD @00 + 34085.50ns INFO [00034087] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34086.50ns INFO [00034088] * RD COMPARE * port=1 adr=00 act=8C47E47E45B3360372 exp=8C47E47E45B3360372 + 34086.50ns INFO [00034088] Port=1 RD @03 + 34087.50ns INFO [00034089] Port=0 WR @00=11E6E74CD237625476 + 34088.50ns INFO [00034090] * RD COMPARE * port=1 adr=03 act=3AFB6F913BAE11F485 exp=3AFB6F913BAE11F485 + 34088.50ns INFO [00034090] Port=0 WR @06=0797800240FD6C35BC + 34088.50ns INFO [00034090] Port=0 RD @03 + 34089.50ns INFO [00034091] Port=0 WR @02=0C1F4CCC499A50E197 + 34089.50ns INFO [00034091] Port=0 RD @03 + 34089.50ns INFO [00034091] Port=1 RD @06 + 34090.50ns INFO [00034092] * RD COMPARE * port=0 adr=03 act=3AFB6F913BAE11F485 exp=3AFB6F913BAE11F485 + 34090.50ns INFO [00034092] Port=0 WR @06=28A1A46BDCE9D64A06 + 34090.50ns INFO [00034092] Port=0 RD @01 + 34091.50ns INFO [00034093] * RD COMPARE * port=0 adr=03 act=3AFB6F913BAE11F485 exp=3AFB6F913BAE11F485 + 34091.50ns INFO [00034093] * RD COMPARE * port=1 adr=06 act=0797800240FD6C35BC exp=0797800240FD6C35BC + 34092.50ns INFO [00034094] * RD COMPARE * port=0 adr=01 act=B90C0E5EF41C5A9D32 exp=B90C0E5EF41C5A9D32 + 34092.50ns INFO [00034094] Port=1 RD @03 + 34093.50ns INFO [00034095] Port=0 WR @02=DEC58DAE84FF464CEA + 34094.50ns INFO [00034096] * RD COMPARE * port=1 adr=03 act=3AFB6F913BAE11F485 exp=3AFB6F913BAE11F485 + 34094.50ns INFO [00034096] Port=1 RD @00 + 34095.50ns INFO [00034097] Port=0 RD @07 + 34095.50ns INFO [00034097] Port=1 RD @00 + 34096.50ns INFO [00034098] * RD COMPARE * port=1 adr=00 act=11E6E74CD237625476 exp=11E6E74CD237625476 + 34096.50ns INFO [00034098] Port=1 RD @07 + 34097.50ns INFO [00034099] * RD COMPARE * port=0 adr=07 act=F2780D66CB925445F2 exp=F2780D66CB925445F2 + 34097.50ns INFO [00034099] * RD COMPARE * port=1 adr=00 act=11E6E74CD237625476 exp=11E6E74CD237625476 + 34097.50ns INFO [00034099] Port=0 WR @02=ACC731AB217083D492 + 34097.50ns INFO [00034099] Port=1 RD @05 + 34098.00ns INFO [00034100] [00034100] ...tick... + 34098.50ns INFO [00034100] * RD COMPARE * port=1 adr=07 act=F2780D66CB925445F2 exp=F2780D66CB925445F2 + 34098.50ns INFO [00034100] Port=0 WR @01=F938247B5000375C08 + 34099.50ns INFO [00034101] * RD COMPARE * port=1 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34099.50ns INFO [00034101] Port=0 WR @02=FC4275FCE8CF7239BF + 34101.50ns INFO [00034103] Port=1 RD @01 + 34102.50ns INFO [00034104] Port=0 WR @04=39F65DB0E560431967 + 34102.50ns INFO [00034104] Port=0 RD @00 + 34102.50ns INFO [00034104] Port=1 RD @02 + 34103.50ns INFO [00034105] * RD COMPARE * port=1 adr=01 act=F938247B5000375C08 exp=F938247B5000375C08 + 34103.50ns INFO [00034105] Port=0 WR @04=662DD7259A936C5DE3 + 34103.50ns INFO [00034105] Port=1 RD @00 + 34104.50ns INFO [00034106] * RD COMPARE * port=0 adr=00 act=11E6E74CD237625476 exp=11E6E74CD237625476 + 34104.50ns INFO [00034106] * RD COMPARE * port=1 adr=02 act=FC4275FCE8CF7239BF exp=FC4275FCE8CF7239BF + 34104.50ns INFO [00034106] Port=0 RD @07 + 34105.50ns INFO [00034107] * RD COMPARE * port=1 adr=00 act=11E6E74CD237625476 exp=11E6E74CD237625476 + 34105.50ns INFO [00034107] Port=1 RD @05 + 34106.50ns INFO [00034108] * RD COMPARE * port=0 adr=07 act=F2780D66CB925445F2 exp=F2780D66CB925445F2 + 34106.50ns INFO [00034108] Port=0 RD @01 + 34107.50ns INFO [00034109] * RD COMPARE * port=1 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34107.50ns INFO [00034109] Port=0 WR @02=867A78669D61DA64EF + 34107.50ns INFO [00034109] Port=0 RD @03 + 34108.50ns INFO [00034110] * RD COMPARE * port=0 adr=01 act=F938247B5000375C08 exp=F938247B5000375C08 + 34108.50ns INFO [00034110] Port=0 RD @05 + 34109.50ns INFO [00034111] * RD COMPARE * port=0 adr=03 act=3AFB6F913BAE11F485 exp=3AFB6F913BAE11F485 + 34109.50ns INFO [00034111] Port=0 RD @04 + 34109.50ns INFO [00034111] Port=1 RD @01 + 34110.50ns INFO [00034112] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34110.50ns INFO [00034112] Port=1 RD @07 + 34111.50ns INFO [00034113] * RD COMPARE * port=0 adr=04 act=662DD7259A936C5DE3 exp=662DD7259A936C5DE3 + 34111.50ns INFO [00034113] * RD COMPARE * port=1 adr=01 act=F938247B5000375C08 exp=F938247B5000375C08 + 34111.50ns INFO [00034113] Port=0 WR @04=3498C1FBA8F9953002 + 34111.50ns INFO [00034113] Port=0 RD @05 + 34112.50ns INFO [00034114] * RD COMPARE * port=1 adr=07 act=F2780D66CB925445F2 exp=F2780D66CB925445F2 + 34113.50ns INFO [00034115] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34113.50ns INFO [00034115] Port=0 WR @03=3D0C58B8927CD4ED62 + 34114.50ns INFO [00034116] Port=0 RD @01 + 34115.50ns INFO [00034117] Port=0 WR @04=72C1B7424410089BA2 + 34115.50ns INFO [00034117] Port=0 RD @00 + 34116.50ns INFO [00034118] * RD COMPARE * port=0 adr=01 act=F938247B5000375C08 exp=F938247B5000375C08 + 34116.50ns INFO [00034118] Port=0 RD @06 + 34116.50ns INFO [00034118] Port=1 RD @07 + 34117.50ns INFO [00034119] * RD COMPARE * port=0 adr=00 act=11E6E74CD237625476 exp=11E6E74CD237625476 + 34117.50ns INFO [00034119] Port=0 WR @00=EE6EB9E6CAC7C37D8F + 34118.50ns INFO [00034120] * RD COMPARE * port=0 adr=06 act=28A1A46BDCE9D64A06 exp=28A1A46BDCE9D64A06 + 34118.50ns INFO [00034120] * RD COMPARE * port=1 adr=07 act=F2780D66CB925445F2 exp=F2780D66CB925445F2 + 34118.50ns INFO [00034120] Port=0 WR @01=975BF46353F1DCB94D + 34118.50ns INFO [00034120] Port=0 RD @03 + 34119.50ns INFO [00034121] Port=1 RD @04 + 34120.50ns INFO [00034122] * RD COMPARE * port=0 adr=03 act=3D0C58B8927CD4ED62 exp=3D0C58B8927CD4ED62 + 34121.50ns INFO [00034123] * RD COMPARE * port=1 adr=04 act=72C1B7424410089BA2 exp=72C1B7424410089BA2 + 34121.50ns INFO [00034123] Port=1 RD @03 + 34123.50ns INFO [00034125] * RD COMPARE * port=1 adr=03 act=3D0C58B8927CD4ED62 exp=3D0C58B8927CD4ED62 + 34123.50ns INFO [00034125] Port=1 RD @04 + 34124.50ns INFO [00034126] Port=0 WR @06=0CBD42424AF7AEECD1 + 34124.50ns INFO [00034126] Port=1 RD @04 + 34125.50ns INFO [00034127] * RD COMPARE * port=1 adr=04 act=72C1B7424410089BA2 exp=72C1B7424410089BA2 + 34126.50ns INFO [00034128] * RD COMPARE * port=1 adr=04 act=72C1B7424410089BA2 exp=72C1B7424410089BA2 + 34126.50ns INFO [00034128] Port=0 WR @04=C4C9C9115D3BDA300C + 34126.50ns INFO [00034128] Port=1 RD @05 + 34127.50ns INFO [00034129] Port=0 WR @03=0921B404E49A9E9281 + 34127.50ns INFO [00034129] Port=1 RD @00 + 34128.50ns INFO [00034130] * RD COMPARE * port=1 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34128.50ns INFO [00034130] Port=1 RD @05 + 34129.50ns INFO [00034131] * RD COMPARE * port=1 adr=00 act=EE6EB9E6CAC7C37D8F exp=EE6EB9E6CAC7C37D8F + 34129.50ns INFO [00034131] Port=1 RD @05 + 34130.50ns INFO [00034132] * RD COMPARE * port=1 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34130.50ns INFO [00034132] Port=0 RD @01 + 34130.50ns INFO [00034132] Port=1 RD @01 + 34131.50ns INFO [00034133] * RD COMPARE * port=1 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34131.50ns INFO [00034133] Port=0 RD @05 + 34131.50ns INFO [00034133] Port=1 RD @06 + 34132.50ns INFO [00034134] * RD COMPARE * port=0 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34132.50ns INFO [00034134] * RD COMPARE * port=1 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34132.50ns INFO [00034134] Port=0 WR @00=B4DB51423B50A0E705 + 34132.50ns INFO [00034134] Port=0 RD @05 + 34132.50ns INFO [00034134] Port=1 RD @07 + 34133.50ns INFO [00034135] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34133.50ns INFO [00034135] * RD COMPARE * port=1 adr=06 act=0CBD42424AF7AEECD1 exp=0CBD42424AF7AEECD1 + 34133.50ns INFO [00034135] Port=0 RD @01 + 34134.50ns INFO [00034136] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34134.50ns INFO [00034136] * RD COMPARE * port=1 adr=07 act=F2780D66CB925445F2 exp=F2780D66CB925445F2 + 34135.50ns INFO [00034137] * RD COMPARE * port=0 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34137.50ns INFO [00034139] Port=0 WR @07=775C7ED44CAE3CA9C0 + 34138.50ns INFO [00034140] Port=0 WR @04=1E8501A478E81F8363 + 34138.50ns INFO [00034140] Port=1 RD @07 + 34139.50ns INFO [00034141] Port=0 RD @06 + 34139.50ns INFO [00034141] Port=1 RD @02 + 34140.50ns INFO [00034142] * RD COMPARE * port=1 adr=07 act=775C7ED44CAE3CA9C0 exp=775C7ED44CAE3CA9C0 + 34140.50ns INFO [00034142] Port=1 RD @02 + 34141.50ns INFO [00034143] * RD COMPARE * port=0 adr=06 act=0CBD42424AF7AEECD1 exp=0CBD42424AF7AEECD1 + 34141.50ns INFO [00034143] * RD COMPARE * port=1 adr=02 act=867A78669D61DA64EF exp=867A78669D61DA64EF + 34141.50ns INFO [00034143] Port=0 WR @06=3068A28725C41C2313 + 34141.50ns INFO [00034143] Port=1 RD @00 + 34142.50ns INFO [00034144] * RD COMPARE * port=1 adr=02 act=867A78669D61DA64EF exp=867A78669D61DA64EF + 34142.50ns INFO [00034144] Port=1 RD @05 + 34143.50ns INFO [00034145] * RD COMPARE * port=1 adr=00 act=B4DB51423B50A0E705 exp=B4DB51423B50A0E705 + 34143.50ns INFO [00034145] Port=1 RD @07 + 34144.50ns INFO [00034146] * RD COMPARE * port=1 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34144.50ns INFO [00034146] Port=1 RD @04 + 34145.50ns INFO [00034147] * RD COMPARE * port=1 adr=07 act=775C7ED44CAE3CA9C0 exp=775C7ED44CAE3CA9C0 + 34145.50ns INFO [00034147] Port=0 RD @00 + 34145.50ns INFO [00034147] Port=1 RD @01 + 34146.50ns INFO [00034148] * RD COMPARE * port=1 adr=04 act=1E8501A478E81F8363 exp=1E8501A478E81F8363 + 34146.50ns INFO [00034148] Port=0 RD @04 + 34147.50ns INFO [00034149] * RD COMPARE * port=0 adr=00 act=B4DB51423B50A0E705 exp=B4DB51423B50A0E705 + 34147.50ns INFO [00034149] * RD COMPARE * port=1 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34147.50ns INFO [00034149] Port=0 RD @04 + 34147.50ns INFO [00034149] Port=1 RD @00 + 34148.50ns INFO [00034150] * RD COMPARE * port=0 adr=04 act=1E8501A478E81F8363 exp=1E8501A478E81F8363 + 34148.50ns INFO [00034150] Port=0 WR @07=D87322CA2F9E177F64 + 34148.50ns INFO [00034150] Port=1 RD @00 + 34149.50ns INFO [00034151] * RD COMPARE * port=0 adr=04 act=1E8501A478E81F8363 exp=1E8501A478E81F8363 + 34149.50ns INFO [00034151] * RD COMPARE * port=1 adr=00 act=B4DB51423B50A0E705 exp=B4DB51423B50A0E705 + 34149.50ns INFO [00034151] Port=0 WR @06=C6C242E36B354FFA72 + 34150.50ns INFO [00034152] * RD COMPARE * port=1 adr=00 act=B4DB51423B50A0E705 exp=B4DB51423B50A0E705 + 34150.50ns INFO [00034152] Port=0 WR @02=E67C0968F07C1F5A55 + 34151.50ns INFO [00034153] Port=1 RD @01 + 34152.50ns INFO [00034154] Port=0 WR @02=04522B7A3AC3F58EE8 + 34152.50ns INFO [00034154] Port=0 RD @01 + 34153.50ns INFO [00034155] * RD COMPARE * port=1 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34153.50ns INFO [00034155] Port=0 RD @05 + 34154.50ns INFO [00034156] * RD COMPARE * port=0 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34154.50ns INFO [00034156] Port=0 WR @02=FC665010255451E136 + 34155.50ns INFO [00034157] * RD COMPARE * port=0 adr=05 act=D929FA3B00218DB8CE exp=D929FA3B00218DB8CE + 34155.50ns INFO [00034157] Port=1 RD @03 + 34156.50ns INFO [00034158] Port=0 RD @06 + 34157.50ns INFO [00034159] * RD COMPARE * port=1 adr=03 act=0921B404E49A9E9281 exp=0921B404E49A9E9281 + 34158.50ns INFO [00034160] * RD COMPARE * port=0 adr=06 act=C6C242E36B354FFA72 exp=C6C242E36B354FFA72 + 34158.50ns INFO [00034160] Port=0 WR @05=83C7BC8CBE6CA37579 + 34158.50ns INFO [00034160] Port=0 RD @03 + 34160.50ns INFO [00034162] * RD COMPARE * port=0 adr=03 act=0921B404E49A9E9281 exp=0921B404E49A9E9281 + 34160.50ns INFO [00034162] Port=1 RD @00 + 34161.50ns INFO [00034163] Port=0 WR @02=1CA2F267424D452D23 + 34161.50ns INFO [00034163] Port=1 RD @04 + 34162.50ns INFO [00034164] * RD COMPARE * port=1 adr=00 act=B4DB51423B50A0E705 exp=B4DB51423B50A0E705 + 34162.50ns INFO [00034164] Port=0 RD @01 + 34162.50ns INFO [00034164] Port=1 RD @00 + 34163.50ns INFO [00034165] * RD COMPARE * port=1 adr=04 act=1E8501A478E81F8363 exp=1E8501A478E81F8363 + 34163.50ns INFO [00034165] Port=0 WR @06=3B6B9533322603CD52 + 34164.50ns INFO [00034166] * RD COMPARE * port=0 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34164.50ns INFO [00034166] * RD COMPARE * port=1 adr=00 act=B4DB51423B50A0E705 exp=B4DB51423B50A0E705 + 34165.50ns INFO [00034167] Port=0 WR @06=C675BB3DDDF17B2869 + 34165.50ns INFO [00034167] Port=1 RD @04 + 34167.50ns INFO [00034169] * RD COMPARE * port=1 adr=04 act=1E8501A478E81F8363 exp=1E8501A478E81F8363 + 34168.50ns INFO [00034170] Port=1 RD @05 + 34169.50ns INFO [00034171] Port=1 RD @03 + 34170.50ns INFO [00034172] * RD COMPARE * port=1 adr=05 act=83C7BC8CBE6CA37579 exp=83C7BC8CBE6CA37579 + 34171.50ns INFO [00034173] * RD COMPARE * port=1 adr=03 act=0921B404E49A9E9281 exp=0921B404E49A9E9281 + 34171.50ns INFO [00034173] Port=1 RD @01 + 34172.50ns INFO [00034174] Port=1 RD @05 + 34173.50ns INFO [00034175] * RD COMPARE * port=1 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34173.50ns INFO [00034175] Port=0 WR @04=D7F1856815940B2395 + 34174.50ns INFO [00034176] * RD COMPARE * port=1 adr=05 act=83C7BC8CBE6CA37579 exp=83C7BC8CBE6CA37579 + 34174.50ns INFO [00034176] Port=0 RD @06 + 34175.50ns INFO [00034177] Port=0 RD @06 + 34176.50ns INFO [00034178] * RD COMPARE * port=0 adr=06 act=C675BB3DDDF17B2869 exp=C675BB3DDDF17B2869 + 34176.50ns INFO [00034178] Port=0 WR @05=3A73E311AB1D15C8E3 + 34177.50ns INFO [00034179] * RD COMPARE * port=0 adr=06 act=C675BB3DDDF17B2869 exp=C675BB3DDDF17B2869 + 34177.50ns INFO [00034179] Port=1 RD @00 + 34178.50ns INFO [00034180] Port=0 RD @00 + 34179.50ns INFO [00034181] * RD COMPARE * port=1 adr=00 act=B4DB51423B50A0E705 exp=B4DB51423B50A0E705 + 34179.50ns INFO [00034181] Port=0 WR @03=D35B78AF86534C89CB + 34180.50ns INFO [00034182] * RD COMPARE * port=0 adr=00 act=B4DB51423B50A0E705 exp=B4DB51423B50A0E705 + 34181.50ns INFO [00034183] Port=0 WR @07=8F88ABF769D14CC308 + 34181.50ns INFO [00034183] Port=0 RD @03 + 34182.50ns INFO [00034184] Port=0 RD @06 + 34183.50ns INFO [00034185] * RD COMPARE * port=0 adr=03 act=D35B78AF86534C89CB exp=D35B78AF86534C89CB + 34183.50ns INFO [00034185] Port=0 WR @03=AB24F2D9033B0FD763 + 34183.50ns INFO [00034185] Port=0 RD @02 + 34184.50ns INFO [00034186] * RD COMPARE * port=0 adr=06 act=C675BB3DDDF17B2869 exp=C675BB3DDDF17B2869 + 34184.50ns INFO [00034186] Port=0 WR @04=73CC3684FDEF0D1486 + 34184.50ns INFO [00034186] Port=0 RD @06 + 34184.50ns INFO [00034186] Port=1 RD @07 + 34185.50ns INFO [00034187] * RD COMPARE * port=0 adr=02 act=1CA2F267424D452D23 exp=1CA2F267424D452D23 + 34185.50ns INFO [00034187] Port=1 RD @00 + 34186.50ns INFO [00034188] * RD COMPARE * port=0 adr=06 act=C675BB3DDDF17B2869 exp=C675BB3DDDF17B2869 + 34186.50ns INFO [00034188] * RD COMPARE * port=1 adr=07 act=8F88ABF769D14CC308 exp=8F88ABF769D14CC308 + 34186.50ns INFO [00034188] Port=1 RD @05 + 34187.50ns INFO [00034189] * RD COMPARE * port=1 adr=00 act=B4DB51423B50A0E705 exp=B4DB51423B50A0E705 + 34187.50ns INFO [00034189] Port=0 WR @02=B23F985F5CA88CB28D + 34187.50ns INFO [00034189] Port=0 RD @00 + 34188.50ns INFO [00034190] * RD COMPARE * port=1 adr=05 act=3A73E311AB1D15C8E3 exp=3A73E311AB1D15C8E3 + 34188.50ns INFO [00034190] Port=0 WR @05=BFE6E32AC2BF6A0EAE + 34189.50ns INFO [00034191] * RD COMPARE * port=0 adr=00 act=B4DB51423B50A0E705 exp=B4DB51423B50A0E705 + 34189.50ns INFO [00034191] Port=0 RD @05 + 34190.50ns INFO [00034192] Port=0 WR @00=9824FE48120F5BF63B + 34190.50ns INFO [00034192] Port=1 RD @05 + 34191.50ns INFO [00034193] * RD COMPARE * port=0 adr=05 act=BFE6E32AC2BF6A0EAE exp=BFE6E32AC2BF6A0EAE + 34191.50ns INFO [00034193] Port=1 RD @06 + 34192.50ns INFO [00034194] * RD COMPARE * port=1 adr=05 act=BFE6E32AC2BF6A0EAE exp=BFE6E32AC2BF6A0EAE + 34192.50ns INFO [00034194] Port=1 RD @07 + 34193.50ns INFO [00034195] * RD COMPARE * port=1 adr=06 act=C675BB3DDDF17B2869 exp=C675BB3DDDF17B2869 + 34193.50ns INFO [00034195] Port=0 WR @07=612C62632E6ABF3CD1 + 34193.50ns INFO [00034195] Port=0 RD @01 + 34194.50ns INFO [00034196] * RD COMPARE * port=1 adr=07 act=8F88ABF769D14CC308 exp=8F88ABF769D14CC308 + 34194.50ns INFO [00034196] Port=1 RD @02 + 34195.50ns INFO [00034197] * RD COMPARE * port=0 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34195.50ns INFO [00034197] Port=0 RD @01 + 34196.50ns INFO [00034198] * RD COMPARE * port=1 adr=02 act=B23F985F5CA88CB28D exp=B23F985F5CA88CB28D + 34197.50ns INFO [00034199] * RD COMPARE * port=0 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34197.50ns INFO [00034199] Port=0 WR @06=69D99F70A36CB6D600 + 34197.50ns INFO [00034199] Port=0 RD @03 + 34198.00ns INFO [00034200] [00034200] ...tick... + 34199.50ns INFO [00034201] * RD COMPARE * port=0 adr=03 act=AB24F2D9033B0FD763 exp=AB24F2D9033B0FD763 + 34199.50ns INFO [00034201] Port=0 RD @05 + 34201.50ns INFO [00034203] * RD COMPARE * port=0 adr=05 act=BFE6E32AC2BF6A0EAE exp=BFE6E32AC2BF6A0EAE + 34201.50ns INFO [00034203] Port=0 WR @00=E04ED74C8F6516BDED + 34201.50ns INFO [00034203] Port=0 RD @02 + 34202.50ns INFO [00034204] Port=0 WR @05=BEE2973E7C4FD3DCEF + 34203.50ns INFO [00034205] * RD COMPARE * port=0 adr=02 act=B23F985F5CA88CB28D exp=B23F985F5CA88CB28D + 34204.50ns INFO [00034206] Port=0 RD @06 + 34204.50ns INFO [00034206] Port=1 RD @05 + 34205.50ns INFO [00034207] Port=0 WR @00=C5BB04BF154FAFE746 + 34206.50ns INFO [00034208] * RD COMPARE * port=0 adr=06 act=69D99F70A36CB6D600 exp=69D99F70A36CB6D600 + 34206.50ns INFO [00034208] * RD COMPARE * port=1 adr=05 act=BEE2973E7C4FD3DCEF exp=BEE2973E7C4FD3DCEF + 34206.50ns INFO [00034208] Port=0 WR @07=410DB2DAD2E4263731 + 34207.50ns INFO [00034209] Port=0 WR @07=83101E31858185D242 + 34207.50ns INFO [00034209] Port=0 RD @01 + 34207.50ns INFO [00034209] Port=1 RD @05 + 34208.50ns INFO [00034210] Port=0 WR @05=894F57F9C6BCA942D4 + 34208.50ns INFO [00034210] Port=0 RD @04 + 34208.50ns INFO [00034210] Port=1 RD @07 + 34209.50ns INFO [00034211] * RD COMPARE * port=0 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34209.50ns INFO [00034211] * RD COMPARE * port=1 adr=05 act=BEE2973E7C4FD3DCEF exp=BEE2973E7C4FD3DCEF + 34209.50ns INFO [00034211] Port=0 RD @01 + 34209.50ns INFO [00034211] Port=1 RD @05 + 34210.50ns INFO [00034212] * RD COMPARE * port=0 adr=04 act=73CC3684FDEF0D1486 exp=73CC3684FDEF0D1486 + 34210.50ns INFO [00034212] * RD COMPARE * port=1 adr=07 act=83101E31858185D242 exp=83101E31858185D242 + 34210.50ns INFO [00034212] Port=0 WR @00=37187B7EA38A61084B + 34210.50ns INFO [00034212] Port=0 RD @04 + 34210.50ns INFO [00034212] Port=1 RD @01 + 34211.50ns INFO [00034213] * RD COMPARE * port=0 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34211.50ns INFO [00034213] * RD COMPARE * port=1 adr=05 act=894F57F9C6BCA942D4 exp=894F57F9C6BCA942D4 + 34211.50ns INFO [00034213] Port=1 RD @06 + 34212.50ns INFO [00034214] * RD COMPARE * port=0 adr=04 act=73CC3684FDEF0D1486 exp=73CC3684FDEF0D1486 + 34212.50ns INFO [00034214] * RD COMPARE * port=1 adr=01 act=975BF46353F1DCB94D exp=975BF46353F1DCB94D + 34213.50ns INFO [00034215] * RD COMPARE * port=1 adr=06 act=69D99F70A36CB6D600 exp=69D99F70A36CB6D600 + 34213.50ns INFO [00034215] Port=0 RD @00 + 34214.50ns INFO [00034216] Port=1 RD @05 + 34215.50ns INFO [00034217] * RD COMPARE * port=0 adr=00 act=37187B7EA38A61084B exp=37187B7EA38A61084B + 34215.50ns INFO [00034217] Port=1 RD @06 + 34216.50ns INFO [00034218] * RD COMPARE * port=1 adr=05 act=894F57F9C6BCA942D4 exp=894F57F9C6BCA942D4 + 34216.50ns INFO [00034218] Port=0 WR @01=BE4D37288665BD066B + 34216.50ns INFO [00034218] Port=1 RD @05 + 34217.50ns INFO [00034219] * RD COMPARE * port=1 adr=06 act=69D99F70A36CB6D600 exp=69D99F70A36CB6D600 + 34217.50ns INFO [00034219] Port=0 WR @05=9AA16330DADB6DA907 + 34217.50ns INFO [00034219] Port=1 RD @03 + 34218.50ns INFO [00034220] * RD COMPARE * port=1 adr=05 act=894F57F9C6BCA942D4 exp=894F57F9C6BCA942D4 + 34218.50ns INFO [00034220] Port=0 RD @01 + 34218.50ns INFO [00034220] Port=1 RD @00 + 34219.50ns INFO [00034221] * RD COMPARE * port=1 adr=03 act=AB24F2D9033B0FD763 exp=AB24F2D9033B0FD763 + 34220.50ns INFO [00034222] * RD COMPARE * port=0 adr=01 act=BE4D37288665BD066B exp=BE4D37288665BD066B + 34220.50ns INFO [00034222] * RD COMPARE * port=1 adr=00 act=37187B7EA38A61084B exp=37187B7EA38A61084B + 34220.50ns INFO [00034222] Port=0 WR @07=A32569A17D6C663902 + 34220.50ns INFO [00034222] Port=0 RD @03 + 34222.50ns INFO [00034224] * RD COMPARE * port=0 adr=03 act=AB24F2D9033B0FD763 exp=AB24F2D9033B0FD763 + 34222.50ns INFO [00034224] Port=0 RD @07 + 34224.50ns INFO [00034226] * RD COMPARE * port=0 adr=07 act=A32569A17D6C663902 exp=A32569A17D6C663902 + 34224.50ns INFO [00034226] Port=0 WR @04=24ABF09A236489ED6C + 34224.50ns INFO [00034226] Port=0 RD @03 + 34224.50ns INFO [00034226] Port=1 RD @07 + 34226.50ns INFO [00034228] * RD COMPARE * port=0 adr=03 act=AB24F2D9033B0FD763 exp=AB24F2D9033B0FD763 + 34226.50ns INFO [00034228] * RD COMPARE * port=1 adr=07 act=A32569A17D6C663902 exp=A32569A17D6C663902 + 34226.50ns INFO [00034228] Port=0 WR @04=ED3DCFBE8B3D92DE39 + 34226.50ns INFO [00034228] Port=1 RD @06 + 34227.50ns INFO [00034229] Port=1 RD @07 + 34228.50ns INFO [00034230] * RD COMPARE * port=1 adr=06 act=69D99F70A36CB6D600 exp=69D99F70A36CB6D600 + 34229.50ns INFO [00034231] * RD COMPARE * port=1 adr=07 act=A32569A17D6C663902 exp=A32569A17D6C663902 + 34229.50ns INFO [00034231] Port=1 RD @05 + 34230.50ns INFO [00034232] Port=0 WR @06=A5FAB49C3CE6F69099 + 34230.50ns INFO [00034232] Port=0 RD @05 + 34230.50ns INFO [00034232] Port=1 RD @00 + 34231.50ns INFO [00034233] * RD COMPARE * port=1 adr=05 act=9AA16330DADB6DA907 exp=9AA16330DADB6DA907 + 34231.50ns INFO [00034233] Port=1 RD @03 + 34232.50ns INFO [00034234] * RD COMPARE * port=0 adr=05 act=9AA16330DADB6DA907 exp=9AA16330DADB6DA907 + 34232.50ns INFO [00034234] * RD COMPARE * port=1 adr=00 act=37187B7EA38A61084B exp=37187B7EA38A61084B + 34232.50ns INFO [00034234] Port=0 WR @00=B04487185C8E20E266 + 34233.50ns INFO [00034235] * RD COMPARE * port=1 adr=03 act=AB24F2D9033B0FD763 exp=AB24F2D9033B0FD763 + 34233.50ns INFO [00034235] Port=0 WR @07=A1ED3ED1A8759F3EA0 + 34233.50ns INFO [00034235] Port=0 RD @02 + 34235.50ns INFO [00034237] * RD COMPARE * port=0 adr=02 act=B23F985F5CA88CB28D exp=B23F985F5CA88CB28D + 34235.50ns INFO [00034237] Port=0 WR @01=B4E672C59355AC79CF + 34235.50ns INFO [00034237] Port=1 RD @00 + 34237.50ns INFO [00034239] * RD COMPARE * port=1 adr=00 act=B04487185C8E20E266 exp=B04487185C8E20E266 + 34237.50ns INFO [00034239] Port=0 RD @05 + 34237.50ns INFO [00034239] Port=1 RD @05 + 34238.50ns INFO [00034240] Port=0 WR @07=24F7425CA4B39B96CE + 34238.50ns INFO [00034240] Port=0 RD @01 + 34239.50ns INFO [00034241] * RD COMPARE * port=0 adr=05 act=9AA16330DADB6DA907 exp=9AA16330DADB6DA907 + 34239.50ns INFO [00034241] * RD COMPARE * port=1 adr=05 act=9AA16330DADB6DA907 exp=9AA16330DADB6DA907 + 34239.50ns INFO [00034241] Port=0 WR @07=76BFC790A1660BF30C + 34239.50ns INFO [00034241] Port=0 RD @02 + 34240.50ns INFO [00034242] * RD COMPARE * port=0 adr=01 act=B4E672C59355AC79CF exp=B4E672C59355AC79CF + 34240.50ns INFO [00034242] Port=1 RD @04 + 34241.50ns INFO [00034243] * RD COMPARE * port=0 adr=02 act=B23F985F5CA88CB28D exp=B23F985F5CA88CB28D + 34241.50ns INFO [00034243] Port=0 RD @00 + 34242.50ns INFO [00034244] * RD COMPARE * port=1 adr=04 act=ED3DCFBE8B3D92DE39 exp=ED3DCFBE8B3D92DE39 + 34242.50ns INFO [00034244] Port=0 WR @00=BBBEBDDF46D35A0F87 + 34242.50ns INFO [00034244] Port=0 RD @07 + 34243.50ns INFO [00034245] * RD COMPARE * port=0 adr=00 act=B04487185C8E20E266 exp=B04487185C8E20E266 + 34243.50ns INFO [00034245] Port=0 RD @06 + 34244.50ns INFO [00034246] * RD COMPARE * port=0 adr=07 act=76BFC790A1660BF30C exp=76BFC790A1660BF30C + 34244.50ns INFO [00034246] Port=0 WR @03=C610E026B69D6F6DE5 + 34245.50ns INFO [00034247] * RD COMPARE * port=0 adr=06 act=A5FAB49C3CE6F69099 exp=A5FAB49C3CE6F69099 + 34245.50ns INFO [00034247] Port=0 RD @00 + 34245.50ns INFO [00034247] Port=1 RD @00 + 34246.50ns INFO [00034248] Port=0 WR @00=9BE5AA222CD8C28346 + 34247.50ns INFO [00034249] * RD COMPARE * port=0 adr=00 act=BBBEBDDF46D35A0F87 exp=BBBEBDDF46D35A0F87 + 34247.50ns INFO [00034249] * RD COMPARE * port=1 adr=00 act=BBBEBDDF46D35A0F87 exp=BBBEBDDF46D35A0F87 + 34247.50ns INFO [00034249] Port=0 WR @01=62FE27F62514F949A6 + 34248.50ns INFO [00034250] Port=0 RD @01 + 34248.50ns INFO [00034250] Port=1 RD @04 + 34249.50ns INFO [00034251] Port=0 WR @05=5822CE37103E63B59B + 34250.50ns INFO [00034252] * RD COMPARE * port=0 adr=01 act=62FE27F62514F949A6 exp=62FE27F62514F949A6 + 34250.50ns INFO [00034252] * RD COMPARE * port=1 adr=04 act=ED3DCFBE8B3D92DE39 exp=ED3DCFBE8B3D92DE39 + 34251.50ns INFO [00034253] Port=0 WR @02=DD138861FF6E515BAC + 34251.50ns INFO [00034253] Port=0 RD @06 + 34252.50ns INFO [00034254] Port=1 RD @02 + 34253.50ns INFO [00034255] * RD COMPARE * port=0 adr=06 act=A5FAB49C3CE6F69099 exp=A5FAB49C3CE6F69099 + 34253.50ns INFO [00034255] Port=1 RD @05 + 34254.50ns INFO [00034256] * RD COMPARE * port=1 adr=02 act=DD138861FF6E515BAC exp=DD138861FF6E515BAC + 34254.50ns INFO [00034256] Port=0 WR @05=ACAF18533C151DE4EC + 34254.50ns INFO [00034256] Port=0 RD @06 + 34255.50ns INFO [00034257] * RD COMPARE * port=1 adr=05 act=5822CE37103E63B59B exp=5822CE37103E63B59B + 34255.50ns INFO [00034257] Port=0 WR @02=38829A61A686085CEF + 34256.50ns INFO [00034258] * RD COMPARE * port=0 adr=06 act=A5FAB49C3CE6F69099 exp=A5FAB49C3CE6F69099 + 34256.50ns INFO [00034258] Port=0 RD @03 + 34257.50ns INFO [00034259] Port=0 WR @01=16F305DEFB71BD6CD9 + 34257.50ns INFO [00034259] Port=0 RD @00 + 34258.50ns INFO [00034260] * RD COMPARE * port=0 adr=03 act=C610E026B69D6F6DE5 exp=C610E026B69D6F6DE5 + 34258.50ns INFO [00034260] Port=0 WR @05=54781A07D080EEF533 + 34259.50ns INFO [00034261] * RD COMPARE * port=0 adr=00 act=9BE5AA222CD8C28346 exp=9BE5AA222CD8C28346 + 34260.50ns INFO [00034262] Port=1 RD @02 + 34261.50ns INFO [00034263] Port=1 RD @07 + 34262.50ns INFO [00034264] * RD COMPARE * port=1 adr=02 act=38829A61A686085CEF exp=38829A61A686085CEF + 34262.50ns INFO [00034264] Port=0 WR @04=E1AAEE44F6EA6F956F + 34263.50ns INFO [00034265] * RD COMPARE * port=1 adr=07 act=76BFC790A1660BF30C exp=76BFC790A1660BF30C + 34263.50ns INFO [00034265] Port=0 WR @01=F0875518B9403FFBD8 + 34264.50ns INFO [00034266] Port=0 RD @05 + 34264.50ns INFO [00034266] Port=1 RD @04 + 34265.50ns INFO [00034267] Port=0 WR @03=979656485F2B8D01AE + 34265.50ns INFO [00034267] Port=0 RD @00 + 34265.50ns INFO [00034267] Port=1 RD @06 + 34266.50ns INFO [00034268] * RD COMPARE * port=0 adr=05 act=54781A07D080EEF533 exp=54781A07D080EEF533 + 34266.50ns INFO [00034268] * RD COMPARE * port=1 adr=04 act=E1AAEE44F6EA6F956F exp=E1AAEE44F6EA6F956F + 34266.50ns INFO [00034268] Port=0 WR @02=BF2E7C82526340DC43 + 34266.50ns INFO [00034268] Port=0 RD @03 + 34267.50ns INFO [00034269] * RD COMPARE * port=0 adr=00 act=9BE5AA222CD8C28346 exp=9BE5AA222CD8C28346 + 34267.50ns INFO [00034269] * RD COMPARE * port=1 adr=06 act=A5FAB49C3CE6F69099 exp=A5FAB49C3CE6F69099 + 34268.50ns INFO [00034270] * RD COMPARE * port=0 adr=03 act=979656485F2B8D01AE exp=979656485F2B8D01AE + 34269.50ns INFO [00034271] Port=0 WR @05=9FAF0ADBC548A29E6C + 34269.50ns INFO [00034271] Port=0 RD @07 + 34270.50ns INFO [00034272] Port=0 WR @06=F4EB9EDF38330255E2 + 34271.50ns INFO [00034273] * RD COMPARE * port=0 adr=07 act=76BFC790A1660BF30C exp=76BFC790A1660BF30C + 34272.50ns INFO [00034274] Port=1 RD @00 + 34274.50ns INFO [00034276] * RD COMPARE * port=1 adr=00 act=9BE5AA222CD8C28346 exp=9BE5AA222CD8C28346 + 34274.50ns INFO [00034276] Port=1 RD @05 + 34276.50ns INFO [00034278] * RD COMPARE * port=1 adr=05 act=9FAF0ADBC548A29E6C exp=9FAF0ADBC548A29E6C + 34276.50ns INFO [00034278] Port=0 RD @05 + 34277.50ns INFO [00034279] Port=1 RD @06 + 34278.50ns INFO [00034280] * RD COMPARE * port=0 adr=05 act=9FAF0ADBC548A29E6C exp=9FAF0ADBC548A29E6C + 34279.50ns INFO [00034281] * RD COMPARE * port=1 adr=06 act=F4EB9EDF38330255E2 exp=F4EB9EDF38330255E2 + 34279.50ns INFO [00034281] Port=0 RD @03 + 34280.50ns INFO [00034282] Port=0 RD @01 + 34280.50ns INFO [00034282] Port=1 RD @01 + 34281.50ns INFO [00034283] * RD COMPARE * port=0 adr=03 act=979656485F2B8D01AE exp=979656485F2B8D01AE + 34282.50ns INFO [00034284] * RD COMPARE * port=0 adr=01 act=F0875518B9403FFBD8 exp=F0875518B9403FFBD8 + 34282.50ns INFO [00034284] * RD COMPARE * port=1 adr=01 act=F0875518B9403FFBD8 exp=F0875518B9403FFBD8 + 34282.50ns INFO [00034284] Port=1 RD @01 + 34283.50ns INFO [00034285] Port=0 RD @06 + 34284.50ns INFO [00034286] * RD COMPARE * port=1 adr=01 act=F0875518B9403FFBD8 exp=F0875518B9403FFBD8 + 34284.50ns INFO [00034286] Port=0 RD @05 + 34284.50ns INFO [00034286] Port=1 RD @05 + 34285.50ns INFO [00034287] * RD COMPARE * port=0 adr=06 act=F4EB9EDF38330255E2 exp=F4EB9EDF38330255E2 + 34285.50ns INFO [00034287] Port=0 WR @06=928026ECAB84D4E1A6 + 34286.50ns INFO [00034288] * RD COMPARE * port=0 adr=05 act=9FAF0ADBC548A29E6C exp=9FAF0ADBC548A29E6C + 34286.50ns INFO [00034288] * RD COMPARE * port=1 adr=05 act=9FAF0ADBC548A29E6C exp=9FAF0ADBC548A29E6C + 34286.50ns INFO [00034288] Port=0 RD @04 + 34288.50ns INFO [00034290] * RD COMPARE * port=0 adr=04 act=E1AAEE44F6EA6F956F exp=E1AAEE44F6EA6F956F + 34288.50ns INFO [00034290] Port=1 RD @05 + 34289.50ns INFO [00034291] Port=0 WR @05=F8570122D1FDC5CAF1 + 34290.50ns INFO [00034292] * RD COMPARE * port=1 adr=05 act=9FAF0ADBC548A29E6C exp=9FAF0ADBC548A29E6C + 34290.50ns INFO [00034292] Port=0 RD @01 + 34290.50ns INFO [00034292] Port=1 RD @06 + 34291.50ns INFO [00034293] Port=0 WR @05=7B50E988014C55148E + 34292.50ns INFO [00034294] * RD COMPARE * port=0 adr=01 act=F0875518B9403FFBD8 exp=F0875518B9403FFBD8 + 34292.50ns INFO [00034294] * RD COMPARE * port=1 adr=06 act=928026ECAB84D4E1A6 exp=928026ECAB84D4E1A6 + 34292.50ns INFO [00034294] Port=1 RD @02 + 34293.50ns INFO [00034295] Port=0 WR @06=4D26EF3827CB89CB13 + 34293.50ns INFO [00034295] Port=0 RD @05 + 34294.50ns INFO [00034296] * RD COMPARE * port=1 adr=02 act=BF2E7C82526340DC43 exp=BF2E7C82526340DC43 + 34295.50ns INFO [00034297] * RD COMPARE * port=0 adr=05 act=7B50E988014C55148E exp=7B50E988014C55148E + 34297.50ns INFO [00034299] Port=0 WR @04=CD1078860E4E6F98BC + 34297.50ns INFO [00034299] Port=1 RD @02 + 34298.00ns INFO [00034300] [00034300] ...tick... + 34298.50ns INFO [00034300] Port=0 WR @06=5F0328AAA423072933 + 34298.50ns INFO [00034300] Port=0 RD @00 + 34299.50ns INFO [00034301] * RD COMPARE * port=1 adr=02 act=BF2E7C82526340DC43 exp=BF2E7C82526340DC43 + 34299.50ns INFO [00034301] Port=1 RD @06 + 34300.50ns INFO [00034302] * RD COMPARE * port=0 adr=00 act=9BE5AA222CD8C28346 exp=9BE5AA222CD8C28346 + 34300.50ns INFO [00034302] Port=0 WR @07=2F3A18D86C92CE0B65 + 34300.50ns INFO [00034302] Port=0 RD @02 + 34301.50ns INFO [00034303] * RD COMPARE * port=1 adr=06 act=5F0328AAA423072933 exp=5F0328AAA423072933 + 34301.50ns INFO [00034303] Port=0 RD @04 + 34302.50ns INFO [00034304] * RD COMPARE * port=0 adr=02 act=BF2E7C82526340DC43 exp=BF2E7C82526340DC43 + 34303.50ns INFO [00034305] * RD COMPARE * port=0 adr=04 act=CD1078860E4E6F98BC exp=CD1078860E4E6F98BC + 34303.50ns INFO [00034305] Port=0 WR @06=FF56E6FDFA0221ABBD + 34303.50ns INFO [00034305] Port=1 RD @01 + 34304.50ns INFO [00034306] Port=0 WR @04=2F32AE2EC4035E65BD + 34304.50ns INFO [00034306] Port=0 RD @06 + 34305.50ns INFO [00034307] * RD COMPARE * port=1 adr=01 act=F0875518B9403FFBD8 exp=F0875518B9403FFBD8 + 34305.50ns INFO [00034307] Port=0 RD @07 + 34306.50ns INFO [00034308] * RD COMPARE * port=0 adr=06 act=FF56E6FDFA0221ABBD exp=FF56E6FDFA0221ABBD + 34306.50ns INFO [00034308] Port=1 RD @03 + 34307.50ns INFO [00034309] * RD COMPARE * port=0 adr=07 act=2F3A18D86C92CE0B65 exp=2F3A18D86C92CE0B65 + 34307.50ns INFO [00034309] Port=0 WR @02=D995C326A2377F082D + 34308.50ns INFO [00034310] * RD COMPARE * port=1 adr=03 act=979656485F2B8D01AE exp=979656485F2B8D01AE + 34309.50ns INFO [00034311] Port=0 WR @03=76F80B8FEA78F374F2 + 34309.50ns INFO [00034311] Port=1 RD @00 + 34311.50ns INFO [00034313] * RD COMPARE * port=1 adr=00 act=9BE5AA222CD8C28346 exp=9BE5AA222CD8C28346 + 34314.50ns INFO [00034316] Port=1 RD @03 + 34315.50ns INFO [00034317] Port=0 RD @07 + 34316.50ns INFO [00034318] * RD COMPARE * port=1 adr=03 act=76F80B8FEA78F374F2 exp=76F80B8FEA78F374F2 + 34317.50ns INFO [00034319] * RD COMPARE * port=0 adr=07 act=2F3A18D86C92CE0B65 exp=2F3A18D86C92CE0B65 + 34317.50ns INFO [00034319] Port=0 WR @04=13FAD2EBBBDCEB29C8 + 34318.50ns INFO [00034320] Port=0 WR @04=B1A182B848348CD71A + 34320.50ns INFO [00034322] Port=0 WR @01=AACDD9D0C8B14E01B9 + 34320.50ns INFO [00034322] Port=0 RD @06 + 34320.50ns INFO [00034322] Port=1 RD @07 + 34321.50ns INFO [00034323] Port=0 WR @06=B12B53F3C9CEC3D48C + 34321.50ns INFO [00034323] Port=1 RD @04 + 34322.50ns INFO [00034324] * RD COMPARE * port=0 adr=06 act=FF56E6FDFA0221ABBD exp=FF56E6FDFA0221ABBD + 34322.50ns INFO [00034324] * RD COMPARE * port=1 adr=07 act=2F3A18D86C92CE0B65 exp=2F3A18D86C92CE0B65 + 34323.50ns INFO [00034325] * RD COMPARE * port=1 adr=04 act=B1A182B848348CD71A exp=B1A182B848348CD71A + 34323.50ns INFO [00034325] Port=0 WR @03=6F088D93DD705F6C06 + 34323.50ns INFO [00034325] Port=0 RD @01 + 34323.50ns INFO [00034325] Port=1 RD @01 + 34324.50ns INFO [00034326] Port=1 RD @07 + 34325.50ns INFO [00034327] * RD COMPARE * port=0 adr=01 act=AACDD9D0C8B14E01B9 exp=AACDD9D0C8B14E01B9 + 34325.50ns INFO [00034327] * RD COMPARE * port=1 adr=01 act=AACDD9D0C8B14E01B9 exp=AACDD9D0C8B14E01B9 + 34325.50ns INFO [00034327] Port=0 RD @06 + 34325.50ns INFO [00034327] Port=1 RD @04 + 34326.50ns INFO [00034328] * RD COMPARE * port=1 adr=07 act=2F3A18D86C92CE0B65 exp=2F3A18D86C92CE0B65 + 34327.50ns INFO [00034329] * RD COMPARE * port=0 adr=06 act=B12B53F3C9CEC3D48C exp=B12B53F3C9CEC3D48C + 34327.50ns INFO [00034329] * RD COMPARE * port=1 adr=04 act=B1A182B848348CD71A exp=B1A182B848348CD71A + 34328.50ns INFO [00034330] Port=0 WR @00=AA5EF27A8CA7114CB8 + 34329.50ns INFO [00034331] Port=1 RD @05 + 34330.50ns INFO [00034332] Port=1 RD @04 + 34331.50ns INFO [00034333] * RD COMPARE * port=1 adr=05 act=7B50E988014C55148E exp=7B50E988014C55148E + 34331.50ns INFO [00034333] Port=0 RD @05 + 34332.50ns INFO [00034334] * RD COMPARE * port=1 adr=04 act=B1A182B848348CD71A exp=B1A182B848348CD71A + 34332.50ns INFO [00034334] Port=0 WR @04=A6A3396BD34FC8E5A0 + 34332.50ns INFO [00034334] Port=0 RD @03 + 34333.50ns INFO [00034335] * RD COMPARE * port=0 adr=05 act=7B50E988014C55148E exp=7B50E988014C55148E + 34334.50ns INFO [00034336] * RD COMPARE * port=0 adr=03 act=6F088D93DD705F6C06 exp=6F088D93DD705F6C06 + 34334.50ns INFO [00034336] Port=0 RD @07 + 34334.50ns INFO [00034336] Port=1 RD @00 + 34336.50ns INFO [00034338] * RD COMPARE * port=0 adr=07 act=2F3A18D86C92CE0B65 exp=2F3A18D86C92CE0B65 + 34336.50ns INFO [00034338] * RD COMPARE * port=1 adr=00 act=AA5EF27A8CA7114CB8 exp=AA5EF27A8CA7114CB8 + 34337.50ns INFO [00034339] Port=1 RD @02 + 34338.50ns INFO [00034340] Port=1 RD @03 + 34339.50ns INFO [00034341] * RD COMPARE * port=1 adr=02 act=D995C326A2377F082D exp=D995C326A2377F082D + 34339.50ns INFO [00034341] Port=0 RD @02 + 34339.50ns INFO [00034341] Port=1 RD @07 + 34340.50ns INFO [00034342] * RD COMPARE * port=1 adr=03 act=6F088D93DD705F6C06 exp=6F088D93DD705F6C06 + 34340.50ns INFO [00034342] Port=1 RD @03 + 34341.50ns INFO [00034343] * RD COMPARE * port=0 adr=02 act=D995C326A2377F082D exp=D995C326A2377F082D + 34341.50ns INFO [00034343] * RD COMPARE * port=1 adr=07 act=2F3A18D86C92CE0B65 exp=2F3A18D86C92CE0B65 + 34341.50ns INFO [00034343] Port=1 RD @06 + 34342.50ns INFO [00034344] * RD COMPARE * port=1 adr=03 act=6F088D93DD705F6C06 exp=6F088D93DD705F6C06 + 34342.50ns INFO [00034344] Port=1 RD @04 + 34343.50ns INFO [00034345] * RD COMPARE * port=1 adr=06 act=B12B53F3C9CEC3D48C exp=B12B53F3C9CEC3D48C + 34343.50ns INFO [00034345] Port=1 RD @01 + 34344.50ns INFO [00034346] * RD COMPARE * port=1 adr=04 act=A6A3396BD34FC8E5A0 exp=A6A3396BD34FC8E5A0 + 34345.50ns INFO [00034347] * RD COMPARE * port=1 adr=01 act=AACDD9D0C8B14E01B9 exp=AACDD9D0C8B14E01B9 + 34346.50ns INFO [00034348] Port=0 WR @01=EDC01521201A944F83 + 34346.50ns INFO [00034348] Port=0 RD @05 + 34347.50ns INFO [00034349] Port=1 RD @03 + 34348.50ns INFO [00034350] * RD COMPARE * port=0 adr=05 act=7B50E988014C55148E exp=7B50E988014C55148E + 34348.50ns INFO [00034350] Port=1 RD @01 + 34349.50ns INFO [00034351] * RD COMPARE * port=1 adr=03 act=6F088D93DD705F6C06 exp=6F088D93DD705F6C06 + 34349.50ns INFO [00034351] Port=0 WR @00=33484B52987CDF1ABA + 34350.50ns INFO [00034352] * RD COMPARE * port=1 adr=01 act=EDC01521201A944F83 exp=EDC01521201A944F83 + 34351.50ns INFO [00034353] Port=0 WR @03=823588B41D1170CE7C + 34351.50ns INFO [00034353] Port=0 RD @00 + 34351.50ns INFO [00034353] Port=1 RD @00 + 34352.50ns INFO [00034354] Port=1 RD @00 + 34353.50ns INFO [00034355] * RD COMPARE * port=0 adr=00 act=33484B52987CDF1ABA exp=33484B52987CDF1ABA + 34353.50ns INFO [00034355] * RD COMPARE * port=1 adr=00 act=33484B52987CDF1ABA exp=33484B52987CDF1ABA + 34353.50ns INFO [00034355] Port=0 RD @03 + 34354.50ns INFO [00034356] * RD COMPARE * port=1 adr=00 act=33484B52987CDF1ABA exp=33484B52987CDF1ABA + 34354.50ns INFO [00034356] Port=0 WR @05=325F80ACD7EC458A89 + 34354.50ns INFO [00034356] Port=0 RD @01 + 34354.50ns INFO [00034356] Port=1 RD @04 + 34355.50ns INFO [00034357] * RD COMPARE * port=0 adr=03 act=823588B41D1170CE7C exp=823588B41D1170CE7C + 34356.50ns INFO [00034358] * RD COMPARE * port=0 adr=01 act=EDC01521201A944F83 exp=EDC01521201A944F83 + 34356.50ns INFO [00034358] * RD COMPARE * port=1 adr=04 act=A6A3396BD34FC8E5A0 exp=A6A3396BD34FC8E5A0 + 34356.50ns INFO [00034358] Port=0 WR @06=A8AD6E643D82402389 + 34357.50ns INFO [00034359] Port=0 WR @03=F0B314E2F2A1471C23 + 34357.50ns INFO [00034359] Port=1 RD @05 + 34359.50ns INFO [00034361] * RD COMPARE * port=1 adr=05 act=325F80ACD7EC458A89 exp=325F80ACD7EC458A89 + 34359.50ns INFO [00034361] Port=0 RD @03 + 34360.50ns INFO [00034362] Port=0 RD @07 + 34360.50ns INFO [00034362] Port=1 RD @01 + 34361.50ns INFO [00034363] * RD COMPARE * port=0 adr=03 act=F0B314E2F2A1471C23 exp=F0B314E2F2A1471C23 + 34362.50ns INFO [00034364] * RD COMPARE * port=0 adr=07 act=2F3A18D86C92CE0B65 exp=2F3A18D86C92CE0B65 + 34362.50ns INFO [00034364] * RD COMPARE * port=1 adr=01 act=EDC01521201A944F83 exp=EDC01521201A944F83 + 34362.50ns INFO [00034364] Port=1 RD @02 + 34364.50ns INFO [00034366] * RD COMPARE * port=1 adr=02 act=D995C326A2377F082D exp=D995C326A2377F082D + 34364.50ns INFO [00034366] Port=0 RD @03 + 34365.50ns INFO [00034367] Port=0 WR @06=C0591A7488D5B21029 + 34366.50ns INFO [00034368] * RD COMPARE * port=0 adr=03 act=F0B314E2F2A1471C23 exp=F0B314E2F2A1471C23 + 34366.50ns INFO [00034368] Port=1 RD @01 + 34367.50ns INFO [00034369] Port=1 RD @05 + 34368.50ns INFO [00034370] * RD COMPARE * port=1 adr=01 act=EDC01521201A944F83 exp=EDC01521201A944F83 + 34369.50ns INFO [00034371] * RD COMPARE * port=1 adr=05 act=325F80ACD7EC458A89 exp=325F80ACD7EC458A89 + 34369.50ns INFO [00034371] Port=1 RD @07 + 34370.50ns INFO [00034372] Port=0 RD @04 + 34371.50ns INFO [00034373] * RD COMPARE * port=1 adr=07 act=2F3A18D86C92CE0B65 exp=2F3A18D86C92CE0B65 + 34371.50ns INFO [00034373] Port=0 RD @03 + 34372.50ns INFO [00034374] * RD COMPARE * port=0 adr=04 act=A6A3396BD34FC8E5A0 exp=A6A3396BD34FC8E5A0 + 34373.50ns INFO [00034375] * RD COMPARE * port=0 adr=03 act=F0B314E2F2A1471C23 exp=F0B314E2F2A1471C23 + 34374.50ns INFO [00034376] Port=0 WR @00=955EC6CBE06BCBE030 + 34374.50ns INFO [00034376] Port=1 RD @03 + 34375.50ns INFO [00034377] Port=0 WR @04=115C28426A39155565 + 34376.50ns INFO [00034378] * RD COMPARE * port=1 adr=03 act=F0B314E2F2A1471C23 exp=F0B314E2F2A1471C23 + 34376.50ns INFO [00034378] Port=0 WR @03=DAC496B8EB1C9C8EBF + 34376.50ns INFO [00034378] Port=1 RD @04 + 34377.50ns INFO [00034379] Port=0 RD @06 + 34377.50ns INFO [00034379] Port=1 RD @05 + 34378.50ns INFO [00034380] * RD COMPARE * port=1 adr=04 act=115C28426A39155565 exp=115C28426A39155565 + 34378.50ns INFO [00034380] Port=0 WR @07=08DBB5237EC79DD1DE + 34378.50ns INFO [00034380] Port=0 RD @05 + 34378.50ns INFO [00034380] Port=1 RD @05 + 34379.50ns INFO [00034381] * RD COMPARE * port=0 adr=06 act=C0591A7488D5B21029 exp=C0591A7488D5B21029 + 34379.50ns INFO [00034381] * RD COMPARE * port=1 adr=05 act=325F80ACD7EC458A89 exp=325F80ACD7EC458A89 + 34379.50ns INFO [00034381] Port=0 RD @07 + 34379.50ns INFO [00034381] Port=1 RD @04 + 34380.50ns INFO [00034382] * RD COMPARE * port=0 adr=05 act=325F80ACD7EC458A89 exp=325F80ACD7EC458A89 + 34380.50ns INFO [00034382] * RD COMPARE * port=1 adr=05 act=325F80ACD7EC458A89 exp=325F80ACD7EC458A89 + 34381.50ns INFO [00034383] * RD COMPARE * port=0 adr=07 act=08DBB5237EC79DD1DE exp=08DBB5237EC79DD1DE + 34381.50ns INFO [00034383] * RD COMPARE * port=1 adr=04 act=115C28426A39155565 exp=115C28426A39155565 + 34382.50ns INFO [00034384] Port=0 WR @02=BD04188627077A31EB + 34382.50ns INFO [00034384] Port=0 RD @04 + 34382.50ns INFO [00034384] Port=1 RD @00 + 34383.50ns INFO [00034385] Port=0 WR @03=5EC2464163272519D1 + 34383.50ns INFO [00034385] Port=0 RD @06 + 34384.50ns INFO [00034386] * RD COMPARE * port=0 adr=04 act=115C28426A39155565 exp=115C28426A39155565 + 34384.50ns INFO [00034386] * RD COMPARE * port=1 adr=00 act=955EC6CBE06BCBE030 exp=955EC6CBE06BCBE030 + 34384.50ns INFO [00034386] Port=0 WR @04=D387ECE7311AA4BBD4 + 34384.50ns INFO [00034386] Port=0 RD @06 + 34385.50ns INFO [00034387] * RD COMPARE * port=0 adr=06 act=C0591A7488D5B21029 exp=C0591A7488D5B21029 + 34385.50ns INFO [00034387] Port=1 RD @06 + 34386.50ns INFO [00034388] * RD COMPARE * port=0 adr=06 act=C0591A7488D5B21029 exp=C0591A7488D5B21029 + 34386.50ns INFO [00034388] Port=1 RD @00 + 34387.50ns INFO [00034389] * RD COMPARE * port=1 adr=06 act=C0591A7488D5B21029 exp=C0591A7488D5B21029 + 34387.50ns INFO [00034389] Port=1 RD @00 + 34388.50ns INFO [00034390] * RD COMPARE * port=1 adr=00 act=955EC6CBE06BCBE030 exp=955EC6CBE06BCBE030 + 34388.50ns INFO [00034390] Port=1 RD @06 + 34389.50ns INFO [00034391] * RD COMPARE * port=1 adr=00 act=955EC6CBE06BCBE030 exp=955EC6CBE06BCBE030 + 34389.50ns INFO [00034391] Port=0 WR @03=419A75DF846F78C6E4 + 34390.50ns INFO [00034392] * RD COMPARE * port=1 adr=06 act=C0591A7488D5B21029 exp=C0591A7488D5B21029 + 34390.50ns INFO [00034392] Port=0 RD @02 + 34391.50ns INFO [00034393] Port=0 WR @01=735874F7542F63DA71 + 34392.50ns INFO [00034394] * RD COMPARE * port=0 adr=02 act=BD04188627077A31EB exp=BD04188627077A31EB + 34392.50ns INFO [00034394] Port=0 WR @00=BA93736EA54FBA6C40 + 34393.50ns INFO [00034395] Port=0 RD @05 + 34393.50ns INFO [00034395] Port=1 RD @06 + 34394.50ns INFO [00034396] Port=0 RD @00 + 34394.50ns INFO [00034396] Port=1 RD @04 + 34395.50ns INFO [00034397] * RD COMPARE * port=0 adr=05 act=325F80ACD7EC458A89 exp=325F80ACD7EC458A89 + 34395.50ns INFO [00034397] * RD COMPARE * port=1 adr=06 act=C0591A7488D5B21029 exp=C0591A7488D5B21029 + 34395.50ns INFO [00034397] Port=0 WR @02=2DBF9ECD219D168E2D + 34395.50ns INFO [00034397] Port=1 RD @07 + 34396.50ns INFO [00034398] * RD COMPARE * port=0 adr=00 act=BA93736EA54FBA6C40 exp=BA93736EA54FBA6C40 + 34396.50ns INFO [00034398] * RD COMPARE * port=1 adr=04 act=D387ECE7311AA4BBD4 exp=D387ECE7311AA4BBD4 + 34396.50ns INFO [00034398] Port=1 RD @03 + 34397.50ns INFO [00034399] * RD COMPARE * port=1 adr=07 act=08DBB5237EC79DD1DE exp=08DBB5237EC79DD1DE + 34397.50ns INFO [00034399] Port=0 RD @00 + 34397.50ns INFO [00034399] Port=1 RD @04 + 34398.00ns INFO [00034400] [00034400] ...tick... + 34398.50ns INFO [00034400] * RD COMPARE * port=1 adr=03 act=419A75DF846F78C6E4 exp=419A75DF846F78C6E4 + 34398.50ns INFO [00034400] Port=0 WR @04=97B24EC3AB049BA558 + 34399.50ns INFO [00034401] * RD COMPARE * port=0 adr=00 act=BA93736EA54FBA6C40 exp=BA93736EA54FBA6C40 + 34399.50ns INFO [00034401] * RD COMPARE * port=1 adr=04 act=D387ECE7311AA4BBD4 exp=D387ECE7311AA4BBD4 + 34399.50ns INFO [00034401] Port=1 RD @02 + 34400.50ns INFO [00034402] Port=0 WR @05=055572B45FEAFDBB03 + 34400.50ns INFO [00034402] Port=0 RD @07 + 34400.50ns INFO [00034402] Port=1 RD @06 + 34401.50ns INFO [00034403] * RD COMPARE * port=1 adr=02 act=2DBF9ECD219D168E2D exp=2DBF9ECD219D168E2D + 34401.50ns INFO [00034403] Port=0 WR @06=F9294EDCCD2EB15135 + 34401.50ns INFO [00034403] Port=0 RD @05 + 34401.50ns INFO [00034403] Port=1 RD @05 + 34402.50ns INFO [00034404] * RD COMPARE * port=0 adr=07 act=08DBB5237EC79DD1DE exp=08DBB5237EC79DD1DE + 34402.50ns INFO [00034404] * RD COMPARE * port=1 adr=06 act=C0591A7488D5B21029 exp=C0591A7488D5B21029 + 34402.50ns INFO [00034404] Port=1 RD @07 + 34403.50ns INFO [00034405] * RD COMPARE * port=0 adr=05 act=055572B45FEAFDBB03 exp=055572B45FEAFDBB03 + 34403.50ns INFO [00034405] * RD COMPARE * port=1 adr=05 act=055572B45FEAFDBB03 exp=055572B45FEAFDBB03 + 34403.50ns INFO [00034405] Port=0 WR @05=90B1C550B9FACEAC54 + 34404.50ns INFO [00034406] * RD COMPARE * port=1 adr=07 act=08DBB5237EC79DD1DE exp=08DBB5237EC79DD1DE + 34404.50ns INFO [00034406] Port=0 WR @00=CB00FF35722F2DBC9E + 34404.50ns INFO [00034406] Port=1 RD @01 + 34405.50ns INFO [00034407] Port=0 RD @00 + 34406.50ns INFO [00034408] * RD COMPARE * port=1 adr=01 act=735874F7542F63DA71 exp=735874F7542F63DA71 + 34406.50ns INFO [00034408] Port=1 RD @05 + 34407.50ns INFO [00034409] * RD COMPARE * port=0 adr=00 act=CB00FF35722F2DBC9E exp=CB00FF35722F2DBC9E + 34407.50ns INFO [00034409] Port=0 WR @00=6C812813A08E921DB1 + 34407.50ns INFO [00034409] Port=0 RD @07 + 34408.50ns INFO [00034410] * RD COMPARE * port=1 adr=05 act=90B1C550B9FACEAC54 exp=90B1C550B9FACEAC54 + 34408.50ns INFO [00034410] Port=0 WR @05=9A4A2588F9F0009E8F + 34408.50ns INFO [00034410] Port=0 RD @04 + 34409.50ns INFO [00034411] * RD COMPARE * port=0 adr=07 act=08DBB5237EC79DD1DE exp=08DBB5237EC79DD1DE + 34409.50ns INFO [00034411] Port=0 RD @04 + 34410.50ns INFO [00034412] * RD COMPARE * port=0 adr=04 act=97B24EC3AB049BA558 exp=97B24EC3AB049BA558 + 34410.50ns INFO [00034412] Port=0 RD @01 + 34410.50ns INFO [00034412] Port=1 RD @07 + 34411.50ns INFO [00034413] * RD COMPARE * port=0 adr=04 act=97B24EC3AB049BA558 exp=97B24EC3AB049BA558 + 34411.50ns INFO [00034413] Port=0 WR @03=0ECD5AB3645F2024EC + 34411.50ns INFO [00034413] Port=0 RD @01 + 34412.50ns INFO [00034414] * RD COMPARE * port=0 adr=01 act=735874F7542F63DA71 exp=735874F7542F63DA71 + 34412.50ns INFO [00034414] * RD COMPARE * port=1 adr=07 act=08DBB5237EC79DD1DE exp=08DBB5237EC79DD1DE + 34413.50ns INFO [00034415] * RD COMPARE * port=0 adr=01 act=735874F7542F63DA71 exp=735874F7542F63DA71 + 34414.50ns INFO [00034416] Port=0 WR @06=9405D0AE393BC97ACC + 34414.50ns INFO [00034416] Port=0 RD @04 + 34414.50ns INFO [00034416] Port=1 RD @03 + 34415.50ns INFO [00034417] Port=0 WR @02=46E871EF8A8C136C9E + 34415.50ns INFO [00034417] Port=0 RD @00 + 34415.50ns INFO [00034417] Port=1 RD @06 + 34416.50ns INFO [00034418] * RD COMPARE * port=0 adr=04 act=97B24EC3AB049BA558 exp=97B24EC3AB049BA558 + 34416.50ns INFO [00034418] * RD COMPARE * port=1 adr=03 act=0ECD5AB3645F2024EC exp=0ECD5AB3645F2024EC + 34416.50ns INFO [00034418] Port=0 WR @01=560B5E11756C21E2B4 + 34416.50ns INFO [00034418] Port=0 RD @03 + 34417.50ns INFO [00034419] * RD COMPARE * port=0 adr=00 act=6C812813A08E921DB1 exp=6C812813A08E921DB1 + 34417.50ns INFO [00034419] * RD COMPARE * port=1 adr=06 act=9405D0AE393BC97ACC exp=9405D0AE393BC97ACC + 34417.50ns INFO [00034419] Port=0 RD @02 + 34417.50ns INFO [00034419] Port=1 RD @00 + 34418.50ns INFO [00034420] * RD COMPARE * port=0 adr=03 act=0ECD5AB3645F2024EC exp=0ECD5AB3645F2024EC + 34418.50ns INFO [00034420] Port=0 RD @06 + 34418.50ns INFO [00034420] Port=1 RD @01 + 34419.50ns INFO [00034421] * RD COMPARE * port=0 adr=02 act=46E871EF8A8C136C9E exp=46E871EF8A8C136C9E + 34419.50ns INFO [00034421] * RD COMPARE * port=1 adr=00 act=6C812813A08E921DB1 exp=6C812813A08E921DB1 + 34419.50ns INFO [00034421] Port=1 RD @00 + 34420.50ns INFO [00034422] * RD COMPARE * port=0 adr=06 act=9405D0AE393BC97ACC exp=9405D0AE393BC97ACC + 34420.50ns INFO [00034422] * RD COMPARE * port=1 adr=01 act=560B5E11756C21E2B4 exp=560B5E11756C21E2B4 + 34420.50ns INFO [00034422] Port=0 WR @06=5CA403C803D36CD3F0 + 34420.50ns INFO [00034422] Port=1 RD @02 + 34421.50ns INFO [00034423] * RD COMPARE * port=1 adr=00 act=6C812813A08E921DB1 exp=6C812813A08E921DB1 + 34421.50ns INFO [00034423] Port=1 RD @04 + 34422.50ns INFO [00034424] * RD COMPARE * port=1 adr=02 act=46E871EF8A8C136C9E exp=46E871EF8A8C136C9E + 34422.50ns INFO [00034424] Port=0 WR @04=548A8FCC0C6718C0F4 + 34423.50ns INFO [00034425] * RD COMPARE * port=1 adr=04 act=97B24EC3AB049BA558 exp=97B24EC3AB049BA558 + 34423.50ns INFO [00034425] Port=1 RD @05 + 34424.50ns INFO [00034426] Port=0 WR @02=F66C13AE6B47552152 + 34424.50ns INFO [00034426] Port=1 RD @05 + 34425.50ns INFO [00034427] * RD COMPARE * port=1 adr=05 act=9A4A2588F9F0009E8F exp=9A4A2588F9F0009E8F + 34425.50ns INFO [00034427] Port=0 WR @07=6D72C0DBD18257BC01 + 34425.50ns INFO [00034427] Port=1 RD @00 + 34426.50ns INFO [00034428] * RD COMPARE * port=1 adr=05 act=9A4A2588F9F0009E8F exp=9A4A2588F9F0009E8F + 34426.50ns INFO [00034428] Port=0 WR @01=BE9698E70ECE9D21BF + 34427.50ns INFO [00034429] * RD COMPARE * port=1 adr=00 act=6C812813A08E921DB1 exp=6C812813A08E921DB1 + 34427.50ns INFO [00034429] Port=0 RD @03 + 34428.50ns INFO [00034430] Port=1 RD @00 + 34429.50ns INFO [00034431] * RD COMPARE * port=0 adr=03 act=0ECD5AB3645F2024EC exp=0ECD5AB3645F2024EC + 34429.50ns INFO [00034431] Port=0 WR @00=30A6610EBE916D11B4 + 34429.50ns INFO [00034431] Port=0 RD @02 + 34430.50ns INFO [00034432] * RD COMPARE * port=1 adr=00 act=6C812813A08E921DB1 exp=6C812813A08E921DB1 + 34430.50ns INFO [00034432] Port=0 WR @05=792BB417617A6872EC + 34430.50ns INFO [00034432] Port=0 RD @03 + 34431.50ns INFO [00034433] * RD COMPARE * port=0 adr=02 act=F66C13AE6B47552152 exp=F66C13AE6B47552152 + 34431.50ns INFO [00034433] Port=0 RD @00 + 34432.50ns INFO [00034434] * RD COMPARE * port=0 adr=03 act=0ECD5AB3645F2024EC exp=0ECD5AB3645F2024EC + 34433.50ns INFO [00034435] * RD COMPARE * port=0 adr=00 act=30A6610EBE916D11B4 exp=30A6610EBE916D11B4 + 34433.50ns INFO [00034435] Port=0 RD @02 + 34434.50ns INFO [00034436] Port=0 RD @06 + 34435.50ns INFO [00034437] * RD COMPARE * port=0 adr=02 act=F66C13AE6B47552152 exp=F66C13AE6B47552152 + 34436.50ns INFO [00034438] * RD COMPARE * port=0 adr=06 act=5CA403C803D36CD3F0 exp=5CA403C803D36CD3F0 + 34436.50ns INFO [00034438] Port=0 RD @01 + 34436.50ns INFO [00034438] Port=1 RD @01 + 34437.50ns INFO [00034439] Port=0 WR @04=9C4C544EDCF45709E6 + 34438.50ns INFO [00034440] * RD COMPARE * port=0 adr=01 act=BE9698E70ECE9D21BF exp=BE9698E70ECE9D21BF + 34438.50ns INFO [00034440] * RD COMPARE * port=1 adr=01 act=BE9698E70ECE9D21BF exp=BE9698E70ECE9D21BF + 34438.50ns INFO [00034440] Port=0 RD @02 + 34438.50ns INFO [00034440] Port=1 RD @05 + 34439.50ns INFO [00034441] Port=1 RD @00 + 34440.50ns INFO [00034442] * RD COMPARE * port=0 adr=02 act=F66C13AE6B47552152 exp=F66C13AE6B47552152 + 34440.50ns INFO [00034442] * RD COMPARE * port=1 adr=05 act=792BB417617A6872EC exp=792BB417617A6872EC + 34441.50ns INFO [00034443] * RD COMPARE * port=1 adr=00 act=30A6610EBE916D11B4 exp=30A6610EBE916D11B4 + 34441.50ns INFO [00034443] Port=0 WR @04=BD808980B1C9C7F427 + 34441.50ns INFO [00034443] Port=1 RD @07 + 34442.50ns INFO [00034444] Port=0 WR @06=C58E62011FA29BDE0E + 34442.50ns INFO [00034444] Port=0 RD @01 + 34442.50ns INFO [00034444] Port=1 RD @04 + 34443.50ns INFO [00034445] * RD COMPARE * port=1 adr=07 act=6D72C0DBD18257BC01 exp=6D72C0DBD18257BC01 + 34443.50ns INFO [00034445] Port=0 RD @00 + 34443.50ns INFO [00034445] Port=1 RD @02 + 34444.50ns INFO [00034446] * RD COMPARE * port=0 adr=01 act=BE9698E70ECE9D21BF exp=BE9698E70ECE9D21BF + 34444.50ns INFO [00034446] * RD COMPARE * port=1 adr=04 act=BD808980B1C9C7F427 exp=BD808980B1C9C7F427 + 34444.50ns INFO [00034446] Port=0 RD @03 + 34444.50ns INFO [00034446] Port=1 RD @05 + 34445.50ns INFO [00034447] * RD COMPARE * port=0 adr=00 act=30A6610EBE916D11B4 exp=30A6610EBE916D11B4 + 34445.50ns INFO [00034447] * RD COMPARE * port=1 adr=02 act=F66C13AE6B47552152 exp=F66C13AE6B47552152 + 34446.50ns INFO [00034448] * RD COMPARE * port=0 adr=03 act=0ECD5AB3645F2024EC exp=0ECD5AB3645F2024EC + 34446.50ns INFO [00034448] * RD COMPARE * port=1 adr=05 act=792BB417617A6872EC exp=792BB417617A6872EC + 34446.50ns INFO [00034448] Port=0 WR @02=11766B511BC72914C1 + 34446.50ns INFO [00034448] Port=0 RD @04 + 34447.50ns INFO [00034449] Port=0 RD @07 + 34447.50ns INFO [00034449] Port=1 RD @07 + 34448.50ns INFO [00034450] * RD COMPARE * port=0 adr=04 act=BD808980B1C9C7F427 exp=BD808980B1C9C7F427 + 34449.50ns INFO [00034451] * RD COMPARE * port=0 adr=07 act=6D72C0DBD18257BC01 exp=6D72C0DBD18257BC01 + 34449.50ns INFO [00034451] * RD COMPARE * port=1 adr=07 act=6D72C0DBD18257BC01 exp=6D72C0DBD18257BC01 + 34449.50ns INFO [00034451] Port=1 RD @02 + 34450.50ns INFO [00034452] Port=0 WR @00=964C40E0B6B0A34226 + 34451.50ns INFO [00034453] * RD COMPARE * port=1 adr=02 act=11766B511BC72914C1 exp=11766B511BC72914C1 + 34451.50ns INFO [00034453] Port=0 WR @03=136FD58C70872414C7 + 34451.50ns INFO [00034453] Port=0 RD @01 + 34453.50ns INFO [00034455] * RD COMPARE * port=0 adr=01 act=BE9698E70ECE9D21BF exp=BE9698E70ECE9D21BF + 34453.50ns INFO [00034455] Port=0 WR @07=CF60F829D8D8F1D7B8 + 34453.50ns INFO [00034455] Port=1 RD @04 + 34454.50ns INFO [00034456] Port=0 RD @07 + 34455.50ns INFO [00034457] * RD COMPARE * port=1 adr=04 act=BD808980B1C9C7F427 exp=BD808980B1C9C7F427 + 34455.50ns INFO [00034457] Port=1 RD @06 + 34456.50ns INFO [00034458] * RD COMPARE * port=0 adr=07 act=CF60F829D8D8F1D7B8 exp=CF60F829D8D8F1D7B8 + 34456.50ns INFO [00034458] Port=0 WR @02=A515B71200BBAA4182 + 34456.50ns INFO [00034458] Port=1 RD @03 + 34457.50ns INFO [00034459] * RD COMPARE * port=1 adr=06 act=C58E62011FA29BDE0E exp=C58E62011FA29BDE0E + 34457.50ns INFO [00034459] Port=0 WR @03=E762CC986D057AA6EF + 34457.50ns INFO [00034459] Port=0 RD @05 + 34457.50ns INFO [00034459] Port=1 RD @02 + 34458.50ns INFO [00034460] * RD COMPARE * port=1 adr=03 act=136FD58C70872414C7 exp=136FD58C70872414C7 + 34458.50ns INFO [00034460] Port=0 WR @02=B37679DE361F9F74DD + 34458.50ns INFO [00034460] Port=0 RD @04 + 34459.50ns INFO [00034461] * RD COMPARE * port=0 adr=05 act=792BB417617A6872EC exp=792BB417617A6872EC + 34459.50ns INFO [00034461] * RD COMPARE * port=1 adr=02 act=A515B71200BBAA4182 exp=A515B71200BBAA4182 + 34459.50ns INFO [00034461] Port=0 WR @07=A5742B15BB96D8078B + 34459.50ns INFO [00034461] Port=0 RD @05 + 34459.50ns INFO [00034461] Port=1 RD @02 + 34460.50ns INFO [00034462] * RD COMPARE * port=0 adr=04 act=BD808980B1C9C7F427 exp=BD808980B1C9C7F427 + 34460.50ns INFO [00034462] Port=0 RD @07 + 34460.50ns INFO [00034462] Port=1 RD @04 + 34461.50ns INFO [00034463] * RD COMPARE * port=0 adr=05 act=792BB417617A6872EC exp=792BB417617A6872EC + 34461.50ns INFO [00034463] * RD COMPARE * port=1 adr=02 act=B37679DE361F9F74DD exp=B37679DE361F9F74DD + 34461.50ns INFO [00034463] Port=0 RD @07 + 34462.50ns INFO [00034464] * RD COMPARE * port=0 adr=07 act=A5742B15BB96D8078B exp=A5742B15BB96D8078B + 34462.50ns INFO [00034464] * RD COMPARE * port=1 adr=04 act=BD808980B1C9C7F427 exp=BD808980B1C9C7F427 + 34462.50ns INFO [00034464] Port=0 WR @07=E4239ADF1D6B77D7B6 + 34462.50ns INFO [00034464] Port=1 RD @05 + 34463.50ns INFO [00034465] * RD COMPARE * port=0 adr=07 act=A5742B15BB96D8078B exp=A5742B15BB96D8078B + 34463.50ns INFO [00034465] Port=0 WR @01=264B1EBC218B9574E7 + 34463.50ns INFO [00034465] Port=0 RD @05 + 34464.50ns INFO [00034466] * RD COMPARE * port=1 adr=05 act=792BB417617A6872EC exp=792BB417617A6872EC + 34464.50ns INFO [00034466] Port=0 WR @05=D0B553EB7DECA991CD + 34464.50ns INFO [00034466] Port=0 RD @03 + 34464.50ns INFO [00034466] Port=1 RD @02 + 34465.50ns INFO [00034467] * RD COMPARE * port=0 adr=05 act=792BB417617A6872EC exp=792BB417617A6872EC + 34465.50ns INFO [00034467] Port=0 RD @05 + 34466.50ns INFO [00034468] * RD COMPARE * port=0 adr=03 act=E762CC986D057AA6EF exp=E762CC986D057AA6EF + 34466.50ns INFO [00034468] * RD COMPARE * port=1 adr=02 act=B37679DE361F9F74DD exp=B37679DE361F9F74DD + 34466.50ns INFO [00034468] Port=0 RD @03 + 34466.50ns INFO [00034468] Port=1 RD @05 + 34467.50ns INFO [00034469] * RD COMPARE * port=0 adr=05 act=D0B553EB7DECA991CD exp=D0B553EB7DECA991CD + 34468.50ns INFO [00034470] * RD COMPARE * port=0 adr=03 act=E762CC986D057AA6EF exp=E762CC986D057AA6EF + 34468.50ns INFO [00034470] * RD COMPARE * port=1 adr=05 act=D0B553EB7DECA991CD exp=D0B553EB7DECA991CD + 34468.50ns INFO [00034470] Port=0 RD @06 + 34468.50ns INFO [00034470] Port=1 RD @04 + 34469.50ns INFO [00034471] Port=1 RD @06 + 34470.50ns INFO [00034472] * RD COMPARE * port=0 adr=06 act=C58E62011FA29BDE0E exp=C58E62011FA29BDE0E + 34470.50ns INFO [00034472] * RD COMPARE * port=1 adr=04 act=BD808980B1C9C7F427 exp=BD808980B1C9C7F427 + 34470.50ns INFO [00034472] Port=0 WR @07=842F57F593BD3C0614 + 34471.50ns INFO [00034473] * RD COMPARE * port=1 adr=06 act=C58E62011FA29BDE0E exp=C58E62011FA29BDE0E + 34472.50ns INFO [00034474] Port=0 RD @00 + 34472.50ns INFO [00034474] Port=1 RD @07 + 34473.50ns INFO [00034475] Port=0 WR @01=DCC7BF8AD2FA034798 + 34473.50ns INFO [00034475] Port=1 RD @02 + 34474.50ns INFO [00034476] * RD COMPARE * port=0 adr=00 act=964C40E0B6B0A34226 exp=964C40E0B6B0A34226 + 34474.50ns INFO [00034476] * RD COMPARE * port=1 adr=07 act=842F57F593BD3C0614 exp=842F57F593BD3C0614 + 34474.50ns INFO [00034476] Port=0 WR @07=5E244C8B45EE7FBAA3 + 34474.50ns INFO [00034476] Port=0 RD @01 + 34474.50ns INFO [00034476] Port=1 RD @00 + 34475.50ns INFO [00034477] * RD COMPARE * port=1 adr=02 act=B37679DE361F9F74DD exp=B37679DE361F9F74DD + 34476.50ns INFO [00034478] * RD COMPARE * port=0 adr=01 act=DCC7BF8AD2FA034798 exp=DCC7BF8AD2FA034798 + 34476.50ns INFO [00034478] * RD COMPARE * port=1 adr=00 act=964C40E0B6B0A34226 exp=964C40E0B6B0A34226 + 34476.50ns INFO [00034478] Port=0 WR @05=6DCB8615BAD1A131CC + 34476.50ns INFO [00034478] Port=1 RD @04 + 34477.50ns INFO [00034479] Port=0 WR @02=0BD655A4108E3F2EAC + 34478.50ns INFO [00034480] * RD COMPARE * port=1 adr=04 act=BD808980B1C9C7F427 exp=BD808980B1C9C7F427 + 34478.50ns INFO [00034480] Port=0 RD @07 + 34478.50ns INFO [00034480] Port=1 RD @07 + 34480.50ns INFO [00034482] * RD COMPARE * port=0 adr=07 act=5E244C8B45EE7FBAA3 exp=5E244C8B45EE7FBAA3 + 34480.50ns INFO [00034482] * RD COMPARE * port=1 adr=07 act=5E244C8B45EE7FBAA3 exp=5E244C8B45EE7FBAA3 + 34481.50ns INFO [00034483] Port=0 WR @07=9A64EB333EC70606C4 + 34482.50ns INFO [00034484] Port=0 RD @00 + 34484.50ns INFO [00034486] * RD COMPARE * port=0 adr=00 act=964C40E0B6B0A34226 exp=964C40E0B6B0A34226 + 34484.50ns INFO [00034486] Port=1 RD @03 + 34485.50ns INFO [00034487] Port=0 WR @07=29273ED596EB425951 + 34486.50ns INFO [00034488] * RD COMPARE * port=1 adr=03 act=E762CC986D057AA6EF exp=E762CC986D057AA6EF + 34487.50ns INFO [00034489] Port=0 WR @06=66B9B455AFE01D97C1 + 34487.50ns INFO [00034489] Port=1 RD @01 + 34488.50ns INFO [00034490] Port=0 WR @07=2945FE51790A8338E5 + 34489.50ns INFO [00034491] * RD COMPARE * port=1 adr=01 act=DCC7BF8AD2FA034798 exp=DCC7BF8AD2FA034798 + 34489.50ns INFO [00034491] Port=0 WR @06=337327D54660A470FA + 34489.50ns INFO [00034491] Port=0 RD @05 + 34491.50ns INFO [00034493] * RD COMPARE * port=0 adr=05 act=6DCB8615BAD1A131CC exp=6DCB8615BAD1A131CC + 34491.50ns INFO [00034493] Port=0 WR @06=4BF2254E291D36D4EA + 34492.50ns INFO [00034494] Port=0 WR @00=7B66EFC48273E463D7 + 34492.50ns INFO [00034494] Port=1 RD @04 + 34493.50ns INFO [00034495] Port=0 WR @01=5F343C4B624EAC7102 + 34494.50ns INFO [00034496] * RD COMPARE * port=1 adr=04 act=BD808980B1C9C7F427 exp=BD808980B1C9C7F427 + 34494.50ns INFO [00034496] Port=0 WR @04=171931532F622A3782 + 34495.50ns INFO [00034497] Port=0 RD @02 + 34496.50ns INFO [00034498] Port=0 WR @02=4F794BE8A340212780 + 34496.50ns INFO [00034498] Port=0 RD @04 + 34496.50ns INFO [00034498] Port=1 RD @07 + 34497.50ns INFO [00034499] * RD COMPARE * port=0 adr=02 act=0BD655A4108E3F2EAC exp=0BD655A4108E3F2EAC + 34497.50ns INFO [00034499] Port=0 WR @06=DBD8B181B631E39F3B + 34497.50ns INFO [00034499] Port=0 RD @01 + 34498.00ns INFO [00034500] [00034500] ...tick... + 34498.50ns INFO [00034500] * RD COMPARE * port=0 adr=04 act=171931532F622A3782 exp=171931532F622A3782 + 34498.50ns INFO [00034500] * RD COMPARE * port=1 adr=07 act=2945FE51790A8338E5 exp=2945FE51790A8338E5 + 34499.50ns INFO [00034501] * RD COMPARE * port=0 adr=01 act=5F343C4B624EAC7102 exp=5F343C4B624EAC7102 + 34499.50ns INFO [00034501] Port=0 RD @04 + 34500.50ns INFO [00034502] Port=0 RD @03 + 34500.50ns INFO [00034502] Port=1 RD @04 + 34501.50ns INFO [00034503] * RD COMPARE * port=0 adr=04 act=171931532F622A3782 exp=171931532F622A3782 + 34501.50ns INFO [00034503] Port=0 RD @01 + 34502.50ns INFO [00034504] * RD COMPARE * port=0 adr=03 act=E762CC986D057AA6EF exp=E762CC986D057AA6EF + 34502.50ns INFO [00034504] * RD COMPARE * port=1 adr=04 act=171931532F622A3782 exp=171931532F622A3782 + 34502.50ns INFO [00034504] Port=1 RD @06 + 34503.50ns INFO [00034505] * RD COMPARE * port=0 adr=01 act=5F343C4B624EAC7102 exp=5F343C4B624EAC7102 + 34503.50ns INFO [00034505] Port=1 RD @06 + 34504.50ns INFO [00034506] * RD COMPARE * port=1 adr=06 act=DBD8B181B631E39F3B exp=DBD8B181B631E39F3B + 34504.50ns INFO [00034506] Port=0 WR @06=67F198568B62D4F0D7 + 34505.50ns INFO [00034507] * RD COMPARE * port=1 adr=06 act=DBD8B181B631E39F3B exp=DBD8B181B631E39F3B + 34506.50ns INFO [00034508] Port=0 RD @06 + 34507.50ns INFO [00034509] Port=0 RD @03 + 34508.50ns INFO [00034510] * RD COMPARE * port=0 adr=06 act=67F198568B62D4F0D7 exp=67F198568B62D4F0D7 + 34508.50ns INFO [00034510] Port=0 WR @01=174C304C139EA6D794 + 34508.50ns INFO [00034510] Port=1 RD @04 + 34509.50ns INFO [00034511] * RD COMPARE * port=0 adr=03 act=E762CC986D057AA6EF exp=E762CC986D057AA6EF + 34509.50ns INFO [00034511] Port=1 RD @05 + 34510.50ns INFO [00034512] * RD COMPARE * port=1 adr=04 act=171931532F622A3782 exp=171931532F622A3782 + 34510.50ns INFO [00034512] Port=0 RD @05 + 34511.50ns INFO [00034513] * RD COMPARE * port=1 adr=05 act=6DCB8615BAD1A131CC exp=6DCB8615BAD1A131CC + 34512.50ns INFO [00034514] * RD COMPARE * port=0 adr=05 act=6DCB8615BAD1A131CC exp=6DCB8615BAD1A131CC + 34514.50ns INFO [00034516] Port=0 RD @03 + 34515.50ns INFO [00034517] Port=0 RD @05 + 34516.50ns INFO [00034518] * RD COMPARE * port=0 adr=03 act=E762CC986D057AA6EF exp=E762CC986D057AA6EF + 34516.50ns INFO [00034518] Port=0 WR @05=58B4AA9902DDEFEB9A + 34516.50ns INFO [00034518] Port=0 RD @01 + 34516.50ns INFO [00034518] Port=1 RD @01 + 34517.50ns INFO [00034519] * RD COMPARE * port=0 adr=05 act=6DCB8615BAD1A131CC exp=6DCB8615BAD1A131CC + 34517.50ns INFO [00034519] Port=1 RD @00 + 34518.50ns INFO [00034520] * RD COMPARE * port=0 adr=01 act=174C304C139EA6D794 exp=174C304C139EA6D794 + 34518.50ns INFO [00034520] * RD COMPARE * port=1 adr=01 act=174C304C139EA6D794 exp=174C304C139EA6D794 + 34518.50ns INFO [00034520] Port=0 WR @02=C58298F4A6BBC211DC + 34519.50ns INFO [00034521] * RD COMPARE * port=1 adr=00 act=7B66EFC48273E463D7 exp=7B66EFC48273E463D7 + 34520.50ns INFO [00034522] Port=0 WR @00=CD72FCB0AD6D0445BF + 34520.50ns INFO [00034522] Port=1 RD @07 + 34521.50ns INFO [00034523] Port=1 RD @02 + 34522.50ns INFO [00034524] * RD COMPARE * port=1 adr=07 act=2945FE51790A8338E5 exp=2945FE51790A8338E5 + 34522.50ns INFO [00034524] Port=0 WR @01=AC1AC8CC5B3425DF17 + 34523.50ns INFO [00034525] * RD COMPARE * port=1 adr=02 act=C58298F4A6BBC211DC exp=C58298F4A6BBC211DC + 34523.50ns INFO [00034525] Port=1 RD @04 + 34524.50ns INFO [00034526] Port=0 WR @07=8F204DD96B1B4EF72F + 34525.50ns INFO [00034527] * RD COMPARE * port=1 adr=04 act=171931532F622A3782 exp=171931532F622A3782 + 34525.50ns INFO [00034527] Port=0 WR @02=C3AD54B4A7EAB45A97 + 34525.50ns INFO [00034527] Port=0 RD @00 + 34526.50ns INFO [00034528] Port=0 WR @00=F14A3735988679AE1F + 34526.50ns INFO [00034528] Port=0 RD @03 + 34526.50ns INFO [00034528] Port=1 RD @03 + 34527.50ns INFO [00034529] * RD COMPARE * port=0 adr=00 act=CD72FCB0AD6D0445BF exp=CD72FCB0AD6D0445BF + 34527.50ns INFO [00034529] Port=0 WR @01=6EFBA9C6C0CC9BAB2B + 34527.50ns INFO [00034529] Port=1 RD @05 + 34528.50ns INFO [00034530] * RD COMPARE * port=0 adr=03 act=E762CC986D057AA6EF exp=E762CC986D057AA6EF + 34528.50ns INFO [00034530] * RD COMPARE * port=1 adr=03 act=E762CC986D057AA6EF exp=E762CC986D057AA6EF + 34528.50ns INFO [00034530] Port=0 RD @06 + 34529.50ns INFO [00034531] * RD COMPARE * port=1 adr=05 act=58B4AA9902DDEFEB9A exp=58B4AA9902DDEFEB9A + 34529.50ns INFO [00034531] Port=0 RD @03 + 34529.50ns INFO [00034531] Port=1 RD @01 + 34530.50ns INFO [00034532] * RD COMPARE * port=0 adr=06 act=67F198568B62D4F0D7 exp=67F198568B62D4F0D7 + 34530.50ns INFO [00034532] Port=1 RD @06 + 34531.50ns INFO [00034533] * RD COMPARE * port=0 adr=03 act=E762CC986D057AA6EF exp=E762CC986D057AA6EF + 34531.50ns INFO [00034533] * RD COMPARE * port=1 adr=01 act=6EFBA9C6C0CC9BAB2B exp=6EFBA9C6C0CC9BAB2B + 34531.50ns INFO [00034533] Port=0 RD @05 + 34532.50ns INFO [00034534] * RD COMPARE * port=1 adr=06 act=67F198568B62D4F0D7 exp=67F198568B62D4F0D7 + 34532.50ns INFO [00034534] Port=0 RD @06 + 34533.50ns INFO [00034535] * RD COMPARE * port=0 adr=05 act=58B4AA9902DDEFEB9A exp=58B4AA9902DDEFEB9A + 34533.50ns INFO [00034535] Port=0 WR @04=D3650C3FE6F1B36271 + 34533.50ns INFO [00034535] Port=0 RD @02 + 34534.50ns INFO [00034536] * RD COMPARE * port=0 adr=06 act=67F198568B62D4F0D7 exp=67F198568B62D4F0D7 + 34534.50ns INFO [00034536] Port=0 WR @04=C4F8424BDA2149159D + 34535.50ns INFO [00034537] * RD COMPARE * port=0 adr=02 act=C3AD54B4A7EAB45A97 exp=C3AD54B4A7EAB45A97 + 34538.50ns INFO [00034540] Port=0 RD @07 + 34540.50ns INFO [00034542] * RD COMPARE * port=0 adr=07 act=8F204DD96B1B4EF72F exp=8F204DD96B1B4EF72F + 34540.50ns INFO [00034542] Port=0 WR @00=A039F9B40354792482 + 34541.50ns INFO [00034543] Port=0 WR @02=760B3EFC922F3D9893 + 34542.50ns INFO [00034544] Port=0 WR @00=BEE3A8A3B1C953422A + 34542.50ns INFO [00034544] Port=1 RD @05 + 34544.50ns INFO [00034546] * RD COMPARE * port=1 adr=05 act=58B4AA9902DDEFEB9A exp=58B4AA9902DDEFEB9A + 34544.50ns INFO [00034546] Port=0 WR @04=BFD3C00E53C00A6F43 + 34545.50ns INFO [00034547] Port=0 WR @07=997D00402C84F1BCB9 + 34545.50ns INFO [00034547] Port=0 RD @01 + 34546.50ns INFO [00034548] Port=0 WR @03=83512FBBE07B98061C + 34547.50ns INFO [00034549] * RD COMPARE * port=0 adr=01 act=6EFBA9C6C0CC9BAB2B exp=6EFBA9C6C0CC9BAB2B + 34547.50ns INFO [00034549] Port=1 RD @03 + 34549.50ns INFO [00034551] * RD COMPARE * port=1 adr=03 act=83512FBBE07B98061C exp=83512FBBE07B98061C + 34549.50ns INFO [00034551] Port=0 WR @05=47BF81DEF5E38587CF + 34549.50ns INFO [00034551] Port=0 RD @06 + 34549.50ns INFO [00034551] Port=1 RD @02 + 34550.50ns INFO [00034552] Port=0 WR @00=C05D355AB81106E104 + 34550.50ns INFO [00034552] Port=1 RD @06 + 34551.50ns INFO [00034553] * RD COMPARE * port=0 adr=06 act=67F198568B62D4F0D7 exp=67F198568B62D4F0D7 + 34551.50ns INFO [00034553] * RD COMPARE * port=1 adr=02 act=760B3EFC922F3D9893 exp=760B3EFC922F3D9893 + 34551.50ns INFO [00034553] Port=0 WR @03=2BCC6358C156431CA0 + 34552.50ns INFO [00034554] * RD COMPARE * port=1 adr=06 act=67F198568B62D4F0D7 exp=67F198568B62D4F0D7 + 34553.50ns INFO [00034555] Port=0 WR @03=C8BAB60032935B5118 + 34553.50ns INFO [00034555] Port=1 RD @05 + 34554.50ns INFO [00034556] Port=0 RD @01 + 34554.50ns INFO [00034556] Port=1 RD @04 + 34555.50ns INFO [00034557] * RD COMPARE * port=1 adr=05 act=47BF81DEF5E38587CF exp=47BF81DEF5E38587CF + 34555.50ns INFO [00034557] Port=1 RD @03 + 34556.50ns INFO [00034558] * RD COMPARE * port=0 adr=01 act=6EFBA9C6C0CC9BAB2B exp=6EFBA9C6C0CC9BAB2B + 34556.50ns INFO [00034558] * RD COMPARE * port=1 adr=04 act=BFD3C00E53C00A6F43 exp=BFD3C00E53C00A6F43 + 34556.50ns INFO [00034558] Port=0 RD @00 + 34556.50ns INFO [00034558] Port=1 RD @06 + 34557.50ns INFO [00034559] * RD COMPARE * port=1 adr=03 act=C8BAB60032935B5118 exp=C8BAB60032935B5118 + 34557.50ns INFO [00034559] Port=1 RD @03 + 34558.50ns INFO [00034560] * RD COMPARE * port=0 adr=00 act=C05D355AB81106E104 exp=C05D355AB81106E104 + 34558.50ns INFO [00034560] * RD COMPARE * port=1 adr=06 act=67F198568B62D4F0D7 exp=67F198568B62D4F0D7 + 34558.50ns INFO [00034560] Port=0 WR @04=E7B74B605BDB0DCEF5 + 34559.50ns INFO [00034561] * RD COMPARE * port=1 adr=03 act=C8BAB60032935B5118 exp=C8BAB60032935B5118 + 34559.50ns INFO [00034561] Port=1 RD @05 + 34560.50ns INFO [00034562] Port=1 RD @00 + 34561.50ns INFO [00034563] * RD COMPARE * port=1 adr=05 act=47BF81DEF5E38587CF exp=47BF81DEF5E38587CF + 34561.50ns INFO [00034563] Port=0 RD @06 + 34562.50ns INFO [00034564] * RD COMPARE * port=1 adr=00 act=C05D355AB81106E104 exp=C05D355AB81106E104 + 34562.50ns INFO [00034564] Port=0 WR @00=E6D15734DF7E0022C9 + 34562.50ns INFO [00034564] Port=0 RD @02 + 34563.50ns INFO [00034565] * RD COMPARE * port=0 adr=06 act=67F198568B62D4F0D7 exp=67F198568B62D4F0D7 + 34564.50ns INFO [00034566] * RD COMPARE * port=0 adr=02 act=760B3EFC922F3D9893 exp=760B3EFC922F3D9893 + 34565.50ns INFO [00034567] Port=0 WR @06=5A8599812972632D87 + 34565.50ns INFO [00034567] Port=0 RD @05 + 34565.50ns INFO [00034567] Port=1 RD @05 + 34567.50ns INFO [00034569] * RD COMPARE * port=0 adr=05 act=47BF81DEF5E38587CF exp=47BF81DEF5E38587CF + 34567.50ns INFO [00034569] * RD COMPARE * port=1 adr=05 act=47BF81DEF5E38587CF exp=47BF81DEF5E38587CF + 34567.50ns INFO [00034569] Port=0 RD @02 + 34568.50ns INFO [00034570] Port=0 WR @03=60BCC1E66589906991 + 34568.50ns INFO [00034570] Port=0 RD @06 + 34568.50ns INFO [00034570] Port=1 RD @02 + 34569.50ns INFO [00034571] * RD COMPARE * port=0 adr=02 act=760B3EFC922F3D9893 exp=760B3EFC922F3D9893 + 34569.50ns INFO [00034571] Port=0 WR @04=E74AE2ADD84E1537DC + 34569.50ns INFO [00034571] Port=0 RD @06 + 34569.50ns INFO [00034571] Port=1 RD @01 + 34570.50ns INFO [00034572] * RD COMPARE * port=0 adr=06 act=5A8599812972632D87 exp=5A8599812972632D87 + 34570.50ns INFO [00034572] * RD COMPARE * port=1 adr=02 act=760B3EFC922F3D9893 exp=760B3EFC922F3D9893 + 34571.50ns INFO [00034573] * RD COMPARE * port=0 adr=06 act=5A8599812972632D87 exp=5A8599812972632D87 + 34571.50ns INFO [00034573] * RD COMPARE * port=1 adr=01 act=6EFBA9C6C0CC9BAB2B exp=6EFBA9C6C0CC9BAB2B + 34571.50ns INFO [00034573] Port=0 WR @06=0FCAD7C175E682C340 + 34572.50ns INFO [00034574] Port=1 RD @07 + 34573.50ns INFO [00034575] Port=0 RD @00 + 34574.50ns INFO [00034576] * RD COMPARE * port=1 adr=07 act=997D00402C84F1BCB9 exp=997D00402C84F1BCB9 + 34575.50ns INFO [00034577] * RD COMPARE * port=0 adr=00 act=E6D15734DF7E0022C9 exp=E6D15734DF7E0022C9 + 34575.50ns INFO [00034577] Port=0 WR @03=1FAEC0262C0D07103F + 34575.50ns INFO [00034577] Port=0 RD @00 + 34575.50ns INFO [00034577] Port=1 RD @06 + 34576.50ns INFO [00034578] Port=0 RD @05 + 34576.50ns INFO [00034578] Port=1 RD @04 + 34577.50ns INFO [00034579] * RD COMPARE * port=0 adr=00 act=E6D15734DF7E0022C9 exp=E6D15734DF7E0022C9 + 34577.50ns INFO [00034579] * RD COMPARE * port=1 adr=06 act=0FCAD7C175E682C340 exp=0FCAD7C175E682C340 + 34577.50ns INFO [00034579] Port=1 RD @00 + 34578.50ns INFO [00034580] * RD COMPARE * port=0 adr=05 act=47BF81DEF5E38587CF exp=47BF81DEF5E38587CF + 34578.50ns INFO [00034580] * RD COMPARE * port=1 adr=04 act=E74AE2ADD84E1537DC exp=E74AE2ADD84E1537DC + 34579.50ns INFO [00034581] * RD COMPARE * port=1 adr=00 act=E6D15734DF7E0022C9 exp=E6D15734DF7E0022C9 + 34579.50ns INFO [00034581] Port=0 RD @03 + 34580.50ns INFO [00034582] Port=0 RD @05 + 34581.50ns INFO [00034583] * RD COMPARE * port=0 adr=03 act=1FAEC0262C0D07103F exp=1FAEC0262C0D07103F + 34581.50ns INFO [00034583] Port=0 WR @04=8718EE8F8CF86E10F3 + 34581.50ns INFO [00034583] Port=1 RD @06 + 34582.50ns INFO [00034584] * RD COMPARE * port=0 adr=05 act=47BF81DEF5E38587CF exp=47BF81DEF5E38587CF + 34582.50ns INFO [00034584] Port=0 WR @04=EE0AC961FAC28CA0DF + 34583.50ns INFO [00034585] * RD COMPARE * port=1 adr=06 act=0FCAD7C175E682C340 exp=0FCAD7C175E682C340 + 34583.50ns INFO [00034585] Port=0 RD @06 + 34583.50ns INFO [00034585] Port=1 RD @06 + 34584.50ns INFO [00034586] Port=0 WR @04=2B8987D9A90A740C8F + 34584.50ns INFO [00034586] Port=1 RD @03 + 34585.50ns INFO [00034587] * RD COMPARE * port=0 adr=06 act=0FCAD7C175E682C340 exp=0FCAD7C175E682C340 + 34585.50ns INFO [00034587] * RD COMPARE * port=1 adr=06 act=0FCAD7C175E682C340 exp=0FCAD7C175E682C340 + 34585.50ns INFO [00034587] Port=1 RD @07 + 34586.50ns INFO [00034588] * RD COMPARE * port=1 adr=03 act=1FAEC0262C0D07103F exp=1FAEC0262C0D07103F + 34586.50ns INFO [00034588] Port=1 RD @01 + 34587.50ns INFO [00034589] * RD COMPARE * port=1 adr=07 act=997D00402C84F1BCB9 exp=997D00402C84F1BCB9 + 34587.50ns INFO [00034589] Port=0 RD @07 + 34588.50ns INFO [00034590] * RD COMPARE * port=1 adr=01 act=6EFBA9C6C0CC9BAB2B exp=6EFBA9C6C0CC9BAB2B + 34588.50ns INFO [00034590] Port=0 RD @03 + 34589.50ns INFO [00034591] * RD COMPARE * port=0 adr=07 act=997D00402C84F1BCB9 exp=997D00402C84F1BCB9 + 34589.50ns INFO [00034591] Port=0 WR @07=1A852AD9E4A59AA6C6 + 34590.50ns INFO [00034592] * RD COMPARE * port=0 adr=03 act=1FAEC0262C0D07103F exp=1FAEC0262C0D07103F + 34590.50ns INFO [00034592] Port=0 RD @02 + 34590.50ns INFO [00034592] Port=1 RD @04 + 34591.50ns INFO [00034593] Port=1 RD @00 + 34592.50ns INFO [00034594] * RD COMPARE * port=0 adr=02 act=760B3EFC922F3D9893 exp=760B3EFC922F3D9893 + 34592.50ns INFO [00034594] * RD COMPARE * port=1 adr=04 act=2B8987D9A90A740C8F exp=2B8987D9A90A740C8F + 34593.50ns INFO [00034595] * RD COMPARE * port=1 adr=00 act=E6D15734DF7E0022C9 exp=E6D15734DF7E0022C9 + 34593.50ns INFO [00034595] Port=0 WR @00=4192C2FDB3EB408EFC + 34593.50ns INFO [00034595] Port=0 RD @04 + 34594.50ns INFO [00034596] Port=1 RD @01 + 34595.50ns INFO [00034597] * RD COMPARE * port=0 adr=04 act=2B8987D9A90A740C8F exp=2B8987D9A90A740C8F + 34595.50ns INFO [00034597] Port=1 RD @04 + 34596.50ns INFO [00034598] * RD COMPARE * port=1 adr=01 act=6EFBA9C6C0CC9BAB2B exp=6EFBA9C6C0CC9BAB2B + 34597.50ns INFO [00034599] * RD COMPARE * port=1 adr=04 act=2B8987D9A90A740C8F exp=2B8987D9A90A740C8F + 34597.50ns INFO [00034599] Port=1 RD @01 + 34598.00ns INFO [00034600] [00034600] ...tick... + 34598.50ns INFO [00034600] Port=0 WR @02=25727D68441F1DAEB8 + 34599.50ns INFO [00034601] * RD COMPARE * port=1 adr=01 act=6EFBA9C6C0CC9BAB2B exp=6EFBA9C6C0CC9BAB2B + 34599.50ns INFO [00034601] Port=0 WR @04=16B39831AAC554A554 + 34599.50ns INFO [00034601] Port=0 RD @07 + 34599.50ns INFO [00034601] Port=1 RD @07 + 34600.50ns INFO [00034602] Port=0 RD @05 + 34601.50ns INFO [00034603] * RD COMPARE * port=0 adr=07 act=1A852AD9E4A59AA6C6 exp=1A852AD9E4A59AA6C6 + 34601.50ns INFO [00034603] * RD COMPARE * port=1 adr=07 act=1A852AD9E4A59AA6C6 exp=1A852AD9E4A59AA6C6 + 34601.50ns INFO [00034603] Port=0 RD @00 + 34601.50ns INFO [00034603] Port=1 RD @01 + 34602.50ns INFO [00034604] * RD COMPARE * port=0 adr=05 act=47BF81DEF5E38587CF exp=47BF81DEF5E38587CF + 34602.50ns INFO [00034604] Port=0 WR @00=1FBFAE9ABAF9B1B146 + 34602.50ns INFO [00034604] Port=0 RD @05 + 34603.50ns INFO [00034605] * RD COMPARE * port=0 adr=00 act=4192C2FDB3EB408EFC exp=4192C2FDB3EB408EFC + 34603.50ns INFO [00034605] * RD COMPARE * port=1 adr=01 act=6EFBA9C6C0CC9BAB2B exp=6EFBA9C6C0CC9BAB2B + 34603.50ns INFO [00034605] Port=1 RD @05 + 34604.50ns INFO [00034606] * RD COMPARE * port=0 adr=05 act=47BF81DEF5E38587CF exp=47BF81DEF5E38587CF + 34604.50ns INFO [00034606] Port=0 WR @01=2AA8A392711F57A292 + 34604.50ns INFO [00034606] Port=1 RD @00 + 34605.50ns INFO [00034607] * RD COMPARE * port=1 adr=05 act=47BF81DEF5E38587CF exp=47BF81DEF5E38587CF + 34606.50ns INFO [00034608] * RD COMPARE * port=1 adr=00 act=1FBFAE9ABAF9B1B146 exp=1FBFAE9ABAF9B1B146 + 34606.50ns INFO [00034608] Port=0 RD @04 + 34608.50ns INFO [00034610] * RD COMPARE * port=0 adr=04 act=16B39831AAC554A554 exp=16B39831AAC554A554 + 34609.50ns INFO [00034611] Port=1 RD @05 + 34610.50ns INFO [00034612] Port=1 RD @07 + 34611.50ns INFO [00034613] * RD COMPARE * port=1 adr=05 act=47BF81DEF5E38587CF exp=47BF81DEF5E38587CF + 34612.50ns INFO [00034614] * RD COMPARE * port=1 adr=07 act=1A852AD9E4A59AA6C6 exp=1A852AD9E4A59AA6C6 + 34612.50ns INFO [00034614] Port=0 WR @07=875421F2A1BE05731A + 34612.50ns INFO [00034614] Port=0 RD @02 + 34613.50ns INFO [00034615] Port=1 RD @07 + 34614.50ns INFO [00034616] * RD COMPARE * port=0 adr=02 act=25727D68441F1DAEB8 exp=25727D68441F1DAEB8 + 34614.50ns INFO [00034616] Port=1 RD @04 + 34615.50ns INFO [00034617] * RD COMPARE * port=1 adr=07 act=875421F2A1BE05731A exp=875421F2A1BE05731A + 34616.50ns INFO [00034618] * RD COMPARE * port=1 adr=04 act=16B39831AAC554A554 exp=16B39831AAC554A554 + 34616.50ns INFO [00034618] Port=0 WR @03=859F6705BD46E30E31 + 34617.50ns INFO [00034619] Port=0 WR @00=1635538ECA3AF155AF + 34617.50ns INFO [00034619] Port=0 RD @07 + 34617.50ns INFO [00034619] Port=1 RD @04 + 34618.50ns INFO [00034620] Port=1 RD @00 + 34619.50ns INFO [00034621] * RD COMPARE * port=0 adr=07 act=875421F2A1BE05731A exp=875421F2A1BE05731A + 34619.50ns INFO [00034621] * RD COMPARE * port=1 adr=04 act=16B39831AAC554A554 exp=16B39831AAC554A554 + 34619.50ns INFO [00034621] Port=0 RD @07 + 34620.50ns INFO [00034622] * RD COMPARE * port=1 adr=00 act=1635538ECA3AF155AF exp=1635538ECA3AF155AF + 34621.50ns INFO [00034623] * RD COMPARE * port=0 adr=07 act=875421F2A1BE05731A exp=875421F2A1BE05731A + 34621.50ns INFO [00034623] Port=0 WR @05=15A020B8993650616F + 34621.50ns INFO [00034623] Port=0 RD @04 + 34621.50ns INFO [00034623] Port=1 RD @00 + 34622.50ns INFO [00034624] Port=0 WR @05=92F15D58DAC866E7B3 + 34622.50ns INFO [00034624] Port=1 RD @04 + 34623.50ns INFO [00034625] * RD COMPARE * port=0 adr=04 act=16B39831AAC554A554 exp=16B39831AAC554A554 + 34623.50ns INFO [00034625] * RD COMPARE * port=1 adr=00 act=1635538ECA3AF155AF exp=1635538ECA3AF155AF + 34623.50ns INFO [00034625] Port=1 RD @06 + 34624.50ns INFO [00034626] * RD COMPARE * port=1 adr=04 act=16B39831AAC554A554 exp=16B39831AAC554A554 + 34625.50ns INFO [00034627] * RD COMPARE * port=1 adr=06 act=0FCAD7C175E682C340 exp=0FCAD7C175E682C340 + 34625.50ns INFO [00034627] Port=0 WR @04=7FEF31904F069FA203 + 34626.50ns INFO [00034628] Port=0 WR @07=3A6E726F501424C571 + 34627.50ns INFO [00034629] Port=0 WR @03=799B2AA299DBF792FD + 34629.50ns INFO [00034631] Port=1 RD @05 + 34630.50ns INFO [00034632] Port=0 WR @06=82F22DBF096F7BFE67 + 34630.50ns INFO [00034632] Port=0 RD @01 + 34631.50ns INFO [00034633] * RD COMPARE * port=1 adr=05 act=92F15D58DAC866E7B3 exp=92F15D58DAC866E7B3 + 34632.50ns INFO [00034634] * RD COMPARE * port=0 adr=01 act=2AA8A392711F57A292 exp=2AA8A392711F57A292 + 34632.50ns INFO [00034634] Port=0 WR @00=7990CA24F44181EFC6 + 34633.50ns INFO [00034635] Port=0 RD @06 + 34633.50ns INFO [00034635] Port=1 RD @01 + 34634.50ns INFO [00034636] Port=0 WR @07=82E4817D7EAE8A95B2 + 34635.50ns INFO [00034637] * RD COMPARE * port=0 adr=06 act=82F22DBF096F7BFE67 exp=82F22DBF096F7BFE67 + 34635.50ns INFO [00034637] * RD COMPARE * port=1 adr=01 act=2AA8A392711F57A292 exp=2AA8A392711F57A292 + 34635.50ns INFO [00034637] Port=0 RD @04 + 34635.50ns INFO [00034637] Port=1 RD @06 + 34636.50ns INFO [00034638] Port=0 RD @06 + 34636.50ns INFO [00034638] Port=1 RD @00 + 34637.50ns INFO [00034639] * RD COMPARE * port=0 adr=04 act=7FEF31904F069FA203 exp=7FEF31904F069FA203 + 34637.50ns INFO [00034639] * RD COMPARE * port=1 adr=06 act=82F22DBF096F7BFE67 exp=82F22DBF096F7BFE67 + 34637.50ns INFO [00034639] Port=1 RD @05 + 34638.50ns INFO [00034640] * RD COMPARE * port=0 adr=06 act=82F22DBF096F7BFE67 exp=82F22DBF096F7BFE67 + 34638.50ns INFO [00034640] * RD COMPARE * port=1 adr=00 act=7990CA24F44181EFC6 exp=7990CA24F44181EFC6 + 34639.50ns INFO [00034641] * RD COMPARE * port=1 adr=05 act=92F15D58DAC866E7B3 exp=92F15D58DAC866E7B3 + 34639.50ns INFO [00034641] Port=0 WR @06=737ABAC99683E71E94 + 34639.50ns INFO [00034641] Port=0 RD @07 + 34640.50ns INFO [00034642] Port=0 WR @03=AEA30894A1AA7EBFA4 + 34640.50ns INFO [00034642] Port=1 RD @07 + 34641.50ns INFO [00034643] * RD COMPARE * port=0 adr=07 act=82E4817D7EAE8A95B2 exp=82E4817D7EAE8A95B2 + 34641.50ns INFO [00034643] Port=0 WR @03=13734395A579ECEEA6 + 34641.50ns INFO [00034643] Port=1 RD @01 + 34642.50ns INFO [00034644] * RD COMPARE * port=1 adr=07 act=82E4817D7EAE8A95B2 exp=82E4817D7EAE8A95B2 + 34642.50ns INFO [00034644] Port=1 RD @04 + 34643.50ns INFO [00034645] * RD COMPARE * port=1 adr=01 act=2AA8A392711F57A292 exp=2AA8A392711F57A292 + 34644.50ns INFO [00034646] * RD COMPARE * port=1 adr=04 act=7FEF31904F069FA203 exp=7FEF31904F069FA203 + 34644.50ns INFO [00034646] Port=0 WR @06=E0939F4E5AED9652B8 + 34645.50ns INFO [00034647] Port=1 RD @04 + 34647.50ns INFO [00034649] * RD COMPARE * port=1 adr=04 act=7FEF31904F069FA203 exp=7FEF31904F069FA203 + 34647.50ns INFO [00034649] Port=0 RD @05 + 34648.50ns INFO [00034650] Port=0 WR @05=DC6A6760502BA3FF59 + 34649.50ns INFO [00034651] * RD COMPARE * port=0 adr=05 act=92F15D58DAC866E7B3 exp=92F15D58DAC866E7B3 + 34650.50ns INFO [00034652] Port=0 WR @04=0F2ECE114E07BEC1BD + 34650.50ns INFO [00034652] Port=0 RD @07 + 34651.50ns INFO [00034653] Port=0 WR @00=E750BE31CE2ECF7C44 + 34651.50ns INFO [00034653] Port=0 RD @04 + 34652.50ns INFO [00034654] * RD COMPARE * port=0 adr=07 act=82E4817D7EAE8A95B2 exp=82E4817D7EAE8A95B2 + 34652.50ns INFO [00034654] Port=0 RD @01 + 34653.50ns INFO [00034655] * RD COMPARE * port=0 adr=04 act=0F2ECE114E07BEC1BD exp=0F2ECE114E07BEC1BD + 34653.50ns INFO [00034655] Port=0 WR @02=B015813B7E4CED8987 + 34654.50ns INFO [00034656] * RD COMPARE * port=0 adr=01 act=2AA8A392711F57A292 exp=2AA8A392711F57A292 + 34655.50ns INFO [00034657] Port=0 WR @06=7D502C4178BF6B98C4 + 34656.50ns INFO [00034658] Port=1 RD @07 + 34657.50ns INFO [00034659] Port=0 WR @05=E72286DA0CC430B8B7 + 34657.50ns INFO [00034659] Port=0 RD @03 + 34657.50ns INFO [00034659] Port=1 RD @01 + 34658.50ns INFO [00034660] * RD COMPARE * port=1 adr=07 act=82E4817D7EAE8A95B2 exp=82E4817D7EAE8A95B2 + 34659.50ns INFO [00034661] * RD COMPARE * port=0 adr=03 act=13734395A579ECEEA6 exp=13734395A579ECEEA6 + 34659.50ns INFO [00034661] * RD COMPARE * port=1 adr=01 act=2AA8A392711F57A292 exp=2AA8A392711F57A292 + 34659.50ns INFO [00034661] Port=0 RD @05 + 34660.50ns INFO [00034662] Port=0 RD @00 + 34660.50ns INFO [00034662] Port=1 RD @04 + 34661.50ns INFO [00034663] * RD COMPARE * port=0 adr=05 act=E72286DA0CC430B8B7 exp=E72286DA0CC430B8B7 + 34662.50ns INFO [00034664] * RD COMPARE * port=0 adr=00 act=E750BE31CE2ECF7C44 exp=E750BE31CE2ECF7C44 + 34662.50ns INFO [00034664] * RD COMPARE * port=1 adr=04 act=0F2ECE114E07BEC1BD exp=0F2ECE114E07BEC1BD + 34663.50ns INFO [00034665] Port=0 RD @06 + 34664.50ns INFO [00034666] Port=0 WR @02=F50D84FDA3B8982E77 + 34665.50ns INFO [00034667] * RD COMPARE * port=0 adr=06 act=7D502C4178BF6B98C4 exp=7D502C4178BF6B98C4 + 34665.50ns INFO [00034667] Port=0 RD @01 + 34665.50ns INFO [00034667] Port=1 RD @03 + 34666.50ns INFO [00034668] Port=0 WR @03=6AEA1D3F2993E6949F + 34666.50ns INFO [00034668] Port=1 RD @05 + 34667.50ns INFO [00034669] * RD COMPARE * port=0 adr=01 act=2AA8A392711F57A292 exp=2AA8A392711F57A292 + 34667.50ns INFO [00034669] * RD COMPARE * port=1 adr=03 act=13734395A579ECEEA6 exp=13734395A579ECEEA6 + 34668.50ns INFO [00034670] * RD COMPARE * port=1 adr=05 act=E72286DA0CC430B8B7 exp=E72286DA0CC430B8B7 + 34668.50ns INFO [00034670] Port=0 WR @03=645BD688FB13FBE39B + 34670.50ns INFO [00034672] Port=0 WR @00=FF27EFE88632E962D0 + 34671.50ns INFO [00034673] Port=0 RD @05 + 34671.50ns INFO [00034673] Port=1 RD @05 + 34673.50ns INFO [00034675] * RD COMPARE * port=0 adr=05 act=E72286DA0CC430B8B7 exp=E72286DA0CC430B8B7 + 34673.50ns INFO [00034675] * RD COMPARE * port=1 adr=05 act=E72286DA0CC430B8B7 exp=E72286DA0CC430B8B7 + 34673.50ns INFO [00034675] Port=0 WR @06=4C35EDE97FFF66FC1B + 34673.50ns INFO [00034675] Port=1 RD @03 + 34674.50ns INFO [00034676] Port=1 RD @07 + 34675.50ns INFO [00034677] * RD COMPARE * port=1 adr=03 act=645BD688FB13FBE39B exp=645BD688FB13FBE39B + 34675.50ns INFO [00034677] Port=0 WR @03=D0496386983C3B733E + 34676.50ns INFO [00034678] * RD COMPARE * port=1 adr=07 act=82E4817D7EAE8A95B2 exp=82E4817D7EAE8A95B2 + 34676.50ns INFO [00034678] Port=0 WR @00=CE646B7CEF50317FD2 + 34677.50ns INFO [00034679] Port=0 RD @01 + 34678.50ns INFO [00034680] Port=0 WR @00=49DD31996C18C3E3A6 + 34679.50ns INFO [00034681] * RD COMPARE * port=0 adr=01 act=2AA8A392711F57A292 exp=2AA8A392711F57A292 + 34680.50ns INFO [00034682] Port=0 WR @05=FB7E207F1DF5944D7A + 34681.50ns INFO [00034683] Port=0 RD @05 + 34681.50ns INFO [00034683] Port=1 RD @02 + 34682.50ns INFO [00034684] Port=0 RD @05 + 34682.50ns INFO [00034684] Port=1 RD @05 + 34683.50ns INFO [00034685] * RD COMPARE * port=0 adr=05 act=FB7E207F1DF5944D7A exp=FB7E207F1DF5944D7A + 34683.50ns INFO [00034685] * RD COMPARE * port=1 adr=02 act=F50D84FDA3B8982E77 exp=F50D84FDA3B8982E77 + 34683.50ns INFO [00034685] Port=0 RD @03 + 34684.50ns INFO [00034686] * RD COMPARE * port=0 adr=05 act=FB7E207F1DF5944D7A exp=FB7E207F1DF5944D7A + 34684.50ns INFO [00034686] * RD COMPARE * port=1 adr=05 act=FB7E207F1DF5944D7A exp=FB7E207F1DF5944D7A + 34684.50ns INFO [00034686] Port=0 WR @07=C0ABABD84521048B4A + 34684.50ns INFO [00034686] Port=0 RD @00 + 34685.50ns INFO [00034687] * RD COMPARE * port=0 adr=03 act=D0496386983C3B733E exp=D0496386983C3B733E + 34685.50ns INFO [00034687] Port=0 WR @06=7123941E1162A9C16E + 34685.50ns INFO [00034687] Port=0 RD @02 + 34686.50ns INFO [00034688] * RD COMPARE * port=0 adr=00 act=49DD31996C18C3E3A6 exp=49DD31996C18C3E3A6 + 34687.50ns INFO [00034689] * RD COMPARE * port=0 adr=02 act=F50D84FDA3B8982E77 exp=F50D84FDA3B8982E77 + 34687.50ns INFO [00034689] Port=0 RD @05 + 34688.50ns INFO [00034690] Port=0 RD @04 + 34689.50ns INFO [00034691] * RD COMPARE * port=0 adr=05 act=FB7E207F1DF5944D7A exp=FB7E207F1DF5944D7A + 34689.50ns INFO [00034691] Port=0 RD @01 + 34689.50ns INFO [00034691] Port=1 RD @06 + 34690.50ns INFO [00034692] * RD COMPARE * port=0 adr=04 act=0F2ECE114E07BEC1BD exp=0F2ECE114E07BEC1BD + 34690.50ns INFO [00034692] Port=0 RD @02 + 34690.50ns INFO [00034692] Port=1 RD @03 + 34691.50ns INFO [00034693] * RD COMPARE * port=0 adr=01 act=2AA8A392711F57A292 exp=2AA8A392711F57A292 + 34691.50ns INFO [00034693] * RD COMPARE * port=1 adr=06 act=7123941E1162A9C16E exp=7123941E1162A9C16E + 34692.50ns INFO [00034694] * RD COMPARE * port=0 adr=02 act=F50D84FDA3B8982E77 exp=F50D84FDA3B8982E77 + 34692.50ns INFO [00034694] * RD COMPARE * port=1 adr=03 act=D0496386983C3B733E exp=D0496386983C3B733E + 34692.50ns INFO [00034694] Port=0 WR @02=E6BE2951241BB1EB45 + 34694.50ns INFO [00034696] Port=0 WR @02=692CF9DEF7442D72E8 + 34695.50ns INFO [00034697] Port=0 WR @03=C3B3777F9DEDC44454 + 34695.50ns INFO [00034697] Port=0 RD @05 + 34695.50ns INFO [00034697] Port=1 RD @02 + 34696.50ns INFO [00034698] Port=0 WR @06=C9B25DA29FCA7AC843 + 34696.50ns INFO [00034698] Port=0 RD @02 + 34696.50ns INFO [00034698] Port=1 RD @00 + 34697.50ns INFO [00034699] * RD COMPARE * port=0 adr=05 act=FB7E207F1DF5944D7A exp=FB7E207F1DF5944D7A + 34697.50ns INFO [00034699] * RD COMPARE * port=1 adr=02 act=692CF9DEF7442D72E8 exp=692CF9DEF7442D72E8 + 34697.50ns INFO [00034699] Port=0 WR @04=5D0FC31D0A2C9F51EC + 34697.50ns INFO [00034699] Port=0 RD @06 + 34697.50ns INFO [00034699] Port=1 RD @05 + 34698.00ns INFO [00034700] [00034700] ...tick... + 34698.50ns INFO [00034700] * RD COMPARE * port=0 adr=02 act=692CF9DEF7442D72E8 exp=692CF9DEF7442D72E8 + 34698.50ns INFO [00034700] * RD COMPARE * port=1 adr=00 act=49DD31996C18C3E3A6 exp=49DD31996C18C3E3A6 + 34698.50ns INFO [00034700] Port=1 RD @05 + 34699.50ns INFO [00034701] * RD COMPARE * port=0 adr=06 act=C9B25DA29FCA7AC843 exp=C9B25DA29FCA7AC843 + 34699.50ns INFO [00034701] * RD COMPARE * port=1 adr=05 act=FB7E207F1DF5944D7A exp=FB7E207F1DF5944D7A + 34700.50ns INFO [00034702] * RD COMPARE * port=1 adr=05 act=FB7E207F1DF5944D7A exp=FB7E207F1DF5944D7A + 34700.50ns INFO [00034702] Port=0 WR @00=BA2B3DDC63A692F943 + 34701.50ns INFO [00034703] Port=0 WR @05=C01B8F8A000A6B1BB8 + 34701.50ns INFO [00034703] Port=0 RD @02 + 34702.50ns INFO [00034704] Port=0 WR @07=E31684711C7DB231E2 + 34702.50ns INFO [00034704] Port=0 RD @06 + 34702.50ns INFO [00034704] Port=1 RD @04 + 34703.50ns INFO [00034705] * RD COMPARE * port=0 adr=02 act=692CF9DEF7442D72E8 exp=692CF9DEF7442D72E8 + 34704.50ns INFO [00034706] * RD COMPARE * port=0 adr=06 act=C9B25DA29FCA7AC843 exp=C9B25DA29FCA7AC843 + 34704.50ns INFO [00034706] * RD COMPARE * port=1 adr=04 act=5D0FC31D0A2C9F51EC exp=5D0FC31D0A2C9F51EC + 34704.50ns INFO [00034706] Port=0 WR @05=8484FF779B7192A2A9 + 34704.50ns INFO [00034706] Port=1 RD @01 + 34705.50ns INFO [00034707] Port=0 WR @06=D1395F3BCC4F61B4F4 + 34705.50ns INFO [00034707] Port=1 RD @01 + 34706.50ns INFO [00034708] * RD COMPARE * port=1 adr=01 act=2AA8A392711F57A292 exp=2AA8A392711F57A292 + 34706.50ns INFO [00034708] Port=0 WR @03=51817171CCC9D138E8 + 34706.50ns INFO [00034708] Port=0 RD @05 + 34706.50ns INFO [00034708] Port=1 RD @04 + 34707.50ns INFO [00034709] * RD COMPARE * port=1 adr=01 act=2AA8A392711F57A292 exp=2AA8A392711F57A292 + 34707.50ns INFO [00034709] Port=0 WR @01=33425568BA7CD10111 + 34707.50ns INFO [00034709] Port=0 RD @03 + 34708.50ns INFO [00034710] * RD COMPARE * port=0 adr=05 act=8484FF779B7192A2A9 exp=8484FF779B7192A2A9 + 34708.50ns INFO [00034710] * RD COMPARE * port=1 adr=04 act=5D0FC31D0A2C9F51EC exp=5D0FC31D0A2C9F51EC + 34708.50ns INFO [00034710] Port=0 WR @07=98004672CA5F8FE825 + 34708.50ns INFO [00034710] Port=0 RD @01 + 34709.50ns INFO [00034711] * RD COMPARE * port=0 adr=03 act=51817171CCC9D138E8 exp=51817171CCC9D138E8 + 34709.50ns INFO [00034711] Port=0 RD @06 + 34709.50ns INFO [00034711] Port=1 RD @01 + 34710.50ns INFO [00034712] * RD COMPARE * port=0 adr=01 act=33425568BA7CD10111 exp=33425568BA7CD10111 + 34710.50ns INFO [00034712] Port=0 WR @01=52ABFA310DF592DB1A + 34710.50ns INFO [00034712] Port=0 RD @03 + 34711.50ns INFO [00034713] * RD COMPARE * port=0 adr=06 act=D1395F3BCC4F61B4F4 exp=D1395F3BCC4F61B4F4 + 34711.50ns INFO [00034713] * RD COMPARE * port=1 adr=01 act=33425568BA7CD10111 exp=33425568BA7CD10111 + 34711.50ns INFO [00034713] Port=0 WR @00=BB99A3595F6DFC194C + 34711.50ns INFO [00034713] Port=0 RD @04 + 34711.50ns INFO [00034713] Port=1 RD @03 + 34712.50ns INFO [00034714] * RD COMPARE * port=0 adr=03 act=51817171CCC9D138E8 exp=51817171CCC9D138E8 + 34713.50ns INFO [00034715] * RD COMPARE * port=0 adr=04 act=5D0FC31D0A2C9F51EC exp=5D0FC31D0A2C9F51EC + 34713.50ns INFO [00034715] * RD COMPARE * port=1 adr=03 act=51817171CCC9D138E8 exp=51817171CCC9D138E8 + 34713.50ns INFO [00034715] Port=0 WR @02=AA7139552B9EC74B37 + 34713.50ns INFO [00034715] Port=1 RD @03 + 34714.50ns INFO [00034716] Port=0 RD @03 + 34715.50ns INFO [00034717] * RD COMPARE * port=1 adr=03 act=51817171CCC9D138E8 exp=51817171CCC9D138E8 + 34715.50ns INFO [00034717] Port=1 RD @04 + 34716.50ns INFO [00034718] * RD COMPARE * port=0 adr=03 act=51817171CCC9D138E8 exp=51817171CCC9D138E8 + 34716.50ns INFO [00034718] Port=0 WR @03=28A6C22C0593710053 + 34716.50ns INFO [00034718] Port=0 RD @05 + 34716.50ns INFO [00034718] Port=1 RD @04 + 34717.50ns INFO [00034719] * RD COMPARE * port=1 adr=04 act=5D0FC31D0A2C9F51EC exp=5D0FC31D0A2C9F51EC + 34717.50ns INFO [00034719] Port=0 WR @01=BC7F1E2E1B41D0AC00 + 34717.50ns INFO [00034719] Port=1 RD @04 + 34718.50ns INFO [00034720] * RD COMPARE * port=0 adr=05 act=8484FF779B7192A2A9 exp=8484FF779B7192A2A9 + 34718.50ns INFO [00034720] * RD COMPARE * port=1 adr=04 act=5D0FC31D0A2C9F51EC exp=5D0FC31D0A2C9F51EC + 34719.50ns INFO [00034721] * RD COMPARE * port=1 adr=04 act=5D0FC31D0A2C9F51EC exp=5D0FC31D0A2C9F51EC + 34719.50ns INFO [00034721] Port=0 WR @00=49E5E5E399B1A0550D + 34720.50ns INFO [00034722] Port=0 WR @00=EAD888B3B45AEB2A86 + 34720.50ns INFO [00034722] Port=0 RD @04 + 34721.50ns INFO [00034723] Port=0 WR @06=AED39FF2230A1BFD84 + 34722.50ns INFO [00034724] * RD COMPARE * port=0 adr=04 act=5D0FC31D0A2C9F51EC exp=5D0FC31D0A2C9F51EC + 34722.50ns INFO [00034724] Port=1 RD @00 + 34723.50ns INFO [00034725] Port=0 RD @02 + 34724.50ns INFO [00034726] * RD COMPARE * port=1 adr=00 act=EAD888B3B45AEB2A86 exp=EAD888B3B45AEB2A86 + 34724.50ns INFO [00034726] Port=0 RD @05 + 34725.50ns INFO [00034727] * RD COMPARE * port=0 adr=02 act=AA7139552B9EC74B37 exp=AA7139552B9EC74B37 + 34725.50ns INFO [00034727] Port=0 RD @03 + 34726.50ns INFO [00034728] * RD COMPARE * port=0 adr=05 act=8484FF779B7192A2A9 exp=8484FF779B7192A2A9 + 34726.50ns INFO [00034728] Port=0 WR @04=22AA8F413196247202 + 34726.50ns INFO [00034728] Port=0 RD @06 + 34727.50ns INFO [00034729] * RD COMPARE * port=0 adr=03 act=28A6C22C0593710053 exp=28A6C22C0593710053 + 34727.50ns INFO [00034729] Port=0 WR @06=EA1B5149984091397A + 34728.50ns INFO [00034730] * RD COMPARE * port=0 adr=06 act=AED39FF2230A1BFD84 exp=AED39FF2230A1BFD84 + 34729.50ns INFO [00034731] Port=0 WR @03=7F20FDF99028640E82 + 34729.50ns INFO [00034731] Port=1 RD @07 + 34730.50ns INFO [00034732] Port=0 WR @07=0D2CAA267B3D7E1F03 + 34730.50ns INFO [00034732] Port=0 RD @02 + 34730.50ns INFO [00034732] Port=1 RD @06 + 34731.50ns INFO [00034733] * RD COMPARE * port=1 adr=07 act=98004672CA5F8FE825 exp=98004672CA5F8FE825 + 34732.50ns INFO [00034734] * RD COMPARE * port=0 adr=02 act=AA7139552B9EC74B37 exp=AA7139552B9EC74B37 + 34732.50ns INFO [00034734] * RD COMPARE * port=1 adr=06 act=EA1B5149984091397A exp=EA1B5149984091397A + 34733.50ns INFO [00034735] Port=0 WR @02=DE79061570F8B05861 + 34733.50ns INFO [00034735] Port=1 RD @07 + 34734.50ns INFO [00034736] Port=0 WR @07=5FD269ECCD75FCDDF2 + 34734.50ns INFO [00034736] Port=0 RD @00 + 34734.50ns INFO [00034736] Port=1 RD @02 + 34735.50ns INFO [00034737] * RD COMPARE * port=1 adr=07 act=0D2CAA267B3D7E1F03 exp=0D2CAA267B3D7E1F03 + 34735.50ns INFO [00034737] Port=0 WR @06=FE80E54ED519814D18 + 34735.50ns INFO [00034737] Port=1 RD @02 + 34736.50ns INFO [00034738] * RD COMPARE * port=0 adr=00 act=EAD888B3B45AEB2A86 exp=EAD888B3B45AEB2A86 + 34736.50ns INFO [00034738] * RD COMPARE * port=1 adr=02 act=DE79061570F8B05861 exp=DE79061570F8B05861 + 34736.50ns INFO [00034738] Port=0 WR @01=CB6B84F04E190F016A + 34736.50ns INFO [00034738] Port=0 RD @05 + 34737.50ns INFO [00034739] * RD COMPARE * port=1 adr=02 act=DE79061570F8B05861 exp=DE79061570F8B05861 + 34737.50ns INFO [00034739] Port=0 WR @06=D179FFB1377E675400 + 34738.50ns INFO [00034740] * RD COMPARE * port=0 adr=05 act=8484FF779B7192A2A9 exp=8484FF779B7192A2A9 + 34738.50ns INFO [00034740] Port=0 WR @01=FD890F7327113EB3C4 + 34740.50ns INFO [00034742] Port=0 RD @04 + 34740.50ns INFO [00034742] Port=1 RD @05 + 34741.50ns INFO [00034743] Port=0 WR @02=B6A44E24F6E96A9F9F + 34742.50ns INFO [00034744] * RD COMPARE * port=0 adr=04 act=22AA8F413196247202 exp=22AA8F413196247202 + 34742.50ns INFO [00034744] * RD COMPARE * port=1 adr=05 act=8484FF779B7192A2A9 exp=8484FF779B7192A2A9 + 34742.50ns INFO [00034744] Port=0 WR @01=12374F37DB8B696162 + 34742.50ns INFO [00034744] Port=0 RD @06 + 34743.50ns INFO [00034745] Port=1 RD @06 + 34744.50ns INFO [00034746] * RD COMPARE * port=0 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34745.50ns INFO [00034747] * RD COMPARE * port=1 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34745.50ns INFO [00034747] Port=0 WR @05=FD22763D89259FAAE4 + 34745.50ns INFO [00034747] Port=1 RD @01 + 34747.50ns INFO [00034749] * RD COMPARE * port=1 adr=01 act=12374F37DB8B696162 exp=12374F37DB8B696162 + 34747.50ns INFO [00034749] Port=0 RD @05 + 34748.50ns INFO [00034750] Port=1 RD @02 + 34749.50ns INFO [00034751] * RD COMPARE * port=0 adr=05 act=FD22763D89259FAAE4 exp=FD22763D89259FAAE4 + 34749.50ns INFO [00034751] Port=0 WR @05=FB9B4F89382E7B012D + 34749.50ns INFO [00034751] Port=1 RD @07 + 34750.50ns INFO [00034752] * RD COMPARE * port=1 adr=02 act=B6A44E24F6E96A9F9F exp=B6A44E24F6E96A9F9F + 34750.50ns INFO [00034752] Port=0 WR @00=3FFCE5DAA2754738C8 + 34750.50ns INFO [00034752] Port=1 RD @02 + 34751.50ns INFO [00034753] * RD COMPARE * port=1 adr=07 act=5FD269ECCD75FCDDF2 exp=5FD269ECCD75FCDDF2 + 34751.50ns INFO [00034753] Port=0 RD @06 + 34752.50ns INFO [00034754] * RD COMPARE * port=1 adr=02 act=B6A44E24F6E96A9F9F exp=B6A44E24F6E96A9F9F + 34752.50ns INFO [00034754] Port=0 WR @00=E6CFFBFD7CB163CA00 + 34753.50ns INFO [00034755] * RD COMPARE * port=0 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34753.50ns INFO [00034755] Port=0 WR @02=7E3BD6322332088A29 + 34753.50ns INFO [00034755] Port=1 RD @03 + 34755.50ns INFO [00034757] * RD COMPARE * port=1 adr=03 act=7F20FDF99028640E82 exp=7F20FDF99028640E82 + 34755.50ns INFO [00034757] Port=0 RD @00 + 34757.50ns INFO [00034759] * RD COMPARE * port=0 adr=00 act=E6CFFBFD7CB163CA00 exp=E6CFFBFD7CB163CA00 + 34758.50ns INFO [00034760] Port=0 WR @05=265DE103858D331950 + 34758.50ns INFO [00034760] Port=0 RD @01 + 34759.50ns INFO [00034761] Port=0 RD @01 + 34759.50ns INFO [00034761] Port=1 RD @01 + 34760.50ns INFO [00034762] * RD COMPARE * port=0 adr=01 act=12374F37DB8B696162 exp=12374F37DB8B696162 + 34760.50ns INFO [00034762] Port=1 RD @04 + 34761.50ns INFO [00034763] * RD COMPARE * port=0 adr=01 act=12374F37DB8B696162 exp=12374F37DB8B696162 + 34761.50ns INFO [00034763] * RD COMPARE * port=1 adr=01 act=12374F37DB8B696162 exp=12374F37DB8B696162 + 34761.50ns INFO [00034763] Port=0 WR @05=D31C7E29CF6DF4CFFC + 34762.50ns INFO [00034764] * RD COMPARE * port=1 adr=04 act=22AA8F413196247202 exp=22AA8F413196247202 + 34762.50ns INFO [00034764] Port=0 RD @06 + 34763.50ns INFO [00034765] Port=1 RD @06 + 34764.50ns INFO [00034766] * RD COMPARE * port=0 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34764.50ns INFO [00034766] Port=0 WR @01=1BA570C1FF72716E97 + 34764.50ns INFO [00034766] Port=1 RD @07 + 34765.50ns INFO [00034767] * RD COMPARE * port=1 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34765.50ns INFO [00034767] Port=0 WR @05=0BFA870971341CD260 + 34765.50ns INFO [00034767] Port=1 RD @06 + 34766.50ns INFO [00034768] * RD COMPARE * port=1 adr=07 act=5FD269ECCD75FCDDF2 exp=5FD269ECCD75FCDDF2 + 34766.50ns INFO [00034768] Port=0 RD @04 + 34766.50ns INFO [00034768] Port=1 RD @02 + 34767.50ns INFO [00034769] * RD COMPARE * port=1 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34767.50ns INFO [00034769] Port=0 RD @07 + 34767.50ns INFO [00034769] Port=1 RD @01 + 34768.50ns INFO [00034770] * RD COMPARE * port=0 adr=04 act=22AA8F413196247202 exp=22AA8F413196247202 + 34768.50ns INFO [00034770] * RD COMPARE * port=1 adr=02 act=7E3BD6322332088A29 exp=7E3BD6322332088A29 + 34768.50ns INFO [00034770] Port=0 WR @00=8E383555ED21F1D4AA + 34768.50ns INFO [00034770] Port=0 RD @06 + 34769.50ns INFO [00034771] * RD COMPARE * port=0 adr=07 act=5FD269ECCD75FCDDF2 exp=5FD269ECCD75FCDDF2 + 34769.50ns INFO [00034771] * RD COMPARE * port=1 adr=01 act=1BA570C1FF72716E97 exp=1BA570C1FF72716E97 + 34769.50ns INFO [00034771] Port=0 WR @01=647C45863688238109 + 34769.50ns INFO [00034771] Port=0 RD @06 + 34769.50ns INFO [00034771] Port=1 RD @06 + 34770.50ns INFO [00034772] * RD COMPARE * port=0 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34770.50ns INFO [00034772] Port=0 RD @06 + 34770.50ns INFO [00034772] Port=1 RD @05 + 34771.50ns INFO [00034773] * RD COMPARE * port=0 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34771.50ns INFO [00034773] * RD COMPARE * port=1 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34771.50ns INFO [00034773] Port=0 RD @04 + 34772.50ns INFO [00034774] * RD COMPARE * port=0 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34772.50ns INFO [00034774] * RD COMPARE * port=1 adr=05 act=0BFA870971341CD260 exp=0BFA870971341CD260 + 34772.50ns INFO [00034774] Port=1 RD @01 + 34773.50ns INFO [00034775] * RD COMPARE * port=0 adr=04 act=22AA8F413196247202 exp=22AA8F413196247202 + 34773.50ns INFO [00034775] Port=0 WR @00=177D2C307D556702FA + 34774.50ns INFO [00034776] * RD COMPARE * port=1 adr=01 act=647C45863688238109 exp=647C45863688238109 + 34774.50ns INFO [00034776] Port=0 RD @01 + 34775.50ns INFO [00034777] Port=0 RD @06 + 34775.50ns INFO [00034777] Port=1 RD @02 + 34776.50ns INFO [00034778] * RD COMPARE * port=0 adr=01 act=647C45863688238109 exp=647C45863688238109 + 34776.50ns INFO [00034778] Port=0 WR @07=130400CC3676C81264 + 34776.50ns INFO [00034778] Port=1 RD @06 + 34777.50ns INFO [00034779] * RD COMPARE * port=0 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34777.50ns INFO [00034779] * RD COMPARE * port=1 adr=02 act=7E3BD6322332088A29 exp=7E3BD6322332088A29 + 34777.50ns INFO [00034779] Port=0 WR @00=548576E07CD2A513E5 + 34777.50ns INFO [00034779] Port=0 RD @01 + 34778.50ns INFO [00034780] * RD COMPARE * port=1 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34779.50ns INFO [00034781] * RD COMPARE * port=0 adr=01 act=647C45863688238109 exp=647C45863688238109 + 34779.50ns INFO [00034781] Port=0 RD @00 + 34779.50ns INFO [00034781] Port=1 RD @03 + 34780.50ns INFO [00034782] Port=0 WR @02=656439C4676BA0D78F + 34781.50ns INFO [00034783] * RD COMPARE * port=0 adr=00 act=548576E07CD2A513E5 exp=548576E07CD2A513E5 + 34781.50ns INFO [00034783] * RD COMPARE * port=1 adr=03 act=7F20FDF99028640E82 exp=7F20FDF99028640E82 + 34782.50ns INFO [00034784] Port=0 WR @07=E7ECA07F25016162CB + 34782.50ns INFO [00034784] Port=0 RD @04 + 34784.50ns INFO [00034786] * RD COMPARE * port=0 adr=04 act=22AA8F413196247202 exp=22AA8F413196247202 + 34787.50ns INFO [00034789] Port=0 WR @03=0190138184DE714FB2 + 34788.50ns INFO [00034790] Port=0 WR @03=D7F6524739B3B8E738 + 34789.50ns INFO [00034791] Port=1 RD @02 + 34790.50ns INFO [00034792] Port=0 WR @00=D6CBD66CE94A5DB258 + 34790.50ns INFO [00034792] Port=0 RD @04 + 34791.50ns INFO [00034793] * RD COMPARE * port=1 adr=02 act=656439C4676BA0D78F exp=656439C4676BA0D78F + 34791.50ns INFO [00034793] Port=0 RD @01 + 34791.50ns INFO [00034793] Port=1 RD @03 + 34792.50ns INFO [00034794] * RD COMPARE * port=0 adr=04 act=22AA8F413196247202 exp=22AA8F413196247202 + 34792.50ns INFO [00034794] Port=0 RD @01 + 34792.50ns INFO [00034794] Port=1 RD @07 + 34793.50ns INFO [00034795] * RD COMPARE * port=0 adr=01 act=647C45863688238109 exp=647C45863688238109 + 34793.50ns INFO [00034795] * RD COMPARE * port=1 adr=03 act=D7F6524739B3B8E738 exp=D7F6524739B3B8E738 + 34793.50ns INFO [00034795] Port=1 RD @04 + 34794.50ns INFO [00034796] * RD COMPARE * port=0 adr=01 act=647C45863688238109 exp=647C45863688238109 + 34794.50ns INFO [00034796] * RD COMPARE * port=1 adr=07 act=E7ECA07F25016162CB exp=E7ECA07F25016162CB + 34795.50ns INFO [00034797] * RD COMPARE * port=1 adr=04 act=22AA8F413196247202 exp=22AA8F413196247202 + 34795.50ns INFO [00034797] Port=0 WR @01=0B83F5B108CF543260 + 34795.50ns INFO [00034797] Port=0 RD @06 + 34796.50ns INFO [00034798] Port=0 WR @05=E49BB586D289CC7F9A + 34796.50ns INFO [00034798] Port=0 RD @07 + 34797.50ns INFO [00034799] * RD COMPARE * port=0 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34797.50ns INFO [00034799] Port=0 WR @05=B2D20BD0F74FD654C6 + 34797.50ns INFO [00034799] Port=0 RD @07 + 34797.50ns INFO [00034799] Port=1 RD @01 + 34798.00ns INFO [00034800] [00034800] ...tick... + 34798.50ns INFO [00034800] * RD COMPARE * port=0 adr=07 act=E7ECA07F25016162CB exp=E7ECA07F25016162CB + 34799.50ns INFO [00034801] * RD COMPARE * port=0 adr=07 act=E7ECA07F25016162CB exp=E7ECA07F25016162CB + 34799.50ns INFO [00034801] * RD COMPARE * port=1 adr=01 act=0B83F5B108CF543260 exp=0B83F5B108CF543260 + 34800.50ns INFO [00034802] Port=0 RD @05 + 34801.50ns INFO [00034803] Port=0 WR @07=A00918F6083E699ED3 + 34801.50ns INFO [00034803] Port=0 RD @01 + 34801.50ns INFO [00034803] Port=1 RD @06 + 34802.50ns INFO [00034804] * RD COMPARE * port=0 adr=05 act=B2D20BD0F74FD654C6 exp=B2D20BD0F74FD654C6 + 34802.50ns INFO [00034804] Port=0 RD @07 + 34802.50ns INFO [00034804] Port=1 RD @01 + 34803.50ns INFO [00034805] * RD COMPARE * port=0 adr=01 act=0B83F5B108CF543260 exp=0B83F5B108CF543260 + 34803.50ns INFO [00034805] * RD COMPARE * port=1 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34803.50ns INFO [00034805] Port=1 RD @06 + 34804.50ns INFO [00034806] * RD COMPARE * port=0 adr=07 act=A00918F6083E699ED3 exp=A00918F6083E699ED3 + 34804.50ns INFO [00034806] * RD COMPARE * port=1 adr=01 act=0B83F5B108CF543260 exp=0B83F5B108CF543260 + 34805.50ns INFO [00034807] * RD COMPARE * port=1 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34806.50ns INFO [00034808] Port=1 RD @03 + 34808.50ns INFO [00034810] * RD COMPARE * port=1 adr=03 act=D7F6524739B3B8E738 exp=D7F6524739B3B8E738 + 34809.50ns INFO [00034811] Port=0 WR @01=4AE4CE0DE3651BF097 + 34809.50ns INFO [00034811] Port=0 RD @02 + 34809.50ns INFO [00034811] Port=1 RD @07 + 34810.50ns INFO [00034812] Port=0 RD @00 + 34811.50ns INFO [00034813] * RD COMPARE * port=0 adr=02 act=656439C4676BA0D78F exp=656439C4676BA0D78F + 34811.50ns INFO [00034813] * RD COMPARE * port=1 adr=07 act=A00918F6083E699ED3 exp=A00918F6083E699ED3 + 34811.50ns INFO [00034813] Port=0 RD @01 + 34811.50ns INFO [00034813] Port=1 RD @07 + 34812.50ns INFO [00034814] * RD COMPARE * port=0 adr=00 act=D6CBD66CE94A5DB258 exp=D6CBD66CE94A5DB258 + 34812.50ns INFO [00034814] Port=0 WR @00=09B0AE62355716FB64 + 34812.50ns INFO [00034814] Port=0 RD @02 + 34812.50ns INFO [00034814] Port=1 RD @06 + 34813.50ns INFO [00034815] * RD COMPARE * port=0 adr=01 act=4AE4CE0DE3651BF097 exp=4AE4CE0DE3651BF097 + 34813.50ns INFO [00034815] * RD COMPARE * port=1 adr=07 act=A00918F6083E699ED3 exp=A00918F6083E699ED3 + 34813.50ns INFO [00034815] Port=0 WR @05=EB533ACD3264797CA9 + 34813.50ns INFO [00034815] Port=0 RD @07 + 34813.50ns INFO [00034815] Port=1 RD @04 + 34814.50ns INFO [00034816] * RD COMPARE * port=0 adr=02 act=656439C4676BA0D78F exp=656439C4676BA0D78F + 34814.50ns INFO [00034816] * RD COMPARE * port=1 adr=06 act=D179FFB1377E675400 exp=D179FFB1377E675400 + 34814.50ns INFO [00034816] Port=0 WR @04=51F2935F3FCDE4A38F + 34814.50ns INFO [00034816] Port=0 RD @01 + 34814.50ns INFO [00034816] Port=1 RD @03 + 34815.50ns INFO [00034817] * RD COMPARE * port=0 adr=07 act=A00918F6083E699ED3 exp=A00918F6083E699ED3 + 34815.50ns INFO [00034817] * RD COMPARE * port=1 adr=04 act=22AA8F413196247202 exp=22AA8F413196247202 + 34815.50ns INFO [00034817] Port=1 RD @05 + 34816.50ns INFO [00034818] * RD COMPARE * port=0 adr=01 act=4AE4CE0DE3651BF097 exp=4AE4CE0DE3651BF097 + 34816.50ns INFO [00034818] * RD COMPARE * port=1 adr=03 act=D7F6524739B3B8E738 exp=D7F6524739B3B8E738 + 34816.50ns INFO [00034818] Port=0 WR @04=6D141CED67C30760F4 + 34817.50ns INFO [00034819] * RD COMPARE * port=1 adr=05 act=EB533ACD3264797CA9 exp=EB533ACD3264797CA9 + 34817.50ns INFO [00034819] Port=0 RD @07 + 34818.50ns INFO [00034820] Port=0 WR @06=D669C03876A7950D13 + 34818.50ns INFO [00034820] Port=0 RD @01 + 34818.50ns INFO [00034820] Port=1 RD @05 + 34819.50ns INFO [00034821] * RD COMPARE * port=0 adr=07 act=A00918F6083E699ED3 exp=A00918F6083E699ED3 + 34820.50ns INFO [00034822] * RD COMPARE * port=0 adr=01 act=4AE4CE0DE3651BF097 exp=4AE4CE0DE3651BF097 + 34820.50ns INFO [00034822] * RD COMPARE * port=1 adr=05 act=EB533ACD3264797CA9 exp=EB533ACD3264797CA9 + 34820.50ns INFO [00034822] Port=1 RD @06 + 34821.50ns INFO [00034823] Port=0 WR @01=CEE92BEA67BD77EB09 + 34821.50ns INFO [00034823] Port=0 RD @04 + 34821.50ns INFO [00034823] Port=1 RD @05 + 34822.50ns INFO [00034824] * RD COMPARE * port=1 adr=06 act=D669C03876A7950D13 exp=D669C03876A7950D13 + 34822.50ns INFO [00034824] Port=0 WR @07=3A7C84E8323B7F1E95 + 34823.50ns INFO [00034825] * RD COMPARE * port=0 adr=04 act=6D141CED67C30760F4 exp=6D141CED67C30760F4 + 34823.50ns INFO [00034825] * RD COMPARE * port=1 adr=05 act=EB533ACD3264797CA9 exp=EB533ACD3264797CA9 + 34824.50ns INFO [00034826] Port=0 RD @02 + 34825.50ns INFO [00034827] Port=0 WR @01=BC6DD4A94F0C190AB7 + 34826.50ns INFO [00034828] * RD COMPARE * port=0 adr=02 act=656439C4676BA0D78F exp=656439C4676BA0D78F + 34826.50ns INFO [00034828] Port=1 RD @00 + 34827.50ns INFO [00034829] Port=0 RD @01 + 34827.50ns INFO [00034829] Port=1 RD @01 + 34828.50ns INFO [00034830] * RD COMPARE * port=1 adr=00 act=09B0AE62355716FB64 exp=09B0AE62355716FB64 + 34829.50ns INFO [00034831] * RD COMPARE * port=0 adr=01 act=BC6DD4A94F0C190AB7 exp=BC6DD4A94F0C190AB7 + 34829.50ns INFO [00034831] * RD COMPARE * port=1 adr=01 act=BC6DD4A94F0C190AB7 exp=BC6DD4A94F0C190AB7 + 34830.50ns INFO [00034832] Port=0 WR @06=A7F678C7BD2417D620 + 34830.50ns INFO [00034832] Port=0 RD @05 + 34831.50ns INFO [00034833] Port=0 WR @02=3F19EA982DDC712EF6 + 34832.50ns INFO [00034834] * RD COMPARE * port=0 adr=05 act=EB533ACD3264797CA9 exp=EB533ACD3264797CA9 + 34832.50ns INFO [00034834] Port=0 WR @03=1071B784245DBD74FF + 34832.50ns INFO [00034834] Port=1 RD @01 + 34834.50ns INFO [00034836] * RD COMPARE * port=1 adr=01 act=BC6DD4A94F0C190AB7 exp=BC6DD4A94F0C190AB7 + 34835.50ns INFO [00034837] Port=0 WR @07=580FEE5C41045FEC6B + 34835.50ns INFO [00034837] Port=0 RD @01 + 34836.50ns INFO [00034838] Port=1 RD @07 + 34837.50ns INFO [00034839] * RD COMPARE * port=0 adr=01 act=BC6DD4A94F0C190AB7 exp=BC6DD4A94F0C190AB7 + 34837.50ns INFO [00034839] Port=0 RD @04 + 34838.50ns INFO [00034840] * RD COMPARE * port=1 adr=07 act=580FEE5C41045FEC6B exp=580FEE5C41045FEC6B + 34838.50ns INFO [00034840] Port=0 WR @01=AC82D57F21985BA790 + 34838.50ns INFO [00034840] Port=1 RD @05 + 34839.50ns INFO [00034841] * RD COMPARE * port=0 adr=04 act=6D141CED67C30760F4 exp=6D141CED67C30760F4 + 34840.50ns INFO [00034842] * RD COMPARE * port=1 adr=05 act=EB533ACD3264797CA9 exp=EB533ACD3264797CA9 + 34841.50ns INFO [00034843] Port=1 RD @02 + 34842.50ns INFO [00034844] Port=0 WR @00=F0C02CBB00B01E101A + 34843.50ns INFO [00034845] * RD COMPARE * port=1 adr=02 act=3F19EA982DDC712EF6 exp=3F19EA982DDC712EF6 + 34843.50ns INFO [00034845] Port=1 RD @04 + 34844.50ns INFO [00034846] Port=0 RD @04 + 34845.50ns INFO [00034847] * RD COMPARE * port=1 adr=04 act=6D141CED67C30760F4 exp=6D141CED67C30760F4 + 34845.50ns INFO [00034847] Port=0 WR @02=0648BBF3B14F8FB915 + 34845.50ns INFO [00034847] Port=0 RD @03 + 34845.50ns INFO [00034847] Port=1 RD @01 + 34846.50ns INFO [00034848] * RD COMPARE * port=0 adr=04 act=6D141CED67C30760F4 exp=6D141CED67C30760F4 + 34846.50ns INFO [00034848] Port=0 WR @05=77C65E11F19931D968 + 34847.50ns INFO [00034849] * RD COMPARE * port=0 adr=03 act=1071B784245DBD74FF exp=1071B784245DBD74FF + 34847.50ns INFO [00034849] * RD COMPARE * port=1 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34848.50ns INFO [00034850] Port=1 RD @00 + 34849.50ns INFO [00034851] Port=0 WR @07=8427EA4EBA035A2491 + 34849.50ns INFO [00034851] Port=0 RD @00 + 34849.50ns INFO [00034851] Port=1 RD @01 + 34850.50ns INFO [00034852] * RD COMPARE * port=1 adr=00 act=F0C02CBB00B01E101A exp=F0C02CBB00B01E101A + 34850.50ns INFO [00034852] Port=0 RD @06 + 34851.50ns INFO [00034853] * RD COMPARE * port=0 adr=00 act=F0C02CBB00B01E101A exp=F0C02CBB00B01E101A + 34851.50ns INFO [00034853] * RD COMPARE * port=1 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34851.50ns INFO [00034853] Port=0 WR @00=08404C293173C8DEE6 + 34851.50ns INFO [00034853] Port=0 RD @02 + 34851.50ns INFO [00034853] Port=1 RD @02 + 34852.50ns INFO [00034854] * RD COMPARE * port=0 adr=06 act=A7F678C7BD2417D620 exp=A7F678C7BD2417D620 + 34852.50ns INFO [00034854] Port=0 RD @04 + 34852.50ns INFO [00034854] Port=1 RD @04 + 34853.50ns INFO [00034855] * RD COMPARE * port=0 adr=02 act=0648BBF3B14F8FB915 exp=0648BBF3B14F8FB915 + 34853.50ns INFO [00034855] * RD COMPARE * port=1 adr=02 act=0648BBF3B14F8FB915 exp=0648BBF3B14F8FB915 + 34853.50ns INFO [00034855] Port=0 WR @06=DFA23A7408EEB4D315 + 34853.50ns INFO [00034855] Port=1 RD @03 + 34854.50ns INFO [00034856] * RD COMPARE * port=0 adr=04 act=6D141CED67C30760F4 exp=6D141CED67C30760F4 + 34854.50ns INFO [00034856] * RD COMPARE * port=1 adr=04 act=6D141CED67C30760F4 exp=6D141CED67C30760F4 + 34854.50ns INFO [00034856] Port=0 WR @05=E2F78D44887BB102BD + 34855.50ns INFO [00034857] * RD COMPARE * port=1 adr=03 act=1071B784245DBD74FF exp=1071B784245DBD74FF + 34855.50ns INFO [00034857] Port=0 RD @07 + 34856.50ns INFO [00034858] Port=0 RD @06 + 34857.50ns INFO [00034859] * RD COMPARE * port=0 adr=07 act=8427EA4EBA035A2491 exp=8427EA4EBA035A2491 + 34857.50ns INFO [00034859] Port=0 RD @00 + 34858.50ns INFO [00034860] * RD COMPARE * port=0 adr=06 act=DFA23A7408EEB4D315 exp=DFA23A7408EEB4D315 + 34858.50ns INFO [00034860] Port=1 RD @00 + 34859.50ns INFO [00034861] * RD COMPARE * port=0 adr=00 act=08404C293173C8DEE6 exp=08404C293173C8DEE6 + 34859.50ns INFO [00034861] Port=0 WR @07=53C97EA9BAA8D18A9A + 34859.50ns INFO [00034861] Port=1 RD @04 + 34860.50ns INFO [00034862] * RD COMPARE * port=1 adr=00 act=08404C293173C8DEE6 exp=08404C293173C8DEE6 + 34860.50ns INFO [00034862] Port=0 WR @05=34325FE8BEA76F9467 + 34861.50ns INFO [00034863] * RD COMPARE * port=1 adr=04 act=6D141CED67C30760F4 exp=6D141CED67C30760F4 + 34861.50ns INFO [00034863] Port=0 WR @04=279814D5F4F67E36D0 + 34862.50ns INFO [00034864] Port=0 WR @02=15B9EB6FEF9BE4EDBE + 34862.50ns INFO [00034864] Port=0 RD @06 + 34863.50ns INFO [00034865] Port=1 RD @07 + 34864.50ns INFO [00034866] * RD COMPARE * port=0 adr=06 act=DFA23A7408EEB4D315 exp=DFA23A7408EEB4D315 + 34864.50ns INFO [00034866] Port=0 RD @00 + 34865.50ns INFO [00034867] * RD COMPARE * port=1 adr=07 act=53C97EA9BAA8D18A9A exp=53C97EA9BAA8D18A9A + 34866.50ns INFO [00034868] * RD COMPARE * port=0 adr=00 act=08404C293173C8DEE6 exp=08404C293173C8DEE6 + 34866.50ns INFO [00034868] Port=0 RD @07 + 34866.50ns INFO [00034868] Port=1 RD @07 + 34867.50ns INFO [00034869] Port=1 RD @05 + 34868.50ns INFO [00034870] * RD COMPARE * port=0 adr=07 act=53C97EA9BAA8D18A9A exp=53C97EA9BAA8D18A9A + 34868.50ns INFO [00034870] * RD COMPARE * port=1 adr=07 act=53C97EA9BAA8D18A9A exp=53C97EA9BAA8D18A9A + 34869.50ns INFO [00034871] * RD COMPARE * port=1 adr=05 act=34325FE8BEA76F9467 exp=34325FE8BEA76F9467 + 34869.50ns INFO [00034871] Port=0 WR @04=E84A39B30E60961608 + 34869.50ns INFO [00034871] Port=0 RD @05 + 34870.50ns INFO [00034872] Port=0 WR @07=A360E3ED41E338CC72 + 34870.50ns INFO [00034872] Port=0 RD @03 + 34871.50ns INFO [00034873] * RD COMPARE * port=0 adr=05 act=34325FE8BEA76F9467 exp=34325FE8BEA76F9467 + 34872.50ns INFO [00034874] * RD COMPARE * port=0 adr=03 act=1071B784245DBD74FF exp=1071B784245DBD74FF + 34873.50ns INFO [00034875] Port=1 RD @07 + 34875.50ns INFO [00034877] * RD COMPARE * port=1 adr=07 act=A360E3ED41E338CC72 exp=A360E3ED41E338CC72 + 34876.50ns INFO [00034878] Port=0 WR @05=C1F4CDEB55870BFA60 + 34876.50ns INFO [00034878] Port=0 RD @01 + 34876.50ns INFO [00034878] Port=1 RD @07 + 34877.50ns INFO [00034879] Port=1 RD @02 + 34878.50ns INFO [00034880] * RD COMPARE * port=0 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34878.50ns INFO [00034880] * RD COMPARE * port=1 adr=07 act=A360E3ED41E338CC72 exp=A360E3ED41E338CC72 + 34878.50ns INFO [00034880] Port=1 RD @06 + 34879.50ns INFO [00034881] * RD COMPARE * port=1 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34879.50ns INFO [00034881] Port=0 RD @03 + 34880.50ns INFO [00034882] * RD COMPARE * port=1 adr=06 act=DFA23A7408EEB4D315 exp=DFA23A7408EEB4D315 + 34881.50ns INFO [00034883] * RD COMPARE * port=0 adr=03 act=1071B784245DBD74FF exp=1071B784245DBD74FF + 34881.50ns INFO [00034883] Port=0 RD @05 + 34881.50ns INFO [00034883] Port=1 RD @07 + 34882.50ns INFO [00034884] Port=0 RD @03 + 34882.50ns INFO [00034884] Port=1 RD @07 + 34883.50ns INFO [00034885] * RD COMPARE * port=0 adr=05 act=C1F4CDEB55870BFA60 exp=C1F4CDEB55870BFA60 + 34883.50ns INFO [00034885] * RD COMPARE * port=1 adr=07 act=A360E3ED41E338CC72 exp=A360E3ED41E338CC72 + 34883.50ns INFO [00034885] Port=0 WR @07=3066E98917F6ABE9D6 + 34883.50ns INFO [00034885] Port=0 RD @06 + 34884.50ns INFO [00034886] * RD COMPARE * port=0 adr=03 act=1071B784245DBD74FF exp=1071B784245DBD74FF + 34884.50ns INFO [00034886] * RD COMPARE * port=1 adr=07 act=A360E3ED41E338CC72 exp=A360E3ED41E338CC72 + 34884.50ns INFO [00034886] Port=0 RD @01 + 34884.50ns INFO [00034886] Port=1 RD @05 + 34885.50ns INFO [00034887] * RD COMPARE * port=0 adr=06 act=DFA23A7408EEB4D315 exp=DFA23A7408EEB4D315 + 34886.50ns INFO [00034888] * RD COMPARE * port=0 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34886.50ns INFO [00034888] * RD COMPARE * port=1 adr=05 act=C1F4CDEB55870BFA60 exp=C1F4CDEB55870BFA60 + 34886.50ns INFO [00034888] Port=0 WR @05=7F0459791F7E65A5EE + 34886.50ns INFO [00034888] Port=1 RD @04 + 34888.50ns INFO [00034890] * RD COMPARE * port=1 adr=04 act=E84A39B30E60961608 exp=E84A39B30E60961608 + 34888.50ns INFO [00034890] Port=0 RD @06 + 34888.50ns INFO [00034890] Port=1 RD @05 + 34889.50ns INFO [00034891] Port=1 RD @03 + 34890.50ns INFO [00034892] * RD COMPARE * port=0 adr=06 act=DFA23A7408EEB4D315 exp=DFA23A7408EEB4D315 + 34890.50ns INFO [00034892] * RD COMPARE * port=1 adr=05 act=7F0459791F7E65A5EE exp=7F0459791F7E65A5EE + 34891.50ns INFO [00034893] * RD COMPARE * port=1 adr=03 act=1071B784245DBD74FF exp=1071B784245DBD74FF + 34891.50ns INFO [00034893] Port=0 RD @02 + 34893.50ns INFO [00034895] * RD COMPARE * port=0 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34893.50ns INFO [00034895] Port=1 RD @04 + 34895.50ns INFO [00034897] * RD COMPARE * port=1 adr=04 act=E84A39B30E60961608 exp=E84A39B30E60961608 + 34896.50ns INFO [00034898] Port=1 RD @03 + 34897.50ns INFO [00034899] Port=0 WR @03=D078C9C41C14B24202 + 34897.50ns INFO [00034899] Port=0 RD @01 + 34897.50ns INFO [00034899] Port=1 RD @01 + 34898.00ns INFO [00034900] [00034900] ...tick... + 34898.50ns INFO [00034900] * RD COMPARE * port=1 adr=03 act=1071B784245DBD74FF exp=1071B784245DBD74FF + 34898.50ns INFO [00034900] Port=0 RD @05 + 34898.50ns INFO [00034900] Port=1 RD @06 + 34899.50ns INFO [00034901] * RD COMPARE * port=0 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34899.50ns INFO [00034901] * RD COMPARE * port=1 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34899.50ns INFO [00034901] Port=0 WR @06=A13412B91D9CEFE96C + 34900.50ns INFO [00034902] * RD COMPARE * port=0 adr=05 act=7F0459791F7E65A5EE exp=7F0459791F7E65A5EE + 34900.50ns INFO [00034902] * RD COMPARE * port=1 adr=06 act=DFA23A7408EEB4D315 exp=DFA23A7408EEB4D315 + 34900.50ns INFO [00034902] Port=1 RD @05 + 34901.50ns INFO [00034903] Port=1 RD @00 + 34902.50ns INFO [00034904] * RD COMPARE * port=1 adr=05 act=7F0459791F7E65A5EE exp=7F0459791F7E65A5EE + 34902.50ns INFO [00034904] Port=1 RD @00 + 34903.50ns INFO [00034905] * RD COMPARE * port=1 adr=00 act=08404C293173C8DEE6 exp=08404C293173C8DEE6 + 34903.50ns INFO [00034905] Port=0 RD @06 + 34903.50ns INFO [00034905] Port=1 RD @06 + 34904.50ns INFO [00034906] * RD COMPARE * port=1 adr=00 act=08404C293173C8DEE6 exp=08404C293173C8DEE6 + 34904.50ns INFO [00034906] Port=0 RD @02 + 34905.50ns INFO [00034907] * RD COMPARE * port=0 adr=06 act=A13412B91D9CEFE96C exp=A13412B91D9CEFE96C + 34905.50ns INFO [00034907] * RD COMPARE * port=1 adr=06 act=A13412B91D9CEFE96C exp=A13412B91D9CEFE96C + 34905.50ns INFO [00034907] Port=0 RD @05 + 34905.50ns INFO [00034907] Port=1 RD @04 + 34906.50ns INFO [00034908] * RD COMPARE * port=0 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34906.50ns INFO [00034908] Port=0 RD @02 + 34907.50ns INFO [00034909] * RD COMPARE * port=0 adr=05 act=7F0459791F7E65A5EE exp=7F0459791F7E65A5EE + 34907.50ns INFO [00034909] * RD COMPARE * port=1 adr=04 act=E84A39B30E60961608 exp=E84A39B30E60961608 + 34907.50ns INFO [00034909] Port=0 WR @03=E9D97D84C00F79631C + 34907.50ns INFO [00034909] Port=1 RD @01 + 34908.50ns INFO [00034910] * RD COMPARE * port=0 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34908.50ns INFO [00034910] Port=1 RD @04 + 34909.50ns INFO [00034911] * RD COMPARE * port=1 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34910.50ns INFO [00034912] * RD COMPARE * port=1 adr=04 act=E84A39B30E60961608 exp=E84A39B30E60961608 + 34910.50ns INFO [00034912] Port=0 WR @05=579023FCD959B13A47 + 34911.50ns INFO [00034913] Port=0 WR @07=BB00943EE99DD8C800 + 34911.50ns INFO [00034913] Port=0 RD @01 + 34913.50ns INFO [00034915] * RD COMPARE * port=0 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34914.50ns INFO [00034916] Port=0 RD @01 + 34915.50ns INFO [00034917] Port=0 WR @04=537958F7A9F32F3846 + 34915.50ns INFO [00034917] Port=1 RD @00 + 34916.50ns INFO [00034918] * RD COMPARE * port=0 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34916.50ns INFO [00034918] Port=0 WR @07=DC0CF4A337288060B9 + 34917.50ns INFO [00034919] * RD COMPARE * port=1 adr=00 act=08404C293173C8DEE6 exp=08404C293173C8DEE6 + 34918.50ns INFO [00034920] Port=0 RD @06 + 34918.50ns INFO [00034920] Port=1 RD @06 + 34919.50ns INFO [00034921] Port=0 WR @04=C785A32A24F6CC4AD9 + 34919.50ns INFO [00034921] Port=0 RD @05 + 34919.50ns INFO [00034921] Port=1 RD @01 + 34920.50ns INFO [00034922] * RD COMPARE * port=0 adr=06 act=A13412B91D9CEFE96C exp=A13412B91D9CEFE96C + 34920.50ns INFO [00034922] * RD COMPARE * port=1 adr=06 act=A13412B91D9CEFE96C exp=A13412B91D9CEFE96C + 34920.50ns INFO [00034922] Port=0 WR @04=CDEB09AA10D27CBBFF + 34920.50ns INFO [00034922] Port=0 RD @02 + 34920.50ns INFO [00034922] Port=1 RD @05 + 34921.50ns INFO [00034923] * RD COMPARE * port=0 adr=05 act=579023FCD959B13A47 exp=579023FCD959B13A47 + 34921.50ns INFO [00034923] * RD COMPARE * port=1 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34921.50ns INFO [00034923] Port=0 WR @03=86B089EDADE7C0A84B + 34922.50ns INFO [00034924] * RD COMPARE * port=0 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34922.50ns INFO [00034924] * RD COMPARE * port=1 adr=05 act=579023FCD959B13A47 exp=579023FCD959B13A47 + 34922.50ns INFO [00034924] Port=0 WR @04=A6075831EA4D625681 + 34922.50ns INFO [00034924] Port=0 RD @02 + 34923.50ns INFO [00034925] Port=0 RD @06 + 34923.50ns INFO [00034925] Port=1 RD @01 + 34924.50ns INFO [00034926] * RD COMPARE * port=0 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34924.50ns INFO [00034926] Port=0 RD @07 + 34924.50ns INFO [00034926] Port=1 RD @07 + 34925.50ns INFO [00034927] * RD COMPARE * port=0 adr=06 act=A13412B91D9CEFE96C exp=A13412B91D9CEFE96C + 34925.50ns INFO [00034927] * RD COMPARE * port=1 adr=01 act=AC82D57F21985BA790 exp=AC82D57F21985BA790 + 34925.50ns INFO [00034927] Port=0 WR @01=FB23322EB7127B6575 + 34926.50ns INFO [00034928] * RD COMPARE * port=0 adr=07 act=DC0CF4A337288060B9 exp=DC0CF4A337288060B9 + 34926.50ns INFO [00034928] * RD COMPARE * port=1 adr=07 act=DC0CF4A337288060B9 exp=DC0CF4A337288060B9 + 34926.50ns INFO [00034928] Port=1 RD @05 + 34927.50ns INFO [00034929] Port=0 RD @02 + 34927.50ns INFO [00034929] Port=1 RD @02 + 34928.50ns INFO [00034930] * RD COMPARE * port=1 adr=05 act=579023FCD959B13A47 exp=579023FCD959B13A47 + 34929.50ns INFO [00034931] * RD COMPARE * port=0 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34929.50ns INFO [00034931] * RD COMPARE * port=1 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34930.50ns INFO [00034932] Port=0 RD @04 + 34931.50ns INFO [00034933] Port=0 RD @00 + 34932.50ns INFO [00034934] * RD COMPARE * port=0 adr=04 act=A6075831EA4D625681 exp=A6075831EA4D625681 + 34932.50ns INFO [00034934] Port=0 WR @00=B0FE7E72167A8DB1E6 + 34932.50ns INFO [00034934] Port=0 RD @01 + 34932.50ns INFO [00034934] Port=1 RD @03 + 34933.50ns INFO [00034935] * RD COMPARE * port=0 adr=00 act=08404C293173C8DEE6 exp=08404C293173C8DEE6 + 34933.50ns INFO [00034935] Port=0 RD @02 + 34934.50ns INFO [00034936] * RD COMPARE * port=0 adr=01 act=FB23322EB7127B6575 exp=FB23322EB7127B6575 + 34934.50ns INFO [00034936] * RD COMPARE * port=1 adr=03 act=86B089EDADE7C0A84B exp=86B089EDADE7C0A84B + 34934.50ns INFO [00034936] Port=1 RD @07 + 34935.50ns INFO [00034937] * RD COMPARE * port=0 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34936.50ns INFO [00034938] * RD COMPARE * port=1 adr=07 act=DC0CF4A337288060B9 exp=DC0CF4A337288060B9 + 34936.50ns INFO [00034938] Port=0 RD @04 + 34936.50ns INFO [00034938] Port=1 RD @07 + 34937.50ns INFO [00034939] Port=1 RD @01 + 34938.50ns INFO [00034940] * RD COMPARE * port=0 adr=04 act=A6075831EA4D625681 exp=A6075831EA4D625681 + 34938.50ns INFO [00034940] * RD COMPARE * port=1 adr=07 act=DC0CF4A337288060B9 exp=DC0CF4A337288060B9 + 34938.50ns INFO [00034940] Port=1 RD @04 + 34939.50ns INFO [00034941] * RD COMPARE * port=1 adr=01 act=FB23322EB7127B6575 exp=FB23322EB7127B6575 + 34939.50ns INFO [00034941] Port=0 WR @03=6080BC1357395E7AAA + 34939.50ns INFO [00034941] Port=0 RD @07 + 34940.50ns INFO [00034942] * RD COMPARE * port=1 adr=04 act=A6075831EA4D625681 exp=A6075831EA4D625681 + 34941.50ns INFO [00034943] * RD COMPARE * port=0 adr=07 act=DC0CF4A337288060B9 exp=DC0CF4A337288060B9 + 34941.50ns INFO [00034943] Port=0 RD @07 + 34942.50ns INFO [00034944] Port=0 WR @05=F8537660C3EA88C0CC + 34943.50ns INFO [00034945] * RD COMPARE * port=0 adr=07 act=DC0CF4A337288060B9 exp=DC0CF4A337288060B9 + 34943.50ns INFO [00034945] Port=0 WR @07=8064F588A6B4D5FE83 + 34944.50ns INFO [00034946] Port=0 WR @05=8DB30CAA4F8E9005F5 + 34944.50ns INFO [00034946] Port=0 RD @01 + 34945.50ns INFO [00034947] Port=1 RD @06 + 34946.50ns INFO [00034948] * RD COMPARE * port=0 adr=01 act=FB23322EB7127B6575 exp=FB23322EB7127B6575 + 34946.50ns INFO [00034948] Port=0 WR @05=82BB5CEFDB883C888A + 34947.50ns INFO [00034949] * RD COMPARE * port=1 adr=06 act=A13412B91D9CEFE96C exp=A13412B91D9CEFE96C + 34947.50ns INFO [00034949] Port=0 RD @03 + 34947.50ns INFO [00034949] Port=1 RD @03 + 34948.50ns INFO [00034950] Port=0 RD @04 + 34949.50ns INFO [00034951] * RD COMPARE * port=0 adr=03 act=6080BC1357395E7AAA exp=6080BC1357395E7AAA + 34949.50ns INFO [00034951] * RD COMPARE * port=1 adr=03 act=6080BC1357395E7AAA exp=6080BC1357395E7AAA + 34950.50ns INFO [00034952] * RD COMPARE * port=0 adr=04 act=A6075831EA4D625681 exp=A6075831EA4D625681 + 34951.50ns INFO [00034953] Port=1 RD @07 + 34953.50ns INFO [00034955] * RD COMPARE * port=1 adr=07 act=8064F588A6B4D5FE83 exp=8064F588A6B4D5FE83 + 34955.50ns INFO [00034957] Port=0 RD @02 + 34956.50ns INFO [00034958] Port=1 RD @03 + 34957.50ns INFO [00034959] * RD COMPARE * port=0 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34957.50ns INFO [00034959] Port=1 RD @04 + 34958.50ns INFO [00034960] * RD COMPARE * port=1 adr=03 act=6080BC1357395E7AAA exp=6080BC1357395E7AAA + 34959.50ns INFO [00034961] * RD COMPARE * port=1 adr=04 act=A6075831EA4D625681 exp=A6075831EA4D625681 + 34961.50ns INFO [00034963] Port=1 RD @03 + 34962.50ns INFO [00034964] Port=1 RD @02 + 34963.50ns INFO [00034965] * RD COMPARE * port=1 adr=03 act=6080BC1357395E7AAA exp=6080BC1357395E7AAA + 34963.50ns INFO [00034965] Port=1 RD @03 + 34964.50ns INFO [00034966] * RD COMPARE * port=1 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34964.50ns INFO [00034966] Port=0 WR @04=741992C1230BAD7BB2 + 34964.50ns INFO [00034966] Port=0 RD @05 + 34965.50ns INFO [00034967] * RD COMPARE * port=1 adr=03 act=6080BC1357395E7AAA exp=6080BC1357395E7AAA + 34965.50ns INFO [00034967] Port=0 WR @06=5C9DDAEAC6DF69B8C5 + 34966.50ns INFO [00034968] * RD COMPARE * port=0 adr=05 act=82BB5CEFDB883C888A exp=82BB5CEFDB883C888A + 34966.50ns INFO [00034968] Port=0 RD @06 + 34967.50ns INFO [00034969] Port=0 WR @03=465F0677B7D674DEE4 + 34967.50ns INFO [00034969] Port=0 RD @01 + 34968.50ns INFO [00034970] * RD COMPARE * port=0 adr=06 act=5C9DDAEAC6DF69B8C5 exp=5C9DDAEAC6DF69B8C5 + 34968.50ns INFO [00034970] Port=0 RD @07 + 34969.50ns INFO [00034971] * RD COMPARE * port=0 adr=01 act=FB23322EB7127B6575 exp=FB23322EB7127B6575 + 34969.50ns INFO [00034971] Port=0 WR @00=3DAAF59691EBDF09D2 + 34969.50ns INFO [00034971] Port=0 RD @01 + 34970.50ns INFO [00034972] * RD COMPARE * port=0 adr=07 act=8064F588A6B4D5FE83 exp=8064F588A6B4D5FE83 + 34970.50ns INFO [00034972] Port=0 RD @00 + 34971.50ns INFO [00034973] * RD COMPARE * port=0 adr=01 act=FB23322EB7127B6575 exp=FB23322EB7127B6575 + 34971.50ns INFO [00034973] Port=0 RD @07 + 34972.50ns INFO [00034974] * RD COMPARE * port=0 adr=00 act=3DAAF59691EBDF09D2 exp=3DAAF59691EBDF09D2 + 34973.50ns INFO [00034975] * RD COMPARE * port=0 adr=07 act=8064F588A6B4D5FE83 exp=8064F588A6B4D5FE83 + 34973.50ns INFO [00034975] Port=0 WR @05=94633602C7002BC977 + 34974.50ns INFO [00034976] Port=0 WR @00=AE087E9AF7A8C4D59A + 34975.50ns INFO [00034977] Port=1 RD @00 + 34976.50ns INFO [00034978] Port=1 RD @03 + 34977.50ns INFO [00034979] * RD COMPARE * port=1 adr=00 act=AE087E9AF7A8C4D59A exp=AE087E9AF7A8C4D59A + 34977.50ns INFO [00034979] Port=0 WR @03=22BC6CD30453D6F616 + 34978.50ns INFO [00034980] * RD COMPARE * port=1 adr=03 act=465F0677B7D674DEE4 exp=465F0677B7D674DEE4 + 34978.50ns INFO [00034980] Port=0 WR @01=C10567EA072FCB8818 + 34978.50ns INFO [00034980] Port=0 RD @07 + 34978.50ns INFO [00034980] Port=1 RD @02 + 34979.50ns INFO [00034981] Port=0 RD @04 + 34980.50ns INFO [00034982] * RD COMPARE * port=0 adr=07 act=8064F588A6B4D5FE83 exp=8064F588A6B4D5FE83 + 34980.50ns INFO [00034982] * RD COMPARE * port=1 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34981.50ns INFO [00034983] * RD COMPARE * port=0 adr=04 act=741992C1230BAD7BB2 exp=741992C1230BAD7BB2 + 34981.50ns INFO [00034983] Port=0 WR @00=CA0EE2FC4B7F992F5D + 34982.50ns INFO [00034984] Port=0 WR @04=40BD72FFB7287B56D5 + 34983.50ns INFO [00034985] Port=0 RD @00 + 34983.50ns INFO [00034985] Port=1 RD @00 + 34984.50ns INFO [00034986] Port=0 WR @03=0C56B797B983CF10CB + 34985.50ns INFO [00034987] * RD COMPARE * port=0 adr=00 act=CA0EE2FC4B7F992F5D exp=CA0EE2FC4B7F992F5D + 34985.50ns INFO [00034987] * RD COMPARE * port=1 adr=00 act=CA0EE2FC4B7F992F5D exp=CA0EE2FC4B7F992F5D + 34987.50ns INFO [00034989] Port=0 WR @05=DF71E5816BE46A400D + 34987.50ns INFO [00034989] Port=0 RD @04 + 34988.50ns INFO [00034990] Port=0 WR @03=02D867E2716388F48C + 34989.50ns INFO [00034991] * RD COMPARE * port=0 adr=04 act=40BD72FFB7287B56D5 exp=40BD72FFB7287B56D5 + 34989.50ns INFO [00034991] Port=0 WR @07=2C4956CFF4B8F57089 + 34990.50ns INFO [00034992] Port=0 WR @05=BD3881C76925CAD90F + 34991.50ns INFO [00034993] Port=0 WR @06=826B3A98EDC9DF1000 + 34991.50ns INFO [00034993] Port=0 RD @02 + 34991.50ns INFO [00034993] Port=1 RD @00 + 34992.50ns INFO [00034994] Port=0 RD @07 + 34992.50ns INFO [00034994] Port=1 RD @00 + 34993.50ns INFO [00034995] * RD COMPARE * port=0 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 34993.50ns INFO [00034995] * RD COMPARE * port=1 adr=00 act=CA0EE2FC4B7F992F5D exp=CA0EE2FC4B7F992F5D + 34993.50ns INFO [00034995] Port=0 WR @03=82EA808249D697A770 + 34993.50ns INFO [00034995] Port=0 RD @05 + 34994.50ns INFO [00034996] * RD COMPARE * port=0 adr=07 act=2C4956CFF4B8F57089 exp=2C4956CFF4B8F57089 + 34994.50ns INFO [00034996] * RD COMPARE * port=1 adr=00 act=CA0EE2FC4B7F992F5D exp=CA0EE2FC4B7F992F5D + 34994.50ns INFO [00034996] Port=0 RD @04 + 34994.50ns INFO [00034996] Port=1 RD @01 + 34995.50ns INFO [00034997] * RD COMPARE * port=0 adr=05 act=BD3881C76925CAD90F exp=BD3881C76925CAD90F + 34995.50ns INFO [00034997] Port=0 WR @05=72E7B5B004D8C64F9D + 34995.50ns INFO [00034997] Port=0 RD @07 + 34996.50ns INFO [00034998] * RD COMPARE * port=0 adr=04 act=40BD72FFB7287B56D5 exp=40BD72FFB7287B56D5 + 34996.50ns INFO [00034998] * RD COMPARE * port=1 adr=01 act=C10567EA072FCB8818 exp=C10567EA072FCB8818 + 34996.50ns INFO [00034998] Port=1 RD @06 + 34997.50ns INFO [00034999] * RD COMPARE * port=0 adr=07 act=2C4956CFF4B8F57089 exp=2C4956CFF4B8F57089 + 34997.50ns INFO [00034999] Port=1 RD @07 + 34998.00ns INFO [00035000] [00035000] ...tick... + 34998.50ns INFO [00035000] * RD COMPARE * port=1 adr=06 act=826B3A98EDC9DF1000 exp=826B3A98EDC9DF1000 + 34998.50ns INFO [00035000] Port=0 RD @03 + 34999.50ns INFO [00035001] * RD COMPARE * port=1 adr=07 act=2C4956CFF4B8F57089 exp=2C4956CFF4B8F57089 + 34999.50ns INFO [00035001] Port=0 WR @05=01F0BA8309A9110ECF + 34999.50ns INFO [00035001] Port=1 RD @07 + 35000.50ns INFO [00035002] * RD COMPARE * port=0 adr=03 act=82EA808249D697A770 exp=82EA808249D697A770 + 35000.50ns INFO [00035002] Port=0 WR @00=7567A652974CA28043 + 35000.50ns INFO [00035002] Port=0 RD @01 + 35001.50ns INFO [00035003] * RD COMPARE * port=1 adr=07 act=2C4956CFF4B8F57089 exp=2C4956CFF4B8F57089 + 35001.50ns INFO [00035003] Port=0 RD @02 + 35001.50ns INFO [00035003] Port=1 RD @06 + 35002.50ns INFO [00035004] * RD COMPARE * port=0 adr=01 act=C10567EA072FCB8818 exp=C10567EA072FCB8818 + 35002.50ns INFO [00035004] Port=0 RD @06 + 35003.50ns INFO [00035005] * RD COMPARE * port=0 adr=02 act=15B9EB6FEF9BE4EDBE exp=15B9EB6FEF9BE4EDBE + 35003.50ns INFO [00035005] * RD COMPARE * port=1 adr=06 act=826B3A98EDC9DF1000 exp=826B3A98EDC9DF1000 + 35003.50ns INFO [00035005] Port=0 WR @01=0C5284EBEC065A40AC + 35003.50ns INFO [00035005] Port=0 RD @05 + 35003.50ns INFO [00035005] Port=1 RD @03 + 35004.50ns INFO [00035006] * RD COMPARE * port=0 adr=06 act=826B3A98EDC9DF1000 exp=826B3A98EDC9DF1000 + 35004.50ns INFO [00035006] Port=0 WR @03=F0F31EAACA50C0FA99 + 35005.50ns INFO [00035007] * RD COMPARE * port=0 adr=05 act=01F0BA8309A9110ECF exp=01F0BA8309A9110ECF + 35005.50ns INFO [00035007] * RD COMPARE * port=1 adr=03 act=82EA808249D697A770 exp=82EA808249D697A770 + 35005.50ns INFO [00035007] Port=1 RD @00 + 35006.50ns INFO [00035008] Port=0 RD @07 + 35007.50ns INFO [00035009] * RD COMPARE * port=1 adr=00 act=7567A652974CA28043 exp=7567A652974CA28043 + 35007.50ns INFO [00035009] Port=0 WR @02=C6518CE81992EB60CD + 35007.50ns INFO [00035009] Port=1 RD @07 + 35008.50ns INFO [00035010] * RD COMPARE * port=0 adr=07 act=2C4956CFF4B8F57089 exp=2C4956CFF4B8F57089 + 35008.50ns INFO [00035010] Port=0 RD @03 + 35009.50ns INFO [00035011] * RD COMPARE * port=1 adr=07 act=2C4956CFF4B8F57089 exp=2C4956CFF4B8F57089 + 35009.50ns INFO [00035011] Port=0 RD @03 + 35010.50ns INFO [00035012] * RD COMPARE * port=0 adr=03 act=F0F31EAACA50C0FA99 exp=F0F31EAACA50C0FA99 + 35011.50ns INFO [00035013] * RD COMPARE * port=0 adr=03 act=F0F31EAACA50C0FA99 exp=F0F31EAACA50C0FA99 + 35011.50ns INFO [00035013] Port=0 WR @00=C27596320DD027CF5F + 35011.50ns INFO [00035013] Port=0 RD @04 + 35011.50ns INFO [00035013] Port=1 RD @05 + 35012.50ns INFO [00035014] Port=0 WR @03=D9109A90D46803D87F + 35013.50ns INFO [00035015] * RD COMPARE * port=0 adr=04 act=40BD72FFB7287B56D5 exp=40BD72FFB7287B56D5 + 35013.50ns INFO [00035015] * RD COMPARE * port=1 adr=05 act=01F0BA8309A9110ECF exp=01F0BA8309A9110ECF + 35013.50ns INFO [00035015] Port=0 WR @03=7D5542DD4FE6DA1C13 + 35015.50ns INFO [00035017] Port=0 RD @06 + 35016.50ns INFO [00035018] Port=0 RD @00 + 35017.50ns INFO [00035019] * RD COMPARE * port=0 adr=06 act=826B3A98EDC9DF1000 exp=826B3A98EDC9DF1000 + 35018.50ns INFO [00035020] * RD COMPARE * port=0 adr=00 act=C27596320DD027CF5F exp=C27596320DD027CF5F + 35018.50ns INFO [00035020] Port=0 RD @03 + 35019.50ns INFO [00035021] Port=0 WR @04=32B3A100A862C7A092 + 35019.50ns INFO [00035021] Port=0 RD @00 + 35019.50ns INFO [00035021] Port=1 RD @02 + 35020.50ns INFO [00035022] * RD COMPARE * port=0 adr=03 act=7D5542DD4FE6DA1C13 exp=7D5542DD4FE6DA1C13 + 35020.50ns INFO [00035022] Port=0 WR @06=AB5B8436D0B6EADA5C + 35020.50ns INFO [00035022] Port=1 RD @02 + 35021.50ns INFO [00035023] * RD COMPARE * port=0 adr=00 act=C27596320DD027CF5F exp=C27596320DD027CF5F + 35021.50ns INFO [00035023] * RD COMPARE * port=1 adr=02 act=C6518CE81992EB60CD exp=C6518CE81992EB60CD + 35022.50ns INFO [00035024] * RD COMPARE * port=1 adr=02 act=C6518CE81992EB60CD exp=C6518CE81992EB60CD + 35022.50ns INFO [00035024] Port=0 WR @04=378282BE655C008759 + 35022.50ns INFO [00035024] Port=0 RD @07 + 35022.50ns INFO [00035024] Port=1 RD @07 + 35023.50ns INFO [00035025] Port=0 WR @05=A60B9F7395A78944D3 + 35023.50ns INFO [00035025] Port=1 RD @06 + 35024.50ns INFO [00035026] * RD COMPARE * port=0 adr=07 act=2C4956CFF4B8F57089 exp=2C4956CFF4B8F57089 + 35024.50ns INFO [00035026] * RD COMPARE * port=1 adr=07 act=2C4956CFF4B8F57089 exp=2C4956CFF4B8F57089 + 35024.50ns INFO [00035026] Port=0 WR @00=9BD88F2539F2DE2B81 + 35025.50ns INFO [00035027] * RD COMPARE * port=1 adr=06 act=AB5B8436D0B6EADA5C exp=AB5B8436D0B6EADA5C + 35025.50ns INFO [00035027] Port=0 WR @05=55765AC078023EAC75 + 35026.50ns INFO [00035028] Port=1 RD @05 + 35027.50ns INFO [00035029] Port=0 WR @01=80D6838E02ACA9399A + 35028.50ns INFO [00035030] * RD COMPARE * port=1 adr=05 act=55765AC078023EAC75 exp=55765AC078023EAC75 + 35030.50ns INFO [00035032] Port=0 WR @01=412B4662B2039D8F32 + 35030.50ns INFO [00035032] Port=1 RD @02 + 35031.50ns INFO [00035033] Port=0 RD @03 + 35032.50ns INFO [00035034] * RD COMPARE * port=1 adr=02 act=C6518CE81992EB60CD exp=C6518CE81992EB60CD + 35032.50ns INFO [00035034] Port=0 WR @01=209940C2755D12E7A3 + 35032.50ns INFO [00035034] Port=1 RD @05 + 35033.50ns INFO [00035035] * RD COMPARE * port=0 adr=03 act=7D5542DD4FE6DA1C13 exp=7D5542DD4FE6DA1C13 + 35033.50ns INFO [00035035] Port=0 WR @01=2321A7F0228BF99D0C + 35034.50ns INFO [00035036] * RD COMPARE * port=1 adr=05 act=55765AC078023EAC75 exp=55765AC078023EAC75 + 35034.50ns INFO [00035036] Port=0 WR @04=6D239EE2DF508A0FDB + 35034.50ns INFO [00035036] Port=0 RD @02 + 35034.50ns INFO [00035036] Port=1 RD @02 + 35035.50ns INFO [00035037] Port=0 WR @00=8BBEA0EC3CB80B872A + 35035.50ns INFO [00035037] Port=0 RD @03 + 35035.50ns INFO [00035037] Port=1 RD @01 + 35036.50ns INFO [00035038] * RD COMPARE * port=0 adr=02 act=C6518CE81992EB60CD exp=C6518CE81992EB60CD + 35036.50ns INFO [00035038] * RD COMPARE * port=1 adr=02 act=C6518CE81992EB60CD exp=C6518CE81992EB60CD + 35036.50ns INFO [00035038] Port=1 RD @06 + 35037.50ns INFO [00035039] * RD COMPARE * port=0 adr=03 act=7D5542DD4FE6DA1C13 exp=7D5542DD4FE6DA1C13 + 35037.50ns INFO [00035039] * RD COMPARE * port=1 adr=01 act=2321A7F0228BF99D0C exp=2321A7F0228BF99D0C + 35037.50ns INFO [00035039] Port=0 WR @07=CCE100147B6C03A59F + 35038.50ns INFO [00035040] * RD COMPARE * port=1 adr=06 act=AB5B8436D0B6EADA5C exp=AB5B8436D0B6EADA5C + 35038.50ns INFO [00035040] Port=0 RD @07 + 35039.50ns INFO [00035041] Port=0 WR @03=8E4ECA1AED3862F624 + 35039.50ns INFO [00035041] Port=1 RD @04 + 35040.50ns INFO [00035042] * RD COMPARE * port=0 adr=07 act=CCE100147B6C03A59F exp=CCE100147B6C03A59F + 35041.50ns INFO [00035043] * RD COMPARE * port=1 adr=04 act=6D239EE2DF508A0FDB exp=6D239EE2DF508A0FDB + 35041.50ns INFO [00035043] Port=0 WR @02=4ABF9C9818774CD6E1 + 35041.50ns INFO [00035043] Port=1 RD @07 + 35043.50ns INFO [00035045] * RD COMPARE * port=1 adr=07 act=CCE100147B6C03A59F exp=CCE100147B6C03A59F + 35043.50ns INFO [00035045] Port=1 RD @01 + 35045.50ns INFO [00035047] * RD COMPARE * port=1 adr=01 act=2321A7F0228BF99D0C exp=2321A7F0228BF99D0C + 35045.50ns INFO [00035047] Port=0 RD @05 + 35046.50ns INFO [00035048] Port=1 RD @00 + 35047.50ns INFO [00035049] * RD COMPARE * port=0 adr=05 act=55765AC078023EAC75 exp=55765AC078023EAC75 + 35047.50ns INFO [00035049] Port=1 RD @00 + 35048.50ns INFO [00035050] * RD COMPARE * port=1 adr=00 act=8BBEA0EC3CB80B872A exp=8BBEA0EC3CB80B872A + 35049.50ns INFO [00035051] * RD COMPARE * port=1 adr=00 act=8BBEA0EC3CB80B872A exp=8BBEA0EC3CB80B872A + 35049.50ns INFO [00035051] Port=0 WR @04=AF694B32B3BDBDA57C + 35050.50ns INFO [00035052] Port=0 WR @06=53EB5BC60433CF0F00 + 35050.50ns INFO [00035052] Port=0 RD @07 + 35051.50ns INFO [00035053] Port=0 WR @05=18EEB4EF99AF8E50D9 + 35051.50ns INFO [00035053] Port=1 RD @04 + 35052.50ns INFO [00035054] * RD COMPARE * port=0 adr=07 act=CCE100147B6C03A59F exp=CCE100147B6C03A59F + 35053.50ns INFO [00035055] * RD COMPARE * port=1 adr=04 act=AF694B32B3BDBDA57C exp=AF694B32B3BDBDA57C + 35054.50ns INFO [00035056] Port=0 RD @02 + 35054.50ns INFO [00035056] Port=1 RD @07 + 35056.50ns INFO [00035058] * RD COMPARE * port=0 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35056.50ns INFO [00035058] * RD COMPARE * port=1 adr=07 act=CCE100147B6C03A59F exp=CCE100147B6C03A59F + 35056.50ns INFO [00035058] Port=0 RD @06 + 35058.50ns INFO [00035060] * RD COMPARE * port=0 adr=06 act=53EB5BC60433CF0F00 exp=53EB5BC60433CF0F00 + 35059.50ns INFO [00035061] Port=0 WR @03=5858AED1F7CB37AEB0 + 35059.50ns INFO [00035061] Port=0 RD @01 + 35061.50ns INFO [00035063] * RD COMPARE * port=0 adr=01 act=2321A7F0228BF99D0C exp=2321A7F0228BF99D0C + 35061.50ns INFO [00035063] Port=1 RD @00 + 35063.50ns INFO [00035065] * RD COMPARE * port=1 adr=00 act=8BBEA0EC3CB80B872A exp=8BBEA0EC3CB80B872A + 35063.50ns INFO [00035065] Port=0 WR @00=BCD32607638B0E3A2D + 35063.50ns INFO [00035065] Port=0 RD @02 + 35063.50ns INFO [00035065] Port=1 RD @01 + 35064.50ns INFO [00035066] Port=0 RD @05 + 35065.50ns INFO [00035067] * RD COMPARE * port=0 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35065.50ns INFO [00035067] * RD COMPARE * port=1 adr=01 act=2321A7F0228BF99D0C exp=2321A7F0228BF99D0C + 35065.50ns INFO [00035067] Port=0 WR @06=D33278576BF17BE1B3 + 35065.50ns INFO [00035067] Port=0 RD @05 + 35065.50ns INFO [00035067] Port=1 RD @07 + 35066.50ns INFO [00035068] * RD COMPARE * port=0 adr=05 act=18EEB4EF99AF8E50D9 exp=18EEB4EF99AF8E50D9 + 35066.50ns INFO [00035068] Port=0 WR @03=DEADDE32964E88061D + 35066.50ns INFO [00035068] Port=0 RD @05 + 35066.50ns INFO [00035068] Port=1 RD @00 + 35067.50ns INFO [00035069] * RD COMPARE * port=0 adr=05 act=18EEB4EF99AF8E50D9 exp=18EEB4EF99AF8E50D9 + 35067.50ns INFO [00035069] * RD COMPARE * port=1 adr=07 act=CCE100147B6C03A59F exp=CCE100147B6C03A59F + 35067.50ns INFO [00035069] Port=0 RD @07 + 35067.50ns INFO [00035069] Port=1 RD @02 + 35068.50ns INFO [00035070] * RD COMPARE * port=0 adr=05 act=18EEB4EF99AF8E50D9 exp=18EEB4EF99AF8E50D9 + 35068.50ns INFO [00035070] * RD COMPARE * port=1 adr=00 act=BCD32607638B0E3A2D exp=BCD32607638B0E3A2D + 35068.50ns INFO [00035070] Port=0 WR @06=B7EBCDE9C409786286 + 35069.50ns INFO [00035071] * RD COMPARE * port=0 adr=07 act=CCE100147B6C03A59F exp=CCE100147B6C03A59F + 35069.50ns INFO [00035071] * RD COMPARE * port=1 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35069.50ns INFO [00035071] Port=0 RD @05 + 35069.50ns INFO [00035071] Port=1 RD @00 + 35071.50ns INFO [00035073] * RD COMPARE * port=0 adr=05 act=18EEB4EF99AF8E50D9 exp=18EEB4EF99AF8E50D9 + 35071.50ns INFO [00035073] * RD COMPARE * port=1 adr=00 act=BCD32607638B0E3A2D exp=BCD32607638B0E3A2D + 35072.50ns INFO [00035074] Port=0 RD @05 + 35072.50ns INFO [00035074] Port=1 RD @05 + 35073.50ns INFO [00035075] Port=0 RD @02 + 35073.50ns INFO [00035075] Port=1 RD @03 + 35074.50ns INFO [00035076] * RD COMPARE * port=0 adr=05 act=18EEB4EF99AF8E50D9 exp=18EEB4EF99AF8E50D9 + 35074.50ns INFO [00035076] * RD COMPARE * port=1 adr=05 act=18EEB4EF99AF8E50D9 exp=18EEB4EF99AF8E50D9 + 35074.50ns INFO [00035076] Port=0 WR @05=3DCD8E4026F89DE380 + 35074.50ns INFO [00035076] Port=0 RD @06 + 35074.50ns INFO [00035076] Port=1 RD @03 + 35075.50ns INFO [00035077] * RD COMPARE * port=0 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35075.50ns INFO [00035077] * RD COMPARE * port=1 adr=03 act=DEADDE32964E88061D exp=DEADDE32964E88061D + 35075.50ns INFO [00035077] Port=0 RD @02 + 35075.50ns INFO [00035077] Port=1 RD @03 + 35076.50ns INFO [00035078] * RD COMPARE * port=0 adr=06 act=B7EBCDE9C409786286 exp=B7EBCDE9C409786286 + 35076.50ns INFO [00035078] * RD COMPARE * port=1 adr=03 act=DEADDE32964E88061D exp=DEADDE32964E88061D + 35077.50ns INFO [00035079] * RD COMPARE * port=0 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35077.50ns INFO [00035079] * RD COMPARE * port=1 adr=03 act=DEADDE32964E88061D exp=DEADDE32964E88061D + 35077.50ns INFO [00035079] Port=0 WR @06=F9EFA7F55E37E160F7 + 35077.50ns INFO [00035079] Port=1 RD @00 + 35078.50ns INFO [00035080] Port=0 WR @05=EC773C776E90A382E6 + 35078.50ns INFO [00035080] Port=0 RD @00 + 35078.50ns INFO [00035080] Port=1 RD @04 + 35079.50ns INFO [00035081] * RD COMPARE * port=1 adr=00 act=BCD32607638B0E3A2D exp=BCD32607638B0E3A2D + 35080.50ns INFO [00035082] * RD COMPARE * port=0 adr=00 act=BCD32607638B0E3A2D exp=BCD32607638B0E3A2D + 35080.50ns INFO [00035082] * RD COMPARE * port=1 adr=04 act=AF694B32B3BDBDA57C exp=AF694B32B3BDBDA57C + 35080.50ns INFO [00035082] Port=0 WR @04=DA7D17809B306AD476 + 35083.50ns INFO [00035085] Port=1 RD @05 + 35084.50ns INFO [00035086] Port=0 RD @02 + 35085.50ns INFO [00035087] * RD COMPARE * port=1 adr=05 act=EC773C776E90A382E6 exp=EC773C776E90A382E6 + 35085.50ns INFO [00035087] Port=0 RD @07 + 35085.50ns INFO [00035087] Port=1 RD @00 + 35086.50ns INFO [00035088] * RD COMPARE * port=0 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35086.50ns INFO [00035088] Port=0 RD @03 + 35087.50ns INFO [00035089] * RD COMPARE * port=0 adr=07 act=CCE100147B6C03A59F exp=CCE100147B6C03A59F + 35087.50ns INFO [00035089] * RD COMPARE * port=1 adr=00 act=BCD32607638B0E3A2D exp=BCD32607638B0E3A2D + 35087.50ns INFO [00035089] Port=0 RD @05 + 35088.50ns INFO [00035090] * RD COMPARE * port=0 adr=03 act=DEADDE32964E88061D exp=DEADDE32964E88061D + 35088.50ns INFO [00035090] Port=0 WR @04=D4F322ADE0ED9F014E + 35088.50ns INFO [00035090] Port=0 RD @02 + 35089.50ns INFO [00035091] * RD COMPARE * port=0 adr=05 act=EC773C776E90A382E6 exp=EC773C776E90A382E6 + 35090.50ns INFO [00035092] * RD COMPARE * port=0 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35090.50ns INFO [00035092] Port=0 WR @05=F3859671D10EBBCF53 + 35091.50ns INFO [00035093] Port=0 WR @00=E404FA040A8BAEB10B + 35091.50ns INFO [00035093] Port=0 RD @07 + 35091.50ns INFO [00035093] Port=1 RD @05 + 35092.50ns INFO [00035094] Port=0 RD @03 + 35092.50ns INFO [00035094] Port=1 RD @05 + 35093.50ns INFO [00035095] * RD COMPARE * port=0 adr=07 act=CCE100147B6C03A59F exp=CCE100147B6C03A59F + 35093.50ns INFO [00035095] * RD COMPARE * port=1 adr=05 act=F3859671D10EBBCF53 exp=F3859671D10EBBCF53 + 35093.50ns INFO [00035095] Port=0 WR @07=9DE8E4F56A1A254C27 + 35093.50ns INFO [00035095] Port=0 RD @02 + 35094.50ns INFO [00035096] * RD COMPARE * port=0 adr=03 act=DEADDE32964E88061D exp=DEADDE32964E88061D + 35094.50ns INFO [00035096] * RD COMPARE * port=1 adr=05 act=F3859671D10EBBCF53 exp=F3859671D10EBBCF53 + 35095.50ns INFO [00035097] * RD COMPARE * port=0 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35095.50ns INFO [00035097] Port=1 RD @02 + 35096.50ns INFO [00035098] Port=1 RD @07 + 35097.50ns INFO [00035099] * RD COMPARE * port=1 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35097.50ns INFO [00035099] Port=0 WR @04=0030F420060480E006 + 35097.50ns INFO [00035099] Port=0 RD @03 + 35098.00ns INFO [00035100] [00035100] ...tick... + 35098.50ns INFO [00035100] * RD COMPARE * port=1 adr=07 act=9DE8E4F56A1A254C27 exp=9DE8E4F56A1A254C27 + 35098.50ns INFO [00035100] Port=1 RD @07 + 35099.50ns INFO [00035101] * RD COMPARE * port=0 adr=03 act=DEADDE32964E88061D exp=DEADDE32964E88061D + 35100.50ns INFO [00035102] * RD COMPARE * port=1 adr=07 act=9DE8E4F56A1A254C27 exp=9DE8E4F56A1A254C27 + 35100.50ns INFO [00035102] Port=0 WR @03=34ADD65671C8959F7D + 35100.50ns INFO [00035102] Port=0 RD @01 + 35100.50ns INFO [00035102] Port=1 RD @00 + 35101.50ns INFO [00035103] Port=0 WR @01=41014FF05BFA227A99 + 35101.50ns INFO [00035103] Port=0 RD @00 + 35101.50ns INFO [00035103] Port=1 RD @04 + 35102.50ns INFO [00035104] * RD COMPARE * port=0 adr=01 act=2321A7F0228BF99D0C exp=2321A7F0228BF99D0C + 35102.50ns INFO [00035104] * RD COMPARE * port=1 adr=00 act=E404FA040A8BAEB10B exp=E404FA040A8BAEB10B + 35102.50ns INFO [00035104] Port=0 WR @05=04B6F1FC0F23537F60 + 35102.50ns INFO [00035104] Port=1 RD @02 + 35103.50ns INFO [00035105] * RD COMPARE * port=0 adr=00 act=E404FA040A8BAEB10B exp=E404FA040A8BAEB10B + 35103.50ns INFO [00035105] * RD COMPARE * port=1 adr=04 act=0030F420060480E006 exp=0030F420060480E006 + 35104.50ns INFO [00035106] * RD COMPARE * port=1 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35104.50ns INFO [00035106] Port=1 RD @03 + 35105.50ns INFO [00035107] Port=0 WR @07=7847D9485C3942895F + 35105.50ns INFO [00035107] Port=0 RD @03 + 35105.50ns INFO [00035107] Port=1 RD @06 + 35106.50ns INFO [00035108] * RD COMPARE * port=1 adr=03 act=34ADD65671C8959F7D exp=34ADD65671C8959F7D + 35107.50ns INFO [00035109] * RD COMPARE * port=0 adr=03 act=34ADD65671C8959F7D exp=34ADD65671C8959F7D + 35107.50ns INFO [00035109] * RD COMPARE * port=1 adr=06 act=F9EFA7F55E37E160F7 exp=F9EFA7F55E37E160F7 + 35107.50ns INFO [00035109] Port=0 RD @02 + 35109.50ns INFO [00035111] * RD COMPARE * port=0 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35109.50ns INFO [00035111] Port=0 WR @06=65353F545F953DC613 + 35109.50ns INFO [00035111] Port=0 RD @04 + 35109.50ns INFO [00035111] Port=1 RD @04 + 35110.50ns INFO [00035112] Port=0 WR @01=06FBFA304E2752192E + 35111.50ns INFO [00035113] * RD COMPARE * port=0 adr=04 act=0030F420060480E006 exp=0030F420060480E006 + 35111.50ns INFO [00035113] * RD COMPARE * port=1 adr=04 act=0030F420060480E006 exp=0030F420060480E006 + 35111.50ns INFO [00035113] Port=0 WR @03=7C17D5CE520374E101 + 35111.50ns INFO [00035113] Port=0 RD @04 + 35111.50ns INFO [00035113] Port=1 RD @06 + 35112.50ns INFO [00035114] Port=1 RD @02 + 35113.50ns INFO [00035115] * RD COMPARE * port=0 adr=04 act=0030F420060480E006 exp=0030F420060480E006 + 35113.50ns INFO [00035115] * RD COMPARE * port=1 adr=06 act=65353F545F953DC613 exp=65353F545F953DC613 + 35113.50ns INFO [00035115] Port=0 WR @07=56960580B91E4E5814 + 35114.50ns INFO [00035116] * RD COMPARE * port=1 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35115.50ns INFO [00035117] Port=0 WR @01=4A9DC211690F60E304 + 35115.50ns INFO [00035117] Port=1 RD @02 + 35116.50ns INFO [00035118] Port=0 RD @03 + 35116.50ns INFO [00035118] Port=1 RD @02 + 35117.50ns INFO [00035119] * RD COMPARE * port=1 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35117.50ns INFO [00035119] Port=0 WR @04=8863578DECC92E400F + 35118.50ns INFO [00035120] * RD COMPARE * port=0 adr=03 act=7C17D5CE520374E101 exp=7C17D5CE520374E101 + 35118.50ns INFO [00035120] * RD COMPARE * port=1 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35118.50ns INFO [00035120] Port=0 WR @03=B082D8EFC6626E4FD0 + 35118.50ns INFO [00035120] Port=0 RD @01 + 35119.50ns INFO [00035121] Port=0 RD @07 + 35120.50ns INFO [00035122] * RD COMPARE * port=0 adr=01 act=4A9DC211690F60E304 exp=4A9DC211690F60E304 + 35120.50ns INFO [00035122] Port=1 RD @02 + 35121.50ns INFO [00035123] * RD COMPARE * port=0 adr=07 act=56960580B91E4E5814 exp=56960580B91E4E5814 + 35122.50ns INFO [00035124] * RD COMPARE * port=1 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35122.50ns INFO [00035124] Port=1 RD @00 + 35124.50ns INFO [00035126] * RD COMPARE * port=1 adr=00 act=E404FA040A8BAEB10B exp=E404FA040A8BAEB10B + 35125.50ns INFO [00035127] Port=1 RD @04 + 35127.50ns INFO [00035129] * RD COMPARE * port=1 adr=04 act=8863578DECC92E400F exp=8863578DECC92E400F + 35127.50ns INFO [00035129] Port=0 WR @01=5BDD538D2179001D21 + 35127.50ns INFO [00035129] Port=0 RD @06 + 35129.50ns INFO [00035131] * RD COMPARE * port=0 adr=06 act=65353F545F953DC613 exp=65353F545F953DC613 + 35129.50ns INFO [00035131] Port=0 WR @00=AEBB1C03CB5AAF9515 + 35129.50ns INFO [00035131] Port=0 RD @06 + 35130.50ns INFO [00035132] Port=0 RD @00 + 35130.50ns INFO [00035132] Port=1 RD @02 + 35131.50ns INFO [00035133] * RD COMPARE * port=0 adr=06 act=65353F545F953DC613 exp=65353F545F953DC613 + 35131.50ns INFO [00035133] Port=0 RD @00 + 35131.50ns INFO [00035133] Port=1 RD @01 + 35132.50ns INFO [00035134] * RD COMPARE * port=0 adr=00 act=AEBB1C03CB5AAF9515 exp=AEBB1C03CB5AAF9515 + 35132.50ns INFO [00035134] * RD COMPARE * port=1 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35132.50ns INFO [00035134] Port=0 RD @01 + 35132.50ns INFO [00035134] Port=1 RD @04 + 35133.50ns INFO [00035135] * RD COMPARE * port=0 adr=00 act=AEBB1C03CB5AAF9515 exp=AEBB1C03CB5AAF9515 + 35133.50ns INFO [00035135] * RD COMPARE * port=1 adr=01 act=5BDD538D2179001D21 exp=5BDD538D2179001D21 + 35133.50ns INFO [00035135] Port=0 RD @02 + 35133.50ns INFO [00035135] Port=1 RD @07 + 35134.50ns INFO [00035136] * RD COMPARE * port=0 adr=01 act=5BDD538D2179001D21 exp=5BDD538D2179001D21 + 35134.50ns INFO [00035136] * RD COMPARE * port=1 adr=04 act=8863578DECC92E400F exp=8863578DECC92E400F + 35135.50ns INFO [00035137] * RD COMPARE * port=0 adr=02 act=4ABF9C9818774CD6E1 exp=4ABF9C9818774CD6E1 + 35135.50ns INFO [00035137] * RD COMPARE * port=1 adr=07 act=56960580B91E4E5814 exp=56960580B91E4E5814 + 35136.50ns INFO [00035138] Port=0 WR @01=CD7A30E5CF3A7B9611 + 35136.50ns INFO [00035138] Port=0 RD @05 + 35137.50ns INFO [00035139] Port=1 RD @05 + 35138.50ns INFO [00035140] * RD COMPARE * port=0 adr=05 act=04B6F1FC0F23537F60 exp=04B6F1FC0F23537F60 + 35138.50ns INFO [00035140] Port=0 RD @06 + 35139.50ns INFO [00035141] * RD COMPARE * port=1 adr=05 act=04B6F1FC0F23537F60 exp=04B6F1FC0F23537F60 + 35139.50ns INFO [00035141] Port=1 RD @07 + 35140.50ns INFO [00035142] * RD COMPARE * port=0 adr=06 act=65353F545F953DC613 exp=65353F545F953DC613 + 35140.50ns INFO [00035142] Port=1 RD @06 + 35141.50ns INFO [00035143] * RD COMPARE * port=1 adr=07 act=56960580B91E4E5814 exp=56960580B91E4E5814 + 35141.50ns INFO [00035143] Port=0 WR @07=8C8EE08AA70035ABC8 + 35141.50ns INFO [00035143] Port=0 RD @04 + 35142.50ns INFO [00035144] * RD COMPARE * port=1 adr=06 act=65353F545F953DC613 exp=65353F545F953DC613 + 35142.50ns INFO [00035144] Port=1 RD @06 + 35143.50ns INFO [00035145] * RD COMPARE * port=0 adr=04 act=8863578DECC92E400F exp=8863578DECC92E400F + 35143.50ns INFO [00035145] Port=0 WR @07=56A16A2D346F33A51B + 35144.50ns INFO [00035146] * RD COMPARE * port=1 adr=06 act=65353F545F953DC613 exp=65353F545F953DC613 + 35144.50ns INFO [00035146] Port=0 WR @00=8ECF36498534BA8532 + 35144.50ns INFO [00035146] Port=1 RD @03 + 35145.50ns INFO [00035147] Port=0 RD @07 + 35145.50ns INFO [00035147] Port=1 RD @00 + 35146.50ns INFO [00035148] * RD COMPARE * port=1 adr=03 act=B082D8EFC6626E4FD0 exp=B082D8EFC6626E4FD0 + 35146.50ns INFO [00035148] Port=0 WR @06=CC1743E1607519A49E + 35146.50ns INFO [00035148] Port=0 RD @00 + 35147.50ns INFO [00035149] * RD COMPARE * port=0 adr=07 act=56A16A2D346F33A51B exp=56A16A2D346F33A51B + 35147.50ns INFO [00035149] * RD COMPARE * port=1 adr=00 act=8ECF36498534BA8532 exp=8ECF36498534BA8532 + 35148.50ns INFO [00035150] * RD COMPARE * port=0 adr=00 act=8ECF36498534BA8532 exp=8ECF36498534BA8532 + 35148.50ns INFO [00035150] Port=0 WR @06=09413268D484199898 + 35148.50ns INFO [00035150] Port=1 RD @04 + 35149.50ns INFO [00035151] Port=1 RD @04 + 35150.50ns INFO [00035152] * RD COMPARE * port=1 adr=04 act=8863578DECC92E400F exp=8863578DECC92E400F + 35150.50ns INFO [00035152] Port=0 WR @02=A66691A226107DF47E + 35150.50ns INFO [00035152] Port=0 RD @07 + 35151.50ns INFO [00035153] * RD COMPARE * port=1 adr=04 act=8863578DECC92E400F exp=8863578DECC92E400F + 35151.50ns INFO [00035153] Port=0 WR @07=88893941C8DA7384D7 + 35152.50ns INFO [00035154] * RD COMPARE * port=0 adr=07 act=56A16A2D346F33A51B exp=56A16A2D346F33A51B + 35152.50ns INFO [00035154] Port=0 WR @04=B7CE29F8EF13CF90AD + 35153.50ns INFO [00035155] Port=0 RD @05 + 35154.50ns INFO [00035156] Port=0 WR @06=139FA5D24818FBCBBD + 35154.50ns INFO [00035156] Port=0 RD @00 + 35154.50ns INFO [00035156] Port=1 RD @00 + 35155.50ns INFO [00035157] * RD COMPARE * port=0 adr=05 act=04B6F1FC0F23537F60 exp=04B6F1FC0F23537F60 + 35156.50ns INFO [00035158] * RD COMPARE * port=0 adr=00 act=8ECF36498534BA8532 exp=8ECF36498534BA8532 + 35156.50ns INFO [00035158] * RD COMPARE * port=1 adr=00 act=8ECF36498534BA8532 exp=8ECF36498534BA8532 + 35156.50ns INFO [00035158] Port=0 WR @01=F2550AD3E516B8523F + 35157.50ns INFO [00035159] Port=0 WR @00=34A6762072E00E2889 + 35157.50ns INFO [00035159] Port=1 RD @06 + 35158.50ns INFO [00035160] Port=0 WR @07=F67E849AD9E54BE33D + 35159.50ns INFO [00035161] * RD COMPARE * port=1 adr=06 act=139FA5D24818FBCBBD exp=139FA5D24818FBCBBD + 35159.50ns INFO [00035161] Port=0 RD @02 + 35159.50ns INFO [00035161] Port=1 RD @04 + 35161.50ns INFO [00035163] * RD COMPARE * port=0 adr=02 act=A66691A226107DF47E exp=A66691A226107DF47E + 35161.50ns INFO [00035163] * RD COMPARE * port=1 adr=04 act=B7CE29F8EF13CF90AD exp=B7CE29F8EF13CF90AD + 35162.50ns INFO [00035164] Port=1 RD @01 + 35163.50ns INFO [00035165] Port=0 WR @07=494C02D19CD926596A + 35163.50ns INFO [00035165] Port=1 RD @03 + 35164.50ns INFO [00035166] * RD COMPARE * port=1 adr=01 act=F2550AD3E516B8523F exp=F2550AD3E516B8523F + 35164.50ns INFO [00035166] Port=0 RD @04 + 35165.50ns INFO [00035167] * RD COMPARE * port=1 adr=03 act=B082D8EFC6626E4FD0 exp=B082D8EFC6626E4FD0 + 35166.50ns INFO [00035168] * RD COMPARE * port=0 adr=04 act=B7CE29F8EF13CF90AD exp=B7CE29F8EF13CF90AD + 35166.50ns INFO [00035168] Port=0 WR @04=40222560C154AF0F7B + 35167.50ns INFO [00035169] Port=0 WR @07=A313D9823CDC967BFE + 35167.50ns INFO [00035169] Port=0 RD @05 + 35168.50ns INFO [00035170] Port=0 WR @05=394EC7A5FDB8CF77E2 + 35168.50ns INFO [00035170] Port=0 RD @03 + 35169.50ns INFO [00035171] * RD COMPARE * port=0 adr=05 act=04B6F1FC0F23537F60 exp=04B6F1FC0F23537F60 + 35169.50ns INFO [00035171] Port=0 WR @06=55232D577FB0C99FA2 + 35169.50ns INFO [00035171] Port=0 RD @04 + 35169.50ns INFO [00035171] Port=1 RD @05 + 35170.50ns INFO [00035172] * RD COMPARE * port=0 adr=03 act=B082D8EFC6626E4FD0 exp=B082D8EFC6626E4FD0 + 35170.50ns INFO [00035172] Port=0 WR @04=D6663A781ADDFD725F + 35171.50ns INFO [00035173] * RD COMPARE * port=0 adr=04 act=40222560C154AF0F7B exp=40222560C154AF0F7B + 35171.50ns INFO [00035173] * RD COMPARE * port=1 adr=05 act=394EC7A5FDB8CF77E2 exp=394EC7A5FDB8CF77E2 + 35171.50ns INFO [00035173] Port=0 RD @01 + 35172.50ns INFO [00035174] Port=1 RD @07 + 35173.50ns INFO [00035175] * RD COMPARE * port=0 adr=01 act=F2550AD3E516B8523F exp=F2550AD3E516B8523F + 35174.50ns INFO [00035176] * RD COMPARE * port=1 adr=07 act=A313D9823CDC967BFE exp=A313D9823CDC967BFE + 35174.50ns INFO [00035176] Port=0 RD @06 + 35174.50ns INFO [00035176] Port=1 RD @04 + 35175.50ns INFO [00035177] Port=0 WR @01=C9ECF21E9844A12B3A + 35175.50ns INFO [00035177] Port=0 RD @03 + 35176.50ns INFO [00035178] * RD COMPARE * port=0 adr=06 act=55232D577FB0C99FA2 exp=55232D577FB0C99FA2 + 35176.50ns INFO [00035178] * RD COMPARE * port=1 adr=04 act=D6663A781ADDFD725F exp=D6663A781ADDFD725F + 35176.50ns INFO [00035178] Port=1 RD @00 + 35177.50ns INFO [00035179] * RD COMPARE * port=0 adr=03 act=B082D8EFC6626E4FD0 exp=B082D8EFC6626E4FD0 + 35177.50ns INFO [00035179] Port=0 RD @00 + 35178.50ns INFO [00035180] * RD COMPARE * port=1 adr=00 act=34A6762072E00E2889 exp=34A6762072E00E2889 + 35178.50ns INFO [00035180] Port=0 RD @05 + 35179.50ns INFO [00035181] * RD COMPARE * port=0 adr=00 act=34A6762072E00E2889 exp=34A6762072E00E2889 + 35179.50ns INFO [00035181] Port=0 WR @00=1E77835431580A9564 + 35180.50ns INFO [00035182] * RD COMPARE * port=0 adr=05 act=394EC7A5FDB8CF77E2 exp=394EC7A5FDB8CF77E2 + 35180.50ns INFO [00035182] Port=1 RD @05 + 35182.50ns INFO [00035184] * RD COMPARE * port=1 adr=05 act=394EC7A5FDB8CF77E2 exp=394EC7A5FDB8CF77E2 + 35182.50ns INFO [00035184] Port=0 RD @03 + 35182.50ns INFO [00035184] Port=1 RD @06 + 35183.50ns INFO [00035185] Port=1 RD @06 + 35184.50ns INFO [00035186] * RD COMPARE * port=0 adr=03 act=B082D8EFC6626E4FD0 exp=B082D8EFC6626E4FD0 + 35184.50ns INFO [00035186] * RD COMPARE * port=1 adr=06 act=55232D577FB0C99FA2 exp=55232D577FB0C99FA2 + 35184.50ns INFO [00035186] Port=0 WR @03=4C7CC11D9BEFFC141D + 35184.50ns INFO [00035186] Port=0 RD @04 + 35184.50ns INFO [00035186] Port=1 RD @07 + 35185.50ns INFO [00035187] * RD COMPARE * port=1 adr=06 act=55232D577FB0C99FA2 exp=55232D577FB0C99FA2 + 35185.50ns INFO [00035187] Port=0 WR @03=0DEE8542E442551095 + 35185.50ns INFO [00035187] Port=0 RD @07 + 35186.50ns INFO [00035188] * RD COMPARE * port=0 adr=04 act=D6663A781ADDFD725F exp=D6663A781ADDFD725F + 35186.50ns INFO [00035188] * RD COMPARE * port=1 adr=07 act=A313D9823CDC967BFE exp=A313D9823CDC967BFE + 35186.50ns INFO [00035188] Port=0 RD @02 + 35187.50ns INFO [00035189] * RD COMPARE * port=0 adr=07 act=A313D9823CDC967BFE exp=A313D9823CDC967BFE + 35187.50ns INFO [00035189] Port=0 WR @05=2F00E514CAD6AC8217 + 35187.50ns INFO [00035189] Port=0 RD @01 + 35188.50ns INFO [00035190] * RD COMPARE * port=0 adr=02 act=A66691A226107DF47E exp=A66691A226107DF47E + 35188.50ns INFO [00035190] Port=0 WR @03=125085FE2617155250 + 35189.50ns INFO [00035191] * RD COMPARE * port=0 adr=01 act=C9ECF21E9844A12B3A exp=C9ECF21E9844A12B3A + 35189.50ns INFO [00035191] Port=0 WR @05=992445D6B04637AE43 + 35189.50ns INFO [00035191] Port=0 RD @04 + 35190.50ns INFO [00035192] Port=0 RD @02 + 35190.50ns INFO [00035192] Port=1 RD @01 + 35191.50ns INFO [00035193] * RD COMPARE * port=0 adr=04 act=D6663A781ADDFD725F exp=D6663A781ADDFD725F + 35192.50ns INFO [00035194] * RD COMPARE * port=0 adr=02 act=A66691A226107DF47E exp=A66691A226107DF47E + 35192.50ns INFO [00035194] * RD COMPARE * port=1 adr=01 act=C9ECF21E9844A12B3A exp=C9ECF21E9844A12B3A + 35193.50ns INFO [00035195] Port=0 WR @06=0B9957C8253E479D9D + 35194.50ns INFO [00035196] Port=0 RD @02 + 35196.50ns INFO [00035198] * RD COMPARE * port=0 adr=02 act=A66691A226107DF47E exp=A66691A226107DF47E + 35196.50ns INFO [00035198] Port=0 RD @03 + 35196.50ns INFO [00035198] Port=1 RD @02 + 35197.50ns INFO [00035199] Port=0 RD @06 + 35197.50ns INFO [00035199] Port=1 RD @01 + 35198.00ns INFO [00035200] [00035200] ...tick... + 35198.50ns INFO [00035200] * RD COMPARE * port=0 adr=03 act=125085FE2617155250 exp=125085FE2617155250 + 35198.50ns INFO [00035200] * RD COMPARE * port=1 adr=02 act=A66691A226107DF47E exp=A66691A226107DF47E + 35198.50ns INFO [00035200] Port=0 RD @04 + 35198.50ns INFO [00035200] Port=1 RD @02 + 35199.50ns INFO [00035201] * RD COMPARE * port=0 adr=06 act=0B9957C8253E479D9D exp=0B9957C8253E479D9D + 35199.50ns INFO [00035201] * RD COMPARE * port=1 adr=01 act=C9ECF21E9844A12B3A exp=C9ECF21E9844A12B3A + 35199.50ns INFO [00035201] Port=1 RD @02 + 35200.50ns INFO [00035202] * RD COMPARE * port=0 adr=04 act=D6663A781ADDFD725F exp=D6663A781ADDFD725F + 35200.50ns INFO [00035202] * RD COMPARE * port=1 adr=02 act=A66691A226107DF47E exp=A66691A226107DF47E + 35200.50ns INFO [00035202] Port=0 RD @03 + 35201.50ns INFO [00035203] * RD COMPARE * port=1 adr=02 act=A66691A226107DF47E exp=A66691A226107DF47E + 35202.50ns INFO [00035204] * RD COMPARE * port=0 adr=03 act=125085FE2617155250 exp=125085FE2617155250 + 35202.50ns INFO [00035204] Port=0 RD @06 + 35203.50ns INFO [00035205] Port=0 RD @01 + 35203.50ns INFO [00035205] Port=1 RD @01 + 35204.50ns INFO [00035206] * RD COMPARE * port=0 adr=06 act=0B9957C8253E479D9D exp=0B9957C8253E479D9D + 35205.50ns INFO [00035207] * RD COMPARE * port=0 adr=01 act=C9ECF21E9844A12B3A exp=C9ECF21E9844A12B3A + 35205.50ns INFO [00035207] * RD COMPARE * port=1 adr=01 act=C9ECF21E9844A12B3A exp=C9ECF21E9844A12B3A + 35205.50ns INFO [00035207] Port=0 RD @03 + 35205.50ns INFO [00035207] Port=1 RD @05 + 35206.50ns INFO [00035208] Port=0 RD @01 + 35207.50ns INFO [00035209] * RD COMPARE * port=0 adr=03 act=125085FE2617155250 exp=125085FE2617155250 + 35207.50ns INFO [00035209] * RD COMPARE * port=1 adr=05 act=992445D6B04637AE43 exp=992445D6B04637AE43 + 35207.50ns INFO [00035209] Port=0 WR @02=26559EDD134549EA1C + 35208.50ns INFO [00035210] * RD COMPARE * port=0 adr=01 act=C9ECF21E9844A12B3A exp=C9ECF21E9844A12B3A + 35209.50ns INFO [00035211] Port=0 WR @00=6C7F7C2636CC32BF61 + 35209.50ns INFO [00035211] Port=1 RD @02 + 35210.50ns INFO [00035212] Port=0 RD @03 + 35211.50ns INFO [00035213] * RD COMPARE * port=1 adr=02 act=26559EDD134549EA1C exp=26559EDD134549EA1C + 35211.50ns INFO [00035213] Port=1 RD @04 + 35212.50ns INFO [00035214] * RD COMPARE * port=0 adr=03 act=125085FE2617155250 exp=125085FE2617155250 + 35213.50ns INFO [00035215] * RD COMPARE * port=1 adr=04 act=D6663A781ADDFD725F exp=D6663A781ADDFD725F + 35213.50ns INFO [00035215] Port=0 RD @00 + 35215.50ns INFO [00035217] * RD COMPARE * port=0 adr=00 act=6C7F7C2636CC32BF61 exp=6C7F7C2636CC32BF61 + 35215.50ns INFO [00035217] Port=0 RD @00 + 35217.50ns INFO [00035219] * RD COMPARE * port=0 adr=00 act=6C7F7C2636CC32BF61 exp=6C7F7C2636CC32BF61 + 35217.50ns INFO [00035219] Port=1 RD @02 + 35218.50ns INFO [00035220] Port=0 WR @06=6C842F0B30EB140DCB + 35219.50ns INFO [00035221] * RD COMPARE * port=1 adr=02 act=26559EDD134549EA1C exp=26559EDD134549EA1C + 35219.50ns INFO [00035221] Port=0 RD @04 + 35220.50ns INFO [00035222] Port=1 RD @04 + 35221.50ns INFO [00035223] * RD COMPARE * port=0 adr=04 act=D6663A781ADDFD725F exp=D6663A781ADDFD725F + 35221.50ns INFO [00035223] Port=0 WR @01=81954FD4FE1C411FCF + 35221.50ns INFO [00035223] Port=1 RD @06 + 35222.50ns INFO [00035224] * RD COMPARE * port=1 adr=04 act=D6663A781ADDFD725F exp=D6663A781ADDFD725F + 35223.50ns INFO [00035225] * RD COMPARE * port=1 adr=06 act=6C842F0B30EB140DCB exp=6C842F0B30EB140DCB + 35223.50ns INFO [00035225] Port=0 RD @05 + 35223.50ns INFO [00035225] Port=1 RD @04 + 35224.50ns INFO [00035226] Port=0 WR @07=85FA4194C44B3B4F44 + 35225.50ns INFO [00035227] * RD COMPARE * port=0 adr=05 act=992445D6B04637AE43 exp=992445D6B04637AE43 + 35225.50ns INFO [00035227] * RD COMPARE * port=1 adr=04 act=D6663A781ADDFD725F exp=D6663A781ADDFD725F + 35225.50ns INFO [00035227] Port=0 RD @04 + 35226.50ns INFO [00035228] Port=1 RD @07 + 35227.50ns INFO [00035229] * RD COMPARE * port=0 adr=04 act=D6663A781ADDFD725F exp=D6663A781ADDFD725F + 35227.50ns INFO [00035229] Port=0 WR @00=15F6696C276B25E11C + 35228.50ns INFO [00035230] * RD COMPARE * port=1 adr=07 act=85FA4194C44B3B4F44 exp=85FA4194C44B3B4F44 + 35228.50ns INFO [00035230] Port=0 WR @04=BA45C150687501CE3D + 35228.50ns INFO [00035230] Port=0 RD @06 + 35229.50ns INFO [00035231] Port=0 WR @07=A3E2EF5871D6AF30E7 + 35229.50ns INFO [00035231] Port=1 RD @03 + 35230.50ns INFO [00035232] * RD COMPARE * port=0 adr=06 act=6C842F0B30EB140DCB exp=6C842F0B30EB140DCB + 35230.50ns INFO [00035232] Port=0 RD @07 + 35231.50ns INFO [00035233] * RD COMPARE * port=1 adr=03 act=125085FE2617155250 exp=125085FE2617155250 + 35231.50ns INFO [00035233] Port=0 WR @04=B6BE99AB3B91F717A1 + 35232.50ns INFO [00035234] * RD COMPARE * port=0 adr=07 act=A3E2EF5871D6AF30E7 exp=A3E2EF5871D6AF30E7 + 35232.50ns INFO [00035234] Port=1 RD @04 + 35233.50ns INFO [00035235] Port=0 RD @06 + 35234.50ns INFO [00035236] * RD COMPARE * port=1 adr=04 act=B6BE99AB3B91F717A1 exp=B6BE99AB3B91F717A1 + 35235.50ns INFO [00035237] * RD COMPARE * port=0 adr=06 act=6C842F0B30EB140DCB exp=6C842F0B30EB140DCB + 35236.50ns INFO [00035238] Port=1 RD @02 + 35237.50ns INFO [00035239] Port=0 WR @06=2508931A8F6CBA0DB5 + 35237.50ns INFO [00035239] Port=0 RD @00 + 35238.50ns INFO [00035240] * RD COMPARE * port=1 adr=02 act=26559EDD134549EA1C exp=26559EDD134549EA1C + 35238.50ns INFO [00035240] Port=0 RD @05 + 35239.50ns INFO [00035241] * RD COMPARE * port=0 adr=00 act=15F6696C276B25E11C exp=15F6696C276B25E11C + 35239.50ns INFO [00035241] Port=0 RD @05 + 35240.50ns INFO [00035242] * RD COMPARE * port=0 adr=05 act=992445D6B04637AE43 exp=992445D6B04637AE43 + 35241.50ns INFO [00035243] * RD COMPARE * port=0 adr=05 act=992445D6B04637AE43 exp=992445D6B04637AE43 + 35241.50ns INFO [00035243] Port=0 WR @05=38F684AE450DA926C5 + 35241.50ns INFO [00035243] Port=0 RD @01 + 35241.50ns INFO [00035243] Port=1 RD @04 + 35242.50ns INFO [00035244] Port=0 WR @03=F797D774F12A3EAE9A + 35242.50ns INFO [00035244] Port=1 RD @04 + 35243.50ns INFO [00035245] * RD COMPARE * port=0 adr=01 act=81954FD4FE1C411FCF exp=81954FD4FE1C411FCF + 35243.50ns INFO [00035245] * RD COMPARE * port=1 adr=04 act=B6BE99AB3B91F717A1 exp=B6BE99AB3B91F717A1 + 35243.50ns INFO [00035245] Port=0 WR @04=5513C70DF772D34183 + 35243.50ns INFO [00035245] Port=1 RD @06 + 35244.50ns INFO [00035246] * RD COMPARE * port=1 adr=04 act=B6BE99AB3B91F717A1 exp=B6BE99AB3B91F717A1 + 35244.50ns INFO [00035246] Port=1 RD @04 + 35245.50ns INFO [00035247] * RD COMPARE * port=1 adr=06 act=2508931A8F6CBA0DB5 exp=2508931A8F6CBA0DB5 + 35245.50ns INFO [00035247] Port=0 RD @01 + 35245.50ns INFO [00035247] Port=1 RD @03 + 35246.50ns INFO [00035248] * RD COMPARE * port=1 adr=04 act=5513C70DF772D34183 exp=5513C70DF772D34183 + 35246.50ns INFO [00035248] Port=0 RD @07 + 35247.50ns INFO [00035249] * RD COMPARE * port=0 adr=01 act=81954FD4FE1C411FCF exp=81954FD4FE1C411FCF + 35247.50ns INFO [00035249] * RD COMPARE * port=1 adr=03 act=F797D774F12A3EAE9A exp=F797D774F12A3EAE9A + 35248.50ns INFO [00035250] * RD COMPARE * port=0 adr=07 act=A3E2EF5871D6AF30E7 exp=A3E2EF5871D6AF30E7 + 35249.50ns INFO [00035251] Port=0 WR @02=B10E4E4C7A24D1E2E0 + 35249.50ns INFO [00035251] Port=1 RD @01 + 35250.50ns INFO [00035252] Port=1 RD @04 + 35251.50ns INFO [00035253] * RD COMPARE * port=1 adr=01 act=81954FD4FE1C411FCF exp=81954FD4FE1C411FCF + 35252.50ns INFO [00035254] * RD COMPARE * port=1 adr=04 act=5513C70DF772D34183 exp=5513C70DF772D34183 + 35252.50ns INFO [00035254] Port=1 RD @00 + 35253.50ns INFO [00035255] Port=0 WR @03=FD0A0A9589456C0813 + 35253.50ns INFO [00035255] Port=0 RD @07 + 35254.50ns INFO [00035256] * RD COMPARE * port=1 adr=00 act=15F6696C276B25E11C exp=15F6696C276B25E11C + 35255.50ns INFO [00035257] * RD COMPARE * port=0 adr=07 act=A3E2EF5871D6AF30E7 exp=A3E2EF5871D6AF30E7 + 35256.50ns INFO [00035258] Port=0 WR @00=C9B33503B3456C1352 + 35256.50ns INFO [00035258] Port=0 RD @02 + 35257.50ns INFO [00035259] Port=0 WR @07=82005C4F662DD3C84A + 35258.50ns INFO [00035260] * RD COMPARE * port=0 adr=02 act=B10E4E4C7A24D1E2E0 exp=B10E4E4C7A24D1E2E0 + 35258.50ns INFO [00035260] Port=0 WR @07=D1D8241ACD1F32C8A0 + 35260.50ns INFO [00035262] Port=0 RD @03 + 35261.50ns INFO [00035263] Port=1 RD @05 + 35262.50ns INFO [00035264] * RD COMPARE * port=0 adr=03 act=FD0A0A9589456C0813 exp=FD0A0A9589456C0813 + 35262.50ns INFO [00035264] Port=0 RD @03 + 35263.50ns INFO [00035265] * RD COMPARE * port=1 adr=05 act=38F684AE450DA926C5 exp=38F684AE450DA926C5 + 35263.50ns INFO [00035265] Port=0 WR @00=10CF73753422ABDD38 + 35264.50ns INFO [00035266] * RD COMPARE * port=0 adr=03 act=FD0A0A9589456C0813 exp=FD0A0A9589456C0813 + 35265.50ns INFO [00035267] Port=0 WR @05=B38F4BD626B19ED51C + 35267.50ns INFO [00035269] Port=1 RD @04 + 35268.50ns INFO [00035270] Port=0 WR @06=E561F665EE385A7E29 + 35269.50ns INFO [00035271] * RD COMPARE * port=1 adr=04 act=5513C70DF772D34183 exp=5513C70DF772D34183 + 35269.50ns INFO [00035271] Port=0 RD @06 + 35269.50ns INFO [00035271] Port=1 RD @05 + 35271.50ns INFO [00035273] * RD COMPARE * port=0 adr=06 act=E561F665EE385A7E29 exp=E561F665EE385A7E29 + 35271.50ns INFO [00035273] * RD COMPARE * port=1 adr=05 act=B38F4BD626B19ED51C exp=B38F4BD626B19ED51C + 35271.50ns INFO [00035273] Port=0 WR @06=398A593EAC1C43C735 + 35271.50ns INFO [00035273] Port=0 RD @03 + 35272.50ns INFO [00035274] Port=0 WR @01=F5050096DA3AAE2CEC + 35273.50ns INFO [00035275] * RD COMPARE * port=0 adr=03 act=FD0A0A9589456C0813 exp=FD0A0A9589456C0813 + 35273.50ns INFO [00035275] Port=1 RD @00 + 35274.50ns INFO [00035276] Port=1 RD @00 + 35275.50ns INFO [00035277] * RD COMPARE * port=1 adr=00 act=10CF73753422ABDD38 exp=10CF73753422ABDD38 + 35276.50ns INFO [00035278] * RD COMPARE * port=1 adr=00 act=10CF73753422ABDD38 exp=10CF73753422ABDD38 + 35276.50ns INFO [00035278] Port=0 WR @00=74C43D90A8A36E4994 + 35277.50ns INFO [00035279] Port=0 WR @06=1D9562423206F8FF04 + 35277.50ns INFO [00035279] Port=1 RD @07 + 35278.50ns INFO [00035280] Port=0 WR @06=AFFF93394288850C50 + 35279.50ns INFO [00035281] * RD COMPARE * port=1 adr=07 act=D1D8241ACD1F32C8A0 exp=D1D8241ACD1F32C8A0 + 35280.50ns INFO [00035282] Port=0 WR @07=C511DE3B5E02E2CED0 + 35280.50ns INFO [00035282] Port=0 RD @00 + 35280.50ns INFO [00035282] Port=1 RD @06 + 35281.50ns INFO [00035283] Port=0 WR @02=2CD52BBD2CD3658B86 + 35281.50ns INFO [00035283] Port=0 RD @05 + 35282.50ns INFO [00035284] * RD COMPARE * port=0 adr=00 act=74C43D90A8A36E4994 exp=74C43D90A8A36E4994 + 35282.50ns INFO [00035284] * RD COMPARE * port=1 adr=06 act=AFFF93394288850C50 exp=AFFF93394288850C50 + 35282.50ns INFO [00035284] Port=1 RD @06 + 35283.50ns INFO [00035285] * RD COMPARE * port=0 adr=05 act=B38F4BD626B19ED51C exp=B38F4BD626B19ED51C + 35283.50ns INFO [00035285] Port=0 WR @00=717BA053DE3F951D4A + 35283.50ns INFO [00035285] Port=0 RD @05 + 35283.50ns INFO [00035285] Port=1 RD @05 + 35284.50ns INFO [00035286] * RD COMPARE * port=1 adr=06 act=AFFF93394288850C50 exp=AFFF93394288850C50 + 35284.50ns INFO [00035286] Port=1 RD @04 + 35285.50ns INFO [00035287] * RD COMPARE * port=0 adr=05 act=B38F4BD626B19ED51C exp=B38F4BD626B19ED51C + 35285.50ns INFO [00035287] * RD COMPARE * port=1 adr=05 act=B38F4BD626B19ED51C exp=B38F4BD626B19ED51C + 35285.50ns INFO [00035287] Port=1 RD @00 + 35286.50ns INFO [00035288] * RD COMPARE * port=1 adr=04 act=5513C70DF772D34183 exp=5513C70DF772D34183 + 35286.50ns INFO [00035288] Port=0 WR @03=4B735F13C860B2664B + 35287.50ns INFO [00035289] * RD COMPARE * port=1 adr=00 act=717BA053DE3F951D4A exp=717BA053DE3F951D4A + 35287.50ns INFO [00035289] Port=1 RD @02 + 35288.50ns INFO [00035290] Port=0 RD @02 + 35288.50ns INFO [00035290] Port=1 RD @06 + 35289.50ns INFO [00035291] * RD COMPARE * port=1 adr=02 act=2CD52BBD2CD3658B86 exp=2CD52BBD2CD3658B86 + 35289.50ns INFO [00035291] Port=1 RD @04 + 35290.50ns INFO [00035292] * RD COMPARE * port=0 adr=02 act=2CD52BBD2CD3658B86 exp=2CD52BBD2CD3658B86 + 35290.50ns INFO [00035292] * RD COMPARE * port=1 adr=06 act=AFFF93394288850C50 exp=AFFF93394288850C50 + 35290.50ns INFO [00035292] Port=1 RD @00 + 35291.50ns INFO [00035293] * RD COMPARE * port=1 adr=04 act=5513C70DF772D34183 exp=5513C70DF772D34183 + 35291.50ns INFO [00035293] Port=1 RD @03 + 35292.50ns INFO [00035294] * RD COMPARE * port=1 adr=00 act=717BA053DE3F951D4A exp=717BA053DE3F951D4A + 35292.50ns INFO [00035294] Port=0 RD @03 + 35293.50ns INFO [00035295] * RD COMPARE * port=1 adr=03 act=4B735F13C860B2664B exp=4B735F13C860B2664B + 35293.50ns INFO [00035295] Port=0 WR @05=33C43AE4D0264AB7A7 + 35293.50ns INFO [00035295] Port=0 RD @00 + 35294.50ns INFO [00035296] * RD COMPARE * port=0 adr=03 act=4B735F13C860B2664B exp=4B735F13C860B2664B + 35294.50ns INFO [00035296] Port=0 WR @05=D52B20B3D6FA98618D + 35294.50ns INFO [00035296] Port=0 RD @03 + 35295.50ns INFO [00035297] * RD COMPARE * port=0 adr=00 act=717BA053DE3F951D4A exp=717BA053DE3F951D4A + 35295.50ns INFO [00035297] Port=1 RD @05 + 35296.50ns INFO [00035298] * RD COMPARE * port=0 adr=03 act=4B735F13C860B2664B exp=4B735F13C860B2664B + 35296.50ns INFO [00035298] Port=0 RD @05 + 35296.50ns INFO [00035298] Port=1 RD @06 + 35297.50ns INFO [00035299] * RD COMPARE * port=1 adr=05 act=D52B20B3D6FA98618D exp=D52B20B3D6FA98618D + 35298.00ns INFO [00035300] [00035300] ...tick... + 35298.50ns INFO [00035300] * RD COMPARE * port=0 adr=05 act=D52B20B3D6FA98618D exp=D52B20B3D6FA98618D + 35298.50ns INFO [00035300] * RD COMPARE * port=1 adr=06 act=AFFF93394288850C50 exp=AFFF93394288850C50 + 35298.50ns INFO [00035300] Port=0 RD @05 + 35298.50ns INFO [00035300] Port=1 RD @04 + 35300.50ns INFO [00035302] * RD COMPARE * port=0 adr=05 act=D52B20B3D6FA98618D exp=D52B20B3D6FA98618D + 35300.50ns INFO [00035302] * RD COMPARE * port=1 adr=04 act=5513C70DF772D34183 exp=5513C70DF772D34183 + 35300.50ns INFO [00035302] Port=1 RD @06 + 35301.50ns INFO [00035303] Port=0 WR @04=2171B90BF70D2336EF + 35301.50ns INFO [00035303] Port=0 RD @01 + 35301.50ns INFO [00035303] Port=1 RD @06 + 35302.50ns INFO [00035304] * RD COMPARE * port=1 adr=06 act=AFFF93394288850C50 exp=AFFF93394288850C50 + 35302.50ns INFO [00035304] Port=0 WR @04=2C53107CEF0BE7E215 + 35302.50ns INFO [00035304] Port=0 RD @00 + 35302.50ns INFO [00035304] Port=1 RD @03 + 35303.50ns INFO [00035305] * RD COMPARE * port=0 adr=01 act=F5050096DA3AAE2CEC exp=F5050096DA3AAE2CEC + 35303.50ns INFO [00035305] * RD COMPARE * port=1 adr=06 act=AFFF93394288850C50 exp=AFFF93394288850C50 + 35303.50ns INFO [00035305] Port=0 WR @03=43C8CCFA930FFE8425 + 35303.50ns INFO [00035305] Port=1 RD @07 + 35304.50ns INFO [00035306] * RD COMPARE * port=0 adr=00 act=717BA053DE3F951D4A exp=717BA053DE3F951D4A + 35304.50ns INFO [00035306] * RD COMPARE * port=1 adr=03 act=4B735F13C860B2664B exp=4B735F13C860B2664B + 35305.50ns INFO [00035307] * RD COMPARE * port=1 adr=07 act=C511DE3B5E02E2CED0 exp=C511DE3B5E02E2CED0 + 35305.50ns INFO [00035307] Port=1 RD @06 + 35306.50ns INFO [00035308] Port=0 WR @03=65A1C02A536B5723AC + 35306.50ns INFO [00035308] Port=0 RD @01 + 35307.50ns INFO [00035309] * RD COMPARE * port=1 adr=06 act=AFFF93394288850C50 exp=AFFF93394288850C50 + 35307.50ns INFO [00035309] Port=1 RD @06 + 35308.50ns INFO [00035310] * RD COMPARE * port=0 adr=01 act=F5050096DA3AAE2CEC exp=F5050096DA3AAE2CEC + 35308.50ns INFO [00035310] Port=0 WR @06=B51674BD390FF52FD3 + 35308.50ns INFO [00035310] Port=0 RD @00 + 35309.50ns INFO [00035311] * RD COMPARE * port=1 adr=06 act=AFFF93394288850C50 exp=AFFF93394288850C50 + 35310.50ns INFO [00035312] * RD COMPARE * port=0 adr=00 act=717BA053DE3F951D4A exp=717BA053DE3F951D4A + 35310.50ns INFO [00035312] Port=0 WR @00=194C78A1F7898046FC + 35310.50ns INFO [00035312] Port=0 RD @03 + 35310.50ns INFO [00035312] Port=1 RD @07 + 35311.50ns INFO [00035313] Port=0 WR @03=F2CD7042A4E824177F + 35312.50ns INFO [00035314] * RD COMPARE * port=0 adr=03 act=65A1C02A536B5723AC exp=65A1C02A536B5723AC + 35312.50ns INFO [00035314] * RD COMPARE * port=1 adr=07 act=C511DE3B5E02E2CED0 exp=C511DE3B5E02E2CED0 + 35312.50ns INFO [00035314] Port=1 RD @02 + 35313.50ns INFO [00035315] Port=0 WR @05=913F778A3262262567 + 35314.50ns INFO [00035316] * RD COMPARE * port=1 adr=02 act=2CD52BBD2CD3658B86 exp=2CD52BBD2CD3658B86 + 35314.50ns INFO [00035316] Port=0 WR @07=72FD1812091B5F0BF7 + 35314.50ns INFO [00035316] Port=0 RD @04 + 35314.50ns INFO [00035316] Port=1 RD @04 + 35315.50ns INFO [00035317] Port=0 WR @04=D407962AF0E1DD33EC + 35316.50ns INFO [00035318] * RD COMPARE * port=0 adr=04 act=2C53107CEF0BE7E215 exp=2C53107CEF0BE7E215 + 35316.50ns INFO [00035318] * RD COMPARE * port=1 adr=04 act=2C53107CEF0BE7E215 exp=2C53107CEF0BE7E215 + 35317.50ns INFO [00035319] Port=0 RD @06 + 35317.50ns INFO [00035319] Port=1 RD @04 + 35318.50ns INFO [00035320] Port=1 RD @05 + 35319.50ns INFO [00035321] * RD COMPARE * port=0 adr=06 act=B51674BD390FF52FD3 exp=B51674BD390FF52FD3 + 35319.50ns INFO [00035321] * RD COMPARE * port=1 adr=04 act=D407962AF0E1DD33EC exp=D407962AF0E1DD33EC + 35320.50ns INFO [00035322] * RD COMPARE * port=1 adr=05 act=913F778A3262262567 exp=913F778A3262262567 + 35321.50ns INFO [00035323] Port=1 RD @02 + 35322.50ns INFO [00035324] Port=1 RD @06 + 35323.50ns INFO [00035325] * RD COMPARE * port=1 adr=02 act=2CD52BBD2CD3658B86 exp=2CD52BBD2CD3658B86 + 35323.50ns INFO [00035325] Port=0 WR @00=5FAD72F556F33AB5F8 + 35324.50ns INFO [00035326] * RD COMPARE * port=1 adr=06 act=B51674BD390FF52FD3 exp=B51674BD390FF52FD3 + 35324.50ns INFO [00035326] Port=0 RD @01 + 35324.50ns INFO [00035326] Port=1 RD @07 + 35325.50ns INFO [00035327] Port=0 WR @06=2FE59EBFD887144147 + 35326.50ns INFO [00035328] * RD COMPARE * port=0 adr=01 act=F5050096DA3AAE2CEC exp=F5050096DA3AAE2CEC + 35326.50ns INFO [00035328] * RD COMPARE * port=1 adr=07 act=72FD1812091B5F0BF7 exp=72FD1812091B5F0BF7 + 35327.50ns INFO [00035329] Port=0 WR @06=A3D719144299716AEA + 35327.50ns INFO [00035329] Port=0 RD @03 + 35327.50ns INFO [00035329] Port=1 RD @05 + 35328.50ns INFO [00035330] Port=0 WR @03=0D3BC75C814DEC1933 + 35328.50ns INFO [00035330] Port=0 RD @06 + 35329.50ns INFO [00035331] * RD COMPARE * port=0 adr=03 act=F2CD7042A4E824177F exp=F2CD7042A4E824177F + 35329.50ns INFO [00035331] * RD COMPARE * port=1 adr=05 act=913F778A3262262567 exp=913F778A3262262567 + 35329.50ns INFO [00035331] Port=0 WR @01=63C0DA413C3BE5B396 + 35329.50ns INFO [00035331] Port=0 RD @05 + 35330.50ns INFO [00035332] * RD COMPARE * port=0 adr=06 act=A3D719144299716AEA exp=A3D719144299716AEA + 35330.50ns INFO [00035332] Port=0 WR @01=E00F9260B2D0B17A0E + 35330.50ns INFO [00035332] Port=1 RD @03 + 35331.50ns INFO [00035333] * RD COMPARE * port=0 adr=05 act=913F778A3262262567 exp=913F778A3262262567 + 35331.50ns INFO [00035333] Port=0 WR @02=478B937754FA026342 + 35332.50ns INFO [00035334] * RD COMPARE * port=1 adr=03 act=0D3BC75C814DEC1933 exp=0D3BC75C814DEC1933 + 35332.50ns INFO [00035334] Port=0 WR @07=AAC78B55B5FD660127 + 35332.50ns INFO [00035334] Port=1 RD @01 + 35333.50ns INFO [00035335] Port=0 WR @05=215EFEA3CC1B0418EF + 35334.50ns INFO [00035336] * RD COMPARE * port=1 adr=01 act=E00F9260B2D0B17A0E exp=E00F9260B2D0B17A0E + 35334.50ns INFO [00035336] Port=0 WR @00=AD56EADC38998D6156 + 35334.50ns INFO [00035336] Port=0 RD @07 + 35334.50ns INFO [00035336] Port=1 RD @06 + 35335.50ns INFO [00035337] Port=0 WR @01=4D9976FF35F16A87BF + 35336.50ns INFO [00035338] * RD COMPARE * port=0 adr=07 act=AAC78B55B5FD660127 exp=AAC78B55B5FD660127 + 35336.50ns INFO [00035338] * RD COMPARE * port=1 adr=06 act=A3D719144299716AEA exp=A3D719144299716AEA + 35336.50ns INFO [00035338] Port=0 WR @07=6AD45F313EE095BC26 + 35336.50ns INFO [00035338] Port=0 RD @02 + 35338.50ns INFO [00035340] * RD COMPARE * port=0 adr=02 act=478B937754FA026342 exp=478B937754FA026342 + 35338.50ns INFO [00035340] Port=0 RD @05 + 35338.50ns INFO [00035340] Port=1 RD @05 + 35339.50ns INFO [00035341] Port=0 WR @01=3283665FDC832472B1 + 35339.50ns INFO [00035341] Port=0 RD @03 + 35340.50ns INFO [00035342] * RD COMPARE * port=0 adr=05 act=215EFEA3CC1B0418EF exp=215EFEA3CC1B0418EF + 35340.50ns INFO [00035342] * RD COMPARE * port=1 adr=05 act=215EFEA3CC1B0418EF exp=215EFEA3CC1B0418EF + 35341.50ns INFO [00035343] * RD COMPARE * port=0 adr=03 act=0D3BC75C814DEC1933 exp=0D3BC75C814DEC1933 + 35342.50ns INFO [00035344] Port=1 RD @02 + 35343.50ns INFO [00035345] Port=0 RD @07 + 35344.50ns INFO [00035346] * RD COMPARE * port=1 adr=02 act=478B937754FA026342 exp=478B937754FA026342 + 35344.50ns INFO [00035346] Port=0 WR @06=7561F3E8EBBEF2AEE1 + 35345.50ns INFO [00035347] * RD COMPARE * port=0 adr=07 act=6AD45F313EE095BC26 exp=6AD45F313EE095BC26 + 35346.50ns INFO [00035348] Port=0 WR @07=2CB68C2E01E9AC4314 + 35346.50ns INFO [00035348] Port=0 RD @04 + 35347.50ns INFO [00035349] Port=0 WR @02=A9E61229F0EC995029 + 35347.50ns INFO [00035349] Port=0 RD @00 + 35348.50ns INFO [00035350] * RD COMPARE * port=0 adr=04 act=D407962AF0E1DD33EC exp=D407962AF0E1DD33EC + 35348.50ns INFO [00035350] Port=0 RD @06 + 35349.50ns INFO [00035351] * RD COMPARE * port=0 adr=00 act=AD56EADC38998D6156 exp=AD56EADC38998D6156 + 35350.50ns INFO [00035352] * RD COMPARE * port=0 adr=06 act=7561F3E8EBBEF2AEE1 exp=7561F3E8EBBEF2AEE1 + 35350.50ns INFO [00035352] Port=0 WR @05=40F2AC0006B9B29749 + 35350.50ns INFO [00035352] Port=1 RD @02 + 35351.50ns INFO [00035353] Port=0 WR @03=BF620D804472A4F6C7 + 35351.50ns INFO [00035353] Port=1 RD @00 + 35352.50ns INFO [00035354] * RD COMPARE * port=1 adr=02 act=A9E61229F0EC995029 exp=A9E61229F0EC995029 + 35352.50ns INFO [00035354] Port=0 RD @04 + 35353.50ns INFO [00035355] * RD COMPARE * port=1 adr=00 act=AD56EADC38998D6156 exp=AD56EADC38998D6156 + 35353.50ns INFO [00035355] Port=1 RD @02 + 35354.50ns INFO [00035356] * RD COMPARE * port=0 adr=04 act=D407962AF0E1DD33EC exp=D407962AF0E1DD33EC + 35354.50ns INFO [00035356] Port=0 RD @07 + 35355.50ns INFO [00035357] * RD COMPARE * port=1 adr=02 act=A9E61229F0EC995029 exp=A9E61229F0EC995029 + 35355.50ns INFO [00035357] Port=0 WR @05=69035F09F924A969F1 + 35355.50ns INFO [00035357] Port=1 RD @00 + 35356.50ns INFO [00035358] * RD COMPARE * port=0 adr=07 act=2CB68C2E01E9AC4314 exp=2CB68C2E01E9AC4314 + 35357.50ns INFO [00035359] * RD COMPARE * port=1 adr=00 act=AD56EADC38998D6156 exp=AD56EADC38998D6156 + 35357.50ns INFO [00035359] Port=1 RD @01 + 35358.50ns INFO [00035360] Port=0 RD @06 + 35359.50ns INFO [00035361] * RD COMPARE * port=1 adr=01 act=3283665FDC832472B1 exp=3283665FDC832472B1 + 35359.50ns INFO [00035361] Port=0 RD @05 + 35360.50ns INFO [00035362] * RD COMPARE * port=0 adr=06 act=7561F3E8EBBEF2AEE1 exp=7561F3E8EBBEF2AEE1 + 35360.50ns INFO [00035362] Port=0 RD @05 + 35361.50ns INFO [00035363] * RD COMPARE * port=0 adr=05 act=69035F09F924A969F1 exp=69035F09F924A969F1 + 35361.50ns INFO [00035363] Port=0 RD @01 + 35361.50ns INFO [00035363] Port=1 RD @01 + 35362.50ns INFO [00035364] * RD COMPARE * port=0 adr=05 act=69035F09F924A969F1 exp=69035F09F924A969F1 + 35362.50ns INFO [00035364] Port=0 WR @04=001A17734F2ABD9EDB + 35362.50ns INFO [00035364] Port=0 RD @01 + 35363.50ns INFO [00035365] * RD COMPARE * port=0 adr=01 act=3283665FDC832472B1 exp=3283665FDC832472B1 + 35363.50ns INFO [00035365] * RD COMPARE * port=1 adr=01 act=3283665FDC832472B1 exp=3283665FDC832472B1 + 35363.50ns INFO [00035365] Port=0 WR @01=12B6274FAFAE6E4354 + 35364.50ns INFO [00035366] * RD COMPARE * port=0 adr=01 act=3283665FDC832472B1 exp=3283665FDC832472B1 + 35364.50ns INFO [00035366] Port=0 WR @01=85045C6FEF9960FFB9 + 35364.50ns INFO [00035366] Port=1 RD @02 + 35365.50ns INFO [00035367] Port=0 WR @06=04C3FF8C0DC3D8FE76 + 35365.50ns INFO [00035367] Port=0 RD @07 + 35365.50ns INFO [00035367] Port=1 RD @04 + 35366.50ns INFO [00035368] * RD COMPARE * port=1 adr=02 act=A9E61229F0EC995029 exp=A9E61229F0EC995029 + 35366.50ns INFO [00035368] Port=0 RD @06 + 35367.50ns INFO [00035369] * RD COMPARE * port=0 adr=07 act=2CB68C2E01E9AC4314 exp=2CB68C2E01E9AC4314 + 35367.50ns INFO [00035369] * RD COMPARE * port=1 adr=04 act=001A17734F2ABD9EDB exp=001A17734F2ABD9EDB + 35367.50ns INFO [00035369] Port=0 WR @06=7B99C9C9C1A229B295 + 35367.50ns INFO [00035369] Port=0 RD @04 + 35367.50ns INFO [00035369] Port=1 RD @07 + 35368.50ns INFO [00035370] * RD COMPARE * port=0 adr=06 act=04C3FF8C0DC3D8FE76 exp=04C3FF8C0DC3D8FE76 + 35369.50ns INFO [00035371] * RD COMPARE * port=0 adr=04 act=001A17734F2ABD9EDB exp=001A17734F2ABD9EDB + 35369.50ns INFO [00035371] * RD COMPARE * port=1 adr=07 act=2CB68C2E01E9AC4314 exp=2CB68C2E01E9AC4314 + 35369.50ns INFO [00035371] Port=0 WR @05=A71EB0ECD62BCCC829 + 35369.50ns INFO [00035371] Port=0 RD @07 + 35371.50ns INFO [00035373] * RD COMPARE * port=0 adr=07 act=2CB68C2E01E9AC4314 exp=2CB68C2E01E9AC4314 + 35372.50ns INFO [00035374] Port=0 RD @03 + 35373.50ns INFO [00035375] Port=0 RD @05 + 35373.50ns INFO [00035375] Port=1 RD @00 + 35374.50ns INFO [00035376] * RD COMPARE * port=0 adr=03 act=BF620D804472A4F6C7 exp=BF620D804472A4F6C7 + 35374.50ns INFO [00035376] Port=1 RD @07 + 35375.50ns INFO [00035377] * RD COMPARE * port=0 adr=05 act=A71EB0ECD62BCCC829 exp=A71EB0ECD62BCCC829 + 35375.50ns INFO [00035377] * RD COMPARE * port=1 adr=00 act=AD56EADC38998D6156 exp=AD56EADC38998D6156 + 35375.50ns INFO [00035377] Port=0 WR @05=71F724BB4588C42E15 + 35375.50ns INFO [00035377] Port=1 RD @06 + 35376.50ns INFO [00035378] * RD COMPARE * port=1 adr=07 act=2CB68C2E01E9AC4314 exp=2CB68C2E01E9AC4314 + 35376.50ns INFO [00035378] Port=1 RD @00 + 35377.50ns INFO [00035379] * RD COMPARE * port=1 adr=06 act=7B99C9C9C1A229B295 exp=7B99C9C9C1A229B295 + 35377.50ns INFO [00035379] Port=0 RD @02 + 35378.50ns INFO [00035380] * RD COMPARE * port=1 adr=00 act=AD56EADC38998D6156 exp=AD56EADC38998D6156 + 35378.50ns INFO [00035380] Port=0 WR @04=4283A619533F1E5BFD + 35378.50ns INFO [00035380] Port=0 RD @07 + 35379.50ns INFO [00035381] * RD COMPARE * port=0 adr=02 act=A9E61229F0EC995029 exp=A9E61229F0EC995029 + 35379.50ns INFO [00035381] Port=0 RD @07 + 35379.50ns INFO [00035381] Port=1 RD @04 + 35380.50ns INFO [00035382] * RD COMPARE * port=0 adr=07 act=2CB68C2E01E9AC4314 exp=2CB68C2E01E9AC4314 + 35381.50ns INFO [00035383] * RD COMPARE * port=0 adr=07 act=2CB68C2E01E9AC4314 exp=2CB68C2E01E9AC4314 + 35381.50ns INFO [00035383] * RD COMPARE * port=1 adr=04 act=4283A619533F1E5BFD exp=4283A619533F1E5BFD + 35381.50ns INFO [00035383] Port=1 RD @01 + 35382.50ns INFO [00035384] Port=1 RD @02 + 35383.50ns INFO [00035385] * RD COMPARE * port=1 adr=01 act=85045C6FEF9960FFB9 exp=85045C6FEF9960FFB9 + 35383.50ns INFO [00035385] Port=0 WR @07=51978405F70A9F2A13 + 35383.50ns INFO [00035385] Port=0 RD @05 + 35384.50ns INFO [00035386] * RD COMPARE * port=1 adr=02 act=A9E61229F0EC995029 exp=A9E61229F0EC995029 + 35384.50ns INFO [00035386] Port=0 RD @04 + 35384.50ns INFO [00035386] Port=1 RD @01 + 35385.50ns INFO [00035387] * RD COMPARE * port=0 adr=05 act=71F724BB4588C42E15 exp=71F724BB4588C42E15 + 35386.50ns INFO [00035388] * RD COMPARE * port=0 adr=04 act=4283A619533F1E5BFD exp=4283A619533F1E5BFD + 35386.50ns INFO [00035388] * RD COMPARE * port=1 adr=01 act=85045C6FEF9960FFB9 exp=85045C6FEF9960FFB9 + 35386.50ns INFO [00035388] Port=0 WR @00=6196C293B9845900D1 + 35388.50ns INFO [00035390] Port=0 RD @04 + 35388.50ns INFO [00035390] Port=1 RD @07 + 35389.50ns INFO [00035391] Port=0 WR @06=A423B972AA198FDBBD + 35389.50ns INFO [00035391] Port=1 RD @05 + 35390.50ns INFO [00035392] * RD COMPARE * port=0 adr=04 act=4283A619533F1E5BFD exp=4283A619533F1E5BFD + 35390.50ns INFO [00035392] * RD COMPARE * port=1 adr=07 act=51978405F70A9F2A13 exp=51978405F70A9F2A13 + 35391.50ns INFO [00035393] * RD COMPARE * port=1 adr=05 act=71F724BB4588C42E15 exp=71F724BB4588C42E15 + 35391.50ns INFO [00035393] Port=0 RD @04 + 35391.50ns INFO [00035393] Port=1 RD @00 + 35392.50ns INFO [00035394] Port=0 RD @01 + 35393.50ns INFO [00035395] * RD COMPARE * port=0 adr=04 act=4283A619533F1E5BFD exp=4283A619533F1E5BFD + 35393.50ns INFO [00035395] * RD COMPARE * port=1 adr=00 act=6196C293B9845900D1 exp=6196C293B9845900D1 + 35393.50ns INFO [00035395] Port=0 RD @04 + 35394.50ns INFO [00035396] * RD COMPARE * port=0 adr=01 act=85045C6FEF9960FFB9 exp=85045C6FEF9960FFB9 + 35395.50ns INFO [00035397] * RD COMPARE * port=0 adr=04 act=4283A619533F1E5BFD exp=4283A619533F1E5BFD + 35395.50ns INFO [00035397] Port=0 WR @06=063C42526257408886 + 35395.50ns INFO [00035397] Port=0 RD @03 + 35396.50ns INFO [00035398] Port=0 RD @01 + 35396.50ns INFO [00035398] Port=1 RD @01 + 35397.50ns INFO [00035399] * RD COMPARE * port=0 adr=03 act=BF620D804472A4F6C7 exp=BF620D804472A4F6C7 + 35397.50ns INFO [00035399] Port=0 WR @06=43E04DABB180F17A80 + 35397.50ns INFO [00035399] Port=0 RD @02 + 35398.00ns INFO [00035400] [00035400] ...tick... + 35398.50ns INFO [00035400] * RD COMPARE * port=0 adr=01 act=85045C6FEF9960FFB9 exp=85045C6FEF9960FFB9 + 35398.50ns INFO [00035400] * RD COMPARE * port=1 adr=01 act=85045C6FEF9960FFB9 exp=85045C6FEF9960FFB9 + 35398.50ns INFO [00035400] Port=0 WR @01=8A09626A0A6C54ADAD + 35398.50ns INFO [00035400] Port=1 RD @02 + 35399.50ns INFO [00035401] * RD COMPARE * port=0 adr=02 act=A9E61229F0EC995029 exp=A9E61229F0EC995029 + 35399.50ns INFO [00035401] Port=1 RD @03 + 35400.50ns INFO [00035402] * RD COMPARE * port=1 adr=02 act=A9E61229F0EC995029 exp=A9E61229F0EC995029 + 35401.50ns INFO [00035403] * RD COMPARE * port=1 adr=03 act=BF620D804472A4F6C7 exp=BF620D804472A4F6C7 + 35401.50ns INFO [00035403] Port=0 RD @03 + 35402.50ns INFO [00035404] Port=1 RD @06 + 35403.50ns INFO [00035405] * RD COMPARE * port=0 adr=03 act=BF620D804472A4F6C7 exp=BF620D804472A4F6C7 + 35403.50ns INFO [00035405] Port=0 RD @05 + 35404.50ns INFO [00035406] * RD COMPARE * port=1 adr=06 act=43E04DABB180F17A80 exp=43E04DABB180F17A80 + 35405.50ns INFO [00035407] * RD COMPARE * port=0 adr=05 act=71F724BB4588C42E15 exp=71F724BB4588C42E15 + 35405.50ns INFO [00035407] Port=0 RD @02 + 35405.50ns INFO [00035407] Port=1 RD @00 + 35406.50ns INFO [00035408] Port=1 RD @06 + 35407.50ns INFO [00035409] * RD COMPARE * port=0 adr=02 act=A9E61229F0EC995029 exp=A9E61229F0EC995029 + 35407.50ns INFO [00035409] * RD COMPARE * port=1 adr=00 act=6196C293B9845900D1 exp=6196C293B9845900D1 + 35407.50ns INFO [00035409] Port=0 RD @05 + 35408.50ns INFO [00035410] * RD COMPARE * port=1 adr=06 act=43E04DABB180F17A80 exp=43E04DABB180F17A80 + 35408.50ns INFO [00035410] Port=0 WR @07=9A4CAD6D103745FEB0 + 35409.50ns INFO [00035411] * RD COMPARE * port=0 adr=05 act=71F724BB4588C42E15 exp=71F724BB4588C42E15 + 35409.50ns INFO [00035411] Port=1 RD @04 + 35411.50ns INFO [00035413] * RD COMPARE * port=1 adr=04 act=4283A619533F1E5BFD exp=4283A619533F1E5BFD + 35412.50ns INFO [00035414] Port=0 WR @04=219B9A80B9E95F7D20 + 35412.50ns INFO [00035414] Port=0 RD @01 + 35412.50ns INFO [00035414] Port=1 RD @07 + 35413.50ns INFO [00035415] Port=0 WR @01=370590E538EB430106 + 35414.50ns INFO [00035416] * RD COMPARE * port=0 adr=01 act=8A09626A0A6C54ADAD exp=8A09626A0A6C54ADAD + 35414.50ns INFO [00035416] * RD COMPARE * port=1 adr=07 act=9A4CAD6D103745FEB0 exp=9A4CAD6D103745FEB0 + 35414.50ns INFO [00035416] Port=1 RD @07 + 35415.50ns INFO [00035417] Port=0 RD @00 + 35416.50ns INFO [00035418] * RD COMPARE * port=1 adr=07 act=9A4CAD6D103745FEB0 exp=9A4CAD6D103745FEB0 + 35416.50ns INFO [00035418] Port=0 RD @06 + 35416.50ns INFO [00035418] Port=1 RD @01 + 35417.50ns INFO [00035419] * RD COMPARE * port=0 adr=00 act=6196C293B9845900D1 exp=6196C293B9845900D1 + 35417.50ns INFO [00035419] Port=0 WR @03=B781BB1F70E8915EAD + 35418.50ns INFO [00035420] * RD COMPARE * port=0 adr=06 act=43E04DABB180F17A80 exp=43E04DABB180F17A80 + 35418.50ns INFO [00035420] * RD COMPARE * port=1 adr=01 act=370590E538EB430106 exp=370590E538EB430106 + 35418.50ns INFO [00035420] Port=0 WR @02=E07BE637FD5FBB1BDB + 35419.50ns INFO [00035421] Port=1 RD @04 + 35421.50ns INFO [00035423] * RD COMPARE * port=1 adr=04 act=219B9A80B9E95F7D20 exp=219B9A80B9E95F7D20 + 35421.50ns INFO [00035423] Port=0 WR @01=AA41B576D5335C6B93 + 35423.50ns INFO [00035425] Port=1 RD @05 + 35424.50ns INFO [00035426] Port=0 WR @04=5985C669399B476FC0 + 35425.50ns INFO [00035427] * RD COMPARE * port=1 adr=05 act=71F724BB4588C42E15 exp=71F724BB4588C42E15 + 35425.50ns INFO [00035427] Port=0 WR @06=72951A92747BEB0F01 + 35425.50ns INFO [00035427] Port=0 RD @02 + 35426.50ns INFO [00035428] Port=0 WR @04=84DCA59647A21F2B0B + 35426.50ns INFO [00035428] Port=1 RD @05 + 35427.50ns INFO [00035429] * RD COMPARE * port=0 adr=02 act=E07BE637FD5FBB1BDB exp=E07BE637FD5FBB1BDB + 35427.50ns INFO [00035429] Port=0 RD @04 + 35428.50ns INFO [00035430] * RD COMPARE * port=1 adr=05 act=71F724BB4588C42E15 exp=71F724BB4588C42E15 + 35428.50ns INFO [00035430] Port=0 RD @06 + 35428.50ns INFO [00035430] Port=1 RD @03 + 35429.50ns INFO [00035431] * RD COMPARE * port=0 adr=04 act=84DCA59647A21F2B0B exp=84DCA59647A21F2B0B + 35429.50ns INFO [00035431] Port=0 WR @00=03A64EE14014052DA8 + 35429.50ns INFO [00035431] Port=0 RD @07 + 35429.50ns INFO [00035431] Port=1 RD @01 + 35430.50ns INFO [00035432] * RD COMPARE * port=0 adr=06 act=72951A92747BEB0F01 exp=72951A92747BEB0F01 + 35430.50ns INFO [00035432] * RD COMPARE * port=1 adr=03 act=B781BB1F70E8915EAD exp=B781BB1F70E8915EAD + 35430.50ns INFO [00035432] Port=1 RD @00 + 35431.50ns INFO [00035433] * RD COMPARE * port=0 adr=07 act=9A4CAD6D103745FEB0 exp=9A4CAD6D103745FEB0 + 35431.50ns INFO [00035433] * RD COMPARE * port=1 adr=01 act=AA41B576D5335C6B93 exp=AA41B576D5335C6B93 + 35432.50ns INFO [00035434] * RD COMPARE * port=1 adr=00 act=03A64EE14014052DA8 exp=03A64EE14014052DA8 + 35432.50ns INFO [00035434] Port=0 RD @05 + 35433.50ns INFO [00035435] Port=0 WR @05=4D23E6C24DAC4F98D8 + 35434.50ns INFO [00035436] * RD COMPARE * port=0 adr=05 act=71F724BB4588C42E15 exp=71F724BB4588C42E15 + 35434.50ns INFO [00035436] Port=0 RD @04 + 35434.50ns INFO [00035436] Port=1 RD @06 + 35436.50ns INFO [00035438] * RD COMPARE * port=0 adr=04 act=84DCA59647A21F2B0B exp=84DCA59647A21F2B0B + 35436.50ns INFO [00035438] * RD COMPARE * port=1 adr=06 act=72951A92747BEB0F01 exp=72951A92747BEB0F01 + 35436.50ns INFO [00035438] Port=1 RD @01 + 35437.50ns INFO [00035439] Port=0 WR @04=F5B9FAFCEFBE70E8F5 + 35438.50ns INFO [00035440] * RD COMPARE * port=1 adr=01 act=AA41B576D5335C6B93 exp=AA41B576D5335C6B93 + 35438.50ns INFO [00035440] Port=0 RD @07 + 35440.50ns INFO [00035442] * RD COMPARE * port=0 adr=07 act=9A4CAD6D103745FEB0 exp=9A4CAD6D103745FEB0 + 35440.50ns INFO [00035442] Port=0 WR @07=85B1AD5E0312A990C3 + 35441.50ns INFO [00035443] Port=0 RD @02 + 35443.50ns INFO [00035445] * RD COMPARE * port=0 adr=02 act=E07BE637FD5FBB1BDB exp=E07BE637FD5FBB1BDB + 35443.50ns INFO [00035445] Port=0 WR @00=8E72DC3AA54E416E7C + 35443.50ns INFO [00035445] Port=0 RD @02 + 35444.50ns INFO [00035446] Port=1 RD @04 + 35445.50ns INFO [00035447] * RD COMPARE * port=0 adr=02 act=E07BE637FD5FBB1BDB exp=E07BE637FD5FBB1BDB + 35445.50ns INFO [00035447] Port=0 RD @05 + 35446.50ns INFO [00035448] * RD COMPARE * port=1 adr=04 act=F5B9FAFCEFBE70E8F5 exp=F5B9FAFCEFBE70E8F5 + 35447.50ns INFO [00035449] * RD COMPARE * port=0 adr=05 act=4D23E6C24DAC4F98D8 exp=4D23E6C24DAC4F98D8 + 35447.50ns INFO [00035449] Port=0 WR @05=2DE50A274DCDF5DB62 + 35447.50ns INFO [00035449] Port=0 RD @03 + 35447.50ns INFO [00035449] Port=1 RD @06 + 35448.50ns INFO [00035450] Port=0 WR @06=DA0032874611D77574 + 35449.50ns INFO [00035451] * RD COMPARE * port=0 adr=03 act=B781BB1F70E8915EAD exp=B781BB1F70E8915EAD + 35449.50ns INFO [00035451] * RD COMPARE * port=1 adr=06 act=72951A92747BEB0F01 exp=72951A92747BEB0F01 + 35449.50ns INFO [00035451] Port=1 RD @03 + 35450.50ns INFO [00035452] Port=0 WR @00=EA54B48081CCC31AED + 35451.50ns INFO [00035453] * RD COMPARE * port=1 adr=03 act=B781BB1F70E8915EAD exp=B781BB1F70E8915EAD + 35451.50ns INFO [00035453] Port=1 RD @03 + 35453.50ns INFO [00035455] * RD COMPARE * port=1 adr=03 act=B781BB1F70E8915EAD exp=B781BB1F70E8915EAD + 35453.50ns INFO [00035455] Port=0 RD @00 + 35453.50ns INFO [00035455] Port=1 RD @03 + 35454.50ns INFO [00035456] Port=0 WR @01=27877B99FE8845AE71 + 35455.50ns INFO [00035457] * RD COMPARE * port=0 adr=00 act=EA54B48081CCC31AED exp=EA54B48081CCC31AED + 35455.50ns INFO [00035457] * RD COMPARE * port=1 adr=03 act=B781BB1F70E8915EAD exp=B781BB1F70E8915EAD + 35455.50ns INFO [00035457] Port=0 WR @00=1FCDE6B3E35F010546 + 35455.50ns INFO [00035457] Port=0 RD @01 + 35456.50ns INFO [00035458] Port=0 WR @00=85CD41DCFA170A0EFC + 35456.50ns INFO [00035458] Port=1 RD @07 + 35457.50ns INFO [00035459] * RD COMPARE * port=0 adr=01 act=27877B99FE8845AE71 exp=27877B99FE8845AE71 + 35457.50ns INFO [00035459] Port=1 RD @04 + 35458.50ns INFO [00035460] * RD COMPARE * port=1 adr=07 act=85B1AD5E0312A990C3 exp=85B1AD5E0312A990C3 + 35458.50ns INFO [00035460] Port=0 WR @00=A029453DA324B4560B + 35459.50ns INFO [00035461] * RD COMPARE * port=1 adr=04 act=F5B9FAFCEFBE70E8F5 exp=F5B9FAFCEFBE70E8F5 + 35459.50ns INFO [00035461] Port=0 WR @05=8F6AEFBDFDA08DE4B7 + 35459.50ns INFO [00035461] Port=0 RD @01 + 35459.50ns INFO [00035461] Port=1 RD @02 + 35460.50ns INFO [00035462] Port=0 RD @01 + 35461.50ns INFO [00035463] * RD COMPARE * port=0 adr=01 act=27877B99FE8845AE71 exp=27877B99FE8845AE71 + 35461.50ns INFO [00035463] * RD COMPARE * port=1 adr=02 act=E07BE637FD5FBB1BDB exp=E07BE637FD5FBB1BDB + 35462.50ns INFO [00035464] * RD COMPARE * port=0 adr=01 act=27877B99FE8845AE71 exp=27877B99FE8845AE71 + 35462.50ns INFO [00035464] Port=0 WR @01=C684F444B9D2413C76 + 35462.50ns INFO [00035464] Port=0 RD @06 + 35462.50ns INFO [00035464] Port=1 RD @04 + 35463.50ns INFO [00035465] Port=0 RD @03 + 35464.50ns INFO [00035466] * RD COMPARE * port=0 adr=06 act=DA0032874611D77574 exp=DA0032874611D77574 + 35464.50ns INFO [00035466] * RD COMPARE * port=1 adr=04 act=F5B9FAFCEFBE70E8F5 exp=F5B9FAFCEFBE70E8F5 + 35465.50ns INFO [00035467] * RD COMPARE * port=0 adr=03 act=B781BB1F70E8915EAD exp=B781BB1F70E8915EAD + 35465.50ns INFO [00035467] Port=0 WR @07=7B4BBA8497BD30556B + 35465.50ns INFO [00035467] Port=0 RD @00 + 35466.50ns INFO [00035468] Port=0 WR @05=F88403DCF3FEBE5BC2 + 35467.50ns INFO [00035469] * RD COMPARE * port=0 adr=00 act=A029453DA324B4560B exp=A029453DA324B4560B + 35467.50ns INFO [00035469] Port=1 RD @01 + 35469.50ns INFO [00035471] * RD COMPARE * port=1 adr=01 act=C684F444B9D2413C76 exp=C684F444B9D2413C76 + 35469.50ns INFO [00035471] Port=0 RD @01 + 35469.50ns INFO [00035471] Port=1 RD @01 + 35470.50ns INFO [00035472] Port=1 RD @00 + 35471.50ns INFO [00035473] * RD COMPARE * port=0 adr=01 act=C684F444B9D2413C76 exp=C684F444B9D2413C76 + 35471.50ns INFO [00035473] * RD COMPARE * port=1 adr=01 act=C684F444B9D2413C76 exp=C684F444B9D2413C76 + 35472.50ns INFO [00035474] * RD COMPARE * port=1 adr=00 act=A029453DA324B4560B exp=A029453DA324B4560B + 35473.50ns INFO [00035475] Port=0 RD @03 + 35474.50ns INFO [00035476] Port=1 RD @01 + 35475.50ns INFO [00035477] * RD COMPARE * port=0 adr=03 act=B781BB1F70E8915EAD exp=B781BB1F70E8915EAD + 35475.50ns INFO [00035477] Port=0 RD @03 + 35475.50ns INFO [00035477] Port=1 RD @07 + 35476.50ns INFO [00035478] * RD COMPARE * port=1 adr=01 act=C684F444B9D2413C76 exp=C684F444B9D2413C76 + 35476.50ns INFO [00035478] Port=0 RD @03 + 35477.50ns INFO [00035479] * RD COMPARE * port=0 adr=03 act=B781BB1F70E8915EAD exp=B781BB1F70E8915EAD + 35477.50ns INFO [00035479] * RD COMPARE * port=1 adr=07 act=7B4BBA8497BD30556B exp=7B4BBA8497BD30556B + 35478.50ns INFO [00035480] * RD COMPARE * port=0 adr=03 act=B781BB1F70E8915EAD exp=B781BB1F70E8915EAD + 35478.50ns INFO [00035480] Port=0 RD @02 + 35478.50ns INFO [00035480] Port=1 RD @03 + 35479.50ns INFO [00035481] Port=0 WR @06=967A6B924F11663C2A + 35479.50ns INFO [00035481] Port=0 RD @05 + 35480.50ns INFO [00035482] * RD COMPARE * port=0 adr=02 act=E07BE637FD5FBB1BDB exp=E07BE637FD5FBB1BDB + 35480.50ns INFO [00035482] * RD COMPARE * port=1 adr=03 act=B781BB1F70E8915EAD exp=B781BB1F70E8915EAD + 35481.50ns INFO [00035483] * RD COMPARE * port=0 adr=05 act=F88403DCF3FEBE5BC2 exp=F88403DCF3FEBE5BC2 + 35481.50ns INFO [00035483] Port=0 WR @07=275C869918BBD0B48B + 35481.50ns INFO [00035483] Port=1 RD @02 + 35482.50ns INFO [00035484] Port=0 WR @03=E49B4564DAABE8CA79 + 35482.50ns INFO [00035484] Port=0 RD @07 + 35483.50ns INFO [00035485] * RD COMPARE * port=1 adr=02 act=E07BE637FD5FBB1BDB exp=E07BE637FD5FBB1BDB + 35483.50ns INFO [00035485] Port=0 WR @07=D1AFB05D2C92C44BC9 + 35483.50ns INFO [00035485] Port=0 RD @02 + 35483.50ns INFO [00035485] Port=1 RD @06 + 35484.50ns INFO [00035486] * RD COMPARE * port=0 adr=07 act=275C869918BBD0B48B exp=275C869918BBD0B48B + 35485.50ns INFO [00035487] * RD COMPARE * port=0 adr=02 act=E07BE637FD5FBB1BDB exp=E07BE637FD5FBB1BDB + 35485.50ns INFO [00035487] * RD COMPARE * port=1 adr=06 act=967A6B924F11663C2A exp=967A6B924F11663C2A + 35485.50ns INFO [00035487] Port=0 RD @05 + 35485.50ns INFO [00035487] Port=1 RD @07 + 35486.50ns INFO [00035488] Port=0 WR @05=F43D6AFDF6F083AFD1 + 35486.50ns INFO [00035488] Port=1 RD @01 + 35487.50ns INFO [00035489] * RD COMPARE * port=0 adr=05 act=F88403DCF3FEBE5BC2 exp=F88403DCF3FEBE5BC2 + 35487.50ns INFO [00035489] * RD COMPARE * port=1 adr=07 act=D1AFB05D2C92C44BC9 exp=D1AFB05D2C92C44BC9 + 35488.50ns INFO [00035490] * RD COMPARE * port=1 adr=01 act=C684F444B9D2413C76 exp=C684F444B9D2413C76 + 35488.50ns INFO [00035490] Port=1 RD @02 + 35489.50ns INFO [00035491] Port=1 RD @01 + 35490.50ns INFO [00035492] * RD COMPARE * port=1 adr=02 act=E07BE637FD5FBB1BDB exp=E07BE637FD5FBB1BDB + 35490.50ns INFO [00035492] Port=0 WR @06=52089692706728CA29 + 35491.50ns INFO [00035493] * RD COMPARE * port=1 adr=01 act=C684F444B9D2413C76 exp=C684F444B9D2413C76 + 35491.50ns INFO [00035493] Port=1 RD @06 + 35492.50ns INFO [00035494] Port=0 RD @01 + 35493.50ns INFO [00035495] * RD COMPARE * port=1 adr=06 act=52089692706728CA29 exp=52089692706728CA29 + 35494.50ns INFO [00035496] * RD COMPARE * port=0 adr=01 act=C684F444B9D2413C76 exp=C684F444B9D2413C76 + 35495.50ns INFO [00035497] Port=0 RD @04 + 35497.50ns INFO [00035499] * RD COMPARE * port=0 adr=04 act=F5B9FAFCEFBE70E8F5 exp=F5B9FAFCEFBE70E8F5 + 35498.00ns INFO [00035500] [00035500] ...tick... + 35498.50ns INFO [00035500] Port=0 WR @03=7AB87271F490E64556 + 35499.50ns INFO [00035501] Port=1 RD @00 + 35500.50ns INFO [00035502] Port=0 WR @05=76ADDB4202F140A334 + 35500.50ns INFO [00035502] Port=0 RD @07 + 35501.50ns INFO [00035503] * RD COMPARE * port=1 adr=00 act=A029453DA324B4560B exp=A029453DA324B4560B + 35501.50ns INFO [00035503] Port=0 RD @01 + 35501.50ns INFO [00035503] Port=1 RD @00 + 35502.50ns INFO [00035504] * RD COMPARE * port=0 adr=07 act=D1AFB05D2C92C44BC9 exp=D1AFB05D2C92C44BC9 + 35503.50ns INFO [00035505] * RD COMPARE * port=0 adr=01 act=C684F444B9D2413C76 exp=C684F444B9D2413C76 + 35503.50ns INFO [00035505] * RD COMPARE * port=1 adr=00 act=A029453DA324B4560B exp=A029453DA324B4560B + 35504.50ns INFO [00035506] Port=0 WR @07=614A314569D6ED833B + 35505.50ns INFO [00035507] Port=0 WR @02=5C98F3240C50A1660D + 35506.50ns INFO [00035508] Port=1 RD @07 + 35507.50ns INFO [00035509] Port=0 WR @03=58CC846AEC6C9333A3 + 35508.50ns INFO [00035510] * RD COMPARE * port=1 adr=07 act=614A314569D6ED833B exp=614A314569D6ED833B + 35508.50ns INFO [00035510] Port=1 RD @03 + 35509.50ns INFO [00035511] Port=0 RD @06 + 35510.50ns INFO [00035512] * RD COMPARE * port=1 adr=03 act=58CC846AEC6C9333A3 exp=58CC846AEC6C9333A3 + 35511.50ns INFO [00035513] * RD COMPARE * port=0 adr=06 act=52089692706728CA29 exp=52089692706728CA29 + 35511.50ns INFO [00035513] Port=0 WR @01=E894087ED4A096AD8D + 35511.50ns INFO [00035513] Port=0 RD @05 + 35511.50ns INFO [00035513] Port=1 RD @05 + 35512.50ns INFO [00035514] Port=0 RD @00 + 35512.50ns INFO [00035514] Port=1 RD @05 + 35513.50ns INFO [00035515] * RD COMPARE * port=0 adr=05 act=76ADDB4202F140A334 exp=76ADDB4202F140A334 + 35513.50ns INFO [00035515] * RD COMPARE * port=1 adr=05 act=76ADDB4202F140A334 exp=76ADDB4202F140A334 + 35513.50ns INFO [00035515] Port=0 WR @05=D8C51FE73807E09029 + 35513.50ns INFO [00035515] Port=0 RD @04 + 35513.50ns INFO [00035515] Port=1 RD @06 + 35514.50ns INFO [00035516] * RD COMPARE * port=0 adr=00 act=A029453DA324B4560B exp=A029453DA324B4560B + 35514.50ns INFO [00035516] * RD COMPARE * port=1 adr=05 act=76ADDB4202F140A334 exp=76ADDB4202F140A334 + 35515.50ns INFO [00035517] * RD COMPARE * port=0 adr=04 act=F5B9FAFCEFBE70E8F5 exp=F5B9FAFCEFBE70E8F5 + 35515.50ns INFO [00035517] * RD COMPARE * port=1 adr=06 act=52089692706728CA29 exp=52089692706728CA29 + 35515.50ns INFO [00035517] Port=0 WR @07=A3D496D1AD59B320D7 + 35515.50ns INFO [00035517] Port=1 RD @05 + 35516.50ns INFO [00035518] Port=0 RD @04 + 35517.50ns INFO [00035519] * RD COMPARE * port=1 adr=05 act=D8C51FE73807E09029 exp=D8C51FE73807E09029 + 35517.50ns INFO [00035519] Port=1 RD @00 + 35518.50ns INFO [00035520] * RD COMPARE * port=0 adr=04 act=F5B9FAFCEFBE70E8F5 exp=F5B9FAFCEFBE70E8F5 + 35518.50ns INFO [00035520] Port=0 WR @01=53F4847B046BFEC8F7 + 35518.50ns INFO [00035520] Port=0 RD @07 + 35518.50ns INFO [00035520] Port=1 RD @06 + 35519.50ns INFO [00035521] * RD COMPARE * port=1 adr=00 act=A029453DA324B4560B exp=A029453DA324B4560B + 35519.50ns INFO [00035521] Port=0 WR @06=5A9FBB5145CAF22FC6 + 35519.50ns INFO [00035521] Port=1 RD @04 + 35520.50ns INFO [00035522] * RD COMPARE * port=0 adr=07 act=A3D496D1AD59B320D7 exp=A3D496D1AD59B320D7 + 35520.50ns INFO [00035522] * RD COMPARE * port=1 adr=06 act=52089692706728CA29 exp=52089692706728CA29 + 35520.50ns INFO [00035522] Port=0 RD @01 + 35521.50ns INFO [00035523] * RD COMPARE * port=1 adr=04 act=F5B9FAFCEFBE70E8F5 exp=F5B9FAFCEFBE70E8F5 + 35521.50ns INFO [00035523] Port=0 WR @07=C3CC00049031DAC318 + 35521.50ns INFO [00035523] Port=0 RD @01 + 35522.50ns INFO [00035524] * RD COMPARE * port=0 adr=01 act=53F4847B046BFEC8F7 exp=53F4847B046BFEC8F7 + 35522.50ns INFO [00035524] Port=0 WR @07=83873A6AD9B8B1772B + 35522.50ns INFO [00035524] Port=0 RD @01 + 35523.50ns INFO [00035525] * RD COMPARE * port=0 adr=01 act=53F4847B046BFEC8F7 exp=53F4847B046BFEC8F7 + 35523.50ns INFO [00035525] Port=1 RD @00 + 35524.50ns INFO [00035526] * RD COMPARE * port=0 adr=01 act=53F4847B046BFEC8F7 exp=53F4847B046BFEC8F7 + 35525.50ns INFO [00035527] * RD COMPARE * port=1 adr=00 act=A029453DA324B4560B exp=A029453DA324B4560B + 35525.50ns INFO [00035527] Port=0 WR @07=D6AB665614067140E7 + 35525.50ns INFO [00035527] Port=0 RD @06 + 35526.50ns INFO [00035528] Port=0 RD @05 + 35526.50ns INFO [00035528] Port=1 RD @07 + 35527.50ns INFO [00035529] * RD COMPARE * port=0 adr=06 act=5A9FBB5145CAF22FC6 exp=5A9FBB5145CAF22FC6 + 35527.50ns INFO [00035529] Port=0 WR @02=4C598B1ED8CFF23AFD + 35527.50ns INFO [00035529] Port=1 RD @03 + 35528.50ns INFO [00035530] * RD COMPARE * port=0 adr=05 act=D8C51FE73807E09029 exp=D8C51FE73807E09029 + 35528.50ns INFO [00035530] * RD COMPARE * port=1 adr=07 act=D6AB665614067140E7 exp=D6AB665614067140E7 + 35528.50ns INFO [00035530] Port=0 WR @05=FFAA0D0918E96D5A39 + 35528.50ns INFO [00035530] Port=0 RD @07 + 35529.50ns INFO [00035531] * RD COMPARE * port=1 adr=03 act=58CC846AEC6C9333A3 exp=58CC846AEC6C9333A3 + 35529.50ns INFO [00035531] Port=0 RD @03 + 35529.50ns INFO [00035531] Port=1 RD @07 + 35530.50ns INFO [00035532] * RD COMPARE * port=0 adr=07 act=D6AB665614067140E7 exp=D6AB665614067140E7 + 35531.50ns INFO [00035533] * RD COMPARE * port=0 adr=03 act=58CC846AEC6C9333A3 exp=58CC846AEC6C9333A3 + 35531.50ns INFO [00035533] * RD COMPARE * port=1 adr=07 act=D6AB665614067140E7 exp=D6AB665614067140E7 + 35531.50ns INFO [00035533] Port=0 WR @07=E6115692B7850BE8FD + 35532.50ns INFO [00035534] Port=0 RD @07 + 35533.50ns INFO [00035535] Port=0 WR @04=1FDAACCE48FA017584 + 35533.50ns INFO [00035535] Port=0 RD @01 + 35534.50ns INFO [00035536] * RD COMPARE * port=0 adr=07 act=E6115692B7850BE8FD exp=E6115692B7850BE8FD + 35534.50ns INFO [00035536] Port=0 WR @04=A6EAFCBEFDEE3188DE + 35535.50ns INFO [00035537] * RD COMPARE * port=0 adr=01 act=53F4847B046BFEC8F7 exp=53F4847B046BFEC8F7 + 35535.50ns INFO [00035537] Port=0 RD @06 + 35536.50ns INFO [00035538] Port=1 RD @07 + 35537.50ns INFO [00035539] * RD COMPARE * port=0 adr=06 act=5A9FBB5145CAF22FC6 exp=5A9FBB5145CAF22FC6 + 35537.50ns INFO [00035539] Port=0 WR @00=D3C80CDD309C89AC86 + 35538.50ns INFO [00035540] * RD COMPARE * port=1 adr=07 act=E6115692B7850BE8FD exp=E6115692B7850BE8FD + 35538.50ns INFO [00035540] Port=0 RD @07 + 35538.50ns INFO [00035540] Port=1 RD @03 + 35539.50ns INFO [00035541] Port=0 RD @05 + 35540.50ns INFO [00035542] * RD COMPARE * port=0 adr=07 act=E6115692B7850BE8FD exp=E6115692B7850BE8FD + 35540.50ns INFO [00035542] * RD COMPARE * port=1 adr=03 act=58CC846AEC6C9333A3 exp=58CC846AEC6C9333A3 + 35540.50ns INFO [00035542] Port=0 WR @03=C638A8CF39E8D10DFF + 35540.50ns INFO [00035542] Port=0 RD @01 + 35541.50ns INFO [00035543] * RD COMPARE * port=0 adr=05 act=FFAA0D0918E96D5A39 exp=FFAA0D0918E96D5A39 + 35541.50ns INFO [00035543] Port=0 WR @04=172F29B020C3DD5E3D + 35541.50ns INFO [00035543] Port=1 RD @03 + 35542.50ns INFO [00035544] * RD COMPARE * port=0 adr=01 act=53F4847B046BFEC8F7 exp=53F4847B046BFEC8F7 + 35542.50ns INFO [00035544] Port=0 RD @00 + 35543.50ns INFO [00035545] * RD COMPARE * port=1 adr=03 act=C638A8CF39E8D10DFF exp=C638A8CF39E8D10DFF + 35543.50ns INFO [00035545] Port=0 WR @01=1D70E338374C2AF496 + 35543.50ns INFO [00035545] Port=0 RD @06 + 35543.50ns INFO [00035545] Port=1 RD @04 + 35544.50ns INFO [00035546] * RD COMPARE * port=0 adr=00 act=D3C80CDD309C89AC86 exp=D3C80CDD309C89AC86 + 35544.50ns INFO [00035546] Port=0 WR @03=1F20BB70353A92BBB7 + 35545.50ns INFO [00035547] * RD COMPARE * port=0 adr=06 act=5A9FBB5145CAF22FC6 exp=5A9FBB5145CAF22FC6 + 35545.50ns INFO [00035547] * RD COMPARE * port=1 adr=04 act=172F29B020C3DD5E3D exp=172F29B020C3DD5E3D + 35545.50ns INFO [00035547] Port=1 RD @01 + 35546.50ns INFO [00035548] Port=0 WR @05=02FABDCF20C6D09472 + 35546.50ns INFO [00035548] Port=0 RD @02 + 35547.50ns INFO [00035549] * RD COMPARE * port=1 adr=01 act=1D70E338374C2AF496 exp=1D70E338374C2AF496 + 35548.50ns INFO [00035550] * RD COMPARE * port=0 adr=02 act=4C598B1ED8CFF23AFD exp=4C598B1ED8CFF23AFD + 35548.50ns INFO [00035550] Port=1 RD @06 + 35549.50ns INFO [00035551] Port=0 WR @05=C0FCC302E41482C1BA + 35549.50ns INFO [00035551] Port=1 RD @02 + 35550.50ns INFO [00035552] * RD COMPARE * port=1 adr=06 act=5A9FBB5145CAF22FC6 exp=5A9FBB5145CAF22FC6 + 35550.50ns INFO [00035552] Port=0 WR @04=3AD35DBC417B43773F + 35551.50ns INFO [00035553] * RD COMPARE * port=1 adr=02 act=4C598B1ED8CFF23AFD exp=4C598B1ED8CFF23AFD + 35551.50ns INFO [00035553] Port=0 RD @05 + 35552.50ns INFO [00035554] Port=0 WR @02=6F565BA028B231DDDA + 35552.50ns INFO [00035554] Port=1 RD @01 + 35553.50ns INFO [00035555] * RD COMPARE * port=0 adr=05 act=C0FCC302E41482C1BA exp=C0FCC302E41482C1BA + 35553.50ns INFO [00035555] Port=0 WR @01=69E4075B5CDDFDBADE + 35553.50ns INFO [00035555] Port=1 RD @04 + 35554.50ns INFO [00035556] * RD COMPARE * port=1 adr=01 act=1D70E338374C2AF496 exp=1D70E338374C2AF496 + 35554.50ns INFO [00035556] Port=1 RD @00 + 35555.50ns INFO [00035557] * RD COMPARE * port=1 adr=04 act=3AD35DBC417B43773F exp=3AD35DBC417B43773F + 35555.50ns INFO [00035557] Port=1 RD @02 + 35556.50ns INFO [00035558] * RD COMPARE * port=1 adr=00 act=D3C80CDD309C89AC86 exp=D3C80CDD309C89AC86 + 35556.50ns INFO [00035558] Port=0 RD @06 + 35556.50ns INFO [00035558] Port=1 RD @03 + 35557.50ns INFO [00035559] * RD COMPARE * port=1 adr=02 act=6F565BA028B231DDDA exp=6F565BA028B231DDDA + 35557.50ns INFO [00035559] Port=1 RD @04 + 35558.50ns INFO [00035560] * RD COMPARE * port=0 adr=06 act=5A9FBB5145CAF22FC6 exp=5A9FBB5145CAF22FC6 + 35558.50ns INFO [00035560] * RD COMPARE * port=1 adr=03 act=1F20BB70353A92BBB7 exp=1F20BB70353A92BBB7 + 35559.50ns INFO [00035561] * RD COMPARE * port=1 adr=04 act=3AD35DBC417B43773F exp=3AD35DBC417B43773F + 35560.50ns INFO [00035562] Port=0 RD @02 + 35561.50ns INFO [00035563] Port=0 WR @07=4E085091E8B8F30DF8 + 35561.50ns INFO [00035563] Port=1 RD @01 + 35562.50ns INFO [00035564] * RD COMPARE * port=0 adr=02 act=6F565BA028B231DDDA exp=6F565BA028B231DDDA + 35563.50ns INFO [00035565] * RD COMPARE * port=1 adr=01 act=69E4075B5CDDFDBADE exp=69E4075B5CDDFDBADE + 35563.50ns INFO [00035565] Port=0 WR @04=8721D0FF8253FC113D + 35564.50ns INFO [00035566] Port=1 RD @03 + 35565.50ns INFO [00035567] Port=0 WR @01=8E069FB1F2CFEBACBB + 35565.50ns INFO [00035567] Port=0 RD @07 + 35566.50ns INFO [00035568] * RD COMPARE * port=1 adr=03 act=1F20BB70353A92BBB7 exp=1F20BB70353A92BBB7 + 35566.50ns INFO [00035568] Port=0 RD @03 + 35566.50ns INFO [00035568] Port=1 RD @01 + 35567.50ns INFO [00035569] * RD COMPARE * port=0 adr=07 act=4E085091E8B8F30DF8 exp=4E085091E8B8F30DF8 + 35567.50ns INFO [00035569] Port=1 RD @03 + 35568.50ns INFO [00035570] * RD COMPARE * port=0 adr=03 act=1F20BB70353A92BBB7 exp=1F20BB70353A92BBB7 + 35568.50ns INFO [00035570] * RD COMPARE * port=1 adr=01 act=8E069FB1F2CFEBACBB exp=8E069FB1F2CFEBACBB + 35568.50ns INFO [00035570] Port=0 WR @06=E542091A14C349E94D + 35569.50ns INFO [00035571] * RD COMPARE * port=1 adr=03 act=1F20BB70353A92BBB7 exp=1F20BB70353A92BBB7 + 35569.50ns INFO [00035571] Port=1 RD @06 + 35571.50ns INFO [00035573] * RD COMPARE * port=1 adr=06 act=E542091A14C349E94D exp=E542091A14C349E94D + 35571.50ns INFO [00035573] Port=0 WR @04=C6C192D9671A653E9E + 35572.50ns INFO [00035574] Port=0 RD @06 + 35572.50ns INFO [00035574] Port=1 RD @00 + 35573.50ns INFO [00035575] Port=0 WR @01=867A1F88A6A5328404 + 35573.50ns INFO [00035575] Port=0 RD @06 + 35574.50ns INFO [00035576] * RD COMPARE * port=0 adr=06 act=E542091A14C349E94D exp=E542091A14C349E94D + 35574.50ns INFO [00035576] * RD COMPARE * port=1 adr=00 act=D3C80CDD309C89AC86 exp=D3C80CDD309C89AC86 + 35574.50ns INFO [00035576] Port=0 WR @05=CB5DB6DBC96448827A + 35574.50ns INFO [00035576] Port=1 RD @03 + 35575.50ns INFO [00035577] * RD COMPARE * port=0 adr=06 act=E542091A14C349E94D exp=E542091A14C349E94D + 35575.50ns INFO [00035577] Port=0 WR @05=6B788A57ADE32982E2 + 35576.50ns INFO [00035578] * RD COMPARE * port=1 adr=03 act=1F20BB70353A92BBB7 exp=1F20BB70353A92BBB7 + 35576.50ns INFO [00035578] Port=0 WR @02=5F8562D3E8C3A8ECAD + 35577.50ns INFO [00035579] Port=0 RD @00 + 35577.50ns INFO [00035579] Port=1 RD @02 + 35578.50ns INFO [00035580] Port=0 WR @05=168A644C6D36A84C86 + 35578.50ns INFO [00035580] Port=0 RD @02 + 35579.50ns INFO [00035581] * RD COMPARE * port=0 adr=00 act=D3C80CDD309C89AC86 exp=D3C80CDD309C89AC86 + 35579.50ns INFO [00035581] * RD COMPARE * port=1 adr=02 act=5F8562D3E8C3A8ECAD exp=5F8562D3E8C3A8ECAD + 35579.50ns INFO [00035581] Port=0 WR @03=DB8CEA5CE63AD9AB8C + 35579.50ns INFO [00035581] Port=0 RD @06 + 35580.50ns INFO [00035582] * RD COMPARE * port=0 adr=02 act=5F8562D3E8C3A8ECAD exp=5F8562D3E8C3A8ECAD + 35580.50ns INFO [00035582] Port=0 WR @03=14F1FB833A8AA4C6D0 + 35580.50ns INFO [00035582] Port=1 RD @04 + 35581.50ns INFO [00035583] * RD COMPARE * port=0 adr=06 act=E542091A14C349E94D exp=E542091A14C349E94D + 35581.50ns INFO [00035583] Port=0 RD @06 + 35581.50ns INFO [00035583] Port=1 RD @05 + 35582.50ns INFO [00035584] * RD COMPARE * port=1 adr=04 act=C6C192D9671A653E9E exp=C6C192D9671A653E9E + 35582.50ns INFO [00035584] Port=1 RD @07 + 35583.50ns INFO [00035585] * RD COMPARE * port=0 adr=06 act=E542091A14C349E94D exp=E542091A14C349E94D + 35583.50ns INFO [00035585] * RD COMPARE * port=1 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35583.50ns INFO [00035585] Port=0 WR @07=885E950BCE35D975E1 + 35584.50ns INFO [00035586] * RD COMPARE * port=1 adr=07 act=4E085091E8B8F30DF8 exp=4E085091E8B8F30DF8 + 35584.50ns INFO [00035586] Port=0 WR @06=42120D28A9CB3A0960 + 35584.50ns INFO [00035586] Port=0 RD @03 + 35584.50ns INFO [00035586] Port=1 RD @00 + 35585.50ns INFO [00035587] Port=0 RD @02 + 35586.50ns INFO [00035588] * RD COMPARE * port=0 adr=03 act=14F1FB833A8AA4C6D0 exp=14F1FB833A8AA4C6D0 + 35586.50ns INFO [00035588] * RD COMPARE * port=1 adr=00 act=D3C80CDD309C89AC86 exp=D3C80CDD309C89AC86 + 35586.50ns INFO [00035588] Port=0 RD @02 + 35586.50ns INFO [00035588] Port=1 RD @04 + 35587.50ns INFO [00035589] * RD COMPARE * port=0 adr=02 act=5F8562D3E8C3A8ECAD exp=5F8562D3E8C3A8ECAD + 35587.50ns INFO [00035589] Port=0 WR @04=198A51752C6B7CA79D + 35587.50ns INFO [00035589] Port=1 RD @05 + 35588.50ns INFO [00035590] * RD COMPARE * port=0 adr=02 act=5F8562D3E8C3A8ECAD exp=5F8562D3E8C3A8ECAD + 35588.50ns INFO [00035590] * RD COMPARE * port=1 adr=04 act=C6C192D9671A653E9E exp=C6C192D9671A653E9E + 35588.50ns INFO [00035590] Port=0 WR @03=F3579472B21536DAEB + 35589.50ns INFO [00035591] * RD COMPARE * port=1 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35591.50ns INFO [00035593] Port=0 RD @05 + 35591.50ns INFO [00035593] Port=1 RD @05 + 35593.50ns INFO [00035595] * RD COMPARE * port=0 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35593.50ns INFO [00035595] * RD COMPARE * port=1 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35596.50ns INFO [00035598] Port=0 WR @04=CFE0E4803D98266C9A + 35597.50ns INFO [00035599] Port=0 RD @02 + 35597.50ns INFO [00035599] Port=1 RD @02 + 35598.00ns INFO [00035600] [00035600] ...tick... + 35598.50ns INFO [00035600] Port=1 RD @05 + 35599.50ns INFO [00035601] * RD COMPARE * port=0 adr=02 act=5F8562D3E8C3A8ECAD exp=5F8562D3E8C3A8ECAD + 35599.50ns INFO [00035601] * RD COMPARE * port=1 adr=02 act=5F8562D3E8C3A8ECAD exp=5F8562D3E8C3A8ECAD + 35599.50ns INFO [00035601] Port=0 WR @06=CBF673397F21E7D841 + 35599.50ns INFO [00035601] Port=1 RD @03 + 35600.50ns INFO [00035602] * RD COMPARE * port=1 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35600.50ns INFO [00035602] Port=1 RD @03 + 35601.50ns INFO [00035603] * RD COMPARE * port=1 adr=03 act=F3579472B21536DAEB exp=F3579472B21536DAEB + 35601.50ns INFO [00035603] Port=1 RD @00 + 35602.50ns INFO [00035604] * RD COMPARE * port=1 adr=03 act=F3579472B21536DAEB exp=F3579472B21536DAEB + 35602.50ns INFO [00035604] Port=0 WR @06=8C2214AD81921EB9CA + 35603.50ns INFO [00035605] * RD COMPARE * port=1 adr=00 act=D3C80CDD309C89AC86 exp=D3C80CDD309C89AC86 + 35603.50ns INFO [00035605] Port=0 RD @05 + 35604.50ns INFO [00035606] Port=0 WR @06=B17AF170B317193A5B + 35604.50ns INFO [00035606] Port=0 RD @05 + 35605.50ns INFO [00035607] * RD COMPARE * port=0 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35605.50ns INFO [00035607] Port=0 WR @00=732B4E1BE8A45C35BF + 35605.50ns INFO [00035607] Port=1 RD @06 + 35606.50ns INFO [00035608] * RD COMPARE * port=0 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35606.50ns INFO [00035608] Port=0 WR @03=235B8CE645E19885A2 + 35606.50ns INFO [00035608] Port=0 RD @05 + 35606.50ns INFO [00035608] Port=1 RD @00 + 35607.50ns INFO [00035609] * RD COMPARE * port=1 adr=06 act=B17AF170B317193A5B exp=B17AF170B317193A5B + 35607.50ns INFO [00035609] Port=0 RD @00 + 35607.50ns INFO [00035609] Port=1 RD @07 + 35608.50ns INFO [00035610] * RD COMPARE * port=0 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35608.50ns INFO [00035610] * RD COMPARE * port=1 adr=00 act=732B4E1BE8A45C35BF exp=732B4E1BE8A45C35BF + 35608.50ns INFO [00035610] Port=0 RD @01 + 35608.50ns INFO [00035610] Port=1 RD @05 + 35609.50ns INFO [00035611] * RD COMPARE * port=0 adr=00 act=732B4E1BE8A45C35BF exp=732B4E1BE8A45C35BF + 35609.50ns INFO [00035611] * RD COMPARE * port=1 adr=07 act=885E950BCE35D975E1 exp=885E950BCE35D975E1 + 35610.50ns INFO [00035612] * RD COMPARE * port=0 adr=01 act=867A1F88A6A5328404 exp=867A1F88A6A5328404 + 35610.50ns INFO [00035612] * RD COMPARE * port=1 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35610.50ns INFO [00035612] Port=0 RD @04 + 35611.50ns INFO [00035613] Port=0 WR @06=666063840680379526 + 35612.50ns INFO [00035614] * RD COMPARE * port=0 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35613.50ns INFO [00035615] Port=1 RD @01 + 35614.50ns INFO [00035616] Port=0 WR @01=A8D7C716AC50443DFB + 35614.50ns INFO [00035616] Port=0 RD @05 + 35614.50ns INFO [00035616] Port=1 RD @05 + 35615.50ns INFO [00035617] * RD COMPARE * port=1 adr=01 act=867A1F88A6A5328404 exp=867A1F88A6A5328404 + 35615.50ns INFO [00035617] Port=0 WR @02=CF0CECCA73F1F15407 + 35615.50ns INFO [00035617] Port=1 RD @07 + 35616.50ns INFO [00035618] * RD COMPARE * port=0 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35616.50ns INFO [00035618] * RD COMPARE * port=1 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35616.50ns INFO [00035618] Port=0 WR @03=5F1EAFFA3CAB93FBCC + 35617.50ns INFO [00035619] * RD COMPARE * port=1 adr=07 act=885E950BCE35D975E1 exp=885E950BCE35D975E1 + 35617.50ns INFO [00035619] Port=0 WR @00=7DF140B7A8D34DF61B + 35618.50ns INFO [00035620] Port=0 WR @01=B1170DEDA369477AAA + 35618.50ns INFO [00035620] Port=0 RD @07 + 35618.50ns INFO [00035620] Port=1 RD @06 + 35620.50ns INFO [00035622] * RD COMPARE * port=0 adr=07 act=885E950BCE35D975E1 exp=885E950BCE35D975E1 + 35620.50ns INFO [00035622] * RD COMPARE * port=1 adr=06 act=666063840680379526 exp=666063840680379526 + 35620.50ns INFO [00035622] Port=0 RD @06 + 35621.50ns INFO [00035623] Port=0 RD @05 + 35622.50ns INFO [00035624] * RD COMPARE * port=0 adr=06 act=666063840680379526 exp=666063840680379526 + 35623.50ns INFO [00035625] * RD COMPARE * port=0 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35623.50ns INFO [00035625] Port=1 RD @02 + 35625.50ns INFO [00035627] * RD COMPARE * port=1 adr=02 act=CF0CECCA73F1F15407 exp=CF0CECCA73F1F15407 + 35625.50ns INFO [00035627] Port=0 RD @02 + 35626.50ns INFO [00035628] Port=0 WR @02=23592384FB3C35A55A + 35626.50ns INFO [00035628] Port=0 RD @04 + 35627.50ns INFO [00035629] * RD COMPARE * port=0 adr=02 act=CF0CECCA73F1F15407 exp=CF0CECCA73F1F15407 + 35627.50ns INFO [00035629] Port=1 RD @04 + 35628.50ns INFO [00035630] * RD COMPARE * port=0 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35628.50ns INFO [00035630] Port=0 RD @04 + 35628.50ns INFO [00035630] Port=1 RD @03 + 35629.50ns INFO [00035631] * RD COMPARE * port=1 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35629.50ns INFO [00035631] Port=0 WR @07=828779BE84B5A59EF6 + 35630.50ns INFO [00035632] * RD COMPARE * port=0 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35630.50ns INFO [00035632] * RD COMPARE * port=1 adr=03 act=5F1EAFFA3CAB93FBCC exp=5F1EAFFA3CAB93FBCC + 35630.50ns INFO [00035632] Port=0 RD @01 + 35631.50ns INFO [00035633] Port=0 WR @06=3F3F79547D1C6382CF + 35631.50ns INFO [00035633] Port=0 RD @01 + 35631.50ns INFO [00035633] Port=1 RD @02 + 35632.50ns INFO [00035634] * RD COMPARE * port=0 adr=01 act=B1170DEDA369477AAA exp=B1170DEDA369477AAA + 35632.50ns INFO [00035634] Port=0 RD @06 + 35632.50ns INFO [00035634] Port=1 RD @02 + 35633.50ns INFO [00035635] * RD COMPARE * port=0 adr=01 act=B1170DEDA369477AAA exp=B1170DEDA369477AAA + 35633.50ns INFO [00035635] * RD COMPARE * port=1 adr=02 act=23592384FB3C35A55A exp=23592384FB3C35A55A + 35633.50ns INFO [00035635] Port=1 RD @05 + 35634.50ns INFO [00035636] * RD COMPARE * port=0 adr=06 act=3F3F79547D1C6382CF exp=3F3F79547D1C6382CF + 35634.50ns INFO [00035636] * RD COMPARE * port=1 adr=02 act=23592384FB3C35A55A exp=23592384FB3C35A55A + 35634.50ns INFO [00035636] Port=0 RD @06 + 35634.50ns INFO [00035636] Port=1 RD @00 + 35635.50ns INFO [00035637] * RD COMPARE * port=1 adr=05 act=168A644C6D36A84C86 exp=168A644C6D36A84C86 + 35635.50ns INFO [00035637] Port=0 WR @07=720D56476881CCE117 + 35636.50ns INFO [00035638] * RD COMPARE * port=0 adr=06 act=3F3F79547D1C6382CF exp=3F3F79547D1C6382CF + 35636.50ns INFO [00035638] * RD COMPARE * port=1 adr=00 act=7DF140B7A8D34DF61B exp=7DF140B7A8D34DF61B + 35636.50ns INFO [00035638] Port=0 WR @00=9AFCA5729E90CFB084 + 35636.50ns INFO [00035638] Port=0 RD @07 + 35637.50ns INFO [00035639] Port=0 WR @03=6D29FF9E19C714B38A + 35637.50ns INFO [00035639] Port=0 RD @04 + 35637.50ns INFO [00035639] Port=1 RD @00 + 35638.50ns INFO [00035640] * RD COMPARE * port=0 adr=07 act=720D56476881CCE117 exp=720D56476881CCE117 + 35638.50ns INFO [00035640] Port=0 WR @05=B5FE8D4D7FFFF26538 + 35638.50ns INFO [00035640] Port=0 RD @02 + 35639.50ns INFO [00035641] * RD COMPARE * port=0 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35639.50ns INFO [00035641] * RD COMPARE * port=1 adr=00 act=9AFCA5729E90CFB084 exp=9AFCA5729E90CFB084 + 35639.50ns INFO [00035641] Port=1 RD @05 + 35640.50ns INFO [00035642] * RD COMPARE * port=0 adr=02 act=23592384FB3C35A55A exp=23592384FB3C35A55A + 35641.50ns INFO [00035643] * RD COMPARE * port=1 adr=05 act=B5FE8D4D7FFFF26538 exp=B5FE8D4D7FFFF26538 + 35641.50ns INFO [00035643] Port=0 WR @05=68A8DAC6EFD039B8FC + 35641.50ns INFO [00035643] Port=1 RD @06 + 35643.50ns INFO [00035645] * RD COMPARE * port=1 adr=06 act=3F3F79547D1C6382CF exp=3F3F79547D1C6382CF + 35643.50ns INFO [00035645] Port=0 WR @06=F96BF4458F010009E2 + 35643.50ns INFO [00035645] Port=0 RD @03 + 35643.50ns INFO [00035645] Port=1 RD @03 + 35644.50ns INFO [00035646] Port=0 WR @01=0AF71D4F61C85B4E30 + 35644.50ns INFO [00035646] Port=1 RD @06 + 35645.50ns INFO [00035647] * RD COMPARE * port=0 adr=03 act=6D29FF9E19C714B38A exp=6D29FF9E19C714B38A + 35645.50ns INFO [00035647] * RD COMPARE * port=1 adr=03 act=6D29FF9E19C714B38A exp=6D29FF9E19C714B38A + 35645.50ns INFO [00035647] Port=0 WR @03=CB4362256586352BC5 + 35646.50ns INFO [00035648] * RD COMPARE * port=1 adr=06 act=F96BF4458F010009E2 exp=F96BF4458F010009E2 + 35647.50ns INFO [00035649] Port=1 RD @07 + 35648.50ns INFO [00035650] Port=0 WR @01=2614768A67390363AF + 35648.50ns INFO [00035650] Port=0 RD @03 + 35649.50ns INFO [00035651] * RD COMPARE * port=1 adr=07 act=720D56476881CCE117 exp=720D56476881CCE117 + 35649.50ns INFO [00035651] Port=0 WR @02=CF75B406DC057E28B5 + 35650.50ns INFO [00035652] * RD COMPARE * port=0 adr=03 act=CB4362256586352BC5 exp=CB4362256586352BC5 + 35650.50ns INFO [00035652] Port=0 WR @02=E9134F95D0B9D37EAA + 35651.50ns INFO [00035653] Port=0 WR @07=15CF6D01429C35DD7F + 35652.50ns INFO [00035654] Port=0 WR @05=32C2291C4D3CF62495 + 35652.50ns INFO [00035654] Port=0 RD @07 + 35652.50ns INFO [00035654] Port=1 RD @04 + 35653.50ns INFO [00035655] Port=0 RD @05 + 35654.50ns INFO [00035656] * RD COMPARE * port=0 adr=07 act=15CF6D01429C35DD7F exp=15CF6D01429C35DD7F + 35654.50ns INFO [00035656] * RD COMPARE * port=1 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35655.50ns INFO [00035657] * RD COMPARE * port=0 adr=05 act=32C2291C4D3CF62495 exp=32C2291C4D3CF62495 + 35655.50ns INFO [00035657] Port=0 RD @05 + 35655.50ns INFO [00035657] Port=1 RD @03 + 35656.50ns INFO [00035658] Port=0 RD @00 + 35656.50ns INFO [00035658] Port=1 RD @02 + 35657.50ns INFO [00035659] * RD COMPARE * port=0 adr=05 act=32C2291C4D3CF62495 exp=32C2291C4D3CF62495 + 35657.50ns INFO [00035659] * RD COMPARE * port=1 adr=03 act=CB4362256586352BC5 exp=CB4362256586352BC5 + 35657.50ns INFO [00035659] Port=0 RD @04 + 35658.50ns INFO [00035660] * RD COMPARE * port=0 adr=00 act=9AFCA5729E90CFB084 exp=9AFCA5729E90CFB084 + 35658.50ns INFO [00035660] * RD COMPARE * port=1 adr=02 act=E9134F95D0B9D37EAA exp=E9134F95D0B9D37EAA + 35658.50ns INFO [00035660] Port=0 WR @05=A7A7CE292EF6F6411C + 35659.50ns INFO [00035661] * RD COMPARE * port=0 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35659.50ns INFO [00035661] Port=0 RD @07 + 35660.50ns INFO [00035662] Port=1 RD @06 + 35661.50ns INFO [00035663] * RD COMPARE * port=0 adr=07 act=15CF6D01429C35DD7F exp=15CF6D01429C35DD7F + 35661.50ns INFO [00035663] Port=0 RD @03 + 35662.50ns INFO [00035664] * RD COMPARE * port=1 adr=06 act=F96BF4458F010009E2 exp=F96BF4458F010009E2 + 35663.50ns INFO [00035665] * RD COMPARE * port=0 adr=03 act=CB4362256586352BC5 exp=CB4362256586352BC5 + 35664.50ns INFO [00035666] Port=0 WR @01=087CA1D4AB11C1E2E3 + 35665.50ns INFO [00035667] Port=0 RD @00 + 35665.50ns INFO [00035667] Port=1 RD @07 + 35667.50ns INFO [00035669] * RD COMPARE * port=0 adr=00 act=9AFCA5729E90CFB084 exp=9AFCA5729E90CFB084 + 35667.50ns INFO [00035669] * RD COMPARE * port=1 adr=07 act=15CF6D01429C35DD7F exp=15CF6D01429C35DD7F + 35667.50ns INFO [00035669] Port=0 RD @05 + 35667.50ns INFO [00035669] Port=1 RD @06 + 35668.50ns INFO [00035670] Port=0 RD @04 + 35668.50ns INFO [00035670] Port=1 RD @07 + 35669.50ns INFO [00035671] * RD COMPARE * port=0 adr=05 act=A7A7CE292EF6F6411C exp=A7A7CE292EF6F6411C + 35669.50ns INFO [00035671] * RD COMPARE * port=1 adr=06 act=F96BF4458F010009E2 exp=F96BF4458F010009E2 + 35669.50ns INFO [00035671] Port=0 WR @00=C13B3AF158638514C9 + 35669.50ns INFO [00035671] Port=1 RD @07 + 35670.50ns INFO [00035672] * RD COMPARE * port=0 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35670.50ns INFO [00035672] * RD COMPARE * port=1 adr=07 act=15CF6D01429C35DD7F exp=15CF6D01429C35DD7F + 35670.50ns INFO [00035672] Port=0 RD @07 + 35670.50ns INFO [00035672] Port=1 RD @01 + 35671.50ns INFO [00035673] * RD COMPARE * port=1 adr=07 act=15CF6D01429C35DD7F exp=15CF6D01429C35DD7F + 35671.50ns INFO [00035673] Port=0 RD @04 + 35671.50ns INFO [00035673] Port=1 RD @06 + 35672.50ns INFO [00035674] * RD COMPARE * port=0 adr=07 act=15CF6D01429C35DD7F exp=15CF6D01429C35DD7F + 35672.50ns INFO [00035674] * RD COMPARE * port=1 adr=01 act=087CA1D4AB11C1E2E3 exp=087CA1D4AB11C1E2E3 + 35673.50ns INFO [00035675] * RD COMPARE * port=0 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35673.50ns INFO [00035675] * RD COMPARE * port=1 adr=06 act=F96BF4458F010009E2 exp=F96BF4458F010009E2 + 35673.50ns INFO [00035675] Port=0 RD @04 + 35674.50ns INFO [00035676] Port=0 WR @03=F731C73CA8184D7CB7 + 35675.50ns INFO [00035677] * RD COMPARE * port=0 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35677.50ns INFO [00035679] Port=0 WR @00=36D8857E7CC29D841C + 35677.50ns INFO [00035679] Port=0 RD @07 + 35679.50ns INFO [00035681] * RD COMPARE * port=0 adr=07 act=15CF6D01429C35DD7F exp=15CF6D01429C35DD7F + 35679.50ns INFO [00035681] Port=1 RD @01 + 35680.50ns INFO [00035682] Port=1 RD @02 + 35681.50ns INFO [00035683] * RD COMPARE * port=1 adr=01 act=087CA1D4AB11C1E2E3 exp=087CA1D4AB11C1E2E3 + 35681.50ns INFO [00035683] Port=1 RD @04 + 35682.50ns INFO [00035684] * RD COMPARE * port=1 adr=02 act=E9134F95D0B9D37EAA exp=E9134F95D0B9D37EAA + 35682.50ns INFO [00035684] Port=0 RD @01 + 35683.50ns INFO [00035685] * RD COMPARE * port=1 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35683.50ns INFO [00035685] Port=0 RD @06 + 35684.50ns INFO [00035686] * RD COMPARE * port=0 adr=01 act=087CA1D4AB11C1E2E3 exp=087CA1D4AB11C1E2E3 + 35684.50ns INFO [00035686] Port=0 RD @03 + 35685.50ns INFO [00035687] * RD COMPARE * port=0 adr=06 act=F96BF4458F010009E2 exp=F96BF4458F010009E2 + 35685.50ns INFO [00035687] Port=0 RD @05 + 35686.50ns INFO [00035688] * RD COMPARE * port=0 adr=03 act=F731C73CA8184D7CB7 exp=F731C73CA8184D7CB7 + 35686.50ns INFO [00035688] Port=1 RD @03 + 35687.50ns INFO [00035689] * RD COMPARE * port=0 adr=05 act=A7A7CE292EF6F6411C exp=A7A7CE292EF6F6411C + 35688.50ns INFO [00035690] * RD COMPARE * port=1 adr=03 act=F731C73CA8184D7CB7 exp=F731C73CA8184D7CB7 + 35688.50ns INFO [00035690] Port=0 WR @05=F9539CD44D66788D66 + 35688.50ns INFO [00035690] Port=0 RD @03 + 35688.50ns INFO [00035690] Port=1 RD @02 + 35690.50ns INFO [00035692] * RD COMPARE * port=0 adr=03 act=F731C73CA8184D7CB7 exp=F731C73CA8184D7CB7 + 35690.50ns INFO [00035692] * RD COMPARE * port=1 adr=02 act=E9134F95D0B9D37EAA exp=E9134F95D0B9D37EAA + 35690.50ns INFO [00035692] Port=1 RD @01 + 35691.50ns INFO [00035693] Port=0 WR @02=F82087E3843661E9CA + 35692.50ns INFO [00035694] * RD COMPARE * port=1 adr=01 act=087CA1D4AB11C1E2E3 exp=087CA1D4AB11C1E2E3 + 35692.50ns INFO [00035694] Port=1 RD @06 + 35693.50ns INFO [00035695] Port=0 RD @05 + 35694.50ns INFO [00035696] * RD COMPARE * port=1 adr=06 act=F96BF4458F010009E2 exp=F96BF4458F010009E2 + 35695.50ns INFO [00035697] * RD COMPARE * port=0 adr=05 act=F9539CD44D66788D66 exp=F9539CD44D66788D66 + 35695.50ns INFO [00035697] Port=0 WR @01=FB22E8958FF137B3F0 + 35695.50ns INFO [00035697] Port=0 RD @07 + 35696.50ns INFO [00035698] Port=1 RD @07 + 35697.50ns INFO [00035699] * RD COMPARE * port=0 adr=07 act=15CF6D01429C35DD7F exp=15CF6D01429C35DD7F + 35697.50ns INFO [00035699] Port=0 RD @00 + 35698.00ns INFO [00035700] [00035700] ...tick... + 35698.50ns INFO [00035700] * RD COMPARE * port=1 adr=07 act=15CF6D01429C35DD7F exp=15CF6D01429C35DD7F + 35699.50ns INFO [00035701] * RD COMPARE * port=0 adr=00 act=36D8857E7CC29D841C exp=36D8857E7CC29D841C + 35699.50ns INFO [00035701] Port=1 RD @03 + 35701.50ns INFO [00035703] * RD COMPARE * port=1 adr=03 act=F731C73CA8184D7CB7 exp=F731C73CA8184D7CB7 + 35701.50ns INFO [00035703] Port=1 RD @04 + 35703.50ns INFO [00035705] * RD COMPARE * port=1 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35703.50ns INFO [00035705] Port=0 WR @06=945CC1EF640ED424E4 + 35703.50ns INFO [00035705] Port=0 RD @05 + 35704.50ns INFO [00035706] Port=0 RD @07 + 35705.50ns INFO [00035707] * RD COMPARE * port=0 adr=05 act=F9539CD44D66788D66 exp=F9539CD44D66788D66 + 35705.50ns INFO [00035707] Port=0 WR @07=FBD2185FA131447E7D + 35705.50ns INFO [00035707] Port=1 RD @06 + 35706.50ns INFO [00035708] * RD COMPARE * port=0 adr=07 act=15CF6D01429C35DD7F exp=15CF6D01429C35DD7F + 35706.50ns INFO [00035708] Port=0 WR @03=18D56B82A2FCE5EA11 + 35706.50ns INFO [00035708] Port=1 RD @04 + 35707.50ns INFO [00035709] * RD COMPARE * port=1 adr=06 act=945CC1EF640ED424E4 exp=945CC1EF640ED424E4 + 35708.50ns INFO [00035710] * RD COMPARE * port=1 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35708.50ns INFO [00035710] Port=0 WR @00=3F70C047DD1CA84AA2 + 35709.50ns INFO [00035711] Port=0 WR @05=32B3855FE23B167E2E + 35710.50ns INFO [00035712] Port=0 WR @02=D7205E8E953D294525 + 35711.50ns INFO [00035713] Port=1 RD @05 + 35713.50ns INFO [00035715] * RD COMPARE * port=1 adr=05 act=32B3855FE23B167E2E exp=32B3855FE23B167E2E + 35713.50ns INFO [00035715] Port=0 RD @05 + 35713.50ns INFO [00035715] Port=1 RD @07 + 35714.50ns INFO [00035716] Port=0 RD @00 + 35714.50ns INFO [00035716] Port=1 RD @05 + 35715.50ns INFO [00035717] * RD COMPARE * port=0 adr=05 act=32B3855FE23B167E2E exp=32B3855FE23B167E2E + 35715.50ns INFO [00035717] * RD COMPARE * port=1 adr=07 act=FBD2185FA131447E7D exp=FBD2185FA131447E7D + 35715.50ns INFO [00035717] Port=0 RD @04 + 35715.50ns INFO [00035717] Port=1 RD @00 + 35716.50ns INFO [00035718] * RD COMPARE * port=0 adr=00 act=3F70C047DD1CA84AA2 exp=3F70C047DD1CA84AA2 + 35716.50ns INFO [00035718] * RD COMPARE * port=1 adr=05 act=32B3855FE23B167E2E exp=32B3855FE23B167E2E + 35716.50ns INFO [00035718] Port=0 WR @00=389F337DC7F8A7CA20 + 35716.50ns INFO [00035718] Port=1 RD @01 + 35717.50ns INFO [00035719] * RD COMPARE * port=0 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35717.50ns INFO [00035719] * RD COMPARE * port=1 adr=00 act=3F70C047DD1CA84AA2 exp=3F70C047DD1CA84AA2 + 35717.50ns INFO [00035719] Port=1 RD @05 + 35718.50ns INFO [00035720] * RD COMPARE * port=1 adr=01 act=FB22E8958FF137B3F0 exp=FB22E8958FF137B3F0 + 35718.50ns INFO [00035720] Port=0 RD @07 + 35719.50ns INFO [00035721] * RD COMPARE * port=1 adr=05 act=32B3855FE23B167E2E exp=32B3855FE23B167E2E + 35720.50ns INFO [00035722] * RD COMPARE * port=0 adr=07 act=FBD2185FA131447E7D exp=FBD2185FA131447E7D + 35720.50ns INFO [00035722] Port=0 WR @05=5AE82489F12373281D + 35720.50ns INFO [00035722] Port=0 RD @02 + 35721.50ns INFO [00035723] Port=0 RD @04 + 35722.50ns INFO [00035724] * RD COMPARE * port=0 adr=02 act=D7205E8E953D294525 exp=D7205E8E953D294525 + 35722.50ns INFO [00035724] Port=1 RD @05 + 35723.50ns INFO [00035725] * RD COMPARE * port=0 adr=04 act=CFE0E4803D98266C9A exp=CFE0E4803D98266C9A + 35723.50ns INFO [00035725] Port=0 WR @05=063A55AFA310AF1C8B + 35723.50ns INFO [00035725] Port=0 RD @00 + 35723.50ns INFO [00035725] Port=1 RD @02 + 35724.50ns INFO [00035726] * RD COMPARE * port=1 adr=05 act=5AE82489F12373281D exp=5AE82489F12373281D + 35724.50ns INFO [00035726] Port=0 RD @00 + 35725.50ns INFO [00035727] * RD COMPARE * port=0 adr=00 act=389F337DC7F8A7CA20 exp=389F337DC7F8A7CA20 + 35725.50ns INFO [00035727] * RD COMPARE * port=1 adr=02 act=D7205E8E953D294525 exp=D7205E8E953D294525 + 35726.50ns INFO [00035728] * RD COMPARE * port=0 adr=00 act=389F337DC7F8A7CA20 exp=389F337DC7F8A7CA20 + 35726.50ns INFO [00035728] Port=0 WR @04=B238470A46C7C4E2BE + 35726.50ns INFO [00035728] Port=1 RD @02 + 35727.50ns INFO [00035729] Port=0 WR @04=8A8C4EAA958C337BB3 + 35727.50ns INFO [00035729] Port=0 RD @07 + 35728.50ns INFO [00035730] * RD COMPARE * port=1 adr=02 act=D7205E8E953D294525 exp=D7205E8E953D294525 + 35728.50ns INFO [00035730] Port=0 WR @06=26D81E6228D2F8BEC6 + 35728.50ns INFO [00035730] Port=0 RD @04 + 35729.50ns INFO [00035731] * RD COMPARE * port=0 adr=07 act=FBD2185FA131447E7D exp=FBD2185FA131447E7D + 35730.50ns INFO [00035732] * RD COMPARE * port=0 adr=04 act=8A8C4EAA958C337BB3 exp=8A8C4EAA958C337BB3 + 35730.50ns INFO [00035732] Port=0 WR @01=14EAC44AF5F03D94E6 + 35730.50ns INFO [00035732] Port=1 RD @05 + 35731.50ns INFO [00035733] Port=0 WR @03=F00AB5EA41400848EB + 35732.50ns INFO [00035734] * RD COMPARE * port=1 adr=05 act=063A55AFA310AF1C8B exp=063A55AFA310AF1C8B + 35733.50ns INFO [00035735] Port=0 WR @01=0BF9E735CE058C9B46 + 35733.50ns INFO [00035735] Port=0 RD @00 + 35734.50ns INFO [00035736] Port=1 RD @03 + 35735.50ns INFO [00035737] * RD COMPARE * port=0 adr=00 act=389F337DC7F8A7CA20 exp=389F337DC7F8A7CA20 + 35735.50ns INFO [00035737] Port=0 WR @06=67E84DB460E691BE38 + 35735.50ns INFO [00035737] Port=0 RD @03 + 35735.50ns INFO [00035737] Port=1 RD @00 + 35736.50ns INFO [00035738] * RD COMPARE * port=1 adr=03 act=F00AB5EA41400848EB exp=F00AB5EA41400848EB + 35736.50ns INFO [00035738] Port=0 RD @05 + 35736.50ns INFO [00035738] Port=1 RD @05 + 35737.50ns INFO [00035739] * RD COMPARE * port=0 adr=03 act=F00AB5EA41400848EB exp=F00AB5EA41400848EB + 35737.50ns INFO [00035739] * RD COMPARE * port=1 adr=00 act=389F337DC7F8A7CA20 exp=389F337DC7F8A7CA20 + 35738.50ns INFO [00035740] * RD COMPARE * port=0 adr=05 act=063A55AFA310AF1C8B exp=063A55AFA310AF1C8B + 35738.50ns INFO [00035740] * RD COMPARE * port=1 adr=05 act=063A55AFA310AF1C8B exp=063A55AFA310AF1C8B + 35738.50ns INFO [00035740] Port=0 WR @01=9216D2060AED9290AC + 35739.50ns INFO [00035741] Port=0 WR @05=9D43BF0F9DB6F8630A + 35740.50ns INFO [00035742] Port=0 WR @07=AF883E82DF6CD68DD7 + 35742.50ns INFO [00035744] Port=0 WR @00=9465E71171E31B3287 + 35743.50ns INFO [00035745] Port=0 WR @02=C9C699204BA89E29EA + 35743.50ns INFO [00035745] Port=0 RD @05 + 35744.50ns INFO [00035746] Port=0 WR @02=BF2138FE1113BB5D5D + 35744.50ns INFO [00035746] Port=0 RD @01 + 35745.50ns INFO [00035747] * RD COMPARE * port=0 adr=05 act=9D43BF0F9DB6F8630A exp=9D43BF0F9DB6F8630A + 35745.50ns INFO [00035747] Port=0 RD @00 + 35746.50ns INFO [00035748] * RD COMPARE * port=0 adr=01 act=9216D2060AED9290AC exp=9216D2060AED9290AC + 35746.50ns INFO [00035748] Port=0 RD @03 + 35747.50ns INFO [00035749] * RD COMPARE * port=0 adr=00 act=9465E71171E31B3287 exp=9465E71171E31B3287 + 35747.50ns INFO [00035749] Port=0 WR @07=3687AEB7EAF17462A0 + 35748.50ns INFO [00035750] * RD COMPARE * port=0 adr=03 act=F00AB5EA41400848EB exp=F00AB5EA41400848EB + 35748.50ns INFO [00035750] Port=0 RD @01 + 35749.50ns INFO [00035751] Port=0 RD @06 + 35750.50ns INFO [00035752] * RD COMPARE * port=0 adr=01 act=9216D2060AED9290AC exp=9216D2060AED9290AC + 35750.50ns INFO [00035752] Port=0 RD @04 + 35751.50ns INFO [00035753] * RD COMPARE * port=0 adr=06 act=67E84DB460E691BE38 exp=67E84DB460E691BE38 + 35752.50ns INFO [00035754] * RD COMPARE * port=0 adr=04 act=8A8C4EAA958C337BB3 exp=8A8C4EAA958C337BB3 + 35753.50ns INFO [00035755] Port=0 RD @07 + 35753.50ns INFO [00035755] Port=1 RD @05 + 35754.50ns INFO [00035756] Port=0 WR @01=1E80C41BE384E51859 + 35755.50ns INFO [00035757] * RD COMPARE * port=0 adr=07 act=3687AEB7EAF17462A0 exp=3687AEB7EAF17462A0 + 35755.50ns INFO [00035757] * RD COMPARE * port=1 adr=05 act=9D43BF0F9DB6F8630A exp=9D43BF0F9DB6F8630A + 35755.50ns INFO [00035757] Port=1 RD @02 + 35756.50ns INFO [00035758] Port=0 WR @02=3C62D066275DCC60B5 + 35756.50ns INFO [00035758] Port=0 RD @07 + 35757.50ns INFO [00035759] * RD COMPARE * port=1 adr=02 act=BF2138FE1113BB5D5D exp=BF2138FE1113BB5D5D + 35757.50ns INFO [00035759] Port=1 RD @01 + 35758.50ns INFO [00035760] * RD COMPARE * port=0 adr=07 act=3687AEB7EAF17462A0 exp=3687AEB7EAF17462A0 + 35758.50ns INFO [00035760] Port=0 RD @05 + 35758.50ns INFO [00035760] Port=1 RD @06 + 35759.50ns INFO [00035761] * RD COMPARE * port=1 adr=01 act=1E80C41BE384E51859 exp=1E80C41BE384E51859 + 35759.50ns INFO [00035761] Port=0 RD @00 + 35760.50ns INFO [00035762] * RD COMPARE * port=0 adr=05 act=9D43BF0F9DB6F8630A exp=9D43BF0F9DB6F8630A + 35760.50ns INFO [00035762] * RD COMPARE * port=1 adr=06 act=67E84DB460E691BE38 exp=67E84DB460E691BE38 + 35760.50ns INFO [00035762] Port=0 WR @00=989EAD72A5EAF3618B + 35760.50ns INFO [00035762] Port=1 RD @02 + 35761.50ns INFO [00035763] * RD COMPARE * port=0 adr=00 act=9465E71171E31B3287 exp=9465E71171E31B3287 + 35762.50ns INFO [00035764] * RD COMPARE * port=1 adr=02 act=3C62D066275DCC60B5 exp=3C62D066275DCC60B5 + 35762.50ns INFO [00035764] Port=0 RD @00 + 35763.50ns INFO [00035765] Port=1 RD @04 + 35764.50ns INFO [00035766] * RD COMPARE * port=0 adr=00 act=989EAD72A5EAF3618B exp=989EAD72A5EAF3618B + 35764.50ns INFO [00035766] Port=0 WR @06=37F81CB938F08689E9 + 35764.50ns INFO [00035766] Port=0 RD @04 + 35765.50ns INFO [00035767] * RD COMPARE * port=1 adr=04 act=8A8C4EAA958C337BB3 exp=8A8C4EAA958C337BB3 + 35765.50ns INFO [00035767] Port=0 RD @06 + 35765.50ns INFO [00035767] Port=1 RD @05 + 35766.50ns INFO [00035768] * RD COMPARE * port=0 adr=04 act=8A8C4EAA958C337BB3 exp=8A8C4EAA958C337BB3 + 35766.50ns INFO [00035768] Port=0 RD @03 + 35767.50ns INFO [00035769] * RD COMPARE * port=0 adr=06 act=37F81CB938F08689E9 exp=37F81CB938F08689E9 + 35767.50ns INFO [00035769] * RD COMPARE * port=1 adr=05 act=9D43BF0F9DB6F8630A exp=9D43BF0F9DB6F8630A + 35767.50ns INFO [00035769] Port=0 WR @03=87FB0113DC1B66301F + 35767.50ns INFO [00035769] Port=0 RD @07 + 35768.50ns INFO [00035770] * RD COMPARE * port=0 adr=03 act=F00AB5EA41400848EB exp=F00AB5EA41400848EB + 35768.50ns INFO [00035770] Port=0 WR @00=69DE3877E6EED828C2 + 35768.50ns INFO [00035770] Port=0 RD @01 + 35769.50ns INFO [00035771] * RD COMPARE * port=0 adr=07 act=3687AEB7EAF17462A0 exp=3687AEB7EAF17462A0 + 35769.50ns INFO [00035771] Port=0 WR @06=A5DC6BDE33485E5F85 + 35770.50ns INFO [00035772] * RD COMPARE * port=0 adr=01 act=1E80C41BE384E51859 exp=1E80C41BE384E51859 + 35770.50ns INFO [00035772] Port=0 WR @01=DB70D41548B5AEA938 + 35770.50ns INFO [00035772] Port=1 RD @02 + 35771.50ns INFO [00035773] Port=0 WR @05=84EE6977DEFF88B885 + 35772.50ns INFO [00035774] * RD COMPARE * port=1 adr=02 act=3C62D066275DCC60B5 exp=3C62D066275DCC60B5 + 35773.50ns INFO [00035775] Port=1 RD @06 + 35774.50ns INFO [00035776] Port=0 WR @03=A6F62F5AC688DB5852 + 35774.50ns INFO [00035776] Port=0 RD @01 + 35774.50ns INFO [00035776] Port=1 RD @01 + 35775.50ns INFO [00035777] * RD COMPARE * port=1 adr=06 act=A5DC6BDE33485E5F85 exp=A5DC6BDE33485E5F85 + 35775.50ns INFO [00035777] Port=0 WR @01=E36E4E90D31A98B0A0 + 35776.50ns INFO [00035778] * RD COMPARE * port=0 adr=01 act=DB70D41548B5AEA938 exp=DB70D41548B5AEA938 + 35776.50ns INFO [00035778] * RD COMPARE * port=1 adr=01 act=DB70D41548B5AEA938 exp=DB70D41548B5AEA938 + 35776.50ns INFO [00035778] Port=0 WR @00=1171AC2D120AB98850 + 35776.50ns INFO [00035778] Port=0 RD @05 + 35776.50ns INFO [00035778] Port=1 RD @03 + 35777.50ns INFO [00035779] Port=1 RD @04 + 35778.50ns INFO [00035780] * RD COMPARE * port=0 adr=05 act=84EE6977DEFF88B885 exp=84EE6977DEFF88B885 + 35778.50ns INFO [00035780] * RD COMPARE * port=1 adr=03 act=A6F62F5AC688DB5852 exp=A6F62F5AC688DB5852 + 35778.50ns INFO [00035780] Port=0 RD @04 + 35779.50ns INFO [00035781] * RD COMPARE * port=1 adr=04 act=8A8C4EAA958C337BB3 exp=8A8C4EAA958C337BB3 + 35780.50ns INFO [00035782] * RD COMPARE * port=0 adr=04 act=8A8C4EAA958C337BB3 exp=8A8C4EAA958C337BB3 + 35780.50ns INFO [00035782] Port=0 WR @03=5A6D229E450518557C + 35780.50ns INFO [00035782] Port=0 RD @06 + 35781.50ns INFO [00035783] Port=0 RD @01 + 35782.50ns INFO [00035784] * RD COMPARE * port=0 adr=06 act=A5DC6BDE33485E5F85 exp=A5DC6BDE33485E5F85 + 35783.50ns INFO [00035785] * RD COMPARE * port=0 adr=01 act=E36E4E90D31A98B0A0 exp=E36E4E90D31A98B0A0 + 35783.50ns INFO [00035785] Port=1 RD @03 + 35784.50ns INFO [00035786] Port=0 RD @00 + 35784.50ns INFO [00035786] Port=1 RD @07 + 35785.50ns INFO [00035787] * RD COMPARE * port=1 adr=03 act=5A6D229E450518557C exp=5A6D229E450518557C + 35785.50ns INFO [00035787] Port=0 WR @01=A2D8EF4E5033D21EA3 + 35785.50ns INFO [00035787] Port=0 RD @03 + 35786.50ns INFO [00035788] * RD COMPARE * port=0 adr=00 act=1171AC2D120AB98850 exp=1171AC2D120AB98850 + 35786.50ns INFO [00035788] * RD COMPARE * port=1 adr=07 act=3687AEB7EAF17462A0 exp=3687AEB7EAF17462A0 + 35786.50ns INFO [00035788] Port=0 WR @01=3BC65E366C7548E39E + 35786.50ns INFO [00035788] Port=0 RD @05 + 35787.50ns INFO [00035789] * RD COMPARE * port=0 adr=03 act=5A6D229E450518557C exp=5A6D229E450518557C + 35787.50ns INFO [00035789] Port=0 RD @07 + 35788.50ns INFO [00035790] * RD COMPARE * port=0 adr=05 act=84EE6977DEFF88B885 exp=84EE6977DEFF88B885 + 35788.50ns INFO [00035790] Port=0 RD @07 + 35789.50ns INFO [00035791] * RD COMPARE * port=0 adr=07 act=3687AEB7EAF17462A0 exp=3687AEB7EAF17462A0 + 35789.50ns INFO [00035791] Port=0 WR @02=912CDB4C80DE1694B6 + 35789.50ns INFO [00035791] Port=1 RD @04 + 35790.50ns INFO [00035792] * RD COMPARE * port=0 adr=07 act=3687AEB7EAF17462A0 exp=3687AEB7EAF17462A0 + 35790.50ns INFO [00035792] Port=0 WR @06=F2E97BAFABAE430241 + 35790.50ns INFO [00035792] Port=0 RD @05 + 35790.50ns INFO [00035792] Port=1 RD @01 + 35791.50ns INFO [00035793] * RD COMPARE * port=1 adr=04 act=8A8C4EAA958C337BB3 exp=8A8C4EAA958C337BB3 + 35792.50ns INFO [00035794] * RD COMPARE * port=0 adr=05 act=84EE6977DEFF88B885 exp=84EE6977DEFF88B885 + 35792.50ns INFO [00035794] * RD COMPARE * port=1 adr=01 act=3BC65E366C7548E39E exp=3BC65E366C7548E39E + 35792.50ns INFO [00035794] Port=0 RD @06 + 35793.50ns INFO [00035795] Port=0 WR @04=116C182F60E541E7F7 + 35793.50ns INFO [00035795] Port=0 RD @05 + 35794.50ns INFO [00035796] * RD COMPARE * port=0 adr=06 act=F2E97BAFABAE430241 exp=F2E97BAFABAE430241 + 35794.50ns INFO [00035796] Port=0 RD @03 + 35794.50ns INFO [00035796] Port=1 RD @02 + 35795.50ns INFO [00035797] * RD COMPARE * port=0 adr=05 act=84EE6977DEFF88B885 exp=84EE6977DEFF88B885 + 35795.50ns INFO [00035797] Port=0 WR @00=038611AB030E73A8D2 + 35795.50ns INFO [00035797] Port=1 RD @03 + 35796.50ns INFO [00035798] * RD COMPARE * port=0 adr=03 act=5A6D229E450518557C exp=5A6D229E450518557C + 35796.50ns INFO [00035798] * RD COMPARE * port=1 adr=02 act=912CDB4C80DE1694B6 exp=912CDB4C80DE1694B6 + 35796.50ns INFO [00035798] Port=1 RD @02 + 35797.50ns INFO [00035799] * RD COMPARE * port=1 adr=03 act=5A6D229E450518557C exp=5A6D229E450518557C + 35798.00ns INFO [00035800] [00035800] ...tick... + 35798.50ns INFO [00035800] * RD COMPARE * port=1 adr=02 act=912CDB4C80DE1694B6 exp=912CDB4C80DE1694B6 + 35799.50ns INFO [00035801] Port=0 RD @00 + 35800.50ns INFO [00035802] Port=1 RD @06 + 35801.50ns INFO [00035803] * RD COMPARE * port=0 adr=00 act=038611AB030E73A8D2 exp=038611AB030E73A8D2 + 35802.50ns INFO [00035804] * RD COMPARE * port=1 adr=06 act=F2E97BAFABAE430241 exp=F2E97BAFABAE430241 + 35802.50ns INFO [00035804] Port=0 RD @06 + 35802.50ns INFO [00035804] Port=1 RD @06 + 35803.50ns INFO [00035805] Port=0 WR @07=D58781EB0983DEF8BD + 35803.50ns INFO [00035805] Port=0 RD @01 + 35804.50ns INFO [00035806] * RD COMPARE * port=0 adr=06 act=F2E97BAFABAE430241 exp=F2E97BAFABAE430241 + 35804.50ns INFO [00035806] * RD COMPARE * port=1 adr=06 act=F2E97BAFABAE430241 exp=F2E97BAFABAE430241 + 35804.50ns INFO [00035806] Port=0 RD @06 + 35805.50ns INFO [00035807] * RD COMPARE * port=0 adr=01 act=3BC65E366C7548E39E exp=3BC65E366C7548E39E + 35805.50ns INFO [00035807] Port=0 WR @00=8EA2978A02B5E870DB + 35805.50ns INFO [00035807] Port=0 RD @05 + 35805.50ns INFO [00035807] Port=1 RD @05 + 35806.50ns INFO [00035808] * RD COMPARE * port=0 adr=06 act=F2E97BAFABAE430241 exp=F2E97BAFABAE430241 + 35806.50ns INFO [00035808] Port=0 WR @01=1E6D56089FD80654DC + 35807.50ns INFO [00035809] * RD COMPARE * port=0 adr=05 act=84EE6977DEFF88B885 exp=84EE6977DEFF88B885 + 35807.50ns INFO [00035809] * RD COMPARE * port=1 adr=05 act=84EE6977DEFF88B885 exp=84EE6977DEFF88B885 + 35807.50ns INFO [00035809] Port=1 RD @04 + 35808.50ns INFO [00035810] Port=1 RD @05 + 35809.50ns INFO [00035811] * RD COMPARE * port=1 adr=04 act=116C182F60E541E7F7 exp=116C182F60E541E7F7 + 35809.50ns INFO [00035811] Port=0 RD @04 + 35810.50ns INFO [00035812] * RD COMPARE * port=1 adr=05 act=84EE6977DEFF88B885 exp=84EE6977DEFF88B885 + 35811.50ns INFO [00035813] * RD COMPARE * port=0 adr=04 act=116C182F60E541E7F7 exp=116C182F60E541E7F7 + 35811.50ns INFO [00035813] Port=0 WR @07=35801A146215312A30 + 35811.50ns INFO [00035813] Port=0 RD @00 + 35811.50ns INFO [00035813] Port=1 RD @01 + 35812.50ns INFO [00035814] Port=0 WR @00=12E2CE31375AFF58F6 + 35813.50ns INFO [00035815] * RD COMPARE * port=0 adr=00 act=8EA2978A02B5E870DB exp=8EA2978A02B5E870DB + 35813.50ns INFO [00035815] * RD COMPARE * port=1 adr=01 act=1E6D56089FD80654DC exp=1E6D56089FD80654DC + 35813.50ns INFO [00035815] Port=0 RD @00 + 35814.50ns INFO [00035816] Port=0 RD @02 + 35814.50ns INFO [00035816] Port=1 RD @04 + 35815.50ns INFO [00035817] * RD COMPARE * port=0 adr=00 act=12E2CE31375AFF58F6 exp=12E2CE31375AFF58F6 + 35816.50ns INFO [00035818] * RD COMPARE * port=0 adr=02 act=912CDB4C80DE1694B6 exp=912CDB4C80DE1694B6 + 35816.50ns INFO [00035818] * RD COMPARE * port=1 adr=04 act=116C182F60E541E7F7 exp=116C182F60E541E7F7 + 35816.50ns INFO [00035818] Port=0 WR @07=EADF463FB02DA7966E + 35817.50ns INFO [00035819] Port=1 RD @06 + 35818.50ns INFO [00035820] Port=0 WR @01=C34DB4B869328911C9 + 35819.50ns INFO [00035821] * RD COMPARE * port=1 adr=06 act=F2E97BAFABAE430241 exp=F2E97BAFABAE430241 + 35819.50ns INFO [00035821] Port=0 WR @01=AA2A5F8D5617548837 + 35819.50ns INFO [00035821] Port=1 RD @04 + 35820.50ns INFO [00035822] Port=0 RD @07 + 35821.50ns INFO [00035823] * RD COMPARE * port=1 adr=04 act=116C182F60E541E7F7 exp=116C182F60E541E7F7 + 35821.50ns INFO [00035823] Port=0 WR @04=06F698D5C1331545EA + 35821.50ns INFO [00035823] Port=1 RD @06 + 35822.50ns INFO [00035824] * RD COMPARE * port=0 adr=07 act=EADF463FB02DA7966E exp=EADF463FB02DA7966E + 35822.50ns INFO [00035824] Port=1 RD @06 + 35823.50ns INFO [00035825] * RD COMPARE * port=1 adr=06 act=F2E97BAFABAE430241 exp=F2E97BAFABAE430241 + 35823.50ns INFO [00035825] Port=0 WR @03=C56C4187F7C387506A + 35824.50ns INFO [00035826] * RD COMPARE * port=1 adr=06 act=F2E97BAFABAE430241 exp=F2E97BAFABAE430241 + 35824.50ns INFO [00035826] Port=0 RD @03 + 35824.50ns INFO [00035826] Port=1 RD @01 + 35825.50ns INFO [00035827] Port=0 WR @06=9AF978BD79A534C0F3 + 35826.50ns INFO [00035828] * RD COMPARE * port=0 adr=03 act=C56C4187F7C387506A exp=C56C4187F7C387506A + 35826.50ns INFO [00035828] * RD COMPARE * port=1 adr=01 act=AA2A5F8D5617548837 exp=AA2A5F8D5617548837 + 35826.50ns INFO [00035828] Port=0 WR @05=C620E0757F7A8ACE2D + 35827.50ns INFO [00035829] Port=0 WR @05=9C9BFC5DEF5865B068 + 35827.50ns INFO [00035829] Port=1 RD @00 + 35828.50ns INFO [00035830] Port=0 WR @07=9CDF11298C85701046 + 35829.50ns INFO [00035831] * RD COMPARE * port=1 adr=00 act=12E2CE31375AFF58F6 exp=12E2CE31375AFF58F6 + 35829.50ns INFO [00035831] Port=0 RD @05 + 35829.50ns INFO [00035831] Port=1 RD @00 + 35830.50ns INFO [00035832] Port=1 RD @06 + 35831.50ns INFO [00035833] * RD COMPARE * port=0 adr=05 act=9C9BFC5DEF5865B068 exp=9C9BFC5DEF5865B068 + 35831.50ns INFO [00035833] * RD COMPARE * port=1 adr=00 act=12E2CE31375AFF58F6 exp=12E2CE31375AFF58F6 + 35831.50ns INFO [00035833] Port=1 RD @03 + 35832.50ns INFO [00035834] * RD COMPARE * port=1 adr=06 act=9AF978BD79A534C0F3 exp=9AF978BD79A534C0F3 + 35832.50ns INFO [00035834] Port=1 RD @06 + 35833.50ns INFO [00035835] * RD COMPARE * port=1 adr=03 act=C56C4187F7C387506A exp=C56C4187F7C387506A + 35833.50ns INFO [00035835] Port=0 WR @00=9997C7E3BE616A96A1 + 35833.50ns INFO [00035835] Port=0 RD @05 + 35833.50ns INFO [00035835] Port=1 RD @07 + 35834.50ns INFO [00035836] * RD COMPARE * port=1 adr=06 act=9AF978BD79A534C0F3 exp=9AF978BD79A534C0F3 + 35834.50ns INFO [00035836] Port=0 WR @05=E883FA1CD40B64AFE1 + 35835.50ns INFO [00035837] * RD COMPARE * port=0 adr=05 act=9C9BFC5DEF5865B068 exp=9C9BFC5DEF5865B068 + 35835.50ns INFO [00035837] * RD COMPARE * port=1 adr=07 act=9CDF11298C85701046 exp=9CDF11298C85701046 + 35835.50ns INFO [00035837] Port=0 RD @04 + 35836.50ns INFO [00035838] Port=0 WR @03=6FF7F171C7D85F656B + 35837.50ns INFO [00035839] * RD COMPARE * port=0 adr=04 act=06F698D5C1331545EA exp=06F698D5C1331545EA + 35837.50ns INFO [00035839] Port=0 WR @02=72168F43586ACE4390 + 35837.50ns INFO [00035839] Port=1 RD @05 + 35838.50ns INFO [00035840] Port=0 RD @07 + 35838.50ns INFO [00035840] Port=1 RD @03 + 35839.50ns INFO [00035841] * RD COMPARE * port=1 adr=05 act=E883FA1CD40B64AFE1 exp=E883FA1CD40B64AFE1 + 35839.50ns INFO [00035841] Port=0 RD @01 + 35840.50ns INFO [00035842] * RD COMPARE * port=0 adr=07 act=9CDF11298C85701046 exp=9CDF11298C85701046 + 35840.50ns INFO [00035842] * RD COMPARE * port=1 adr=03 act=6FF7F171C7D85F656B exp=6FF7F171C7D85F656B + 35840.50ns INFO [00035842] Port=1 RD @03 + 35841.50ns INFO [00035843] * RD COMPARE * port=0 adr=01 act=AA2A5F8D5617548837 exp=AA2A5F8D5617548837 + 35842.50ns INFO [00035844] * RD COMPARE * port=1 adr=03 act=6FF7F171C7D85F656B exp=6FF7F171C7D85F656B + 35842.50ns INFO [00035844] Port=0 WR @06=0062E380DD1B2267D8 + 35843.50ns INFO [00035845] Port=0 WR @03=EB593F349F03482A9A + 35843.50ns INFO [00035845] Port=0 RD @06 + 35845.50ns INFO [00035847] * RD COMPARE * port=0 adr=06 act=0062E380DD1B2267D8 exp=0062E380DD1B2267D8 + 35846.50ns INFO [00035848] Port=1 RD @04 + 35847.50ns INFO [00035849] Port=0 WR @05=48996B5A9B617794C1 + 35847.50ns INFO [00035849] Port=0 RD @04 + 35847.50ns INFO [00035849] Port=1 RD @07 + 35848.50ns INFO [00035850] * RD COMPARE * port=1 adr=04 act=06F698D5C1331545EA exp=06F698D5C1331545EA + 35848.50ns INFO [00035850] Port=0 RD @04 + 35848.50ns INFO [00035850] Port=1 RD @06 + 35849.50ns INFO [00035851] * RD COMPARE * port=0 adr=04 act=06F698D5C1331545EA exp=06F698D5C1331545EA + 35849.50ns INFO [00035851] * RD COMPARE * port=1 adr=07 act=9CDF11298C85701046 exp=9CDF11298C85701046 + 35849.50ns INFO [00035851] Port=0 WR @02=AD3FDD7FCBD8567371 + 35850.50ns INFO [00035852] * RD COMPARE * port=0 adr=04 act=06F698D5C1331545EA exp=06F698D5C1331545EA + 35850.50ns INFO [00035852] * RD COMPARE * port=1 adr=06 act=0062E380DD1B2267D8 exp=0062E380DD1B2267D8 + 35852.50ns INFO [00035854] Port=0 WR @05=CE2877FDA9B9F042D5 + 35853.50ns INFO [00035855] Port=0 WR @04=D611B1DC82D55F17C8 + 35855.50ns INFO [00035857] Port=0 WR @03=9EBFAC7F9D17DC6C27 + 35855.50ns INFO [00035857] Port=0 RD @04 + 35856.50ns INFO [00035858] Port=0 RD @01 + 35857.50ns INFO [00035859] * RD COMPARE * port=0 adr=04 act=D611B1DC82D55F17C8 exp=D611B1DC82D55F17C8 + 35857.50ns INFO [00035859] Port=0 RD @04 + 35858.50ns INFO [00035860] * RD COMPARE * port=0 adr=01 act=AA2A5F8D5617548837 exp=AA2A5F8D5617548837 + 35858.50ns INFO [00035860] Port=0 RD @04 + 35858.50ns INFO [00035860] Port=1 RD @01 + 35859.50ns INFO [00035861] * RD COMPARE * port=0 adr=04 act=D611B1DC82D55F17C8 exp=D611B1DC82D55F17C8 + 35859.50ns INFO [00035861] Port=0 WR @07=1F6C54AAFF8B70E3AB + 35859.50ns INFO [00035861] Port=0 RD @03 + 35860.50ns INFO [00035862] * RD COMPARE * port=0 adr=04 act=D611B1DC82D55F17C8 exp=D611B1DC82D55F17C8 + 35860.50ns INFO [00035862] * RD COMPARE * port=1 adr=01 act=AA2A5F8D5617548837 exp=AA2A5F8D5617548837 + 35860.50ns INFO [00035862] Port=0 RD @01 + 35861.50ns INFO [00035863] * RD COMPARE * port=0 adr=03 act=9EBFAC7F9D17DC6C27 exp=9EBFAC7F9D17DC6C27 + 35861.50ns INFO [00035863] Port=1 RD @05 + 35862.50ns INFO [00035864] * RD COMPARE * port=0 adr=01 act=AA2A5F8D5617548837 exp=AA2A5F8D5617548837 + 35862.50ns INFO [00035864] Port=0 RD @06 + 35862.50ns INFO [00035864] Port=1 RD @00 + 35863.50ns INFO [00035865] * RD COMPARE * port=1 adr=05 act=CE2877FDA9B9F042D5 exp=CE2877FDA9B9F042D5 + 35864.50ns INFO [00035866] * RD COMPARE * port=0 adr=06 act=0062E380DD1B2267D8 exp=0062E380DD1B2267D8 + 35864.50ns INFO [00035866] * RD COMPARE * port=1 adr=00 act=9997C7E3BE616A96A1 exp=9997C7E3BE616A96A1 + 35864.50ns INFO [00035866] Port=0 RD @02 + 35864.50ns INFO [00035866] Port=1 RD @00 + 35865.50ns INFO [00035867] Port=0 RD @03 + 35866.50ns INFO [00035868] * RD COMPARE * port=0 adr=02 act=AD3FDD7FCBD8567371 exp=AD3FDD7FCBD8567371 + 35866.50ns INFO [00035868] * RD COMPARE * port=1 adr=00 act=9997C7E3BE616A96A1 exp=9997C7E3BE616A96A1 + 35866.50ns INFO [00035868] Port=0 RD @05 + 35867.50ns INFO [00035869] * RD COMPARE * port=0 adr=03 act=9EBFAC7F9D17DC6C27 exp=9EBFAC7F9D17DC6C27 + 35867.50ns INFO [00035869] Port=0 WR @00=E83D72A05435E96FFB + 35867.50ns INFO [00035869] Port=0 RD @07 + 35868.50ns INFO [00035870] * RD COMPARE * port=0 adr=05 act=CE2877FDA9B9F042D5 exp=CE2877FDA9B9F042D5 + 35869.50ns INFO [00035871] * RD COMPARE * port=0 adr=07 act=1F6C54AAFF8B70E3AB exp=1F6C54AAFF8B70E3AB + 35869.50ns INFO [00035871] Port=0 WR @01=2E9F7895C49EEBA48A + 35870.50ns INFO [00035872] Port=0 WR @07=0B5CC83C457F698374 + 35871.50ns INFO [00035873] Port=1 RD @05 + 35872.50ns INFO [00035874] Port=0 WR @03=9CD5D397D6E0CFEEC5 + 35872.50ns INFO [00035874] Port=0 RD @05 + 35873.50ns INFO [00035875] * RD COMPARE * port=1 adr=05 act=CE2877FDA9B9F042D5 exp=CE2877FDA9B9F042D5 + 35873.50ns INFO [00035875] Port=0 RD @01 + 35874.50ns INFO [00035876] * RD COMPARE * port=0 adr=05 act=CE2877FDA9B9F042D5 exp=CE2877FDA9B9F042D5 + 35874.50ns INFO [00035876] Port=0 RD @07 + 35874.50ns INFO [00035876] Port=1 RD @02 + 35875.50ns INFO [00035877] * RD COMPARE * port=0 adr=01 act=2E9F7895C49EEBA48A exp=2E9F7895C49EEBA48A + 35875.50ns INFO [00035877] Port=0 RD @02 + 35876.50ns INFO [00035878] * RD COMPARE * port=0 adr=07 act=0B5CC83C457F698374 exp=0B5CC83C457F698374 + 35876.50ns INFO [00035878] * RD COMPARE * port=1 adr=02 act=AD3FDD7FCBD8567371 exp=AD3FDD7FCBD8567371 + 35876.50ns INFO [00035878] Port=0 RD @06 + 35877.50ns INFO [00035879] * RD COMPARE * port=0 adr=02 act=AD3FDD7FCBD8567371 exp=AD3FDD7FCBD8567371 + 35877.50ns INFO [00035879] Port=0 RD @02 + 35878.50ns INFO [00035880] * RD COMPARE * port=0 adr=06 act=0062E380DD1B2267D8 exp=0062E380DD1B2267D8 + 35879.50ns INFO [00035881] * RD COMPARE * port=0 adr=02 act=AD3FDD7FCBD8567371 exp=AD3FDD7FCBD8567371 + 35880.50ns INFO [00035882] Port=0 WR @01=6BE3704DE4E2FD1798 + 35880.50ns INFO [00035882] Port=1 RD @06 + 35881.50ns INFO [00035883] Port=0 WR @05=F5457FB28EF836B05B + 35882.50ns INFO [00035884] * RD COMPARE * port=1 adr=06 act=0062E380DD1B2267D8 exp=0062E380DD1B2267D8 + 35882.50ns INFO [00035884] Port=0 RD @06 + 35883.50ns INFO [00035885] Port=0 RD @07 + 35883.50ns INFO [00035885] Port=1 RD @01 + 35884.50ns INFO [00035886] * RD COMPARE * port=0 adr=06 act=0062E380DD1B2267D8 exp=0062E380DD1B2267D8 + 35885.50ns INFO [00035887] * RD COMPARE * port=0 adr=07 act=0B5CC83C457F698374 exp=0B5CC83C457F698374 + 35885.50ns INFO [00035887] * RD COMPARE * port=1 adr=01 act=6BE3704DE4E2FD1798 exp=6BE3704DE4E2FD1798 + 35885.50ns INFO [00035887] Port=0 RD @03 + 35886.50ns INFO [00035888] Port=0 WR @03=0BF306E10C9FA8F85D + 35887.50ns INFO [00035889] * RD COMPARE * port=0 adr=03 act=9CD5D397D6E0CFEEC5 exp=9CD5D397D6E0CFEEC5 + 35888.50ns INFO [00035890] Port=0 WR @00=6A6F842D6F73B8E03D + 35888.50ns INFO [00035890] Port=0 RD @01 + 35889.50ns INFO [00035891] Port=0 WR @01=0375ACDEAE864DFF6F + 35889.50ns INFO [00035891] Port=0 RD @00 + 35890.50ns INFO [00035892] * RD COMPARE * port=0 adr=01 act=6BE3704DE4E2FD1798 exp=6BE3704DE4E2FD1798 + 35890.50ns INFO [00035892] Port=0 RD @05 + 35891.50ns INFO [00035893] * RD COMPARE * port=0 adr=00 act=6A6F842D6F73B8E03D exp=6A6F842D6F73B8E03D + 35891.50ns INFO [00035893] Port=0 WR @03=D1E94AF159796EE816 + 35891.50ns INFO [00035893] Port=1 RD @02 + 35892.50ns INFO [00035894] * RD COMPARE * port=0 adr=05 act=F5457FB28EF836B05B exp=F5457FB28EF836B05B + 35892.50ns INFO [00035894] Port=0 WR @01=0AA9E67B0BA693DBA5 + 35892.50ns INFO [00035894] Port=0 RD @00 + 35893.50ns INFO [00035895] * RD COMPARE * port=1 adr=02 act=AD3FDD7FCBD8567371 exp=AD3FDD7FCBD8567371 + 35893.50ns INFO [00035895] Port=0 RD @01 + 35893.50ns INFO [00035895] Port=1 RD @02 + 35894.50ns INFO [00035896] * RD COMPARE * port=0 adr=00 act=6A6F842D6F73B8E03D exp=6A6F842D6F73B8E03D + 35894.50ns INFO [00035896] Port=0 RD @06 + 35895.50ns INFO [00035897] * RD COMPARE * port=0 adr=01 act=0AA9E67B0BA693DBA5 exp=0AA9E67B0BA693DBA5 + 35895.50ns INFO [00035897] * RD COMPARE * port=1 adr=02 act=AD3FDD7FCBD8567371 exp=AD3FDD7FCBD8567371 + 35895.50ns INFO [00035897] Port=0 WR @06=5AFB3EE2B980F1AB12 + 35896.50ns INFO [00035898] * RD COMPARE * port=0 adr=06 act=0062E380DD1B2267D8 exp=0062E380DD1B2267D8 + 35896.50ns INFO [00035898] Port=0 WR @01=D22763370C1208833D + 35897.50ns INFO [00035899] Port=0 WR @02=13439EE043D39B0662 + 35898.00ns INFO [00035900] [00035900] ...tick... + 35899.50ns INFO [00035901] Port=0 WR @01=22D49AE03DF3C123BD + 35899.50ns INFO [00035901] Port=0 RD @02 + 35899.50ns INFO [00035901] Port=1 RD @00 + 35900.50ns INFO [00035902] Port=0 WR @04=61F23A356C41A3D38A + 35900.50ns INFO [00035902] Port=1 RD @07 + 35901.50ns INFO [00035903] * RD COMPARE * port=0 adr=02 act=13439EE043D39B0662 exp=13439EE043D39B0662 + 35901.50ns INFO [00035903] * RD COMPARE * port=1 adr=00 act=6A6F842D6F73B8E03D exp=6A6F842D6F73B8E03D + 35901.50ns INFO [00035903] Port=0 WR @01=6D4855166AAC403BE5 + 35902.50ns INFO [00035904] * RD COMPARE * port=1 adr=07 act=0B5CC83C457F698374 exp=0B5CC83C457F698374 + 35902.50ns INFO [00035904] Port=0 WR @03=9C8C82825E0E942C3B + 35902.50ns INFO [00035904] Port=1 RD @02 + 35903.50ns INFO [00035905] Port=0 RD @03 + 35904.50ns INFO [00035906] * RD COMPARE * port=1 adr=02 act=13439EE043D39B0662 exp=13439EE043D39B0662 + 35905.50ns INFO [00035907] * RD COMPARE * port=0 adr=03 act=9C8C82825E0E942C3B exp=9C8C82825E0E942C3B + 35905.50ns INFO [00035907] Port=0 WR @01=9DFB36245EE8926AA9 + 35905.50ns INFO [00035907] Port=0 RD @07 + 35906.50ns INFO [00035908] Port=0 RD @05 + 35907.50ns INFO [00035909] * RD COMPARE * port=0 adr=07 act=0B5CC83C457F698374 exp=0B5CC83C457F698374 + 35907.50ns INFO [00035909] Port=0 WR @04=C7408A341A380AC1B9 + 35907.50ns INFO [00035909] Port=0 RD @03 + 35908.50ns INFO [00035910] * RD COMPARE * port=0 adr=05 act=F5457FB28EF836B05B exp=F5457FB28EF836B05B + 35909.50ns INFO [00035911] * RD COMPARE * port=0 adr=03 act=9C8C82825E0E942C3B exp=9C8C82825E0E942C3B + 35909.50ns INFO [00035911] Port=0 WR @02=77EDF04466B5880265 + 35909.50ns INFO [00035911] Port=0 RD @06 + 35910.50ns INFO [00035912] Port=0 WR @06=7756BE9F63F74740EF + 35910.50ns INFO [00035912] Port=0 RD @01 + 35911.50ns INFO [00035913] * RD COMPARE * port=0 adr=06 act=5AFB3EE2B980F1AB12 exp=5AFB3EE2B980F1AB12 + 35912.50ns INFO [00035914] * RD COMPARE * port=0 adr=01 act=9DFB36245EE8926AA9 exp=9DFB36245EE8926AA9 + 35913.50ns INFO [00035915] Port=0 RD @01 + 35914.50ns INFO [00035916] Port=0 RD @06 + 35915.50ns INFO [00035917] * RD COMPARE * port=0 adr=01 act=9DFB36245EE8926AA9 exp=9DFB36245EE8926AA9 + 35915.50ns INFO [00035917] Port=0 RD @05 + 35916.50ns INFO [00035918] * RD COMPARE * port=0 adr=06 act=7756BE9F63F74740EF exp=7756BE9F63F74740EF + 35916.50ns INFO [00035918] Port=0 RD @01 + 35917.50ns INFO [00035919] * RD COMPARE * port=0 adr=05 act=F5457FB28EF836B05B exp=F5457FB28EF836B05B + 35918.50ns INFO [00035920] * RD COMPARE * port=0 adr=01 act=9DFB36245EE8926AA9 exp=9DFB36245EE8926AA9 + 35918.50ns INFO [00035920] Port=0 RD @06 + 35919.50ns INFO [00035921] Port=0 RD @00 + 35919.50ns INFO [00035921] Port=1 RD @07 + 35920.50ns INFO [00035922] * RD COMPARE * port=0 adr=06 act=7756BE9F63F74740EF exp=7756BE9F63F74740EF + 35920.50ns INFO [00035922] Port=0 RD @00 + 35920.50ns INFO [00035922] Port=1 RD @07 + 35921.50ns INFO [00035923] * RD COMPARE * port=0 adr=00 act=6A6F842D6F73B8E03D exp=6A6F842D6F73B8E03D + 35921.50ns INFO [00035923] * RD COMPARE * port=1 adr=07 act=0B5CC83C457F698374 exp=0B5CC83C457F698374 + 35921.50ns INFO [00035923] Port=0 WR @04=D0B2458875C80A2AF4 + 35922.50ns INFO [00035924] * RD COMPARE * port=0 adr=00 act=6A6F842D6F73B8E03D exp=6A6F842D6F73B8E03D + 35922.50ns INFO [00035924] * RD COMPARE * port=1 adr=07 act=0B5CC83C457F698374 exp=0B5CC83C457F698374 + 35922.50ns INFO [00035924] Port=0 WR @07=859380DB32C2457FC0 + 35922.50ns INFO [00035924] Port=0 RD @06 + 35923.50ns INFO [00035925] Port=0 WR @00=2A51D742DDABB61DC4 + 35923.50ns INFO [00035925] Port=1 RD @06 + 35924.50ns INFO [00035926] * RD COMPARE * port=0 adr=06 act=7756BE9F63F74740EF exp=7756BE9F63F74740EF + 35924.50ns INFO [00035926] Port=0 RD @06 + 35924.50ns INFO [00035926] Port=1 RD @06 + 35925.50ns INFO [00035927] * RD COMPARE * port=1 adr=06 act=7756BE9F63F74740EF exp=7756BE9F63F74740EF + 35926.50ns INFO [00035928] * RD COMPARE * port=0 adr=06 act=7756BE9F63F74740EF exp=7756BE9F63F74740EF + 35926.50ns INFO [00035928] * RD COMPARE * port=1 adr=06 act=7756BE9F63F74740EF exp=7756BE9F63F74740EF + 35927.50ns INFO [00035929] Port=0 RD @01 + 35928.50ns INFO [00035930] Port=0 WR @00=445E938DBB259E69B8 + 35928.50ns INFO [00035930] Port=0 RD @02 + 35928.50ns INFO [00035930] Port=1 RD @07 + 35929.50ns INFO [00035931] * RD COMPARE * port=0 adr=01 act=9DFB36245EE8926AA9 exp=9DFB36245EE8926AA9 + 35929.50ns INFO [00035931] Port=1 RD @02 + 35930.50ns INFO [00035932] * RD COMPARE * port=0 adr=02 act=77EDF04466B5880265 exp=77EDF04466B5880265 + 35930.50ns INFO [00035932] * RD COMPARE * port=1 adr=07 act=859380DB32C2457FC0 exp=859380DB32C2457FC0 + 35930.50ns INFO [00035932] Port=0 WR @07=DD4867E869D92B47B3 + 35930.50ns INFO [00035932] Port=1 RD @01 + 35931.50ns INFO [00035933] * RD COMPARE * port=1 adr=02 act=77EDF04466B5880265 exp=77EDF04466B5880265 + 35931.50ns INFO [00035933] Port=0 WR @04=DFA26D9053644BDEFF + 35932.50ns INFO [00035934] * RD COMPARE * port=1 adr=01 act=9DFB36245EE8926AA9 exp=9DFB36245EE8926AA9 + 35932.50ns INFO [00035934] Port=1 RD @05 + 35933.50ns INFO [00035935] Port=0 RD @00 + 35933.50ns INFO [00035935] Port=1 RD @04 + 35934.50ns INFO [00035936] * RD COMPARE * port=1 adr=05 act=F5457FB28EF836B05B exp=F5457FB28EF836B05B + 35935.50ns INFO [00035937] * RD COMPARE * port=0 adr=00 act=445E938DBB259E69B8 exp=445E938DBB259E69B8 + 35935.50ns INFO [00035937] * RD COMPARE * port=1 adr=04 act=DFA26D9053644BDEFF exp=DFA26D9053644BDEFF + 35935.50ns INFO [00035937] Port=0 WR @06=4A20D20390B210C185 + 35936.50ns INFO [00035938] Port=0 WR @01=4EDBF9298C08EBD610 + 35936.50ns INFO [00035938] Port=1 RD @04 + 35937.50ns INFO [00035939] Port=0 RD @07 + 35937.50ns INFO [00035939] Port=1 RD @00 + 35938.50ns INFO [00035940] * RD COMPARE * port=1 adr=04 act=DFA26D9053644BDEFF exp=DFA26D9053644BDEFF + 35938.50ns INFO [00035940] Port=0 WR @02=AF35BB6D29A1634541 + 35938.50ns INFO [00035940] Port=1 RD @05 + 35939.50ns INFO [00035941] * RD COMPARE * port=0 adr=07 act=DD4867E869D92B47B3 exp=DD4867E869D92B47B3 + 35939.50ns INFO [00035941] * RD COMPARE * port=1 adr=00 act=445E938DBB259E69B8 exp=445E938DBB259E69B8 + 35940.50ns INFO [00035942] * RD COMPARE * port=1 adr=05 act=F5457FB28EF836B05B exp=F5457FB28EF836B05B + 35941.50ns INFO [00035943] Port=0 WR @04=F388F7C6258D565566 + 35941.50ns INFO [00035943] Port=1 RD @06 + 35942.50ns INFO [00035944] Port=0 WR @04=BB32ADF046822E07C1 + 35942.50ns INFO [00035944] Port=0 RD @06 + 35943.50ns INFO [00035945] * RD COMPARE * port=1 adr=06 act=4A20D20390B210C185 exp=4A20D20390B210C185 + 35943.50ns INFO [00035945] Port=0 RD @00 + 35944.50ns INFO [00035946] * RD COMPARE * port=0 adr=06 act=4A20D20390B210C185 exp=4A20D20390B210C185 + 35944.50ns INFO [00035946] Port=0 RD @06 + 35945.50ns INFO [00035947] * RD COMPARE * port=0 adr=00 act=445E938DBB259E69B8 exp=445E938DBB259E69B8 + 35945.50ns INFO [00035947] Port=0 RD @02 + 35945.50ns INFO [00035947] Port=1 RD @01 + 35946.50ns INFO [00035948] * RD COMPARE * port=0 adr=06 act=4A20D20390B210C185 exp=4A20D20390B210C185 + 35946.50ns INFO [00035948] Port=1 RD @05 + 35947.50ns INFO [00035949] * RD COMPARE * port=0 adr=02 act=AF35BB6D29A1634541 exp=AF35BB6D29A1634541 + 35947.50ns INFO [00035949] * RD COMPARE * port=1 adr=01 act=4EDBF9298C08EBD610 exp=4EDBF9298C08EBD610 + 35947.50ns INFO [00035949] Port=1 RD @03 + 35948.50ns INFO [00035950] * RD COMPARE * port=1 adr=05 act=F5457FB28EF836B05B exp=F5457FB28EF836B05B + 35949.50ns INFO [00035951] * RD COMPARE * port=1 adr=03 act=9C8C82825E0E942C3B exp=9C8C82825E0E942C3B + 35949.50ns INFO [00035951] Port=1 RD @05 + 35950.50ns INFO [00035952] Port=0 WR @05=9BD37B61DE6CA1757E + 35951.50ns INFO [00035953] * RD COMPARE * port=1 adr=05 act=F5457FB28EF836B05B exp=F5457FB28EF836B05B + 35953.50ns INFO [00035955] Port=0 WR @02=D392F971C3AC9AF5A7 + 35954.50ns INFO [00035956] Port=0 WR @06=CD2A873D802C6F968D + 35955.50ns INFO [00035957] Port=0 WR @00=9A9B2B308E78766F50 + 35955.50ns INFO [00035957] Port=0 RD @02 + 35955.50ns INFO [00035957] Port=1 RD @03 + 35957.50ns INFO [00035959] * RD COMPARE * port=0 adr=02 act=D392F971C3AC9AF5A7 exp=D392F971C3AC9AF5A7 + 35957.50ns INFO [00035959] * RD COMPARE * port=1 adr=03 act=9C8C82825E0E942C3B exp=9C8C82825E0E942C3B + 35958.50ns INFO [00035960] Port=0 WR @03=61EE6565D925A3365F + 35959.50ns INFO [00035961] Port=0 WR @02=1BA1D8C9E067BC154B + 35959.50ns INFO [00035961] Port=0 RD @03 + 35959.50ns INFO [00035961] Port=1 RD @00 + 35960.50ns INFO [00035962] Port=0 WR @03=471C9E38985AC55EEE + 35960.50ns INFO [00035962] Port=0 RD @04 + 35961.50ns INFO [00035963] * RD COMPARE * port=0 adr=03 act=61EE6565D925A3365F exp=61EE6565D925A3365F + 35961.50ns INFO [00035963] * RD COMPARE * port=1 adr=00 act=9A9B2B308E78766F50 exp=9A9B2B308E78766F50 + 35961.50ns INFO [00035963] Port=0 RD @05 + 35962.50ns INFO [00035964] * RD COMPARE * port=0 adr=04 act=BB32ADF046822E07C1 exp=BB32ADF046822E07C1 + 35962.50ns INFO [00035964] Port=0 WR @06=0C2C424E5F9FD7A39F + 35962.50ns INFO [00035964] Port=0 RD @02 + 35963.50ns INFO [00035965] * RD COMPARE * port=0 adr=05 act=9BD37B61DE6CA1757E exp=9BD37B61DE6CA1757E + 35963.50ns INFO [00035965] Port=0 WR @06=8CFD8BED85BF2DD05A + 35964.50ns INFO [00035966] * RD COMPARE * port=0 adr=02 act=1BA1D8C9E067BC154B exp=1BA1D8C9E067BC154B + 35964.50ns INFO [00035966] Port=0 RD @04 + 35966.50ns INFO [00035968] * RD COMPARE * port=0 adr=04 act=BB32ADF046822E07C1 exp=BB32ADF046822E07C1 + 35966.50ns INFO [00035968] Port=0 RD @03 + 35966.50ns INFO [00035968] Port=1 RD @03 + 35967.50ns INFO [00035969] Port=0 RD @03 + 35968.50ns INFO [00035970] * RD COMPARE * port=0 adr=03 act=471C9E38985AC55EEE exp=471C9E38985AC55EEE + 35968.50ns INFO [00035970] * RD COMPARE * port=1 adr=03 act=471C9E38985AC55EEE exp=471C9E38985AC55EEE + 35968.50ns INFO [00035970] Port=0 RD @03 + 35968.50ns INFO [00035970] Port=1 RD @04 + 35969.50ns INFO [00035971] * RD COMPARE * port=0 adr=03 act=471C9E38985AC55EEE exp=471C9E38985AC55EEE + 35969.50ns INFO [00035971] Port=0 WR @06=388936378195624A4D + 35970.50ns INFO [00035972] * RD COMPARE * port=0 adr=03 act=471C9E38985AC55EEE exp=471C9E38985AC55EEE + 35970.50ns INFO [00035972] * RD COMPARE * port=1 adr=04 act=BB32ADF046822E07C1 exp=BB32ADF046822E07C1 + 35970.50ns INFO [00035972] Port=0 WR @01=BFC08D66FEBC584523 + 35970.50ns INFO [00035972] Port=1 RD @05 + 35971.50ns INFO [00035973] Port=0 WR @07=F1EC611DD0F8CB5B93 + 35972.50ns INFO [00035974] * RD COMPARE * port=1 adr=05 act=9BD37B61DE6CA1757E exp=9BD37B61DE6CA1757E + 35973.50ns INFO [00035975] Port=0 RD @01 + 35974.50ns INFO [00035976] Port=1 RD @02 + 35975.50ns INFO [00035977] * RD COMPARE * port=0 adr=01 act=BFC08D66FEBC584523 exp=BFC08D66FEBC584523 + 35976.50ns INFO [00035978] * RD COMPARE * port=1 adr=02 act=1BA1D8C9E067BC154B exp=1BA1D8C9E067BC154B + 35976.50ns INFO [00035978] Port=0 WR @02=0A8D6E3B53EEB764DA + 35977.50ns INFO [00035979] Port=0 WR @06=1F0E22466BCE2CF791 + 35978.50ns INFO [00035980] Port=0 WR @01=2072968535B8488A56 + 35979.50ns INFO [00035981] Port=0 WR @04=F9BDBB1D9D3E54ED4F + 35979.50ns INFO [00035981] Port=0 RD @07 + 35980.50ns INFO [00035982] Port=0 WR @05=BD5B97A27551C950FD + 35981.50ns INFO [00035983] * RD COMPARE * port=0 adr=07 act=F1EC611DD0F8CB5B93 exp=F1EC611DD0F8CB5B93 + 35981.50ns INFO [00035983] Port=0 RD @02 + 35981.50ns INFO [00035983] Port=1 RD @06 + 35982.50ns INFO [00035984] Port=0 RD @03 + 35983.50ns INFO [00035985] * RD COMPARE * port=0 adr=02 act=0A8D6E3B53EEB764DA exp=0A8D6E3B53EEB764DA + 35983.50ns INFO [00035985] * RD COMPARE * port=1 adr=06 act=1F0E22466BCE2CF791 exp=1F0E22466BCE2CF791 + 35983.50ns INFO [00035985] Port=1 RD @03 + 35984.50ns INFO [00035986] * RD COMPARE * port=0 adr=03 act=471C9E38985AC55EEE exp=471C9E38985AC55EEE + 35984.50ns INFO [00035986] Port=0 WR @01=6E7D30C0244F663F67 + 35984.50ns INFO [00035986] Port=0 RD @05 + 35985.50ns INFO [00035987] * RD COMPARE * port=1 adr=03 act=471C9E38985AC55EEE exp=471C9E38985AC55EEE + 35985.50ns INFO [00035987] Port=0 WR @00=0D1DF6D8DC2D6535BC + 35985.50ns INFO [00035987] Port=0 RD @06 + 35986.50ns INFO [00035988] * RD COMPARE * port=0 adr=05 act=BD5B97A27551C950FD exp=BD5B97A27551C950FD + 35986.50ns INFO [00035988] Port=1 RD @06 + 35987.50ns INFO [00035989] * RD COMPARE * port=0 adr=06 act=1F0E22466BCE2CF791 exp=1F0E22466BCE2CF791 + 35988.50ns INFO [00035990] * RD COMPARE * port=1 adr=06 act=1F0E22466BCE2CF791 exp=1F0E22466BCE2CF791 + 35988.50ns INFO [00035990] Port=0 WR @01=67DB161A4A0F9746AC + 35988.50ns INFO [00035990] Port=1 RD @06 + 35989.50ns INFO [00035991] Port=0 RD @04 + 35989.50ns INFO [00035991] Port=1 RD @07 + 35990.50ns INFO [00035992] * RD COMPARE * port=1 adr=06 act=1F0E22466BCE2CF791 exp=1F0E22466BCE2CF791 + 35990.50ns INFO [00035992] Port=0 WR @05=5B33F11E560269F6BF + 35990.50ns INFO [00035992] Port=1 RD @07 + 35991.50ns INFO [00035993] * RD COMPARE * port=0 adr=04 act=F9BDBB1D9D3E54ED4F exp=F9BDBB1D9D3E54ED4F + 35991.50ns INFO [00035993] * RD COMPARE * port=1 adr=07 act=F1EC611DD0F8CB5B93 exp=F1EC611DD0F8CB5B93 + 35991.50ns INFO [00035993] Port=0 WR @01=D10CEE066B4DEFDDD7 + 35991.50ns INFO [00035993] Port=0 RD @02 + 35991.50ns INFO [00035993] Port=1 RD @05 + 35992.50ns INFO [00035994] * RD COMPARE * port=1 adr=07 act=F1EC611DD0F8CB5B93 exp=F1EC611DD0F8CB5B93 + 35992.50ns INFO [00035994] Port=0 WR @01=680EB87D348DA490DE + 35992.50ns INFO [00035994] Port=0 RD @02 + 35993.50ns INFO [00035995] * RD COMPARE * port=0 adr=02 act=0A8D6E3B53EEB764DA exp=0A8D6E3B53EEB764DA + 35993.50ns INFO [00035995] * RD COMPARE * port=1 adr=05 act=5B33F11E560269F6BF exp=5B33F11E560269F6BF + 35993.50ns INFO [00035995] Port=0 WR @07=37A49EF64EBF9DF386 + 35993.50ns INFO [00035995] Port=1 RD @06 + 35994.50ns INFO [00035996] * RD COMPARE * port=0 adr=02 act=0A8D6E3B53EEB764DA exp=0A8D6E3B53EEB764DA + 35995.50ns INFO [00035997] * RD COMPARE * port=1 adr=06 act=1F0E22466BCE2CF791 exp=1F0E22466BCE2CF791 + 35995.50ns INFO [00035997] Port=0 WR @06=07F1C3113E2134C1C3 + 35996.50ns INFO [00035998] Port=1 RD @00 + 35997.50ns INFO [00035999] Port=0 RD @05 + 35998.00ns INFO [00036000] [00036000] ...tick... + 35998.50ns INFO [00036000] * RD COMPARE * port=1 adr=00 act=0D1DF6D8DC2D6535BC exp=0D1DF6D8DC2D6535BC + 35999.50ns INFO [00036001] * RD COMPARE * port=0 adr=05 act=5B33F11E560269F6BF exp=5B33F11E560269F6BF + 36001.50ns INFO [00036003] Port=0 WR @05=B132DD2647780FC7B4 + 36001.50ns INFO [00036003] Port=1 RD @04 + 36002.50ns INFO [00036004] Port=0 RD @06 + 36003.50ns INFO [00036005] * RD COMPARE * port=1 adr=04 act=F9BDBB1D9D3E54ED4F exp=F9BDBB1D9D3E54ED4F + 36003.50ns INFO [00036005] Port=0 WR @04=6291CCC4B92451B6F3 + 36003.50ns INFO [00036005] Port=1 RD @06 + 36004.50ns INFO [00036006] * RD COMPARE * port=0 adr=06 act=07F1C3113E2134C1C3 exp=07F1C3113E2134C1C3 + 36004.50ns INFO [00036006] Port=0 WR @02=B6F0FDF96C8E2779D1 + 36005.50ns INFO [00036007] * RD COMPARE * port=1 adr=06 act=07F1C3113E2134C1C3 exp=07F1C3113E2134C1C3 + 36005.50ns INFO [00036007] Port=1 RD @00 + 36006.50ns INFO [00036008] Port=0 RD @01 + 36006.50ns INFO [00036008] Port=1 RD @04 + 36007.50ns INFO [00036009] * RD COMPARE * port=1 adr=00 act=0D1DF6D8DC2D6535BC exp=0D1DF6D8DC2D6535BC + 36007.50ns INFO [00036009] Port=0 RD @02 + 36007.50ns INFO [00036009] Port=1 RD @00 + 36008.50ns INFO [00036010] * RD COMPARE * port=0 adr=01 act=680EB87D348DA490DE exp=680EB87D348DA490DE + 36008.50ns INFO [00036010] * RD COMPARE * port=1 adr=04 act=6291CCC4B92451B6F3 exp=6291CCC4B92451B6F3 + 36008.50ns INFO [00036010] Port=0 WR @06=0ECEAA9C140A34388A + 36008.50ns INFO [00036010] Port=0 RD @07 + 36009.50ns INFO [00036011] * RD COMPARE * port=0 adr=02 act=B6F0FDF96C8E2779D1 exp=B6F0FDF96C8E2779D1 + 36009.50ns INFO [00036011] * RD COMPARE * port=1 adr=00 act=0D1DF6D8DC2D6535BC exp=0D1DF6D8DC2D6535BC + 36010.50ns INFO [00036012] * RD COMPARE * port=0 adr=07 act=37A49EF64EBF9DF386 exp=37A49EF64EBF9DF386 + 36010.50ns INFO [00036012] Port=1 RD @02 + 36011.50ns INFO [00036013] Port=0 WR @04=33BF03A94417CDDAD6 + 36012.50ns INFO [00036014] * RD COMPARE * port=1 adr=02 act=B6F0FDF96C8E2779D1 exp=B6F0FDF96C8E2779D1 + 36012.50ns INFO [00036014] Port=0 WR @02=E85115785E2DA6CF22 + 36013.50ns INFO [00036015] Port=1 RD @02 + 36014.50ns INFO [00036016] Port=1 RD @01 + 36015.50ns INFO [00036017] * RD COMPARE * port=1 adr=02 act=E85115785E2DA6CF22 exp=E85115785E2DA6CF22 + 36016.50ns INFO [00036018] * RD COMPARE * port=1 adr=01 act=680EB87D348DA490DE exp=680EB87D348DA490DE + 36016.50ns INFO [00036018] Port=0 RD @04 + 36016.50ns INFO [00036018] Port=1 RD @02 + 36017.50ns INFO [00036019] Port=1 RD @07 + 36018.50ns INFO [00036020] * RD COMPARE * port=0 adr=04 act=33BF03A94417CDDAD6 exp=33BF03A94417CDDAD6 + 36018.50ns INFO [00036020] * RD COMPARE * port=1 adr=02 act=E85115785E2DA6CF22 exp=E85115785E2DA6CF22 + 36018.50ns INFO [00036020] Port=0 WR @01=D943223ADED31FBFA1 + 36019.50ns INFO [00036021] * RD COMPARE * port=1 adr=07 act=37A49EF64EBF9DF386 exp=37A49EF64EBF9DF386 + 36019.50ns INFO [00036021] Port=1 RD @02 + 36020.50ns INFO [00036022] Port=1 RD @02 + 36021.50ns INFO [00036023] * RD COMPARE * port=1 adr=02 act=E85115785E2DA6CF22 exp=E85115785E2DA6CF22 + 36022.50ns INFO [00036024] * RD COMPARE * port=1 adr=02 act=E85115785E2DA6CF22 exp=E85115785E2DA6CF22 + 36022.50ns INFO [00036024] Port=0 WR @07=82FAAC80C78D845857 + 36022.50ns INFO [00036024] Port=0 RD @00 + 36024.50ns INFO [00036026] * RD COMPARE * port=0 adr=00 act=0D1DF6D8DC2D6535BC exp=0D1DF6D8DC2D6535BC + 36024.50ns INFO [00036026] Port=0 RD @03 + 36025.50ns INFO [00036027] Port=0 WR @06=59FF1CFC9E3CDA43B1 + 36025.50ns INFO [00036027] Port=0 RD @05 + 36025.50ns INFO [00036027] Port=1 RD @01 + 36026.50ns INFO [00036028] * RD COMPARE * port=0 adr=03 act=471C9E38985AC55EEE exp=471C9E38985AC55EEE + 36026.50ns INFO [00036028] Port=0 WR @06=E1D8E90FE66EC3C986 + 36026.50ns INFO [00036028] Port=1 RD @07 + 36027.50ns INFO [00036029] * RD COMPARE * port=0 adr=05 act=B132DD2647780FC7B4 exp=B132DD2647780FC7B4 + 36027.50ns INFO [00036029] * RD COMPARE * port=1 adr=01 act=D943223ADED31FBFA1 exp=D943223ADED31FBFA1 + 36027.50ns INFO [00036029] Port=0 WR @07=85B0F4961459A04040 + 36028.50ns INFO [00036030] * RD COMPARE * port=1 adr=07 act=82FAAC80C78D845857 exp=82FAAC80C78D845857 + 36029.50ns INFO [00036031] Port=0 WR @04=71307B762B592A7436 + 36031.50ns INFO [00036033] Port=0 WR @07=B564E5A171020E8816 + 36031.50ns INFO [00036033] Port=1 RD @01 + 36032.50ns INFO [00036034] Port=0 WR @00=002F5B5F39E411C01E + 36032.50ns INFO [00036034] Port=0 RD @02 + 36033.50ns INFO [00036035] * RD COMPARE * port=1 adr=01 act=D943223ADED31FBFA1 exp=D943223ADED31FBFA1 + 36033.50ns INFO [00036035] Port=0 WR @00=5C06BFA4E005CEC61B + 36034.50ns INFO [00036036] * RD COMPARE * port=0 adr=02 act=E85115785E2DA6CF22 exp=E85115785E2DA6CF22 + 36035.50ns INFO [00036037] Port=1 RD @02 + 36036.50ns INFO [00036038] Port=0 WR @07=2A1DB4E214E93A8E8F + 36036.50ns INFO [00036038] Port=0 RD @03 + 36037.50ns INFO [00036039] * RD COMPARE * port=1 adr=02 act=E85115785E2DA6CF22 exp=E85115785E2DA6CF22 + 36037.50ns INFO [00036039] Port=0 WR @00=DBB1DA93CC30951190 + 36038.50ns INFO [00036040] * RD COMPARE * port=0 adr=03 act=471C9E38985AC55EEE exp=471C9E38985AC55EEE + 36038.50ns INFO [00036040] Port=0 WR @04=43B1CD2E93ED1418A2 + 36038.50ns INFO [00036040] Port=0 RD @06 + 36039.50ns INFO [00036041] Port=0 WR @06=7BFEC0A32AAD7B6E65 + 36039.50ns INFO [00036041] Port=0 RD @03 + 36039.50ns INFO [00036041] Port=1 RD @02 + 36040.50ns INFO [00036042] * RD COMPARE * port=0 adr=06 act=E1D8E90FE66EC3C986 exp=E1D8E90FE66EC3C986 + 36040.50ns INFO [00036042] Port=0 WR @01=09F033FB9F44D0F6A2 + 36041.50ns INFO [00036043] * RD COMPARE * port=0 adr=03 act=471C9E38985AC55EEE exp=471C9E38985AC55EEE + 36041.50ns INFO [00036043] * RD COMPARE * port=1 adr=02 act=E85115785E2DA6CF22 exp=E85115785E2DA6CF22 + 36041.50ns INFO [00036043] Port=1 RD @05 + 36042.50ns INFO [00036044] Port=1 RD @04 + 36043.50ns INFO [00036045] * RD COMPARE * port=1 adr=05 act=B132DD2647780FC7B4 exp=B132DD2647780FC7B4 + 36043.50ns INFO [00036045] Port=0 WR @03=291303BD42CD685511 + 36043.50ns INFO [00036045] Port=0 RD @06 + 36044.50ns INFO [00036046] * RD COMPARE * port=1 adr=04 act=43B1CD2E93ED1418A2 exp=43B1CD2E93ED1418A2 + 36044.50ns INFO [00036046] Port=1 RD @03 + 36045.50ns INFO [00036047] * RD COMPARE * port=0 adr=06 act=7BFEC0A32AAD7B6E65 exp=7BFEC0A32AAD7B6E65 + 36045.50ns INFO [00036047] Port=0 RD @04 + 36046.50ns INFO [00036048] * RD COMPARE * port=1 adr=03 act=291303BD42CD685511 exp=291303BD42CD685511 + 36046.50ns INFO [00036048] Port=0 WR @06=C51AB35B27EF3DAFE5 + 36047.50ns INFO [00036049] * RD COMPARE * port=0 adr=04 act=43B1CD2E93ED1418A2 exp=43B1CD2E93ED1418A2 + 36047.50ns INFO [00036049] Port=1 RD @04 + 36048.50ns INFO [00036050] Port=0 WR @03=1253D41829C5063D0F + 36048.50ns INFO [00036050] Port=0 RD @06 + 36049.50ns INFO [00036051] * RD COMPARE * port=1 adr=04 act=43B1CD2E93ED1418A2 exp=43B1CD2E93ED1418A2 + 36049.50ns INFO [00036051] Port=0 WR @00=DFE85105B109292072 + 36049.50ns INFO [00036051] Port=0 RD @07 + 36050.50ns INFO [00036052] * RD COMPARE * port=0 adr=06 act=C51AB35B27EF3DAFE5 exp=C51AB35B27EF3DAFE5 + 36050.50ns INFO [00036052] Port=0 WR @05=CAC48CA8F97F037C60 + 36050.50ns INFO [00036052] Port=1 RD @03 + 36051.50ns INFO [00036053] * RD COMPARE * port=0 adr=07 act=2A1DB4E214E93A8E8F exp=2A1DB4E214E93A8E8F + 36052.50ns INFO [00036054] * RD COMPARE * port=1 adr=03 act=1253D41829C5063D0F exp=1253D41829C5063D0F + 36052.50ns INFO [00036054] Port=0 WR @03=6FFF39E33470474383 + 36052.50ns INFO [00036054] Port=0 RD @04 + 36053.50ns INFO [00036055] Port=1 RD @05 + 36054.50ns INFO [00036056] * RD COMPARE * port=0 adr=04 act=43B1CD2E93ED1418A2 exp=43B1CD2E93ED1418A2 + 36054.50ns INFO [00036056] Port=0 RD @05 + 36055.50ns INFO [00036057] * RD COMPARE * port=1 adr=05 act=CAC48CA8F97F037C60 exp=CAC48CA8F97F037C60 + 36055.50ns INFO [00036057] Port=0 RD @03 + 36056.50ns INFO [00036058] * RD COMPARE * port=0 adr=05 act=CAC48CA8F97F037C60 exp=CAC48CA8F97F037C60 + 36056.50ns INFO [00036058] Port=0 WR @01=9EEDC96EAC35BBA5BA + 36056.50ns INFO [00036058] Port=0 RD @06 + 36056.50ns INFO [00036058] Port=1 RD @02 + 36057.50ns INFO [00036059] * RD COMPARE * port=0 adr=03 act=6FFF39E33470474383 exp=6FFF39E33470474383 + 36058.50ns INFO [00036060] * RD COMPARE * port=0 adr=06 act=C51AB35B27EF3DAFE5 exp=C51AB35B27EF3DAFE5 + 36058.50ns INFO [00036060] * RD COMPARE * port=1 adr=02 act=E85115785E2DA6CF22 exp=E85115785E2DA6CF22 + 36058.50ns INFO [00036060] Port=0 WR @04=33C16AFFA29F079417 + 36059.50ns INFO [00036061] Port=0 WR @05=AAFF89A0B5A0BA575B + 36059.50ns INFO [00036061] Port=0 RD @04 + 36060.50ns INFO [00036062] Port=0 RD @06 + 36060.50ns INFO [00036062] Port=1 RD @03 + 36061.50ns INFO [00036063] * RD COMPARE * port=0 adr=04 act=33C16AFFA29F079417 exp=33C16AFFA29F079417 + 36061.50ns INFO [00036063] Port=0 WR @00=8A67AF365E86604600 + 36061.50ns INFO [00036063] Port=0 RD @05 + 36062.50ns INFO [00036064] * RD COMPARE * port=0 adr=06 act=C51AB35B27EF3DAFE5 exp=C51AB35B27EF3DAFE5 + 36062.50ns INFO [00036064] * RD COMPARE * port=1 adr=03 act=6FFF39E33470474383 exp=6FFF39E33470474383 + 36062.50ns INFO [00036064] Port=0 WR @03=41A3C126C783EAF794 + 36063.50ns INFO [00036065] * RD COMPARE * port=0 adr=05 act=AAFF89A0B5A0BA575B exp=AAFF89A0B5A0BA575B + 36063.50ns INFO [00036065] Port=0 WR @01=567EE9711D3DA94230 + 36063.50ns INFO [00036065] Port=0 RD @00 + 36064.50ns INFO [00036066] Port=0 WR @05=7361E9698F3594D36C + 36065.50ns INFO [00036067] * RD COMPARE * port=0 adr=00 act=8A67AF365E86604600 exp=8A67AF365E86604600 + 36065.50ns INFO [00036067] Port=1 RD @06 + 36066.50ns INFO [00036068] Port=0 WR @04=E6F5C6B69A52FA97C9 + 36066.50ns INFO [00036068] Port=0 RD @06 + 36067.50ns INFO [00036069] * RD COMPARE * port=1 adr=06 act=C51AB35B27EF3DAFE5 exp=C51AB35B27EF3DAFE5 + 36067.50ns INFO [00036069] Port=0 WR @00=3514D731308CC8688E + 36068.50ns INFO [00036070] * RD COMPARE * port=0 adr=06 act=C51AB35B27EF3DAFE5 exp=C51AB35B27EF3DAFE5 + 36068.50ns INFO [00036070] Port=1 RD @04 + 36070.50ns INFO [00036072] * RD COMPARE * port=1 adr=04 act=E6F5C6B69A52FA97C9 exp=E6F5C6B69A52FA97C9 + 36070.50ns INFO [00036072] Port=0 WR @02=532230835CB5C6FAA1 + 36070.50ns INFO [00036072] Port=0 RD @05 + 36071.50ns INFO [00036073] Port=0 RD @06 + 36072.50ns INFO [00036074] * RD COMPARE * port=0 adr=05 act=7361E9698F3594D36C exp=7361E9698F3594D36C + 36072.50ns INFO [00036074] Port=0 WR @00=00DEF71308B315ADE2 + 36072.50ns INFO [00036074] Port=0 RD @04 + 36072.50ns INFO [00036074] Port=1 RD @03 + 36073.50ns INFO [00036075] * RD COMPARE * port=0 adr=06 act=C51AB35B27EF3DAFE5 exp=C51AB35B27EF3DAFE5 + 36073.50ns INFO [00036075] Port=0 RD @04 + 36073.50ns INFO [00036075] Port=1 RD @01 + 36074.50ns INFO [00036076] * RD COMPARE * port=0 adr=04 act=E6F5C6B69A52FA97C9 exp=E6F5C6B69A52FA97C9 + 36074.50ns INFO [00036076] * RD COMPARE * port=1 adr=03 act=41A3C126C783EAF794 exp=41A3C126C783EAF794 + 36075.50ns INFO [00036077] * RD COMPARE * port=0 adr=04 act=E6F5C6B69A52FA97C9 exp=E6F5C6B69A52FA97C9 + 36075.50ns INFO [00036077] * RD COMPARE * port=1 adr=01 act=567EE9711D3DA94230 exp=567EE9711D3DA94230 + 36077.50ns INFO [00036079] Port=0 RD @00 + 36078.50ns INFO [00036080] Port=1 RD @00 + 36079.50ns INFO [00036081] * RD COMPARE * port=0 adr=00 act=00DEF71308B315ADE2 exp=00DEF71308B315ADE2 + 36080.50ns INFO [00036082] * RD COMPARE * port=1 adr=00 act=00DEF71308B315ADE2 exp=00DEF71308B315ADE2 + 36080.50ns INFO [00036082] Port=0 RD @03 + 36080.50ns INFO [00036082] Port=1 RD @01 + 36081.50ns INFO [00036083] Port=0 WR @07=885D4F135776A5D39C + 36081.50ns INFO [00036083] Port=0 RD @02 + 36082.50ns INFO [00036084] * RD COMPARE * port=0 adr=03 act=41A3C126C783EAF794 exp=41A3C126C783EAF794 + 36082.50ns INFO [00036084] * RD COMPARE * port=1 adr=01 act=567EE9711D3DA94230 exp=567EE9711D3DA94230 + 36082.50ns INFO [00036084] Port=0 WR @02=B68FB40BAD0D6588DE + 36082.50ns INFO [00036084] Port=1 RD @06 + 36083.50ns INFO [00036085] * RD COMPARE * port=0 adr=02 act=532230835CB5C6FAA1 exp=532230835CB5C6FAA1 + 36083.50ns INFO [00036085] Port=0 RD @01 + 36083.50ns INFO [00036085] Port=1 RD @04 + 36084.50ns INFO [00036086] * RD COMPARE * port=1 adr=06 act=C51AB35B27EF3DAFE5 exp=C51AB35B27EF3DAFE5 + 36085.50ns INFO [00036087] * RD COMPARE * port=0 adr=01 act=567EE9711D3DA94230 exp=567EE9711D3DA94230 + 36085.50ns INFO [00036087] * RD COMPARE * port=1 adr=04 act=E6F5C6B69A52FA97C9 exp=E6F5C6B69A52FA97C9 + 36085.50ns INFO [00036087] Port=1 RD @02 + 36087.50ns INFO [00036089] * RD COMPARE * port=1 adr=02 act=B68FB40BAD0D6588DE exp=B68FB40BAD0D6588DE + 36088.50ns INFO [00036090] Port=0 WR @02=2443463899C05F21AF + 36088.50ns INFO [00036090] Port=0 RD @04 + 36089.50ns INFO [00036091] Port=0 WR @03=160370AEE5C965EA6D + 36089.50ns INFO [00036091] Port=1 RD @06 + 36090.50ns INFO [00036092] * RD COMPARE * port=0 adr=04 act=E6F5C6B69A52FA97C9 exp=E6F5C6B69A52FA97C9 + 36090.50ns INFO [00036092] Port=0 WR @06=82C385BC5644AA4D82 + 36091.50ns INFO [00036093] * RD COMPARE * port=1 adr=06 act=C51AB35B27EF3DAFE5 exp=C51AB35B27EF3DAFE5 + 36093.50ns INFO [00036095] Port=1 RD @01 + 36094.50ns INFO [00036096] Port=0 WR @05=0D1F46BBDCB52435DD + 36095.50ns INFO [00036097] * RD COMPARE * port=1 adr=01 act=567EE9711D3DA94230 exp=567EE9711D3DA94230 + 36095.50ns INFO [00036097] Port=0 RD @01 + 36096.50ns INFO [00036098] Port=0 RD @04 + 36097.50ns INFO [00036099] * RD COMPARE * port=0 adr=01 act=567EE9711D3DA94230 exp=567EE9711D3DA94230 + 36097.50ns INFO [00036099] Port=0 RD @02 + 36098.00ns INFO [00036100] [00036100] ...tick... + 36098.50ns INFO [00036100] * RD COMPARE * port=0 adr=04 act=E6F5C6B69A52FA97C9 exp=E6F5C6B69A52FA97C9 + 36098.50ns INFO [00036100] Port=0 RD @02 + 36099.50ns INFO [00036101] * RD COMPARE * port=0 adr=02 act=2443463899C05F21AF exp=2443463899C05F21AF + 36100.50ns INFO [00036102] * RD COMPARE * port=0 adr=02 act=2443463899C05F21AF exp=2443463899C05F21AF + 36100.50ns INFO [00036102] Port=0 WR @04=5228D4E4204B62BFDF + 36101.50ns INFO [00036103] Port=0 RD @06 + 36102.50ns INFO [00036104] Port=0 WR @01=381269C0CE2F8AEDD5 + 36102.50ns INFO [00036104] Port=0 RD @00 + 36103.50ns INFO [00036105] * RD COMPARE * port=0 adr=06 act=82C385BC5644AA4D82 exp=82C385BC5644AA4D82 + 36103.50ns INFO [00036105] Port=0 RD @04 + 36104.50ns INFO [00036106] * RD COMPARE * port=0 adr=00 act=00DEF71308B315ADE2 exp=00DEF71308B315ADE2 + 36104.50ns INFO [00036106] Port=0 RD @05 + 36105.50ns INFO [00036107] * RD COMPARE * port=0 adr=04 act=5228D4E4204B62BFDF exp=5228D4E4204B62BFDF + 36105.50ns INFO [00036107] Port=0 WR @07=3C5AEE421D1ECB4C51 + 36106.50ns INFO [00036108] * RD COMPARE * port=0 adr=05 act=0D1F46BBDCB52435DD exp=0D1F46BBDCB52435DD + 36106.50ns INFO [00036108] Port=0 WR @03=CDCC649296DA946BAA + 36107.50ns INFO [00036109] Port=0 WR @07=4D7E49CECD0D516779 + 36107.50ns INFO [00036109] Port=0 RD @06 + 36108.50ns INFO [00036110] Port=0 WR @01=0BE9D29FB74541C2B2 + 36109.50ns INFO [00036111] * RD COMPARE * port=0 adr=06 act=82C385BC5644AA4D82 exp=82C385BC5644AA4D82 + 36109.50ns INFO [00036111] Port=0 RD @07 + 36110.50ns INFO [00036112] Port=0 WR @01=459D9FBA33A6CED6D3 + 36111.50ns INFO [00036113] * RD COMPARE * port=0 adr=07 act=4D7E49CECD0D516779 exp=4D7E49CECD0D516779 + 36112.50ns INFO [00036114] Port=0 WR @04=70B7BBE48182EDBD59 + 36113.50ns INFO [00036115] Port=0 RD @00 + 36113.50ns INFO [00036115] Port=1 RD @03 + 36114.50ns INFO [00036116] Port=0 RD @04 + 36115.50ns INFO [00036117] * RD COMPARE * port=0 adr=00 act=00DEF71308B315ADE2 exp=00DEF71308B315ADE2 + 36115.50ns INFO [00036117] * RD COMPARE * port=1 adr=03 act=CDCC649296DA946BAA exp=CDCC649296DA946BAA + 36116.50ns INFO [00036118] * RD COMPARE * port=0 adr=04 act=70B7BBE48182EDBD59 exp=70B7BBE48182EDBD59 + 36116.50ns INFO [00036118] Port=1 RD @01 + 36117.50ns INFO [00036119] Port=0 WR @07=2ACF490633DFF45670 + 36118.50ns INFO [00036120] * RD COMPARE * port=1 adr=01 act=459D9FBA33A6CED6D3 exp=459D9FBA33A6CED6D3 + 36118.50ns INFO [00036120] Port=0 RD @01 + 36119.50ns INFO [00036121] Port=1 RD @03 + 36120.50ns INFO [00036122] * RD COMPARE * port=0 adr=01 act=459D9FBA33A6CED6D3 exp=459D9FBA33A6CED6D3 + 36120.50ns INFO [00036122] Port=0 WR @04=554DBA1994BB49C6B0 + 36121.50ns INFO [00036123] * RD COMPARE * port=1 adr=03 act=CDCC649296DA946BAA exp=CDCC649296DA946BAA + 36121.50ns INFO [00036123] Port=0 RD @00 + 36122.50ns INFO [00036124] Port=0 RD @03 + 36123.50ns INFO [00036125] * RD COMPARE * port=0 adr=00 act=00DEF71308B315ADE2 exp=00DEF71308B315ADE2 + 36123.50ns INFO [00036125] Port=0 RD @01 + 36124.50ns INFO [00036126] * RD COMPARE * port=0 adr=03 act=CDCC649296DA946BAA exp=CDCC649296DA946BAA + 36125.50ns INFO [00036127] * RD COMPARE * port=0 adr=01 act=459D9FBA33A6CED6D3 exp=459D9FBA33A6CED6D3 + 36126.50ns INFO [00036128] Port=0 RD @01 + 36127.50ns INFO [00036129] Port=0 WR @01=3B842D3D0B97C15374 + 36127.50ns INFO [00036129] Port=0 RD @02 + 36127.50ns INFO [00036129] Port=1 RD @07 + 36128.50ns INFO [00036130] * RD COMPARE * port=0 adr=01 act=459D9FBA33A6CED6D3 exp=459D9FBA33A6CED6D3 + 36128.50ns INFO [00036130] Port=0 WR @04=0AC49F00536B5B730A + 36129.50ns INFO [00036131] * RD COMPARE * port=0 adr=02 act=2443463899C05F21AF exp=2443463899C05F21AF + 36129.50ns INFO [00036131] * RD COMPARE * port=1 adr=07 act=2ACF490633DFF45670 exp=2ACF490633DFF45670 + 36130.50ns INFO [00036132] Port=0 WR @07=631AF9868034A174CD + 36131.50ns INFO [00036133] Port=0 WR @01=AACA1AAC7F7E787CDF + 36131.50ns INFO [00036133] Port=0 RD @03 + 36131.50ns INFO [00036133] Port=1 RD @07 + 36132.50ns INFO [00036134] Port=1 RD @06 + 36133.50ns INFO [00036135] * RD COMPARE * port=0 adr=03 act=CDCC649296DA946BAA exp=CDCC649296DA946BAA + 36133.50ns INFO [00036135] * RD COMPARE * port=1 adr=07 act=631AF9868034A174CD exp=631AF9868034A174CD + 36133.50ns INFO [00036135] Port=0 WR @07=5BADB2240496C8CFD2 + 36133.50ns INFO [00036135] Port=0 RD @02 + 36134.50ns INFO [00036136] * RD COMPARE * port=1 adr=06 act=82C385BC5644AA4D82 exp=82C385BC5644AA4D82 + 36134.50ns INFO [00036136] Port=0 RD @05 + 36135.50ns INFO [00036137] * RD COMPARE * port=0 adr=02 act=2443463899C05F21AF exp=2443463899C05F21AF + 36135.50ns INFO [00036137] Port=1 RD @06 + 36136.50ns INFO [00036138] * RD COMPARE * port=0 adr=05 act=0D1F46BBDCB52435DD exp=0D1F46BBDCB52435DD + 36136.50ns INFO [00036138] Port=0 RD @06 + 36137.50ns INFO [00036139] * RD COMPARE * port=1 adr=06 act=82C385BC5644AA4D82 exp=82C385BC5644AA4D82 + 36138.50ns INFO [00036140] * RD COMPARE * port=0 adr=06 act=82C385BC5644AA4D82 exp=82C385BC5644AA4D82 + 36138.50ns INFO [00036140] Port=0 WR @04=7DE7C1135613E573CD + 36138.50ns INFO [00036140] Port=1 RD @00 + 36139.50ns INFO [00036141] Port=1 RD @03 + 36140.50ns INFO [00036142] * RD COMPARE * port=1 adr=00 act=00DEF71308B315ADE2 exp=00DEF71308B315ADE2 + 36140.50ns INFO [00036142] Port=0 RD @00 + 36140.50ns INFO [00036142] Port=1 RD @01 + 36141.50ns INFO [00036143] * RD COMPARE * port=1 adr=03 act=CDCC649296DA946BAA exp=CDCC649296DA946BAA + 36141.50ns INFO [00036143] Port=0 WR @05=602257B80EB3818D21 + 36141.50ns INFO [00036143] Port=0 RD @04 + 36141.50ns INFO [00036143] Port=1 RD @03 + 36142.50ns INFO [00036144] * RD COMPARE * port=0 adr=00 act=00DEF71308B315ADE2 exp=00DEF71308B315ADE2 + 36142.50ns INFO [00036144] * RD COMPARE * port=1 adr=01 act=AACA1AAC7F7E787CDF exp=AACA1AAC7F7E787CDF + 36142.50ns INFO [00036144] Port=0 RD @03 + 36143.50ns INFO [00036145] * RD COMPARE * port=0 adr=04 act=7DE7C1135613E573CD exp=7DE7C1135613E573CD + 36143.50ns INFO [00036145] * RD COMPARE * port=1 adr=03 act=CDCC649296DA946BAA exp=CDCC649296DA946BAA + 36143.50ns INFO [00036145] Port=0 WR @07=B0882B6477A722EAB6 + 36143.50ns INFO [00036145] Port=1 RD @01 + 36144.50ns INFO [00036146] * RD COMPARE * port=0 adr=03 act=CDCC649296DA946BAA exp=CDCC649296DA946BAA + 36144.50ns INFO [00036146] Port=0 WR @04=7BF09CB317FFDA4614 + 36144.50ns INFO [00036146] Port=0 RD @03 + 36145.50ns INFO [00036147] * RD COMPARE * port=1 adr=01 act=AACA1AAC7F7E787CDF exp=AACA1AAC7F7E787CDF + 36146.50ns INFO [00036148] * RD COMPARE * port=0 adr=03 act=CDCC649296DA946BAA exp=CDCC649296DA946BAA + 36146.50ns INFO [00036148] Port=0 WR @00=27C256E4CCEB7C9296 + 36147.50ns INFO [00036149] Port=0 WR @02=4487D587C7FE48905E + 36147.50ns INFO [00036149] Port=0 RD @03 + 36148.50ns INFO [00036150] Port=0 RD @01 + 36149.50ns INFO [00036151] * RD COMPARE * port=0 adr=03 act=CDCC649296DA946BAA exp=CDCC649296DA946BAA + 36149.50ns INFO [00036151] Port=0 WR @02=0E39F9BDDCEB8A6F3A + 36149.50ns INFO [00036151] Port=0 RD @05 + 36149.50ns INFO [00036151] Port=1 RD @07 + 36150.50ns INFO [00036152] * RD COMPARE * port=0 adr=01 act=AACA1AAC7F7E787CDF exp=AACA1AAC7F7E787CDF + 36150.50ns INFO [00036152] Port=0 WR @01=A143B89BC7F7629906 + 36151.50ns INFO [00036153] * RD COMPARE * port=0 adr=05 act=602257B80EB3818D21 exp=602257B80EB3818D21 + 36151.50ns INFO [00036153] * RD COMPARE * port=1 adr=07 act=B0882B6477A722EAB6 exp=B0882B6477A722EAB6 + 36152.50ns INFO [00036154] Port=1 RD @06 + 36153.50ns INFO [00036155] Port=0 RD @00 + 36154.50ns INFO [00036156] * RD COMPARE * port=1 adr=06 act=82C385BC5644AA4D82 exp=82C385BC5644AA4D82 + 36154.50ns INFO [00036156] Port=1 RD @06 + 36155.50ns INFO [00036157] * RD COMPARE * port=0 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36155.50ns INFO [00036157] Port=0 RD @02 + 36155.50ns INFO [00036157] Port=1 RD @00 + 36156.50ns INFO [00036158] * RD COMPARE * port=1 adr=06 act=82C385BC5644AA4D82 exp=82C385BC5644AA4D82 + 36156.50ns INFO [00036158] Port=0 RD @01 + 36156.50ns INFO [00036158] Port=1 RD @06 + 36157.50ns INFO [00036159] * RD COMPARE * port=0 adr=02 act=0E39F9BDDCEB8A6F3A exp=0E39F9BDDCEB8A6F3A + 36157.50ns INFO [00036159] * RD COMPARE * port=1 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36157.50ns INFO [00036159] Port=1 RD @01 + 36158.50ns INFO [00036160] * RD COMPARE * port=0 adr=01 act=A143B89BC7F7629906 exp=A143B89BC7F7629906 + 36158.50ns INFO [00036160] * RD COMPARE * port=1 adr=06 act=82C385BC5644AA4D82 exp=82C385BC5644AA4D82 + 36158.50ns INFO [00036160] Port=1 RD @03 + 36159.50ns INFO [00036161] * RD COMPARE * port=1 adr=01 act=A143B89BC7F7629906 exp=A143B89BC7F7629906 + 36159.50ns INFO [00036161] Port=0 WR @07=72A6573B766B8C2DD5 + 36160.50ns INFO [00036162] * RD COMPARE * port=1 adr=03 act=CDCC649296DA946BAA exp=CDCC649296DA946BAA + 36160.50ns INFO [00036162] Port=0 WR @05=C615089D1BC806191C + 36160.50ns INFO [00036162] Port=0 RD @01 + 36161.50ns INFO [00036163] Port=0 RD @06 + 36161.50ns INFO [00036163] Port=1 RD @05 + 36162.50ns INFO [00036164] * RD COMPARE * port=0 adr=01 act=A143B89BC7F7629906 exp=A143B89BC7F7629906 + 36162.50ns INFO [00036164] Port=0 RD @01 + 36163.50ns INFO [00036165] * RD COMPARE * port=0 adr=06 act=82C385BC5644AA4D82 exp=82C385BC5644AA4D82 + 36163.50ns INFO [00036165] * RD COMPARE * port=1 adr=05 act=C615089D1BC806191C exp=C615089D1BC806191C + 36164.50ns INFO [00036166] * RD COMPARE * port=0 adr=01 act=A143B89BC7F7629906 exp=A143B89BC7F7629906 + 36165.50ns INFO [00036167] Port=0 WR @03=C0E21FB489F8A477CF + 36165.50ns INFO [00036167] Port=0 RD @04 + 36165.50ns INFO [00036167] Port=1 RD @06 + 36166.50ns INFO [00036168] Port=1 RD @05 + 36167.50ns INFO [00036169] * RD COMPARE * port=0 adr=04 act=7BF09CB317FFDA4614 exp=7BF09CB317FFDA4614 + 36167.50ns INFO [00036169] * RD COMPARE * port=1 adr=06 act=82C385BC5644AA4D82 exp=82C385BC5644AA4D82 + 36167.50ns INFO [00036169] Port=0 WR @06=472041AC24FA841325 + 36168.50ns INFO [00036170] * RD COMPARE * port=1 adr=05 act=C615089D1BC806191C exp=C615089D1BC806191C + 36168.50ns INFO [00036170] Port=0 RD @02 + 36170.50ns INFO [00036172] * RD COMPARE * port=0 adr=02 act=0E39F9BDDCEB8A6F3A exp=0E39F9BDDCEB8A6F3A + 36171.50ns INFO [00036173] Port=0 WR @01=831BF0DCA9476C77F4 + 36171.50ns INFO [00036173] Port=0 RD @03 + 36172.50ns INFO [00036174] Port=0 WR @04=D9DDB10E79A9B7BC79 + 36172.50ns INFO [00036174] Port=0 RD @05 + 36173.50ns INFO [00036175] * RD COMPARE * port=0 adr=03 act=C0E21FB489F8A477CF exp=C0E21FB489F8A477CF + 36174.50ns INFO [00036176] * RD COMPARE * port=0 adr=05 act=C615089D1BC806191C exp=C615089D1BC806191C + 36174.50ns INFO [00036176] Port=0 RD @01 + 36174.50ns INFO [00036176] Port=1 RD @01 + 36176.50ns INFO [00036178] * RD COMPARE * port=0 adr=01 act=831BF0DCA9476C77F4 exp=831BF0DCA9476C77F4 + 36176.50ns INFO [00036178] * RD COMPARE * port=1 adr=01 act=831BF0DCA9476C77F4 exp=831BF0DCA9476C77F4 + 36177.50ns INFO [00036179] Port=0 WR @04=455D37F42B0739BBE0 + 36177.50ns INFO [00036179] Port=0 RD @01 + 36177.50ns INFO [00036179] Port=1 RD @00 + 36178.50ns INFO [00036180] Port=0 RD @05 + 36179.50ns INFO [00036181] * RD COMPARE * port=0 adr=01 act=831BF0DCA9476C77F4 exp=831BF0DCA9476C77F4 + 36179.50ns INFO [00036181] * RD COMPARE * port=1 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36179.50ns INFO [00036181] Port=0 WR @06=9DA49EEA303623E01E + 36180.50ns INFO [00036182] * RD COMPARE * port=0 adr=05 act=C615089D1BC806191C exp=C615089D1BC806191C + 36180.50ns INFO [00036182] Port=0 WR @03=2F8E6ED1103E99DE73 + 36180.50ns INFO [00036182] Port=1 RD @06 + 36181.50ns INFO [00036183] Port=0 WR @01=43858A62670ABAA3EB + 36181.50ns INFO [00036183] Port=0 RD @05 + 36182.50ns INFO [00036184] * RD COMPARE * port=1 adr=06 act=9DA49EEA303623E01E exp=9DA49EEA303623E01E + 36182.50ns INFO [00036184] Port=0 WR @06=8B3E1609B54926C7B5 + 36182.50ns INFO [00036184] Port=0 RD @03 + 36183.50ns INFO [00036185] * RD COMPARE * port=0 adr=05 act=C615089D1BC806191C exp=C615089D1BC806191C + 36183.50ns INFO [00036185] Port=0 RD @04 + 36184.50ns INFO [00036186] * RD COMPARE * port=0 adr=03 act=2F8E6ED1103E99DE73 exp=2F8E6ED1103E99DE73 + 36184.50ns INFO [00036186] Port=1 RD @00 + 36185.50ns INFO [00036187] * RD COMPARE * port=0 adr=04 act=455D37F42B0739BBE0 exp=455D37F42B0739BBE0 + 36185.50ns INFO [00036187] Port=0 RD @00 + 36186.50ns INFO [00036188] * RD COMPARE * port=1 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36186.50ns INFO [00036188] Port=0 RD @07 + 36187.50ns INFO [00036189] * RD COMPARE * port=0 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36187.50ns INFO [00036189] Port=1 RD @01 + 36188.50ns INFO [00036190] * RD COMPARE * port=0 adr=07 act=72A6573B766B8C2DD5 exp=72A6573B766B8C2DD5 + 36188.50ns INFO [00036190] Port=1 RD @00 + 36189.50ns INFO [00036191] * RD COMPARE * port=1 adr=01 act=43858A62670ABAA3EB exp=43858A62670ABAA3EB + 36189.50ns INFO [00036191] Port=0 WR @06=8CBDE8194268B9B9C9 + 36189.50ns INFO [00036191] Port=1 RD @00 + 36190.50ns INFO [00036192] * RD COMPARE * port=1 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36190.50ns INFO [00036192] Port=1 RD @01 + 36191.50ns INFO [00036193] * RD COMPARE * port=1 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36191.50ns INFO [00036193] Port=1 RD @00 + 36192.50ns INFO [00036194] * RD COMPARE * port=1 adr=01 act=43858A62670ABAA3EB exp=43858A62670ABAA3EB + 36192.50ns INFO [00036194] Port=0 RD @07 + 36193.50ns INFO [00036195] * RD COMPARE * port=1 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36194.50ns INFO [00036196] * RD COMPARE * port=0 adr=07 act=72A6573B766B8C2DD5 exp=72A6573B766B8C2DD5 + 36194.50ns INFO [00036196] Port=0 RD @01 + 36195.50ns INFO [00036197] Port=0 WR @02=912B5DD4C0587E52B4 + 36195.50ns INFO [00036197] Port=0 RD @07 + 36195.50ns INFO [00036197] Port=1 RD @04 + 36196.50ns INFO [00036198] * RD COMPARE * port=0 adr=01 act=43858A62670ABAA3EB exp=43858A62670ABAA3EB + 36197.50ns INFO [00036199] * RD COMPARE * port=0 adr=07 act=72A6573B766B8C2DD5 exp=72A6573B766B8C2DD5 + 36197.50ns INFO [00036199] * RD COMPARE * port=1 adr=04 act=455D37F42B0739BBE0 exp=455D37F42B0739BBE0 + 36197.50ns INFO [00036199] Port=1 RD @06 + 36198.00ns INFO [00036200] [00036200] ...tick... + 36198.50ns INFO [00036200] Port=0 RD @03 + 36199.50ns INFO [00036201] * RD COMPARE * port=1 adr=06 act=8CBDE8194268B9B9C9 exp=8CBDE8194268B9B9C9 + 36199.50ns INFO [00036201] Port=0 WR @01=0915856B0A865E2F53 + 36200.50ns INFO [00036202] * RD COMPARE * port=0 adr=03 act=2F8E6ED1103E99DE73 exp=2F8E6ED1103E99DE73 + 36200.50ns INFO [00036202] Port=0 WR @03=6FB8037D0775D8602D + 36203.50ns INFO [00036205] Port=0 WR @06=6F305F185FE7DA16A2 + 36203.50ns INFO [00036205] Port=0 RD @04 + 36203.50ns INFO [00036205] Port=1 RD @02 + 36205.50ns INFO [00036207] * RD COMPARE * port=0 adr=04 act=455D37F42B0739BBE0 exp=455D37F42B0739BBE0 + 36205.50ns INFO [00036207] * RD COMPARE * port=1 adr=02 act=912B5DD4C0587E52B4 exp=912B5DD4C0587E52B4 + 36205.50ns INFO [00036207] Port=1 RD @04 + 36206.50ns INFO [00036208] Port=0 RD @06 + 36206.50ns INFO [00036208] Port=1 RD @02 + 36207.50ns INFO [00036209] * RD COMPARE * port=1 adr=04 act=455D37F42B0739BBE0 exp=455D37F42B0739BBE0 + 36207.50ns INFO [00036209] Port=0 RD @01 + 36207.50ns INFO [00036209] Port=1 RD @05 + 36208.50ns INFO [00036210] * RD COMPARE * port=0 adr=06 act=6F305F185FE7DA16A2 exp=6F305F185FE7DA16A2 + 36208.50ns INFO [00036210] * RD COMPARE * port=1 adr=02 act=912B5DD4C0587E52B4 exp=912B5DD4C0587E52B4 + 36208.50ns INFO [00036210] Port=1 RD @01 + 36209.50ns INFO [00036211] * RD COMPARE * port=0 adr=01 act=0915856B0A865E2F53 exp=0915856B0A865E2F53 + 36209.50ns INFO [00036211] * RD COMPARE * port=1 adr=05 act=C615089D1BC806191C exp=C615089D1BC806191C + 36209.50ns INFO [00036211] Port=0 WR @05=2F266F684D14ED7886 + 36210.50ns INFO [00036212] * RD COMPARE * port=1 adr=01 act=0915856B0A865E2F53 exp=0915856B0A865E2F53 + 36210.50ns INFO [00036212] Port=0 RD @04 + 36210.50ns INFO [00036212] Port=1 RD @03 + 36211.50ns INFO [00036213] Port=0 WR @03=00584D18FDE48151E2 + 36212.50ns INFO [00036214] * RD COMPARE * port=0 adr=04 act=455D37F42B0739BBE0 exp=455D37F42B0739BBE0 + 36212.50ns INFO [00036214] * RD COMPARE * port=1 adr=03 act=6FB8037D0775D8602D exp=6FB8037D0775D8602D + 36212.50ns INFO [00036214] Port=0 WR @06=CA32AD38E151C0BDC8 + 36212.50ns INFO [00036214] Port=0 RD @02 + 36212.50ns INFO [00036214] Port=1 RD @03 + 36214.50ns INFO [00036216] * RD COMPARE * port=0 adr=02 act=912B5DD4C0587E52B4 exp=912B5DD4C0587E52B4 + 36214.50ns INFO [00036216] * RD COMPARE * port=1 adr=03 act=00584D18FDE48151E2 exp=00584D18FDE48151E2 + 36215.50ns INFO [00036217] Port=0 WR @02=2F2D52E0BD9230D37B + 36218.50ns INFO [00036220] Port=0 RD @03 + 36220.50ns INFO [00036222] * RD COMPARE * port=0 adr=03 act=00584D18FDE48151E2 exp=00584D18FDE48151E2 + 36220.50ns INFO [00036222] Port=1 RD @01 + 36221.50ns INFO [00036223] Port=1 RD @03 + 36222.50ns INFO [00036224] * RD COMPARE * port=1 adr=01 act=0915856B0A865E2F53 exp=0915856B0A865E2F53 + 36222.50ns INFO [00036224] Port=0 RD @00 + 36222.50ns INFO [00036224] Port=1 RD @00 + 36223.50ns INFO [00036225] * RD COMPARE * port=1 adr=03 act=00584D18FDE48151E2 exp=00584D18FDE48151E2 + 36223.50ns INFO [00036225] Port=0 WR @01=89BFE7AE5B31DC1891 + 36223.50ns INFO [00036225] Port=0 RD @06 + 36223.50ns INFO [00036225] Port=1 RD @00 + 36224.50ns INFO [00036226] * RD COMPARE * port=0 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36224.50ns INFO [00036226] * RD COMPARE * port=1 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36225.50ns INFO [00036227] * RD COMPARE * port=0 adr=06 act=CA32AD38E151C0BDC8 exp=CA32AD38E151C0BDC8 + 36225.50ns INFO [00036227] * RD COMPARE * port=1 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36226.50ns INFO [00036228] Port=0 WR @04=5526589A14189E3C5C + 36228.50ns INFO [00036230] Port=1 RD @05 + 36229.50ns INFO [00036231] Port=0 WR @05=3E10D0622DAA0A4F2F + 36229.50ns INFO [00036231] Port=0 RD @02 + 36229.50ns INFO [00036231] Port=1 RD @00 + 36230.50ns INFO [00036232] * RD COMPARE * port=1 adr=05 act=2F266F684D14ED7886 exp=2F266F684D14ED7886 + 36230.50ns INFO [00036232] Port=0 RD @01 + 36231.50ns INFO [00036233] * RD COMPARE * port=0 adr=02 act=2F2D52E0BD9230D37B exp=2F2D52E0BD9230D37B + 36231.50ns INFO [00036233] * RD COMPARE * port=1 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36231.50ns INFO [00036233] Port=1 RD @03 + 36232.50ns INFO [00036234] * RD COMPARE * port=0 adr=01 act=89BFE7AE5B31DC1891 exp=89BFE7AE5B31DC1891 + 36233.50ns INFO [00036235] * RD COMPARE * port=1 adr=03 act=00584D18FDE48151E2 exp=00584D18FDE48151E2 + 36233.50ns INFO [00036235] Port=0 WR @02=EB5DDE3FAB3F6ED754 + 36233.50ns INFO [00036235] Port=0 RD @01 + 36233.50ns INFO [00036235] Port=1 RD @05 + 36234.50ns INFO [00036236] Port=1 RD @01 + 36235.50ns INFO [00036237] * RD COMPARE * port=0 adr=01 act=89BFE7AE5B31DC1891 exp=89BFE7AE5B31DC1891 + 36235.50ns INFO [00036237] * RD COMPARE * port=1 adr=05 act=3E10D0622DAA0A4F2F exp=3E10D0622DAA0A4F2F + 36235.50ns INFO [00036237] Port=0 RD @01 + 36236.50ns INFO [00036238] * RD COMPARE * port=1 adr=01 act=89BFE7AE5B31DC1891 exp=89BFE7AE5B31DC1891 + 36237.50ns INFO [00036239] * RD COMPARE * port=0 adr=01 act=89BFE7AE5B31DC1891 exp=89BFE7AE5B31DC1891 + 36237.50ns INFO [00036239] Port=0 RD @06 + 36238.50ns INFO [00036240] Port=0 RD @07 + 36238.50ns INFO [00036240] Port=1 RD @05 + 36239.50ns INFO [00036241] * RD COMPARE * port=0 adr=06 act=CA32AD38E151C0BDC8 exp=CA32AD38E151C0BDC8 + 36239.50ns INFO [00036241] Port=1 RD @03 + 36240.50ns INFO [00036242] * RD COMPARE * port=0 adr=07 act=72A6573B766B8C2DD5 exp=72A6573B766B8C2DD5 + 36240.50ns INFO [00036242] * RD COMPARE * port=1 adr=05 act=3E10D0622DAA0A4F2F exp=3E10D0622DAA0A4F2F + 36240.50ns INFO [00036242] Port=0 WR @04=CADC2A1D616B297ED2 + 36241.50ns INFO [00036243] * RD COMPARE * port=1 adr=03 act=00584D18FDE48151E2 exp=00584D18FDE48151E2 + 36242.50ns INFO [00036244] Port=0 WR @05=CDD99B0C29D9FF51C4 + 36242.50ns INFO [00036244] Port=0 RD @03 + 36242.50ns INFO [00036244] Port=1 RD @00 + 36243.50ns INFO [00036245] Port=0 WR @05=12437D765F1CCA1237 + 36243.50ns INFO [00036245] Port=1 RD @06 + 36244.50ns INFO [00036246] * RD COMPARE * port=0 adr=03 act=00584D18FDE48151E2 exp=00584D18FDE48151E2 + 36244.50ns INFO [00036246] * RD COMPARE * port=1 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36244.50ns INFO [00036246] Port=0 WR @05=55A7D9DE661810E9D1 + 36245.50ns INFO [00036247] * RD COMPARE * port=1 adr=06 act=CA32AD38E151C0BDC8 exp=CA32AD38E151C0BDC8 + 36245.50ns INFO [00036247] Port=0 WR @06=E987A4D5D615F29938 + 36246.50ns INFO [00036248] Port=0 WR @05=8B9A8850429CABEAEC + 36247.50ns INFO [00036249] Port=0 RD @00 + 36247.50ns INFO [00036249] Port=1 RD @05 + 36248.50ns INFO [00036250] Port=0 WR @01=8B035BFFA4A2CF8145 + 36248.50ns INFO [00036250] Port=1 RD @07 + 36249.50ns INFO [00036251] * RD COMPARE * port=0 adr=00 act=27C256E4CCEB7C9296 exp=27C256E4CCEB7C9296 + 36249.50ns INFO [00036251] * RD COMPARE * port=1 adr=05 act=8B9A8850429CABEAEC exp=8B9A8850429CABEAEC + 36249.50ns INFO [00036251] Port=1 RD @02 + 36250.50ns INFO [00036252] * RD COMPARE * port=1 adr=07 act=72A6573B766B8C2DD5 exp=72A6573B766B8C2DD5 + 36251.50ns INFO [00036253] * RD COMPARE * port=1 adr=02 act=EB5DDE3FAB3F6ED754 exp=EB5DDE3FAB3F6ED754 + 36251.50ns INFO [00036253] Port=0 WR @05=6B3540B11BC70CB21C + 36251.50ns INFO [00036253] Port=1 RD @07 + 36252.50ns INFO [00036254] Port=0 RD @05 + 36253.50ns INFO [00036255] * RD COMPARE * port=1 adr=07 act=72A6573B766B8C2DD5 exp=72A6573B766B8C2DD5 + 36254.50ns INFO [00036256] * RD COMPARE * port=0 adr=05 act=6B3540B11BC70CB21C exp=6B3540B11BC70CB21C + 36254.50ns INFO [00036256] Port=0 WR @07=5D414D7190EC2C2593 + 36255.50ns INFO [00036257] Port=1 RD @04 + 36256.50ns INFO [00036258] Port=0 WR @00=DA94137650D9FEE238 + 36257.50ns INFO [00036259] * RD COMPARE * port=1 adr=04 act=CADC2A1D616B297ED2 exp=CADC2A1D616B297ED2 + 36260.50ns INFO [00036262] Port=0 WR @01=42B8673D907A0F9F75 + 36261.50ns INFO [00036263] Port=0 RD @01 + 36261.50ns INFO [00036263] Port=1 RD @04 + 36262.50ns INFO [00036264] Port=0 WR @06=6F9BEA9F81A0AEEC72 + 36263.50ns INFO [00036265] * RD COMPARE * port=0 adr=01 act=42B8673D907A0F9F75 exp=42B8673D907A0F9F75 + 36263.50ns INFO [00036265] * RD COMPARE * port=1 adr=04 act=CADC2A1D616B297ED2 exp=CADC2A1D616B297ED2 + 36263.50ns INFO [00036265] Port=0 RD @03 + 36264.50ns INFO [00036266] Port=1 RD @07 + 36265.50ns INFO [00036267] * RD COMPARE * port=0 adr=03 act=00584D18FDE48151E2 exp=00584D18FDE48151E2 + 36265.50ns INFO [00036267] Port=0 RD @02 + 36265.50ns INFO [00036267] Port=1 RD @06 + 36266.50ns INFO [00036268] * RD COMPARE * port=1 adr=07 act=5D414D7190EC2C2593 exp=5D414D7190EC2C2593 + 36266.50ns INFO [00036268] Port=0 WR @02=DE5A56C0844B79EA81 + 36266.50ns INFO [00036268] Port=0 RD @06 + 36266.50ns INFO [00036268] Port=1 RD @01 + 36267.50ns INFO [00036269] * RD COMPARE * port=0 adr=02 act=EB5DDE3FAB3F6ED754 exp=EB5DDE3FAB3F6ED754 + 36267.50ns INFO [00036269] * RD COMPARE * port=1 adr=06 act=6F9BEA9F81A0AEEC72 exp=6F9BEA9F81A0AEEC72 + 36267.50ns INFO [00036269] Port=0 WR @02=D9FA9E71B634A9B5A3 + 36267.50ns INFO [00036269] Port=0 RD @00 + 36268.50ns INFO [00036270] * RD COMPARE * port=0 adr=06 act=6F9BEA9F81A0AEEC72 exp=6F9BEA9F81A0AEEC72 + 36268.50ns INFO [00036270] * RD COMPARE * port=1 adr=01 act=42B8673D907A0F9F75 exp=42B8673D907A0F9F75 + 36268.50ns INFO [00036270] Port=0 WR @06=FA7BF6AA65A0C9380C + 36268.50ns INFO [00036270] Port=1 RD @07 + 36269.50ns INFO [00036271] * RD COMPARE * port=0 adr=00 act=DA94137650D9FEE238 exp=DA94137650D9FEE238 + 36269.50ns INFO [00036271] Port=0 WR @00=128C612E652C58A006 + 36270.50ns INFO [00036272] * RD COMPARE * port=1 adr=07 act=5D414D7190EC2C2593 exp=5D414D7190EC2C2593 + 36270.50ns INFO [00036272] Port=0 WR @03=B961FDF086E57EF0FE + 36270.50ns INFO [00036272] Port=0 RD @04 + 36270.50ns INFO [00036272] Port=1 RD @05 + 36272.50ns INFO [00036274] * RD COMPARE * port=0 adr=04 act=CADC2A1D616B297ED2 exp=CADC2A1D616B297ED2 + 36272.50ns INFO [00036274] * RD COMPARE * port=1 adr=05 act=6B3540B11BC70CB21C exp=6B3540B11BC70CB21C + 36272.50ns INFO [00036274] Port=1 RD @00 + 36273.50ns INFO [00036275] Port=0 RD @07 + 36274.50ns INFO [00036276] * RD COMPARE * port=1 adr=00 act=128C612E652C58A006 exp=128C612E652C58A006 + 36274.50ns INFO [00036276] Port=1 RD @04 + 36275.50ns INFO [00036277] * RD COMPARE * port=0 adr=07 act=5D414D7190EC2C2593 exp=5D414D7190EC2C2593 + 36275.50ns INFO [00036277] Port=0 WR @07=1181157B7074B48E9C + 36275.50ns INFO [00036277] Port=1 RD @04 + 36276.50ns INFO [00036278] * RD COMPARE * port=1 adr=04 act=CADC2A1D616B297ED2 exp=CADC2A1D616B297ED2 + 36276.50ns INFO [00036278] Port=0 RD @05 + 36277.50ns INFO [00036279] * RD COMPARE * port=1 adr=04 act=CADC2A1D616B297ED2 exp=CADC2A1D616B297ED2 + 36278.50ns INFO [00036280] * RD COMPARE * port=0 adr=05 act=6B3540B11BC70CB21C exp=6B3540B11BC70CB21C + 36278.50ns INFO [00036280] Port=0 WR @01=4CBC34112CF5D4ED38 + 36279.50ns INFO [00036281] Port=1 RD @06 + 36280.50ns INFO [00036282] Port=0 WR @03=764A83638C7D9AAAF6 + 36281.50ns INFO [00036283] * RD COMPARE * port=1 adr=06 act=FA7BF6AA65A0C9380C exp=FA7BF6AA65A0C9380C + 36281.50ns INFO [00036283] Port=0 WR @01=9C4DD56C32DBB9259E + 36282.50ns INFO [00036284] Port=1 RD @00 + 36283.50ns INFO [00036285] Port=1 RD @01 + 36284.50ns INFO [00036286] * RD COMPARE * port=1 adr=00 act=128C612E652C58A006 exp=128C612E652C58A006 + 36284.50ns INFO [00036286] Port=0 WR @04=7301FEE3AD691AC52A + 36284.50ns INFO [00036286] Port=0 RD @05 + 36284.50ns INFO [00036286] Port=1 RD @02 + 36285.50ns INFO [00036287] * RD COMPARE * port=1 adr=01 act=9C4DD56C32DBB9259E exp=9C4DD56C32DBB9259E + 36285.50ns INFO [00036287] Port=1 RD @05 + 36286.50ns INFO [00036288] * RD COMPARE * port=0 adr=05 act=6B3540B11BC70CB21C exp=6B3540B11BC70CB21C + 36286.50ns INFO [00036288] * RD COMPARE * port=1 adr=02 act=D9FA9E71B634A9B5A3 exp=D9FA9E71B634A9B5A3 + 36286.50ns INFO [00036288] Port=0 RD @00 + 36287.50ns INFO [00036289] * RD COMPARE * port=1 adr=05 act=6B3540B11BC70CB21C exp=6B3540B11BC70CB21C + 36288.50ns INFO [00036290] * RD COMPARE * port=0 adr=00 act=128C612E652C58A006 exp=128C612E652C58A006 + 36288.50ns INFO [00036290] Port=0 WR @02=0C8557B3862B801AD6 + 36289.50ns INFO [00036291] Port=0 RD @07 + 36289.50ns INFO [00036291] Port=1 RD @02 + 36290.50ns INFO [00036292] Port=0 WR @03=AA3C270C700CD89A5A + 36291.50ns INFO [00036293] * RD COMPARE * port=0 adr=07 act=1181157B7074B48E9C exp=1181157B7074B48E9C + 36291.50ns INFO [00036293] * RD COMPARE * port=1 adr=02 act=0C8557B3862B801AD6 exp=0C8557B3862B801AD6 + 36293.50ns INFO [00036295] Port=0 WR @04=601139F4D0B1DD0517 + 36293.50ns INFO [00036295] Port=0 RD @01 + 36295.50ns INFO [00036297] * RD COMPARE * port=0 adr=01 act=9C4DD56C32DBB9259E exp=9C4DD56C32DBB9259E + 36295.50ns INFO [00036297] Port=0 RD @02 + 36295.50ns INFO [00036297] Port=1 RD @02 + 36296.50ns INFO [00036298] Port=0 RD @03 + 36296.50ns INFO [00036298] Port=1 RD @07 + 36297.50ns INFO [00036299] * RD COMPARE * port=0 adr=02 act=0C8557B3862B801AD6 exp=0C8557B3862B801AD6 + 36297.50ns INFO [00036299] * RD COMPARE * port=1 adr=02 act=0C8557B3862B801AD6 exp=0C8557B3862B801AD6 + 36297.50ns INFO [00036299] Port=1 RD @04 + 36298.00ns INFO [00036300] [00036300] ...tick... + 36298.50ns INFO [00036300] * RD COMPARE * port=0 adr=03 act=AA3C270C700CD89A5A exp=AA3C270C700CD89A5A + 36298.50ns INFO [00036300] * RD COMPARE * port=1 adr=07 act=1181157B7074B48E9C exp=1181157B7074B48E9C + 36298.50ns INFO [00036300] Port=0 WR @00=AFE947E182461F5419 + 36298.50ns INFO [00036300] Port=1 RD @05 + 36299.50ns INFO [00036301] * RD COMPARE * port=1 adr=04 act=601139F4D0B1DD0517 exp=601139F4D0B1DD0517 + 36299.50ns INFO [00036301] Port=0 RD @04 + 36300.50ns INFO [00036302] * RD COMPARE * port=1 adr=05 act=6B3540B11BC70CB21C exp=6B3540B11BC70CB21C + 36300.50ns INFO [00036302] Port=0 RD @07 + 36301.50ns INFO [00036303] * RD COMPARE * port=0 adr=04 act=601139F4D0B1DD0517 exp=601139F4D0B1DD0517 + 36301.50ns INFO [00036303] Port=0 WR @04=E67F149F849C240540 + 36302.50ns INFO [00036304] * RD COMPARE * port=0 adr=07 act=1181157B7074B48E9C exp=1181157B7074B48E9C + 36302.50ns INFO [00036304] Port=0 WR @00=D2F9A91EC9A235C690 + 36302.50ns INFO [00036304] Port=1 RD @01 + 36303.50ns INFO [00036305] Port=0 WR @01=A96492E7544E3DAC2B + 36303.50ns INFO [00036305] Port=0 RD @06 + 36304.50ns INFO [00036306] * RD COMPARE * port=1 adr=01 act=9C4DD56C32DBB9259E exp=9C4DD56C32DBB9259E + 36304.50ns INFO [00036306] Port=0 WR @01=6EC42216D10B0161D5 + 36304.50ns INFO [00036306] Port=0 RD @00 + 36305.50ns INFO [00036307] * RD COMPARE * port=0 adr=06 act=FA7BF6AA65A0C9380C exp=FA7BF6AA65A0C9380C + 36306.50ns INFO [00036308] * RD COMPARE * port=0 adr=00 act=D2F9A91EC9A235C690 exp=D2F9A91EC9A235C690 + 36306.50ns INFO [00036308] Port=1 RD @04 + 36307.50ns INFO [00036309] Port=0 WR @04=A9E8E3623C25F5ED67 + 36308.50ns INFO [00036310] * RD COMPARE * port=1 adr=04 act=E67F149F849C240540 exp=E67F149F849C240540 + 36308.50ns INFO [00036310] Port=1 RD @01 + 36309.50ns INFO [00036311] Port=1 RD @07 + 36310.50ns INFO [00036312] * RD COMPARE * port=1 adr=01 act=6EC42216D10B0161D5 exp=6EC42216D10B0161D5 + 36311.50ns INFO [00036313] * RD COMPARE * port=1 adr=07 act=1181157B7074B48E9C exp=1181157B7074B48E9C + 36311.50ns INFO [00036313] Port=0 WR @04=744C86688E0152C7E7 + 36312.50ns INFO [00036314] Port=1 RD @04 + 36313.50ns INFO [00036315] Port=0 WR @05=15391F8A2C25188178 + 36314.50ns INFO [00036316] * RD COMPARE * port=1 adr=04 act=744C86688E0152C7E7 exp=744C86688E0152C7E7 + 36314.50ns INFO [00036316] Port=1 RD @07 + 36315.50ns INFO [00036317] Port=0 WR @04=9573323AD6AB84A116 + 36315.50ns INFO [00036317] Port=1 RD @06 + 36316.50ns INFO [00036318] * RD COMPARE * port=1 adr=07 act=1181157B7074B48E9C exp=1181157B7074B48E9C + 36316.50ns INFO [00036318] Port=0 WR @02=159B64C6E83A1BFD28 + 36317.50ns INFO [00036319] * RD COMPARE * port=1 adr=06 act=FA7BF6AA65A0C9380C exp=FA7BF6AA65A0C9380C + 36317.50ns INFO [00036319] Port=0 WR @00=5940719B16C57D7539 + 36318.50ns INFO [00036320] Port=1 RD @02 + 36319.50ns INFO [00036321] Port=0 WR @00=52EE0D457B82755375 + 36320.50ns INFO [00036322] * RD COMPARE * port=1 adr=02 act=159B64C6E83A1BFD28 exp=159B64C6E83A1BFD28 + 36320.50ns INFO [00036322] Port=0 WR @04=F64FAB5847A2DFD662 + 36320.50ns INFO [00036322] Port=0 RD @03 + 36320.50ns INFO [00036322] Port=1 RD @01 + 36321.50ns INFO [00036323] Port=0 RD @02 + 36322.50ns INFO [00036324] * RD COMPARE * port=0 adr=03 act=AA3C270C700CD89A5A exp=AA3C270C700CD89A5A + 36322.50ns INFO [00036324] * RD COMPARE * port=1 adr=01 act=6EC42216D10B0161D5 exp=6EC42216D10B0161D5 + 36322.50ns INFO [00036324] Port=0 RD @02 + 36322.50ns INFO [00036324] Port=1 RD @07 + 36323.50ns INFO [00036325] * RD COMPARE * port=0 adr=02 act=159B64C6E83A1BFD28 exp=159B64C6E83A1BFD28 + 36324.50ns INFO [00036326] * RD COMPARE * port=0 adr=02 act=159B64C6E83A1BFD28 exp=159B64C6E83A1BFD28 + 36324.50ns INFO [00036326] * RD COMPARE * port=1 adr=07 act=1181157B7074B48E9C exp=1181157B7074B48E9C + 36324.50ns INFO [00036326] Port=0 WR @05=B5E905AACC17B4EB53 + 36325.50ns INFO [00036327] Port=1 RD @06 + 36327.50ns INFO [00036329] * RD COMPARE * port=1 adr=06 act=FA7BF6AA65A0C9380C exp=FA7BF6AA65A0C9380C + 36327.50ns INFO [00036329] Port=0 WR @00=7E469A51A56310B8AB + 36327.50ns INFO [00036329] Port=0 RD @05 + 36327.50ns INFO [00036329] Port=1 RD @07 + 36328.50ns INFO [00036330] Port=0 WR @07=94ECE81BBBE53E7C0A + 36329.50ns INFO [00036331] * RD COMPARE * port=0 adr=05 act=B5E905AACC17B4EB53 exp=B5E905AACC17B4EB53 + 36329.50ns INFO [00036331] * RD COMPARE * port=1 adr=07 act=1181157B7074B48E9C exp=1181157B7074B48E9C + 36330.50ns INFO [00036332] Port=0 RD @01 + 36331.50ns INFO [00036333] Port=0 RD @04 + 36331.50ns INFO [00036333] Port=1 RD @01 + 36332.50ns INFO [00036334] * RD COMPARE * port=0 adr=01 act=6EC42216D10B0161D5 exp=6EC42216D10B0161D5 + 36333.50ns INFO [00036335] * RD COMPARE * port=0 adr=04 act=F64FAB5847A2DFD662 exp=F64FAB5847A2DFD662 + 36333.50ns INFO [00036335] * RD COMPARE * port=1 adr=01 act=6EC42216D10B0161D5 exp=6EC42216D10B0161D5 + 36333.50ns INFO [00036335] Port=0 WR @01=561EEED379E8FB772B + 36334.50ns INFO [00036336] Port=0 WR @04=AC39C75F6B562F57A0 + 36335.50ns INFO [00036337] Port=1 RD @04 + 36337.50ns INFO [00036339] * RD COMPARE * port=1 adr=04 act=AC39C75F6B562F57A0 exp=AC39C75F6B562F57A0 + 36337.50ns INFO [00036339] Port=0 WR @04=A6B8D941BFC454D7A4 + 36338.50ns INFO [00036340] Port=0 WR @02=1A804F590E8E7D44A9 + 36338.50ns INFO [00036340] Port=0 RD @03 + 36339.50ns INFO [00036341] Port=0 RD @02 + 36339.50ns INFO [00036341] Port=1 RD @04 + 36340.50ns INFO [00036342] * RD COMPARE * port=0 adr=03 act=AA3C270C700CD89A5A exp=AA3C270C700CD89A5A + 36340.50ns INFO [00036342] Port=0 RD @06 + 36341.50ns INFO [00036343] * RD COMPARE * port=0 adr=02 act=1A804F590E8E7D44A9 exp=1A804F590E8E7D44A9 + 36341.50ns INFO [00036343] * RD COMPARE * port=1 adr=04 act=A6B8D941BFC454D7A4 exp=A6B8D941BFC454D7A4 + 36342.50ns INFO [00036344] * RD COMPARE * port=0 adr=06 act=FA7BF6AA65A0C9380C exp=FA7BF6AA65A0C9380C + 36344.50ns INFO [00036346] Port=0 WR @02=D619F31DEEE8E20610 + 36344.50ns INFO [00036346] Port=0 RD @00 + 36345.50ns INFO [00036347] Port=0 WR @01=CACDF5B727F97E8AF2 + 36345.50ns INFO [00036347] Port=1 RD @00 + 36346.50ns INFO [00036348] * RD COMPARE * port=0 adr=00 act=7E469A51A56310B8AB exp=7E469A51A56310B8AB + 36346.50ns INFO [00036348] Port=0 RD @07 + 36346.50ns INFO [00036348] Port=1 RD @00 + 36347.50ns INFO [00036349] * RD COMPARE * port=1 adr=00 act=7E469A51A56310B8AB exp=7E469A51A56310B8AB + 36347.50ns INFO [00036349] Port=0 RD @02 + 36347.50ns INFO [00036349] Port=1 RD @07 + 36348.50ns INFO [00036350] * RD COMPARE * port=0 adr=07 act=94ECE81BBBE53E7C0A exp=94ECE81BBBE53E7C0A + 36348.50ns INFO [00036350] * RD COMPARE * port=1 adr=00 act=7E469A51A56310B8AB exp=7E469A51A56310B8AB + 36348.50ns INFO [00036350] Port=0 WR @06=BEF00DC7B56E278A60 + 36348.50ns INFO [00036350] Port=0 RD @04 + 36348.50ns INFO [00036350] Port=1 RD @04 + 36349.50ns INFO [00036351] * RD COMPARE * port=0 adr=02 act=D619F31DEEE8E20610 exp=D619F31DEEE8E20610 + 36349.50ns INFO [00036351] * RD COMPARE * port=1 adr=07 act=94ECE81BBBE53E7C0A exp=94ECE81BBBE53E7C0A + 36349.50ns INFO [00036351] Port=0 WR @04=7D0F779AC314D81274 + 36350.50ns INFO [00036352] * RD COMPARE * port=0 adr=04 act=A6B8D941BFC454D7A4 exp=A6B8D941BFC454D7A4 + 36350.50ns INFO [00036352] * RD COMPARE * port=1 adr=04 act=A6B8D941BFC454D7A4 exp=A6B8D941BFC454D7A4 + 36350.50ns INFO [00036352] Port=0 RD @03 + 36350.50ns INFO [00036352] Port=1 RD @00 + 36351.50ns INFO [00036353] Port=0 RD @02 + 36352.50ns INFO [00036354] * RD COMPARE * port=0 adr=03 act=AA3C270C700CD89A5A exp=AA3C270C700CD89A5A + 36352.50ns INFO [00036354] * RD COMPARE * port=1 adr=00 act=7E469A51A56310B8AB exp=7E469A51A56310B8AB + 36352.50ns INFO [00036354] Port=0 WR @00=8960D49F0569C0FED5 + 36353.50ns INFO [00036355] * RD COMPARE * port=0 adr=02 act=D619F31DEEE8E20610 exp=D619F31DEEE8E20610 + 36353.50ns INFO [00036355] Port=0 WR @07=8B463B8774A3AFFBBD + 36353.50ns INFO [00036355] Port=1 RD @04 + 36355.50ns INFO [00036357] * RD COMPARE * port=1 adr=04 act=7D0F779AC314D81274 exp=7D0F779AC314D81274 + 36355.50ns INFO [00036357] Port=0 WR @02=80F01A7529219DC2A4 + 36355.50ns INFO [00036357] Port=0 RD @00 + 36356.50ns INFO [00036358] Port=0 RD @01 + 36356.50ns INFO [00036358] Port=1 RD @00 + 36357.50ns INFO [00036359] * RD COMPARE * port=0 adr=00 act=8960D49F0569C0FED5 exp=8960D49F0569C0FED5 + 36358.50ns INFO [00036360] * RD COMPARE * port=0 adr=01 act=CACDF5B727F97E8AF2 exp=CACDF5B727F97E8AF2 + 36358.50ns INFO [00036360] * RD COMPARE * port=1 adr=00 act=8960D49F0569C0FED5 exp=8960D49F0569C0FED5 + 36358.50ns INFO [00036360] Port=0 WR @07=6BEC696219AAA82009 + 36360.50ns INFO [00036362] Port=0 RD @07 + 36361.50ns INFO [00036363] Port=0 WR @05=C9DA7C11B8D4318AC3 + 36362.50ns INFO [00036364] * RD COMPARE * port=0 adr=07 act=6BEC696219AAA82009 exp=6BEC696219AAA82009 + 36362.50ns INFO [00036364] Port=1 RD @06 + 36363.50ns INFO [00036365] Port=0 WR @00=ADA6229ADD2090CA92 + 36364.50ns INFO [00036366] * RD COMPARE * port=1 adr=06 act=BEF00DC7B56E278A60 exp=BEF00DC7B56E278A60 + 36366.50ns INFO [00036368] Port=1 RD @07 + 36367.50ns INFO [00036369] Port=0 RD @06 + 36367.50ns INFO [00036369] Port=1 RD @06 + 36368.50ns INFO [00036370] * RD COMPARE * port=1 adr=07 act=6BEC696219AAA82009 exp=6BEC696219AAA82009 + 36368.50ns INFO [00036370] Port=0 WR @01=28A542DE3DB960E521 + 36368.50ns INFO [00036370] Port=0 RD @04 + 36368.50ns INFO [00036370] Port=1 RD @02 + 36369.50ns INFO [00036371] * RD COMPARE * port=0 adr=06 act=BEF00DC7B56E278A60 exp=BEF00DC7B56E278A60 + 36369.50ns INFO [00036371] * RD COMPARE * port=1 adr=06 act=BEF00DC7B56E278A60 exp=BEF00DC7B56E278A60 + 36369.50ns INFO [00036371] Port=0 RD @01 + 36369.50ns INFO [00036371] Port=1 RD @02 + 36370.50ns INFO [00036372] * RD COMPARE * port=0 adr=04 act=7D0F779AC314D81274 exp=7D0F779AC314D81274 + 36370.50ns INFO [00036372] * RD COMPARE * port=1 adr=02 act=80F01A7529219DC2A4 exp=80F01A7529219DC2A4 + 36370.50ns INFO [00036372] Port=0 RD @03 + 36371.50ns INFO [00036373] * RD COMPARE * port=0 adr=01 act=28A542DE3DB960E521 exp=28A542DE3DB960E521 + 36371.50ns INFO [00036373] * RD COMPARE * port=1 adr=02 act=80F01A7529219DC2A4 exp=80F01A7529219DC2A4 + 36371.50ns INFO [00036373] Port=0 WR @06=FC9D3F9DE4549B9DEB + 36372.50ns INFO [00036374] * RD COMPARE * port=0 adr=03 act=AA3C270C700CD89A5A exp=AA3C270C700CD89A5A + 36372.50ns INFO [00036374] Port=0 WR @01=6374E17E23329FE9B6 + 36373.50ns INFO [00036375] Port=0 RD @07 + 36375.50ns INFO [00036377] * RD COMPARE * port=0 adr=07 act=6BEC696219AAA82009 exp=6BEC696219AAA82009 + 36376.50ns INFO [00036378] Port=1 RD @00 + 36377.50ns INFO [00036379] Port=0 RD @01 + 36378.50ns INFO [00036380] * RD COMPARE * port=1 adr=00 act=ADA6229ADD2090CA92 exp=ADA6229ADD2090CA92 + 36378.50ns INFO [00036380] Port=0 WR @02=71156957A939542C22 + 36379.50ns INFO [00036381] * RD COMPARE * port=0 adr=01 act=6374E17E23329FE9B6 exp=6374E17E23329FE9B6 + 36380.50ns INFO [00036382] Port=0 RD @05 + 36380.50ns INFO [00036382] Port=1 RD @01 + 36381.50ns INFO [00036383] Port=0 WR @06=7E9BE369360AB4715C + 36382.50ns INFO [00036384] * RD COMPARE * port=0 adr=05 act=C9DA7C11B8D4318AC3 exp=C9DA7C11B8D4318AC3 + 36382.50ns INFO [00036384] * RD COMPARE * port=1 adr=01 act=6374E17E23329FE9B6 exp=6374E17E23329FE9B6 + 36382.50ns INFO [00036384] Port=0 WR @01=67427BA821BE91B9D1 + 36382.50ns INFO [00036384] Port=0 RD @03 + 36384.50ns INFO [00036386] * RD COMPARE * port=0 adr=03 act=AA3C270C700CD89A5A exp=AA3C270C700CD89A5A + 36385.50ns INFO [00036387] Port=0 WR @05=7337B76A250844A9EA + 36385.50ns INFO [00036387] Port=0 RD @07 + 36385.50ns INFO [00036387] Port=1 RD @07 + 36386.50ns INFO [00036388] Port=0 WR @03=CE3548D8D2D4BB4B27 + 36386.50ns INFO [00036388] Port=0 RD @04 + 36386.50ns INFO [00036388] Port=1 RD @02 + 36387.50ns INFO [00036389] * RD COMPARE * port=0 adr=07 act=6BEC696219AAA82009 exp=6BEC696219AAA82009 + 36387.50ns INFO [00036389] * RD COMPARE * port=1 adr=07 act=6BEC696219AAA82009 exp=6BEC696219AAA82009 + 36387.50ns INFO [00036389] Port=0 WR @02=DD92ACDF67C793540E + 36388.50ns INFO [00036390] * RD COMPARE * port=0 adr=04 act=7D0F779AC314D81274 exp=7D0F779AC314D81274 + 36388.50ns INFO [00036390] * RD COMPARE * port=1 adr=02 act=71156957A939542C22 exp=71156957A939542C22 + 36388.50ns INFO [00036390] Port=1 RD @03 + 36389.50ns INFO [00036391] Port=0 WR @05=79C4809BEF6DF392B6 + 36390.50ns INFO [00036392] * RD COMPARE * port=1 adr=03 act=CE3548D8D2D4BB4B27 exp=CE3548D8D2D4BB4B27 + 36391.50ns INFO [00036393] Port=0 WR @01=FA0E686ADAE8F65AA1 + 36392.50ns INFO [00036394] Port=0 WR @00=4FA8BC387C8B637261 + 36392.50ns INFO [00036394] Port=0 RD @01 + 36392.50ns INFO [00036394] Port=1 RD @03 + 36393.50ns INFO [00036395] Port=0 RD @04 + 36394.50ns INFO [00036396] * RD COMPARE * port=0 adr=01 act=FA0E686ADAE8F65AA1 exp=FA0E686ADAE8F65AA1 + 36394.50ns INFO [00036396] * RD COMPARE * port=1 adr=03 act=CE3548D8D2D4BB4B27 exp=CE3548D8D2D4BB4B27 + 36394.50ns INFO [00036396] Port=0 WR @01=846EA1BDE33BCBF18F + 36394.50ns INFO [00036396] Port=0 RD @07 + 36394.50ns INFO [00036396] Port=1 RD @02 + 36395.50ns INFO [00036397] * RD COMPARE * port=0 adr=04 act=7D0F779AC314D81274 exp=7D0F779AC314D81274 + 36396.50ns INFO [00036398] * RD COMPARE * port=0 adr=07 act=6BEC696219AAA82009 exp=6BEC696219AAA82009 + 36396.50ns INFO [00036398] * RD COMPARE * port=1 adr=02 act=DD92ACDF67C793540E exp=DD92ACDF67C793540E + 36398.00ns INFO [00036400] [00036400] ...tick... + 36398.50ns INFO [00036400] Port=1 RD @02 + 36399.50ns INFO [00036401] Port=0 WR @06=E2FA0479F71B44DF80 + 36400.50ns INFO [00036402] * RD COMPARE * port=1 adr=02 act=DD92ACDF67C793540E exp=DD92ACDF67C793540E + 36400.50ns INFO [00036402] Port=0 RD @06 + 36400.50ns INFO [00036402] Port=1 RD @03 + 36402.50ns INFO [00036404] * RD COMPARE * port=0 adr=06 act=E2FA0479F71B44DF80 exp=E2FA0479F71B44DF80 + 36402.50ns INFO [00036404] * RD COMPARE * port=1 adr=03 act=CE3548D8D2D4BB4B27 exp=CE3548D8D2D4BB4B27 + 36403.50ns INFO [00036405] Port=0 RD @02 + 36403.50ns INFO [00036405] Port=1 RD @00 + 36404.50ns INFO [00036406] Port=0 WR @05=CC285E1C762631387C + 36404.50ns INFO [00036406] Port=0 RD @00 + 36405.50ns INFO [00036407] * RD COMPARE * port=0 adr=02 act=DD92ACDF67C793540E exp=DD92ACDF67C793540E + 36405.50ns INFO [00036407] * RD COMPARE * port=1 adr=00 act=4FA8BC387C8B637261 exp=4FA8BC387C8B637261 + 36406.50ns INFO [00036408] * RD COMPARE * port=0 adr=00 act=4FA8BC387C8B637261 exp=4FA8BC387C8B637261 + 36406.50ns INFO [00036408] Port=0 RD @03 + 36406.50ns INFO [00036408] Port=1 RD @06 + 36407.50ns INFO [00036409] Port=0 WR @01=2135D6105B58CDC4B7 + 36407.50ns INFO [00036409] Port=1 RD @05 + 36408.50ns INFO [00036410] * RD COMPARE * port=0 adr=03 act=CE3548D8D2D4BB4B27 exp=CE3548D8D2D4BB4B27 + 36408.50ns INFO [00036410] * RD COMPARE * port=1 adr=06 act=E2FA0479F71B44DF80 exp=E2FA0479F71B44DF80 + 36409.50ns INFO [00036411] * RD COMPARE * port=1 adr=05 act=CC285E1C762631387C exp=CC285E1C762631387C + 36409.50ns INFO [00036411] Port=0 WR @06=5910C827B614E58422 + 36409.50ns INFO [00036411] Port=0 RD @04 + 36410.50ns INFO [00036412] Port=0 WR @04=1554D55BDACEB2BB84 + 36410.50ns INFO [00036412] Port=1 RD @03 + 36411.50ns INFO [00036413] * RD COMPARE * port=0 adr=04 act=7D0F779AC314D81274 exp=7D0F779AC314D81274 + 36411.50ns INFO [00036413] Port=0 RD @06 + 36412.50ns INFO [00036414] * RD COMPARE * port=1 adr=03 act=CE3548D8D2D4BB4B27 exp=CE3548D8D2D4BB4B27 + 36412.50ns INFO [00036414] Port=0 RD @05 + 36412.50ns INFO [00036414] Port=1 RD @06 + 36413.50ns INFO [00036415] * RD COMPARE * port=0 adr=06 act=5910C827B614E58422 exp=5910C827B614E58422 + 36413.50ns INFO [00036415] Port=0 RD @05 + 36414.50ns INFO [00036416] * RD COMPARE * port=0 adr=05 act=CC285E1C762631387C exp=CC285E1C762631387C + 36414.50ns INFO [00036416] * RD COMPARE * port=1 adr=06 act=5910C827B614E58422 exp=5910C827B614E58422 + 36415.50ns INFO [00036417] * RD COMPARE * port=0 adr=05 act=CC285E1C762631387C exp=CC285E1C762631387C + 36415.50ns INFO [00036417] Port=0 RD @02 + 36416.50ns INFO [00036418] Port=1 RD @01 + 36417.50ns INFO [00036419] * RD COMPARE * port=0 adr=02 act=DD92ACDF67C793540E exp=DD92ACDF67C793540E + 36417.50ns INFO [00036419] Port=0 RD @01 + 36417.50ns INFO [00036419] Port=1 RD @01 + 36418.50ns INFO [00036420] * RD COMPARE * port=1 adr=01 act=2135D6105B58CDC4B7 exp=2135D6105B58CDC4B7 + 36419.50ns INFO [00036421] * RD COMPARE * port=0 adr=01 act=2135D6105B58CDC4B7 exp=2135D6105B58CDC4B7 + 36419.50ns INFO [00036421] * RD COMPARE * port=1 adr=01 act=2135D6105B58CDC4B7 exp=2135D6105B58CDC4B7 + 36419.50ns INFO [00036421] Port=1 RD @06 + 36420.50ns INFO [00036422] Port=0 WR @07=2842129BCCD8DA868A + 36420.50ns INFO [00036422] Port=0 RD @05 + 36420.50ns INFO [00036422] Port=1 RD @03 + 36421.50ns INFO [00036423] * RD COMPARE * port=1 adr=06 act=5910C827B614E58422 exp=5910C827B614E58422 + 36422.50ns INFO [00036424] * RD COMPARE * port=0 adr=05 act=CC285E1C762631387C exp=CC285E1C762631387C + 36422.50ns INFO [00036424] * RD COMPARE * port=1 adr=03 act=CE3548D8D2D4BB4B27 exp=CE3548D8D2D4BB4B27 + 36422.50ns INFO [00036424] Port=0 RD @05 + 36423.50ns INFO [00036425] Port=0 RD @05 + 36424.50ns INFO [00036426] * RD COMPARE * port=0 adr=05 act=CC285E1C762631387C exp=CC285E1C762631387C + 36425.50ns INFO [00036427] * RD COMPARE * port=0 adr=05 act=CC285E1C762631387C exp=CC285E1C762631387C + 36425.50ns INFO [00036427] Port=0 WR @05=6549E071C219C2C57E + 36425.50ns INFO [00036427] Port=0 RD @00 + 36427.50ns INFO [00036429] * RD COMPARE * port=0 adr=00 act=4FA8BC387C8B637261 exp=4FA8BC387C8B637261 + 36428.50ns INFO [00036430] Port=0 RD @04 + 36429.50ns INFO [00036431] Port=0 WR @03=1307DD4FBB3443EA39 + 36429.50ns INFO [00036431] Port=1 RD @00 + 36430.50ns INFO [00036432] * RD COMPARE * port=0 adr=04 act=1554D55BDACEB2BB84 exp=1554D55BDACEB2BB84 + 36430.50ns INFO [00036432] Port=0 WR @04=43C9885D40566ED245 + 36431.50ns INFO [00036433] * RD COMPARE * port=1 adr=00 act=4FA8BC387C8B637261 exp=4FA8BC387C8B637261 + 36431.50ns INFO [00036433] Port=0 RD @02 + 36432.50ns INFO [00036434] Port=0 RD @04 + 36433.50ns INFO [00036435] * RD COMPARE * port=0 adr=02 act=DD92ACDF67C793540E exp=DD92ACDF67C793540E + 36434.50ns INFO [00036436] * RD COMPARE * port=0 adr=04 act=43C9885D40566ED245 exp=43C9885D40566ED245 + 36434.50ns INFO [00036436] Port=0 WR @01=7763D4973C0E1AD47F + 36434.50ns INFO [00036436] Port=1 RD @03 + 36435.50ns INFO [00036437] Port=0 RD @00 + 36436.50ns INFO [00036438] * RD COMPARE * port=1 adr=03 act=1307DD4FBB3443EA39 exp=1307DD4FBB3443EA39 + 36437.50ns INFO [00036439] * RD COMPARE * port=0 adr=00 act=4FA8BC387C8B637261 exp=4FA8BC387C8B637261 + 36437.50ns INFO [00036439] Port=0 WR @00=B52E36BBE2DDE70F1E + 36438.50ns INFO [00036440] Port=1 RD @00 + 36439.50ns INFO [00036441] Port=0 WR @03=F40D348111191E5A3B + 36440.50ns INFO [00036442] * RD COMPARE * port=1 adr=00 act=B52E36BBE2DDE70F1E exp=B52E36BBE2DDE70F1E + 36441.50ns INFO [00036443] Port=1 RD @04 + 36443.50ns INFO [00036445] * RD COMPARE * port=1 adr=04 act=43C9885D40566ED245 exp=43C9885D40566ED245 + 36443.50ns INFO [00036445] Port=0 RD @06 + 36444.50ns INFO [00036446] Port=0 WR @01=1212E6B8B8372188AD + 36444.50ns INFO [00036446] Port=0 RD @02 + 36445.50ns INFO [00036447] * RD COMPARE * port=0 adr=06 act=5910C827B614E58422 exp=5910C827B614E58422 + 36445.50ns INFO [00036447] Port=0 WR @07=E94C76BC2D030BBD46 + 36445.50ns INFO [00036447] Port=0 RD @00 + 36446.50ns INFO [00036448] * RD COMPARE * port=0 adr=02 act=DD92ACDF67C793540E exp=DD92ACDF67C793540E + 36446.50ns INFO [00036448] Port=1 RD @07 + 36447.50ns INFO [00036449] * RD COMPARE * port=0 adr=00 act=B52E36BBE2DDE70F1E exp=B52E36BBE2DDE70F1E + 36447.50ns INFO [00036449] Port=1 RD @04 + 36448.50ns INFO [00036450] * RD COMPARE * port=1 adr=07 act=E94C76BC2D030BBD46 exp=E94C76BC2D030BBD46 + 36448.50ns INFO [00036450] Port=0 WR @00=6552E16553D4E4EE2F + 36449.50ns INFO [00036451] * RD COMPARE * port=1 adr=04 act=43C9885D40566ED245 exp=43C9885D40566ED245 + 36449.50ns INFO [00036451] Port=0 RD @01 + 36451.50ns INFO [00036453] * RD COMPARE * port=0 adr=01 act=1212E6B8B8372188AD exp=1212E6B8B8372188AD + 36451.50ns INFO [00036453] Port=0 WR @02=CB3B2D43F869E356C0 + 36451.50ns INFO [00036453] Port=0 RD @03 + 36453.50ns INFO [00036455] * RD COMPARE * port=0 adr=03 act=F40D348111191E5A3B exp=F40D348111191E5A3B + 36454.50ns INFO [00036456] Port=0 WR @06=357AA0DF5B6E55A956 + 36454.50ns INFO [00036456] Port=0 RD @05 + 36454.50ns INFO [00036456] Port=1 RD @02 + 36455.50ns INFO [00036457] Port=1 RD @05 + 36456.50ns INFO [00036458] * RD COMPARE * port=0 adr=05 act=6549E071C219C2C57E exp=6549E071C219C2C57E + 36456.50ns INFO [00036458] * RD COMPARE * port=1 adr=02 act=CB3B2D43F869E356C0 exp=CB3B2D43F869E356C0 + 36456.50ns INFO [00036458] Port=0 RD @02 + 36456.50ns INFO [00036458] Port=1 RD @02 + 36457.50ns INFO [00036459] * RD COMPARE * port=1 adr=05 act=6549E071C219C2C57E exp=6549E071C219C2C57E + 36457.50ns INFO [00036459] Port=0 WR @01=165464CA290C07FA94 + 36458.50ns INFO [00036460] * RD COMPARE * port=0 adr=02 act=CB3B2D43F869E356C0 exp=CB3B2D43F869E356C0 + 36458.50ns INFO [00036460] * RD COMPARE * port=1 adr=02 act=CB3B2D43F869E356C0 exp=CB3B2D43F869E356C0 + 36458.50ns INFO [00036460] Port=0 RD @04 + 36458.50ns INFO [00036460] Port=1 RD @03 + 36459.50ns INFO [00036461] Port=0 RD @04 + 36459.50ns INFO [00036461] Port=1 RD @00 + 36460.50ns INFO [00036462] * RD COMPARE * port=0 adr=04 act=43C9885D40566ED245 exp=43C9885D40566ED245 + 36460.50ns INFO [00036462] * RD COMPARE * port=1 adr=03 act=F40D348111191E5A3B exp=F40D348111191E5A3B + 36460.50ns INFO [00036462] Port=1 RD @04 + 36461.50ns INFO [00036463] * RD COMPARE * port=0 adr=04 act=43C9885D40566ED245 exp=43C9885D40566ED245 + 36461.50ns INFO [00036463] * RD COMPARE * port=1 adr=00 act=6552E16553D4E4EE2F exp=6552E16553D4E4EE2F + 36462.50ns INFO [00036464] * RD COMPARE * port=1 adr=04 act=43C9885D40566ED245 exp=43C9885D40566ED245 + 36462.50ns INFO [00036464] Port=1 RD @07 + 36463.50ns INFO [00036465] Port=1 RD @05 + 36464.50ns INFO [00036466] * RD COMPARE * port=1 adr=07 act=E94C76BC2D030BBD46 exp=E94C76BC2D030BBD46 + 36465.50ns INFO [00036467] * RD COMPARE * port=1 adr=05 act=6549E071C219C2C57E exp=6549E071C219C2C57E + 36465.50ns INFO [00036467] Port=0 WR @04=DC4398A78FCA554759 + 36465.50ns INFO [00036467] Port=0 RD @03 + 36466.50ns INFO [00036468] Port=0 RD @04 + 36466.50ns INFO [00036468] Port=1 RD @01 + 36467.50ns INFO [00036469] * RD COMPARE * port=0 adr=03 act=F40D348111191E5A3B exp=F40D348111191E5A3B + 36468.50ns INFO [00036470] * RD COMPARE * port=0 adr=04 act=DC4398A78FCA554759 exp=DC4398A78FCA554759 + 36468.50ns INFO [00036470] * RD COMPARE * port=1 adr=01 act=165464CA290C07FA94 exp=165464CA290C07FA94 + 36468.50ns INFO [00036470] Port=0 RD @00 + 36469.50ns INFO [00036471] Port=0 WR @03=F56D94B703BD7F75CC + 36469.50ns INFO [00036471] Port=0 RD @04 + 36470.50ns INFO [00036472] * RD COMPARE * port=0 adr=00 act=6552E16553D4E4EE2F exp=6552E16553D4E4EE2F + 36470.50ns INFO [00036472] Port=1 RD @01 + 36471.50ns INFO [00036473] * RD COMPARE * port=0 adr=04 act=DC4398A78FCA554759 exp=DC4398A78FCA554759 + 36472.50ns INFO [00036474] * RD COMPARE * port=1 adr=01 act=165464CA290C07FA94 exp=165464CA290C07FA94 + 36472.50ns INFO [00036474] Port=0 WR @07=BBA65658F102D2CCD4 + 36472.50ns INFO [00036474] Port=1 RD @03 + 36473.50ns INFO [00036475] Port=0 RD @05 + 36474.50ns INFO [00036476] * RD COMPARE * port=1 adr=03 act=F56D94B703BD7F75CC exp=F56D94B703BD7F75CC + 36474.50ns INFO [00036476] Port=1 RD @00 + 36475.50ns INFO [00036477] * RD COMPARE * port=0 adr=05 act=6549E071C219C2C57E exp=6549E071C219C2C57E + 36475.50ns INFO [00036477] Port=0 WR @02=6829C466B0A47C5A41 + 36475.50ns INFO [00036477] Port=0 RD @04 + 36476.50ns INFO [00036478] * RD COMPARE * port=1 adr=00 act=6552E16553D4E4EE2F exp=6552E16553D4E4EE2F + 36476.50ns INFO [00036478] Port=0 RD @01 + 36476.50ns INFO [00036478] Port=1 RD @00 + 36477.50ns INFO [00036479] * RD COMPARE * port=0 adr=04 act=DC4398A78FCA554759 exp=DC4398A78FCA554759 + 36478.50ns INFO [00036480] * RD COMPARE * port=0 adr=01 act=165464CA290C07FA94 exp=165464CA290C07FA94 + 36478.50ns INFO [00036480] * RD COMPARE * port=1 adr=00 act=6552E16553D4E4EE2F exp=6552E16553D4E4EE2F + 36479.50ns INFO [00036481] Port=0 WR @06=271405B5EF12C6ED22 + 36479.50ns INFO [00036481] Port=0 RD @01 + 36479.50ns INFO [00036481] Port=1 RD @01 + 36481.50ns INFO [00036483] * RD COMPARE * port=0 adr=01 act=165464CA290C07FA94 exp=165464CA290C07FA94 + 36481.50ns INFO [00036483] * RD COMPARE * port=1 adr=01 act=165464CA290C07FA94 exp=165464CA290C07FA94 + 36482.50ns INFO [00036484] Port=0 RD @07 + 36482.50ns INFO [00036484] Port=1 RD @07 + 36483.50ns INFO [00036485] Port=0 WR @07=A544F147E198B4FC34 + 36483.50ns INFO [00036485] Port=0 RD @06 + 36484.50ns INFO [00036486] * RD COMPARE * port=0 adr=07 act=BBA65658F102D2CCD4 exp=BBA65658F102D2CCD4 + 36484.50ns INFO [00036486] * RD COMPARE * port=1 adr=07 act=BBA65658F102D2CCD4 exp=BBA65658F102D2CCD4 + 36484.50ns INFO [00036486] Port=0 RD @05 + 36485.50ns INFO [00036487] * RD COMPARE * port=0 adr=06 act=271405B5EF12C6ED22 exp=271405B5EF12C6ED22 + 36485.50ns INFO [00036487] Port=0 RD @01 + 36486.50ns INFO [00036488] * RD COMPARE * port=0 adr=05 act=6549E071C219C2C57E exp=6549E071C219C2C57E + 36486.50ns INFO [00036488] Port=0 RD @03 + 36487.50ns INFO [00036489] * RD COMPARE * port=0 adr=01 act=165464CA290C07FA94 exp=165464CA290C07FA94 + 36487.50ns INFO [00036489] Port=0 WR @05=6E54456677464478ED + 36487.50ns INFO [00036489] Port=1 RD @04 + 36488.50ns INFO [00036490] * RD COMPARE * port=0 adr=03 act=F56D94B703BD7F75CC exp=F56D94B703BD7F75CC + 36488.50ns INFO [00036490] Port=0 WR @05=F876C0E05A70D11581 + 36489.50ns INFO [00036491] * RD COMPARE * port=1 adr=04 act=DC4398A78FCA554759 exp=DC4398A78FCA554759 + 36489.50ns INFO [00036491] Port=0 WR @06=03AD38ABA91033858C + 36489.50ns INFO [00036491] Port=1 RD @01 + 36490.50ns INFO [00036492] Port=0 WR @06=6574B7FDD5639EE235 + 36490.50ns INFO [00036492] Port=0 RD @02 + 36490.50ns INFO [00036492] Port=1 RD @04 + 36491.50ns INFO [00036493] * RD COMPARE * port=1 adr=01 act=165464CA290C07FA94 exp=165464CA290C07FA94 + 36491.50ns INFO [00036493] Port=0 RD @04 + 36491.50ns INFO [00036493] Port=1 RD @02 + 36492.50ns INFO [00036494] * RD COMPARE * port=0 adr=02 act=6829C466B0A47C5A41 exp=6829C466B0A47C5A41 + 36492.50ns INFO [00036494] * RD COMPARE * port=1 adr=04 act=DC4398A78FCA554759 exp=DC4398A78FCA554759 + 36492.50ns INFO [00036494] Port=0 RD @01 + 36493.50ns INFO [00036495] * RD COMPARE * port=0 adr=04 act=DC4398A78FCA554759 exp=DC4398A78FCA554759 + 36493.50ns INFO [00036495] * RD COMPARE * port=1 adr=02 act=6829C466B0A47C5A41 exp=6829C466B0A47C5A41 + 36493.50ns INFO [00036495] Port=0 WR @03=8ED46F4E3DA1804B44 + 36493.50ns INFO [00036495] Port=0 RD @00 + 36494.50ns INFO [00036496] * RD COMPARE * port=0 adr=01 act=165464CA290C07FA94 exp=165464CA290C07FA94 + 36494.50ns INFO [00036496] Port=0 WR @00=0B659F9A72DC12146F + 36494.50ns INFO [00036496] Port=0 RD @02 + 36495.50ns INFO [00036497] * RD COMPARE * port=0 adr=00 act=6552E16553D4E4EE2F exp=6552E16553D4E4EE2F + 36495.50ns INFO [00036497] Port=1 RD @04 + 36496.50ns INFO [00036498] * RD COMPARE * port=0 adr=02 act=6829C466B0A47C5A41 exp=6829C466B0A47C5A41 + 36496.50ns INFO [00036498] Port=0 RD @07 + 36497.50ns INFO [00036499] * RD COMPARE * port=1 adr=04 act=DC4398A78FCA554759 exp=DC4398A78FCA554759 + 36497.50ns INFO [00036499] Port=0 WR @02=B1A70B08EF78D9EA9D + 36497.50ns INFO [00036499] Port=0 RD @00 + 36497.50ns INFO [00036499] Port=1 RD @04 + 36498.00ns INFO [00036500] [00036500] ...tick... + 36498.50ns INFO [00036500] * RD COMPARE * port=0 adr=07 act=A544F147E198B4FC34 exp=A544F147E198B4FC34 + 36498.50ns INFO [00036500] Port=0 WR @03=CA1EFFCE164E3BE7AB + 36499.50ns INFO [00036501] * RD COMPARE * port=0 adr=00 act=0B659F9A72DC12146F exp=0B659F9A72DC12146F + 36499.50ns INFO [00036501] * RD COMPARE * port=1 adr=04 act=DC4398A78FCA554759 exp=DC4398A78FCA554759 + 36499.50ns INFO [00036501] Port=0 RD @01 + 36500.50ns INFO [00036502] Port=1 RD @05 + 36501.50ns INFO [00036503] * RD COMPARE * port=0 adr=01 act=165464CA290C07FA94 exp=165464CA290C07FA94 + 36501.50ns INFO [00036503] Port=0 RD @03 + 36502.50ns INFO [00036504] * RD COMPARE * port=1 adr=05 act=F876C0E05A70D11581 exp=F876C0E05A70D11581 + 36503.50ns INFO [00036505] * RD COMPARE * port=0 adr=03 act=CA1EFFCE164E3BE7AB exp=CA1EFFCE164E3BE7AB + 36503.50ns INFO [00036505] Port=0 RD @02 + 36504.50ns INFO [00036506] Port=0 WR @01=ED160765CD69F4AA15 + 36504.50ns INFO [00036506] Port=0 RD @04 + 36504.50ns INFO [00036506] Port=1 RD @04 + 36505.50ns INFO [00036507] * RD COMPARE * port=0 adr=02 act=B1A70B08EF78D9EA9D exp=B1A70B08EF78D9EA9D + 36505.50ns INFO [00036507] Port=0 WR @06=B28C436087A5F69E24 + 36506.50ns INFO [00036508] * RD COMPARE * port=0 adr=04 act=DC4398A78FCA554759 exp=DC4398A78FCA554759 + 36506.50ns INFO [00036508] * RD COMPARE * port=1 adr=04 act=DC4398A78FCA554759 exp=DC4398A78FCA554759 + 36506.50ns INFO [00036508] Port=0 WR @04=CF6A1BC2144958C315 + 36506.50ns INFO [00036508] Port=0 RD @07 + 36507.50ns INFO [00036509] Port=0 WR @03=32AF801ADE81A7D94F + 36508.50ns INFO [00036510] * RD COMPARE * port=0 adr=07 act=A544F147E198B4FC34 exp=A544F147E198B4FC34 + 36509.50ns INFO [00036511] Port=0 WR @01=72B7C54AC593F2E7CB + 36510.50ns INFO [00036512] Port=1 RD @06 + 36511.50ns INFO [00036513] Port=1 RD @06 + 36512.50ns INFO [00036514] * RD COMPARE * port=1 adr=06 act=B28C436087A5F69E24 exp=B28C436087A5F69E24 + 36512.50ns INFO [00036514] Port=1 RD @02 + 36513.50ns INFO [00036515] * RD COMPARE * port=1 adr=06 act=B28C436087A5F69E24 exp=B28C436087A5F69E24 + 36514.50ns INFO [00036516] * RD COMPARE * port=1 adr=02 act=B1A70B08EF78D9EA9D exp=B1A70B08EF78D9EA9D + 36514.50ns INFO [00036516] Port=0 RD @07 + 36515.50ns INFO [00036517] Port=1 RD @05 + 36516.50ns INFO [00036518] * RD COMPARE * port=0 adr=07 act=A544F147E198B4FC34 exp=A544F147E198B4FC34 + 36516.50ns INFO [00036518] Port=0 WR @03=872BEDF054191BD1F2 + 36516.50ns INFO [00036518] Port=0 RD @00 + 36517.50ns INFO [00036519] * RD COMPARE * port=1 adr=05 act=F876C0E05A70D11581 exp=F876C0E05A70D11581 + 36517.50ns INFO [00036519] Port=0 WR @04=DF3ED8F40976480C2D + 36517.50ns INFO [00036519] Port=1 RD @03 + 36518.50ns INFO [00036520] * RD COMPARE * port=0 adr=00 act=0B659F9A72DC12146F exp=0B659F9A72DC12146F + 36518.50ns INFO [00036520] Port=0 RD @07 + 36518.50ns INFO [00036520] Port=1 RD @05 + 36519.50ns INFO [00036521] * RD COMPARE * port=1 adr=03 act=872BEDF054191BD1F2 exp=872BEDF054191BD1F2 + 36519.50ns INFO [00036521] Port=0 RD @03 + 36520.50ns INFO [00036522] * RD COMPARE * port=0 adr=07 act=A544F147E198B4FC34 exp=A544F147E198B4FC34 + 36520.50ns INFO [00036522] * RD COMPARE * port=1 adr=05 act=F876C0E05A70D11581 exp=F876C0E05A70D11581 + 36520.50ns INFO [00036522] Port=1 RD @02 + 36521.50ns INFO [00036523] * RD COMPARE * port=0 adr=03 act=872BEDF054191BD1F2 exp=872BEDF054191BD1F2 + 36522.50ns INFO [00036524] * RD COMPARE * port=1 adr=02 act=B1A70B08EF78D9EA9D exp=B1A70B08EF78D9EA9D + 36522.50ns INFO [00036524] Port=1 RD @05 + 36523.50ns INFO [00036525] Port=1 RD @01 + 36524.50ns INFO [00036526] * RD COMPARE * port=1 adr=05 act=F876C0E05A70D11581 exp=F876C0E05A70D11581 + 36524.50ns INFO [00036526] Port=0 WR @00=85BCFDFE383511F19F + 36524.50ns INFO [00036526] Port=0 RD @05 + 36524.50ns INFO [00036526] Port=1 RD @04 + 36525.50ns INFO [00036527] * RD COMPARE * port=1 adr=01 act=72B7C54AC593F2E7CB exp=72B7C54AC593F2E7CB + 36526.50ns INFO [00036528] * RD COMPARE * port=0 adr=05 act=F876C0E05A70D11581 exp=F876C0E05A70D11581 + 36526.50ns INFO [00036528] * RD COMPARE * port=1 adr=04 act=DF3ED8F40976480C2D exp=DF3ED8F40976480C2D + 36526.50ns INFO [00036528] Port=0 WR @02=F25071ABB0696C3337 + 36526.50ns INFO [00036528] Port=1 RD @00 + 36528.50ns INFO [00036530] * RD COMPARE * port=1 adr=00 act=85BCFDFE383511F19F exp=85BCFDFE383511F19F + 36528.50ns INFO [00036530] Port=1 RD @06 + 36529.50ns INFO [00036531] Port=1 RD @01 + 36530.50ns INFO [00036532] * RD COMPARE * port=1 adr=06 act=B28C436087A5F69E24 exp=B28C436087A5F69E24 + 36530.50ns INFO [00036532] Port=0 WR @03=71A2ECCB9A731E30B4 + 36531.50ns INFO [00036533] * RD COMPARE * port=1 adr=01 act=72B7C54AC593F2E7CB exp=72B7C54AC593F2E7CB + 36531.50ns INFO [00036533] Port=0 RD @03 + 36532.50ns INFO [00036534] Port=0 RD @05 + 36533.50ns INFO [00036535] * RD COMPARE * port=0 adr=03 act=71A2ECCB9A731E30B4 exp=71A2ECCB9A731E30B4 + 36534.50ns INFO [00036536] * RD COMPARE * port=0 adr=05 act=F876C0E05A70D11581 exp=F876C0E05A70D11581 + 36535.50ns INFO [00036537] Port=0 WR @01=B4AB1831F089E7B2F0 + 36535.50ns INFO [00036537] Port=0 RD @00 + 36535.50ns INFO [00036537] Port=1 RD @00 + 36536.50ns INFO [00036538] Port=0 WR @07=16C9478C7872ABED32 + 36536.50ns INFO [00036538] Port=0 RD @00 + 36537.50ns INFO [00036539] * RD COMPARE * port=0 adr=00 act=85BCFDFE383511F19F exp=85BCFDFE383511F19F + 36537.50ns INFO [00036539] * RD COMPARE * port=1 adr=00 act=85BCFDFE383511F19F exp=85BCFDFE383511F19F + 36537.50ns INFO [00036539] Port=0 WR @04=96C803ACF5972C1DDD + 36538.50ns INFO [00036540] * RD COMPARE * port=0 adr=00 act=85BCFDFE383511F19F exp=85BCFDFE383511F19F + 36538.50ns INFO [00036540] Port=1 RD @00 + 36539.50ns INFO [00036541] Port=0 WR @05=4FD131F8A9878C9CDD + 36539.50ns INFO [00036541] Port=0 RD @00 + 36540.50ns INFO [00036542] * RD COMPARE * port=1 adr=00 act=85BCFDFE383511F19F exp=85BCFDFE383511F19F + 36541.50ns INFO [00036543] * RD COMPARE * port=0 adr=00 act=85BCFDFE383511F19F exp=85BCFDFE383511F19F + 36541.50ns INFO [00036543] Port=0 WR @07=506F3C48151C631CAA + 36541.50ns INFO [00036543] Port=0 RD @02 + 36541.50ns INFO [00036543] Port=1 RD @03 + 36542.50ns INFO [00036544] Port=0 RD @05 + 36543.50ns INFO [00036545] * RD COMPARE * port=0 adr=02 act=F25071ABB0696C3337 exp=F25071ABB0696C3337 + 36543.50ns INFO [00036545] * RD COMPARE * port=1 adr=03 act=71A2ECCB9A731E30B4 exp=71A2ECCB9A731E30B4 + 36543.50ns INFO [00036545] Port=1 RD @04 + 36544.50ns INFO [00036546] * RD COMPARE * port=0 adr=05 act=4FD131F8A9878C9CDD exp=4FD131F8A9878C9CDD + 36544.50ns INFO [00036546] Port=0 WR @04=ECA5BEF47D4AEA10AA + 36544.50ns INFO [00036546] Port=1 RD @03 + 36545.50ns INFO [00036547] * RD COMPARE * port=1 adr=04 act=96C803ACF5972C1DDD exp=96C803ACF5972C1DDD + 36545.50ns INFO [00036547] Port=0 WR @05=8EB5684717127BA247 + 36545.50ns INFO [00036547] Port=1 RD @03 + 36546.50ns INFO [00036548] * RD COMPARE * port=1 adr=03 act=71A2ECCB9A731E30B4 exp=71A2ECCB9A731E30B4 + 36546.50ns INFO [00036548] Port=0 WR @05=A751C5657C4C2166AB + 36547.50ns INFO [00036549] * RD COMPARE * port=1 adr=03 act=71A2ECCB9A731E30B4 exp=71A2ECCB9A731E30B4 + 36547.50ns INFO [00036549] Port=0 WR @06=AE7D33B7A73B45196D + 36549.50ns INFO [00036551] Port=0 WR @03=6DBB0CFEC25E2D2250 + 36550.50ns INFO [00036552] Port=1 RD @05 + 36551.50ns INFO [00036553] Port=0 RD @00 + 36551.50ns INFO [00036553] Port=1 RD @07 + 36552.50ns INFO [00036554] * RD COMPARE * port=1 adr=05 act=A751C5657C4C2166AB exp=A751C5657C4C2166AB + 36552.50ns INFO [00036554] Port=0 RD @03 + 36553.50ns INFO [00036555] * RD COMPARE * port=0 adr=00 act=85BCFDFE383511F19F exp=85BCFDFE383511F19F + 36553.50ns INFO [00036555] * RD COMPARE * port=1 adr=07 act=506F3C48151C631CAA exp=506F3C48151C631CAA + 36553.50ns INFO [00036555] Port=0 WR @01=94E9C7FACA7784DB1C + 36553.50ns INFO [00036555] Port=0 RD @00 + 36554.50ns INFO [00036556] * RD COMPARE * port=0 adr=03 act=6DBB0CFEC25E2D2250 exp=6DBB0CFEC25E2D2250 + 36554.50ns INFO [00036556] Port=0 WR @00=22A655B73BCC39E953 + 36554.50ns INFO [00036556] Port=0 RD @04 + 36555.50ns INFO [00036557] * RD COMPARE * port=0 adr=00 act=85BCFDFE383511F19F exp=85BCFDFE383511F19F + 36555.50ns INFO [00036557] Port=0 RD @03 + 36556.50ns INFO [00036558] * RD COMPARE * port=0 adr=04 act=ECA5BEF47D4AEA10AA exp=ECA5BEF47D4AEA10AA + 36556.50ns INFO [00036558] Port=0 RD @06 + 36556.50ns INFO [00036558] Port=1 RD @02 + 36557.50ns INFO [00036559] * RD COMPARE * port=0 adr=03 act=6DBB0CFEC25E2D2250 exp=6DBB0CFEC25E2D2250 + 36557.50ns INFO [00036559] Port=0 RD @05 + 36558.50ns INFO [00036560] * RD COMPARE * port=0 adr=06 act=AE7D33B7A73B45196D exp=AE7D33B7A73B45196D + 36558.50ns INFO [00036560] * RD COMPARE * port=1 adr=02 act=F25071ABB0696C3337 exp=F25071ABB0696C3337 + 36558.50ns INFO [00036560] Port=1 RD @06 + 36559.50ns INFO [00036561] * RD COMPARE * port=0 adr=05 act=A751C5657C4C2166AB exp=A751C5657C4C2166AB + 36559.50ns INFO [00036561] Port=0 RD @07 + 36559.50ns INFO [00036561] Port=1 RD @02 + 36560.50ns INFO [00036562] * RD COMPARE * port=1 adr=06 act=AE7D33B7A73B45196D exp=AE7D33B7A73B45196D + 36560.50ns INFO [00036562] Port=1 RD @06 + 36561.50ns INFO [00036563] * RD COMPARE * port=0 adr=07 act=506F3C48151C631CAA exp=506F3C48151C631CAA + 36561.50ns INFO [00036563] * RD COMPARE * port=1 adr=02 act=F25071ABB0696C3337 exp=F25071ABB0696C3337 + 36562.50ns INFO [00036564] * RD COMPARE * port=1 adr=06 act=AE7D33B7A73B45196D exp=AE7D33B7A73B45196D + 36562.50ns INFO [00036564] Port=0 RD @04 + 36563.50ns INFO [00036565] Port=0 RD @07 + 36564.50ns INFO [00036566] * RD COMPARE * port=0 adr=04 act=ECA5BEF47D4AEA10AA exp=ECA5BEF47D4AEA10AA + 36564.50ns INFO [00036566] Port=0 RD @03 + 36565.50ns INFO [00036567] * RD COMPARE * port=0 adr=07 act=506F3C48151C631CAA exp=506F3C48151C631CAA + 36566.50ns INFO [00036568] * RD COMPARE * port=0 adr=03 act=6DBB0CFEC25E2D2250 exp=6DBB0CFEC25E2D2250 + 36566.50ns INFO [00036568] Port=1 RD @00 + 36567.50ns INFO [00036569] Port=0 RD @03 + 36568.50ns INFO [00036570] * RD COMPARE * port=1 adr=00 act=22A655B73BCC39E953 exp=22A655B73BCC39E953 + 36568.50ns INFO [00036570] Port=0 WR @02=C137965CC5AF384A54 + 36568.50ns INFO [00036570] Port=0 RD @05 + 36569.50ns INFO [00036571] * RD COMPARE * port=0 adr=03 act=6DBB0CFEC25E2D2250 exp=6DBB0CFEC25E2D2250 + 36569.50ns INFO [00036571] Port=0 WR @02=24E69361168B60F99C + 36569.50ns INFO [00036571] Port=1 RD @04 + 36570.50ns INFO [00036572] * RD COMPARE * port=0 adr=05 act=A751C5657C4C2166AB exp=A751C5657C4C2166AB + 36570.50ns INFO [00036572] Port=0 WR @06=EA01E16EB0FB8E146E + 36570.50ns INFO [00036572] Port=0 RD @02 + 36571.50ns INFO [00036573] * RD COMPARE * port=1 adr=04 act=ECA5BEF47D4AEA10AA exp=ECA5BEF47D4AEA10AA + 36571.50ns INFO [00036573] Port=0 WR @06=28800363A01D062A32 + 36571.50ns INFO [00036573] Port=0 RD @03 + 36571.50ns INFO [00036573] Port=1 RD @04 + 36572.50ns INFO [00036574] * RD COMPARE * port=0 adr=02 act=24E69361168B60F99C exp=24E69361168B60F99C + 36572.50ns INFO [00036574] Port=0 WR @03=60C6F7058FFD91A9CA + 36572.50ns INFO [00036574] Port=0 RD @00 + 36573.50ns INFO [00036575] * RD COMPARE * port=0 adr=03 act=6DBB0CFEC25E2D2250 exp=6DBB0CFEC25E2D2250 + 36573.50ns INFO [00036575] * RD COMPARE * port=1 adr=04 act=ECA5BEF47D4AEA10AA exp=ECA5BEF47D4AEA10AA + 36573.50ns INFO [00036575] Port=0 RD @00 + 36573.50ns INFO [00036575] Port=1 RD @05 + 36574.50ns INFO [00036576] * RD COMPARE * port=0 adr=00 act=22A655B73BCC39E953 exp=22A655B73BCC39E953 + 36574.50ns INFO [00036576] Port=0 WR @04=8ADDD9D5811E7C5A08 + 36575.50ns INFO [00036577] * RD COMPARE * port=0 adr=00 act=22A655B73BCC39E953 exp=22A655B73BCC39E953 + 36575.50ns INFO [00036577] * RD COMPARE * port=1 adr=05 act=A751C5657C4C2166AB exp=A751C5657C4C2166AB + 36575.50ns INFO [00036577] Port=0 RD @04 + 36575.50ns INFO [00036577] Port=1 RD @03 + 36576.50ns INFO [00036578] Port=0 RD @06 + 36577.50ns INFO [00036579] * RD COMPARE * port=0 adr=04 act=8ADDD9D5811E7C5A08 exp=8ADDD9D5811E7C5A08 + 36577.50ns INFO [00036579] * RD COMPARE * port=1 adr=03 act=60C6F7058FFD91A9CA exp=60C6F7058FFD91A9CA + 36577.50ns INFO [00036579] Port=0 RD @04 + 36577.50ns INFO [00036579] Port=1 RD @03 + 36578.50ns INFO [00036580] * RD COMPARE * port=0 adr=06 act=28800363A01D062A32 exp=28800363A01D062A32 + 36579.50ns INFO [00036581] * RD COMPARE * port=0 adr=04 act=8ADDD9D5811E7C5A08 exp=8ADDD9D5811E7C5A08 + 36579.50ns INFO [00036581] * RD COMPARE * port=1 adr=03 act=60C6F7058FFD91A9CA exp=60C6F7058FFD91A9CA + 36579.50ns INFO [00036581] Port=0 WR @02=F966F2090D6BC89711 + 36579.50ns INFO [00036581] Port=1 RD @04 + 36581.50ns INFO [00036583] * RD COMPARE * port=1 adr=04 act=8ADDD9D5811E7C5A08 exp=8ADDD9D5811E7C5A08 + 36581.50ns INFO [00036583] Port=1 RD @05 + 36583.50ns INFO [00036585] * RD COMPARE * port=1 adr=05 act=A751C5657C4C2166AB exp=A751C5657C4C2166AB + 36583.50ns INFO [00036585] Port=0 WR @07=575A10A78407F75E34 + 36586.50ns INFO [00036588] Port=0 WR @01=068CBFBC0726B4C7F9 + 36586.50ns INFO [00036588] Port=0 RD @00 + 36587.50ns INFO [00036589] Port=1 RD @05 + 36588.50ns INFO [00036590] * RD COMPARE * port=0 adr=00 act=22A655B73BCC39E953 exp=22A655B73BCC39E953 + 36588.50ns INFO [00036590] Port=0 WR @07=C7CE55D0A9770DBA86 + 36588.50ns INFO [00036590] Port=0 RD @00 + 36588.50ns INFO [00036590] Port=1 RD @05 + 36589.50ns INFO [00036591] * RD COMPARE * port=1 adr=05 act=A751C5657C4C2166AB exp=A751C5657C4C2166AB + 36590.50ns INFO [00036592] * RD COMPARE * port=0 adr=00 act=22A655B73BCC39E953 exp=22A655B73BCC39E953 + 36590.50ns INFO [00036592] * RD COMPARE * port=1 adr=05 act=A751C5657C4C2166AB exp=A751C5657C4C2166AB + 36590.50ns INFO [00036592] Port=0 WR @02=C94AC8B666188F0A99 + 36590.50ns INFO [00036592] Port=0 RD @07 + 36592.50ns INFO [00036594] * RD COMPARE * port=0 adr=07 act=C7CE55D0A9770DBA86 exp=C7CE55D0A9770DBA86 + 36592.50ns INFO [00036594] Port=0 WR @01=7E3D623FC1A15FC344 + 36593.50ns INFO [00036595] Port=1 RD @01 + 36594.50ns INFO [00036596] Port=0 WR @05=46D4EC0CE41B18B59F + 36594.50ns INFO [00036596] Port=1 RD @02 + 36595.50ns INFO [00036597] * RD COMPARE * port=1 adr=01 act=7E3D623FC1A15FC344 exp=7E3D623FC1A15FC344 + 36596.50ns INFO [00036598] * RD COMPARE * port=1 adr=02 act=C94AC8B666188F0A99 exp=C94AC8B666188F0A99 + 36596.50ns INFO [00036598] Port=0 RD @06 + 36598.00ns INFO [00036600] [00036600] ...tick... + 36598.50ns INFO [00036600] * RD COMPARE * port=0 adr=06 act=28800363A01D062A32 exp=28800363A01D062A32 + 36600.50ns INFO [00036602] Port=1 RD @01 + 36601.50ns INFO [00036603] Port=0 RD @03 + 36602.50ns INFO [00036604] * RD COMPARE * port=1 adr=01 act=7E3D623FC1A15FC344 exp=7E3D623FC1A15FC344 + 36602.50ns INFO [00036604] Port=0 RD @02 + 36603.50ns INFO [00036605] * RD COMPARE * port=0 adr=03 act=60C6F7058FFD91A9CA exp=60C6F7058FFD91A9CA + 36603.50ns INFO [00036605] Port=0 RD @05 + 36603.50ns INFO [00036605] Port=1 RD @06 + 36604.50ns INFO [00036606] * RD COMPARE * port=0 adr=02 act=C94AC8B666188F0A99 exp=C94AC8B666188F0A99 + 36604.50ns INFO [00036606] Port=0 WR @06=077D0C344C5983774C + 36605.50ns INFO [00036607] * RD COMPARE * port=0 adr=05 act=46D4EC0CE41B18B59F exp=46D4EC0CE41B18B59F + 36605.50ns INFO [00036607] * RD COMPARE * port=1 adr=06 act=28800363A01D062A32 exp=28800363A01D062A32 + 36607.50ns INFO [00036609] Port=0 WR @03=0C456CB858D28A5A28 + 36607.50ns INFO [00036609] Port=1 RD @06 + 36608.50ns INFO [00036610] Port=0 WR @01=5543A0E0929CD10C4A + 36608.50ns INFO [00036610] Port=1 RD @07 + 36609.50ns INFO [00036611] * RD COMPARE * port=1 adr=06 act=077D0C344C5983774C exp=077D0C344C5983774C + 36609.50ns INFO [00036611] Port=0 WR @03=EF3AF73719F17662AF + 36610.50ns INFO [00036612] * RD COMPARE * port=1 adr=07 act=C7CE55D0A9770DBA86 exp=C7CE55D0A9770DBA86 + 36610.50ns INFO [00036612] Port=0 WR @07=89772B05DC93A534BF + 36611.50ns INFO [00036613] Port=0 WR @05=BE031C82AA8A3A2D76 + 36611.50ns INFO [00036613] Port=0 RD @00 + 36612.50ns INFO [00036614] Port=0 WR @06=D9E56B14DDC730949A + 36613.50ns INFO [00036615] * RD COMPARE * port=0 adr=00 act=22A655B73BCC39E953 exp=22A655B73BCC39E953 + 36614.50ns INFO [00036616] Port=0 WR @04=8A3D27BAC7B70A4BFE + 36617.50ns INFO [00036619] Port=0 RD @05 + 36619.50ns INFO [00036621] * RD COMPARE * port=0 adr=05 act=BE031C82AA8A3A2D76 exp=BE031C82AA8A3A2D76 + 36619.50ns INFO [00036621] Port=0 RD @06 + 36621.50ns INFO [00036623] * RD COMPARE * port=0 adr=06 act=D9E56B14DDC730949A exp=D9E56B14DDC730949A + 36621.50ns INFO [00036623] Port=0 WR @05=7F7B99263A8F9B36FB + 36622.50ns INFO [00036624] Port=0 WR @07=FFAFD541B919810A37 + 36623.50ns INFO [00036625] Port=0 WR @05=335DE8904613DD3E32 + 36623.50ns INFO [00036625] Port=0 RD @06 + 36623.50ns INFO [00036625] Port=1 RD @01 + 36624.50ns INFO [00036626] Port=0 WR @02=02B3FD65D75829B025 + 36625.50ns INFO [00036627] * RD COMPARE * port=0 adr=06 act=D9E56B14DDC730949A exp=D9E56B14DDC730949A + 36625.50ns INFO [00036627] * RD COMPARE * port=1 adr=01 act=5543A0E0929CD10C4A exp=5543A0E0929CD10C4A + 36625.50ns INFO [00036627] Port=0 RD @03 + 36626.50ns INFO [00036628] Port=0 RD @00 + 36626.50ns INFO [00036628] Port=1 RD @05 + 36627.50ns INFO [00036629] * RD COMPARE * port=0 adr=03 act=EF3AF73719F17662AF exp=EF3AF73719F17662AF + 36627.50ns INFO [00036629] Port=0 RD @01 + 36628.50ns INFO [00036630] * RD COMPARE * port=0 adr=00 act=22A655B73BCC39E953 exp=22A655B73BCC39E953 + 36628.50ns INFO [00036630] * RD COMPARE * port=1 adr=05 act=335DE8904613DD3E32 exp=335DE8904613DD3E32 + 36628.50ns INFO [00036630] Port=0 RD @02 + 36628.50ns INFO [00036630] Port=1 RD @01 + 36629.50ns INFO [00036631] * RD COMPARE * port=0 adr=01 act=5543A0E0929CD10C4A exp=5543A0E0929CD10C4A + 36630.50ns INFO [00036632] * RD COMPARE * port=0 adr=02 act=02B3FD65D75829B025 exp=02B3FD65D75829B025 + 36630.50ns INFO [00036632] * RD COMPARE * port=1 adr=01 act=5543A0E0929CD10C4A exp=5543A0E0929CD10C4A + 36630.50ns INFO [00036632] Port=0 RD @04 + 36630.50ns INFO [00036632] Port=1 RD @01 + 36632.50ns INFO [00036634] * RD COMPARE * port=0 adr=04 act=8A3D27BAC7B70A4BFE exp=8A3D27BAC7B70A4BFE + 36632.50ns INFO [00036634] * RD COMPARE * port=1 adr=01 act=5543A0E0929CD10C4A exp=5543A0E0929CD10C4A + 36632.50ns INFO [00036634] Port=0 RD @03 + 36633.50ns INFO [00036635] Port=0 WR @05=FE8888E2F0D7EAF807 + 36634.50ns INFO [00036636] * RD COMPARE * port=0 adr=03 act=EF3AF73719F17662AF exp=EF3AF73719F17662AF + 36634.50ns INFO [00036636] Port=0 RD @02 + 36635.50ns INFO [00036637] Port=0 RD @04 + 36635.50ns INFO [00036637] Port=1 RD @06 + 36636.50ns INFO [00036638] * RD COMPARE * port=0 adr=02 act=02B3FD65D75829B025 exp=02B3FD65D75829B025 + 36636.50ns INFO [00036638] Port=0 WR @02=0B89C7B87F51DD8C8F + 36637.50ns INFO [00036639] * RD COMPARE * port=0 adr=04 act=8A3D27BAC7B70A4BFE exp=8A3D27BAC7B70A4BFE + 36637.50ns INFO [00036639] * RD COMPARE * port=1 adr=06 act=D9E56B14DDC730949A exp=D9E56B14DDC730949A + 36637.50ns INFO [00036639] Port=1 RD @04 + 36638.50ns INFO [00036640] Port=0 WR @02=6171842764193E6D8A + 36639.50ns INFO [00036641] * RD COMPARE * port=1 adr=04 act=8A3D27BAC7B70A4BFE exp=8A3D27BAC7B70A4BFE + 36639.50ns INFO [00036641] Port=0 RD @04 + 36639.50ns INFO [00036641] Port=1 RD @02 + 36640.50ns INFO [00036642] Port=0 RD @05 + 36640.50ns INFO [00036642] Port=1 RD @02 + 36641.50ns INFO [00036643] * RD COMPARE * port=0 adr=04 act=8A3D27BAC7B70A4BFE exp=8A3D27BAC7B70A4BFE + 36641.50ns INFO [00036643] * RD COMPARE * port=1 adr=02 act=6171842764193E6D8A exp=6171842764193E6D8A + 36641.50ns INFO [00036643] Port=1 RD @03 + 36642.50ns INFO [00036644] * RD COMPARE * port=0 adr=05 act=FE8888E2F0D7EAF807 exp=FE8888E2F0D7EAF807 + 36642.50ns INFO [00036644] * RD COMPARE * port=1 adr=02 act=6171842764193E6D8A exp=6171842764193E6D8A + 36642.50ns INFO [00036644] Port=0 WR @01=252DA4A8EE9678C609 + 36642.50ns INFO [00036644] Port=1 RD @07 + 36643.50ns INFO [00036645] * RD COMPARE * port=1 adr=03 act=EF3AF73719F17662AF exp=EF3AF73719F17662AF + 36643.50ns INFO [00036645] Port=0 RD @07 + 36644.50ns INFO [00036646] * RD COMPARE * port=1 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36644.50ns INFO [00036646] Port=0 RD @07 + 36644.50ns INFO [00036646] Port=1 RD @03 + 36645.50ns INFO [00036647] * RD COMPARE * port=0 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36645.50ns INFO [00036647] Port=0 WR @06=05A3717617631D6905 + 36646.50ns INFO [00036648] * RD COMPARE * port=0 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36646.50ns INFO [00036648] * RD COMPARE * port=1 adr=03 act=EF3AF73719F17662AF exp=EF3AF73719F17662AF + 36646.50ns INFO [00036648] Port=0 RD @05 + 36646.50ns INFO [00036648] Port=1 RD @00 + 36647.50ns INFO [00036649] Port=1 RD @07 + 36648.50ns INFO [00036650] * RD COMPARE * port=0 adr=05 act=FE8888E2F0D7EAF807 exp=FE8888E2F0D7EAF807 + 36648.50ns INFO [00036650] * RD COMPARE * port=1 adr=00 act=22A655B73BCC39E953 exp=22A655B73BCC39E953 + 36648.50ns INFO [00036650] Port=0 WR @06=6C1AAA7A24C645DA3C + 36648.50ns INFO [00036650] Port=0 RD @07 + 36649.50ns INFO [00036651] * RD COMPARE * port=1 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36650.50ns INFO [00036652] * RD COMPARE * port=0 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36650.50ns INFO [00036652] Port=0 WR @00=668F0485FE4D00C759 + 36650.50ns INFO [00036652] Port=0 RD @03 + 36651.50ns INFO [00036653] Port=0 RD @05 + 36651.50ns INFO [00036653] Port=1 RD @02 + 36652.50ns INFO [00036654] * RD COMPARE * port=0 adr=03 act=EF3AF73719F17662AF exp=EF3AF73719F17662AF + 36652.50ns INFO [00036654] Port=0 WR @04=55E869BC42201E54DB + 36652.50ns INFO [00036654] Port=0 RD @07 + 36653.50ns INFO [00036655] * RD COMPARE * port=0 adr=05 act=FE8888E2F0D7EAF807 exp=FE8888E2F0D7EAF807 + 36653.50ns INFO [00036655] * RD COMPARE * port=1 adr=02 act=6171842764193E6D8A exp=6171842764193E6D8A + 36654.50ns INFO [00036656] * RD COMPARE * port=0 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36654.50ns INFO [00036656] Port=0 WR @02=3BBDD95189F2CEDA70 + 36655.50ns INFO [00036657] Port=0 RD @00 + 36655.50ns INFO [00036657] Port=1 RD @01 + 36656.50ns INFO [00036658] Port=0 WR @01=ECAAC9386C5A16B1FA + 36656.50ns INFO [00036658] Port=1 RD @02 + 36657.50ns INFO [00036659] * RD COMPARE * port=0 adr=00 act=668F0485FE4D00C759 exp=668F0485FE4D00C759 + 36657.50ns INFO [00036659] * RD COMPARE * port=1 adr=01 act=252DA4A8EE9678C609 exp=252DA4A8EE9678C609 + 36657.50ns INFO [00036659] Port=0 WR @00=3E78E50305DC3F5682 + 36658.50ns INFO [00036660] * RD COMPARE * port=1 adr=02 act=3BBDD95189F2CEDA70 exp=3BBDD95189F2CEDA70 + 36658.50ns INFO [00036660] Port=0 WR @03=6BC9E46F024A790852 + 36658.50ns INFO [00036660] Port=1 RD @04 + 36659.50ns INFO [00036661] Port=0 WR @00=84EEE06C0C7F9518ED + 36659.50ns INFO [00036661] Port=0 RD @04 + 36659.50ns INFO [00036661] Port=1 RD @02 + 36660.50ns INFO [00036662] * RD COMPARE * port=1 adr=04 act=55E869BC42201E54DB exp=55E869BC42201E54DB + 36660.50ns INFO [00036662] Port=0 RD @05 + 36661.50ns INFO [00036663] * RD COMPARE * port=0 adr=04 act=55E869BC42201E54DB exp=55E869BC42201E54DB + 36661.50ns INFO [00036663] * RD COMPARE * port=1 adr=02 act=3BBDD95189F2CEDA70 exp=3BBDD95189F2CEDA70 + 36661.50ns INFO [00036663] Port=0 WR @01=69EAC88005FD8ADA4C + 36661.50ns INFO [00036663] Port=0 RD @07 + 36662.50ns INFO [00036664] * RD COMPARE * port=0 adr=05 act=FE8888E2F0D7EAF807 exp=FE8888E2F0D7EAF807 + 36662.50ns INFO [00036664] Port=0 RD @02 + 36663.50ns INFO [00036665] * RD COMPARE * port=0 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36663.50ns INFO [00036665] Port=0 WR @02=6EA207B2A4177DB465 + 36663.50ns INFO [00036665] Port=0 RD @00 + 36664.50ns INFO [00036666] * RD COMPARE * port=0 adr=02 act=3BBDD95189F2CEDA70 exp=3BBDD95189F2CEDA70 + 36664.50ns INFO [00036666] Port=0 RD @05 + 36665.50ns INFO [00036667] * RD COMPARE * port=0 adr=00 act=84EEE06C0C7F9518ED exp=84EEE06C0C7F9518ED + 36665.50ns INFO [00036667] Port=0 RD @00 + 36666.50ns INFO [00036668] * RD COMPARE * port=0 adr=05 act=FE8888E2F0D7EAF807 exp=FE8888E2F0D7EAF807 + 36666.50ns INFO [00036668] Port=0 RD @04 + 36667.50ns INFO [00036669] * RD COMPARE * port=0 adr=00 act=84EEE06C0C7F9518ED exp=84EEE06C0C7F9518ED + 36667.50ns INFO [00036669] Port=0 WR @03=955811769A6B49070D + 36668.50ns INFO [00036670] * RD COMPARE * port=0 adr=04 act=55E869BC42201E54DB exp=55E869BC42201E54DB + 36668.50ns INFO [00036670] Port=1 RD @01 + 36670.50ns INFO [00036672] * RD COMPARE * port=1 adr=01 act=69EAC88005FD8ADA4C exp=69EAC88005FD8ADA4C + 36670.50ns INFO [00036672] Port=0 WR @06=0EBD6DB801D6E1A279 + 36670.50ns INFO [00036672] Port=1 RD @01 + 36671.50ns INFO [00036673] Port=1 RD @03 + 36672.50ns INFO [00036674] * RD COMPARE * port=1 adr=01 act=69EAC88005FD8ADA4C exp=69EAC88005FD8ADA4C + 36673.50ns INFO [00036675] * RD COMPARE * port=1 adr=03 act=955811769A6B49070D exp=955811769A6B49070D + 36673.50ns INFO [00036675] Port=0 RD @07 + 36674.50ns INFO [00036676] Port=0 WR @01=8637E0B3C7D3AF9243 + 36674.50ns INFO [00036676] Port=0 RD @05 + 36675.50ns INFO [00036677] * RD COMPARE * port=0 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36675.50ns INFO [00036677] Port=0 WR @05=072064DE2EA771481F + 36675.50ns INFO [00036677] Port=0 RD @02 + 36675.50ns INFO [00036677] Port=1 RD @00 + 36676.50ns INFO [00036678] * RD COMPARE * port=0 adr=05 act=FE8888E2F0D7EAF807 exp=FE8888E2F0D7EAF807 + 36676.50ns INFO [00036678] Port=0 RD @01 + 36677.50ns INFO [00036679] * RD COMPARE * port=0 adr=02 act=6EA207B2A4177DB465 exp=6EA207B2A4177DB465 + 36677.50ns INFO [00036679] * RD COMPARE * port=1 adr=00 act=84EEE06C0C7F9518ED exp=84EEE06C0C7F9518ED + 36677.50ns INFO [00036679] Port=0 RD @01 + 36678.50ns INFO [00036680] * RD COMPARE * port=0 adr=01 act=8637E0B3C7D3AF9243 exp=8637E0B3C7D3AF9243 + 36678.50ns INFO [00036680] Port=0 RD @03 + 36679.50ns INFO [00036681] * RD COMPARE * port=0 adr=01 act=8637E0B3C7D3AF9243 exp=8637E0B3C7D3AF9243 + 36679.50ns INFO [00036681] Port=1 RD @02 + 36680.50ns INFO [00036682] * RD COMPARE * port=0 adr=03 act=955811769A6B49070D exp=955811769A6B49070D + 36680.50ns INFO [00036682] Port=0 RD @01 + 36681.50ns INFO [00036683] * RD COMPARE * port=1 adr=02 act=6EA207B2A4177DB465 exp=6EA207B2A4177DB465 + 36682.50ns INFO [00036684] * RD COMPARE * port=0 adr=01 act=8637E0B3C7D3AF9243 exp=8637E0B3C7D3AF9243 + 36683.50ns INFO [00036685] Port=0 RD @06 + 36683.50ns INFO [00036685] Port=1 RD @04 + 36684.50ns INFO [00036686] Port=0 RD @06 + 36684.50ns INFO [00036686] Port=1 RD @02 + 36685.50ns INFO [00036687] * RD COMPARE * port=0 adr=06 act=0EBD6DB801D6E1A279 exp=0EBD6DB801D6E1A279 + 36685.50ns INFO [00036687] * RD COMPARE * port=1 adr=04 act=55E869BC42201E54DB exp=55E869BC42201E54DB + 36686.50ns INFO [00036688] * RD COMPARE * port=0 adr=06 act=0EBD6DB801D6E1A279 exp=0EBD6DB801D6E1A279 + 36686.50ns INFO [00036688] * RD COMPARE * port=1 adr=02 act=6EA207B2A4177DB465 exp=6EA207B2A4177DB465 + 36687.50ns INFO [00036689] Port=0 WR @03=2146959088427E84DF + 36687.50ns INFO [00036689] Port=1 RD @05 + 36688.50ns INFO [00036690] Port=0 RD @06 + 36689.50ns INFO [00036691] * RD COMPARE * port=1 adr=05 act=072064DE2EA771481F exp=072064DE2EA771481F + 36690.50ns INFO [00036692] * RD COMPARE * port=0 adr=06 act=0EBD6DB801D6E1A279 exp=0EBD6DB801D6E1A279 + 36692.50ns INFO [00036694] Port=0 RD @07 + 36692.50ns INFO [00036694] Port=1 RD @06 + 36694.50ns INFO [00036696] * RD COMPARE * port=0 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36694.50ns INFO [00036696] * RD COMPARE * port=1 adr=06 act=0EBD6DB801D6E1A279 exp=0EBD6DB801D6E1A279 + 36694.50ns INFO [00036696] Port=1 RD @07 + 36695.50ns INFO [00036697] Port=0 WR @05=CDE8635816793E1B59 + 36696.50ns INFO [00036698] * RD COMPARE * port=1 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36696.50ns INFO [00036698] Port=0 WR @01=B5E2FF5D9557A1FCEF + 36696.50ns INFO [00036698] Port=0 RD @02 + 36697.50ns INFO [00036699] Port=0 WR @05=4C1017D68E5983F9D3 + 36697.50ns INFO [00036699] Port=0 RD @06 + 36698.00ns INFO [00036700] [00036700] ...tick... + 36698.50ns INFO [00036700] * RD COMPARE * port=0 adr=02 act=6EA207B2A4177DB465 exp=6EA207B2A4177DB465 + 36698.50ns INFO [00036700] Port=0 WR @05=1E1EF0D2240198CC9E + 36698.50ns INFO [00036700] Port=1 RD @07 + 36699.50ns INFO [00036701] * RD COMPARE * port=0 adr=06 act=0EBD6DB801D6E1A279 exp=0EBD6DB801D6E1A279 + 36700.50ns INFO [00036702] * RD COMPARE * port=1 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36701.50ns INFO [00036703] Port=1 RD @00 + 36702.50ns INFO [00036704] Port=0 RD @07 + 36702.50ns INFO [00036704] Port=1 RD @03 + 36703.50ns INFO [00036705] * RD COMPARE * port=1 adr=00 act=84EEE06C0C7F9518ED exp=84EEE06C0C7F9518ED + 36703.50ns INFO [00036705] Port=0 WR @07=5B59D2984471266600 + 36703.50ns INFO [00036705] Port=0 RD @04 + 36703.50ns INFO [00036705] Port=1 RD @05 + 36704.50ns INFO [00036706] * RD COMPARE * port=0 adr=07 act=FFAFD541B919810A37 exp=FFAFD541B919810A37 + 36704.50ns INFO [00036706] * RD COMPARE * port=1 adr=03 act=2146959088427E84DF exp=2146959088427E84DF + 36704.50ns INFO [00036706] Port=0 RD @07 + 36705.50ns INFO [00036707] * RD COMPARE * port=0 adr=04 act=55E869BC42201E54DB exp=55E869BC42201E54DB + 36705.50ns INFO [00036707] * RD COMPARE * port=1 adr=05 act=1E1EF0D2240198CC9E exp=1E1EF0D2240198CC9E + 36705.50ns INFO [00036707] Port=1 RD @01 + 36706.50ns INFO [00036708] * RD COMPARE * port=0 adr=07 act=5B59D2984471266600 exp=5B59D2984471266600 + 36706.50ns INFO [00036708] Port=0 WR @00=094FDA5F99CECD1307 + 36707.50ns INFO [00036709] * RD COMPARE * port=1 adr=01 act=B5E2FF5D9557A1FCEF exp=B5E2FF5D9557A1FCEF + 36707.50ns INFO [00036709] Port=0 RD @04 + 36709.50ns INFO [00036711] * RD COMPARE * port=0 adr=04 act=55E869BC42201E54DB exp=55E869BC42201E54DB + 36710.50ns INFO [00036712] Port=0 RD @02 + 36710.50ns INFO [00036712] Port=1 RD @07 + 36711.50ns INFO [00036713] Port=0 RD @00 + 36711.50ns INFO [00036713] Port=1 RD @02 + 36712.50ns INFO [00036714] * RD COMPARE * port=0 adr=02 act=6EA207B2A4177DB465 exp=6EA207B2A4177DB465 + 36712.50ns INFO [00036714] * RD COMPARE * port=1 adr=07 act=5B59D2984471266600 exp=5B59D2984471266600 + 36713.50ns INFO [00036715] * RD COMPARE * port=0 adr=00 act=094FDA5F99CECD1307 exp=094FDA5F99CECD1307 + 36713.50ns INFO [00036715] * RD COMPARE * port=1 adr=02 act=6EA207B2A4177DB465 exp=6EA207B2A4177DB465 + 36713.50ns INFO [00036715] Port=1 RD @06 + 36714.50ns INFO [00036716] Port=0 RD @06 + 36714.50ns INFO [00036716] Port=1 RD @00 + 36715.50ns INFO [00036717] * RD COMPARE * port=1 adr=06 act=0EBD6DB801D6E1A279 exp=0EBD6DB801D6E1A279 + 36716.50ns INFO [00036718] * RD COMPARE * port=0 adr=06 act=0EBD6DB801D6E1A279 exp=0EBD6DB801D6E1A279 + 36716.50ns INFO [00036718] * RD COMPARE * port=1 adr=00 act=094FDA5F99CECD1307 exp=094FDA5F99CECD1307 + 36717.50ns INFO [00036719] Port=1 RD @01 + 36718.50ns INFO [00036720] Port=0 RD @03 + 36718.50ns INFO [00036720] Port=1 RD @01 + 36719.50ns INFO [00036721] * RD COMPARE * port=1 adr=01 act=B5E2FF5D9557A1FCEF exp=B5E2FF5D9557A1FCEF + 36720.50ns INFO [00036722] * RD COMPARE * port=0 adr=03 act=2146959088427E84DF exp=2146959088427E84DF + 36720.50ns INFO [00036722] * RD COMPARE * port=1 adr=01 act=B5E2FF5D9557A1FCEF exp=B5E2FF5D9557A1FCEF + 36720.50ns INFO [00036722] Port=0 WR @00=EF68298DB904CFEEEA + 36720.50ns INFO [00036722] Port=0 RD @06 + 36720.50ns INFO [00036722] Port=1 RD @06 + 36722.50ns INFO [00036724] * RD COMPARE * port=0 adr=06 act=0EBD6DB801D6E1A279 exp=0EBD6DB801D6E1A279 + 36722.50ns INFO [00036724] * RD COMPARE * port=1 adr=06 act=0EBD6DB801D6E1A279 exp=0EBD6DB801D6E1A279 + 36722.50ns INFO [00036724] Port=1 RD @06 + 36723.50ns INFO [00036725] Port=0 RD @00 + 36724.50ns INFO [00036726] * RD COMPARE * port=1 adr=06 act=0EBD6DB801D6E1A279 exp=0EBD6DB801D6E1A279 + 36724.50ns INFO [00036726] Port=0 RD @07 + 36724.50ns INFO [00036726] Port=1 RD @03 + 36725.50ns INFO [00036727] * RD COMPARE * port=0 adr=00 act=EF68298DB904CFEEEA exp=EF68298DB904CFEEEA + 36725.50ns INFO [00036727] Port=0 WR @00=34659AAF18AC03A56C + 36726.50ns INFO [00036728] * RD COMPARE * port=0 adr=07 act=5B59D2984471266600 exp=5B59D2984471266600 + 36726.50ns INFO [00036728] * RD COMPARE * port=1 adr=03 act=2146959088427E84DF exp=2146959088427E84DF + 36726.50ns INFO [00036728] Port=0 WR @02=AE6199FA4E29394FA2 + 36726.50ns INFO [00036728] Port=0 RD @03 + 36726.50ns INFO [00036728] Port=1 RD @07 + 36727.50ns INFO [00036729] Port=0 RD @01 + 36727.50ns INFO [00036729] Port=1 RD @02 + 36728.50ns INFO [00036730] * RD COMPARE * port=0 adr=03 act=2146959088427E84DF exp=2146959088427E84DF + 36728.50ns INFO [00036730] * RD COMPARE * port=1 adr=07 act=5B59D2984471266600 exp=5B59D2984471266600 + 36728.50ns INFO [00036730] Port=0 RD @03 + 36728.50ns INFO [00036730] Port=1 RD @03 + 36729.50ns INFO [00036731] * RD COMPARE * port=0 adr=01 act=B5E2FF5D9557A1FCEF exp=B5E2FF5D9557A1FCEF + 36729.50ns INFO [00036731] * RD COMPARE * port=1 adr=02 act=AE6199FA4E29394FA2 exp=AE6199FA4E29394FA2 + 36729.50ns INFO [00036731] Port=0 WR @01=A5553278F7B1B97CE9 + 36729.50ns INFO [00036731] Port=0 RD @00 + 36730.50ns INFO [00036732] * RD COMPARE * port=0 adr=03 act=2146959088427E84DF exp=2146959088427E84DF + 36730.50ns INFO [00036732] * RD COMPARE * port=1 adr=03 act=2146959088427E84DF exp=2146959088427E84DF + 36730.50ns INFO [00036732] Port=0 WR @06=0803EF15C9CF453DCF + 36730.50ns INFO [00036732] Port=1 RD @01 + 36731.50ns INFO [00036733] * RD COMPARE * port=0 adr=00 act=34659AAF18AC03A56C exp=34659AAF18AC03A56C + 36731.50ns INFO [00036733] Port=0 WR @01=B4C76F193D01C363B0 + 36732.50ns INFO [00036734] * RD COMPARE * port=1 adr=01 act=A5553278F7B1B97CE9 exp=A5553278F7B1B97CE9 + 36732.50ns INFO [00036734] Port=0 RD @07 + 36734.50ns INFO [00036736] * RD COMPARE * port=0 adr=07 act=5B59D2984471266600 exp=5B59D2984471266600 + 36735.50ns INFO [00036737] Port=0 WR @05=AB176496D0C57138DE + 36736.50ns INFO [00036738] Port=1 RD @06 + 36738.50ns INFO [00036740] * RD COMPARE * port=1 adr=06 act=0803EF15C9CF453DCF exp=0803EF15C9CF453DCF + 36738.50ns INFO [00036740] Port=0 WR @07=5B38B54E86A81F57F6 + 36738.50ns INFO [00036740] Port=0 RD @06 + 36739.50ns INFO [00036741] Port=0 RD @00 + 36739.50ns INFO [00036741] Port=1 RD @03 + 36740.50ns INFO [00036742] * RD COMPARE * port=0 adr=06 act=0803EF15C9CF453DCF exp=0803EF15C9CF453DCF + 36740.50ns INFO [00036742] Port=0 WR @05=D72297A4F608D390CF + 36740.50ns INFO [00036742] Port=1 RD @02 + 36741.50ns INFO [00036743] * RD COMPARE * port=0 adr=00 act=34659AAF18AC03A56C exp=34659AAF18AC03A56C + 36741.50ns INFO [00036743] * RD COMPARE * port=1 adr=03 act=2146959088427E84DF exp=2146959088427E84DF + 36741.50ns INFO [00036743] Port=0 WR @05=FB96BA8F390BF5052C + 36742.50ns INFO [00036744] * RD COMPARE * port=1 adr=02 act=AE6199FA4E29394FA2 exp=AE6199FA4E29394FA2 + 36742.50ns INFO [00036744] Port=1 RD @00 + 36743.50ns INFO [00036745] Port=0 WR @03=6FAB83C9FA010C6380 + 36743.50ns INFO [00036745] Port=1 RD @04 + 36744.50ns INFO [00036746] * RD COMPARE * port=1 adr=00 act=34659AAF18AC03A56C exp=34659AAF18AC03A56C + 36744.50ns INFO [00036746] Port=0 RD @07 + 36745.50ns INFO [00036747] * RD COMPARE * port=1 adr=04 act=55E869BC42201E54DB exp=55E869BC42201E54DB + 36745.50ns INFO [00036747] Port=1 RD @06 + 36746.50ns INFO [00036748] * RD COMPARE * port=0 adr=07 act=5B38B54E86A81F57F6 exp=5B38B54E86A81F57F6 + 36746.50ns INFO [00036748] Port=0 RD @07 + 36746.50ns INFO [00036748] Port=1 RD @01 + 36747.50ns INFO [00036749] * RD COMPARE * port=1 adr=06 act=0803EF15C9CF453DCF exp=0803EF15C9CF453DCF + 36747.50ns INFO [00036749] Port=0 RD @06 + 36748.50ns INFO [00036750] * RD COMPARE * port=0 adr=07 act=5B38B54E86A81F57F6 exp=5B38B54E86A81F57F6 + 36748.50ns INFO [00036750] * RD COMPARE * port=1 adr=01 act=B4C76F193D01C363B0 exp=B4C76F193D01C363B0 + 36749.50ns INFO [00036751] * RD COMPARE * port=0 adr=06 act=0803EF15C9CF453DCF exp=0803EF15C9CF453DCF + 36749.50ns INFO [00036751] Port=0 WR @01=FC7116D08FDD286C87 + 36752.50ns INFO [00036754] Port=0 RD @04 + 36752.50ns INFO [00036754] Port=1 RD @02 + 36753.50ns INFO [00036755] Port=1 RD @03 + 36754.50ns INFO [00036756] * RD COMPARE * port=0 adr=04 act=55E869BC42201E54DB exp=55E869BC42201E54DB + 36754.50ns INFO [00036756] * RD COMPARE * port=1 adr=02 act=AE6199FA4E29394FA2 exp=AE6199FA4E29394FA2 + 36754.50ns INFO [00036756] Port=0 WR @04=C3CA9C11CE2B012B94 + 36754.50ns INFO [00036756] Port=0 RD @03 + 36754.50ns INFO [00036756] Port=1 RD @02 + 36755.50ns INFO [00036757] * RD COMPARE * port=1 adr=03 act=6FAB83C9FA010C6380 exp=6FAB83C9FA010C6380 + 36755.50ns INFO [00036757] Port=0 WR @03=6289DE0670E33DBEDF + 36755.50ns INFO [00036757] Port=1 RD @05 + 36756.50ns INFO [00036758] * RD COMPARE * port=0 adr=03 act=6FAB83C9FA010C6380 exp=6FAB83C9FA010C6380 + 36756.50ns INFO [00036758] * RD COMPARE * port=1 adr=02 act=AE6199FA4E29394FA2 exp=AE6199FA4E29394FA2 + 36756.50ns INFO [00036758] Port=0 RD @01 + 36757.50ns INFO [00036759] * RD COMPARE * port=1 adr=05 act=FB96BA8F390BF5052C exp=FB96BA8F390BF5052C + 36757.50ns INFO [00036759] Port=0 WR @03=A5881ABE8977FF44FD + 36757.50ns INFO [00036759] Port=0 RD @06 + 36757.50ns INFO [00036759] Port=1 RD @06 + 36758.50ns INFO [00036760] * RD COMPARE * port=0 adr=01 act=FC7116D08FDD286C87 exp=FC7116D08FDD286C87 + 36758.50ns INFO [00036760] Port=0 WR @07=133A85FF0B9AE9C59E + 36758.50ns INFO [00036760] Port=0 RD @04 + 36759.50ns INFO [00036761] * RD COMPARE * port=0 adr=06 act=0803EF15C9CF453DCF exp=0803EF15C9CF453DCF + 36759.50ns INFO [00036761] * RD COMPARE * port=1 adr=06 act=0803EF15C9CF453DCF exp=0803EF15C9CF453DCF + 36759.50ns INFO [00036761] Port=0 RD @01 + 36759.50ns INFO [00036761] Port=1 RD @03 + 36760.50ns INFO [00036762] * RD COMPARE * port=0 adr=04 act=C3CA9C11CE2B012B94 exp=C3CA9C11CE2B012B94 + 36760.50ns INFO [00036762] Port=0 RD @02 + 36760.50ns INFO [00036762] Port=1 RD @01 + 36761.50ns INFO [00036763] * RD COMPARE * port=0 adr=01 act=FC7116D08FDD286C87 exp=FC7116D08FDD286C87 + 36761.50ns INFO [00036763] * RD COMPARE * port=1 adr=03 act=A5881ABE8977FF44FD exp=A5881ABE8977FF44FD + 36761.50ns INFO [00036763] Port=0 WR @06=A5A31AD60397EF1023 + 36761.50ns INFO [00036763] Port=1 RD @03 + 36762.50ns INFO [00036764] * RD COMPARE * port=0 adr=02 act=AE6199FA4E29394FA2 exp=AE6199FA4E29394FA2 + 36762.50ns INFO [00036764] * RD COMPARE * port=1 adr=01 act=FC7116D08FDD286C87 exp=FC7116D08FDD286C87 + 36762.50ns INFO [00036764] Port=1 RD @03 + 36763.50ns INFO [00036765] * RD COMPARE * port=1 adr=03 act=A5881ABE8977FF44FD exp=A5881ABE8977FF44FD + 36763.50ns INFO [00036765] Port=0 WR @05=CA231E0F154ED484AA + 36763.50ns INFO [00036765] Port=0 RD @04 + 36764.50ns INFO [00036766] * RD COMPARE * port=1 adr=03 act=A5881ABE8977FF44FD exp=A5881ABE8977FF44FD + 36764.50ns INFO [00036766] Port=1 RD @06 + 36765.50ns INFO [00036767] * RD COMPARE * port=0 adr=04 act=C3CA9C11CE2B012B94 exp=C3CA9C11CE2B012B94 + 36765.50ns INFO [00036767] Port=1 RD @02 + 36766.50ns INFO [00036768] * RD COMPARE * port=1 adr=06 act=A5A31AD60397EF1023 exp=A5A31AD60397EF1023 + 36767.50ns INFO [00036769] * RD COMPARE * port=1 adr=02 act=AE6199FA4E29394FA2 exp=AE6199FA4E29394FA2 + 36767.50ns INFO [00036769] Port=1 RD @01 + 36768.50ns INFO [00036770] Port=1 RD @06 + 36769.50ns INFO [00036771] * RD COMPARE * port=1 adr=01 act=FC7116D08FDD286C87 exp=FC7116D08FDD286C87 + 36769.50ns INFO [00036771] Port=0 WR @03=D9279B92A038A9BA28 + 36769.50ns INFO [00036771] Port=1 RD @07 + 36770.50ns INFO [00036772] * RD COMPARE * port=1 adr=06 act=A5A31AD60397EF1023 exp=A5A31AD60397EF1023 + 36770.50ns INFO [00036772] Port=0 WR @05=69BBFA7B75D773CCEE + 36771.50ns INFO [00036773] * RD COMPARE * port=1 adr=07 act=133A85FF0B9AE9C59E exp=133A85FF0B9AE9C59E + 36773.50ns INFO [00036775] Port=0 WR @02=D595B7EDFCC6756BCE + 36775.50ns INFO [00036777] Port=0 RD @06 + 36776.50ns INFO [00036778] Port=0 WR @07=A8658975D2937AB2C3 + 36776.50ns INFO [00036778] Port=1 RD @06 + 36777.50ns INFO [00036779] * RD COMPARE * port=0 adr=06 act=A5A31AD60397EF1023 exp=A5A31AD60397EF1023 + 36777.50ns INFO [00036779] Port=0 WR @06=73F199C14BAFAB753C + 36777.50ns INFO [00036779] Port=1 RD @07 + 36778.50ns INFO [00036780] * RD COMPARE * port=1 adr=06 act=A5A31AD60397EF1023 exp=A5A31AD60397EF1023 + 36778.50ns INFO [00036780] Port=0 RD @01 + 36779.50ns INFO [00036781] * RD COMPARE * port=1 adr=07 act=A8658975D2937AB2C3 exp=A8658975D2937AB2C3 + 36780.50ns INFO [00036782] * RD COMPARE * port=0 adr=01 act=FC7116D08FDD286C87 exp=FC7116D08FDD286C87 + 36781.50ns INFO [00036783] Port=1 RD @07 + 36782.50ns INFO [00036784] Port=0 WR @02=AFBE5DFF320BEDD20D + 36783.50ns INFO [00036785] * RD COMPARE * port=1 adr=07 act=A8658975D2937AB2C3 exp=A8658975D2937AB2C3 + 36783.50ns INFO [00036785] Port=0 WR @05=3F37EED1C109499682 + 36783.50ns INFO [00036785] Port=1 RD @02 + 36784.50ns INFO [00036786] Port=0 WR @05=45763886B0F299B967 + 36784.50ns INFO [00036786] Port=0 RD @04 + 36785.50ns INFO [00036787] * RD COMPARE * port=1 adr=02 act=AFBE5DFF320BEDD20D exp=AFBE5DFF320BEDD20D + 36786.50ns INFO [00036788] * RD COMPARE * port=0 adr=04 act=C3CA9C11CE2B012B94 exp=C3CA9C11CE2B012B94 + 36786.50ns INFO [00036788] Port=0 WR @06=6856791C2C7F353D48 + 36786.50ns INFO [00036788] Port=1 RD @03 + 36787.50ns INFO [00036789] Port=0 RD @00 + 36788.50ns INFO [00036790] * RD COMPARE * port=1 adr=03 act=D9279B92A038A9BA28 exp=D9279B92A038A9BA28 + 36788.50ns INFO [00036790] Port=0 WR @04=6449C4A42AC7CF5E40 + 36788.50ns INFO [00036790] Port=1 RD @05 + 36789.50ns INFO [00036791] * RD COMPARE * port=0 adr=00 act=34659AAF18AC03A56C exp=34659AAF18AC03A56C + 36789.50ns INFO [00036791] Port=0 WR @02=7CBD7C4CB3A81F9266 + 36789.50ns INFO [00036791] Port=1 RD @04 + 36790.50ns INFO [00036792] * RD COMPARE * port=1 adr=05 act=45763886B0F299B967 exp=45763886B0F299B967 + 36790.50ns INFO [00036792] Port=0 WR @07=A7DF10CC23D1BEE33B + 36790.50ns INFO [00036792] Port=1 RD @05 + 36791.50ns INFO [00036793] * RD COMPARE * port=1 adr=04 act=6449C4A42AC7CF5E40 exp=6449C4A42AC7CF5E40 + 36791.50ns INFO [00036793] Port=0 WR @05=80AC833ADD784C4026 + 36791.50ns INFO [00036793] Port=1 RD @07 + 36792.50ns INFO [00036794] * RD COMPARE * port=1 adr=05 act=45763886B0F299B967 exp=45763886B0F299B967 + 36792.50ns INFO [00036794] Port=0 RD @07 + 36792.50ns INFO [00036794] Port=1 RD @01 + 36793.50ns INFO [00036795] * RD COMPARE * port=1 adr=07 act=A7DF10CC23D1BEE33B exp=A7DF10CC23D1BEE33B + 36793.50ns INFO [00036795] Port=0 WR @07=6ECFA0E8064F90E1DE + 36793.50ns INFO [00036795] Port=0 RD @06 + 36794.50ns INFO [00036796] * RD COMPARE * port=0 adr=07 act=A7DF10CC23D1BEE33B exp=A7DF10CC23D1BEE33B + 36794.50ns INFO [00036796] * RD COMPARE * port=1 adr=01 act=FC7116D08FDD286C87 exp=FC7116D08FDD286C87 + 36794.50ns INFO [00036796] Port=0 WR @03=631296331C8F30366C + 36795.50ns INFO [00036797] * RD COMPARE * port=0 adr=06 act=6856791C2C7F353D48 exp=6856791C2C7F353D48 + 36795.50ns INFO [00036797] Port=0 WR @05=4E148FB88CFA70B9C3 + 36795.50ns INFO [00036797] Port=0 RD @00 + 36796.50ns INFO [00036798] Port=0 WR @00=04ED811A4D714CB551 + 36796.50ns INFO [00036798] Port=0 RD @03 + 36796.50ns INFO [00036798] Port=1 RD @07 + 36797.50ns INFO [00036799] * RD COMPARE * port=0 adr=00 act=34659AAF18AC03A56C exp=34659AAF18AC03A56C + 36797.50ns INFO [00036799] Port=0 RD @01 + 36798.00ns INFO [00036800] [00036800] ...tick... + 36798.50ns INFO [00036800] * RD COMPARE * port=0 adr=03 act=631296331C8F30366C exp=631296331C8F30366C + 36798.50ns INFO [00036800] * RD COMPARE * port=1 adr=07 act=6ECFA0E8064F90E1DE exp=6ECFA0E8064F90E1DE + 36799.50ns INFO [00036801] * RD COMPARE * port=0 adr=01 act=FC7116D08FDD286C87 exp=FC7116D08FDD286C87 + 36799.50ns INFO [00036801] Port=0 WR @05=6264EAB67D495E1FD4 + 36799.50ns INFO [00036801] Port=0 RD @04 + 36800.50ns INFO [00036802] Port=0 WR @00=AB88D4F957C72E0952 + 36800.50ns INFO [00036802] Port=1 RD @07 + 36801.50ns INFO [00036803] * RD COMPARE * port=0 adr=04 act=6449C4A42AC7CF5E40 exp=6449C4A42AC7CF5E40 + 36801.50ns INFO [00036803] Port=0 WR @00=55A1E9E9755A88FE8A + 36801.50ns INFO [00036803] Port=0 RD @07 + 36801.50ns INFO [00036803] Port=1 RD @03 + 36802.50ns INFO [00036804] * RD COMPARE * port=1 adr=07 act=6ECFA0E8064F90E1DE exp=6ECFA0E8064F90E1DE + 36802.50ns INFO [00036804] Port=0 RD @06 + 36802.50ns INFO [00036804] Port=1 RD @02 + 36803.50ns INFO [00036805] * RD COMPARE * port=0 adr=07 act=6ECFA0E8064F90E1DE exp=6ECFA0E8064F90E1DE + 36803.50ns INFO [00036805] * RD COMPARE * port=1 adr=03 act=631296331C8F30366C exp=631296331C8F30366C + 36803.50ns INFO [00036805] Port=0 RD @00 + 36803.50ns INFO [00036805] Port=1 RD @03 + 36804.50ns INFO [00036806] * RD COMPARE * port=0 adr=06 act=6856791C2C7F353D48 exp=6856791C2C7F353D48 + 36804.50ns INFO [00036806] * RD COMPARE * port=1 adr=02 act=7CBD7C4CB3A81F9266 exp=7CBD7C4CB3A81F9266 + 36804.50ns INFO [00036806] Port=0 WR @03=1F15D2212CC5A95480 + 36805.50ns INFO [00036807] * RD COMPARE * port=0 adr=00 act=55A1E9E9755A88FE8A exp=55A1E9E9755A88FE8A + 36805.50ns INFO [00036807] * RD COMPARE * port=1 adr=03 act=631296331C8F30366C exp=631296331C8F30366C + 36805.50ns INFO [00036807] Port=0 WR @04=BB8F8A38DD53DB6EB4 + 36805.50ns INFO [00036807] Port=0 RD @05 + 36806.50ns INFO [00036808] Port=1 RD @02 + 36807.50ns INFO [00036809] * RD COMPARE * port=0 adr=05 act=6264EAB67D495E1FD4 exp=6264EAB67D495E1FD4 + 36807.50ns INFO [00036809] Port=0 RD @02 + 36808.50ns INFO [00036810] * RD COMPARE * port=1 adr=02 act=7CBD7C4CB3A81F9266 exp=7CBD7C4CB3A81F9266 + 36808.50ns INFO [00036810] Port=0 RD @06 + 36809.50ns INFO [00036811] * RD COMPARE * port=0 adr=02 act=7CBD7C4CB3A81F9266 exp=7CBD7C4CB3A81F9266 + 36809.50ns INFO [00036811] Port=0 RD @00 + 36809.50ns INFO [00036811] Port=1 RD @05 + 36810.50ns INFO [00036812] * RD COMPARE * port=0 adr=06 act=6856791C2C7F353D48 exp=6856791C2C7F353D48 + 36810.50ns INFO [00036812] Port=0 WR @04=7FE3C62EC0CF74B08A + 36811.50ns INFO [00036813] * RD COMPARE * port=0 adr=00 act=55A1E9E9755A88FE8A exp=55A1E9E9755A88FE8A + 36811.50ns INFO [00036813] * RD COMPARE * port=1 adr=05 act=6264EAB67D495E1FD4 exp=6264EAB67D495E1FD4 + 36811.50ns INFO [00036813] Port=0 WR @05=DA6162F692C29F5C83 + 36812.50ns INFO [00036814] Port=0 WR @03=92A66956C477E55D3E + 36813.50ns INFO [00036815] Port=0 WR @01=14DEB380BB91311FDE + 36813.50ns INFO [00036815] Port=1 RD @04 + 36814.50ns INFO [00036816] Port=0 WR @06=98E52C195A5155CB44 + 36815.50ns INFO [00036817] * RD COMPARE * port=1 adr=04 act=7FE3C62EC0CF74B08A exp=7FE3C62EC0CF74B08A + 36815.50ns INFO [00036817] Port=0 RD @03 + 36815.50ns INFO [00036817] Port=1 RD @04 + 36816.50ns INFO [00036818] Port=0 RD @07 + 36817.50ns INFO [00036819] * RD COMPARE * port=0 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36817.50ns INFO [00036819] * RD COMPARE * port=1 adr=04 act=7FE3C62EC0CF74B08A exp=7FE3C62EC0CF74B08A + 36817.50ns INFO [00036819] Port=0 WR @04=6C3E602A65D63059EC + 36817.50ns INFO [00036819] Port=1 RD @06 + 36818.50ns INFO [00036820] * RD COMPARE * port=0 adr=07 act=6ECFA0E8064F90E1DE exp=6ECFA0E8064F90E1DE + 36818.50ns INFO [00036820] Port=1 RD @01 + 36819.50ns INFO [00036821] * RD COMPARE * port=1 adr=06 act=98E52C195A5155CB44 exp=98E52C195A5155CB44 + 36820.50ns INFO [00036822] * RD COMPARE * port=1 adr=01 act=14DEB380BB91311FDE exp=14DEB380BB91311FDE + 36820.50ns INFO [00036822] Port=0 WR @01=FF1E6AD3260D29F171 + 36821.50ns INFO [00036823] Port=0 WR @06=DEE626B955A849D0C3 + 36821.50ns INFO [00036823] Port=1 RD @01 + 36822.50ns INFO [00036824] Port=0 RD @07 + 36823.50ns INFO [00036825] * RD COMPARE * port=1 adr=01 act=FF1E6AD3260D29F171 exp=FF1E6AD3260D29F171 + 36823.50ns INFO [00036825] Port=1 RD @02 + 36824.50ns INFO [00036826] * RD COMPARE * port=0 adr=07 act=6ECFA0E8064F90E1DE exp=6ECFA0E8064F90E1DE + 36825.50ns INFO [00036827] * RD COMPARE * port=1 adr=02 act=7CBD7C4CB3A81F9266 exp=7CBD7C4CB3A81F9266 + 36826.50ns INFO [00036828] Port=1 RD @00 + 36828.50ns INFO [00036830] * RD COMPARE * port=1 adr=00 act=55A1E9E9755A88FE8A exp=55A1E9E9755A88FE8A + 36828.50ns INFO [00036830] Port=0 RD @05 + 36829.50ns INFO [00036831] Port=1 RD @04 + 36830.50ns INFO [00036832] * RD COMPARE * port=0 adr=05 act=DA6162F692C29F5C83 exp=DA6162F692C29F5C83 + 36830.50ns INFO [00036832] Port=0 RD @05 + 36830.50ns INFO [00036832] Port=1 RD @07 + 36831.50ns INFO [00036833] * RD COMPARE * port=1 adr=04 act=6C3E602A65D63059EC exp=6C3E602A65D63059EC + 36831.50ns INFO [00036833] Port=0 WR @01=802E66BEE03E9A156B + 36831.50ns INFO [00036833] Port=1 RD @03 + 36832.50ns INFO [00036834] * RD COMPARE * port=0 adr=05 act=DA6162F692C29F5C83 exp=DA6162F692C29F5C83 + 36832.50ns INFO [00036834] * RD COMPARE * port=1 adr=07 act=6ECFA0E8064F90E1DE exp=6ECFA0E8064F90E1DE + 36832.50ns INFO [00036834] Port=0 WR @02=0F3DEE05756DE100D8 + 36833.50ns INFO [00036835] * RD COMPARE * port=1 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36834.50ns INFO [00036836] Port=0 WR @01=80C7070083E8F8C38C + 36834.50ns INFO [00036836] Port=1 RD @07 + 36835.50ns INFO [00036837] Port=0 RD @03 + 36836.50ns INFO [00036838] * RD COMPARE * port=1 adr=07 act=6ECFA0E8064F90E1DE exp=6ECFA0E8064F90E1DE + 36836.50ns INFO [00036838] Port=1 RD @03 + 36837.50ns INFO [00036839] * RD COMPARE * port=0 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36837.50ns INFO [00036839] Port=1 RD @00 + 36838.50ns INFO [00036840] * RD COMPARE * port=1 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36838.50ns INFO [00036840] Port=0 WR @07=52E1D3B35776D81556 + 36839.50ns INFO [00036841] * RD COMPARE * port=1 adr=00 act=55A1E9E9755A88FE8A exp=55A1E9E9755A88FE8A + 36839.50ns INFO [00036841] Port=0 WR @04=19683A3A7A69B0841A + 36841.50ns INFO [00036843] Port=0 RD @02 + 36842.50ns INFO [00036844] Port=0 RD @01 + 36843.50ns INFO [00036845] * RD COMPARE * port=0 adr=02 act=0F3DEE05756DE100D8 exp=0F3DEE05756DE100D8 + 36843.50ns INFO [00036845] Port=0 WR @01=6E6C3EB274453DB8AF + 36844.50ns INFO [00036846] * RD COMPARE * port=0 adr=01 act=80C7070083E8F8C38C exp=80C7070083E8F8C38C + 36844.50ns INFO [00036846] Port=0 RD @02 + 36844.50ns INFO [00036846] Port=1 RD @00 + 36846.50ns INFO [00036848] * RD COMPARE * port=0 adr=02 act=0F3DEE05756DE100D8 exp=0F3DEE05756DE100D8 + 36846.50ns INFO [00036848] * RD COMPARE * port=1 adr=00 act=55A1E9E9755A88FE8A exp=55A1E9E9755A88FE8A + 36847.50ns INFO [00036849] Port=0 WR @04=302AE0CC2626AFCA0F + 36847.50ns INFO [00036849] Port=0 RD @00 + 36848.50ns INFO [00036850] Port=0 WR @06=FA3B0138E8FB4BD868 + 36848.50ns INFO [00036850] Port=0 RD @03 + 36849.50ns INFO [00036851] * RD COMPARE * port=0 adr=00 act=55A1E9E9755A88FE8A exp=55A1E9E9755A88FE8A + 36849.50ns INFO [00036851] Port=0 WR @02=DE3E84F836D40E1100 + 36849.50ns INFO [00036851] Port=0 RD @06 + 36850.50ns INFO [00036852] * RD COMPARE * port=0 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36851.50ns INFO [00036853] * RD COMPARE * port=0 adr=06 act=FA3B0138E8FB4BD868 exp=FA3B0138E8FB4BD868 + 36852.50ns INFO [00036854] Port=0 RD @06 + 36853.50ns INFO [00036855] Port=0 WR @00=C4C2427F6DB4BFBF64 + 36853.50ns INFO [00036855] Port=1 RD @01 + 36854.50ns INFO [00036856] * RD COMPARE * port=0 adr=06 act=FA3B0138E8FB4BD868 exp=FA3B0138E8FB4BD868 + 36854.50ns INFO [00036856] Port=0 WR @01=4A6313176A791A76D5 + 36854.50ns INFO [00036856] Port=0 RD @04 + 36854.50ns INFO [00036856] Port=1 RD @02 + 36855.50ns INFO [00036857] * RD COMPARE * port=1 adr=01 act=6E6C3EB274453DB8AF exp=6E6C3EB274453DB8AF + 36855.50ns INFO [00036857] Port=0 WR @02=E9FD07130A58A113D9 + 36855.50ns INFO [00036857] Port=0 RD @00 + 36856.50ns INFO [00036858] * RD COMPARE * port=0 adr=04 act=302AE0CC2626AFCA0F exp=302AE0CC2626AFCA0F + 36856.50ns INFO [00036858] * RD COMPARE * port=1 adr=02 act=DE3E84F836D40E1100 exp=DE3E84F836D40E1100 + 36856.50ns INFO [00036858] Port=0 RD @07 + 36856.50ns INFO [00036858] Port=1 RD @06 + 36857.50ns INFO [00036859] * RD COMPARE * port=0 adr=00 act=C4C2427F6DB4BFBF64 exp=C4C2427F6DB4BFBF64 + 36858.50ns INFO [00036860] * RD COMPARE * port=0 adr=07 act=52E1D3B35776D81556 exp=52E1D3B35776D81556 + 36858.50ns INFO [00036860] * RD COMPARE * port=1 adr=06 act=FA3B0138E8FB4BD868 exp=FA3B0138E8FB4BD868 + 36860.50ns INFO [00036862] Port=0 WR @07=F13707108AF819E3C7 + 36860.50ns INFO [00036862] Port=0 RD @00 + 36861.50ns INFO [00036863] Port=1 RD @01 + 36862.50ns INFO [00036864] * RD COMPARE * port=0 adr=00 act=C4C2427F6DB4BFBF64 exp=C4C2427F6DB4BFBF64 + 36862.50ns INFO [00036864] Port=1 RD @03 + 36863.50ns INFO [00036865] * RD COMPARE * port=1 adr=01 act=4A6313176A791A76D5 exp=4A6313176A791A76D5 + 36863.50ns INFO [00036865] Port=0 WR @04=2546E28F398A5DBEC9 + 36863.50ns INFO [00036865] Port=1 RD @03 + 36864.50ns INFO [00036866] * RD COMPARE * port=1 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36864.50ns INFO [00036866] Port=0 RD @03 + 36864.50ns INFO [00036866] Port=1 RD @06 + 36865.50ns INFO [00036867] * RD COMPARE * port=1 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36865.50ns INFO [00036867] Port=0 RD @03 + 36866.50ns INFO [00036868] * RD COMPARE * port=0 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36866.50ns INFO [00036868] * RD COMPARE * port=1 adr=06 act=FA3B0138E8FB4BD868 exp=FA3B0138E8FB4BD868 + 36867.50ns INFO [00036869] * RD COMPARE * port=0 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36868.50ns INFO [00036870] Port=0 RD @02 + 36869.50ns INFO [00036871] Port=0 WR @07=ABFE29B3115F48CC6D + 36869.50ns INFO [00036871] Port=0 RD @05 + 36869.50ns INFO [00036871] Port=1 RD @02 + 36870.50ns INFO [00036872] * RD COMPARE * port=0 adr=02 act=E9FD07130A58A113D9 exp=E9FD07130A58A113D9 + 36870.50ns INFO [00036872] Port=0 RD @00 + 36871.50ns INFO [00036873] * RD COMPARE * port=0 adr=05 act=DA6162F692C29F5C83 exp=DA6162F692C29F5C83 + 36871.50ns INFO [00036873] * RD COMPARE * port=1 adr=02 act=E9FD07130A58A113D9 exp=E9FD07130A58A113D9 + 36871.50ns INFO [00036873] Port=0 WR @04=814DDA65A486F162AB + 36871.50ns INFO [00036873] Port=1 RD @02 + 36872.50ns INFO [00036874] * RD COMPARE * port=0 adr=00 act=C4C2427F6DB4BFBF64 exp=C4C2427F6DB4BFBF64 + 36872.50ns INFO [00036874] Port=1 RD @03 + 36873.50ns INFO [00036875] * RD COMPARE * port=1 adr=02 act=E9FD07130A58A113D9 exp=E9FD07130A58A113D9 + 36873.50ns INFO [00036875] Port=0 RD @02 + 36874.50ns INFO [00036876] * RD COMPARE * port=1 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36874.50ns INFO [00036876] Port=1 RD @05 + 36875.50ns INFO [00036877] * RD COMPARE * port=0 adr=02 act=E9FD07130A58A113D9 exp=E9FD07130A58A113D9 + 36876.50ns INFO [00036878] * RD COMPARE * port=1 adr=05 act=DA6162F692C29F5C83 exp=DA6162F692C29F5C83 + 36876.50ns INFO [00036878] Port=0 WR @01=280AA7A0A52153C241 + 36877.50ns INFO [00036879] Port=0 RD @03 + 36878.50ns INFO [00036880] Port=0 WR @06=7B321AA2EEDCAD3055 + 36878.50ns INFO [00036880] Port=1 RD @02 + 36879.50ns INFO [00036881] * RD COMPARE * port=0 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36879.50ns INFO [00036881] Port=1 RD @01 + 36880.50ns INFO [00036882] * RD COMPARE * port=1 adr=02 act=E9FD07130A58A113D9 exp=E9FD07130A58A113D9 + 36880.50ns INFO [00036882] Port=0 RD @06 + 36881.50ns INFO [00036883] * RD COMPARE * port=1 adr=01 act=280AA7A0A52153C241 exp=280AA7A0A52153C241 + 36881.50ns INFO [00036883] Port=0 WR @01=9609957BB84992BD3A + 36882.50ns INFO [00036884] * RD COMPARE * port=0 adr=06 act=7B321AA2EEDCAD3055 exp=7B321AA2EEDCAD3055 + 36882.50ns INFO [00036884] Port=1 RD @01 + 36883.50ns INFO [00036885] Port=0 WR @06=93A01CC3766BEF56ED + 36883.50ns INFO [00036885] Port=1 RD @03 + 36884.50ns INFO [00036886] * RD COMPARE * port=1 adr=01 act=9609957BB84992BD3A exp=9609957BB84992BD3A + 36884.50ns INFO [00036886] Port=0 RD @05 + 36885.50ns INFO [00036887] * RD COMPARE * port=1 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36885.50ns INFO [00036887] Port=0 RD @07 + 36885.50ns INFO [00036887] Port=1 RD @04 + 36886.50ns INFO [00036888] * RD COMPARE * port=0 adr=05 act=DA6162F692C29F5C83 exp=DA6162F692C29F5C83 + 36886.50ns INFO [00036888] Port=0 RD @01 + 36886.50ns INFO [00036888] Port=1 RD @04 + 36887.50ns INFO [00036889] * RD COMPARE * port=0 adr=07 act=ABFE29B3115F48CC6D exp=ABFE29B3115F48CC6D + 36887.50ns INFO [00036889] * RD COMPARE * port=1 adr=04 act=814DDA65A486F162AB exp=814DDA65A486F162AB + 36888.50ns INFO [00036890] * RD COMPARE * port=0 adr=01 act=9609957BB84992BD3A exp=9609957BB84992BD3A + 36888.50ns INFO [00036890] * RD COMPARE * port=1 adr=04 act=814DDA65A486F162AB exp=814DDA65A486F162AB + 36888.50ns INFO [00036890] Port=0 WR @00=A3DD1A1B1D404F5BA2 + 36889.50ns INFO [00036891] Port=0 WR @00=B550699D05CDB6DF47 + 36890.50ns INFO [00036892] Port=0 WR @05=148971C9CA36538E1A + 36890.50ns INFO [00036892] Port=1 RD @00 + 36892.50ns INFO [00036894] * RD COMPARE * port=1 adr=00 act=B550699D05CDB6DF47 exp=B550699D05CDB6DF47 + 36892.50ns INFO [00036894] Port=0 RD @06 + 36893.50ns INFO [00036895] Port=0 WR @05=C80947052A8970B88F + 36894.50ns INFO [00036896] * RD COMPARE * port=0 adr=06 act=93A01CC3766BEF56ED exp=93A01CC3766BEF56ED + 36894.50ns INFO [00036896] Port=0 RD @00 + 36894.50ns INFO [00036896] Port=1 RD @07 + 36895.50ns INFO [00036897] Port=0 WR @01=C1AAFEAE2CD0F6CCAE + 36895.50ns INFO [00036897] Port=0 RD @02 + 36896.50ns INFO [00036898] * RD COMPARE * port=0 adr=00 act=B550699D05CDB6DF47 exp=B550699D05CDB6DF47 + 36896.50ns INFO [00036898] * RD COMPARE * port=1 adr=07 act=ABFE29B3115F48CC6D exp=ABFE29B3115F48CC6D + 36896.50ns INFO [00036898] Port=0 RD @01 + 36896.50ns INFO [00036898] Port=1 RD @03 + 36897.50ns INFO [00036899] * RD COMPARE * port=0 adr=02 act=E9FD07130A58A113D9 exp=E9FD07130A58A113D9 + 36897.50ns INFO [00036899] Port=0 WR @05=DCFB9AC05DFE831745 + 36898.00ns INFO [00036900] [00036900] ...tick... + 36898.50ns INFO [00036900] * RD COMPARE * port=0 adr=01 act=C1AAFEAE2CD0F6CCAE exp=C1AAFEAE2CD0F6CCAE + 36898.50ns INFO [00036900] * RD COMPARE * port=1 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36898.50ns INFO [00036900] Port=0 RD @00 + 36899.50ns INFO [00036901] Port=0 RD @01 + 36899.50ns INFO [00036901] Port=1 RD @03 + 36900.50ns INFO [00036902] * RD COMPARE * port=0 adr=00 act=B550699D05CDB6DF47 exp=B550699D05CDB6DF47 + 36900.50ns INFO [00036902] Port=0 RD @03 + 36900.50ns INFO [00036902] Port=1 RD @04 + 36901.50ns INFO [00036903] * RD COMPARE * port=0 adr=01 act=C1AAFEAE2CD0F6CCAE exp=C1AAFEAE2CD0F6CCAE + 36901.50ns INFO [00036903] * RD COMPARE * port=1 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36901.50ns INFO [00036903] Port=0 RD @00 + 36901.50ns INFO [00036903] Port=1 RD @00 + 36902.50ns INFO [00036904] * RD COMPARE * port=0 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36902.50ns INFO [00036904] * RD COMPARE * port=1 adr=04 act=814DDA65A486F162AB exp=814DDA65A486F162AB + 36903.50ns INFO [00036905] * RD COMPARE * port=0 adr=00 act=B550699D05CDB6DF47 exp=B550699D05CDB6DF47 + 36903.50ns INFO [00036905] * RD COMPARE * port=1 adr=00 act=B550699D05CDB6DF47 exp=B550699D05CDB6DF47 + 36903.50ns INFO [00036905] Port=0 WR @01=C6C140608A05FF6069 + 36904.50ns INFO [00036906] Port=0 WR @04=69F04ABD9CE4C01FA7 + 36904.50ns INFO [00036906] Port=1 RD @05 + 36905.50ns INFO [00036907] Port=0 WR @02=0480006921B053C7FE + 36906.50ns INFO [00036908] * RD COMPARE * port=1 adr=05 act=DCFB9AC05DFE831745 exp=DCFB9AC05DFE831745 + 36906.50ns INFO [00036908] Port=1 RD @07 + 36907.50ns INFO [00036909] Port=0 WR @02=46FB323A7BD92EE222 + 36908.50ns INFO [00036910] * RD COMPARE * port=1 adr=07 act=ABFE29B3115F48CC6D exp=ABFE29B3115F48CC6D + 36909.50ns INFO [00036911] Port=0 WR @04=39D76EC6BE6E23FA05 + 36909.50ns INFO [00036911] Port=1 RD @03 + 36910.50ns INFO [00036912] Port=0 WR @01=02D9FD3FF5075F23B7 + 36911.50ns INFO [00036913] * RD COMPARE * port=1 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36911.50ns INFO [00036913] Port=0 WR @07=827A9DE1E3EE72FA5D + 36912.50ns INFO [00036914] Port=0 RD @01 + 36913.50ns INFO [00036915] Port=0 RD @06 + 36914.50ns INFO [00036916] * RD COMPARE * port=0 adr=01 act=02D9FD3FF5075F23B7 exp=02D9FD3FF5075F23B7 + 36914.50ns INFO [00036916] Port=0 WR @04=67ACD8C6127296F036 + 36915.50ns INFO [00036917] * RD COMPARE * port=0 adr=06 act=93A01CC3766BEF56ED exp=93A01CC3766BEF56ED + 36915.50ns INFO [00036917] Port=0 RD @00 + 36916.50ns INFO [00036918] Port=1 RD @06 + 36917.50ns INFO [00036919] * RD COMPARE * port=0 adr=00 act=B550699D05CDB6DF47 exp=B550699D05CDB6DF47 + 36917.50ns INFO [00036919] Port=1 RD @02 + 36918.50ns INFO [00036920] * RD COMPARE * port=1 adr=06 act=93A01CC3766BEF56ED exp=93A01CC3766BEF56ED + 36918.50ns INFO [00036920] Port=0 WR @05=8FCF23808D343ED482 + 36918.50ns INFO [00036920] Port=0 RD @00 + 36919.50ns INFO [00036921] * RD COMPARE * port=1 adr=02 act=46FB323A7BD92EE222 exp=46FB323A7BD92EE222 + 36920.50ns INFO [00036922] * RD COMPARE * port=0 adr=00 act=B550699D05CDB6DF47 exp=B550699D05CDB6DF47 + 36921.50ns INFO [00036923] Port=0 RD @04 + 36921.50ns INFO [00036923] Port=1 RD @00 + 36922.50ns INFO [00036924] Port=0 WR @01=64279E213D9C5A9611 + 36923.50ns INFO [00036925] * RD COMPARE * port=0 adr=04 act=67ACD8C6127296F036 exp=67ACD8C6127296F036 + 36923.50ns INFO [00036925] * RD COMPARE * port=1 adr=00 act=B550699D05CDB6DF47 exp=B550699D05CDB6DF47 + 36923.50ns INFO [00036925] Port=1 RD @06 + 36924.50ns INFO [00036926] Port=0 RD @04 + 36925.50ns INFO [00036927] * RD COMPARE * port=1 adr=06 act=93A01CC3766BEF56ED exp=93A01CC3766BEF56ED + 36925.50ns INFO [00036927] Port=0 WR @02=985A9457B8CE6E1804 + 36926.50ns INFO [00036928] * RD COMPARE * port=0 adr=04 act=67ACD8C6127296F036 exp=67ACD8C6127296F036 + 36926.50ns INFO [00036928] Port=0 RD @00 + 36927.50ns INFO [00036929] Port=0 WR @01=4ADAAC1E6DFE7F06F3 + 36928.50ns INFO [00036930] * RD COMPARE * port=0 adr=00 act=B550699D05CDB6DF47 exp=B550699D05CDB6DF47 + 36928.50ns INFO [00036930] Port=0 WR @02=60C49680600471C84D + 36928.50ns INFO [00036930] Port=1 RD @03 + 36929.50ns INFO [00036931] Port=0 RD @05 + 36930.50ns INFO [00036932] * RD COMPARE * port=1 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36930.50ns INFO [00036932] Port=1 RD @01 + 36931.50ns INFO [00036933] * RD COMPARE * port=0 adr=05 act=8FCF23808D343ED482 exp=8FCF23808D343ED482 + 36931.50ns INFO [00036933] Port=0 WR @00=330A013A6A783CA364 + 36931.50ns INFO [00036933] Port=1 RD @06 + 36932.50ns INFO [00036934] * RD COMPARE * port=1 adr=01 act=4ADAAC1E6DFE7F06F3 exp=4ADAAC1E6DFE7F06F3 + 36932.50ns INFO [00036934] Port=0 WR @06=3DA37DAEF9E9035B0A + 36933.50ns INFO [00036935] * RD COMPARE * port=1 adr=06 act=93A01CC3766BEF56ED exp=93A01CC3766BEF56ED + 36933.50ns INFO [00036935] Port=0 WR @02=C1162EB1267F87BA5D + 36933.50ns INFO [00036935] Port=0 RD @05 + 36934.50ns INFO [00036936] Port=1 RD @01 + 36935.50ns INFO [00036937] * RD COMPARE * port=0 adr=05 act=8FCF23808D343ED482 exp=8FCF23808D343ED482 + 36936.50ns INFO [00036938] * RD COMPARE * port=1 adr=01 act=4ADAAC1E6DFE7F06F3 exp=4ADAAC1E6DFE7F06F3 + 36936.50ns INFO [00036938] Port=0 RD @07 + 36937.50ns INFO [00036939] Port=0 WR @02=130AE24BB0D385E946 + 36937.50ns INFO [00036939] Port=0 RD @06 + 36938.50ns INFO [00036940] * RD COMPARE * port=0 adr=07 act=827A9DE1E3EE72FA5D exp=827A9DE1E3EE72FA5D + 36938.50ns INFO [00036940] Port=0 RD @00 + 36939.50ns INFO [00036941] * RD COMPARE * port=0 adr=06 act=3DA37DAEF9E9035B0A exp=3DA37DAEF9E9035B0A + 36939.50ns INFO [00036941] Port=0 RD @07 + 36939.50ns INFO [00036941] Port=1 RD @05 + 36940.50ns INFO [00036942] * RD COMPARE * port=0 adr=00 act=330A013A6A783CA364 exp=330A013A6A783CA364 + 36940.50ns INFO [00036942] Port=0 RD @04 + 36941.50ns INFO [00036943] * RD COMPARE * port=0 adr=07 act=827A9DE1E3EE72FA5D exp=827A9DE1E3EE72FA5D + 36941.50ns INFO [00036943] * RD COMPARE * port=1 adr=05 act=8FCF23808D343ED482 exp=8FCF23808D343ED482 + 36941.50ns INFO [00036943] Port=0 WR @00=3A7430A928077E0067 + 36941.50ns INFO [00036943] Port=0 RD @05 + 36942.50ns INFO [00036944] * RD COMPARE * port=0 adr=04 act=67ACD8C6127296F036 exp=67ACD8C6127296F036 + 36942.50ns INFO [00036944] Port=0 WR @06=DF0772254AD4500E3D + 36942.50ns INFO [00036944] Port=1 RD @02 + 36943.50ns INFO [00036945] * RD COMPARE * port=0 adr=05 act=8FCF23808D343ED482 exp=8FCF23808D343ED482 + 36943.50ns INFO [00036945] Port=0 WR @05=54DA937F09C9FBFD99 + 36943.50ns INFO [00036945] Port=1 RD @02 + 36944.50ns INFO [00036946] * RD COMPARE * port=1 adr=02 act=130AE24BB0D385E946 exp=130AE24BB0D385E946 + 36945.50ns INFO [00036947] * RD COMPARE * port=1 adr=02 act=130AE24BB0D385E946 exp=130AE24BB0D385E946 + 36945.50ns INFO [00036947] Port=0 RD @06 + 36947.50ns INFO [00036949] * RD COMPARE * port=0 adr=06 act=DF0772254AD4500E3D exp=DF0772254AD4500E3D + 36947.50ns INFO [00036949] Port=0 RD @05 + 36948.50ns INFO [00036950] Port=1 RD @04 + 36949.50ns INFO [00036951] * RD COMPARE * port=0 adr=05 act=54DA937F09C9FBFD99 exp=54DA937F09C9FBFD99 + 36949.50ns INFO [00036951] Port=0 RD @03 + 36950.50ns INFO [00036952] * RD COMPARE * port=1 adr=04 act=67ACD8C6127296F036 exp=67ACD8C6127296F036 + 36950.50ns INFO [00036952] Port=1 RD @07 + 36951.50ns INFO [00036953] * RD COMPARE * port=0 adr=03 act=92A66956C477E55D3E exp=92A66956C477E55D3E + 36951.50ns INFO [00036953] Port=0 WR @03=499D02E9A3A0AC337E + 36951.50ns INFO [00036953] Port=0 RD @07 + 36952.50ns INFO [00036954] * RD COMPARE * port=1 adr=07 act=827A9DE1E3EE72FA5D exp=827A9DE1E3EE72FA5D + 36953.50ns INFO [00036955] * RD COMPARE * port=0 adr=07 act=827A9DE1E3EE72FA5D exp=827A9DE1E3EE72FA5D + 36953.50ns INFO [00036955] Port=0 RD @05 + 36954.50ns INFO [00036956] Port=0 WR @00=0D7A1587F26278C31D + 36954.50ns INFO [00036956] Port=1 RD @04 + 36955.50ns INFO [00036957] * RD COMPARE * port=0 adr=05 act=54DA937F09C9FBFD99 exp=54DA937F09C9FBFD99 + 36955.50ns INFO [00036957] Port=0 RD @00 + 36955.50ns INFO [00036957] Port=1 RD @07 + 36956.50ns INFO [00036958] * RD COMPARE * port=1 adr=04 act=67ACD8C6127296F036 exp=67ACD8C6127296F036 + 36956.50ns INFO [00036958] Port=0 RD @03 + 36957.50ns INFO [00036959] * RD COMPARE * port=0 adr=00 act=0D7A1587F26278C31D exp=0D7A1587F26278C31D + 36957.50ns INFO [00036959] * RD COMPARE * port=1 adr=07 act=827A9DE1E3EE72FA5D exp=827A9DE1E3EE72FA5D + 36957.50ns INFO [00036959] Port=0 RD @02 + 36957.50ns INFO [00036959] Port=1 RD @00 + 36958.50ns INFO [00036960] * RD COMPARE * port=0 adr=03 act=499D02E9A3A0AC337E exp=499D02E9A3A0AC337E + 36958.50ns INFO [00036960] Port=1 RD @00 + 36959.50ns INFO [00036961] * RD COMPARE * port=0 adr=02 act=130AE24BB0D385E946 exp=130AE24BB0D385E946 + 36959.50ns INFO [00036961] * RD COMPARE * port=1 adr=00 act=0D7A1587F26278C31D exp=0D7A1587F26278C31D + 36959.50ns INFO [00036961] Port=0 WR @07=4E164AD8754336265A + 36959.50ns INFO [00036961] Port=1 RD @02 + 36960.50ns INFO [00036962] * RD COMPARE * port=1 adr=00 act=0D7A1587F26278C31D exp=0D7A1587F26278C31D + 36960.50ns INFO [00036962] Port=0 RD @01 + 36961.50ns INFO [00036963] * RD COMPARE * port=1 adr=02 act=130AE24BB0D385E946 exp=130AE24BB0D385E946 + 36961.50ns INFO [00036963] Port=0 WR @03=CE4BD0F4B0CC05222B + 36961.50ns INFO [00036963] Port=1 RD @02 + 36962.50ns INFO [00036964] * RD COMPARE * port=0 adr=01 act=4ADAAC1E6DFE7F06F3 exp=4ADAAC1E6DFE7F06F3 + 36962.50ns INFO [00036964] Port=0 RD @01 + 36963.50ns INFO [00036965] * RD COMPARE * port=1 adr=02 act=130AE24BB0D385E946 exp=130AE24BB0D385E946 + 36963.50ns INFO [00036965] Port=0 WR @06=2BD2A7D7B2EF17B9E8 + 36964.50ns INFO [00036966] * RD COMPARE * port=0 adr=01 act=4ADAAC1E6DFE7F06F3 exp=4ADAAC1E6DFE7F06F3 + 36965.50ns INFO [00036967] Port=0 RD @02 + 36967.50ns INFO [00036969] * RD COMPARE * port=0 adr=02 act=130AE24BB0D385E946 exp=130AE24BB0D385E946 + 36967.50ns INFO [00036969] Port=1 RD @00 + 36969.50ns INFO [00036971] * RD COMPARE * port=1 adr=00 act=0D7A1587F26278C31D exp=0D7A1587F26278C31D + 36969.50ns INFO [00036971] Port=1 RD @02 + 36970.50ns INFO [00036972] Port=0 RD @06 + 36971.50ns INFO [00036973] * RD COMPARE * port=1 adr=02 act=130AE24BB0D385E946 exp=130AE24BB0D385E946 + 36972.50ns INFO [00036974] * RD COMPARE * port=0 adr=06 act=2BD2A7D7B2EF17B9E8 exp=2BD2A7D7B2EF17B9E8 + 36972.50ns INFO [00036974] Port=1 RD @04 + 36974.50ns INFO [00036976] * RD COMPARE * port=1 adr=04 act=67ACD8C6127296F036 exp=67ACD8C6127296F036 + 36974.50ns INFO [00036976] Port=0 WR @05=E1699450BD1FFDC4F0 + 36974.50ns INFO [00036976] Port=1 RD @06 + 36975.50ns INFO [00036977] Port=0 WR @00=BAB97034A34173F2CE + 36976.50ns INFO [00036978] * RD COMPARE * port=1 adr=06 act=2BD2A7D7B2EF17B9E8 exp=2BD2A7D7B2EF17B9E8 + 36976.50ns INFO [00036978] Port=1 RD @05 + 36977.50ns INFO [00036979] Port=1 RD @06 + 36978.50ns INFO [00036980] * RD COMPARE * port=1 adr=05 act=E1699450BD1FFDC4F0 exp=E1699450BD1FFDC4F0 + 36978.50ns INFO [00036980] Port=0 WR @05=64C44D4E8B2DC2A98B + 36979.50ns INFO [00036981] * RD COMPARE * port=1 adr=06 act=2BD2A7D7B2EF17B9E8 exp=2BD2A7D7B2EF17B9E8 + 36979.50ns INFO [00036981] Port=0 WR @01=94A45EB59DE78A92D8 + 36980.50ns INFO [00036982] Port=0 RD @03 + 36981.50ns INFO [00036983] Port=0 RD @02 + 36981.50ns INFO [00036983] Port=1 RD @05 + 36982.50ns INFO [00036984] * RD COMPARE * port=0 adr=03 act=CE4BD0F4B0CC05222B exp=CE4BD0F4B0CC05222B + 36982.50ns INFO [00036984] Port=0 WR @04=B5A8F81B42500632BA + 36983.50ns INFO [00036985] * RD COMPARE * port=0 adr=02 act=130AE24BB0D385E946 exp=130AE24BB0D385E946 + 36983.50ns INFO [00036985] * RD COMPARE * port=1 adr=05 act=64C44D4E8B2DC2A98B exp=64C44D4E8B2DC2A98B + 36984.50ns INFO [00036986] Port=0 RD @00 + 36984.50ns INFO [00036986] Port=1 RD @05 + 36985.50ns INFO [00036987] Port=0 WR @06=C09FBEF3CFAFA1EA46 + 36985.50ns INFO [00036987] Port=0 RD @01 + 36985.50ns INFO [00036987] Port=1 RD @04 + 36986.50ns INFO [00036988] * RD COMPARE * port=0 adr=00 act=BAB97034A34173F2CE exp=BAB97034A34173F2CE + 36986.50ns INFO [00036988] * RD COMPARE * port=1 adr=05 act=64C44D4E8B2DC2A98B exp=64C44D4E8B2DC2A98B + 36986.50ns INFO [00036988] Port=0 RD @04 + 36986.50ns INFO [00036988] Port=1 RD @00 + 36987.50ns INFO [00036989] * RD COMPARE * port=0 adr=01 act=94A45EB59DE78A92D8 exp=94A45EB59DE78A92D8 + 36987.50ns INFO [00036989] * RD COMPARE * port=1 adr=04 act=B5A8F81B42500632BA exp=B5A8F81B42500632BA + 36987.50ns INFO [00036989] Port=0 RD @04 + 36988.50ns INFO [00036990] * RD COMPARE * port=0 adr=04 act=B5A8F81B42500632BA exp=B5A8F81B42500632BA + 36988.50ns INFO [00036990] * RD COMPARE * port=1 adr=00 act=BAB97034A34173F2CE exp=BAB97034A34173F2CE + 36988.50ns INFO [00036990] Port=1 RD @01 + 36989.50ns INFO [00036991] * RD COMPARE * port=0 adr=04 act=B5A8F81B42500632BA exp=B5A8F81B42500632BA + 36990.50ns INFO [00036992] * RD COMPARE * port=1 adr=01 act=94A45EB59DE78A92D8 exp=94A45EB59DE78A92D8 + 36990.50ns INFO [00036992] Port=0 RD @06 + 36992.50ns INFO [00036994] * RD COMPARE * port=0 adr=06 act=C09FBEF3CFAFA1EA46 exp=C09FBEF3CFAFA1EA46 + 36992.50ns INFO [00036994] Port=0 WR @00=F6EC663E93014D0F21 + 36992.50ns INFO [00036994] Port=0 RD @05 + 36993.50ns INFO [00036995] Port=0 WR @04=C146586B711C27E906 + 36994.50ns INFO [00036996] * RD COMPARE * port=0 adr=05 act=64C44D4E8B2DC2A98B exp=64C44D4E8B2DC2A98B + 36994.50ns INFO [00036996] Port=0 RD @07 + 36995.50ns INFO [00036997] Port=0 WR @06=8F6EEF644820AFC931 + 36996.50ns INFO [00036998] * RD COMPARE * port=0 adr=07 act=4E164AD8754336265A exp=4E164AD8754336265A + 36996.50ns INFO [00036998] Port=0 WR @05=283D68D2CC4DA14F96 + 36997.50ns INFO [00036999] Port=0 WR @01=523968F7A2019C16E3 + 36998.00ns INFO [00037000] [00037000] ...tick... + 36998.50ns INFO [00037000] Port=0 WR @03=6048DD01F8247D6C03 + 36998.50ns INFO [00037000] Port=0 RD @00 + 36998.50ns INFO [00037000] Port=1 RD @02 + 36999.50ns INFO [00037001] Port=0 WR @01=0314F8A05B9CBA20A8 + 36999.50ns INFO [00037001] Port=0 RD @03 + 37000.50ns INFO [00037002] * RD COMPARE * port=0 adr=00 act=F6EC663E93014D0F21 exp=F6EC663E93014D0F21 + 37000.50ns INFO [00037002] * RD COMPARE * port=1 adr=02 act=130AE24BB0D385E946 exp=130AE24BB0D385E946 + 37000.50ns INFO [00037002] Port=1 RD @06 + 37001.50ns INFO [00037003] * RD COMPARE * port=0 adr=03 act=6048DD01F8247D6C03 exp=6048DD01F8247D6C03 + 37002.50ns INFO [00037004] * RD COMPARE * port=1 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37002.50ns INFO [00037004] Port=0 RD @00 + 37002.50ns INFO [00037004] Port=1 RD @07 + 37003.50ns INFO [00037005] Port=0 RD @04 + 37004.50ns INFO [00037006] * RD COMPARE * port=0 adr=00 act=F6EC663E93014D0F21 exp=F6EC663E93014D0F21 + 37004.50ns INFO [00037006] * RD COMPARE * port=1 adr=07 act=4E164AD8754336265A exp=4E164AD8754336265A + 37004.50ns INFO [00037006] Port=1 RD @07 + 37005.50ns INFO [00037007] * RD COMPARE * port=0 adr=04 act=C146586B711C27E906 exp=C146586B711C27E906 + 37005.50ns INFO [00037007] Port=0 WR @04=48D4EFD372F75CB466 + 37006.50ns INFO [00037008] * RD COMPARE * port=1 adr=07 act=4E164AD8754336265A exp=4E164AD8754336265A + 37006.50ns INFO [00037008] Port=1 RD @06 + 37007.50ns INFO [00037009] Port=0 RD @04 + 37007.50ns INFO [00037009] Port=1 RD @03 + 37008.50ns INFO [00037010] * RD COMPARE * port=1 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37008.50ns INFO [00037010] Port=0 WR @07=A01AFC69422D1BBAEC + 37008.50ns INFO [00037010] Port=0 RD @00 + 37008.50ns INFO [00037010] Port=1 RD @01 + 37009.50ns INFO [00037011] * RD COMPARE * port=0 adr=04 act=48D4EFD372F75CB466 exp=48D4EFD372F75CB466 + 37009.50ns INFO [00037011] * RD COMPARE * port=1 adr=03 act=6048DD01F8247D6C03 exp=6048DD01F8247D6C03 + 37010.50ns INFO [00037012] * RD COMPARE * port=0 adr=00 act=F6EC663E93014D0F21 exp=F6EC663E93014D0F21 + 37010.50ns INFO [00037012] * RD COMPARE * port=1 adr=01 act=0314F8A05B9CBA20A8 exp=0314F8A05B9CBA20A8 + 37010.50ns INFO [00037012] Port=1 RD @05 + 37012.50ns INFO [00037014] * RD COMPARE * port=1 adr=05 act=283D68D2CC4DA14F96 exp=283D68D2CC4DA14F96 + 37012.50ns INFO [00037014] Port=1 RD @05 + 37014.50ns INFO [00037016] * RD COMPARE * port=1 adr=05 act=283D68D2CC4DA14F96 exp=283D68D2CC4DA14F96 + 37014.50ns INFO [00037016] Port=0 RD @04 + 37015.50ns INFO [00037017] Port=1 RD @02 + 37016.50ns INFO [00037018] * RD COMPARE * port=0 adr=04 act=48D4EFD372F75CB466 exp=48D4EFD372F75CB466 + 37016.50ns INFO [00037018] Port=0 WR @07=F052A4E521870980AD + 37017.50ns INFO [00037019] * RD COMPARE * port=1 adr=02 act=130AE24BB0D385E946 exp=130AE24BB0D385E946 + 37018.50ns INFO [00037020] Port=0 WR @04=794DF9A4BA5AB18D5E + 37018.50ns INFO [00037020] Port=0 RD @03 + 37019.50ns INFO [00037021] Port=0 WR @02=B0520BFAFDB72F82F5 + 37019.50ns INFO [00037021] Port=0 RD @07 + 37020.50ns INFO [00037022] * RD COMPARE * port=0 adr=03 act=6048DD01F8247D6C03 exp=6048DD01F8247D6C03 + 37020.50ns INFO [00037022] Port=1 RD @05 + 37021.50ns INFO [00037023] * RD COMPARE * port=0 adr=07 act=F052A4E521870980AD exp=F052A4E521870980AD + 37021.50ns INFO [00037023] Port=0 WR @04=F61B071747C55AE535 + 37021.50ns INFO [00037023] Port=1 RD @07 + 37022.50ns INFO [00037024] * RD COMPARE * port=1 adr=05 act=283D68D2CC4DA14F96 exp=283D68D2CC4DA14F96 + 37022.50ns INFO [00037024] Port=0 RD @04 + 37022.50ns INFO [00037024] Port=1 RD @06 + 37023.50ns INFO [00037025] * RD COMPARE * port=1 adr=07 act=F052A4E521870980AD exp=F052A4E521870980AD + 37023.50ns INFO [00037025] Port=1 RD @07 + 37024.50ns INFO [00037026] * RD COMPARE * port=0 adr=04 act=F61B071747C55AE535 exp=F61B071747C55AE535 + 37024.50ns INFO [00037026] * RD COMPARE * port=1 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37024.50ns INFO [00037026] Port=0 WR @04=315708879D02434FAF + 37025.50ns INFO [00037027] * RD COMPARE * port=1 adr=07 act=F052A4E521870980AD exp=F052A4E521870980AD + 37025.50ns INFO [00037027] Port=0 WR @04=38CB4CB15E5A23A72E + 37025.50ns INFO [00037027] Port=0 RD @02 + 37027.50ns INFO [00037029] * RD COMPARE * port=0 adr=02 act=B0520BFAFDB72F82F5 exp=B0520BFAFDB72F82F5 + 37028.50ns INFO [00037030] Port=0 WR @03=FBA3898320D02DBA52 + 37028.50ns INFO [00037030] Port=0 RD @01 + 37029.50ns INFO [00037031] Port=0 WR @05=46480482D027C0FDFD + 37030.50ns INFO [00037032] * RD COMPARE * port=0 adr=01 act=0314F8A05B9CBA20A8 exp=0314F8A05B9CBA20A8 + 37030.50ns INFO [00037032] Port=0 RD @04 + 37032.50ns INFO [00037034] * RD COMPARE * port=0 adr=04 act=38CB4CB15E5A23A72E exp=38CB4CB15E5A23A72E + 37034.50ns INFO [00037036] Port=0 WR @03=ACD70BDC346A807E10 + 37034.50ns INFO [00037036] Port=0 RD @00 + 37034.50ns INFO [00037036] Port=1 RD @07 + 37036.50ns INFO [00037038] * RD COMPARE * port=0 adr=00 act=F6EC663E93014D0F21 exp=F6EC663E93014D0F21 + 37036.50ns INFO [00037038] * RD COMPARE * port=1 adr=07 act=F052A4E521870980AD exp=F052A4E521870980AD + 37036.50ns INFO [00037038] Port=1 RD @03 + 37037.50ns INFO [00037039] Port=0 WR @02=2521603FC0CBB18E62 + 37037.50ns INFO [00037039] Port=0 RD @00 + 37037.50ns INFO [00037039] Port=1 RD @06 + 37038.50ns INFO [00037040] * RD COMPARE * port=1 adr=03 act=ACD70BDC346A807E10 exp=ACD70BDC346A807E10 + 37038.50ns INFO [00037040] Port=0 WR @04=67A6B71BCFE7943106 + 37038.50ns INFO [00037040] Port=1 RD @00 + 37039.50ns INFO [00037041] * RD COMPARE * port=0 adr=00 act=F6EC663E93014D0F21 exp=F6EC663E93014D0F21 + 37039.50ns INFO [00037041] * RD COMPARE * port=1 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37039.50ns INFO [00037041] Port=0 WR @04=4B1FF3B3B74F6318A3 + 37039.50ns INFO [00037041] Port=0 RD @01 + 37040.50ns INFO [00037042] * RD COMPARE * port=1 adr=00 act=F6EC663E93014D0F21 exp=F6EC663E93014D0F21 + 37040.50ns INFO [00037042] Port=0 RD @02 + 37041.50ns INFO [00037043] * RD COMPARE * port=0 adr=01 act=0314F8A05B9CBA20A8 exp=0314F8A05B9CBA20A8 + 37042.50ns INFO [00037044] * RD COMPARE * port=0 adr=02 act=2521603FC0CBB18E62 exp=2521603FC0CBB18E62 + 37045.50ns INFO [00037047] Port=0 RD @00 + 37045.50ns INFO [00037047] Port=1 RD @06 + 37046.50ns INFO [00037048] Port=0 RD @01 + 37046.50ns INFO [00037048] Port=1 RD @02 + 37047.50ns INFO [00037049] * RD COMPARE * port=0 adr=00 act=F6EC663E93014D0F21 exp=F6EC663E93014D0F21 + 37047.50ns INFO [00037049] * RD COMPARE * port=1 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37047.50ns INFO [00037049] Port=0 RD @02 + 37048.50ns INFO [00037050] * RD COMPARE * port=0 adr=01 act=0314F8A05B9CBA20A8 exp=0314F8A05B9CBA20A8 + 37048.50ns INFO [00037050] * RD COMPARE * port=1 adr=02 act=2521603FC0CBB18E62 exp=2521603FC0CBB18E62 + 37048.50ns INFO [00037050] Port=0 RD @01 + 37048.50ns INFO [00037050] Port=1 RD @05 + 37049.50ns INFO [00037051] * RD COMPARE * port=0 adr=02 act=2521603FC0CBB18E62 exp=2521603FC0CBB18E62 + 37049.50ns INFO [00037051] Port=0 RD @05 + 37049.50ns INFO [00037051] Port=1 RD @04 + 37050.50ns INFO [00037052] * RD COMPARE * port=0 adr=01 act=0314F8A05B9CBA20A8 exp=0314F8A05B9CBA20A8 + 37050.50ns INFO [00037052] * RD COMPARE * port=1 adr=05 act=46480482D027C0FDFD exp=46480482D027C0FDFD + 37050.50ns INFO [00037052] Port=0 WR @01=771159044D66DA1EE2 + 37050.50ns INFO [00037052] Port=0 RD @07 + 37050.50ns INFO [00037052] Port=1 RD @03 + 37051.50ns INFO [00037053] * RD COMPARE * port=0 adr=05 act=46480482D027C0FDFD exp=46480482D027C0FDFD + 37051.50ns INFO [00037053] * RD COMPARE * port=1 adr=04 act=4B1FF3B3B74F6318A3 exp=4B1FF3B3B74F6318A3 + 37052.50ns INFO [00037054] * RD COMPARE * port=0 adr=07 act=F052A4E521870980AD exp=F052A4E521870980AD + 37052.50ns INFO [00037054] * RD COMPARE * port=1 adr=03 act=ACD70BDC346A807E10 exp=ACD70BDC346A807E10 + 37052.50ns INFO [00037054] Port=0 RD @05 + 37052.50ns INFO [00037054] Port=1 RD @03 + 37053.50ns INFO [00037055] Port=0 RD @00 + 37054.50ns INFO [00037056] * RD COMPARE * port=0 adr=05 act=46480482D027C0FDFD exp=46480482D027C0FDFD + 37054.50ns INFO [00037056] * RD COMPARE * port=1 adr=03 act=ACD70BDC346A807E10 exp=ACD70BDC346A807E10 + 37054.50ns INFO [00037056] Port=1 RD @00 + 37055.50ns INFO [00037057] * RD COMPARE * port=0 adr=00 act=F6EC663E93014D0F21 exp=F6EC663E93014D0F21 + 37055.50ns INFO [00037057] Port=0 RD @06 + 37055.50ns INFO [00037057] Port=1 RD @07 + 37056.50ns INFO [00037058] * RD COMPARE * port=1 adr=00 act=F6EC663E93014D0F21 exp=F6EC663E93014D0F21 + 37056.50ns INFO [00037058] Port=0 WR @04=7296FE8A38BF47CB97 + 37057.50ns INFO [00037059] * RD COMPARE * port=0 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37057.50ns INFO [00037059] * RD COMPARE * port=1 adr=07 act=F052A4E521870980AD exp=F052A4E521870980AD + 37057.50ns INFO [00037059] Port=0 WR @01=7D4134169AB12EAA2C + 37057.50ns INFO [00037059] Port=0 RD @03 + 37057.50ns INFO [00037059] Port=1 RD @07 + 37059.50ns INFO [00037061] * RD COMPARE * port=0 adr=03 act=ACD70BDC346A807E10 exp=ACD70BDC346A807E10 + 37059.50ns INFO [00037061] * RD COMPARE * port=1 adr=07 act=F052A4E521870980AD exp=F052A4E521870980AD + 37059.50ns INFO [00037061] Port=1 RD @00 + 37060.50ns INFO [00037062] Port=0 RD @06 + 37061.50ns INFO [00037063] * RD COMPARE * port=1 adr=00 act=F6EC663E93014D0F21 exp=F6EC663E93014D0F21 + 37061.50ns INFO [00037063] Port=0 WR @07=B67BB3976FD8542CFB + 37062.50ns INFO [00037064] * RD COMPARE * port=0 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37062.50ns INFO [00037064] Port=0 RD @07 + 37063.50ns INFO [00037065] Port=0 WR @00=139F69B5A477BDA698 + 37063.50ns INFO [00037065] Port=1 RD @02 + 37064.50ns INFO [00037066] * RD COMPARE * port=0 adr=07 act=B67BB3976FD8542CFB exp=B67BB3976FD8542CFB + 37064.50ns INFO [00037066] Port=0 RD @06 + 37064.50ns INFO [00037066] Port=1 RD @01 + 37065.50ns INFO [00037067] * RD COMPARE * port=1 adr=02 act=2521603FC0CBB18E62 exp=2521603FC0CBB18E62 + 37065.50ns INFO [00037067] Port=0 RD @06 + 37065.50ns INFO [00037067] Port=1 RD @05 + 37066.50ns INFO [00037068] * RD COMPARE * port=0 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37066.50ns INFO [00037068] * RD COMPARE * port=1 adr=01 act=7D4134169AB12EAA2C exp=7D4134169AB12EAA2C + 37066.50ns INFO [00037068] Port=0 WR @03=75A5D8F39B00B905F0 + 37067.50ns INFO [00037069] * RD COMPARE * port=0 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37067.50ns INFO [00037069] * RD COMPARE * port=1 adr=05 act=46480482D027C0FDFD exp=46480482D027C0FDFD + 37067.50ns INFO [00037069] Port=0 RD @04 + 37068.50ns INFO [00037070] Port=0 WR @03=229B0442B6335E13F3 + 37069.50ns INFO [00037071] * RD COMPARE * port=0 adr=04 act=7296FE8A38BF47CB97 exp=7296FE8A38BF47CB97 + 37069.50ns INFO [00037071] Port=0 WR @05=D3694DE266D215EC39 + 37071.50ns INFO [00037073] Port=1 RD @00 + 37072.50ns INFO [00037074] Port=0 RD @03 + 37073.50ns INFO [00037075] * RD COMPARE * port=1 adr=00 act=139F69B5A477BDA698 exp=139F69B5A477BDA698 + 37073.50ns INFO [00037075] Port=1 RD @03 + 37074.50ns INFO [00037076] * RD COMPARE * port=0 adr=03 act=229B0442B6335E13F3 exp=229B0442B6335E13F3 + 37075.50ns INFO [00037077] * RD COMPARE * port=1 adr=03 act=229B0442B6335E13F3 exp=229B0442B6335E13F3 + 37075.50ns INFO [00037077] Port=0 WR @01=DA38F896BFAEE3925C + 37075.50ns INFO [00037077] Port=0 RD @04 + 37075.50ns INFO [00037077] Port=1 RD @06 + 37077.50ns INFO [00037079] * RD COMPARE * port=0 adr=04 act=7296FE8A38BF47CB97 exp=7296FE8A38BF47CB97 + 37077.50ns INFO [00037079] * RD COMPARE * port=1 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37077.50ns INFO [00037079] Port=0 RD @05 + 37077.50ns INFO [00037079] Port=1 RD @00 + 37078.50ns INFO [00037080] Port=0 RD @03 + 37079.50ns INFO [00037081] * RD COMPARE * port=0 adr=05 act=D3694DE266D215EC39 exp=D3694DE266D215EC39 + 37079.50ns INFO [00037081] * RD COMPARE * port=1 adr=00 act=139F69B5A477BDA698 exp=139F69B5A477BDA698 + 37079.50ns INFO [00037081] Port=1 RD @02 + 37080.50ns INFO [00037082] * RD COMPARE * port=0 adr=03 act=229B0442B6335E13F3 exp=229B0442B6335E13F3 + 37080.50ns INFO [00037082] Port=0 WR @04=0B1677827C0972192C + 37081.50ns INFO [00037083] * RD COMPARE * port=1 adr=02 act=2521603FC0CBB18E62 exp=2521603FC0CBB18E62 + 37082.50ns INFO [00037084] Port=0 RD @00 + 37082.50ns INFO [00037084] Port=1 RD @06 + 37083.50ns INFO [00037085] Port=1 RD @06 + 37084.50ns INFO [00037086] * RD COMPARE * port=0 adr=00 act=139F69B5A477BDA698 exp=139F69B5A477BDA698 + 37084.50ns INFO [00037086] * RD COMPARE * port=1 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37084.50ns INFO [00037086] Port=1 RD @06 + 37085.50ns INFO [00037087] * RD COMPARE * port=1 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37086.50ns INFO [00037088] * RD COMPARE * port=1 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37087.50ns INFO [00037089] Port=0 WR @01=2A5CA7EAE9F6B92E6F + 37088.50ns INFO [00037090] Port=0 WR @07=3983C4D0994E1A7BFA + 37088.50ns INFO [00037090] Port=0 RD @06 + 37089.50ns INFO [00037091] Port=0 RD @07 + 37089.50ns INFO [00037091] Port=1 RD @00 + 37090.50ns INFO [00037092] * RD COMPARE * port=0 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37090.50ns INFO [00037092] Port=0 RD @01 + 37090.50ns INFO [00037092] Port=1 RD @07 + 37091.50ns INFO [00037093] * RD COMPARE * port=0 adr=07 act=3983C4D0994E1A7BFA exp=3983C4D0994E1A7BFA + 37091.50ns INFO [00037093] * RD COMPARE * port=1 adr=00 act=139F69B5A477BDA698 exp=139F69B5A477BDA698 + 37091.50ns INFO [00037093] Port=0 RD @05 + 37091.50ns INFO [00037093] Port=1 RD @07 + 37092.50ns INFO [00037094] * RD COMPARE * port=0 adr=01 act=2A5CA7EAE9F6B92E6F exp=2A5CA7EAE9F6B92E6F + 37092.50ns INFO [00037094] * RD COMPARE * port=1 adr=07 act=3983C4D0994E1A7BFA exp=3983C4D0994E1A7BFA + 37092.50ns INFO [00037094] Port=0 WR @03=8B2BA338DE75D7B049 + 37092.50ns INFO [00037094] Port=0 RD @04 + 37092.50ns INFO [00037094] Port=1 RD @01 + 37093.50ns INFO [00037095] * RD COMPARE * port=0 adr=05 act=D3694DE266D215EC39 exp=D3694DE266D215EC39 + 37093.50ns INFO [00037095] * RD COMPARE * port=1 adr=07 act=3983C4D0994E1A7BFA exp=3983C4D0994E1A7BFA + 37094.50ns INFO [00037096] * RD COMPARE * port=0 adr=04 act=0B1677827C0972192C exp=0B1677827C0972192C + 37094.50ns INFO [00037096] * RD COMPARE * port=1 adr=01 act=2A5CA7EAE9F6B92E6F exp=2A5CA7EAE9F6B92E6F + 37094.50ns INFO [00037096] Port=0 WR @05=2AF8F4353EFB9014E1 + 37094.50ns INFO [00037096] Port=0 RD @04 + 37094.50ns INFO [00037096] Port=1 RD @07 + 37096.50ns INFO [00037098] * RD COMPARE * port=0 adr=04 act=0B1677827C0972192C exp=0B1677827C0972192C + 37096.50ns INFO [00037098] * RD COMPARE * port=1 adr=07 act=3983C4D0994E1A7BFA exp=3983C4D0994E1A7BFA + 37096.50ns INFO [00037098] Port=0 WR @03=C5EF77FC208C3B0EB4 + 37096.50ns INFO [00037098] Port=0 RD @06 + 37098.00ns INFO [00037100] [00037100] ...tick... + 37098.50ns INFO [00037100] * RD COMPARE * port=0 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37098.50ns INFO [00037100] Port=0 RD @02 + 37099.50ns INFO [00037101] Port=0 WR @07=C1A2767EED959DD4B3 + 37099.50ns INFO [00037101] Port=0 RD @00 + 37099.50ns INFO [00037101] Port=1 RD @02 + 37100.50ns INFO [00037102] * RD COMPARE * port=0 adr=02 act=2521603FC0CBB18E62 exp=2521603FC0CBB18E62 + 37100.50ns INFO [00037102] Port=0 RD @06 + 37101.50ns INFO [00037103] * RD COMPARE * port=0 adr=00 act=139F69B5A477BDA698 exp=139F69B5A477BDA698 + 37101.50ns INFO [00037103] * RD COMPARE * port=1 adr=02 act=2521603FC0CBB18E62 exp=2521603FC0CBB18E62 + 37101.50ns INFO [00037103] Port=0 WR @04=38C766CDCE54471CC4 + 37102.50ns INFO [00037104] * RD COMPARE * port=0 adr=06 act=8F6EEF644820AFC931 exp=8F6EEF644820AFC931 + 37103.50ns INFO [00037105] Port=0 RD @00 + 37103.50ns INFO [00037105] Port=1 RD @00 + 37104.50ns INFO [00037106] Port=0 RD @04 + 37104.50ns INFO [00037106] Port=1 RD @02 + 37105.50ns INFO [00037107] * RD COMPARE * port=0 adr=00 act=139F69B5A477BDA698 exp=139F69B5A477BDA698 + 37105.50ns INFO [00037107] * RD COMPARE * port=1 adr=00 act=139F69B5A477BDA698 exp=139F69B5A477BDA698 + 37106.50ns INFO [00037108] * RD COMPARE * port=0 adr=04 act=38C766CDCE54471CC4 exp=38C766CDCE54471CC4 + 37106.50ns INFO [00037108] * RD COMPARE * port=1 adr=02 act=2521603FC0CBB18E62 exp=2521603FC0CBB18E62 + 37108.50ns INFO [00037110] Port=1 RD @02 + 37109.50ns INFO [00037111] Port=0 WR @06=305B79B01BFCD8DBB6 + 37110.50ns INFO [00037112] * RD COMPARE * port=1 adr=02 act=2521603FC0CBB18E62 exp=2521603FC0CBB18E62 + 37110.50ns INFO [00037112] Port=0 RD @01 + 37111.50ns INFO [00037113] Port=1 RD @00 + 37112.50ns INFO [00037114] * RD COMPARE * port=0 adr=01 act=2A5CA7EAE9F6B92E6F exp=2A5CA7EAE9F6B92E6F + 37112.50ns INFO [00037114] Port=0 WR @07=D4A880BF0CF860545B + 37113.50ns INFO [00037115] * RD COMPARE * port=1 adr=00 act=139F69B5A477BDA698 exp=139F69B5A477BDA698 + 37113.50ns INFO [00037115] Port=0 RD @01 + 37114.50ns INFO [00037116] Port=0 RD @03 + 37115.50ns INFO [00037117] * RD COMPARE * port=0 adr=01 act=2A5CA7EAE9F6B92E6F exp=2A5CA7EAE9F6B92E6F + 37115.50ns INFO [00037117] Port=1 RD @03 + 37116.50ns INFO [00037118] * RD COMPARE * port=0 adr=03 act=C5EF77FC208C3B0EB4 exp=C5EF77FC208C3B0EB4 + 37116.50ns INFO [00037118] Port=0 WR @01=C305705B46A793C7F2 + 37116.50ns INFO [00037118] Port=0 RD @04 + 37117.50ns INFO [00037119] * RD COMPARE * port=1 adr=03 act=C5EF77FC208C3B0EB4 exp=C5EF77FC208C3B0EB4 + 37118.50ns INFO [00037120] * RD COMPARE * port=0 adr=04 act=38C766CDCE54471CC4 exp=38C766CDCE54471CC4 + 37118.50ns INFO [00037120] Port=0 RD @05 + 37118.50ns INFO [00037120] Port=1 RD @03 + 37119.50ns INFO [00037121] Port=0 WR @02=D2B70401F0E1A94884 + 37119.50ns INFO [00037121] Port=0 RD @07 + 37120.50ns INFO [00037122] * RD COMPARE * port=0 adr=05 act=2AF8F4353EFB9014E1 exp=2AF8F4353EFB9014E1 + 37120.50ns INFO [00037122] * RD COMPARE * port=1 adr=03 act=C5EF77FC208C3B0EB4 exp=C5EF77FC208C3B0EB4 + 37120.50ns INFO [00037122] Port=0 WR @02=40086AE5052BC1EF23 + 37120.50ns INFO [00037122] Port=0 RD @05 + 37121.50ns INFO [00037123] * RD COMPARE * port=0 adr=07 act=D4A880BF0CF860545B exp=D4A880BF0CF860545B + 37121.50ns INFO [00037123] Port=1 RD @05 + 37122.50ns INFO [00037124] * RD COMPARE * port=0 adr=05 act=2AF8F4353EFB9014E1 exp=2AF8F4353EFB9014E1 + 37122.50ns INFO [00037124] Port=0 WR @00=D5C9E7A4CC700C1B78 + 37123.50ns INFO [00037125] * RD COMPARE * port=1 adr=05 act=2AF8F4353EFB9014E1 exp=2AF8F4353EFB9014E1 + 37124.50ns INFO [00037126] Port=1 RD @07 + 37125.50ns INFO [00037127] Port=0 WR @02=313DD0CE45DCCA3701 + 37125.50ns INFO [00037127] Port=0 RD @03 + 37126.50ns INFO [00037128] * RD COMPARE * port=1 adr=07 act=D4A880BF0CF860545B exp=D4A880BF0CF860545B + 37127.50ns INFO [00037129] * RD COMPARE * port=0 adr=03 act=C5EF77FC208C3B0EB4 exp=C5EF77FC208C3B0EB4 + 37128.50ns INFO [00037130] Port=1 RD @02 + 37129.50ns INFO [00037131] Port=0 RD @02 + 37130.50ns INFO [00037132] * RD COMPARE * port=1 adr=02 act=313DD0CE45DCCA3701 exp=313DD0CE45DCCA3701 + 37130.50ns INFO [00037132] Port=0 WR @01=DA9FFC283C2A2DC3FD + 37130.50ns INFO [00037132] Port=0 RD @02 + 37131.50ns INFO [00037133] * RD COMPARE * port=0 adr=02 act=313DD0CE45DCCA3701 exp=313DD0CE45DCCA3701 + 37132.50ns INFO [00037134] * RD COMPARE * port=0 adr=02 act=313DD0CE45DCCA3701 exp=313DD0CE45DCCA3701 + 37132.50ns INFO [00037134] Port=0 RD @07 + 37133.50ns INFO [00037135] Port=0 WR @01=977C6CB0E0DF83DCAF + 37133.50ns INFO [00037135] Port=1 RD @06 + 37134.50ns INFO [00037136] * RD COMPARE * port=0 adr=07 act=D4A880BF0CF860545B exp=D4A880BF0CF860545B + 37134.50ns INFO [00037136] Port=0 WR @01=BB9CE8810CEFE78145 + 37135.50ns INFO [00037137] * RD COMPARE * port=1 adr=06 act=305B79B01BFCD8DBB6 exp=305B79B01BFCD8DBB6 + 37136.50ns INFO [00037138] Port=0 WR @01=DAA0D26AF969944AA2 + 37136.50ns INFO [00037138] Port=1 RD @06 + 37137.50ns INFO [00037139] Port=0 WR @03=BBFCB1BDA24512665E + 37137.50ns INFO [00037139] Port=0 RD @07 + 37138.50ns INFO [00037140] * RD COMPARE * port=1 adr=06 act=305B79B01BFCD8DBB6 exp=305B79B01BFCD8DBB6 + 37138.50ns INFO [00037140] Port=0 RD @03 + 37138.50ns INFO [00037140] Port=1 RD @07 + 37139.50ns INFO [00037141] * RD COMPARE * port=0 adr=07 act=D4A880BF0CF860545B exp=D4A880BF0CF860545B + 37139.50ns INFO [00037141] Port=0 WR @01=FFC4B389C4A94E20C2 + 37139.50ns INFO [00037141] Port=1 RD @07 + 37140.50ns INFO [00037142] * RD COMPARE * port=0 adr=03 act=BBFCB1BDA24512665E exp=BBFCB1BDA24512665E + 37140.50ns INFO [00037142] * RD COMPARE * port=1 adr=07 act=D4A880BF0CF860545B exp=D4A880BF0CF860545B + 37140.50ns INFO [00037142] Port=0 WR @04=446F0C855773A20E5B + 37140.50ns INFO [00037142] Port=1 RD @05 + 37141.50ns INFO [00037143] * RD COMPARE * port=1 adr=07 act=D4A880BF0CF860545B exp=D4A880BF0CF860545B + 37141.50ns INFO [00037143] Port=0 RD @02 + 37142.50ns INFO [00037144] * RD COMPARE * port=1 adr=05 act=2AF8F4353EFB9014E1 exp=2AF8F4353EFB9014E1 + 37143.50ns INFO [00037145] * RD COMPARE * port=0 adr=02 act=313DD0CE45DCCA3701 exp=313DD0CE45DCCA3701 + 37143.50ns INFO [00037145] Port=0 RD @01 + 37143.50ns INFO [00037145] Port=1 RD @01 + 37145.50ns INFO [00037147] * RD COMPARE * port=0 adr=01 act=FFC4B389C4A94E20C2 exp=FFC4B389C4A94E20C2 + 37145.50ns INFO [00037147] * RD COMPARE * port=1 adr=01 act=FFC4B389C4A94E20C2 exp=FFC4B389C4A94E20C2 + 37145.50ns INFO [00037147] Port=0 WR @07=CF0147973745C4517B + 37146.50ns INFO [00037148] Port=1 RD @06 + 37148.50ns INFO [00037150] * RD COMPARE * port=1 adr=06 act=305B79B01BFCD8DBB6 exp=305B79B01BFCD8DBB6 + 37149.50ns INFO [00037151] Port=0 WR @06=E82731501BB40515BB + 37150.50ns INFO [00037152] Port=1 RD @05 + 37151.50ns INFO [00037153] Port=1 RD @03 + 37152.50ns INFO [00037154] * RD COMPARE * port=1 adr=05 act=2AF8F4353EFB9014E1 exp=2AF8F4353EFB9014E1 + 37153.50ns INFO [00037155] * RD COMPARE * port=1 adr=03 act=BBFCB1BDA24512665E exp=BBFCB1BDA24512665E + 37153.50ns INFO [00037155] Port=0 RD @00 + 37154.50ns INFO [00037156] Port=0 RD @00 + 37155.50ns INFO [00037157] * RD COMPARE * port=0 adr=00 act=D5C9E7A4CC700C1B78 exp=D5C9E7A4CC700C1B78 + 37155.50ns INFO [00037157] Port=0 RD @03 + 37156.50ns INFO [00037158] * RD COMPARE * port=0 adr=00 act=D5C9E7A4CC700C1B78 exp=D5C9E7A4CC700C1B78 + 37156.50ns INFO [00037158] Port=0 WR @02=25192E5C7E366DB7F2 + 37156.50ns INFO [00037158] Port=1 RD @07 + 37157.50ns INFO [00037159] * RD COMPARE * port=0 adr=03 act=BBFCB1BDA24512665E exp=BBFCB1BDA24512665E + 37157.50ns INFO [00037159] Port=0 RD @07 + 37158.50ns INFO [00037160] * RD COMPARE * port=1 adr=07 act=CF0147973745C4517B exp=CF0147973745C4517B + 37158.50ns INFO [00037160] Port=0 WR @03=2B7D38D61E49BA5BCD + 37159.50ns INFO [00037161] * RD COMPARE * port=0 adr=07 act=CF0147973745C4517B exp=CF0147973745C4517B + 37159.50ns INFO [00037161] Port=0 WR @06=4514D69F18E4A86544 + 37159.50ns INFO [00037161] Port=0 RD @00 + 37159.50ns INFO [00037161] Port=1 RD @01 + 37160.50ns INFO [00037162] Port=0 WR @00=E0EB429E39CB8739F8 + 37160.50ns INFO [00037162] Port=0 RD @02 + 37161.50ns INFO [00037163] * RD COMPARE * port=0 adr=00 act=D5C9E7A4CC700C1B78 exp=D5C9E7A4CC700C1B78 + 37161.50ns INFO [00037163] * RD COMPARE * port=1 adr=01 act=FFC4B389C4A94E20C2 exp=FFC4B389C4A94E20C2 + 37161.50ns INFO [00037163] Port=0 RD @01 + 37162.50ns INFO [00037164] * RD COMPARE * port=0 adr=02 act=25192E5C7E366DB7F2 exp=25192E5C7E366DB7F2 + 37162.50ns INFO [00037164] Port=0 RD @01 + 37163.50ns INFO [00037165] * RD COMPARE * port=0 adr=01 act=FFC4B389C4A94E20C2 exp=FFC4B389C4A94E20C2 + 37163.50ns INFO [00037165] Port=0 WR @07=BAEBCAF4F6966508D8 + 37164.50ns INFO [00037166] * RD COMPARE * port=0 adr=01 act=FFC4B389C4A94E20C2 exp=FFC4B389C4A94E20C2 + 37165.50ns INFO [00037167] Port=1 RD @00 + 37166.50ns INFO [00037168] Port=0 WR @05=ED2D45E2B5C04EF667 + 37166.50ns INFO [00037168] Port=0 RD @06 + 37167.50ns INFO [00037169] * RD COMPARE * port=1 adr=00 act=E0EB429E39CB8739F8 exp=E0EB429E39CB8739F8 + 37167.50ns INFO [00037169] Port=0 WR @02=B5944850AA51FE7789 + 37168.50ns INFO [00037170] * RD COMPARE * port=0 adr=06 act=4514D69F18E4A86544 exp=4514D69F18E4A86544 + 37168.50ns INFO [00037170] Port=0 RD @01 + 37169.50ns INFO [00037171] Port=0 WR @03=21C3507EF7E55CEAB3 + 37169.50ns INFO [00037171] Port=1 RD @05 + 37170.50ns INFO [00037172] * RD COMPARE * port=0 adr=01 act=FFC4B389C4A94E20C2 exp=FFC4B389C4A94E20C2 + 37171.50ns INFO [00037173] * RD COMPARE * port=1 adr=05 act=ED2D45E2B5C04EF667 exp=ED2D45E2B5C04EF667 + 37171.50ns INFO [00037173] Port=0 RD @05 + 37171.50ns INFO [00037173] Port=1 RD @02 + 37173.50ns INFO [00037175] * RD COMPARE * port=0 adr=05 act=ED2D45E2B5C04EF667 exp=ED2D45E2B5C04EF667 + 37173.50ns INFO [00037175] * RD COMPARE * port=1 adr=02 act=B5944850AA51FE7789 exp=B5944850AA51FE7789 + 37173.50ns INFO [00037175] Port=0 WR @00=05976A85176AF9AAB4 + 37174.50ns INFO [00037176] Port=0 WR @04=D6F64CFE9B4EF3184B + 37174.50ns INFO [00037176] Port=0 RD @01 + 37176.50ns INFO [00037178] * RD COMPARE * port=0 adr=01 act=FFC4B389C4A94E20C2 exp=FFC4B389C4A94E20C2 + 37177.50ns INFO [00037179] Port=0 WR @03=1628C52E8F1E953FAB + 37177.50ns INFO [00037179] Port=0 RD @02 + 37178.50ns INFO [00037180] Port=1 RD @00 + 37179.50ns INFO [00037181] * RD COMPARE * port=0 adr=02 act=B5944850AA51FE7789 exp=B5944850AA51FE7789 + 37179.50ns INFO [00037181] Port=0 WR @06=2EDB6F2F73565F69AA + 37180.50ns INFO [00037182] * RD COMPARE * port=1 adr=00 act=05976A85176AF9AAB4 exp=05976A85176AF9AAB4 + 37180.50ns INFO [00037182] Port=0 RD @00 + 37182.50ns INFO [00037184] * RD COMPARE * port=0 adr=00 act=05976A85176AF9AAB4 exp=05976A85176AF9AAB4 + 37184.50ns INFO [00037186] Port=0 WR @00=A26502B44DF02D275C + 37186.50ns INFO [00037188] Port=0 WR @01=4EEDBD3175307C847E + 37186.50ns INFO [00037188] Port=0 RD @04 + 37186.50ns INFO [00037188] Port=1 RD @04 + 37188.50ns INFO [00037190] * RD COMPARE * port=0 adr=04 act=D6F64CFE9B4EF3184B exp=D6F64CFE9B4EF3184B + 37188.50ns INFO [00037190] * RD COMPARE * port=1 adr=04 act=D6F64CFE9B4EF3184B exp=D6F64CFE9B4EF3184B + 37188.50ns INFO [00037190] Port=0 RD @00 + 37188.50ns INFO [00037190] Port=1 RD @04 + 37190.50ns INFO [00037192] * RD COMPARE * port=0 adr=00 act=A26502B44DF02D275C exp=A26502B44DF02D275C + 37190.50ns INFO [00037192] * RD COMPARE * port=1 adr=04 act=D6F64CFE9B4EF3184B exp=D6F64CFE9B4EF3184B + 37193.50ns INFO [00037195] Port=1 RD @07 + 37195.50ns INFO [00037197] * RD COMPARE * port=1 adr=07 act=BAEBCAF4F6966508D8 exp=BAEBCAF4F6966508D8 + 37195.50ns INFO [00037197] Port=1 RD @05 + 37196.50ns INFO [00037198] Port=0 WR @03=7170AE766227343DA5 + 37196.50ns INFO [00037198] Port=0 RD @07 + 37196.50ns INFO [00037198] Port=1 RD @06 + 37197.50ns INFO [00037199] * RD COMPARE * port=1 adr=05 act=ED2D45E2B5C04EF667 exp=ED2D45E2B5C04EF667 + 37197.50ns INFO [00037199] Port=0 WR @03=AB48F4641A8AC273F6 + 37198.00ns INFO [00037200] [00037200] ...tick... + 37198.50ns INFO [00037200] * RD COMPARE * port=0 adr=07 act=BAEBCAF4F6966508D8 exp=BAEBCAF4F6966508D8 + 37198.50ns INFO [00037200] * RD COMPARE * port=1 adr=06 act=2EDB6F2F73565F69AA exp=2EDB6F2F73565F69AA + 37198.50ns INFO [00037200] Port=0 RD @02 + 37199.50ns INFO [00037201] Port=0 WR @06=4B9A2749AA92E0B7A0 + 37200.50ns INFO [00037202] * RD COMPARE * port=0 adr=02 act=B5944850AA51FE7789 exp=B5944850AA51FE7789 + 37200.50ns INFO [00037202] Port=1 RD @04 + 37201.50ns INFO [00037203] Port=0 WR @04=D9FD96FAE32AB04746 + 37202.50ns INFO [00037204] * RD COMPARE * port=1 adr=04 act=D6F64CFE9B4EF3184B exp=D6F64CFE9B4EF3184B + 37202.50ns INFO [00037204] Port=1 RD @00 + 37203.50ns INFO [00037205] Port=0 RD @03 + 37204.50ns INFO [00037206] * RD COMPARE * port=1 adr=00 act=A26502B44DF02D275C exp=A26502B44DF02D275C + 37204.50ns INFO [00037206] Port=0 WR @06=5C87BBB7EB101C3762 + 37205.50ns INFO [00037207] * RD COMPARE * port=0 adr=03 act=AB48F4641A8AC273F6 exp=AB48F4641A8AC273F6 + 37206.50ns INFO [00037208] Port=0 RD @01 + 37206.50ns INFO [00037208] Port=1 RD @06 + 37207.50ns INFO [00037209] Port=0 WR @07=4C48B328168F53157A + 37207.50ns INFO [00037209] Port=1 RD @02 + 37208.50ns INFO [00037210] * RD COMPARE * port=0 adr=01 act=4EEDBD3175307C847E exp=4EEDBD3175307C847E + 37208.50ns INFO [00037210] * RD COMPARE * port=1 adr=06 act=5C87BBB7EB101C3762 exp=5C87BBB7EB101C3762 + 37209.50ns INFO [00037211] * RD COMPARE * port=1 adr=02 act=B5944850AA51FE7789 exp=B5944850AA51FE7789 + 37209.50ns INFO [00037211] Port=0 WR @07=AC83E513CAED3EB78B + 37209.50ns INFO [00037211] Port=0 RD @01 + 37210.50ns INFO [00037212] Port=0 RD @04 + 37210.50ns INFO [00037212] Port=1 RD @03 + 37211.50ns INFO [00037213] * RD COMPARE * port=0 adr=01 act=4EEDBD3175307C847E exp=4EEDBD3175307C847E + 37211.50ns INFO [00037213] Port=0 WR @00=B12881C00BCB4B72B3 + 37211.50ns INFO [00037213] Port=1 RD @01 + 37212.50ns INFO [00037214] * RD COMPARE * port=0 adr=04 act=D9FD96FAE32AB04746 exp=D9FD96FAE32AB04746 + 37212.50ns INFO [00037214] * RD COMPARE * port=1 adr=03 act=AB48F4641A8AC273F6 exp=AB48F4641A8AC273F6 + 37212.50ns INFO [00037214] Port=0 WR @02=EAA85CA18E7845CE9C + 37213.50ns INFO [00037215] * RD COMPARE * port=1 adr=01 act=4EEDBD3175307C847E exp=4EEDBD3175307C847E + 37213.50ns INFO [00037215] Port=0 RD @02 + 37214.50ns INFO [00037216] Port=0 WR @03=B86B29EDA6C1C3A4F6 + 37215.50ns INFO [00037217] * RD COMPARE * port=0 adr=02 act=EAA85CA18E7845CE9C exp=EAA85CA18E7845CE9C + 37215.50ns INFO [00037217] Port=0 WR @01=C186F9C2B59385F8B2 + 37215.50ns INFO [00037217] Port=1 RD @00 + 37216.50ns INFO [00037218] Port=0 RD @07 + 37216.50ns INFO [00037218] Port=1 RD @03 + 37217.50ns INFO [00037219] * RD COMPARE * port=1 adr=00 act=B12881C00BCB4B72B3 exp=B12881C00BCB4B72B3 + 37217.50ns INFO [00037219] Port=0 WR @01=2D12ADB1D90208A6EB + 37217.50ns INFO [00037219] Port=0 RD @00 + 37217.50ns INFO [00037219] Port=1 RD @06 + 37218.50ns INFO [00037220] * RD COMPARE * port=0 adr=07 act=AC83E513CAED3EB78B exp=AC83E513CAED3EB78B + 37218.50ns INFO [00037220] * RD COMPARE * port=1 adr=03 act=B86B29EDA6C1C3A4F6 exp=B86B29EDA6C1C3A4F6 + 37218.50ns INFO [00037220] Port=0 WR @02=8186CFE3A9559C4D72 + 37218.50ns INFO [00037220] Port=0 RD @04 + 37219.50ns INFO [00037221] * RD COMPARE * port=0 adr=00 act=B12881C00BCB4B72B3 exp=B12881C00BCB4B72B3 + 37219.50ns INFO [00037221] * RD COMPARE * port=1 adr=06 act=5C87BBB7EB101C3762 exp=5C87BBB7EB101C3762 + 37219.50ns INFO [00037221] Port=0 WR @03=1DEB8C769A78A69940 + 37219.50ns INFO [00037221] Port=0 RD @02 + 37220.50ns INFO [00037222] * RD COMPARE * port=0 adr=04 act=D9FD96FAE32AB04746 exp=D9FD96FAE32AB04746 + 37220.50ns INFO [00037222] Port=0 WR @03=02EFB89AC7376B8850 + 37220.50ns INFO [00037222] Port=1 RD @02 + 37221.50ns INFO [00037223] * RD COMPARE * port=0 adr=02 act=8186CFE3A9559C4D72 exp=8186CFE3A9559C4D72 + 37221.50ns INFO [00037223] Port=0 WR @04=5E0495F5AF3A4A0C4E + 37221.50ns INFO [00037223] Port=0 RD @05 + 37222.50ns INFO [00037224] * RD COMPARE * port=1 adr=02 act=8186CFE3A9559C4D72 exp=8186CFE3A9559C4D72 + 37222.50ns INFO [00037224] Port=1 RD @05 + 37223.50ns INFO [00037225] * RD COMPARE * port=0 adr=05 act=ED2D45E2B5C04EF667 exp=ED2D45E2B5C04EF667 + 37223.50ns INFO [00037225] Port=0 WR @00=FE5D537DA02A3A88D8 + 37223.50ns INFO [00037225] Port=0 RD @07 + 37224.50ns INFO [00037226] * RD COMPARE * port=1 adr=05 act=ED2D45E2B5C04EF667 exp=ED2D45E2B5C04EF667 + 37224.50ns INFO [00037226] Port=0 RD @02 + 37224.50ns INFO [00037226] Port=1 RD @07 + 37225.50ns INFO [00037227] * RD COMPARE * port=0 adr=07 act=AC83E513CAED3EB78B exp=AC83E513CAED3EB78B + 37225.50ns INFO [00037227] Port=0 RD @07 + 37226.50ns INFO [00037228] * RD COMPARE * port=0 adr=02 act=8186CFE3A9559C4D72 exp=8186CFE3A9559C4D72 + 37226.50ns INFO [00037228] * RD COMPARE * port=1 adr=07 act=AC83E513CAED3EB78B exp=AC83E513CAED3EB78B + 37226.50ns INFO [00037228] Port=0 WR @06=DAA1519BCE7733AFE5 + 37226.50ns INFO [00037228] Port=1 RD @00 + 37227.50ns INFO [00037229] * RD COMPARE * port=0 adr=07 act=AC83E513CAED3EB78B exp=AC83E513CAED3EB78B + 37227.50ns INFO [00037229] Port=0 WR @04=CC66C533523A7095E4 + 37227.50ns INFO [00037229] Port=1 RD @01 + 37228.50ns INFO [00037230] * RD COMPARE * port=1 adr=00 act=FE5D537DA02A3A88D8 exp=FE5D537DA02A3A88D8 + 37228.50ns INFO [00037230] Port=0 WR @01=7744163D91B9A54042 + 37229.50ns INFO [00037231] * RD COMPARE * port=1 adr=01 act=2D12ADB1D90208A6EB exp=2D12ADB1D90208A6EB + 37229.50ns INFO [00037231] Port=0 RD @07 + 37229.50ns INFO [00037231] Port=1 RD @03 + 37230.50ns INFO [00037232] Port=0 WR @04=7DE9B5D98CEDF1FE77 + 37230.50ns INFO [00037232] Port=0 RD @00 + 37231.50ns INFO [00037233] * RD COMPARE * port=0 adr=07 act=AC83E513CAED3EB78B exp=AC83E513CAED3EB78B + 37231.50ns INFO [00037233] * RD COMPARE * port=1 adr=03 act=02EFB89AC7376B8850 exp=02EFB89AC7376B8850 + 37231.50ns INFO [00037233] Port=0 WR @05=54EDAA13ED1EB0A906 + 37231.50ns INFO [00037233] Port=1 RD @07 + 37232.50ns INFO [00037234] * RD COMPARE * port=0 adr=00 act=FE5D537DA02A3A88D8 exp=FE5D537DA02A3A88D8 + 37232.50ns INFO [00037234] Port=0 RD @03 + 37233.50ns INFO [00037235] * RD COMPARE * port=1 adr=07 act=AC83E513CAED3EB78B exp=AC83E513CAED3EB78B + 37233.50ns INFO [00037235] Port=0 WR @03=78FC799D227ADFD7E3 + 37234.50ns INFO [00037236] * RD COMPARE * port=0 adr=03 act=02EFB89AC7376B8850 exp=02EFB89AC7376B8850 + 37234.50ns INFO [00037236] Port=0 RD @05 + 37235.50ns INFO [00037237] Port=1 RD @00 + 37236.50ns INFO [00037238] * RD COMPARE * port=0 adr=05 act=54EDAA13ED1EB0A906 exp=54EDAA13ED1EB0A906 + 37236.50ns INFO [00037238] Port=0 WR @06=596138F2B67D9C2938 + 37236.50ns INFO [00037238] Port=0 RD @05 + 37236.50ns INFO [00037238] Port=1 RD @01 + 37237.50ns INFO [00037239] * RD COMPARE * port=1 adr=00 act=FE5D537DA02A3A88D8 exp=FE5D537DA02A3A88D8 + 37237.50ns INFO [00037239] Port=0 RD @00 + 37238.50ns INFO [00037240] * RD COMPARE * port=0 adr=05 act=54EDAA13ED1EB0A906 exp=54EDAA13ED1EB0A906 + 37238.50ns INFO [00037240] * RD COMPARE * port=1 adr=01 act=7744163D91B9A54042 exp=7744163D91B9A54042 + 37238.50ns INFO [00037240] Port=0 WR @00=2C73179F4E8639AC45 + 37239.50ns INFO [00037241] * RD COMPARE * port=0 adr=00 act=FE5D537DA02A3A88D8 exp=FE5D537DA02A3A88D8 + 37239.50ns INFO [00037241] Port=0 WR @04=E8E9DDFAB42E9209B6 + 37239.50ns INFO [00037241] Port=1 RD @07 + 37240.50ns INFO [00037242] Port=0 WR @01=E1AED045C7E99F59D4 + 37240.50ns INFO [00037242] Port=0 RD @06 + 37240.50ns INFO [00037242] Port=1 RD @00 + 37241.50ns INFO [00037243] * RD COMPARE * port=1 adr=07 act=AC83E513CAED3EB78B exp=AC83E513CAED3EB78B + 37241.50ns INFO [00037243] Port=0 WR @03=8A720CC2E9661310C2 + 37241.50ns INFO [00037243] Port=1 RD @07 + 37242.50ns INFO [00037244] * RD COMPARE * port=0 adr=06 act=596138F2B67D9C2938 exp=596138F2B67D9C2938 + 37242.50ns INFO [00037244] * RD COMPARE * port=1 adr=00 act=2C73179F4E8639AC45 exp=2C73179F4E8639AC45 + 37242.50ns INFO [00037244] Port=0 WR @02=0796C4927F6E6824EE + 37243.50ns INFO [00037245] * RD COMPARE * port=1 adr=07 act=AC83E513CAED3EB78B exp=AC83E513CAED3EB78B + 37243.50ns INFO [00037245] Port=0 WR @03=264A4F070255848AAA + 37246.50ns INFO [00037248] Port=0 RD @05 + 37246.50ns INFO [00037248] Port=1 RD @01 + 37247.50ns INFO [00037249] Port=0 WR @07=B55DCD80F0836B03ED + 37248.50ns INFO [00037250] * RD COMPARE * port=0 adr=05 act=54EDAA13ED1EB0A906 exp=54EDAA13ED1EB0A906 + 37248.50ns INFO [00037250] * RD COMPARE * port=1 adr=01 act=E1AED045C7E99F59D4 exp=E1AED045C7E99F59D4 + 37248.50ns INFO [00037250] Port=0 RD @06 + 37249.50ns INFO [00037251] Port=1 RD @01 + 37250.50ns INFO [00037252] * RD COMPARE * port=0 adr=06 act=596138F2B67D9C2938 exp=596138F2B67D9C2938 + 37250.50ns INFO [00037252] Port=0 RD @06 + 37250.50ns INFO [00037252] Port=1 RD @00 + 37251.50ns INFO [00037253] * RD COMPARE * port=1 adr=01 act=E1AED045C7E99F59D4 exp=E1AED045C7E99F59D4 + 37252.50ns INFO [00037254] * RD COMPARE * port=0 adr=06 act=596138F2B67D9C2938 exp=596138F2B67D9C2938 + 37252.50ns INFO [00037254] * RD COMPARE * port=1 adr=00 act=2C73179F4E8639AC45 exp=2C73179F4E8639AC45 + 37253.50ns INFO [00037255] Port=0 WR @01=724BC85015F35EF21F + 37253.50ns INFO [00037255] Port=0 RD @07 + 37254.50ns INFO [00037256] Port=1 RD @03 + 37255.50ns INFO [00037257] * RD COMPARE * port=0 adr=07 act=B55DCD80F0836B03ED exp=B55DCD80F0836B03ED + 37256.50ns INFO [00037258] * RD COMPARE * port=1 adr=03 act=264A4F070255848AAA exp=264A4F070255848AAA + 37256.50ns INFO [00037258] Port=0 RD @07 + 37258.50ns INFO [00037260] * RD COMPARE * port=0 adr=07 act=B55DCD80F0836B03ED exp=B55DCD80F0836B03ED + 37258.50ns INFO [00037260] Port=0 WR @01=FFD38EEE24C655AE38 + 37258.50ns INFO [00037260] Port=1 RD @04 + 37259.50ns INFO [00037261] Port=0 RD @05 + 37260.50ns INFO [00037262] * RD COMPARE * port=1 adr=04 act=E8E9DDFAB42E9209B6 exp=E8E9DDFAB42E9209B6 + 37260.50ns INFO [00037262] Port=0 RD @04 + 37260.50ns INFO [00037262] Port=1 RD @03 + 37261.50ns INFO [00037263] * RD COMPARE * port=0 adr=05 act=54EDAA13ED1EB0A906 exp=54EDAA13ED1EB0A906 + 37261.50ns INFO [00037263] Port=0 RD @01 + 37262.50ns INFO [00037264] * RD COMPARE * port=0 adr=04 act=E8E9DDFAB42E9209B6 exp=E8E9DDFAB42E9209B6 + 37262.50ns INFO [00037264] * RD COMPARE * port=1 adr=03 act=264A4F070255848AAA exp=264A4F070255848AAA + 37262.50ns INFO [00037264] Port=0 WR @05=FDF39C740429738A4C + 37263.50ns INFO [00037265] * RD COMPARE * port=0 adr=01 act=FFD38EEE24C655AE38 exp=FFD38EEE24C655AE38 + 37264.50ns INFO [00037266] Port=0 RD @01 + 37265.50ns INFO [00037267] Port=1 RD @02 + 37266.50ns INFO [00037268] * RD COMPARE * port=0 adr=01 act=FFD38EEE24C655AE38 exp=FFD38EEE24C655AE38 + 37266.50ns INFO [00037268] Port=0 RD @00 + 37267.50ns INFO [00037269] * RD COMPARE * port=1 adr=02 act=0796C4927F6E6824EE exp=0796C4927F6E6824EE + 37267.50ns INFO [00037269] Port=1 RD @02 + 37268.50ns INFO [00037270] * RD COMPARE * port=0 adr=00 act=2C73179F4E8639AC45 exp=2C73179F4E8639AC45 + 37268.50ns INFO [00037270] Port=0 WR @00=A3A9D487CF340E79E5 + 37269.50ns INFO [00037271] * RD COMPARE * port=1 adr=02 act=0796C4927F6E6824EE exp=0796C4927F6E6824EE + 37269.50ns INFO [00037271] Port=1 RD @01 + 37270.50ns INFO [00037272] Port=1 RD @06 + 37271.50ns INFO [00037273] * RD COMPARE * port=1 adr=01 act=FFD38EEE24C655AE38 exp=FFD38EEE24C655AE38 + 37272.50ns INFO [00037274] * RD COMPARE * port=1 adr=06 act=596138F2B67D9C2938 exp=596138F2B67D9C2938 + 37272.50ns INFO [00037274] Port=0 WR @00=DFAE6CB384C45D37AC + 37272.50ns INFO [00037274] Port=0 RD @03 + 37273.50ns INFO [00037275] Port=0 RD @02 + 37273.50ns INFO [00037275] Port=1 RD @00 + 37274.50ns INFO [00037276] * RD COMPARE * port=0 adr=03 act=264A4F070255848AAA exp=264A4F070255848AAA + 37274.50ns INFO [00037276] Port=0 WR @02=E78D8A9F2F7F55ADBC + 37274.50ns INFO [00037276] Port=0 RD @05 + 37275.50ns INFO [00037277] * RD COMPARE * port=0 adr=02 act=0796C4927F6E6824EE exp=0796C4927F6E6824EE + 37275.50ns INFO [00037277] * RD COMPARE * port=1 adr=00 act=DFAE6CB384C45D37AC exp=DFAE6CB384C45D37AC + 37275.50ns INFO [00037277] Port=1 RD @05 + 37276.50ns INFO [00037278] * RD COMPARE * port=0 adr=05 act=FDF39C740429738A4C exp=FDF39C740429738A4C + 37276.50ns INFO [00037278] Port=0 WR @04=788253EDC1601C9003 + 37276.50ns INFO [00037278] Port=1 RD @06 + 37277.50ns INFO [00037279] * RD COMPARE * port=1 adr=05 act=FDF39C740429738A4C exp=FDF39C740429738A4C + 37278.50ns INFO [00037280] * RD COMPARE * port=1 adr=06 act=596138F2B67D9C2938 exp=596138F2B67D9C2938 + 37278.50ns INFO [00037280] Port=1 RD @00 + 37279.50ns INFO [00037281] Port=0 WR @05=E5F7D2B9BEE66BDD21 + 37279.50ns INFO [00037281] Port=0 RD @02 + 37280.50ns INFO [00037282] * RD COMPARE * port=1 adr=00 act=DFAE6CB384C45D37AC exp=DFAE6CB384C45D37AC + 37280.50ns INFO [00037282] Port=0 RD @05 + 37281.50ns INFO [00037283] * RD COMPARE * port=0 adr=02 act=E78D8A9F2F7F55ADBC exp=E78D8A9F2F7F55ADBC + 37281.50ns INFO [00037283] Port=0 WR @03=6264DB5B72ACEC4DEB + 37281.50ns INFO [00037283] Port=0 RD @06 + 37282.50ns INFO [00037284] * RD COMPARE * port=0 adr=05 act=E5F7D2B9BEE66BDD21 exp=E5F7D2B9BEE66BDD21 + 37283.50ns INFO [00037285] * RD COMPARE * port=0 adr=06 act=596138F2B67D9C2938 exp=596138F2B67D9C2938 + 37283.50ns INFO [00037285] Port=0 RD @04 + 37283.50ns INFO [00037285] Port=1 RD @04 + 37284.50ns INFO [00037286] Port=0 RD @00 + 37285.50ns INFO [00037287] * RD COMPARE * port=0 adr=04 act=788253EDC1601C9003 exp=788253EDC1601C9003 + 37285.50ns INFO [00037287] * RD COMPARE * port=1 adr=04 act=788253EDC1601C9003 exp=788253EDC1601C9003 + 37285.50ns INFO [00037287] Port=0 WR @00=6EE60F82976F5DF156 + 37285.50ns INFO [00037287] Port=0 RD @01 + 37286.50ns INFO [00037288] * RD COMPARE * port=0 adr=00 act=DFAE6CB384C45D37AC exp=DFAE6CB384C45D37AC + 37287.50ns INFO [00037289] * RD COMPARE * port=0 adr=01 act=FFD38EEE24C655AE38 exp=FFD38EEE24C655AE38 + 37287.50ns INFO [00037289] Port=0 WR @04=B8CCA8540B49C4D3FD + 37288.50ns INFO [00037290] Port=0 WR @07=E076BDAA63FAFA3F98 + 37289.50ns INFO [00037291] Port=0 WR @07=364B49C7BDC3A7FE75 + 37290.50ns INFO [00037292] Port=0 WR @04=9D72468E1F5BEA54FE + 37290.50ns INFO [00037292] Port=1 RD @02 + 37291.50ns INFO [00037293] Port=0 RD @01 + 37292.50ns INFO [00037294] * RD COMPARE * port=1 adr=02 act=E78D8A9F2F7F55ADBC exp=E78D8A9F2F7F55ADBC + 37292.50ns INFO [00037294] Port=0 RD @03 + 37292.50ns INFO [00037294] Port=1 RD @07 + 37293.50ns INFO [00037295] * RD COMPARE * port=0 adr=01 act=FFD38EEE24C655AE38 exp=FFD38EEE24C655AE38 + 37293.50ns INFO [00037295] Port=0 RD @02 + 37294.50ns INFO [00037296] * RD COMPARE * port=0 adr=03 act=6264DB5B72ACEC4DEB exp=6264DB5B72ACEC4DEB + 37294.50ns INFO [00037296] * RD COMPARE * port=1 adr=07 act=364B49C7BDC3A7FE75 exp=364B49C7BDC3A7FE75 + 37294.50ns INFO [00037296] Port=0 WR @06=F3043D41BC68D592CA + 37294.50ns INFO [00037296] Port=0 RD @07 + 37295.50ns INFO [00037297] * RD COMPARE * port=0 adr=02 act=E78D8A9F2F7F55ADBC exp=E78D8A9F2F7F55ADBC + 37296.50ns INFO [00037298] * RD COMPARE * port=0 adr=07 act=364B49C7BDC3A7FE75 exp=364B49C7BDC3A7FE75 + 37296.50ns INFO [00037298] Port=0 WR @02=16555B63BBFF221C2F + 37296.50ns INFO [00037298] Port=1 RD @06 + 37297.50ns INFO [00037299] Port=0 WR @00=7916E67FA7498BDDE4 + 37297.50ns INFO [00037299] Port=1 RD @05 + 37298.00ns INFO [00037300] [00037300] ...tick... + 37298.50ns INFO [00037300] * RD COMPARE * port=1 adr=06 act=F3043D41BC68D592CA exp=F3043D41BC68D592CA + 37298.50ns INFO [00037300] Port=1 RD @05 + 37299.50ns INFO [00037301] * RD COMPARE * port=1 adr=05 act=E5F7D2B9BEE66BDD21 exp=E5F7D2B9BEE66BDD21 + 37299.50ns INFO [00037301] Port=0 WR @05=D4AE59B56BC3B2D968 + 37299.50ns INFO [00037301] Port=1 RD @04 + 37300.50ns INFO [00037302] * RD COMPARE * port=1 adr=05 act=E5F7D2B9BEE66BDD21 exp=E5F7D2B9BEE66BDD21 + 37300.50ns INFO [00037302] Port=0 WR @04=31529330CDFA254345 + 37300.50ns INFO [00037302] Port=0 RD @02 + 37301.50ns INFO [00037303] * RD COMPARE * port=1 adr=04 act=9D72468E1F5BEA54FE exp=9D72468E1F5BEA54FE + 37301.50ns INFO [00037303] Port=0 RD @03 + 37302.50ns INFO [00037304] * RD COMPARE * port=0 adr=02 act=16555B63BBFF221C2F exp=16555B63BBFF221C2F + 37302.50ns INFO [00037304] Port=0 WR @07=0D6AB6EE955149ECEB + 37302.50ns INFO [00037304] Port=1 RD @05 + 37303.50ns INFO [00037305] * RD COMPARE * port=0 adr=03 act=6264DB5B72ACEC4DEB exp=6264DB5B72ACEC4DEB + 37303.50ns INFO [00037305] Port=0 WR @01=56D9DBC72E7D76BF8A + 37304.50ns INFO [00037306] * RD COMPARE * port=1 adr=05 act=D4AE59B56BC3B2D968 exp=D4AE59B56BC3B2D968 + 37304.50ns INFO [00037306] Port=0 RD @05 + 37304.50ns INFO [00037306] Port=1 RD @01 + 37305.50ns INFO [00037307] Port=0 WR @07=64D7AA5A645345252B + 37305.50ns INFO [00037307] Port=0 RD @03 + 37306.50ns INFO [00037308] * RD COMPARE * port=0 adr=05 act=D4AE59B56BC3B2D968 exp=D4AE59B56BC3B2D968 + 37306.50ns INFO [00037308] * RD COMPARE * port=1 adr=01 act=56D9DBC72E7D76BF8A exp=56D9DBC72E7D76BF8A + 37306.50ns INFO [00037308] Port=0 RD @03 + 37306.50ns INFO [00037308] Port=1 RD @06 + 37307.50ns INFO [00037309] * RD COMPARE * port=0 adr=03 act=6264DB5B72ACEC4DEB exp=6264DB5B72ACEC4DEB + 37307.50ns INFO [00037309] Port=0 RD @02 + 37308.50ns INFO [00037310] * RD COMPARE * port=0 adr=03 act=6264DB5B72ACEC4DEB exp=6264DB5B72ACEC4DEB + 37308.50ns INFO [00037310] * RD COMPARE * port=1 adr=06 act=F3043D41BC68D592CA exp=F3043D41BC68D592CA + 37308.50ns INFO [00037310] Port=1 RD @01 + 37309.50ns INFO [00037311] * RD COMPARE * port=0 adr=02 act=16555B63BBFF221C2F exp=16555B63BBFF221C2F + 37309.50ns INFO [00037311] Port=1 RD @02 + 37310.50ns INFO [00037312] * RD COMPARE * port=1 adr=01 act=56D9DBC72E7D76BF8A exp=56D9DBC72E7D76BF8A + 37310.50ns INFO [00037312] Port=0 RD @03 + 37310.50ns INFO [00037312] Port=1 RD @03 + 37311.50ns INFO [00037313] * RD COMPARE * port=1 adr=02 act=16555B63BBFF221C2F exp=16555B63BBFF221C2F + 37311.50ns INFO [00037313] Port=0 RD @06 + 37311.50ns INFO [00037313] Port=1 RD @03 + 37312.50ns INFO [00037314] * RD COMPARE * port=0 adr=03 act=6264DB5B72ACEC4DEB exp=6264DB5B72ACEC4DEB + 37312.50ns INFO [00037314] * RD COMPARE * port=1 adr=03 act=6264DB5B72ACEC4DEB exp=6264DB5B72ACEC4DEB + 37312.50ns INFO [00037314] Port=0 WR @07=04ECF529FE63985657 + 37313.50ns INFO [00037315] * RD COMPARE * port=0 adr=06 act=F3043D41BC68D592CA exp=F3043D41BC68D592CA + 37313.50ns INFO [00037315] * RD COMPARE * port=1 adr=03 act=6264DB5B72ACEC4DEB exp=6264DB5B72ACEC4DEB + 37313.50ns INFO [00037315] Port=0 RD @01 + 37313.50ns INFO [00037315] Port=1 RD @02 + 37314.50ns INFO [00037316] Port=0 WR @02=50F2D3B129FE8A5FAA + 37314.50ns INFO [00037316] Port=0 RD @05 + 37315.50ns INFO [00037317] * RD COMPARE * port=0 adr=01 act=56D9DBC72E7D76BF8A exp=56D9DBC72E7D76BF8A + 37315.50ns INFO [00037317] * RD COMPARE * port=1 adr=02 act=16555B63BBFF221C2F exp=16555B63BBFF221C2F + 37315.50ns INFO [00037317] Port=0 WR @01=32E6094E7A526616DC + 37315.50ns INFO [00037317] Port=1 RD @03 + 37316.50ns INFO [00037318] * RD COMPARE * port=0 adr=05 act=D4AE59B56BC3B2D968 exp=D4AE59B56BC3B2D968 + 37316.50ns INFO [00037318] Port=0 WR @06=A471197E58D9B15C1E + 37316.50ns INFO [00037318] Port=0 RD @02 + 37316.50ns INFO [00037318] Port=1 RD @03 + 37317.50ns INFO [00037319] * RD COMPARE * port=1 adr=03 act=6264DB5B72ACEC4DEB exp=6264DB5B72ACEC4DEB + 37317.50ns INFO [00037319] Port=0 WR @01=EB141B21E4C5CA307F + 37318.50ns INFO [00037320] * RD COMPARE * port=0 adr=02 act=50F2D3B129FE8A5FAA exp=50F2D3B129FE8A5FAA + 37318.50ns INFO [00037320] * RD COMPARE * port=1 adr=03 act=6264DB5B72ACEC4DEB exp=6264DB5B72ACEC4DEB + 37319.50ns INFO [00037321] Port=0 WR @05=DFF897DAD02CD2267A + 37319.50ns INFO [00037321] Port=1 RD @04 + 37320.50ns INFO [00037322] Port=1 RD @03 + 37321.50ns INFO [00037323] * RD COMPARE * port=1 adr=04 act=31529330CDFA254345 exp=31529330CDFA254345 + 37321.50ns INFO [00037323] Port=0 WR @01=8A82264DEA7BCC4666 + 37321.50ns INFO [00037323] Port=0 RD @00 + 37322.50ns INFO [00037324] * RD COMPARE * port=1 adr=03 act=6264DB5B72ACEC4DEB exp=6264DB5B72ACEC4DEB + 37322.50ns INFO [00037324] Port=0 RD @02 + 37322.50ns INFO [00037324] Port=1 RD @02 + 37323.50ns INFO [00037325] * RD COMPARE * port=0 adr=00 act=7916E67FA7498BDDE4 exp=7916E67FA7498BDDE4 + 37323.50ns INFO [00037325] Port=0 WR @03=0FBE1BF7B6AC4D8191 + 37323.50ns INFO [00037325] Port=1 RD @07 + 37324.50ns INFO [00037326] * RD COMPARE * port=0 adr=02 act=50F2D3B129FE8A5FAA exp=50F2D3B129FE8A5FAA + 37324.50ns INFO [00037326] * RD COMPARE * port=1 adr=02 act=50F2D3B129FE8A5FAA exp=50F2D3B129FE8A5FAA + 37324.50ns INFO [00037326] Port=0 RD @06 + 37324.50ns INFO [00037326] Port=1 RD @04 + 37325.50ns INFO [00037327] * RD COMPARE * port=1 adr=07 act=04ECF529FE63985657 exp=04ECF529FE63985657 + 37325.50ns INFO [00037327] Port=0 WR @04=59D77F71EB0FE50C76 + 37325.50ns INFO [00037327] Port=1 RD @05 + 37326.50ns INFO [00037328] * RD COMPARE * port=0 adr=06 act=A471197E58D9B15C1E exp=A471197E58D9B15C1E + 37326.50ns INFO [00037328] * RD COMPARE * port=1 adr=04 act=31529330CDFA254345 exp=31529330CDFA254345 + 37326.50ns INFO [00037328] Port=0 WR @01=F9D897C4CF8EB52ADC + 37326.50ns INFO [00037328] Port=1 RD @02 + 37327.50ns INFO [00037329] * RD COMPARE * port=1 adr=05 act=DFF897DAD02CD2267A exp=DFF897DAD02CD2267A + 37327.50ns INFO [00037329] Port=0 WR @01=1A452D4A9098D0A5D7 + 37327.50ns INFO [00037329] Port=1 RD @02 + 37328.50ns INFO [00037330] * RD COMPARE * port=1 adr=02 act=50F2D3B129FE8A5FAA exp=50F2D3B129FE8A5FAA + 37328.50ns INFO [00037330] Port=0 WR @00=1D035314F6A1A36E4B + 37328.50ns INFO [00037330] Port=0 RD @04 + 37328.50ns INFO [00037330] Port=1 RD @02 + 37329.50ns INFO [00037331] * RD COMPARE * port=1 adr=02 act=50F2D3B129FE8A5FAA exp=50F2D3B129FE8A5FAA + 37329.50ns INFO [00037331] Port=0 WR @02=9B4C91F83B8AC3FB5F + 37329.50ns INFO [00037331] Port=0 RD @01 + 37330.50ns INFO [00037332] * RD COMPARE * port=0 adr=04 act=59D77F71EB0FE50C76 exp=59D77F71EB0FE50C76 + 37330.50ns INFO [00037332] * RD COMPARE * port=1 adr=02 act=50F2D3B129FE8A5FAA exp=50F2D3B129FE8A5FAA + 37330.50ns INFO [00037332] Port=0 RD @02 + 37331.50ns INFO [00037333] * RD COMPARE * port=0 adr=01 act=1A452D4A9098D0A5D7 exp=1A452D4A9098D0A5D7 + 37331.50ns INFO [00037333] Port=0 RD @00 + 37331.50ns INFO [00037333] Port=1 RD @00 + 37332.50ns INFO [00037334] * RD COMPARE * port=0 adr=02 act=9B4C91F83B8AC3FB5F exp=9B4C91F83B8AC3FB5F + 37332.50ns INFO [00037334] Port=1 RD @00 + 37333.50ns INFO [00037335] * RD COMPARE * port=0 adr=00 act=1D035314F6A1A36E4B exp=1D035314F6A1A36E4B + 37333.50ns INFO [00037335] * RD COMPARE * port=1 adr=00 act=1D035314F6A1A36E4B exp=1D035314F6A1A36E4B + 37333.50ns INFO [00037335] Port=1 RD @03 + 37334.50ns INFO [00037336] * RD COMPARE * port=1 adr=00 act=1D035314F6A1A36E4B exp=1D035314F6A1A36E4B + 37334.50ns INFO [00037336] Port=0 WR @02=46AED25AF372C8198F + 37335.50ns INFO [00037337] * RD COMPARE * port=1 adr=03 act=0FBE1BF7B6AC4D8191 exp=0FBE1BF7B6AC4D8191 + 37336.50ns INFO [00037338] Port=1 RD @05 + 37337.50ns INFO [00037339] Port=1 RD @06 + 37338.50ns INFO [00037340] * RD COMPARE * port=1 adr=05 act=DFF897DAD02CD2267A exp=DFF897DAD02CD2267A + 37338.50ns INFO [00037340] Port=1 RD @01 + 37339.50ns INFO [00037341] * RD COMPARE * port=1 adr=06 act=A471197E58D9B15C1E exp=A471197E58D9B15C1E + 37339.50ns INFO [00037341] Port=0 WR @05=E54C1E782EE8EED26B + 37339.50ns INFO [00037341] Port=1 RD @06 + 37340.50ns INFO [00037342] * RD COMPARE * port=1 adr=01 act=1A452D4A9098D0A5D7 exp=1A452D4A9098D0A5D7 + 37341.50ns INFO [00037343] * RD COMPARE * port=1 adr=06 act=A471197E58D9B15C1E exp=A471197E58D9B15C1E + 37341.50ns INFO [00037343] Port=1 RD @06 + 37342.50ns INFO [00037344] Port=0 WR @03=8CBCB4215426CAE780 + 37342.50ns INFO [00037344] Port=0 RD @06 + 37343.50ns INFO [00037345] * RD COMPARE * port=1 adr=06 act=A471197E58D9B15C1E exp=A471197E58D9B15C1E + 37343.50ns INFO [00037345] Port=0 WR @05=61F0915C62DFC4E5D0 + 37343.50ns INFO [00037345] Port=0 RD @03 + 37343.50ns INFO [00037345] Port=1 RD @01 + 37344.50ns INFO [00037346] * RD COMPARE * port=0 adr=06 act=A471197E58D9B15C1E exp=A471197E58D9B15C1E + 37344.50ns INFO [00037346] Port=0 WR @03=E0DE33EE627631FA08 + 37344.50ns INFO [00037346] Port=1 RD @07 + 37345.50ns INFO [00037347] * RD COMPARE * port=0 adr=03 act=8CBCB4215426CAE780 exp=8CBCB4215426CAE780 + 37345.50ns INFO [00037347] * RD COMPARE * port=1 adr=01 act=1A452D4A9098D0A5D7 exp=1A452D4A9098D0A5D7 + 37345.50ns INFO [00037347] Port=0 WR @02=D92A117953646842B0 + 37346.50ns INFO [00037348] * RD COMPARE * port=1 adr=07 act=04ECF529FE63985657 exp=04ECF529FE63985657 + 37346.50ns INFO [00037348] Port=0 RD @05 + 37347.50ns INFO [00037349] Port=1 RD @07 + 37348.50ns INFO [00037350] * RD COMPARE * port=0 adr=05 act=61F0915C62DFC4E5D0 exp=61F0915C62DFC4E5D0 + 37348.50ns INFO [00037350] Port=1 RD @01 + 37349.50ns INFO [00037351] * RD COMPARE * port=1 adr=07 act=04ECF529FE63985657 exp=04ECF529FE63985657 + 37349.50ns INFO [00037351] Port=0 RD @05 + 37350.50ns INFO [00037352] * RD COMPARE * port=1 adr=01 act=1A452D4A9098D0A5D7 exp=1A452D4A9098D0A5D7 + 37350.50ns INFO [00037352] Port=0 WR @06=6DB08F0F24B168391B + 37350.50ns INFO [00037352] Port=0 RD @04 + 37351.50ns INFO [00037353] * RD COMPARE * port=0 adr=05 act=61F0915C62DFC4E5D0 exp=61F0915C62DFC4E5D0 + 37351.50ns INFO [00037353] Port=0 RD @00 + 37352.50ns INFO [00037354] * RD COMPARE * port=0 adr=04 act=59D77F71EB0FE50C76 exp=59D77F71EB0FE50C76 + 37352.50ns INFO [00037354] Port=1 RD @07 + 37353.50ns INFO [00037355] * RD COMPARE * port=0 adr=00 act=1D035314F6A1A36E4B exp=1D035314F6A1A36E4B + 37354.50ns INFO [00037356] * RD COMPARE * port=1 adr=07 act=04ECF529FE63985657 exp=04ECF529FE63985657 + 37354.50ns INFO [00037356] Port=0 RD @01 + 37354.50ns INFO [00037356] Port=1 RD @07 + 37355.50ns INFO [00037357] Port=0 WR @04=7DFE3A362AFBC24936 + 37355.50ns INFO [00037357] Port=1 RD @03 + 37356.50ns INFO [00037358] * RD COMPARE * port=0 adr=01 act=1A452D4A9098D0A5D7 exp=1A452D4A9098D0A5D7 + 37356.50ns INFO [00037358] * RD COMPARE * port=1 adr=07 act=04ECF529FE63985657 exp=04ECF529FE63985657 + 37356.50ns INFO [00037358] Port=0 RD @03 + 37357.50ns INFO [00037359] * RD COMPARE * port=1 adr=03 act=E0DE33EE627631FA08 exp=E0DE33EE627631FA08 + 37358.50ns INFO [00037360] * RD COMPARE * port=0 adr=03 act=E0DE33EE627631FA08 exp=E0DE33EE627631FA08 + 37358.50ns INFO [00037360] Port=0 WR @05=6EB0BDD2FC547EBE46 + 37359.50ns INFO [00037361] Port=0 WR @06=A6AD728074E584335B + 37360.50ns INFO [00037362] Port=0 WR @02=9E824578C82D6FA564 + 37360.50ns INFO [00037362] Port=1 RD @05 + 37361.50ns INFO [00037363] Port=0 WR @01=5BEDD8C97B600FA7EB + 37362.50ns INFO [00037364] * RD COMPARE * port=1 adr=05 act=6EB0BDD2FC547EBE46 exp=6EB0BDD2FC547EBE46 + 37364.50ns INFO [00037366] Port=0 WR @04=4FD52157B3517E5646 + 37364.50ns INFO [00037366] Port=1 RD @07 + 37365.50ns INFO [00037367] Port=0 RD @07 + 37366.50ns INFO [00037368] * RD COMPARE * port=1 adr=07 act=04ECF529FE63985657 exp=04ECF529FE63985657 + 37366.50ns INFO [00037368] Port=0 RD @02 + 37366.50ns INFO [00037368] Port=1 RD @03 + 37367.50ns INFO [00037369] * RD COMPARE * port=0 adr=07 act=04ECF529FE63985657 exp=04ECF529FE63985657 + 37367.50ns INFO [00037369] Port=0 WR @01=4A90DA3A9393173018 + 37367.50ns INFO [00037369] Port=0 RD @06 + 37368.50ns INFO [00037370] * RD COMPARE * port=0 adr=02 act=9E824578C82D6FA564 exp=9E824578C82D6FA564 + 37368.50ns INFO [00037370] * RD COMPARE * port=1 adr=03 act=E0DE33EE627631FA08 exp=E0DE33EE627631FA08 + 37369.50ns INFO [00037371] * RD COMPARE * port=0 adr=06 act=A6AD728074E584335B exp=A6AD728074E584335B + 37369.50ns INFO [00037371] Port=0 RD @05 + 37371.50ns INFO [00037373] * RD COMPARE * port=0 adr=05 act=6EB0BDD2FC547EBE46 exp=6EB0BDD2FC547EBE46 + 37371.50ns INFO [00037373] Port=0 RD @05 + 37372.50ns INFO [00037374] Port=0 WR @07=51419886859E6C20F0 + 37372.50ns INFO [00037374] Port=1 RD @00 + 37373.50ns INFO [00037375] * RD COMPARE * port=0 adr=05 act=6EB0BDD2FC547EBE46 exp=6EB0BDD2FC547EBE46 + 37373.50ns INFO [00037375] Port=0 WR @02=5F67CC38E227904EEC + 37374.50ns INFO [00037376] * RD COMPARE * port=1 adr=00 act=1D035314F6A1A36E4B exp=1D035314F6A1A36E4B + 37375.50ns INFO [00037377] Port=0 WR @07=B535903B8A380CB020 + 37377.50ns INFO [00037379] Port=0 WR @06=2081A50239EF587D3C + 37377.50ns INFO [00037379] Port=1 RD @02 + 37378.50ns INFO [00037380] Port=0 WR @04=94D14229486C2586E6 + 37379.50ns INFO [00037381] * RD COMPARE * port=1 adr=02 act=5F67CC38E227904EEC exp=5F67CC38E227904EEC + 37380.50ns INFO [00037382] Port=0 RD @05 + 37381.50ns INFO [00037383] Port=0 WR @03=F53DBC69E2881F26FA + 37381.50ns INFO [00037383] Port=0 RD @04 + 37382.50ns INFO [00037384] * RD COMPARE * port=0 adr=05 act=6EB0BDD2FC547EBE46 exp=6EB0BDD2FC547EBE46 + 37382.50ns INFO [00037384] Port=1 RD @06 + 37383.50ns INFO [00037385] * RD COMPARE * port=0 adr=04 act=94D14229486C2586E6 exp=94D14229486C2586E6 + 37383.50ns INFO [00037385] Port=0 WR @06=15D402F5F3B6A59B97 + 37383.50ns INFO [00037385] Port=0 RD @02 + 37383.50ns INFO [00037385] Port=1 RD @03 + 37384.50ns INFO [00037386] * RD COMPARE * port=1 adr=06 act=2081A50239EF587D3C exp=2081A50239EF587D3C + 37385.50ns INFO [00037387] * RD COMPARE * port=0 adr=02 act=5F67CC38E227904EEC exp=5F67CC38E227904EEC + 37385.50ns INFO [00037387] * RD COMPARE * port=1 adr=03 act=F53DBC69E2881F26FA exp=F53DBC69E2881F26FA + 37385.50ns INFO [00037387] Port=0 RD @04 + 37387.50ns INFO [00037389] * RD COMPARE * port=0 adr=04 act=94D14229486C2586E6 exp=94D14229486C2586E6 + 37387.50ns INFO [00037389] Port=0 WR @07=1EE90E879823556B26 + 37387.50ns INFO [00037389] Port=1 RD @04 + 37388.50ns INFO [00037390] Port=1 RD @01 + 37389.50ns INFO [00037391] * RD COMPARE * port=1 adr=04 act=94D14229486C2586E6 exp=94D14229486C2586E6 + 37390.50ns INFO [00037392] * RD COMPARE * port=1 adr=01 act=4A90DA3A9393173018 exp=4A90DA3A9393173018 + 37390.50ns INFO [00037392] Port=1 RD @04 + 37391.50ns INFO [00037393] Port=0 RD @06 + 37392.50ns INFO [00037394] * RD COMPARE * port=1 adr=04 act=94D14229486C2586E6 exp=94D14229486C2586E6 + 37392.50ns INFO [00037394] Port=0 WR @00=6B91C9B17F9DB0B36D + 37392.50ns INFO [00037394] Port=0 RD @07 + 37393.50ns INFO [00037395] * RD COMPARE * port=0 adr=06 act=15D402F5F3B6A59B97 exp=15D402F5F3B6A59B97 + 37393.50ns INFO [00037395] Port=0 WR @01=818C83CB9F2BD48634 + 37393.50ns INFO [00037395] Port=0 RD @03 + 37394.50ns INFO [00037396] * RD COMPARE * port=0 adr=07 act=1EE90E879823556B26 exp=1EE90E879823556B26 + 37394.50ns INFO [00037396] Port=0 RD @00 + 37395.50ns INFO [00037397] * RD COMPARE * port=0 adr=03 act=F53DBC69E2881F26FA exp=F53DBC69E2881F26FA + 37395.50ns INFO [00037397] Port=0 WR @03=DE76C486863D0D2948 + 37396.50ns INFO [00037398] * RD COMPARE * port=0 adr=00 act=6B91C9B17F9DB0B36D exp=6B91C9B17F9DB0B36D + 37396.50ns INFO [00037398] Port=0 WR @05=2C8E8C7951CD897671 + 37396.50ns INFO [00037398] Port=0 RD @07 + 37398.00ns INFO [00037400] [00037400] ...tick... + 37398.50ns INFO [00037400] * RD COMPARE * port=0 adr=07 act=1EE90E879823556B26 exp=1EE90E879823556B26 + 37399.50ns INFO [00037401] Port=0 WR @01=F92BE2DE38DADCDDE0 + 37399.50ns INFO [00037401] Port=0 RD @03 + 37400.50ns INFO [00037402] Port=0 WR @04=2378131CCEF6E9903B + 37400.50ns INFO [00037402] Port=0 RD @02 + 37400.50ns INFO [00037402] Port=1 RD @03 + 37401.50ns INFO [00037403] * RD COMPARE * port=0 adr=03 act=DE76C486863D0D2948 exp=DE76C486863D0D2948 + 37402.50ns INFO [00037404] * RD COMPARE * port=0 adr=02 act=5F67CC38E227904EEC exp=5F67CC38E227904EEC + 37402.50ns INFO [00037404] * RD COMPARE * port=1 adr=03 act=DE76C486863D0D2948 exp=DE76C486863D0D2948 + 37402.50ns INFO [00037404] Port=0 WR @02=47B2C4F24DCD590137 + 37402.50ns INFO [00037404] Port=0 RD @04 + 37403.50ns INFO [00037405] Port=0 RD @04 + 37404.50ns INFO [00037406] * RD COMPARE * port=0 adr=04 act=2378131CCEF6E9903B exp=2378131CCEF6E9903B + 37404.50ns INFO [00037406] Port=0 WR @02=135EB7DFA618E02DB5 + 37404.50ns INFO [00037406] Port=0 RD @00 + 37405.50ns INFO [00037407] * RD COMPARE * port=0 adr=04 act=2378131CCEF6E9903B exp=2378131CCEF6E9903B + 37405.50ns INFO [00037407] Port=0 WR @03=DAF094EDDE6CB2423A + 37406.50ns INFO [00037408] * RD COMPARE * port=0 adr=00 act=6B91C9B17F9DB0B36D exp=6B91C9B17F9DB0B36D + 37406.50ns INFO [00037408] Port=1 RD @02 + 37407.50ns INFO [00037409] Port=0 WR @00=180637A550EFF8D36C + 37407.50ns INFO [00037409] Port=1 RD @03 + 37408.50ns INFO [00037410] * RD COMPARE * port=1 adr=02 act=135EB7DFA618E02DB5 exp=135EB7DFA618E02DB5 + 37408.50ns INFO [00037410] Port=0 WR @02=5A67A28C97E3C7DC0E + 37408.50ns INFO [00037410] Port=0 RD @05 + 37409.50ns INFO [00037411] * RD COMPARE * port=1 adr=03 act=DAF094EDDE6CB2423A exp=DAF094EDDE6CB2423A + 37409.50ns INFO [00037411] Port=0 WR @03=37ADE5855436B6E712 + 37409.50ns INFO [00037411] Port=0 RD @05 + 37410.50ns INFO [00037412] * RD COMPARE * port=0 adr=05 act=2C8E8C7951CD897671 exp=2C8E8C7951CD897671 + 37410.50ns INFO [00037412] Port=1 RD @03 + 37411.50ns INFO [00037413] * RD COMPARE * port=0 adr=05 act=2C8E8C7951CD897671 exp=2C8E8C7951CD897671 + 37412.50ns INFO [00037414] * RD COMPARE * port=1 adr=03 act=37ADE5855436B6E712 exp=37ADE5855436B6E712 + 37414.50ns INFO [00037416] Port=0 RD @02 + 37414.50ns INFO [00037416] Port=1 RD @07 + 37416.50ns INFO [00037418] * RD COMPARE * port=0 adr=02 act=5A67A28C97E3C7DC0E exp=5A67A28C97E3C7DC0E + 37416.50ns INFO [00037418] * RD COMPARE * port=1 adr=07 act=1EE90E879823556B26 exp=1EE90E879823556B26 + 37417.50ns INFO [00037419] Port=0 WR @00=E1F36C08CB23CC40F8 + 37417.50ns INFO [00037419] Port=0 RD @05 + 37418.50ns INFO [00037420] Port=0 RD @03 + 37419.50ns INFO [00037421] * RD COMPARE * port=0 adr=05 act=2C8E8C7951CD897671 exp=2C8E8C7951CD897671 + 37419.50ns INFO [00037421] Port=0 WR @00=36D77A154C44424A41 + 37419.50ns INFO [00037421] Port=1 RD @06 + 37420.50ns INFO [00037422] * RD COMPARE * port=0 adr=03 act=37ADE5855436B6E712 exp=37ADE5855436B6E712 + 37420.50ns INFO [00037422] Port=0 RD @06 + 37420.50ns INFO [00037422] Port=1 RD @04 + 37421.50ns INFO [00037423] * RD COMPARE * port=1 adr=06 act=15D402F5F3B6A59B97 exp=15D402F5F3B6A59B97 + 37422.50ns INFO [00037424] * RD COMPARE * port=0 adr=06 act=15D402F5F3B6A59B97 exp=15D402F5F3B6A59B97 + 37422.50ns INFO [00037424] * RD COMPARE * port=1 adr=04 act=2378131CCEF6E9903B exp=2378131CCEF6E9903B + 37422.50ns INFO [00037424] Port=1 RD @03 + 37423.50ns INFO [00037425] Port=0 WR @05=406C821CC3DCA15F89 + 37423.50ns INFO [00037425] Port=0 RD @01 + 37424.50ns INFO [00037426] * RD COMPARE * port=1 adr=03 act=37ADE5855436B6E712 exp=37ADE5855436B6E712 + 37424.50ns INFO [00037426] Port=0 WR @01=A5D37D147902E6D8FF + 37425.50ns INFO [00037427] * RD COMPARE * port=0 adr=01 act=F92BE2DE38DADCDDE0 exp=F92BE2DE38DADCDDE0 + 37425.50ns INFO [00037427] Port=1 RD @05 + 37426.50ns INFO [00037428] Port=0 WR @07=A2B34F62E4CF35C978 + 37427.50ns INFO [00037429] * RD COMPARE * port=1 adr=05 act=406C821CC3DCA15F89 exp=406C821CC3DCA15F89 + 37427.50ns INFO [00037429] Port=0 RD @00 + 37427.50ns INFO [00037429] Port=1 RD @07 + 37428.50ns INFO [00037430] Port=0 RD @02 + 37429.50ns INFO [00037431] * RD COMPARE * port=0 adr=00 act=36D77A154C44424A41 exp=36D77A154C44424A41 + 37429.50ns INFO [00037431] * RD COMPARE * port=1 adr=07 act=A2B34F62E4CF35C978 exp=A2B34F62E4CF35C978 + 37430.50ns INFO [00037432] * RD COMPARE * port=0 adr=02 act=5A67A28C97E3C7DC0E exp=5A67A28C97E3C7DC0E + 37430.50ns INFO [00037432] Port=0 RD @07 + 37432.50ns INFO [00037434] * RD COMPARE * port=0 adr=07 act=A2B34F62E4CF35C978 exp=A2B34F62E4CF35C978 + 37432.50ns INFO [00037434] Port=1 RD @00 + 37433.50ns INFO [00037435] Port=1 RD @07 + 37434.50ns INFO [00037436] * RD COMPARE * port=1 adr=00 act=36D77A154C44424A41 exp=36D77A154C44424A41 + 37435.50ns INFO [00037437] * RD COMPARE * port=1 adr=07 act=A2B34F62E4CF35C978 exp=A2B34F62E4CF35C978 + 37435.50ns INFO [00037437] Port=0 WR @07=80BD82535C3782A82E + 37436.50ns INFO [00037438] Port=0 WR @04=C78C19A65686D84CBA + 37437.50ns INFO [00037439] Port=0 WR @03=54922F9DD915043C15 + 37437.50ns INFO [00037439] Port=1 RD @01 + 37438.50ns INFO [00037440] Port=0 WR @06=C6DD9D2BD19D47CEBA + 37439.50ns INFO [00037441] * RD COMPARE * port=1 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37440.50ns INFO [00037442] Port=0 RD @01 + 37442.50ns INFO [00037444] * RD COMPARE * port=0 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37443.50ns INFO [00037445] Port=1 RD @05 + 37444.50ns INFO [00037446] Port=1 RD @01 + 37445.50ns INFO [00037447] * RD COMPARE * port=1 adr=05 act=406C821CC3DCA15F89 exp=406C821CC3DCA15F89 + 37445.50ns INFO [00037447] Port=0 WR @07=C0CD9D44F60ED1BF2C + 37445.50ns INFO [00037447] Port=0 RD @02 + 37445.50ns INFO [00037447] Port=1 RD @02 + 37446.50ns INFO [00037448] * RD COMPARE * port=1 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37446.50ns INFO [00037448] Port=1 RD @04 + 37447.50ns INFO [00037449] * RD COMPARE * port=0 adr=02 act=5A67A28C97E3C7DC0E exp=5A67A28C97E3C7DC0E + 37447.50ns INFO [00037449] * RD COMPARE * port=1 adr=02 act=5A67A28C97E3C7DC0E exp=5A67A28C97E3C7DC0E + 37447.50ns INFO [00037449] Port=0 RD @01 + 37447.50ns INFO [00037449] Port=1 RD @02 + 37448.50ns INFO [00037450] * RD COMPARE * port=1 adr=04 act=C78C19A65686D84CBA exp=C78C19A65686D84CBA + 37448.50ns INFO [00037450] Port=1 RD @00 + 37449.50ns INFO [00037451] * RD COMPARE * port=0 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37449.50ns INFO [00037451] * RD COMPARE * port=1 adr=02 act=5A67A28C97E3C7DC0E exp=5A67A28C97E3C7DC0E + 37450.50ns INFO [00037452] * RD COMPARE * port=1 adr=00 act=36D77A154C44424A41 exp=36D77A154C44424A41 + 37450.50ns INFO [00037452] Port=1 RD @01 + 37451.50ns INFO [00037453] Port=1 RD @04 + 37452.50ns INFO [00037454] * RD COMPARE * port=1 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37453.50ns INFO [00037455] * RD COMPARE * port=1 adr=04 act=C78C19A65686D84CBA exp=C78C19A65686D84CBA + 37453.50ns INFO [00037455] Port=0 RD @07 + 37453.50ns INFO [00037455] Port=1 RD @02 + 37454.50ns INFO [00037456] Port=1 RD @06 + 37455.50ns INFO [00037457] * RD COMPARE * port=0 adr=07 act=C0CD9D44F60ED1BF2C exp=C0CD9D44F60ED1BF2C + 37455.50ns INFO [00037457] * RD COMPARE * port=1 adr=02 act=5A67A28C97E3C7DC0E exp=5A67A28C97E3C7DC0E + 37455.50ns INFO [00037457] Port=0 WR @05=BB414A40A590130487 + 37456.50ns INFO [00037458] * RD COMPARE * port=1 adr=06 act=C6DD9D2BD19D47CEBA exp=C6DD9D2BD19D47CEBA + 37456.50ns INFO [00037458] Port=0 RD @04 + 37457.50ns INFO [00037459] Port=1 RD @04 + 37458.50ns INFO [00037460] * RD COMPARE * port=0 adr=04 act=C78C19A65686D84CBA exp=C78C19A65686D84CBA + 37458.50ns INFO [00037460] Port=1 RD @00 + 37459.50ns INFO [00037461] * RD COMPARE * port=1 adr=04 act=C78C19A65686D84CBA exp=C78C19A65686D84CBA + 37460.50ns INFO [00037462] * RD COMPARE * port=1 adr=00 act=36D77A154C44424A41 exp=36D77A154C44424A41 + 37460.50ns INFO [00037462] Port=0 RD @02 + 37462.50ns INFO [00037464] * RD COMPARE * port=0 adr=02 act=5A67A28C97E3C7DC0E exp=5A67A28C97E3C7DC0E + 37462.50ns INFO [00037464] Port=0 RD @00 + 37463.50ns INFO [00037465] Port=0 WR @06=A1F3740A3998ABEFB0 + 37463.50ns INFO [00037465] Port=1 RD @01 + 37464.50ns INFO [00037466] * RD COMPARE * port=0 adr=00 act=36D77A154C44424A41 exp=36D77A154C44424A41 + 37464.50ns INFO [00037466] Port=0 WR @05=0B7086CE209DA0A822 + 37464.50ns INFO [00037466] Port=0 RD @04 + 37465.50ns INFO [00037467] * RD COMPARE * port=1 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37466.50ns INFO [00037468] * RD COMPARE * port=0 adr=04 act=C78C19A65686D84CBA exp=C78C19A65686D84CBA + 37467.50ns INFO [00037469] Port=0 RD @07 + 37467.50ns INFO [00037469] Port=1 RD @07 + 37469.50ns INFO [00037471] * RD COMPARE * port=0 adr=07 act=C0CD9D44F60ED1BF2C exp=C0CD9D44F60ED1BF2C + 37469.50ns INFO [00037471] * RD COMPARE * port=1 adr=07 act=C0CD9D44F60ED1BF2C exp=C0CD9D44F60ED1BF2C + 37469.50ns INFO [00037471] Port=0 WR @04=AD8F38F70ACEB5D5F6 + 37469.50ns INFO [00037471] Port=1 RD @01 + 37471.50ns INFO [00037473] * RD COMPARE * port=1 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37472.50ns INFO [00037474] Port=0 WR @00=5241568860D59F2990 + 37472.50ns INFO [00037474] Port=1 RD @04 + 37473.50ns INFO [00037475] Port=0 WR @06=7B4A9ECA1E0740422F + 37474.50ns INFO [00037476] * RD COMPARE * port=1 adr=04 act=AD8F38F70ACEB5D5F6 exp=AD8F38F70ACEB5D5F6 + 37474.50ns INFO [00037476] Port=0 WR @04=841E64AFF5F683CD58 + 37475.50ns INFO [00037477] Port=1 RD @05 + 37476.50ns INFO [00037478] Port=0 WR @03=B3EA194A590A2A4E99 + 37476.50ns INFO [00037478] Port=0 RD @06 + 37477.50ns INFO [00037479] * RD COMPARE * port=1 adr=05 act=0B7086CE209DA0A822 exp=0B7086CE209DA0A822 + 37477.50ns INFO [00037479] Port=1 RD @05 + 37478.50ns INFO [00037480] * RD COMPARE * port=0 adr=06 act=7B4A9ECA1E0740422F exp=7B4A9ECA1E0740422F + 37478.50ns INFO [00037480] Port=0 RD @01 + 37479.50ns INFO [00037481] * RD COMPARE * port=1 adr=05 act=0B7086CE209DA0A822 exp=0B7086CE209DA0A822 + 37479.50ns INFO [00037481] Port=0 RD @02 + 37479.50ns INFO [00037481] Port=1 RD @05 + 37480.50ns INFO [00037482] * RD COMPARE * port=0 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37480.50ns INFO [00037482] Port=0 WR @02=389AEAD18A91DDD7F4 + 37480.50ns INFO [00037482] Port=0 RD @01 + 37481.50ns INFO [00037483] * RD COMPARE * port=0 adr=02 act=5A67A28C97E3C7DC0E exp=5A67A28C97E3C7DC0E + 37481.50ns INFO [00037483] * RD COMPARE * port=1 adr=05 act=0B7086CE209DA0A822 exp=0B7086CE209DA0A822 + 37481.50ns INFO [00037483] Port=0 RD @06 + 37482.50ns INFO [00037484] * RD COMPARE * port=0 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37483.50ns INFO [00037485] * RD COMPARE * port=0 adr=06 act=7B4A9ECA1E0740422F exp=7B4A9ECA1E0740422F + 37483.50ns INFO [00037485] Port=0 WR @03=60B4D9E11DFCA18E14 + 37483.50ns INFO [00037485] Port=1 RD @05 + 37484.50ns INFO [00037486] Port=0 RD @06 + 37484.50ns INFO [00037486] Port=1 RD @05 + 37485.50ns INFO [00037487] * RD COMPARE * port=1 adr=05 act=0B7086CE209DA0A822 exp=0B7086CE209DA0A822 + 37486.50ns INFO [00037488] * RD COMPARE * port=0 adr=06 act=7B4A9ECA1E0740422F exp=7B4A9ECA1E0740422F + 37486.50ns INFO [00037488] * RD COMPARE * port=1 adr=05 act=0B7086CE209DA0A822 exp=0B7086CE209DA0A822 + 37486.50ns INFO [00037488] Port=0 RD @07 + 37487.50ns INFO [00037489] Port=1 RD @02 + 37488.50ns INFO [00037490] * RD COMPARE * port=0 adr=07 act=C0CD9D44F60ED1BF2C exp=C0CD9D44F60ED1BF2C + 37489.50ns INFO [00037491] * RD COMPARE * port=1 adr=02 act=389AEAD18A91DDD7F4 exp=389AEAD18A91DDD7F4 + 37489.50ns INFO [00037491] Port=0 WR @04=525AB9502AE2ED29E9 + 37489.50ns INFO [00037491] Port=0 RD @02 + 37491.50ns INFO [00037493] * RD COMPARE * port=0 adr=02 act=389AEAD18A91DDD7F4 exp=389AEAD18A91DDD7F4 + 37491.50ns INFO [00037493] Port=0 WR @05=03CD3108E0B9B31AB7 + 37492.50ns INFO [00037494] Port=0 RD @07 + 37494.50ns INFO [00037496] * RD COMPARE * port=0 adr=07 act=C0CD9D44F60ED1BF2C exp=C0CD9D44F60ED1BF2C + 37495.50ns INFO [00037497] Port=0 WR @07=3460B776111B428417 + 37495.50ns INFO [00037497] Port=1 RD @06 + 37496.50ns INFO [00037498] Port=0 RD @00 + 37497.50ns INFO [00037499] * RD COMPARE * port=1 adr=06 act=7B4A9ECA1E0740422F exp=7B4A9ECA1E0740422F + 37497.50ns INFO [00037499] Port=0 WR @04=814D6C0F2CD18919E7 + 37498.00ns INFO [00037500] [00037500] ...tick... + 37498.50ns INFO [00037500] * RD COMPARE * port=0 adr=00 act=5241568860D59F2990 exp=5241568860D59F2990 + 37499.50ns INFO [00037501] Port=0 WR @05=1882CBB4329D2E6F7E + 37500.50ns INFO [00037502] Port=0 WR @02=B7375F82D31EFE562F + 37501.50ns INFO [00037503] Port=0 RD @01 + 37502.50ns INFO [00037504] Port=0 WR @00=8CE226BD28CB3E8CFC + 37503.50ns INFO [00037505] * RD COMPARE * port=0 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37503.50ns INFO [00037505] Port=0 WR @06=9B06EBE6974CBA766C + 37505.50ns INFO [00037507] Port=1 RD @02 + 37506.50ns INFO [00037508] Port=0 WR @06=6A7354AFAD0B7D9F14 + 37507.50ns INFO [00037509] * RD COMPARE * port=1 adr=02 act=B7375F82D31EFE562F exp=B7375F82D31EFE562F + 37507.50ns INFO [00037509] Port=1 RD @04 + 37508.50ns INFO [00037510] Port=0 RD @03 + 37508.50ns INFO [00037510] Port=1 RD @01 + 37509.50ns INFO [00037511] * RD COMPARE * port=1 adr=04 act=814D6C0F2CD18919E7 exp=814D6C0F2CD18919E7 + 37509.50ns INFO [00037511] Port=0 RD @02 + 37510.50ns INFO [00037512] * RD COMPARE * port=0 adr=03 act=60B4D9E11DFCA18E14 exp=60B4D9E11DFCA18E14 + 37510.50ns INFO [00037512] * RD COMPARE * port=1 adr=01 act=A5D37D147902E6D8FF exp=A5D37D147902E6D8FF + 37510.50ns INFO [00037512] Port=0 WR @02=476F014851188E0EF1 + 37511.50ns INFO [00037513] * RD COMPARE * port=0 adr=02 act=B7375F82D31EFE562F exp=B7375F82D31EFE562F + 37511.50ns INFO [00037513] Port=0 WR @01=AEE31119C168A29CB3 + 37511.50ns INFO [00037513] Port=0 RD @04 + 37512.50ns INFO [00037514] Port=0 RD @03 + 37513.50ns INFO [00037515] * RD COMPARE * port=0 adr=04 act=814D6C0F2CD18919E7 exp=814D6C0F2CD18919E7 + 37514.50ns INFO [00037516] * RD COMPARE * port=0 adr=03 act=60B4D9E11DFCA18E14 exp=60B4D9E11DFCA18E14 + 37514.50ns INFO [00037516] Port=0 WR @01=1FCF606A9E82279E87 + 37516.50ns INFO [00037518] Port=0 RD @03 + 37517.50ns INFO [00037519] Port=0 RD @06 + 37518.50ns INFO [00037520] * RD COMPARE * port=0 adr=03 act=60B4D9E11DFCA18E14 exp=60B4D9E11DFCA18E14 + 37518.50ns INFO [00037520] Port=0 RD @01 + 37518.50ns INFO [00037520] Port=1 RD @04 + 37519.50ns INFO [00037521] * RD COMPARE * port=0 adr=06 act=6A7354AFAD0B7D9F14 exp=6A7354AFAD0B7D9F14 + 37520.50ns INFO [00037522] * RD COMPARE * port=0 adr=01 act=1FCF606A9E82279E87 exp=1FCF606A9E82279E87 + 37520.50ns INFO [00037522] * RD COMPARE * port=1 adr=04 act=814D6C0F2CD18919E7 exp=814D6C0F2CD18919E7 + 37520.50ns INFO [00037522] Port=0 RD @04 + 37522.50ns INFO [00037524] * RD COMPARE * port=0 adr=04 act=814D6C0F2CD18919E7 exp=814D6C0F2CD18919E7 + 37522.50ns INFO [00037524] Port=1 RD @00 + 37523.50ns INFO [00037525] Port=1 RD @07 + 37524.50ns INFO [00037526] * RD COMPARE * port=1 adr=00 act=8CE226BD28CB3E8CFC exp=8CE226BD28CB3E8CFC + 37524.50ns INFO [00037526] Port=0 WR @04=A1F6A702D867F8F0AA + 37524.50ns INFO [00037526] Port=1 RD @03 + 37525.50ns INFO [00037527] * RD COMPARE * port=1 adr=07 act=3460B776111B428417 exp=3460B776111B428417 + 37526.50ns INFO [00037528] * RD COMPARE * port=1 adr=03 act=60B4D9E11DFCA18E14 exp=60B4D9E11DFCA18E14 + 37526.50ns INFO [00037528] Port=0 RD @03 + 37526.50ns INFO [00037528] Port=1 RD @04 + 37527.50ns INFO [00037529] Port=0 RD @06 + 37528.50ns INFO [00037530] * RD COMPARE * port=0 adr=03 act=60B4D9E11DFCA18E14 exp=60B4D9E11DFCA18E14 + 37528.50ns INFO [00037530] * RD COMPARE * port=1 adr=04 act=A1F6A702D867F8F0AA exp=A1F6A702D867F8F0AA + 37529.50ns INFO [00037531] * RD COMPARE * port=0 adr=06 act=6A7354AFAD0B7D9F14 exp=6A7354AFAD0B7D9F14 + 37529.50ns INFO [00037531] Port=0 RD @07 + 37530.50ns INFO [00037532] Port=0 WR @03=81F9EBEC05749C6C39 + 37530.50ns INFO [00037532] Port=0 RD @00 + 37530.50ns INFO [00037532] Port=1 RD @00 + 37531.50ns INFO [00037533] * RD COMPARE * port=0 adr=07 act=3460B776111B428417 exp=3460B776111B428417 + 37532.50ns INFO [00037534] * RD COMPARE * port=0 adr=00 act=8CE226BD28CB3E8CFC exp=8CE226BD28CB3E8CFC + 37532.50ns INFO [00037534] * RD COMPARE * port=1 adr=00 act=8CE226BD28CB3E8CFC exp=8CE226BD28CB3E8CFC + 37532.50ns INFO [00037534] Port=0 RD @01 + 37533.50ns INFO [00037535] Port=0 RD @06 + 37533.50ns INFO [00037535] Port=1 RD @07 + 37534.50ns INFO [00037536] * RD COMPARE * port=0 adr=01 act=1FCF606A9E82279E87 exp=1FCF606A9E82279E87 + 37534.50ns INFO [00037536] Port=0 RD @01 + 37535.50ns INFO [00037537] * RD COMPARE * port=0 adr=06 act=6A7354AFAD0B7D9F14 exp=6A7354AFAD0B7D9F14 + 37535.50ns INFO [00037537] * RD COMPARE * port=1 adr=07 act=3460B776111B428417 exp=3460B776111B428417 + 37535.50ns INFO [00037537] Port=1 RD @07 + 37536.50ns INFO [00037538] * RD COMPARE * port=0 adr=01 act=1FCF606A9E82279E87 exp=1FCF606A9E82279E87 + 37537.50ns INFO [00037539] * RD COMPARE * port=1 adr=07 act=3460B776111B428417 exp=3460B776111B428417 + 37537.50ns INFO [00037539] Port=1 RD @06 + 37538.50ns INFO [00037540] Port=0 WR @02=AF284073A10AC419DE + 37539.50ns INFO [00037541] * RD COMPARE * port=1 adr=06 act=6A7354AFAD0B7D9F14 exp=6A7354AFAD0B7D9F14 + 37539.50ns INFO [00037541] Port=0 WR @06=C602E18216FFC366A6 + 37540.50ns INFO [00037542] Port=0 WR @06=CC8A6735BA275EE14B + 37541.50ns INFO [00037543] Port=0 WR @01=2306FC0D0800E16F84 + 37541.50ns INFO [00037543] Port=1 RD @06 + 37543.50ns INFO [00037545] * RD COMPARE * port=1 adr=06 act=CC8A6735BA275EE14B exp=CC8A6735BA275EE14B + 37543.50ns INFO [00037545] Port=0 RD @02 + 37544.50ns INFO [00037546] Port=0 RD @00 + 37545.50ns INFO [00037547] * RD COMPARE * port=0 adr=02 act=AF284073A10AC419DE exp=AF284073A10AC419DE + 37545.50ns INFO [00037547] Port=0 RD @01 + 37545.50ns INFO [00037547] Port=1 RD @03 + 37546.50ns INFO [00037548] * RD COMPARE * port=0 adr=00 act=8CE226BD28CB3E8CFC exp=8CE226BD28CB3E8CFC + 37546.50ns INFO [00037548] Port=0 WR @02=264AC5069F88313B35 + 37546.50ns INFO [00037548] Port=0 RD @06 + 37547.50ns INFO [00037549] * RD COMPARE * port=0 adr=01 act=2306FC0D0800E16F84 exp=2306FC0D0800E16F84 + 37547.50ns INFO [00037549] * RD COMPARE * port=1 adr=03 act=81F9EBEC05749C6C39 exp=81F9EBEC05749C6C39 + 37548.50ns INFO [00037550] * RD COMPARE * port=0 adr=06 act=CC8A6735BA275EE14B exp=CC8A6735BA275EE14B + 37549.50ns INFO [00037551] Port=0 RD @04 + 37550.50ns INFO [00037552] Port=0 WR @07=BABB9B89BD5F00F4C4 + 37551.50ns INFO [00037553] * RD COMPARE * port=0 adr=04 act=A1F6A702D867F8F0AA exp=A1F6A702D867F8F0AA + 37551.50ns INFO [00037553] Port=0 WR @02=F558C4ABC16DBB4DC8 + 37551.50ns INFO [00037553] Port=1 RD @01 + 37552.50ns INFO [00037554] Port=1 RD @01 + 37553.50ns INFO [00037555] * RD COMPARE * port=1 adr=01 act=2306FC0D0800E16F84 exp=2306FC0D0800E16F84 + 37553.50ns INFO [00037555] Port=1 RD @07 + 37554.50ns INFO [00037556] * RD COMPARE * port=1 adr=01 act=2306FC0D0800E16F84 exp=2306FC0D0800E16F84 + 37554.50ns INFO [00037556] Port=0 WR @05=AD13021466CFBE8267 + 37555.50ns INFO [00037557] * RD COMPARE * port=1 adr=07 act=BABB9B89BD5F00F4C4 exp=BABB9B89BD5F00F4C4 + 37555.50ns INFO [00037557] Port=0 RD @02 + 37555.50ns INFO [00037557] Port=1 RD @07 + 37556.50ns INFO [00037558] Port=0 RD @00 + 37557.50ns INFO [00037559] * RD COMPARE * port=0 adr=02 act=F558C4ABC16DBB4DC8 exp=F558C4ABC16DBB4DC8 + 37557.50ns INFO [00037559] * RD COMPARE * port=1 adr=07 act=BABB9B89BD5F00F4C4 exp=BABB9B89BD5F00F4C4 + 37557.50ns INFO [00037559] Port=0 WR @04=96302F672DEC6BE235 + 37557.50ns INFO [00037559] Port=1 RD @07 + 37558.50ns INFO [00037560] * RD COMPARE * port=0 adr=00 act=8CE226BD28CB3E8CFC exp=8CE226BD28CB3E8CFC + 37558.50ns INFO [00037560] Port=0 RD @05 + 37558.50ns INFO [00037560] Port=1 RD @06 + 37559.50ns INFO [00037561] * RD COMPARE * port=1 adr=07 act=BABB9B89BD5F00F4C4 exp=BABB9B89BD5F00F4C4 + 37559.50ns INFO [00037561] Port=0 RD @05 + 37560.50ns INFO [00037562] * RD COMPARE * port=0 adr=05 act=AD13021466CFBE8267 exp=AD13021466CFBE8267 + 37560.50ns INFO [00037562] * RD COMPARE * port=1 adr=06 act=CC8A6735BA275EE14B exp=CC8A6735BA275EE14B + 37560.50ns INFO [00037562] Port=1 RD @03 + 37561.50ns INFO [00037563] * RD COMPARE * port=0 adr=05 act=AD13021466CFBE8267 exp=AD13021466CFBE8267 + 37561.50ns INFO [00037563] Port=0 WR @03=1A7EA0DC2F59B35525 + 37561.50ns INFO [00037563] Port=0 RD @05 + 37562.50ns INFO [00037564] * RD COMPARE * port=1 adr=03 act=81F9EBEC05749C6C39 exp=81F9EBEC05749C6C39 + 37563.50ns INFO [00037565] * RD COMPARE * port=0 adr=05 act=AD13021466CFBE8267 exp=AD13021466CFBE8267 + 37563.50ns INFO [00037565] Port=0 WR @00=6D53FD959F51896C2F + 37565.50ns INFO [00037567] Port=0 RD @07 + 37565.50ns INFO [00037567] Port=1 RD @02 + 37567.50ns INFO [00037569] * RD COMPARE * port=0 adr=07 act=BABB9B89BD5F00F4C4 exp=BABB9B89BD5F00F4C4 + 37567.50ns INFO [00037569] * RD COMPARE * port=1 adr=02 act=F558C4ABC16DBB4DC8 exp=F558C4ABC16DBB4DC8 + 37568.50ns INFO [00037570] Port=0 WR @01=3A2DAA7D54F6514D13 + 37568.50ns INFO [00037570] Port=0 RD @07 + 37569.50ns INFO [00037571] Port=0 WR @01=1E5069D203314FEC18 + 37570.50ns INFO [00037572] * RD COMPARE * port=0 adr=07 act=BABB9B89BD5F00F4C4 exp=BABB9B89BD5F00F4C4 + 37570.50ns INFO [00037572] Port=0 WR @01=3BB93B0D81A8873315 + 37570.50ns INFO [00037572] Port=0 RD @00 + 37571.50ns INFO [00037573] Port=0 WR @03=91D3AAA37EAC720121 + 37572.50ns INFO [00037574] * RD COMPARE * port=0 adr=00 act=6D53FD959F51896C2F exp=6D53FD959F51896C2F + 37572.50ns INFO [00037574] Port=0 WR @06=58A2B00CE91DF92CF5 + 37572.50ns INFO [00037574] Port=1 RD @02 + 37573.50ns INFO [00037575] Port=1 RD @07 + 37574.50ns INFO [00037576] * RD COMPARE * port=1 adr=02 act=F558C4ABC16DBB4DC8 exp=F558C4ABC16DBB4DC8 + 37575.50ns INFO [00037577] * RD COMPARE * port=1 adr=07 act=BABB9B89BD5F00F4C4 exp=BABB9B89BD5F00F4C4 + 37575.50ns INFO [00037577] Port=1 RD @05 + 37577.50ns INFO [00037579] * RD COMPARE * port=1 adr=05 act=AD13021466CFBE8267 exp=AD13021466CFBE8267 + 37577.50ns INFO [00037579] Port=0 RD @00 + 37578.50ns INFO [00037580] Port=0 RD @01 + 37579.50ns INFO [00037581] * RD COMPARE * port=0 adr=00 act=6D53FD959F51896C2F exp=6D53FD959F51896C2F + 37580.50ns INFO [00037582] * RD COMPARE * port=0 adr=01 act=3BB93B0D81A8873315 exp=3BB93B0D81A8873315 + 37582.50ns INFO [00037584] Port=0 WR @05=134158434CA0C04F97 + 37585.50ns INFO [00037587] Port=1 RD @04 + 37586.50ns INFO [00037588] Port=0 WR @07=D9AC31F0D50F570182 + 37587.50ns INFO [00037589] * RD COMPARE * port=1 adr=04 act=96302F672DEC6BE235 exp=96302F672DEC6BE235 + 37587.50ns INFO [00037589] Port=0 WR @05=364519AA5923EDCE64 + 37588.50ns INFO [00037590] Port=0 WR @00=2D8F733AB2EEDBAF2F + 37591.50ns INFO [00037593] Port=0 WR @02=68C3316F7A29F22895 + 37591.50ns INFO [00037593] Port=0 RD @05 + 37591.50ns INFO [00037593] Port=1 RD @03 + 37592.50ns INFO [00037594] Port=0 WR @07=B37A674E06CBE94F30 + 37592.50ns INFO [00037594] Port=0 RD @06 + 37592.50ns INFO [00037594] Port=1 RD @01 + 37593.50ns INFO [00037595] * RD COMPARE * port=0 adr=05 act=364519AA5923EDCE64 exp=364519AA5923EDCE64 + 37593.50ns INFO [00037595] * RD COMPARE * port=1 adr=03 act=91D3AAA37EAC720121 exp=91D3AAA37EAC720121 + 37593.50ns INFO [00037595] Port=0 RD @07 + 37594.50ns INFO [00037596] * RD COMPARE * port=0 adr=06 act=58A2B00CE91DF92CF5 exp=58A2B00CE91DF92CF5 + 37594.50ns INFO [00037596] * RD COMPARE * port=1 adr=01 act=3BB93B0D81A8873315 exp=3BB93B0D81A8873315 + 37594.50ns INFO [00037596] Port=0 RD @03 + 37594.50ns INFO [00037596] Port=1 RD @06 + 37595.50ns INFO [00037597] * RD COMPARE * port=0 adr=07 act=B37A674E06CBE94F30 exp=B37A674E06CBE94F30 + 37596.50ns INFO [00037598] * RD COMPARE * port=0 adr=03 act=91D3AAA37EAC720121 exp=91D3AAA37EAC720121 + 37596.50ns INFO [00037598] * RD COMPARE * port=1 adr=06 act=58A2B00CE91DF92CF5 exp=58A2B00CE91DF92CF5 + 37596.50ns INFO [00037598] Port=1 RD @05 + 37597.50ns INFO [00037599] Port=0 WR @03=0C7F6CC39ABC82ED12 + 37597.50ns INFO [00037599] Port=0 RD @07 + 37597.50ns INFO [00037599] Port=1 RD @02 + 37598.00ns INFO [00037600] [00037600] ...tick... + 37598.50ns INFO [00037600] * RD COMPARE * port=1 adr=05 act=364519AA5923EDCE64 exp=364519AA5923EDCE64 + 37598.50ns INFO [00037600] Port=0 RD @06 + 37598.50ns INFO [00037600] Port=1 RD @07 + 37599.50ns INFO [00037601] * RD COMPARE * port=0 adr=07 act=B37A674E06CBE94F30 exp=B37A674E06CBE94F30 + 37599.50ns INFO [00037601] * RD COMPARE * port=1 adr=02 act=68C3316F7A29F22895 exp=68C3316F7A29F22895 + 37599.50ns INFO [00037601] Port=0 WR @06=8DBCEB4B87A7721B58 + 37599.50ns INFO [00037601] Port=1 RD @00 + 37600.50ns INFO [00037602] * RD COMPARE * port=0 adr=06 act=58A2B00CE91DF92CF5 exp=58A2B00CE91DF92CF5 + 37600.50ns INFO [00037602] * RD COMPARE * port=1 adr=07 act=B37A674E06CBE94F30 exp=B37A674E06CBE94F30 + 37601.50ns INFO [00037603] * RD COMPARE * port=1 adr=00 act=2D8F733AB2EEDBAF2F exp=2D8F733AB2EEDBAF2F + 37601.50ns INFO [00037603] Port=0 WR @06=CB59CDCFB705843B7D + 37601.50ns INFO [00037603] Port=0 RD @07 + 37603.50ns INFO [00037605] * RD COMPARE * port=0 adr=07 act=B37A674E06CBE94F30 exp=B37A674E06CBE94F30 + 37603.50ns INFO [00037605] Port=0 WR @06=2D12422DEDF13F613A + 37605.50ns INFO [00037607] Port=0 WR @03=CFDF61D5A02366E853 + 37605.50ns INFO [00037607] Port=0 RD @05 + 37606.50ns INFO [00037608] Port=0 RD @00 + 37606.50ns INFO [00037608] Port=1 RD @02 + 37607.50ns INFO [00037609] * RD COMPARE * port=0 adr=05 act=364519AA5923EDCE64 exp=364519AA5923EDCE64 + 37607.50ns INFO [00037609] Port=0 WR @02=497CACA655F18F3C06 + 37607.50ns INFO [00037609] Port=0 RD @06 + 37608.50ns INFO [00037610] * RD COMPARE * port=0 adr=00 act=2D8F733AB2EEDBAF2F exp=2D8F733AB2EEDBAF2F + 37608.50ns INFO [00037610] * RD COMPARE * port=1 adr=02 act=68C3316F7A29F22895 exp=68C3316F7A29F22895 + 37608.50ns INFO [00037610] Port=0 WR @00=96E04B60664FD09702 + 37609.50ns INFO [00037611] * RD COMPARE * port=0 adr=06 act=2D12422DEDF13F613A exp=2D12422DEDF13F613A + 37609.50ns INFO [00037611] Port=0 WR @00=5BDE6807A90B4682EB + 37609.50ns INFO [00037611] Port=0 RD @07 + 37610.50ns INFO [00037612] Port=0 RD @04 + 37611.50ns INFO [00037613] * RD COMPARE * port=0 adr=07 act=B37A674E06CBE94F30 exp=B37A674E06CBE94F30 + 37611.50ns INFO [00037613] Port=0 RD @06 + 37612.50ns INFO [00037614] * RD COMPARE * port=0 adr=04 act=96302F672DEC6BE235 exp=96302F672DEC6BE235 + 37612.50ns INFO [00037614] Port=0 RD @02 + 37613.50ns INFO [00037615] * RD COMPARE * port=0 adr=06 act=2D12422DEDF13F613A exp=2D12422DEDF13F613A + 37613.50ns INFO [00037615] Port=0 WR @02=62197E67EC39681B85 + 37613.50ns INFO [00037615] Port=0 RD @00 + 37613.50ns INFO [00037615] Port=1 RD @01 + 37614.50ns INFO [00037616] * RD COMPARE * port=0 adr=02 act=497CACA655F18F3C06 exp=497CACA655F18F3C06 + 37614.50ns INFO [00037616] Port=0 WR @00=9DB28DD97094EB2170 + 37614.50ns INFO [00037616] Port=0 RD @03 + 37615.50ns INFO [00037617] * RD COMPARE * port=0 adr=00 act=5BDE6807A90B4682EB exp=5BDE6807A90B4682EB + 37615.50ns INFO [00037617] * RD COMPARE * port=1 adr=01 act=3BB93B0D81A8873315 exp=3BB93B0D81A8873315 + 37615.50ns INFO [00037617] Port=0 WR @05=A9790967DA7CBCF28E + 37615.50ns INFO [00037617] Port=0 RD @07 + 37616.50ns INFO [00037618] * RD COMPARE * port=0 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37616.50ns INFO [00037618] Port=0 WR @02=A8AA7FE2945923D034 + 37616.50ns INFO [00037618] Port=1 RD @03 + 37617.50ns INFO [00037619] * RD COMPARE * port=0 adr=07 act=B37A674E06CBE94F30 exp=B37A674E06CBE94F30 + 37618.50ns INFO [00037620] * RD COMPARE * port=1 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37618.50ns INFO [00037620] Port=0 RD @05 + 37618.50ns INFO [00037620] Port=1 RD @00 + 37619.50ns INFO [00037621] Port=1 RD @03 + 37620.50ns INFO [00037622] * RD COMPARE * port=0 adr=05 act=A9790967DA7CBCF28E exp=A9790967DA7CBCF28E + 37620.50ns INFO [00037622] * RD COMPARE * port=1 adr=00 act=9DB28DD97094EB2170 exp=9DB28DD97094EB2170 + 37620.50ns INFO [00037622] Port=0 RD @00 + 37621.50ns INFO [00037623] * RD COMPARE * port=1 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37621.50ns INFO [00037623] Port=1 RD @00 + 37622.50ns INFO [00037624] * RD COMPARE * port=0 adr=00 act=9DB28DD97094EB2170 exp=9DB28DD97094EB2170 + 37623.50ns INFO [00037625] * RD COMPARE * port=1 adr=00 act=9DB28DD97094EB2170 exp=9DB28DD97094EB2170 + 37623.50ns INFO [00037625] Port=1 RD @04 + 37624.50ns INFO [00037626] Port=0 WR @01=75D7D95E15C93D8CB7 + 37625.50ns INFO [00037627] * RD COMPARE * port=1 adr=04 act=96302F672DEC6BE235 exp=96302F672DEC6BE235 + 37625.50ns INFO [00037627] Port=0 WR @02=1B86251A286B773744 + 37625.50ns INFO [00037627] Port=0 RD @06 + 37626.50ns INFO [00037628] Port=0 WR @02=E4443AB902E64F2D34 + 37626.50ns INFO [00037628] Port=0 RD @03 + 37627.50ns INFO [00037629] * RD COMPARE * port=0 adr=06 act=2D12422DEDF13F613A exp=2D12422DEDF13F613A + 37627.50ns INFO [00037629] Port=0 RD @05 + 37627.50ns INFO [00037629] Port=1 RD @07 + 37628.50ns INFO [00037630] * RD COMPARE * port=0 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37628.50ns INFO [00037630] Port=0 WR @06=CBCA4DB09419444582 + 37628.50ns INFO [00037630] Port=1 RD @05 + 37629.50ns INFO [00037631] * RD COMPARE * port=0 adr=05 act=A9790967DA7CBCF28E exp=A9790967DA7CBCF28E + 37629.50ns INFO [00037631] * RD COMPARE * port=1 adr=07 act=B37A674E06CBE94F30 exp=B37A674E06CBE94F30 + 37629.50ns INFO [00037631] Port=1 RD @07 + 37630.50ns INFO [00037632] * RD COMPARE * port=1 adr=05 act=A9790967DA7CBCF28E exp=A9790967DA7CBCF28E + 37631.50ns INFO [00037633] * RD COMPARE * port=1 adr=07 act=B37A674E06CBE94F30 exp=B37A674E06CBE94F30 + 37631.50ns INFO [00037633] Port=0 RD @03 + 37631.50ns INFO [00037633] Port=1 RD @06 + 37632.50ns INFO [00037634] Port=1 RD @06 + 37633.50ns INFO [00037635] * RD COMPARE * port=0 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37633.50ns INFO [00037635] * RD COMPARE * port=1 adr=06 act=CBCA4DB09419444582 exp=CBCA4DB09419444582 + 37633.50ns INFO [00037635] Port=0 WR @05=1658B17E2B171CC65A + 37634.50ns INFO [00037636] * RD COMPARE * port=1 adr=06 act=CBCA4DB09419444582 exp=CBCA4DB09419444582 + 37634.50ns INFO [00037636] Port=0 RD @01 + 37634.50ns INFO [00037636] Port=1 RD @06 + 37635.50ns INFO [00037637] Port=0 RD @00 + 37635.50ns INFO [00037637] Port=1 RD @00 + 37636.50ns INFO [00037638] * RD COMPARE * port=0 adr=01 act=75D7D95E15C93D8CB7 exp=75D7D95E15C93D8CB7 + 37636.50ns INFO [00037638] * RD COMPARE * port=1 adr=06 act=CBCA4DB09419444582 exp=CBCA4DB09419444582 + 37636.50ns INFO [00037638] Port=1 RD @00 + 37637.50ns INFO [00037639] * RD COMPARE * port=0 adr=00 act=9DB28DD97094EB2170 exp=9DB28DD97094EB2170 + 37637.50ns INFO [00037639] * RD COMPARE * port=1 adr=00 act=9DB28DD97094EB2170 exp=9DB28DD97094EB2170 + 37638.50ns INFO [00037640] * RD COMPARE * port=1 adr=00 act=9DB28DD97094EB2170 exp=9DB28DD97094EB2170 + 37638.50ns INFO [00037640] Port=0 WR @01=56A38F45087D1E3C31 + 37638.50ns INFO [00037640] Port=1 RD @06 + 37640.50ns INFO [00037642] * RD COMPARE * port=1 adr=06 act=CBCA4DB09419444582 exp=CBCA4DB09419444582 + 37640.50ns INFO [00037642] Port=0 WR @00=1080BECCACDFC7D7D0 + 37640.50ns INFO [00037642] Port=0 RD @05 + 37641.50ns INFO [00037643] Port=0 WR @06=977DD6A90E56F8386A + 37641.50ns INFO [00037643] Port=0 RD @00 + 37641.50ns INFO [00037643] Port=1 RD @00 + 37642.50ns INFO [00037644] * RD COMPARE * port=0 adr=05 act=1658B17E2B171CC65A exp=1658B17E2B171CC65A + 37642.50ns INFO [00037644] Port=0 RD @07 + 37643.50ns INFO [00037645] * RD COMPARE * port=0 adr=00 act=1080BECCACDFC7D7D0 exp=1080BECCACDFC7D7D0 + 37643.50ns INFO [00037645] * RD COMPARE * port=1 adr=00 act=1080BECCACDFC7D7D0 exp=1080BECCACDFC7D7D0 + 37643.50ns INFO [00037645] Port=1 RD @00 + 37644.50ns INFO [00037646] * RD COMPARE * port=0 adr=07 act=B37A674E06CBE94F30 exp=B37A674E06CBE94F30 + 37644.50ns INFO [00037646] Port=1 RD @03 + 37645.50ns INFO [00037647] * RD COMPARE * port=1 adr=00 act=1080BECCACDFC7D7D0 exp=1080BECCACDFC7D7D0 + 37646.50ns INFO [00037648] * RD COMPARE * port=1 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37646.50ns INFO [00037648] Port=1 RD @06 + 37648.50ns INFO [00037650] * RD COMPARE * port=1 adr=06 act=977DD6A90E56F8386A exp=977DD6A90E56F8386A + 37648.50ns INFO [00037650] Port=0 WR @06=8E8BB4C7954D75880A + 37648.50ns INFO [00037650] Port=1 RD @00 + 37649.50ns INFO [00037651] Port=0 WR @01=FE9EDD1804BAB707FB + 37649.50ns INFO [00037651] Port=1 RD @05 + 37650.50ns INFO [00037652] * RD COMPARE * port=1 adr=00 act=1080BECCACDFC7D7D0 exp=1080BECCACDFC7D7D0 + 37651.50ns INFO [00037653] * RD COMPARE * port=1 adr=05 act=1658B17E2B171CC65A exp=1658B17E2B171CC65A + 37651.50ns INFO [00037653] Port=0 RD @03 + 37652.50ns INFO [00037654] Port=0 RD @04 + 37653.50ns INFO [00037655] * RD COMPARE * port=0 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37653.50ns INFO [00037655] Port=0 WR @00=549F858A58639DF73F + 37653.50ns INFO [00037655] Port=0 RD @06 + 37654.50ns INFO [00037656] * RD COMPARE * port=0 adr=04 act=96302F672DEC6BE235 exp=96302F672DEC6BE235 + 37654.50ns INFO [00037656] Port=0 WR @02=B1B255F7B0BB24AFC9 + 37655.50ns INFO [00037657] * RD COMPARE * port=0 adr=06 act=8E8BB4C7954D75880A exp=8E8BB4C7954D75880A + 37655.50ns INFO [00037657] Port=0 WR @07=B27DEEBF39E8B7D712 + 37656.50ns INFO [00037658] Port=1 RD @00 + 37657.50ns INFO [00037659] Port=0 WR @00=19ACABC4E2498AC4C5 + 37657.50ns INFO [00037659] Port=0 RD @06 + 37658.50ns INFO [00037660] * RD COMPARE * port=1 adr=00 act=549F858A58639DF73F exp=549F858A58639DF73F + 37658.50ns INFO [00037660] Port=0 WR @01=BAC74BBDF0B7CAF199 + 37658.50ns INFO [00037660] Port=1 RD @00 + 37659.50ns INFO [00037661] * RD COMPARE * port=0 adr=06 act=8E8BB4C7954D75880A exp=8E8BB4C7954D75880A + 37659.50ns INFO [00037661] Port=0 RD @03 + 37659.50ns INFO [00037661] Port=1 RD @03 + 37660.50ns INFO [00037662] * RD COMPARE * port=1 adr=00 act=19ACABC4E2498AC4C5 exp=19ACABC4E2498AC4C5 + 37660.50ns INFO [00037662] Port=0 WR @02=98138D301320BE7EF3 + 37660.50ns INFO [00037662] Port=0 RD @00 + 37661.50ns INFO [00037663] * RD COMPARE * port=0 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37661.50ns INFO [00037663] * RD COMPARE * port=1 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37661.50ns INFO [00037663] Port=1 RD @01 + 37662.50ns INFO [00037664] * RD COMPARE * port=0 adr=00 act=19ACABC4E2498AC4C5 exp=19ACABC4E2498AC4C5 + 37662.50ns INFO [00037664] Port=0 WR @04=6E2F6D3820FE2FDD4C + 37662.50ns INFO [00037664] Port=0 RD @03 + 37663.50ns INFO [00037665] * RD COMPARE * port=1 adr=01 act=BAC74BBDF0B7CAF199 exp=BAC74BBDF0B7CAF199 + 37664.50ns INFO [00037666] * RD COMPARE * port=0 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37664.50ns INFO [00037666] Port=0 WR @01=EB8FF6F36A54DA27D7 + 37665.50ns INFO [00037667] Port=0 RD @05 + 37665.50ns INFO [00037667] Port=1 RD @07 + 37666.50ns INFO [00037668] Port=0 RD @06 + 37667.50ns INFO [00037669] * RD COMPARE * port=0 adr=05 act=1658B17E2B171CC65A exp=1658B17E2B171CC65A + 37667.50ns INFO [00037669] * RD COMPARE * port=1 adr=07 act=B27DEEBF39E8B7D712 exp=B27DEEBF39E8B7D712 + 37667.50ns INFO [00037669] Port=0 WR @01=81A0F3EDAD6DA81C21 + 37667.50ns INFO [00037669] Port=0 RD @05 + 37668.50ns INFO [00037670] * RD COMPARE * port=0 adr=06 act=8E8BB4C7954D75880A exp=8E8BB4C7954D75880A + 37668.50ns INFO [00037670] Port=0 WR @00=E863CCFCB14BC6885A + 37668.50ns INFO [00037670] Port=0 RD @04 + 37669.50ns INFO [00037671] * RD COMPARE * port=0 adr=05 act=1658B17E2B171CC65A exp=1658B17E2B171CC65A + 37669.50ns INFO [00037671] Port=0 WR @05=EB278E8B942E70298E + 37669.50ns INFO [00037671] Port=0 RD @06 + 37670.50ns INFO [00037672] * RD COMPARE * port=0 adr=04 act=6E2F6D3820FE2FDD4C exp=6E2F6D3820FE2FDD4C + 37671.50ns INFO [00037673] * RD COMPARE * port=0 adr=06 act=8E8BB4C7954D75880A exp=8E8BB4C7954D75880A + 37671.50ns INFO [00037673] Port=0 WR @00=E1D696BBCDBB34DB9D + 37671.50ns INFO [00037673] Port=1 RD @02 + 37672.50ns INFO [00037674] Port=0 WR @02=FC1D9B577E53702975 + 37673.50ns INFO [00037675] * RD COMPARE * port=1 adr=02 act=98138D301320BE7EF3 exp=98138D301320BE7EF3 + 37674.50ns INFO [00037676] Port=0 WR @06=407EFA1291FA49FCCE + 37674.50ns INFO [00037676] Port=1 RD @02 + 37675.50ns INFO [00037677] Port=0 RD @00 + 37676.50ns INFO [00037678] * RD COMPARE * port=1 adr=02 act=FC1D9B577E53702975 exp=FC1D9B577E53702975 + 37676.50ns INFO [00037678] Port=0 WR @04=54C335022CAB25C8BB + 37677.50ns INFO [00037679] * RD COMPARE * port=0 adr=00 act=E1D696BBCDBB34DB9D exp=E1D696BBCDBB34DB9D + 37677.50ns INFO [00037679] Port=0 RD @03 + 37678.50ns INFO [00037680] Port=1 RD @05 + 37679.50ns INFO [00037681] * RD COMPARE * port=0 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37679.50ns INFO [00037681] Port=0 WR @02=466193CB613D19A109 + 37679.50ns INFO [00037681] Port=0 RD @05 + 37679.50ns INFO [00037681] Port=1 RD @06 + 37680.50ns INFO [00037682] * RD COMPARE * port=1 adr=05 act=EB278E8B942E70298E exp=EB278E8B942E70298E + 37681.50ns INFO [00037683] * RD COMPARE * port=0 adr=05 act=EB278E8B942E70298E exp=EB278E8B942E70298E + 37681.50ns INFO [00037683] * RD COMPARE * port=1 adr=06 act=407EFA1291FA49FCCE exp=407EFA1291FA49FCCE + 37681.50ns INFO [00037683] Port=0 WR @06=4A7517E49277674B09 + 37682.50ns INFO [00037684] Port=0 WR @02=5F65FE0C86497AF4DB + 37682.50ns INFO [00037684] Port=0 RD @07 + 37682.50ns INFO [00037684] Port=1 RD @01 + 37683.50ns INFO [00037685] Port=0 WR @04=B93E46C18EF2CD738A + 37683.50ns INFO [00037685] Port=0 RD @05 + 37683.50ns INFO [00037685] Port=1 RD @01 + 37684.50ns INFO [00037686] * RD COMPARE * port=0 adr=07 act=B27DEEBF39E8B7D712 exp=B27DEEBF39E8B7D712 + 37684.50ns INFO [00037686] * RD COMPARE * port=1 adr=01 act=81A0F3EDAD6DA81C21 exp=81A0F3EDAD6DA81C21 + 37684.50ns INFO [00037686] Port=0 WR @04=5FB19416A1BB25FAE5 + 37685.50ns INFO [00037687] * RD COMPARE * port=0 adr=05 act=EB278E8B942E70298E exp=EB278E8B942E70298E + 37685.50ns INFO [00037687] * RD COMPARE * port=1 adr=01 act=81A0F3EDAD6DA81C21 exp=81A0F3EDAD6DA81C21 + 37685.50ns INFO [00037687] Port=0 WR @01=080B4C3DDA1A4E01E5 + 37685.50ns INFO [00037687] Port=1 RD @04 + 37686.50ns INFO [00037688] Port=1 RD @07 + 37687.50ns INFO [00037689] * RD COMPARE * port=1 adr=04 act=5FB19416A1BB25FAE5 exp=5FB19416A1BB25FAE5 + 37687.50ns INFO [00037689] Port=0 WR @02=2D6FA2DEC2CA0F85C8 + 37688.50ns INFO [00037690] * RD COMPARE * port=1 adr=07 act=B27DEEBF39E8B7D712 exp=B27DEEBF39E8B7D712 + 37688.50ns INFO [00037690] Port=0 WR @00=CB5FBBB370364671B6 + 37688.50ns INFO [00037690] Port=0 RD @03 + 37690.50ns INFO [00037692] * RD COMPARE * port=0 adr=03 act=CFDF61D5A02366E853 exp=CFDF61D5A02366E853 + 37690.50ns INFO [00037692] Port=0 RD @04 + 37691.50ns INFO [00037693] Port=0 RD @05 + 37692.50ns INFO [00037694] * RD COMPARE * port=0 adr=04 act=5FB19416A1BB25FAE5 exp=5FB19416A1BB25FAE5 + 37692.50ns INFO [00037694] Port=0 WR @01=2BF8F10B0E9BF54870 + 37692.50ns INFO [00037694] Port=0 RD @02 + 37693.50ns INFO [00037695] * RD COMPARE * port=0 adr=05 act=EB278E8B942E70298E exp=EB278E8B942E70298E + 37693.50ns INFO [00037695] Port=1 RD @05 + 37694.50ns INFO [00037696] * RD COMPARE * port=0 adr=02 act=2D6FA2DEC2CA0F85C8 exp=2D6FA2DEC2CA0F85C8 + 37694.50ns INFO [00037696] Port=1 RD @06 + 37695.50ns INFO [00037697] * RD COMPARE * port=1 adr=05 act=EB278E8B942E70298E exp=EB278E8B942E70298E + 37695.50ns INFO [00037697] Port=0 WR @05=46B126FE7821E26D6B + 37696.50ns INFO [00037698] * RD COMPARE * port=1 adr=06 act=4A7517E49277674B09 exp=4A7517E49277674B09 + 37696.50ns INFO [00037698] Port=0 WR @07=274DDAAB633E96C8A0 + 37698.00ns INFO [00037700] [00037700] ...tick... + 37699.50ns INFO [00037701] Port=0 WR @03=C9CDEC9522C2F8E5AB + 37699.50ns INFO [00037701] Port=1 RD @02 + 37700.50ns INFO [00037702] Port=0 RD @01 + 37701.50ns INFO [00037703] * RD COMPARE * port=1 adr=02 act=2D6FA2DEC2CA0F85C8 exp=2D6FA2DEC2CA0F85C8 + 37701.50ns INFO [00037703] Port=0 WR @04=659DBB5090DE3F7EEE + 37702.50ns INFO [00037704] * RD COMPARE * port=0 adr=01 act=2BF8F10B0E9BF54870 exp=2BF8F10B0E9BF54870 + 37703.50ns INFO [00037705] Port=1 RD @01 + 37704.50ns INFO [00037706] Port=1 RD @01 + 37705.50ns INFO [00037707] * RD COMPARE * port=1 adr=01 act=2BF8F10B0E9BF54870 exp=2BF8F10B0E9BF54870 + 37705.50ns INFO [00037707] Port=0 RD @05 + 37706.50ns INFO [00037708] * RD COMPARE * port=1 adr=01 act=2BF8F10B0E9BF54870 exp=2BF8F10B0E9BF54870 + 37706.50ns INFO [00037708] Port=1 RD @00 + 37707.50ns INFO [00037709] * RD COMPARE * port=0 adr=05 act=46B126FE7821E26D6B exp=46B126FE7821E26D6B + 37707.50ns INFO [00037709] Port=1 RD @02 + 37708.50ns INFO [00037710] * RD COMPARE * port=1 adr=00 act=CB5FBBB370364671B6 exp=CB5FBBB370364671B6 + 37708.50ns INFO [00037710] Port=1 RD @00 + 37709.50ns INFO [00037711] * RD COMPARE * port=1 adr=02 act=2D6FA2DEC2CA0F85C8 exp=2D6FA2DEC2CA0F85C8 + 37710.50ns INFO [00037712] * RD COMPARE * port=1 adr=00 act=CB5FBBB370364671B6 exp=CB5FBBB370364671B6 + 37710.50ns INFO [00037712] Port=0 WR @02=470B3B977B06DFED46 + 37711.50ns INFO [00037713] Port=0 WR @04=7AD30F091136284CB6 + 37711.50ns INFO [00037713] Port=1 RD @03 + 37712.50ns INFO [00037714] Port=0 RD @04 + 37712.50ns INFO [00037714] Port=1 RD @01 + 37713.50ns INFO [00037715] * RD COMPARE * port=1 adr=03 act=C9CDEC9522C2F8E5AB exp=C9CDEC9522C2F8E5AB + 37713.50ns INFO [00037715] Port=1 RD @03 + 37714.50ns INFO [00037716] * RD COMPARE * port=0 adr=04 act=7AD30F091136284CB6 exp=7AD30F091136284CB6 + 37714.50ns INFO [00037716] * RD COMPARE * port=1 adr=01 act=2BF8F10B0E9BF54870 exp=2BF8F10B0E9BF54870 + 37714.50ns INFO [00037716] Port=0 RD @04 + 37715.50ns INFO [00037717] * RD COMPARE * port=1 adr=03 act=C9CDEC9522C2F8E5AB exp=C9CDEC9522C2F8E5AB + 37716.50ns INFO [00037718] * RD COMPARE * port=0 adr=04 act=7AD30F091136284CB6 exp=7AD30F091136284CB6 + 37716.50ns INFO [00037718] Port=0 RD @02 + 37717.50ns INFO [00037719] Port=0 WR @01=17AFA482468F0286FF + 37718.50ns INFO [00037720] * RD COMPARE * port=0 adr=02 act=470B3B977B06DFED46 exp=470B3B977B06DFED46 + 37718.50ns INFO [00037720] Port=1 RD @03 + 37720.50ns INFO [00037722] * RD COMPARE * port=1 adr=03 act=C9CDEC9522C2F8E5AB exp=C9CDEC9522C2F8E5AB + 37721.50ns INFO [00037723] Port=0 RD @07 + 37722.50ns INFO [00037724] Port=0 RD @07 + 37722.50ns INFO [00037724] Port=1 RD @04 + 37723.50ns INFO [00037725] * RD COMPARE * port=0 adr=07 act=274DDAAB633E96C8A0 exp=274DDAAB633E96C8A0 + 37723.50ns INFO [00037725] Port=0 WR @07=9917EBFF694C481D29 + 37724.50ns INFO [00037726] * RD COMPARE * port=0 adr=07 act=274DDAAB633E96C8A0 exp=274DDAAB633E96C8A0 + 37724.50ns INFO [00037726] * RD COMPARE * port=1 adr=04 act=7AD30F091136284CB6 exp=7AD30F091136284CB6 + 37724.50ns INFO [00037726] Port=0 WR @02=EB5D1A2CBF498DB967 + 37725.50ns INFO [00037727] Port=0 WR @01=D48B761488267DFF33 + 37726.50ns INFO [00037728] Port=1 RD @01 + 37728.50ns INFO [00037730] * RD COMPARE * port=1 adr=01 act=D48B761488267DFF33 exp=D48B761488267DFF33 + 37728.50ns INFO [00037730] Port=0 WR @00=6C7F8DC09CB471EC06 + 37728.50ns INFO [00037730] Port=1 RD @03 + 37729.50ns INFO [00037731] Port=0 RD @05 + 37730.50ns INFO [00037732] * RD COMPARE * port=1 adr=03 act=C9CDEC9522C2F8E5AB exp=C9CDEC9522C2F8E5AB + 37730.50ns INFO [00037732] Port=1 RD @05 + 37731.50ns INFO [00037733] * RD COMPARE * port=0 adr=05 act=46B126FE7821E26D6B exp=46B126FE7821E26D6B + 37731.50ns INFO [00037733] Port=0 WR @05=087AB34753508B19EB + 37732.50ns INFO [00037734] * RD COMPARE * port=1 adr=05 act=46B126FE7821E26D6B exp=46B126FE7821E26D6B + 37734.50ns INFO [00037736] Port=1 RD @00 + 37736.50ns INFO [00037738] * RD COMPARE * port=1 adr=00 act=6C7F8DC09CB471EC06 exp=6C7F8DC09CB471EC06 + 37736.50ns INFO [00037738] Port=0 RD @02 + 37737.50ns INFO [00037739] Port=0 WR @07=691118B674ACB25F4A + 37738.50ns INFO [00037740] * RD COMPARE * port=0 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37738.50ns INFO [00037740] Port=1 RD @02 + 37739.50ns INFO [00037741] Port=0 WR @05=EEB12DDA1A004C38AF + 37740.50ns INFO [00037742] * RD COMPARE * port=1 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37740.50ns INFO [00037742] Port=0 RD @03 + 37741.50ns INFO [00037743] Port=0 WR @06=19172DC33D77F42916 + 37741.50ns INFO [00037743] Port=1 RD @02 + 37742.50ns INFO [00037744] * RD COMPARE * port=0 adr=03 act=C9CDEC9522C2F8E5AB exp=C9CDEC9522C2F8E5AB + 37742.50ns INFO [00037744] Port=0 WR @07=A3DE2F7221B25FF494 + 37742.50ns INFO [00037744] Port=0 RD @01 + 37742.50ns INFO [00037744] Port=1 RD @03 + 37743.50ns INFO [00037745] * RD COMPARE * port=1 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37743.50ns INFO [00037745] Port=0 RD @00 + 37744.50ns INFO [00037746] * RD COMPARE * port=0 adr=01 act=D48B761488267DFF33 exp=D48B761488267DFF33 + 37744.50ns INFO [00037746] * RD COMPARE * port=1 adr=03 act=C9CDEC9522C2F8E5AB exp=C9CDEC9522C2F8E5AB + 37744.50ns INFO [00037746] Port=1 RD @07 + 37745.50ns INFO [00037747] * RD COMPARE * port=0 adr=00 act=6C7F8DC09CB471EC06 exp=6C7F8DC09CB471EC06 + 37745.50ns INFO [00037747] Port=0 WR @03=A9ED2F2A664F6D4531 + 37745.50ns INFO [00037747] Port=1 RD @06 + 37746.50ns INFO [00037748] * RD COMPARE * port=1 adr=07 act=A3DE2F7221B25FF494 exp=A3DE2F7221B25FF494 + 37746.50ns INFO [00037748] Port=0 WR @06=E1772BCEEDB04D307F + 37746.50ns INFO [00037748] Port=0 RD @02 + 37746.50ns INFO [00037748] Port=1 RD @02 + 37747.50ns INFO [00037749] * RD COMPARE * port=1 adr=06 act=19172DC33D77F42916 exp=19172DC33D77F42916 + 37747.50ns INFO [00037749] Port=1 RD @02 + 37748.50ns INFO [00037750] * RD COMPARE * port=0 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37748.50ns INFO [00037750] * RD COMPARE * port=1 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37749.50ns INFO [00037751] * RD COMPARE * port=1 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37749.50ns INFO [00037751] Port=0 WR @01=F991DA88BD1D3508FF + 37749.50ns INFO [00037751] Port=0 RD @04 + 37751.50ns INFO [00037753] * RD COMPARE * port=0 adr=04 act=7AD30F091136284CB6 exp=7AD30F091136284CB6 + 37752.50ns INFO [00037754] Port=0 WR @01=32B4C96AB22CC30012 + 37752.50ns INFO [00037754] Port=0 RD @00 + 37753.50ns INFO [00037755] Port=0 RD @00 + 37754.50ns INFO [00037756] * RD COMPARE * port=0 adr=00 act=6C7F8DC09CB471EC06 exp=6C7F8DC09CB471EC06 + 37755.50ns INFO [00037757] * RD COMPARE * port=0 adr=00 act=6C7F8DC09CB471EC06 exp=6C7F8DC09CB471EC06 + 37755.50ns INFO [00037757] Port=0 WR @05=EC542372200AA9E9B1 + 37755.50ns INFO [00037757] Port=0 RD @00 + 37757.50ns INFO [00037759] * RD COMPARE * port=0 adr=00 act=6C7F8DC09CB471EC06 exp=6C7F8DC09CB471EC06 + 37757.50ns INFO [00037759] Port=0 WR @01=10ACD6F7221C8B2CB2 + 37758.50ns INFO [00037760] Port=1 RD @07 + 37759.50ns INFO [00037761] Port=0 WR @03=0197060A8FDE14D9D1 + 37759.50ns INFO [00037761] Port=0 RD @00 + 37759.50ns INFO [00037761] Port=1 RD @06 + 37760.50ns INFO [00037762] * RD COMPARE * port=1 adr=07 act=A3DE2F7221B25FF494 exp=A3DE2F7221B25FF494 + 37760.50ns INFO [00037762] Port=0 RD @02 + 37761.50ns INFO [00037763] * RD COMPARE * port=0 adr=00 act=6C7F8DC09CB471EC06 exp=6C7F8DC09CB471EC06 + 37761.50ns INFO [00037763] * RD COMPARE * port=1 adr=06 act=E1772BCEEDB04D307F exp=E1772BCEEDB04D307F + 37762.50ns INFO [00037764] * RD COMPARE * port=0 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37764.50ns INFO [00037766] Port=1 RD @07 + 37765.50ns INFO [00037767] Port=0 WR @00=E4D5BD9B1A162DA76C + 37766.50ns INFO [00037768] * RD COMPARE * port=1 adr=07 act=A3DE2F7221B25FF494 exp=A3DE2F7221B25FF494 + 37766.50ns INFO [00037768] Port=1 RD @04 + 37767.50ns INFO [00037769] Port=0 RD @06 + 37768.50ns INFO [00037770] * RD COMPARE * port=1 adr=04 act=7AD30F091136284CB6 exp=7AD30F091136284CB6 + 37768.50ns INFO [00037770] Port=0 WR @05=17C9A97554996AD866 + 37768.50ns INFO [00037770] Port=0 RD @02 + 37769.50ns INFO [00037771] * RD COMPARE * port=0 adr=06 act=E1772BCEEDB04D307F exp=E1772BCEEDB04D307F + 37770.50ns INFO [00037772] * RD COMPARE * port=0 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37770.50ns INFO [00037772] Port=0 WR @05=4CB02627F7A14F1402 + 37771.50ns INFO [00037773] Port=0 RD @00 + 37773.50ns INFO [00037775] * RD COMPARE * port=0 adr=00 act=E4D5BD9B1A162DA76C exp=E4D5BD9B1A162DA76C + 37774.50ns INFO [00037776] Port=0 WR @04=6C6C7154BA2EDD1C7C + 37775.50ns INFO [00037777] Port=0 WR @00=6C4C0673092B703AFE + 37775.50ns INFO [00037777] Port=0 RD @05 + 37777.50ns INFO [00037779] * RD COMPARE * port=0 adr=05 act=4CB02627F7A14F1402 exp=4CB02627F7A14F1402 + 37777.50ns INFO [00037779] Port=0 RD @04 + 37778.50ns INFO [00037780] Port=1 RD @02 + 37779.50ns INFO [00037781] * RD COMPARE * port=0 adr=04 act=6C6C7154BA2EDD1C7C exp=6C6C7154BA2EDD1C7C + 37779.50ns INFO [00037781] Port=0 WR @05=A78E9BD73BF255361B + 37779.50ns INFO [00037781] Port=0 RD @02 + 37779.50ns INFO [00037781] Port=1 RD @02 + 37780.50ns INFO [00037782] * RD COMPARE * port=1 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37781.50ns INFO [00037783] * RD COMPARE * port=0 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37781.50ns INFO [00037783] * RD COMPARE * port=1 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37782.50ns INFO [00037784] Port=0 WR @03=7D220C74E3BE26D5A4 + 37783.50ns INFO [00037785] Port=0 RD @06 + 37784.50ns INFO [00037786] Port=0 RD @03 + 37784.50ns INFO [00037786] Port=1 RD @03 + 37785.50ns INFO [00037787] * RD COMPARE * port=0 adr=06 act=E1772BCEEDB04D307F exp=E1772BCEEDB04D307F + 37786.50ns INFO [00037788] * RD COMPARE * port=0 adr=03 act=7D220C74E3BE26D5A4 exp=7D220C74E3BE26D5A4 + 37786.50ns INFO [00037788] * RD COMPARE * port=1 adr=03 act=7D220C74E3BE26D5A4 exp=7D220C74E3BE26D5A4 + 37786.50ns INFO [00037788] Port=0 WR @03=F8645A493F8DBF42DD + 37786.50ns INFO [00037788] Port=0 RD @00 + 37787.50ns INFO [00037789] Port=0 RD @04 + 37788.50ns INFO [00037790] * RD COMPARE * port=0 adr=00 act=6C4C0673092B703AFE exp=6C4C0673092B703AFE + 37789.50ns INFO [00037791] * RD COMPARE * port=0 adr=04 act=6C6C7154BA2EDD1C7C exp=6C6C7154BA2EDD1C7C + 37789.50ns INFO [00037791] Port=0 RD @06 + 37790.50ns INFO [00037792] Port=0 WR @01=F99E9B05FE6D6E2C6A + 37790.50ns INFO [00037792] Port=1 RD @03 + 37791.50ns INFO [00037793] * RD COMPARE * port=0 adr=06 act=E1772BCEEDB04D307F exp=E1772BCEEDB04D307F + 37791.50ns INFO [00037793] Port=1 RD @05 + 37792.50ns INFO [00037794] * RD COMPARE * port=1 adr=03 act=F8645A493F8DBF42DD exp=F8645A493F8DBF42DD + 37792.50ns INFO [00037794] Port=1 RD @00 + 37793.50ns INFO [00037795] * RD COMPARE * port=1 adr=05 act=A78E9BD73BF255361B exp=A78E9BD73BF255361B + 37793.50ns INFO [00037795] Port=1 RD @04 + 37794.50ns INFO [00037796] * RD COMPARE * port=1 adr=00 act=6C4C0673092B703AFE exp=6C4C0673092B703AFE + 37795.50ns INFO [00037797] * RD COMPARE * port=1 adr=04 act=6C6C7154BA2EDD1C7C exp=6C6C7154BA2EDD1C7C + 37795.50ns INFO [00037797] Port=0 RD @01 + 37795.50ns INFO [00037797] Port=1 RD @06 + 37796.50ns INFO [00037798] Port=0 RD @06 + 37797.50ns INFO [00037799] * RD COMPARE * port=0 adr=01 act=F99E9B05FE6D6E2C6A exp=F99E9B05FE6D6E2C6A + 37797.50ns INFO [00037799] * RD COMPARE * port=1 adr=06 act=E1772BCEEDB04D307F exp=E1772BCEEDB04D307F + 37797.50ns INFO [00037799] Port=0 WR @01=340A2D8E92B5CE5909 + 37797.50ns INFO [00037799] Port=0 RD @02 + 37798.00ns INFO [00037800] [00037800] ...tick... + 37798.50ns INFO [00037800] * RD COMPARE * port=0 adr=06 act=E1772BCEEDB04D307F exp=E1772BCEEDB04D307F + 37798.50ns INFO [00037800] Port=1 RD @06 + 37799.50ns INFO [00037801] * RD COMPARE * port=0 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37799.50ns INFO [00037801] Port=0 WR @06=ADDA922D5B4BD5FA8B + 37800.50ns INFO [00037802] * RD COMPARE * port=1 adr=06 act=E1772BCEEDB04D307F exp=E1772BCEEDB04D307F + 37800.50ns INFO [00037802] Port=0 RD @07 + 37802.50ns INFO [00037804] * RD COMPARE * port=0 adr=07 act=A3DE2F7221B25FF494 exp=A3DE2F7221B25FF494 + 37802.50ns INFO [00037804] Port=1 RD @05 + 37803.50ns INFO [00037805] Port=1 RD @01 + 37804.50ns INFO [00037806] * RD COMPARE * port=1 adr=05 act=A78E9BD73BF255361B exp=A78E9BD73BF255361B + 37804.50ns INFO [00037806] Port=0 WR @07=4B0D61BFD0D27CDB8D + 37804.50ns INFO [00037806] Port=1 RD @03 + 37805.50ns INFO [00037807] * RD COMPARE * port=1 adr=01 act=340A2D8E92B5CE5909 exp=340A2D8E92B5CE5909 + 37805.50ns INFO [00037807] Port=0 WR @01=6E32E94FA47F5B042A + 37805.50ns INFO [00037807] Port=1 RD @02 + 37806.50ns INFO [00037808] * RD COMPARE * port=1 adr=03 act=F8645A493F8DBF42DD exp=F8645A493F8DBF42DD + 37806.50ns INFO [00037808] Port=0 RD @03 + 37807.50ns INFO [00037809] * RD COMPARE * port=1 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37808.50ns INFO [00037810] * RD COMPARE * port=0 adr=03 act=F8645A493F8DBF42DD exp=F8645A493F8DBF42DD + 37810.50ns INFO [00037812] Port=0 RD @01 + 37811.50ns INFO [00037813] Port=0 WR @06=C0BCDD9ABE9086F76E + 37812.50ns INFO [00037814] * RD COMPARE * port=0 adr=01 act=6E32E94FA47F5B042A exp=6E32E94FA47F5B042A + 37812.50ns INFO [00037814] Port=0 WR @05=C33E11FD0805950A9C + 37812.50ns INFO [00037814] Port=0 RD @02 + 37813.50ns INFO [00037815] Port=0 WR @03=B6F829D05179EB601E + 37813.50ns INFO [00037815] Port=1 RD @04 + 37814.50ns INFO [00037816] * RD COMPARE * port=0 adr=02 act=EB5D1A2CBF498DB967 exp=EB5D1A2CBF498DB967 + 37815.50ns INFO [00037817] * RD COMPARE * port=1 adr=04 act=6C6C7154BA2EDD1C7C exp=6C6C7154BA2EDD1C7C + 37815.50ns INFO [00037817] Port=1 RD @01 + 37816.50ns INFO [00037818] Port=0 WR @06=E61CC690C893455149 + 37816.50ns INFO [00037818] Port=0 RD @01 + 37817.50ns INFO [00037819] * RD COMPARE * port=1 adr=01 act=6E32E94FA47F5B042A exp=6E32E94FA47F5B042A + 37817.50ns INFO [00037819] Port=0 WR @02=ADE7CF8FB0DD549659 + 37818.50ns INFO [00037820] * RD COMPARE * port=0 adr=01 act=6E32E94FA47F5B042A exp=6E32E94FA47F5B042A + 37819.50ns INFO [00037821] Port=0 RD @06 + 37819.50ns INFO [00037821] Port=1 RD @05 + 37820.50ns INFO [00037822] Port=0 WR @00=D66FC104A7589A356B + 37820.50ns INFO [00037822] Port=1 RD @04 + 37821.50ns INFO [00037823] * RD COMPARE * port=0 adr=06 act=E61CC690C893455149 exp=E61CC690C893455149 + 37821.50ns INFO [00037823] * RD COMPARE * port=1 adr=05 act=C33E11FD0805950A9C exp=C33E11FD0805950A9C + 37821.50ns INFO [00037823] Port=0 WR @07=E9FF35FDC8DA9F715A + 37822.50ns INFO [00037824] * RD COMPARE * port=1 adr=04 act=6C6C7154BA2EDD1C7C exp=6C6C7154BA2EDD1C7C + 37824.50ns INFO [00037826] Port=1 RD @04 + 37825.50ns INFO [00037827] Port=0 WR @05=29254C1B4EC62D10EB + 37825.50ns INFO [00037827] Port=1 RD @04 + 37826.50ns INFO [00037828] * RD COMPARE * port=1 adr=04 act=6C6C7154BA2EDD1C7C exp=6C6C7154BA2EDD1C7C + 37826.50ns INFO [00037828] Port=0 WR @03=AA10D2FEEA04809E33 + 37827.50ns INFO [00037829] * RD COMPARE * port=1 adr=04 act=6C6C7154BA2EDD1C7C exp=6C6C7154BA2EDD1C7C + 37827.50ns INFO [00037829] Port=1 RD @03 + 37828.50ns INFO [00037830] Port=0 WR @02=16B379C2B84C561B01 + 37829.50ns INFO [00037831] * RD COMPARE * port=1 adr=03 act=AA10D2FEEA04809E33 exp=AA10D2FEEA04809E33 + 37829.50ns INFO [00037831] Port=1 RD @03 + 37830.50ns INFO [00037832] Port=0 RD @04 + 37831.50ns INFO [00037833] * RD COMPARE * port=1 adr=03 act=AA10D2FEEA04809E33 exp=AA10D2FEEA04809E33 + 37831.50ns INFO [00037833] Port=1 RD @00 + 37832.50ns INFO [00037834] * RD COMPARE * port=0 adr=04 act=6C6C7154BA2EDD1C7C exp=6C6C7154BA2EDD1C7C + 37833.50ns INFO [00037835] * RD COMPARE * port=1 adr=00 act=D66FC104A7589A356B exp=D66FC104A7589A356B + 37834.50ns INFO [00037836] Port=0 WR @04=2D3123F8C559FE76C6 + 37835.50ns INFO [00037837] Port=0 RD @01 + 37836.50ns INFO [00037838] Port=0 RD @00 + 37836.50ns INFO [00037838] Port=1 RD @07 + 37837.50ns INFO [00037839] * RD COMPARE * port=0 adr=01 act=6E32E94FA47F5B042A exp=6E32E94FA47F5B042A + 37837.50ns INFO [00037839] Port=0 WR @03=147D7687AC31D3F467 + 37837.50ns INFO [00037839] Port=0 RD @06 + 37838.50ns INFO [00037840] * RD COMPARE * port=0 adr=00 act=D66FC104A7589A356B exp=D66FC104A7589A356B + 37838.50ns INFO [00037840] * RD COMPARE * port=1 adr=07 act=E9FF35FDC8DA9F715A exp=E9FF35FDC8DA9F715A + 37838.50ns INFO [00037840] Port=0 RD @02 + 37839.50ns INFO [00037841] * RD COMPARE * port=0 adr=06 act=E61CC690C893455149 exp=E61CC690C893455149 + 37839.50ns INFO [00037841] Port=1 RD @01 + 37840.50ns INFO [00037842] * RD COMPARE * port=0 adr=02 act=16B379C2B84C561B01 exp=16B379C2B84C561B01 + 37840.50ns INFO [00037842] Port=0 WR @01=87AC7234CE23657540 + 37841.50ns INFO [00037843] * RD COMPARE * port=1 adr=01 act=6E32E94FA47F5B042A exp=6E32E94FA47F5B042A + 37841.50ns INFO [00037843] Port=0 WR @06=2CAFB367A7C78F4663 + 37841.50ns INFO [00037843] Port=0 RD @07 + 37843.50ns INFO [00037845] * RD COMPARE * port=0 adr=07 act=E9FF35FDC8DA9F715A exp=E9FF35FDC8DA9F715A + 37843.50ns INFO [00037845] Port=1 RD @02 + 37844.50ns INFO [00037846] Port=1 RD @04 + 37845.50ns INFO [00037847] * RD COMPARE * port=1 adr=02 act=16B379C2B84C561B01 exp=16B379C2B84C561B01 + 37845.50ns INFO [00037847] Port=1 RD @07 + 37846.50ns INFO [00037848] * RD COMPARE * port=1 adr=04 act=2D3123F8C559FE76C6 exp=2D3123F8C559FE76C6 + 37846.50ns INFO [00037848] Port=0 RD @02 + 37847.50ns INFO [00037849] * RD COMPARE * port=1 adr=07 act=E9FF35FDC8DA9F715A exp=E9FF35FDC8DA9F715A + 37847.50ns INFO [00037849] Port=0 RD @07 + 37847.50ns INFO [00037849] Port=1 RD @01 + 37848.50ns INFO [00037850] * RD COMPARE * port=0 adr=02 act=16B379C2B84C561B01 exp=16B379C2B84C561B01 + 37848.50ns INFO [00037850] Port=0 WR @00=8DEA3C9D0D6C67E34A + 37848.50ns INFO [00037850] Port=0 RD @06 + 37849.50ns INFO [00037851] * RD COMPARE * port=0 adr=07 act=E9FF35FDC8DA9F715A exp=E9FF35FDC8DA9F715A + 37849.50ns INFO [00037851] * RD COMPARE * port=1 adr=01 act=87AC7234CE23657540 exp=87AC7234CE23657540 + 37850.50ns INFO [00037852] * RD COMPARE * port=0 adr=06 act=2CAFB367A7C78F4663 exp=2CAFB367A7C78F4663 + 37850.50ns INFO [00037852] Port=0 RD @02 + 37851.50ns INFO [00037853] Port=0 WR @03=A3DDC096A2A11EAE7B + 37851.50ns INFO [00037853] Port=0 RD @02 + 37852.50ns INFO [00037854] * RD COMPARE * port=0 adr=02 act=16B379C2B84C561B01 exp=16B379C2B84C561B01 + 37852.50ns INFO [00037854] Port=0 RD @02 + 37852.50ns INFO [00037854] Port=1 RD @00 + 37853.50ns INFO [00037855] * RD COMPARE * port=0 adr=02 act=16B379C2B84C561B01 exp=16B379C2B84C561B01 + 37853.50ns INFO [00037855] Port=0 WR @03=D5AC9C7B2DF8F9156E + 37853.50ns INFO [00037855] Port=1 RD @05 + 37854.50ns INFO [00037856] * RD COMPARE * port=0 adr=02 act=16B379C2B84C561B01 exp=16B379C2B84C561B01 + 37854.50ns INFO [00037856] * RD COMPARE * port=1 adr=00 act=8DEA3C9D0D6C67E34A exp=8DEA3C9D0D6C67E34A + 37854.50ns INFO [00037856] Port=0 WR @02=CD16F9BCC4D39E72CA + 37854.50ns INFO [00037856] Port=1 RD @05 + 37855.50ns INFO [00037857] * RD COMPARE * port=1 adr=05 act=29254C1B4EC62D10EB exp=29254C1B4EC62D10EB + 37856.50ns INFO [00037858] * RD COMPARE * port=1 adr=05 act=29254C1B4EC62D10EB exp=29254C1B4EC62D10EB + 37856.50ns INFO [00037858] Port=0 WR @00=14D90174903711C9A1 + 37856.50ns INFO [00037858] Port=0 RD @04 + 37856.50ns INFO [00037858] Port=1 RD @03 + 37858.50ns INFO [00037860] * RD COMPARE * port=0 adr=04 act=2D3123F8C559FE76C6 exp=2D3123F8C559FE76C6 + 37858.50ns INFO [00037860] * RD COMPARE * port=1 adr=03 act=D5AC9C7B2DF8F9156E exp=D5AC9C7B2DF8F9156E + 37859.50ns INFO [00037861] Port=0 WR @07=9F048582D839C9AD83 + 37859.50ns INFO [00037861] Port=0 RD @05 + 37860.50ns INFO [00037862] Port=0 WR @00=E814D052D2EE783059 + 37861.50ns INFO [00037863] * RD COMPARE * port=0 adr=05 act=29254C1B4EC62D10EB exp=29254C1B4EC62D10EB + 37861.50ns INFO [00037863] Port=0 WR @02=D47999B7F8B0BE7659 + 37862.50ns INFO [00037864] Port=0 RD @00 + 37862.50ns INFO [00037864] Port=1 RD @07 + 37863.50ns INFO [00037865] Port=0 WR @06=58D64E5BABC410EB4F + 37863.50ns INFO [00037865] Port=0 RD @01 + 37863.50ns INFO [00037865] Port=1 RD @02 + 37864.50ns INFO [00037866] * RD COMPARE * port=0 adr=00 act=E814D052D2EE783059 exp=E814D052D2EE783059 + 37864.50ns INFO [00037866] * RD COMPARE * port=1 adr=07 act=9F048582D839C9AD83 exp=9F048582D839C9AD83 + 37864.50ns INFO [00037866] Port=1 RD @07 + 37865.50ns INFO [00037867] * RD COMPARE * port=0 adr=01 act=87AC7234CE23657540 exp=87AC7234CE23657540 + 37865.50ns INFO [00037867] * RD COMPARE * port=1 adr=02 act=D47999B7F8B0BE7659 exp=D47999B7F8B0BE7659 + 37866.50ns INFO [00037868] * RD COMPARE * port=1 adr=07 act=9F048582D839C9AD83 exp=9F048582D839C9AD83 + 37866.50ns INFO [00037868] Port=0 WR @00=1E052907DA9BBF3023 + 37866.50ns INFO [00037868] Port=1 RD @03 + 37867.50ns INFO [00037869] Port=0 WR @00=DAD23FA281351FF7F4 + 37867.50ns INFO [00037869] Port=0 RD @02 + 37868.50ns INFO [00037870] * RD COMPARE * port=1 adr=03 act=D5AC9C7B2DF8F9156E exp=D5AC9C7B2DF8F9156E + 37869.50ns INFO [00037871] * RD COMPARE * port=0 adr=02 act=D47999B7F8B0BE7659 exp=D47999B7F8B0BE7659 + 37869.50ns INFO [00037871] Port=0 WR @00=AAA2BBA098D7DDBCF4 + 37869.50ns INFO [00037871] Port=1 RD @04 + 37870.50ns INFO [00037872] Port=0 WR @06=0D20607CC26AAD99B7 + 37870.50ns INFO [00037872] Port=0 RD @00 + 37870.50ns INFO [00037872] Port=1 RD @02 + 37871.50ns INFO [00037873] * RD COMPARE * port=1 adr=04 act=2D3123F8C559FE76C6 exp=2D3123F8C559FE76C6 + 37871.50ns INFO [00037873] Port=0 WR @03=642D1CDAB9FF713519 + 37871.50ns INFO [00037873] Port=1 RD @01 + 37872.50ns INFO [00037874] * RD COMPARE * port=0 adr=00 act=AAA2BBA098D7DDBCF4 exp=AAA2BBA098D7DDBCF4 + 37872.50ns INFO [00037874] * RD COMPARE * port=1 adr=02 act=D47999B7F8B0BE7659 exp=D47999B7F8B0BE7659 + 37872.50ns INFO [00037874] Port=1 RD @05 + 37873.50ns INFO [00037875] * RD COMPARE * port=1 adr=01 act=87AC7234CE23657540 exp=87AC7234CE23657540 + 37873.50ns INFO [00037875] Port=0 WR @05=933DD61530CC677695 + 37873.50ns INFO [00037875] Port=1 RD @01 + 37874.50ns INFO [00037876] * RD COMPARE * port=1 adr=05 act=29254C1B4EC62D10EB exp=29254C1B4EC62D10EB + 37874.50ns INFO [00037876] Port=0 WR @00=71AA6BD7F7FF90DB2C + 37874.50ns INFO [00037876] Port=1 RD @06 + 37875.50ns INFO [00037877] * RD COMPARE * port=1 adr=01 act=87AC7234CE23657540 exp=87AC7234CE23657540 + 37876.50ns INFO [00037878] * RD COMPARE * port=1 adr=06 act=0D20607CC26AAD99B7 exp=0D20607CC26AAD99B7 + 37876.50ns INFO [00037878] Port=0 RD @06 + 37877.50ns INFO [00037879] Port=0 WR @00=2E76796C04CAC8B5A8 + 37877.50ns INFO [00037879] Port=0 RD @05 + 37878.50ns INFO [00037880] * RD COMPARE * port=0 adr=06 act=0D20607CC26AAD99B7 exp=0D20607CC26AAD99B7 + 37879.50ns INFO [00037881] * RD COMPARE * port=0 adr=05 act=933DD61530CC677695 exp=933DD61530CC677695 + 37879.50ns INFO [00037881] Port=0 WR @05=B94FF71CF3A6F5638D + 37879.50ns INFO [00037881] Port=1 RD @01 + 37880.50ns INFO [00037882] Port=0 WR @01=86782C39FE1DEBA682 + 37881.50ns INFO [00037883] * RD COMPARE * port=1 adr=01 act=87AC7234CE23657540 exp=87AC7234CE23657540 + 37882.50ns INFO [00037884] Port=0 WR @04=FD9F4DE1A5C10B3BDF + 37882.50ns INFO [00037884] Port=1 RD @07 + 37883.50ns INFO [00037885] Port=0 WR @05=2FC510725653AD8CEA + 37884.50ns INFO [00037886] * RD COMPARE * port=1 adr=07 act=9F048582D839C9AD83 exp=9F048582D839C9AD83 + 37884.50ns INFO [00037886] Port=0 WR @02=72DD3EBFE3504B7F91 + 37884.50ns INFO [00037886] Port=0 RD @03 + 37885.50ns INFO [00037887] Port=1 RD @01 + 37886.50ns INFO [00037888] * RD COMPARE * port=0 adr=03 act=642D1CDAB9FF713519 exp=642D1CDAB9FF713519 + 37886.50ns INFO [00037888] Port=0 RD @02 + 37887.50ns INFO [00037889] * RD COMPARE * port=1 adr=01 act=86782C39FE1DEBA682 exp=86782C39FE1DEBA682 + 37888.50ns INFO [00037890] * RD COMPARE * port=0 adr=02 act=72DD3EBFE3504B7F91 exp=72DD3EBFE3504B7F91 + 37888.50ns INFO [00037890] Port=0 WR @04=9F9A8F809340F164FC + 37888.50ns INFO [00037890] Port=1 RD @00 + 37889.50ns INFO [00037891] Port=1 RD @04 + 37890.50ns INFO [00037892] * RD COMPARE * port=1 adr=00 act=2E76796C04CAC8B5A8 exp=2E76796C04CAC8B5A8 + 37890.50ns INFO [00037892] Port=0 WR @06=6A4E48EE9EBBE625C8 + 37890.50ns INFO [00037892] Port=1 RD @00 + 37891.50ns INFO [00037893] * RD COMPARE * port=1 adr=04 act=9F9A8F809340F164FC exp=9F9A8F809340F164FC + 37891.50ns INFO [00037893] Port=0 RD @02 + 37892.50ns INFO [00037894] * RD COMPARE * port=1 adr=00 act=2E76796C04CAC8B5A8 exp=2E76796C04CAC8B5A8 + 37892.50ns INFO [00037894] Port=0 WR @01=2D7149B9CE68FDA478 + 37892.50ns INFO [00037894] Port=0 RD @05 + 37893.50ns INFO [00037895] * RD COMPARE * port=0 adr=02 act=72DD3EBFE3504B7F91 exp=72DD3EBFE3504B7F91 + 37894.50ns INFO [00037896] * RD COMPARE * port=0 adr=05 act=2FC510725653AD8CEA exp=2FC510725653AD8CEA + 37894.50ns INFO [00037896] Port=0 WR @00=75ECDEBE2CC6498DCB + 37895.50ns INFO [00037897] Port=0 RD @03 + 37895.50ns INFO [00037897] Port=1 RD @01 + 37897.50ns INFO [00037899] * RD COMPARE * port=0 adr=03 act=642D1CDAB9FF713519 exp=642D1CDAB9FF713519 + 37897.50ns INFO [00037899] * RD COMPARE * port=1 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37898.00ns INFO [00037900] [00037900] ...tick... + 37898.50ns INFO [00037900] Port=0 WR @06=B0BF34CBD8C02BB9FC + 37899.50ns INFO [00037901] Port=0 WR @05=FC1E26FD8D67E96269 + 37899.50ns INFO [00037901] Port=0 RD @03 + 37899.50ns INFO [00037901] Port=1 RD @06 + 37900.50ns INFO [00037902] Port=0 RD @05 + 37901.50ns INFO [00037903] * RD COMPARE * port=0 adr=03 act=642D1CDAB9FF713519 exp=642D1CDAB9FF713519 + 37901.50ns INFO [00037903] * RD COMPARE * port=1 adr=06 act=B0BF34CBD8C02BB9FC exp=B0BF34CBD8C02BB9FC + 37901.50ns INFO [00037903] Port=0 RD @00 + 37901.50ns INFO [00037903] Port=1 RD @00 + 37902.50ns INFO [00037904] * RD COMPARE * port=0 adr=05 act=FC1E26FD8D67E96269 exp=FC1E26FD8D67E96269 + 37902.50ns INFO [00037904] Port=0 RD @02 + 37903.50ns INFO [00037905] * RD COMPARE * port=0 adr=00 act=75ECDEBE2CC6498DCB exp=75ECDEBE2CC6498DCB + 37903.50ns INFO [00037905] * RD COMPARE * port=1 adr=00 act=75ECDEBE2CC6498DCB exp=75ECDEBE2CC6498DCB + 37903.50ns INFO [00037905] Port=0 WR @02=DB8CA06FC40CECA940 + 37903.50ns INFO [00037905] Port=0 RD @01 + 37903.50ns INFO [00037905] Port=1 RD @01 + 37904.50ns INFO [00037906] * RD COMPARE * port=0 adr=02 act=72DD3EBFE3504B7F91 exp=72DD3EBFE3504B7F91 + 37904.50ns INFO [00037906] Port=1 RD @01 + 37905.50ns INFO [00037907] * RD COMPARE * port=0 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37905.50ns INFO [00037907] * RD COMPARE * port=1 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37905.50ns INFO [00037907] Port=0 WR @00=F8E1F07F9264E6B2DB + 37905.50ns INFO [00037907] Port=0 RD @05 + 37906.50ns INFO [00037908] * RD COMPARE * port=1 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37906.50ns INFO [00037908] Port=0 RD @07 + 37907.50ns INFO [00037909] * RD COMPARE * port=0 adr=05 act=FC1E26FD8D67E96269 exp=FC1E26FD8D67E96269 + 37908.50ns INFO [00037910] * RD COMPARE * port=0 adr=07 act=9F048582D839C9AD83 exp=9F048582D839C9AD83 + 37908.50ns INFO [00037910] Port=0 WR @02=417F3AD7B6C3F06C72 + 37908.50ns INFO [00037910] Port=0 RD @06 + 37908.50ns INFO [00037910] Port=1 RD @03 + 37910.50ns INFO [00037912] * RD COMPARE * port=0 adr=06 act=B0BF34CBD8C02BB9FC exp=B0BF34CBD8C02BB9FC + 37910.50ns INFO [00037912] * RD COMPARE * port=1 adr=03 act=642D1CDAB9FF713519 exp=642D1CDAB9FF713519 + 37912.50ns INFO [00037914] Port=1 RD @03 + 37914.50ns INFO [00037916] * RD COMPARE * port=1 adr=03 act=642D1CDAB9FF713519 exp=642D1CDAB9FF713519 + 37914.50ns INFO [00037916] Port=0 WR @02=0124CF49DFD4D92719 + 37914.50ns INFO [00037916] Port=0 RD @07 + 37915.50ns INFO [00037917] Port=1 RD @04 + 37916.50ns INFO [00037918] * RD COMPARE * port=0 adr=07 act=9F048582D839C9AD83 exp=9F048582D839C9AD83 + 37916.50ns INFO [00037918] Port=0 WR @05=7F272B225306882528 + 37917.50ns INFO [00037919] * RD COMPARE * port=1 adr=04 act=9F9A8F809340F164FC exp=9F9A8F809340F164FC + 37917.50ns INFO [00037919] Port=0 RD @06 + 37917.50ns INFO [00037919] Port=1 RD @03 + 37918.50ns INFO [00037920] Port=1 RD @00 + 37919.50ns INFO [00037921] * RD COMPARE * port=0 adr=06 act=B0BF34CBD8C02BB9FC exp=B0BF34CBD8C02BB9FC + 37919.50ns INFO [00037921] * RD COMPARE * port=1 adr=03 act=642D1CDAB9FF713519 exp=642D1CDAB9FF713519 + 37919.50ns INFO [00037921] Port=0 RD @03 + 37919.50ns INFO [00037921] Port=1 RD @02 + 37920.50ns INFO [00037922] * RD COMPARE * port=1 adr=00 act=F8E1F07F9264E6B2DB exp=F8E1F07F9264E6B2DB + 37921.50ns INFO [00037923] * RD COMPARE * port=0 adr=03 act=642D1CDAB9FF713519 exp=642D1CDAB9FF713519 + 37921.50ns INFO [00037923] * RD COMPARE * port=1 adr=02 act=0124CF49DFD4D92719 exp=0124CF49DFD4D92719 + 37921.50ns INFO [00037923] Port=1 RD @06 + 37923.50ns INFO [00037925] * RD COMPARE * port=1 adr=06 act=B0BF34CBD8C02BB9FC exp=B0BF34CBD8C02BB9FC + 37925.50ns INFO [00037927] Port=1 RD @01 + 37926.50ns INFO [00037928] Port=1 RD @04 + 37927.50ns INFO [00037929] * RD COMPARE * port=1 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37928.50ns INFO [00037930] * RD COMPARE * port=1 adr=04 act=9F9A8F809340F164FC exp=9F9A8F809340F164FC + 37928.50ns INFO [00037930] Port=0 RD @07 + 37929.50ns INFO [00037931] Port=0 WR @00=3CD863B5D0E6254C8E + 37929.50ns INFO [00037931] Port=0 RD @02 + 37929.50ns INFO [00037931] Port=1 RD @01 + 37930.50ns INFO [00037932] * RD COMPARE * port=0 adr=07 act=9F048582D839C9AD83 exp=9F048582D839C9AD83 + 37931.50ns INFO [00037933] * RD COMPARE * port=0 adr=02 act=0124CF49DFD4D92719 exp=0124CF49DFD4D92719 + 37931.50ns INFO [00037933] * RD COMPARE * port=1 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37932.50ns INFO [00037934] Port=0 RD @07 + 37933.50ns INFO [00037935] Port=0 RD @01 + 37933.50ns INFO [00037935] Port=1 RD @02 + 37934.50ns INFO [00037936] * RD COMPARE * port=0 adr=07 act=9F048582D839C9AD83 exp=9F048582D839C9AD83 + 37934.50ns INFO [00037936] Port=1 RD @00 + 37935.50ns INFO [00037937] * RD COMPARE * port=0 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37935.50ns INFO [00037937] * RD COMPARE * port=1 adr=02 act=0124CF49DFD4D92719 exp=0124CF49DFD4D92719 + 37935.50ns INFO [00037937] Port=0 WR @03=630C30A586370F6EFF + 37935.50ns INFO [00037937] Port=0 RD @01 + 37936.50ns INFO [00037938] * RD COMPARE * port=1 adr=00 act=3CD863B5D0E6254C8E exp=3CD863B5D0E6254C8E + 37936.50ns INFO [00037938] Port=0 WR @03=7DFA8316417E22F7C9 + 37936.50ns INFO [00037938] Port=0 RD @07 + 37937.50ns INFO [00037939] * RD COMPARE * port=0 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37937.50ns INFO [00037939] Port=1 RD @04 + 37938.50ns INFO [00037940] * RD COMPARE * port=0 adr=07 act=9F048582D839C9AD83 exp=9F048582D839C9AD83 + 37938.50ns INFO [00037940] Port=0 RD @02 + 37939.50ns INFO [00037941] * RD COMPARE * port=1 adr=04 act=9F9A8F809340F164FC exp=9F9A8F809340F164FC + 37940.50ns INFO [00037942] * RD COMPARE * port=0 adr=02 act=0124CF49DFD4D92719 exp=0124CF49DFD4D92719 + 37941.50ns INFO [00037943] Port=1 RD @02 + 37942.50ns INFO [00037944] Port=1 RD @03 + 37943.50ns INFO [00037945] * RD COMPARE * port=1 adr=02 act=0124CF49DFD4D92719 exp=0124CF49DFD4D92719 + 37943.50ns INFO [00037945] Port=0 RD @05 + 37944.50ns INFO [00037946] * RD COMPARE * port=1 adr=03 act=7DFA8316417E22F7C9 exp=7DFA8316417E22F7C9 + 37944.50ns INFO [00037946] Port=0 WR @04=E67D4FB73C004ACD0F + 37945.50ns INFO [00037947] * RD COMPARE * port=0 adr=05 act=7F272B225306882528 exp=7F272B225306882528 + 37945.50ns INFO [00037947] Port=0 WR @03=783A9EDBF1A9CD8C10 + 37945.50ns INFO [00037947] Port=0 RD @06 + 37945.50ns INFO [00037947] Port=1 RD @00 + 37946.50ns INFO [00037948] Port=0 WR @05=1BD5ADA9EBBB7A02B8 + 37946.50ns INFO [00037948] Port=0 RD @06 + 37947.50ns INFO [00037949] * RD COMPARE * port=0 adr=06 act=B0BF34CBD8C02BB9FC exp=B0BF34CBD8C02BB9FC + 37947.50ns INFO [00037949] * RD COMPARE * port=1 adr=00 act=3CD863B5D0E6254C8E exp=3CD863B5D0E6254C8E + 37947.50ns INFO [00037949] Port=0 WR @06=72B21CF51150A91C79 + 37947.50ns INFO [00037949] Port=0 RD @05 + 37948.50ns INFO [00037950] * RD COMPARE * port=0 adr=06 act=B0BF34CBD8C02BB9FC exp=B0BF34CBD8C02BB9FC + 37948.50ns INFO [00037950] Port=0 WR @06=E009B5B2DE9D8202A0 + 37948.50ns INFO [00037950] Port=0 RD @01 + 37949.50ns INFO [00037951] * RD COMPARE * port=0 adr=05 act=1BD5ADA9EBBB7A02B8 exp=1BD5ADA9EBBB7A02B8 + 37949.50ns INFO [00037951] Port=0 WR @04=C4951460ADE916A972 + 37949.50ns INFO [00037951] Port=1 RD @05 + 37950.50ns INFO [00037952] * RD COMPARE * port=0 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37950.50ns INFO [00037952] Port=0 RD @05 + 37950.50ns INFO [00037952] Port=1 RD @02 + 37951.50ns INFO [00037953] * RD COMPARE * port=1 adr=05 act=1BD5ADA9EBBB7A02B8 exp=1BD5ADA9EBBB7A02B8 + 37951.50ns INFO [00037953] Port=0 WR @06=B9BAE8E556F03198CB + 37951.50ns INFO [00037953] Port=0 RD @05 + 37951.50ns INFO [00037953] Port=1 RD @01 + 37952.50ns INFO [00037954] * RD COMPARE * port=0 adr=05 act=1BD5ADA9EBBB7A02B8 exp=1BD5ADA9EBBB7A02B8 + 37952.50ns INFO [00037954] * RD COMPARE * port=1 adr=02 act=0124CF49DFD4D92719 exp=0124CF49DFD4D92719 + 37952.50ns INFO [00037954] Port=0 WR @04=C7A658B85541027E82 + 37953.50ns INFO [00037955] * RD COMPARE * port=0 adr=05 act=1BD5ADA9EBBB7A02B8 exp=1BD5ADA9EBBB7A02B8 + 37953.50ns INFO [00037955] * RD COMPARE * port=1 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37953.50ns INFO [00037955] Port=0 WR @04=74758D8D4CD3ACE198 + 37954.50ns INFO [00037956] Port=1 RD @01 + 37955.50ns INFO [00037957] Port=0 RD @06 + 37956.50ns INFO [00037958] * RD COMPARE * port=1 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37956.50ns INFO [00037958] Port=1 RD @07 + 37957.50ns INFO [00037959] * RD COMPARE * port=0 adr=06 act=B9BAE8E556F03198CB exp=B9BAE8E556F03198CB + 37957.50ns INFO [00037959] Port=1 RD @01 + 37958.50ns INFO [00037960] * RD COMPARE * port=1 adr=07 act=9F048582D839C9AD83 exp=9F048582D839C9AD83 + 37958.50ns INFO [00037960] Port=0 WR @07=DDF000EDBAE91E4D18 + 37958.50ns INFO [00037960] Port=0 RD @03 + 37958.50ns INFO [00037960] Port=1 RD @03 + 37959.50ns INFO [00037961] * RD COMPARE * port=1 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37960.50ns INFO [00037962] * RD COMPARE * port=0 adr=03 act=783A9EDBF1A9CD8C10 exp=783A9EDBF1A9CD8C10 + 37960.50ns INFO [00037962] * RD COMPARE * port=1 adr=03 act=783A9EDBF1A9CD8C10 exp=783A9EDBF1A9CD8C10 + 37961.50ns INFO [00037963] Port=0 WR @07=310F36D009C81F507D + 37961.50ns INFO [00037963] Port=0 RD @05 + 37962.50ns INFO [00037964] Port=0 WR @06=163FB05B723656E908 + 37963.50ns INFO [00037965] * RD COMPARE * port=0 adr=05 act=1BD5ADA9EBBB7A02B8 exp=1BD5ADA9EBBB7A02B8 + 37963.50ns INFO [00037965] Port=0 RD @03 + 37964.50ns INFO [00037966] Port=0 RD @01 + 37964.50ns INFO [00037966] Port=1 RD @01 + 37965.50ns INFO [00037967] * RD COMPARE * port=0 adr=03 act=783A9EDBF1A9CD8C10 exp=783A9EDBF1A9CD8C10 + 37965.50ns INFO [00037967] Port=1 RD @00 + 37966.50ns INFO [00037968] * RD COMPARE * port=0 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37966.50ns INFO [00037968] * RD COMPARE * port=1 adr=01 act=2D7149B9CE68FDA478 exp=2D7149B9CE68FDA478 + 37966.50ns INFO [00037968] Port=1 RD @00 + 37967.50ns INFO [00037969] * RD COMPARE * port=1 adr=00 act=3CD863B5D0E6254C8E exp=3CD863B5D0E6254C8E + 37967.50ns INFO [00037969] Port=0 WR @05=47AC151FCFA1CE6C20 + 37967.50ns INFO [00037969] Port=0 RD @03 + 37968.50ns INFO [00037970] * RD COMPARE * port=1 adr=00 act=3CD863B5D0E6254C8E exp=3CD863B5D0E6254C8E + 37969.50ns INFO [00037971] * RD COMPARE * port=0 adr=03 act=783A9EDBF1A9CD8C10 exp=783A9EDBF1A9CD8C10 + 37969.50ns INFO [00037971] Port=0 WR @02=8FDFCCC09E1B5A496D + 37969.50ns INFO [00037971] Port=0 RD @03 + 37970.50ns INFO [00037972] Port=0 WR @06=166F7B10B531363832 + 37970.50ns INFO [00037972] Port=1 RD @07 + 37971.50ns INFO [00037973] * RD COMPARE * port=0 adr=03 act=783A9EDBF1A9CD8C10 exp=783A9EDBF1A9CD8C10 + 37971.50ns INFO [00037973] Port=0 RD @00 + 37972.50ns INFO [00037974] * RD COMPARE * port=1 adr=07 act=310F36D009C81F507D exp=310F36D009C81F507D + 37972.50ns INFO [00037974] Port=0 WR @07=FA92B53F4A1BBC02D1 + 37972.50ns INFO [00037974] Port=0 RD @06 + 37973.50ns INFO [00037975] * RD COMPARE * port=0 adr=00 act=3CD863B5D0E6254C8E exp=3CD863B5D0E6254C8E + 37974.50ns INFO [00037976] * RD COMPARE * port=0 adr=06 act=166F7B10B531363832 exp=166F7B10B531363832 + 37974.50ns INFO [00037976] Port=0 WR @01=52808B0B072FDD3BA7 + 37974.50ns INFO [00037976] Port=0 RD @03 + 37975.50ns INFO [00037977] Port=0 WR @02=6E857EB0A8F76A77FC + 37975.50ns INFO [00037977] Port=0 RD @03 + 37975.50ns INFO [00037977] Port=1 RD @01 + 37976.50ns INFO [00037978] * RD COMPARE * port=0 adr=03 act=783A9EDBF1A9CD8C10 exp=783A9EDBF1A9CD8C10 + 37976.50ns INFO [00037978] Port=0 WR @00=BC1F617A837B275910 + 37977.50ns INFO [00037979] * RD COMPARE * port=0 adr=03 act=783A9EDBF1A9CD8C10 exp=783A9EDBF1A9CD8C10 + 37977.50ns INFO [00037979] * RD COMPARE * port=1 adr=01 act=52808B0B072FDD3BA7 exp=52808B0B072FDD3BA7 + 37977.50ns INFO [00037979] Port=0 WR @05=D9835ED5BF766B86B1 + 37978.50ns INFO [00037980] Port=0 WR @07=C00F7B8A81E45AA081 + 37979.50ns INFO [00037981] Port=0 RD @07 + 37980.50ns INFO [00037982] Port=0 WR @06=09DEF94D03B03F7B7E + 37980.50ns INFO [00037982] Port=0 RD @04 + 37981.50ns INFO [00037983] * RD COMPARE * port=0 adr=07 act=C00F7B8A81E45AA081 exp=C00F7B8A81E45AA081 + 37981.50ns INFO [00037983] Port=1 RD @06 + 37982.50ns INFO [00037984] * RD COMPARE * port=0 adr=04 act=74758D8D4CD3ACE198 exp=74758D8D4CD3ACE198 + 37982.50ns INFO [00037984] Port=1 RD @07 + 37983.50ns INFO [00037985] * RD COMPARE * port=1 adr=06 act=09DEF94D03B03F7B7E exp=09DEF94D03B03F7B7E + 37983.50ns INFO [00037985] Port=0 WR @06=1C03ADCEFBCD7AB0C0 + 37983.50ns INFO [00037985] Port=1 RD @04 + 37984.50ns INFO [00037986] * RD COMPARE * port=1 adr=07 act=C00F7B8A81E45AA081 exp=C00F7B8A81E45AA081 + 37984.50ns INFO [00037986] Port=0 WR @03=D16DFACD373818AAA8 + 37984.50ns INFO [00037986] Port=0 RD @05 + 37984.50ns INFO [00037986] Port=1 RD @06 + 37985.50ns INFO [00037987] * RD COMPARE * port=1 adr=04 act=74758D8D4CD3ACE198 exp=74758D8D4CD3ACE198 + 37985.50ns INFO [00037987] Port=0 RD @02 + 37985.50ns INFO [00037987] Port=1 RD @05 + 37986.50ns INFO [00037988] * RD COMPARE * port=0 adr=05 act=D9835ED5BF766B86B1 exp=D9835ED5BF766B86B1 + 37986.50ns INFO [00037988] * RD COMPARE * port=1 adr=06 act=1C03ADCEFBCD7AB0C0 exp=1C03ADCEFBCD7AB0C0 + 37986.50ns INFO [00037988] Port=0 WR @04=4B5B9F3C4EDE9AA312 + 37987.50ns INFO [00037989] * RD COMPARE * port=0 adr=02 act=6E857EB0A8F76A77FC exp=6E857EB0A8F76A77FC + 37987.50ns INFO [00037989] * RD COMPARE * port=1 adr=05 act=D9835ED5BF766B86B1 exp=D9835ED5BF766B86B1 + 37987.50ns INFO [00037989] Port=0 WR @04=C12709C0D22ED11679 + 37987.50ns INFO [00037989] Port=0 RD @02 + 37987.50ns INFO [00037989] Port=1 RD @06 + 37988.50ns INFO [00037990] Port=0 WR @06=DDE63A0D0188A08C08 + 37988.50ns INFO [00037990] Port=0 RD @00 + 37989.50ns INFO [00037991] * RD COMPARE * port=0 adr=02 act=6E857EB0A8F76A77FC exp=6E857EB0A8F76A77FC + 37989.50ns INFO [00037991] * RD COMPARE * port=1 adr=06 act=1C03ADCEFBCD7AB0C0 exp=1C03ADCEFBCD7AB0C0 + 37989.50ns INFO [00037991] Port=1 RD @07 + 37990.50ns INFO [00037992] * RD COMPARE * port=0 adr=00 act=BC1F617A837B275910 exp=BC1F617A837B275910 + 37990.50ns INFO [00037992] Port=0 WR @04=0C7D1DAA0F89515DE9 + 37990.50ns INFO [00037992] Port=0 RD @01 + 37990.50ns INFO [00037992] Port=1 RD @01 + 37991.50ns INFO [00037993] * RD COMPARE * port=1 adr=07 act=C00F7B8A81E45AA081 exp=C00F7B8A81E45AA081 + 37992.50ns INFO [00037994] * RD COMPARE * port=0 adr=01 act=52808B0B072FDD3BA7 exp=52808B0B072FDD3BA7 + 37992.50ns INFO [00037994] * RD COMPARE * port=1 adr=01 act=52808B0B072FDD3BA7 exp=52808B0B072FDD3BA7 + 37992.50ns INFO [00037994] Port=1 RD @02 + 37993.50ns INFO [00037995] Port=0 RD @01 + 37993.50ns INFO [00037995] Port=1 RD @00 + 37994.50ns INFO [00037996] * RD COMPARE * port=1 adr=02 act=6E857EB0A8F76A77FC exp=6E857EB0A8F76A77FC + 37994.50ns INFO [00037996] Port=0 WR @02=5C0C6E84C1284E90F0 + 37994.50ns INFO [00037996] Port=1 RD @03 + 37995.50ns INFO [00037997] * RD COMPARE * port=0 adr=01 act=52808B0B072FDD3BA7 exp=52808B0B072FDD3BA7 + 37995.50ns INFO [00037997] * RD COMPARE * port=1 adr=00 act=BC1F617A837B275910 exp=BC1F617A837B275910 + 37995.50ns INFO [00037997] Port=0 WR @06=70508A33A2F4D21D0E + 37995.50ns INFO [00037997] Port=0 RD @01 + 37995.50ns INFO [00037997] Port=1 RD @05 + 37996.50ns INFO [00037998] * RD COMPARE * port=1 adr=03 act=D16DFACD373818AAA8 exp=D16DFACD373818AAA8 + 37996.50ns INFO [00037998] Port=0 WR @05=FB51FB7C536A2FB54E + 37996.50ns INFO [00037998] Port=0 RD @03 + 37996.50ns INFO [00037998] Port=1 RD @03 + 37997.50ns INFO [00037999] * RD COMPARE * port=0 adr=01 act=52808B0B072FDD3BA7 exp=52808B0B072FDD3BA7 + 37997.50ns INFO [00037999] * RD COMPARE * port=1 adr=05 act=D9835ED5BF766B86B1 exp=D9835ED5BF766B86B1 + 37997.50ns INFO [00037999] Port=0 WR @03=380591D9FB3A5ABF01 + 37998.00ns INFO [00038000] [00038000] ...tick... + 37998.50ns INFO [00038000] * RD COMPARE * port=0 adr=03 act=D16DFACD373818AAA8 exp=D16DFACD373818AAA8 + 37998.50ns INFO [00038000] * RD COMPARE * port=1 adr=03 act=D16DFACD373818AAA8 exp=D16DFACD373818AAA8 + 37999.50ns INFO [00038001] Port=0 WR @06=CF7E418EB61D3D2298 + 38001.50ns INFO [00038003] Port=0 WR @00=6F060F5ABA41199013 + 38001.50ns INFO [00038003] Port=0 RD @07 + 38002.50ns INFO [00038004] Port=0 WR @04=3ED0DA7FD22E62B2BB + 38002.50ns INFO [00038004] Port=1 RD @01 + 38003.50ns INFO [00038005] * RD COMPARE * port=0 adr=07 act=C00F7B8A81E45AA081 exp=C00F7B8A81E45AA081 + 38003.50ns INFO [00038005] Port=0 RD @01 + 38004.50ns INFO [00038006] * RD COMPARE * port=1 adr=01 act=52808B0B072FDD3BA7 exp=52808B0B072FDD3BA7 + 38004.50ns INFO [00038006] Port=0 WR @06=2A255E64178B73FC59 + 38004.50ns INFO [00038006] Port=0 RD @03 + 38005.50ns INFO [00038007] * RD COMPARE * port=0 adr=01 act=52808B0B072FDD3BA7 exp=52808B0B072FDD3BA7 + 38006.50ns INFO [00038008] * RD COMPARE * port=0 adr=03 act=380591D9FB3A5ABF01 exp=380591D9FB3A5ABF01 + 38007.50ns INFO [00038009] Port=0 WR @06=2A5FAB60A12777BED0 + 38007.50ns INFO [00038009] Port=0 RD @07 + 38008.50ns INFO [00038010] Port=0 RD @04 + 38008.50ns INFO [00038010] Port=1 RD @03 + 38009.50ns INFO [00038011] * RD COMPARE * port=0 adr=07 act=C00F7B8A81E45AA081 exp=C00F7B8A81E45AA081 + 38009.50ns INFO [00038011] Port=1 RD @02 + 38010.50ns INFO [00038012] * RD COMPARE * port=0 adr=04 act=3ED0DA7FD22E62B2BB exp=3ED0DA7FD22E62B2BB + 38010.50ns INFO [00038012] * RD COMPARE * port=1 adr=03 act=380591D9FB3A5ABF01 exp=380591D9FB3A5ABF01 + 38010.50ns INFO [00038012] Port=0 WR @06=D430FD31BB15F95036 + 38010.50ns INFO [00038012] Port=1 RD @02 + 38011.50ns INFO [00038013] * RD COMPARE * port=1 adr=02 act=5C0C6E84C1284E90F0 exp=5C0C6E84C1284E90F0 + 38012.50ns INFO [00038014] * RD COMPARE * port=1 adr=02 act=5C0C6E84C1284E90F0 exp=5C0C6E84C1284E90F0 + 38012.50ns INFO [00038014] Port=0 WR @07=CBF857078A6FEAB3D7 + 38012.50ns INFO [00038014] Port=0 RD @01 + 38013.50ns INFO [00038015] Port=1 RD @04 + 38014.50ns INFO [00038016] * RD COMPARE * port=0 adr=01 act=52808B0B072FDD3BA7 exp=52808B0B072FDD3BA7 + 38014.50ns INFO [00038016] Port=0 WR @02=E5F721F6360B1E2B35 + 38014.50ns INFO [00038016] Port=0 RD @00 + 38014.50ns INFO [00038016] Port=1 RD @05 + 38015.50ns INFO [00038017] * RD COMPARE * port=1 adr=04 act=3ED0DA7FD22E62B2BB exp=3ED0DA7FD22E62B2BB + 38015.50ns INFO [00038017] Port=0 WR @06=A64A2DA18D988715BC + 38015.50ns INFO [00038017] Port=0 RD @00 + 38016.50ns INFO [00038018] * RD COMPARE * port=0 adr=00 act=6F060F5ABA41199013 exp=6F060F5ABA41199013 + 38016.50ns INFO [00038018] * RD COMPARE * port=1 adr=05 act=FB51FB7C536A2FB54E exp=FB51FB7C536A2FB54E + 38016.50ns INFO [00038018] Port=0 WR @07=4764FEAC30EF471061 + 38016.50ns INFO [00038018] Port=0 RD @01 + 38016.50ns INFO [00038018] Port=1 RD @02 + 38017.50ns INFO [00038019] * RD COMPARE * port=0 adr=00 act=6F060F5ABA41199013 exp=6F060F5ABA41199013 + 38017.50ns INFO [00038019] Port=0 WR @01=3F15CDEF0AA1ECA17E + 38017.50ns INFO [00038019] Port=1 RD @06 + 38018.50ns INFO [00038020] * RD COMPARE * port=0 adr=01 act=52808B0B072FDD3BA7 exp=52808B0B072FDD3BA7 + 38018.50ns INFO [00038020] * RD COMPARE * port=1 adr=02 act=E5F721F6360B1E2B35 exp=E5F721F6360B1E2B35 + 38018.50ns INFO [00038020] Port=1 RD @07 + 38019.50ns INFO [00038021] * RD COMPARE * port=1 adr=06 act=A64A2DA18D988715BC exp=A64A2DA18D988715BC + 38019.50ns INFO [00038021] Port=0 WR @03=00D4A8144FFEF06E0A + 38019.50ns INFO [00038021] Port=0 RD @00 + 38019.50ns INFO [00038021] Port=1 RD @00 + 38020.50ns INFO [00038022] * RD COMPARE * port=1 adr=07 act=4764FEAC30EF471061 exp=4764FEAC30EF471061 + 38021.50ns INFO [00038023] * RD COMPARE * port=0 adr=00 act=6F060F5ABA41199013 exp=6F060F5ABA41199013 + 38021.50ns INFO [00038023] * RD COMPARE * port=1 adr=00 act=6F060F5ABA41199013 exp=6F060F5ABA41199013 + 38021.50ns INFO [00038023] Port=0 RD @05 + 38022.50ns INFO [00038024] Port=1 RD @02 + 38023.50ns INFO [00038025] * RD COMPARE * port=0 adr=05 act=FB51FB7C536A2FB54E exp=FB51FB7C536A2FB54E + 38023.50ns INFO [00038025] Port=0 RD @07 + 38023.50ns INFO [00038025] Port=1 RD @05 + 38024.50ns INFO [00038026] * RD COMPARE * port=1 adr=02 act=E5F721F6360B1E2B35 exp=E5F721F6360B1E2B35 + 38024.50ns INFO [00038026] Port=0 RD @00 + 38025.50ns INFO [00038027] * RD COMPARE * port=0 adr=07 act=4764FEAC30EF471061 exp=4764FEAC30EF471061 + 38025.50ns INFO [00038027] * RD COMPARE * port=1 adr=05 act=FB51FB7C536A2FB54E exp=FB51FB7C536A2FB54E + 38026.50ns INFO [00038028] * RD COMPARE * port=0 adr=00 act=6F060F5ABA41199013 exp=6F060F5ABA41199013 + 38026.50ns INFO [00038028] Port=0 RD @06 + 38026.50ns INFO [00038028] Port=1 RD @06 + 38027.50ns INFO [00038029] Port=1 RD @02 + 38028.50ns INFO [00038030] * RD COMPARE * port=0 adr=06 act=A64A2DA18D988715BC exp=A64A2DA18D988715BC + 38028.50ns INFO [00038030] * RD COMPARE * port=1 adr=06 act=A64A2DA18D988715BC exp=A64A2DA18D988715BC + 38028.50ns INFO [00038030] Port=0 WR @07=DC1684FCD2A9B1EC0F + 38028.50ns INFO [00038030] Port=0 RD @01 + 38029.50ns INFO [00038031] * RD COMPARE * port=1 adr=02 act=E5F721F6360B1E2B35 exp=E5F721F6360B1E2B35 + 38029.50ns INFO [00038031] Port=0 RD @03 + 38029.50ns INFO [00038031] Port=1 RD @02 + 38030.50ns INFO [00038032] * RD COMPARE * port=0 adr=01 act=3F15CDEF0AA1ECA17E exp=3F15CDEF0AA1ECA17E + 38030.50ns INFO [00038032] Port=0 RD @02 + 38030.50ns INFO [00038032] Port=1 RD @07 + 38031.50ns INFO [00038033] * RD COMPARE * port=0 adr=03 act=00D4A8144FFEF06E0A exp=00D4A8144FFEF06E0A + 38031.50ns INFO [00038033] * RD COMPARE * port=1 adr=02 act=E5F721F6360B1E2B35 exp=E5F721F6360B1E2B35 + 38032.50ns INFO [00038034] * RD COMPARE * port=0 adr=02 act=E5F721F6360B1E2B35 exp=E5F721F6360B1E2B35 + 38032.50ns INFO [00038034] * RD COMPARE * port=1 adr=07 act=DC1684FCD2A9B1EC0F exp=DC1684FCD2A9B1EC0F + 38033.50ns INFO [00038035] Port=0 WR @00=91FF65A523F97BF6BF + 38034.50ns INFO [00038036] Port=0 WR @02=49C74B221BD7C4FC60 + 38038.50ns INFO [00038040] Port=0 WR @02=64F60F02787EB83BEB + 38038.50ns INFO [00038040] Port=0 RD @03 + 38038.50ns INFO [00038040] Port=1 RD @07 + 38039.50ns INFO [00038041] Port=0 RD @04 + 38040.50ns INFO [00038042] * RD COMPARE * port=0 adr=03 act=00D4A8144FFEF06E0A exp=00D4A8144FFEF06E0A + 38040.50ns INFO [00038042] * RD COMPARE * port=1 adr=07 act=DC1684FCD2A9B1EC0F exp=DC1684FCD2A9B1EC0F + 38040.50ns INFO [00038042] Port=0 WR @04=2FA63F0F6F3CB9ED5F + 38041.50ns INFO [00038043] * RD COMPARE * port=0 adr=04 act=3ED0DA7FD22E62B2BB exp=3ED0DA7FD22E62B2BB + 38041.50ns INFO [00038043] Port=1 RD @01 + 38042.50ns INFO [00038044] Port=0 WR @00=0DD532479D22BA8DFB + 38042.50ns INFO [00038044] Port=0 RD @06 + 38043.50ns INFO [00038045] * RD COMPARE * port=1 adr=01 act=3F15CDEF0AA1ECA17E exp=3F15CDEF0AA1ECA17E + 38044.50ns INFO [00038046] * RD COMPARE * port=0 adr=06 act=A64A2DA18D988715BC exp=A64A2DA18D988715BC + 38044.50ns INFO [00038046] Port=0 RD @05 + 38044.50ns INFO [00038046] Port=1 RD @05 + 38045.50ns INFO [00038047] Port=0 WR @02=AC08D5EA4D889563FB + 38045.50ns INFO [00038047] Port=0 RD @04 + 38046.50ns INFO [00038048] * RD COMPARE * port=0 adr=05 act=FB51FB7C536A2FB54E exp=FB51FB7C536A2FB54E + 38046.50ns INFO [00038048] * RD COMPARE * port=1 adr=05 act=FB51FB7C536A2FB54E exp=FB51FB7C536A2FB54E + 38046.50ns INFO [00038048] Port=0 RD @04 + 38047.50ns INFO [00038049] * RD COMPARE * port=0 adr=04 act=2FA63F0F6F3CB9ED5F exp=2FA63F0F6F3CB9ED5F + 38048.50ns INFO [00038050] * RD COMPARE * port=0 adr=04 act=2FA63F0F6F3CB9ED5F exp=2FA63F0F6F3CB9ED5F + 38048.50ns INFO [00038050] Port=0 WR @01=A7C62AE45CDD813B86 + 38048.50ns INFO [00038050] Port=1 RD @05 + 38049.50ns INFO [00038051] Port=0 WR @06=9624586183A32CC152 + 38049.50ns INFO [00038051] Port=1 RD @01 + 38050.50ns INFO [00038052] * RD COMPARE * port=1 adr=05 act=FB51FB7C536A2FB54E exp=FB51FB7C536A2FB54E + 38050.50ns INFO [00038052] Port=0 RD @02 + 38051.50ns INFO [00038053] * RD COMPARE * port=1 adr=01 act=A7C62AE45CDD813B86 exp=A7C62AE45CDD813B86 + 38051.50ns INFO [00038053] Port=0 WR @06=6A56F6EDEFC3C29CE8 + 38051.50ns INFO [00038053] Port=0 RD @03 + 38052.50ns INFO [00038054] * RD COMPARE * port=0 adr=02 act=AC08D5EA4D889563FB exp=AC08D5EA4D889563FB + 38052.50ns INFO [00038054] Port=0 WR @07=6FD955B66BF6BC4570 + 38052.50ns INFO [00038054] Port=0 RD @05 + 38052.50ns INFO [00038054] Port=1 RD @02 + 38053.50ns INFO [00038055] * RD COMPARE * port=0 adr=03 act=00D4A8144FFEF06E0A exp=00D4A8144FFEF06E0A + 38053.50ns INFO [00038055] Port=0 WR @02=D9B517501A6A280E70 + 38053.50ns INFO [00038055] Port=0 RD @01 + 38054.50ns INFO [00038056] * RD COMPARE * port=0 adr=05 act=FB51FB7C536A2FB54E exp=FB51FB7C536A2FB54E + 38054.50ns INFO [00038056] * RD COMPARE * port=1 adr=02 act=AC08D5EA4D889563FB exp=AC08D5EA4D889563FB + 38054.50ns INFO [00038056] Port=0 RD @05 + 38055.50ns INFO [00038057] * RD COMPARE * port=0 adr=01 act=A7C62AE45CDD813B86 exp=A7C62AE45CDD813B86 + 38056.50ns INFO [00038058] * RD COMPARE * port=0 adr=05 act=FB51FB7C536A2FB54E exp=FB51FB7C536A2FB54E + 38058.50ns INFO [00038060] Port=0 RD @04 + 38058.50ns INFO [00038060] Port=1 RD @02 + 38059.50ns INFO [00038061] Port=0 WR @04=54AC02087787E43387 + 38059.50ns INFO [00038061] Port=0 RD @03 + 38059.50ns INFO [00038061] Port=1 RD @05 + 38060.50ns INFO [00038062] * RD COMPARE * port=0 adr=04 act=2FA63F0F6F3CB9ED5F exp=2FA63F0F6F3CB9ED5F + 38060.50ns INFO [00038062] * RD COMPARE * port=1 adr=02 act=D9B517501A6A280E70 exp=D9B517501A6A280E70 + 38060.50ns INFO [00038062] Port=0 WR @01=2884730FA548B9A068 + 38061.50ns INFO [00038063] * RD COMPARE * port=0 adr=03 act=00D4A8144FFEF06E0A exp=00D4A8144FFEF06E0A + 38061.50ns INFO [00038063] * RD COMPARE * port=1 adr=05 act=FB51FB7C536A2FB54E exp=FB51FB7C536A2FB54E + 38061.50ns INFO [00038063] Port=1 RD @05 + 38062.50ns INFO [00038064] Port=0 WR @05=EE9E9D3F55E4406C2B + 38062.50ns INFO [00038064] Port=1 RD @03 + 38063.50ns INFO [00038065] * RD COMPARE * port=1 adr=05 act=FB51FB7C536A2FB54E exp=FB51FB7C536A2FB54E + 38063.50ns INFO [00038065] Port=0 WR @04=950186614B42697DF4 + 38063.50ns INFO [00038065] Port=0 RD @06 + 38064.50ns INFO [00038066] * RD COMPARE * port=1 adr=03 act=00D4A8144FFEF06E0A exp=00D4A8144FFEF06E0A + 38065.50ns INFO [00038067] * RD COMPARE * port=0 adr=06 act=6A56F6EDEFC3C29CE8 exp=6A56F6EDEFC3C29CE8 + 38066.50ns INFO [00038068] Port=0 RD @02 + 38066.50ns INFO [00038068] Port=1 RD @01 + 38067.50ns INFO [00038069] Port=0 WR @04=F525C072EC5DF2B9E2 + 38067.50ns INFO [00038069] Port=0 RD @03 + 38068.50ns INFO [00038070] * RD COMPARE * port=0 adr=02 act=D9B517501A6A280E70 exp=D9B517501A6A280E70 + 38068.50ns INFO [00038070] * RD COMPARE * port=1 adr=01 act=2884730FA548B9A068 exp=2884730FA548B9A068 + 38068.50ns INFO [00038070] Port=0 WR @07=0EDB0A5EDEC98EC149 + 38068.50ns INFO [00038070] Port=1 RD @04 + 38069.50ns INFO [00038071] * RD COMPARE * port=0 adr=03 act=00D4A8144FFEF06E0A exp=00D4A8144FFEF06E0A + 38069.50ns INFO [00038071] Port=0 WR @02=11368AA485699801B4 + 38069.50ns INFO [00038071] Port=1 RD @04 + 38070.50ns INFO [00038072] * RD COMPARE * port=1 adr=04 act=F525C072EC5DF2B9E2 exp=F525C072EC5DF2B9E2 + 38070.50ns INFO [00038072] Port=0 RD @06 + 38071.50ns INFO [00038073] * RD COMPARE * port=1 adr=04 act=F525C072EC5DF2B9E2 exp=F525C072EC5DF2B9E2 + 38071.50ns INFO [00038073] Port=0 WR @05=5220C6E60F5E529B62 + 38071.50ns INFO [00038073] Port=0 RD @01 + 38071.50ns INFO [00038073] Port=1 RD @02 + 38072.50ns INFO [00038074] * RD COMPARE * port=0 adr=06 act=6A56F6EDEFC3C29CE8 exp=6A56F6EDEFC3C29CE8 + 38072.50ns INFO [00038074] Port=0 WR @04=747FB25F668F8F159B + 38073.50ns INFO [00038075] * RD COMPARE * port=0 adr=01 act=2884730FA548B9A068 exp=2884730FA548B9A068 + 38073.50ns INFO [00038075] * RD COMPARE * port=1 adr=02 act=11368AA485699801B4 exp=11368AA485699801B4 + 38074.50ns INFO [00038076] Port=0 RD @02 + 38074.50ns INFO [00038076] Port=1 RD @00 + 38075.50ns INFO [00038077] Port=1 RD @02 + 38076.50ns INFO [00038078] * RD COMPARE * port=0 adr=02 act=11368AA485699801B4 exp=11368AA485699801B4 + 38076.50ns INFO [00038078] * RD COMPARE * port=1 adr=00 act=0DD532479D22BA8DFB exp=0DD532479D22BA8DFB + 38076.50ns INFO [00038078] Port=0 RD @00 + 38077.50ns INFO [00038079] * RD COMPARE * port=1 adr=02 act=11368AA485699801B4 exp=11368AA485699801B4 + 38077.50ns INFO [00038079] Port=0 WR @05=70DDD9F4BAE64CE5B7 + 38078.50ns INFO [00038080] * RD COMPARE * port=0 adr=00 act=0DD532479D22BA8DFB exp=0DD532479D22BA8DFB + 38078.50ns INFO [00038080] Port=0 RD @00 + 38079.50ns INFO [00038081] Port=0 WR @01=B838FA29BDD23CC93C + 38080.50ns INFO [00038082] * RD COMPARE * port=0 adr=00 act=0DD532479D22BA8DFB exp=0DD532479D22BA8DFB + 38080.50ns INFO [00038082] Port=0 WR @01=922238008A50A59540 + 38081.50ns INFO [00038083] Port=0 WR @04=8CFE764C0EB212A3AE + 38082.50ns INFO [00038084] Port=0 WR @04=DAD3D32F2DD7B00987 + 38085.50ns INFO [00038087] Port=1 RD @01 + 38087.50ns INFO [00038089] * RD COMPARE * port=1 adr=01 act=922238008A50A59540 exp=922238008A50A59540 + 38087.50ns INFO [00038089] Port=0 WR @01=1743C3F90C308BDBBC + 38087.50ns INFO [00038089] Port=0 RD @00 + 38087.50ns INFO [00038089] Port=1 RD @00 + 38089.50ns INFO [00038091] * RD COMPARE * port=0 adr=00 act=0DD532479D22BA8DFB exp=0DD532479D22BA8DFB + 38089.50ns INFO [00038091] * RD COMPARE * port=1 adr=00 act=0DD532479D22BA8DFB exp=0DD532479D22BA8DFB + 38089.50ns INFO [00038091] Port=1 RD @05 + 38090.50ns INFO [00038092] Port=0 WR @05=ECA0AFEEC81EE8D6FD + 38090.50ns INFO [00038092] Port=0 RD @03 + 38091.50ns INFO [00038093] * RD COMPARE * port=1 adr=05 act=70DDD9F4BAE64CE5B7 exp=70DDD9F4BAE64CE5B7 + 38091.50ns INFO [00038093] Port=1 RD @06 + 38092.50ns INFO [00038094] * RD COMPARE * port=0 adr=03 act=00D4A8144FFEF06E0A exp=00D4A8144FFEF06E0A + 38092.50ns INFO [00038094] Port=0 WR @06=4C081E13749F86DE03 + 38093.50ns INFO [00038095] * RD COMPARE * port=1 adr=06 act=6A56F6EDEFC3C29CE8 exp=6A56F6EDEFC3C29CE8 + 38093.50ns INFO [00038095] Port=0 WR @01=48E27EDC199951C763 + 38093.50ns INFO [00038095] Port=0 RD @02 + 38094.50ns INFO [00038096] Port=0 WR @05=67B4451C72C4AED90C + 38095.50ns INFO [00038097] * RD COMPARE * port=0 adr=02 act=11368AA485699801B4 exp=11368AA485699801B4 + 38095.50ns INFO [00038097] Port=1 RD @03 + 38096.50ns INFO [00038098] Port=0 RD @02 + 38097.50ns INFO [00038099] * RD COMPARE * port=1 adr=03 act=00D4A8144FFEF06E0A exp=00D4A8144FFEF06E0A + 38098.00ns INFO [00038100] [00038100] ...tick... + 38098.50ns INFO [00038100] * RD COMPARE * port=0 adr=02 act=11368AA485699801B4 exp=11368AA485699801B4 + 38098.50ns INFO [00038100] Port=1 RD @04 + 38099.50ns INFO [00038101] Port=1 RD @05 + 38100.50ns INFO [00038102] * RD COMPARE * port=1 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38100.50ns INFO [00038102] Port=0 WR @05=275899B2F5D1391B8E + 38101.50ns INFO [00038103] * RD COMPARE * port=1 adr=05 act=67B4451C72C4AED90C exp=67B4451C72C4AED90C + 38101.50ns INFO [00038103] Port=1 RD @00 + 38103.50ns INFO [00038105] * RD COMPARE * port=1 adr=00 act=0DD532479D22BA8DFB exp=0DD532479D22BA8DFB + 38103.50ns INFO [00038105] Port=1 RD @01 + 38104.50ns INFO [00038106] Port=1 RD @06 + 38105.50ns INFO [00038107] * RD COMPARE * port=1 adr=01 act=48E27EDC199951C763 exp=48E27EDC199951C763 + 38105.50ns INFO [00038107] Port=0 WR @03=F96FB1B4E31776B313 + 38105.50ns INFO [00038107] Port=0 RD @02 + 38105.50ns INFO [00038107] Port=1 RD @02 + 38106.50ns INFO [00038108] * RD COMPARE * port=1 adr=06 act=4C081E13749F86DE03 exp=4C081E13749F86DE03 + 38106.50ns INFO [00038108] Port=0 RD @00 + 38107.50ns INFO [00038109] * RD COMPARE * port=0 adr=02 act=11368AA485699801B4 exp=11368AA485699801B4 + 38107.50ns INFO [00038109] * RD COMPARE * port=1 adr=02 act=11368AA485699801B4 exp=11368AA485699801B4 + 38107.50ns INFO [00038109] Port=0 WR @01=62833EAE8491555B66 + 38108.50ns INFO [00038110] * RD COMPARE * port=0 adr=00 act=0DD532479D22BA8DFB exp=0DD532479D22BA8DFB + 38108.50ns INFO [00038110] Port=0 RD @04 + 38108.50ns INFO [00038110] Port=1 RD @00 + 38110.50ns INFO [00038112] * RD COMPARE * port=0 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38110.50ns INFO [00038112] * RD COMPARE * port=1 adr=00 act=0DD532479D22BA8DFB exp=0DD532479D22BA8DFB + 38110.50ns INFO [00038112] Port=1 RD @02 + 38111.50ns INFO [00038113] Port=0 WR @02=C647E767D5D6866878 + 38111.50ns INFO [00038113] Port=0 RD @00 + 38111.50ns INFO [00038113] Port=1 RD @03 + 38112.50ns INFO [00038114] * RD COMPARE * port=1 adr=02 act=11368AA485699801B4 exp=11368AA485699801B4 + 38112.50ns INFO [00038114] Port=0 RD @07 + 38112.50ns INFO [00038114] Port=1 RD @03 + 38113.50ns INFO [00038115] * RD COMPARE * port=0 adr=00 act=0DD532479D22BA8DFB exp=0DD532479D22BA8DFB + 38113.50ns INFO [00038115] * RD COMPARE * port=1 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38114.50ns INFO [00038116] * RD COMPARE * port=0 adr=07 act=0EDB0A5EDEC98EC149 exp=0EDB0A5EDEC98EC149 + 38114.50ns INFO [00038116] * RD COMPARE * port=1 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38114.50ns INFO [00038116] Port=1 RD @06 + 38115.50ns INFO [00038117] Port=0 RD @04 + 38116.50ns INFO [00038118] * RD COMPARE * port=1 adr=06 act=4C081E13749F86DE03 exp=4C081E13749F86DE03 + 38116.50ns INFO [00038118] Port=0 WR @00=6505909E57024DC736 + 38116.50ns INFO [00038118] Port=0 RD @03 + 38116.50ns INFO [00038118] Port=1 RD @02 + 38117.50ns INFO [00038119] * RD COMPARE * port=0 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38117.50ns INFO [00038119] Port=1 RD @04 + 38118.50ns INFO [00038120] * RD COMPARE * port=0 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38118.50ns INFO [00038120] * RD COMPARE * port=1 adr=02 act=C647E767D5D6866878 exp=C647E767D5D6866878 + 38119.50ns INFO [00038121] * RD COMPARE * port=1 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38119.50ns INFO [00038121] Port=1 RD @07 + 38121.50ns INFO [00038123] * RD COMPARE * port=1 adr=07 act=0EDB0A5EDEC98EC149 exp=0EDB0A5EDEC98EC149 + 38121.50ns INFO [00038123] Port=1 RD @00 + 38122.50ns INFO [00038124] Port=0 RD @07 + 38123.50ns INFO [00038125] * RD COMPARE * port=1 adr=00 act=6505909E57024DC736 exp=6505909E57024DC736 + 38123.50ns INFO [00038125] Port=0 RD @03 + 38123.50ns INFO [00038125] Port=1 RD @02 + 38124.50ns INFO [00038126] * RD COMPARE * port=0 adr=07 act=0EDB0A5EDEC98EC149 exp=0EDB0A5EDEC98EC149 + 38124.50ns INFO [00038126] Port=0 WR @00=A05A32BA0E88353192 + 38124.50ns INFO [00038126] Port=0 RD @06 + 38125.50ns INFO [00038127] * RD COMPARE * port=0 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38125.50ns INFO [00038127] * RD COMPARE * port=1 adr=02 act=C647E767D5D6866878 exp=C647E767D5D6866878 + 38125.50ns INFO [00038127] Port=0 WR @06=6F41BD5AECDD352C57 + 38125.50ns INFO [00038127] Port=0 RD @00 + 38125.50ns INFO [00038127] Port=1 RD @01 + 38126.50ns INFO [00038128] * RD COMPARE * port=0 adr=06 act=4C081E13749F86DE03 exp=4C081E13749F86DE03 + 38126.50ns INFO [00038128] Port=0 RD @01 + 38126.50ns INFO [00038128] Port=1 RD @01 + 38127.50ns INFO [00038129] * RD COMPARE * port=0 adr=00 act=A05A32BA0E88353192 exp=A05A32BA0E88353192 + 38127.50ns INFO [00038129] * RD COMPARE * port=1 adr=01 act=62833EAE8491555B66 exp=62833EAE8491555B66 + 38128.50ns INFO [00038130] * RD COMPARE * port=0 adr=01 act=62833EAE8491555B66 exp=62833EAE8491555B66 + 38128.50ns INFO [00038130] * RD COMPARE * port=1 adr=01 act=62833EAE8491555B66 exp=62833EAE8491555B66 + 38128.50ns INFO [00038130] Port=0 RD @05 + 38129.50ns INFO [00038131] Port=0 WR @06=67030DF2565932AED6 + 38129.50ns INFO [00038131] Port=0 RD @05 + 38130.50ns INFO [00038132] * RD COMPARE * port=0 adr=05 act=275899B2F5D1391B8E exp=275899B2F5D1391B8E + 38130.50ns INFO [00038132] Port=0 WR @07=C72AF0400DF40B4245 + 38130.50ns INFO [00038132] Port=1 RD @04 + 38131.50ns INFO [00038133] * RD COMPARE * port=0 adr=05 act=275899B2F5D1391B8E exp=275899B2F5D1391B8E + 38131.50ns INFO [00038133] Port=0 RD @06 + 38132.50ns INFO [00038134] * RD COMPARE * port=1 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38132.50ns INFO [00038134] Port=0 WR @05=A6116252AC452208A6 + 38132.50ns INFO [00038134] Port=1 RD @01 + 38133.50ns INFO [00038135] * RD COMPARE * port=0 adr=06 act=67030DF2565932AED6 exp=67030DF2565932AED6 + 38134.50ns INFO [00038136] * RD COMPARE * port=1 adr=01 act=62833EAE8491555B66 exp=62833EAE8491555B66 + 38134.50ns INFO [00038136] Port=0 RD @00 + 38135.50ns INFO [00038137] Port=0 WR @05=69926CB68368694756 + 38136.50ns INFO [00038138] * RD COMPARE * port=0 adr=00 act=A05A32BA0E88353192 exp=A05A32BA0E88353192 + 38136.50ns INFO [00038138] Port=0 WR @01=042A7E422EFE797085 + 38136.50ns INFO [00038138] Port=0 RD @07 + 38136.50ns INFO [00038138] Port=1 RD @06 + 38137.50ns INFO [00038139] Port=1 RD @03 + 38138.50ns INFO [00038140] * RD COMPARE * port=0 adr=07 act=C72AF0400DF40B4245 exp=C72AF0400DF40B4245 + 38138.50ns INFO [00038140] * RD COMPARE * port=1 adr=06 act=67030DF2565932AED6 exp=67030DF2565932AED6 + 38139.50ns INFO [00038141] * RD COMPARE * port=1 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38140.50ns INFO [00038142] Port=0 WR @02=6F89B76B16BCFEEBFB + 38140.50ns INFO [00038142] Port=0 RD @01 + 38140.50ns INFO [00038142] Port=1 RD @00 + 38142.50ns INFO [00038144] * RD COMPARE * port=0 adr=01 act=042A7E422EFE797085 exp=042A7E422EFE797085 + 38142.50ns INFO [00038144] * RD COMPARE * port=1 adr=00 act=A05A32BA0E88353192 exp=A05A32BA0E88353192 + 38142.50ns INFO [00038144] Port=1 RD @02 + 38144.50ns INFO [00038146] * RD COMPARE * port=1 adr=02 act=6F89B76B16BCFEEBFB exp=6F89B76B16BCFEEBFB + 38144.50ns INFO [00038146] Port=0 RD @00 + 38144.50ns INFO [00038146] Port=1 RD @01 + 38146.50ns INFO [00038148] * RD COMPARE * port=0 adr=00 act=A05A32BA0E88353192 exp=A05A32BA0E88353192 + 38146.50ns INFO [00038148] * RD COMPARE * port=1 adr=01 act=042A7E422EFE797085 exp=042A7E422EFE797085 + 38146.50ns INFO [00038148] Port=0 WR @01=4F06B7BF5D78F20C05 + 38146.50ns INFO [00038148] Port=1 RD @02 + 38148.50ns INFO [00038150] * RD COMPARE * port=1 adr=02 act=6F89B76B16BCFEEBFB exp=6F89B76B16BCFEEBFB + 38148.50ns INFO [00038150] Port=0 RD @02 + 38149.50ns INFO [00038151] Port=0 WR @00=78490EFF25C7E4686F + 38150.50ns INFO [00038152] * RD COMPARE * port=0 adr=02 act=6F89B76B16BCFEEBFB exp=6F89B76B16BCFEEBFB + 38150.50ns INFO [00038152] Port=1 RD @02 + 38151.50ns INFO [00038153] Port=1 RD @00 + 38152.50ns INFO [00038154] * RD COMPARE * port=1 adr=02 act=6F89B76B16BCFEEBFB exp=6F89B76B16BCFEEBFB + 38152.50ns INFO [00038154] Port=1 RD @00 + 38153.50ns INFO [00038155] * RD COMPARE * port=1 adr=00 act=78490EFF25C7E4686F exp=78490EFF25C7E4686F + 38153.50ns INFO [00038155] Port=0 WR @05=A6CB65CB1C8B8DA55C + 38153.50ns INFO [00038155] Port=0 RD @00 + 38153.50ns INFO [00038155] Port=1 RD @01 + 38154.50ns INFO [00038156] * RD COMPARE * port=1 adr=00 act=78490EFF25C7E4686F exp=78490EFF25C7E4686F + 38154.50ns INFO [00038156] Port=0 WR @07=22BCDE672A82E679B0 + 38154.50ns INFO [00038156] Port=1 RD @03 + 38155.50ns INFO [00038157] * RD COMPARE * port=0 adr=00 act=78490EFF25C7E4686F exp=78490EFF25C7E4686F + 38155.50ns INFO [00038157] * RD COMPARE * port=1 adr=01 act=4F06B7BF5D78F20C05 exp=4F06B7BF5D78F20C05 + 38155.50ns INFO [00038157] Port=0 RD @04 + 38155.50ns INFO [00038157] Port=1 RD @01 + 38156.50ns INFO [00038158] * RD COMPARE * port=1 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38156.50ns INFO [00038158] Port=0 RD @03 + 38157.50ns INFO [00038159] * RD COMPARE * port=0 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38157.50ns INFO [00038159] * RD COMPARE * port=1 adr=01 act=4F06B7BF5D78F20C05 exp=4F06B7BF5D78F20C05 + 38157.50ns INFO [00038159] Port=0 RD @03 + 38157.50ns INFO [00038159] Port=1 RD @04 + 38158.50ns INFO [00038160] * RD COMPARE * port=0 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38158.50ns INFO [00038160] Port=0 WR @00=023D00877840A20B73 + 38158.50ns INFO [00038160] Port=0 RD @01 + 38159.50ns INFO [00038161] * RD COMPARE * port=0 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38159.50ns INFO [00038161] * RD COMPARE * port=1 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38159.50ns INFO [00038161] Port=0 RD @03 + 38160.50ns INFO [00038162] * RD COMPARE * port=0 adr=01 act=4F06B7BF5D78F20C05 exp=4F06B7BF5D78F20C05 + 38160.50ns INFO [00038162] Port=0 WR @02=95DD9C4EB3A36D2D51 + 38160.50ns INFO [00038162] Port=0 RD @07 + 38160.50ns INFO [00038162] Port=1 RD @06 + 38161.50ns INFO [00038163] * RD COMPARE * port=0 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38161.50ns INFO [00038163] Port=0 WR @06=0B3CB5BF91FC384A4C + 38162.50ns INFO [00038164] * RD COMPARE * port=0 adr=07 act=22BCDE672A82E679B0 exp=22BCDE672A82E679B0 + 38162.50ns INFO [00038164] * RD COMPARE * port=1 adr=06 act=67030DF2565932AED6 exp=67030DF2565932AED6 + 38162.50ns INFO [00038164] Port=0 RD @03 + 38163.50ns INFO [00038165] Port=0 WR @07=FCB918686F3872CD2E + 38163.50ns INFO [00038165] Port=0 RD @01 + 38163.50ns INFO [00038165] Port=1 RD @05 + 38164.50ns INFO [00038166] * RD COMPARE * port=0 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38164.50ns INFO [00038166] Port=0 WR @06=26F9506730F34D2218 + 38165.50ns INFO [00038167] * RD COMPARE * port=0 adr=01 act=4F06B7BF5D78F20C05 exp=4F06B7BF5D78F20C05 + 38165.50ns INFO [00038167] * RD COMPARE * port=1 adr=05 act=A6CB65CB1C8B8DA55C exp=A6CB65CB1C8B8DA55C + 38165.50ns INFO [00038167] Port=0 WR @07=017E8902C709C3930F + 38165.50ns INFO [00038167] Port=1 RD @04 + 38166.50ns INFO [00038168] Port=0 RD @00 + 38167.50ns INFO [00038169] * RD COMPARE * port=1 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38167.50ns INFO [00038169] Port=0 WR @06=510DB5207FE62B0661 + 38167.50ns INFO [00038169] Port=0 RD @03 + 38168.50ns INFO [00038170] * RD COMPARE * port=0 adr=00 act=023D00877840A20B73 exp=023D00877840A20B73 + 38169.50ns INFO [00038171] * RD COMPARE * port=0 adr=03 act=F96FB1B4E31776B313 exp=F96FB1B4E31776B313 + 38169.50ns INFO [00038171] Port=0 RD @06 + 38169.50ns INFO [00038171] Port=1 RD @05 + 38170.50ns INFO [00038172] Port=0 WR @00=C416AFD1B51FB860F2 + 38170.50ns INFO [00038172] Port=1 RD @07 + 38171.50ns INFO [00038173] * RD COMPARE * port=0 adr=06 act=510DB5207FE62B0661 exp=510DB5207FE62B0661 + 38171.50ns INFO [00038173] * RD COMPARE * port=1 adr=05 act=A6CB65CB1C8B8DA55C exp=A6CB65CB1C8B8DA55C + 38171.50ns INFO [00038173] Port=0 WR @00=BE8F166CA38A556DD9 + 38171.50ns INFO [00038173] Port=0 RD @04 + 38171.50ns INFO [00038173] Port=1 RD @06 + 38172.50ns INFO [00038174] * RD COMPARE * port=1 adr=07 act=017E8902C709C3930F exp=017E8902C709C3930F + 38172.50ns INFO [00038174] Port=0 RD @02 + 38173.50ns INFO [00038175] * RD COMPARE * port=0 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38173.50ns INFO [00038175] * RD COMPARE * port=1 adr=06 act=510DB5207FE62B0661 exp=510DB5207FE62B0661 + 38173.50ns INFO [00038175] Port=1 RD @04 + 38174.50ns INFO [00038176] * RD COMPARE * port=0 adr=02 act=95DD9C4EB3A36D2D51 exp=95DD9C4EB3A36D2D51 + 38175.50ns INFO [00038177] * RD COMPARE * port=1 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38175.50ns INFO [00038177] Port=0 RD @05 + 38176.50ns INFO [00038178] Port=0 WR @03=B92A682280A3AF8029 + 38177.50ns INFO [00038179] * RD COMPARE * port=0 adr=05 act=A6CB65CB1C8B8DA55C exp=A6CB65CB1C8B8DA55C + 38177.50ns INFO [00038179] Port=0 RD @06 + 38177.50ns INFO [00038179] Port=1 RD @00 + 38178.50ns INFO [00038180] Port=0 WR @06=512A06D7A1368922D7 + 38178.50ns INFO [00038180] Port=0 RD @04 + 38178.50ns INFO [00038180] Port=1 RD @07 + 38179.50ns INFO [00038181] * RD COMPARE * port=0 adr=06 act=510DB5207FE62B0661 exp=510DB5207FE62B0661 + 38179.50ns INFO [00038181] * RD COMPARE * port=1 adr=00 act=BE8F166CA38A556DD9 exp=BE8F166CA38A556DD9 + 38179.50ns INFO [00038181] Port=1 RD @03 + 38180.50ns INFO [00038182] * RD COMPARE * port=0 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38180.50ns INFO [00038182] * RD COMPARE * port=1 adr=07 act=017E8902C709C3930F exp=017E8902C709C3930F + 38180.50ns INFO [00038182] Port=1 RD @03 + 38181.50ns INFO [00038183] * RD COMPARE * port=1 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38181.50ns INFO [00038183] Port=1 RD @05 + 38182.50ns INFO [00038184] * RD COMPARE * port=1 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38182.50ns INFO [00038184] Port=0 WR @00=8E1C8AA94DF80FC903 + 38182.50ns INFO [00038184] Port=0 RD @05 + 38183.50ns INFO [00038185] * RD COMPARE * port=1 adr=05 act=A6CB65CB1C8B8DA55C exp=A6CB65CB1C8B8DA55C + 38183.50ns INFO [00038185] Port=0 RD @04 + 38184.50ns INFO [00038186] * RD COMPARE * port=0 adr=05 act=A6CB65CB1C8B8DA55C exp=A6CB65CB1C8B8DA55C + 38184.50ns INFO [00038186] Port=1 RD @03 + 38185.50ns INFO [00038187] * RD COMPARE * port=0 adr=04 act=DAD3D32F2DD7B00987 exp=DAD3D32F2DD7B00987 + 38185.50ns INFO [00038187] Port=0 WR @06=8A2FB05D9C7473D4A4 + 38185.50ns INFO [00038187] Port=0 RD @00 + 38186.50ns INFO [00038188] * RD COMPARE * port=1 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38186.50ns INFO [00038188] Port=0 WR @05=67A141620137814EF4 + 38187.50ns INFO [00038189] * RD COMPARE * port=0 adr=00 act=8E1C8AA94DF80FC903 exp=8E1C8AA94DF80FC903 + 38188.50ns INFO [00038190] Port=0 WR @01=90FCCE15B93F90F51F + 38188.50ns INFO [00038190] Port=0 RD @05 + 38190.50ns INFO [00038192] * RD COMPARE * port=0 adr=05 act=67A141620137814EF4 exp=67A141620137814EF4 + 38190.50ns INFO [00038192] Port=0 WR @00=8721F76DD16320200E + 38191.50ns INFO [00038193] Port=0 RD @06 + 38191.50ns INFO [00038193] Port=1 RD @05 + 38192.50ns INFO [00038194] Port=0 RD @03 + 38193.50ns INFO [00038195] * RD COMPARE * port=0 adr=06 act=8A2FB05D9C7473D4A4 exp=8A2FB05D9C7473D4A4 + 38193.50ns INFO [00038195] * RD COMPARE * port=1 adr=05 act=67A141620137814EF4 exp=67A141620137814EF4 + 38193.50ns INFO [00038195] Port=0 WR @05=6213EE0339ACEBA611 + 38193.50ns INFO [00038195] Port=0 RD @07 + 38193.50ns INFO [00038195] Port=1 RD @03 + 38194.50ns INFO [00038196] * RD COMPARE * port=0 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38194.50ns INFO [00038196] Port=0 WR @07=EA08F46522127602DB + 38195.50ns INFO [00038197] * RD COMPARE * port=0 adr=07 act=017E8902C709C3930F exp=017E8902C709C3930F + 38195.50ns INFO [00038197] * RD COMPARE * port=1 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38195.50ns INFO [00038197] Port=1 RD @01 + 38197.50ns INFO [00038199] * RD COMPARE * port=1 adr=01 act=90FCCE15B93F90F51F exp=90FCCE15B93F90F51F + 38197.50ns INFO [00038199] Port=0 WR @04=169A2093FE5BA762F8 + 38198.00ns INFO [00038200] [00038200] ...tick... + 38198.50ns INFO [00038200] Port=0 RD @01 + 38199.50ns INFO [00038201] Port=0 RD @05 + 38199.50ns INFO [00038201] Port=1 RD @01 + 38200.50ns INFO [00038202] * RD COMPARE * port=0 adr=01 act=90FCCE15B93F90F51F exp=90FCCE15B93F90F51F + 38200.50ns INFO [00038202] Port=0 WR @02=C2492FA1280E6F9BF2 + 38200.50ns INFO [00038202] Port=0 RD @03 + 38201.50ns INFO [00038203] * RD COMPARE * port=0 adr=05 act=6213EE0339ACEBA611 exp=6213EE0339ACEBA611 + 38201.50ns INFO [00038203] * RD COMPARE * port=1 adr=01 act=90FCCE15B93F90F51F exp=90FCCE15B93F90F51F + 38201.50ns INFO [00038203] Port=0 WR @02=8088960532DAD156FD + 38202.50ns INFO [00038204] * RD COMPARE * port=0 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38202.50ns INFO [00038204] Port=0 WR @07=927C1A8417D8D2F5AB + 38202.50ns INFO [00038204] Port=0 RD @02 + 38202.50ns INFO [00038204] Port=1 RD @01 + 38204.50ns INFO [00038206] * RD COMPARE * port=0 adr=02 act=8088960532DAD156FD exp=8088960532DAD156FD + 38204.50ns INFO [00038206] * RD COMPARE * port=1 adr=01 act=90FCCE15B93F90F51F exp=90FCCE15B93F90F51F + 38204.50ns INFO [00038206] Port=1 RD @02 + 38205.50ns INFO [00038207] Port=0 WR @01=ED1DC79F2CA8B2DA97 + 38205.50ns INFO [00038207] Port=1 RD @07 + 38206.50ns INFO [00038208] * RD COMPARE * port=1 adr=02 act=8088960532DAD156FD exp=8088960532DAD156FD + 38206.50ns INFO [00038208] Port=0 WR @07=8F97EC76F2CF58AB0F + 38206.50ns INFO [00038208] Port=0 RD @01 + 38206.50ns INFO [00038208] Port=1 RD @03 + 38207.50ns INFO [00038209] * RD COMPARE * port=1 adr=07 act=927C1A8417D8D2F5AB exp=927C1A8417D8D2F5AB + 38207.50ns INFO [00038209] Port=0 WR @02=45EF9EA6045A6659D4 + 38207.50ns INFO [00038209] Port=0 RD @07 + 38208.50ns INFO [00038210] * RD COMPARE * port=0 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38208.50ns INFO [00038210] * RD COMPARE * port=1 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38208.50ns INFO [00038210] Port=0 RD @05 + 38208.50ns INFO [00038210] Port=1 RD @07 + 38209.50ns INFO [00038211] * RD COMPARE * port=0 adr=07 act=8F97EC76F2CF58AB0F exp=8F97EC76F2CF58AB0F + 38210.50ns INFO [00038212] * RD COMPARE * port=0 adr=05 act=6213EE0339ACEBA611 exp=6213EE0339ACEBA611 + 38210.50ns INFO [00038212] * RD COMPARE * port=1 adr=07 act=8F97EC76F2CF58AB0F exp=8F97EC76F2CF58AB0F + 38210.50ns INFO [00038212] Port=0 WR @02=B048BC5E29983B1DD8 + 38210.50ns INFO [00038212] Port=0 RD @01 + 38210.50ns INFO [00038212] Port=1 RD @01 + 38211.50ns INFO [00038213] Port=0 WR @07=7E24AEDF4E82185F04 + 38211.50ns INFO [00038213] Port=1 RD @01 + 38212.50ns INFO [00038214] * RD COMPARE * port=0 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38212.50ns INFO [00038214] * RD COMPARE * port=1 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38213.50ns INFO [00038215] * RD COMPARE * port=1 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38214.50ns INFO [00038216] Port=0 WR @07=D8EC7BCE2020FC6E28 + 38215.50ns INFO [00038217] Port=0 WR @05=762AB78E09F0784097 + 38216.50ns INFO [00038218] Port=0 RD @03 + 38217.50ns INFO [00038219] Port=0 WR @00=18C42BA8DB7D77D089 + 38217.50ns INFO [00038219] Port=0 RD @03 + 38218.50ns INFO [00038220] * RD COMPARE * port=0 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38218.50ns INFO [00038220] Port=0 WR @02=65915E5A53D5CC35BA + 38218.50ns INFO [00038220] Port=0 RD @01 + 38219.50ns INFO [00038221] * RD COMPARE * port=0 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38219.50ns INFO [00038221] Port=0 RD @01 + 38219.50ns INFO [00038221] Port=1 RD @01 + 38220.50ns INFO [00038222] * RD COMPARE * port=0 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38220.50ns INFO [00038222] Port=0 WR @02=9B726AF3D5F4C232A3 + 38220.50ns INFO [00038222] Port=1 RD @07 + 38221.50ns INFO [00038223] * RD COMPARE * port=0 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38221.50ns INFO [00038223] * RD COMPARE * port=1 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38221.50ns INFO [00038223] Port=0 WR @04=C5071955B9F34AAC01 + 38222.50ns INFO [00038224] * RD COMPARE * port=1 adr=07 act=D8EC7BCE2020FC6E28 exp=D8EC7BCE2020FC6E28 + 38222.50ns INFO [00038224] Port=0 WR @05=154B9F487301758D1A + 38222.50ns INFO [00038224] Port=0 RD @06 + 38223.50ns INFO [00038225] Port=0 RD @01 + 38224.50ns INFO [00038226] * RD COMPARE * port=0 adr=06 act=8A2FB05D9C7473D4A4 exp=8A2FB05D9C7473D4A4 + 38224.50ns INFO [00038226] Port=0 RD @05 + 38225.50ns INFO [00038227] * RD COMPARE * port=0 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38225.50ns INFO [00038227] Port=0 WR @06=9C4CCFEB257F7D7D55 + 38225.50ns INFO [00038227] Port=1 RD @01 + 38226.50ns INFO [00038228] * RD COMPARE * port=0 adr=05 act=154B9F487301758D1A exp=154B9F487301758D1A + 38226.50ns INFO [00038228] Port=0 RD @04 + 38226.50ns INFO [00038228] Port=1 RD @04 + 38227.50ns INFO [00038229] * RD COMPARE * port=1 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38228.50ns INFO [00038230] * RD COMPARE * port=0 adr=04 act=C5071955B9F34AAC01 exp=C5071955B9F34AAC01 + 38228.50ns INFO [00038230] * RD COMPARE * port=1 adr=04 act=C5071955B9F34AAC01 exp=C5071955B9F34AAC01 + 38228.50ns INFO [00038230] Port=0 RD @01 + 38228.50ns INFO [00038230] Port=1 RD @00 + 38229.50ns INFO [00038231] Port=0 RD @03 + 38229.50ns INFO [00038231] Port=1 RD @03 + 38230.50ns INFO [00038232] * RD COMPARE * port=0 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38230.50ns INFO [00038232] * RD COMPARE * port=1 adr=00 act=18C42BA8DB7D77D089 exp=18C42BA8DB7D77D089 + 38230.50ns INFO [00038232] Port=0 WR @03=8B19B097D9DAC0C053 + 38230.50ns INFO [00038232] Port=1 RD @00 + 38231.50ns INFO [00038233] * RD COMPARE * port=0 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38231.50ns INFO [00038233] * RD COMPARE * port=1 adr=03 act=B92A682280A3AF8029 exp=B92A682280A3AF8029 + 38231.50ns INFO [00038233] Port=0 WR @06=6F026EE5401E085894 + 38232.50ns INFO [00038234] * RD COMPARE * port=1 adr=00 act=18C42BA8DB7D77D089 exp=18C42BA8DB7D77D089 + 38232.50ns INFO [00038234] Port=0 RD @00 + 38232.50ns INFO [00038234] Port=1 RD @01 + 38233.50ns INFO [00038235] Port=0 WR @06=DEF6892C828EA6AC71 + 38234.50ns INFO [00038236] * RD COMPARE * port=0 adr=00 act=18C42BA8DB7D77D089 exp=18C42BA8DB7D77D089 + 38234.50ns INFO [00038236] * RD COMPARE * port=1 adr=01 act=ED1DC79F2CA8B2DA97 exp=ED1DC79F2CA8B2DA97 + 38234.50ns INFO [00038236] Port=0 RD @06 + 38236.50ns INFO [00038238] * RD COMPARE * port=0 adr=06 act=DEF6892C828EA6AC71 exp=DEF6892C828EA6AC71 + 38236.50ns INFO [00038238] Port=1 RD @03 + 38237.50ns INFO [00038239] Port=0 RD @03 + 38238.50ns INFO [00038240] * RD COMPARE * port=1 adr=03 act=8B19B097D9DAC0C053 exp=8B19B097D9DAC0C053 + 38238.50ns INFO [00038240] Port=0 RD @02 + 38239.50ns INFO [00038241] * RD COMPARE * port=0 adr=03 act=8B19B097D9DAC0C053 exp=8B19B097D9DAC0C053 + 38239.50ns INFO [00038241] Port=0 WR @06=EE864D1CC5DBB3D3DF + 38239.50ns INFO [00038241] Port=0 RD @02 + 38239.50ns INFO [00038241] Port=1 RD @04 + 38240.50ns INFO [00038242] * RD COMPARE * port=0 adr=02 act=9B726AF3D5F4C232A3 exp=9B726AF3D5F4C232A3 + 38241.50ns INFO [00038243] * RD COMPARE * port=0 adr=02 act=9B726AF3D5F4C232A3 exp=9B726AF3D5F4C232A3 + 38241.50ns INFO [00038243] * RD COMPARE * port=1 adr=04 act=C5071955B9F34AAC01 exp=C5071955B9F34AAC01 + 38241.50ns INFO [00038243] Port=0 WR @03=E5640A3FD09441445C + 38242.50ns INFO [00038244] Port=0 WR @05=A9EE948A87BDB4D72E + 38242.50ns INFO [00038244] Port=1 RD @02 + 38243.50ns INFO [00038245] Port=0 WR @06=BCB7E3F4D13DD8B9F9 + 38244.50ns INFO [00038246] * RD COMPARE * port=1 adr=02 act=9B726AF3D5F4C232A3 exp=9B726AF3D5F4C232A3 + 38244.50ns INFO [00038246] Port=0 WR @01=052D95A5C68DFCD8D7 + 38244.50ns INFO [00038246] Port=1 RD @02 + 38246.50ns INFO [00038248] * RD COMPARE * port=1 adr=02 act=9B726AF3D5F4C232A3 exp=9B726AF3D5F4C232A3 + 38246.50ns INFO [00038248] Port=1 RD @01 + 38247.50ns INFO [00038249] Port=0 WR @07=B407E76BFD5598CFD4 + 38247.50ns INFO [00038249] Port=0 RD @05 + 38248.50ns INFO [00038250] * RD COMPARE * port=1 adr=01 act=052D95A5C68DFCD8D7 exp=052D95A5C68DFCD8D7 + 38248.50ns INFO [00038250] Port=1 RD @01 + 38249.50ns INFO [00038251] * RD COMPARE * port=0 adr=05 act=A9EE948A87BDB4D72E exp=A9EE948A87BDB4D72E + 38249.50ns INFO [00038251] Port=0 WR @06=AEFA6696A5D0D301A3 + 38249.50ns INFO [00038251] Port=0 RD @07 + 38249.50ns INFO [00038251] Port=1 RD @07 + 38250.50ns INFO [00038252] * RD COMPARE * port=1 adr=01 act=052D95A5C68DFCD8D7 exp=052D95A5C68DFCD8D7 + 38251.50ns INFO [00038253] * RD COMPARE * port=0 adr=07 act=B407E76BFD5598CFD4 exp=B407E76BFD5598CFD4 + 38251.50ns INFO [00038253] * RD COMPARE * port=1 adr=07 act=B407E76BFD5598CFD4 exp=B407E76BFD5598CFD4 + 38251.50ns INFO [00038253] Port=0 WR @07=9A00BE986EF536C955 + 38251.50ns INFO [00038253] Port=0 RD @05 + 38251.50ns INFO [00038253] Port=1 RD @03 + 38252.50ns INFO [00038254] Port=0 RD @06 + 38252.50ns INFO [00038254] Port=1 RD @02 + 38253.50ns INFO [00038255] * RD COMPARE * port=0 adr=05 act=A9EE948A87BDB4D72E exp=A9EE948A87BDB4D72E + 38253.50ns INFO [00038255] * RD COMPARE * port=1 adr=03 act=E5640A3FD09441445C exp=E5640A3FD09441445C + 38254.50ns INFO [00038256] * RD COMPARE * port=0 adr=06 act=AEFA6696A5D0D301A3 exp=AEFA6696A5D0D301A3 + 38254.50ns INFO [00038256] * RD COMPARE * port=1 adr=02 act=9B726AF3D5F4C232A3 exp=9B726AF3D5F4C232A3 + 38254.50ns INFO [00038256] Port=0 WR @04=36AF3A6D0E530D014D + 38254.50ns INFO [00038256] Port=0 RD @06 + 38254.50ns INFO [00038256] Port=1 RD @00 + 38256.50ns INFO [00038258] * RD COMPARE * port=0 adr=06 act=AEFA6696A5D0D301A3 exp=AEFA6696A5D0D301A3 + 38256.50ns INFO [00038258] * RD COMPARE * port=1 adr=00 act=18C42BA8DB7D77D089 exp=18C42BA8DB7D77D089 + 38256.50ns INFO [00038258] Port=0 RD @05 + 38258.50ns INFO [00038260] * RD COMPARE * port=0 adr=05 act=A9EE948A87BDB4D72E exp=A9EE948A87BDB4D72E + 38258.50ns INFO [00038260] Port=0 WR @03=8F563A1803A6A84FFD + 38258.50ns INFO [00038260] Port=1 RD @05 + 38260.50ns INFO [00038262] * RD COMPARE * port=1 adr=05 act=A9EE948A87BDB4D72E exp=A9EE948A87BDB4D72E + 38261.50ns INFO [00038263] Port=0 WR @02=1C8063FCBA4B646F1D + 38261.50ns INFO [00038263] Port=0 RD @05 + 38261.50ns INFO [00038263] Port=1 RD @03 + 38262.50ns INFO [00038264] Port=1 RD @07 + 38263.50ns INFO [00038265] * RD COMPARE * port=0 adr=05 act=A9EE948A87BDB4D72E exp=A9EE948A87BDB4D72E + 38263.50ns INFO [00038265] * RD COMPARE * port=1 adr=03 act=8F563A1803A6A84FFD exp=8F563A1803A6A84FFD + 38264.50ns INFO [00038266] * RD COMPARE * port=1 adr=07 act=9A00BE986EF536C955 exp=9A00BE986EF536C955 + 38266.50ns INFO [00038268] Port=1 RD @02 + 38268.50ns INFO [00038270] * RD COMPARE * port=1 adr=02 act=1C8063FCBA4B646F1D exp=1C8063FCBA4B646F1D + 38268.50ns INFO [00038270] Port=0 RD @02 + 38268.50ns INFO [00038270] Port=1 RD @06 + 38269.50ns INFO [00038271] Port=1 RD @00 + 38270.50ns INFO [00038272] * RD COMPARE * port=0 adr=02 act=1C8063FCBA4B646F1D exp=1C8063FCBA4B646F1D + 38270.50ns INFO [00038272] * RD COMPARE * port=1 adr=06 act=AEFA6696A5D0D301A3 exp=AEFA6696A5D0D301A3 + 38270.50ns INFO [00038272] Port=0 RD @04 + 38270.50ns INFO [00038272] Port=1 RD @07 + 38271.50ns INFO [00038273] * RD COMPARE * port=1 adr=00 act=18C42BA8DB7D77D089 exp=18C42BA8DB7D77D089 + 38271.50ns INFO [00038273] Port=0 WR @07=E1C5E9AF5BB6A379A0 + 38271.50ns INFO [00038273] Port=0 RD @04 + 38272.50ns INFO [00038274] * RD COMPARE * port=0 adr=04 act=36AF3A6D0E530D014D exp=36AF3A6D0E530D014D + 38272.50ns INFO [00038274] * RD COMPARE * port=1 adr=07 act=9A00BE986EF536C955 exp=9A00BE986EF536C955 + 38272.50ns INFO [00038274] Port=0 WR @03=32BBD42418D1DE8F9D + 38273.50ns INFO [00038275] * RD COMPARE * port=0 adr=04 act=36AF3A6D0E530D014D exp=36AF3A6D0E530D014D + 38273.50ns INFO [00038275] Port=0 WR @07=E1DE51B4161C8E145E + 38273.50ns INFO [00038275] Port=0 RD @03 + 38273.50ns INFO [00038275] Port=1 RD @04 + 38275.50ns INFO [00038277] * RD COMPARE * port=0 adr=03 act=32BBD42418D1DE8F9D exp=32BBD42418D1DE8F9D + 38275.50ns INFO [00038277] * RD COMPARE * port=1 adr=04 act=36AF3A6D0E530D014D exp=36AF3A6D0E530D014D + 38278.50ns INFO [00038280] Port=1 RD @03 + 38279.50ns INFO [00038281] Port=0 WR @02=96C347AC2E965C65EC + 38279.50ns INFO [00038281] Port=0 RD @04 + 38280.50ns INFO [00038282] * RD COMPARE * port=1 adr=03 act=32BBD42418D1DE8F9D exp=32BBD42418D1DE8F9D + 38281.50ns INFO [00038283] * RD COMPARE * port=0 adr=04 act=36AF3A6D0E530D014D exp=36AF3A6D0E530D014D + 38281.50ns INFO [00038283] Port=0 WR @00=859F05678BD143CBDD + 38281.50ns INFO [00038283] Port=0 RD @06 + 38281.50ns INFO [00038283] Port=1 RD @04 + 38282.50ns INFO [00038284] Port=0 RD @01 + 38282.50ns INFO [00038284] Port=1 RD @00 + 38283.50ns INFO [00038285] * RD COMPARE * port=0 adr=06 act=AEFA6696A5D0D301A3 exp=AEFA6696A5D0D301A3 + 38283.50ns INFO [00038285] * RD COMPARE * port=1 adr=04 act=36AF3A6D0E530D014D exp=36AF3A6D0E530D014D + 38283.50ns INFO [00038285] Port=1 RD @05 + 38284.50ns INFO [00038286] * RD COMPARE * port=0 adr=01 act=052D95A5C68DFCD8D7 exp=052D95A5C68DFCD8D7 + 38284.50ns INFO [00038286] * RD COMPARE * port=1 adr=00 act=859F05678BD143CBDD exp=859F05678BD143CBDD + 38284.50ns INFO [00038286] Port=0 WR @04=8ADFC503FECFD6C19B + 38285.50ns INFO [00038287] * RD COMPARE * port=1 adr=05 act=A9EE948A87BDB4D72E exp=A9EE948A87BDB4D72E + 38285.50ns INFO [00038287] Port=0 WR @03=922A5375E5E21E8A27 + 38285.50ns INFO [00038287] Port=1 RD @07 + 38286.50ns INFO [00038288] Port=0 RD @00 + 38286.50ns INFO [00038288] Port=1 RD @02 + 38287.50ns INFO [00038289] * RD COMPARE * port=1 adr=07 act=E1DE51B4161C8E145E exp=E1DE51B4161C8E145E + 38288.50ns INFO [00038290] * RD COMPARE * port=0 adr=00 act=859F05678BD143CBDD exp=859F05678BD143CBDD + 38288.50ns INFO [00038290] * RD COMPARE * port=1 adr=02 act=96C347AC2E965C65EC exp=96C347AC2E965C65EC + 38288.50ns INFO [00038290] Port=0 WR @03=33A7B576DC208CE51F + 38288.50ns INFO [00038290] Port=0 RD @00 + 38288.50ns INFO [00038290] Port=1 RD @06 + 38289.50ns INFO [00038291] Port=0 WR @07=42FC0B4A2F31CB4373 + 38289.50ns INFO [00038291] Port=0 RD @05 + 38289.50ns INFO [00038291] Port=1 RD @02 + 38290.50ns INFO [00038292] * RD COMPARE * port=0 adr=00 act=859F05678BD143CBDD exp=859F05678BD143CBDD + 38290.50ns INFO [00038292] * RD COMPARE * port=1 adr=06 act=AEFA6696A5D0D301A3 exp=AEFA6696A5D0D301A3 + 38291.50ns INFO [00038293] * RD COMPARE * port=0 adr=05 act=A9EE948A87BDB4D72E exp=A9EE948A87BDB4D72E + 38291.50ns INFO [00038293] * RD COMPARE * port=1 adr=02 act=96C347AC2E965C65EC exp=96C347AC2E965C65EC + 38291.50ns INFO [00038293] Port=0 RD @02 + 38291.50ns INFO [00038293] Port=1 RD @02 + 38292.50ns INFO [00038294] Port=0 RD @01 + 38293.50ns INFO [00038295] * RD COMPARE * port=0 adr=02 act=96C347AC2E965C65EC exp=96C347AC2E965C65EC + 38293.50ns INFO [00038295] * RD COMPARE * port=1 adr=02 act=96C347AC2E965C65EC exp=96C347AC2E965C65EC + 38293.50ns INFO [00038295] Port=0 RD @03 + 38293.50ns INFO [00038295] Port=1 RD @07 + 38294.50ns INFO [00038296] * RD COMPARE * port=0 adr=01 act=052D95A5C68DFCD8D7 exp=052D95A5C68DFCD8D7 + 38294.50ns INFO [00038296] Port=0 RD @02 + 38295.50ns INFO [00038297] * RD COMPARE * port=0 adr=03 act=33A7B576DC208CE51F exp=33A7B576DC208CE51F + 38295.50ns INFO [00038297] * RD COMPARE * port=1 adr=07 act=42FC0B4A2F31CB4373 exp=42FC0B4A2F31CB4373 + 38296.50ns INFO [00038298] * RD COMPARE * port=0 adr=02 act=96C347AC2E965C65EC exp=96C347AC2E965C65EC + 38296.50ns INFO [00038298] Port=0 WR @05=2E24B791997AD234EA + 38297.50ns INFO [00038299] Port=0 RD @00 + 38298.00ns INFO [00038300] [00038300] ...tick... + 38298.50ns INFO [00038300] Port=1 RD @06 + 38299.50ns INFO [00038301] * RD COMPARE * port=0 adr=00 act=859F05678BD143CBDD exp=859F05678BD143CBDD + 38299.50ns INFO [00038301] Port=1 RD @02 + 38300.50ns INFO [00038302] * RD COMPARE * port=1 adr=06 act=AEFA6696A5D0D301A3 exp=AEFA6696A5D0D301A3 + 38301.50ns INFO [00038303] * RD COMPARE * port=1 adr=02 act=96C347AC2E965C65EC exp=96C347AC2E965C65EC + 38301.50ns INFO [00038303] Port=0 RD @03 + 38301.50ns INFO [00038303] Port=1 RD @06 + 38302.50ns INFO [00038304] Port=0 WR @04=1590D4D188CA9126A0 + 38302.50ns INFO [00038304] Port=0 RD @05 + 38303.50ns INFO [00038305] * RD COMPARE * port=0 adr=03 act=33A7B576DC208CE51F exp=33A7B576DC208CE51F + 38303.50ns INFO [00038305] * RD COMPARE * port=1 adr=06 act=AEFA6696A5D0D301A3 exp=AEFA6696A5D0D301A3 + 38303.50ns INFO [00038305] Port=0 RD @04 + 38304.50ns INFO [00038306] * RD COMPARE * port=0 adr=05 act=2E24B791997AD234EA exp=2E24B791997AD234EA + 38304.50ns INFO [00038306] Port=0 WR @06=7E080BB00740CA0D4F + 38305.50ns INFO [00038307] * RD COMPARE * port=0 adr=04 act=1590D4D188CA9126A0 exp=1590D4D188CA9126A0 + 38306.50ns INFO [00038308] Port=0 WR @03=1C8FBD27F9D36F33C8 + 38306.50ns INFO [00038308] Port=0 RD @05 + 38307.50ns INFO [00038309] Port=0 WR @04=8235E17060CF2E1389 + 38308.50ns INFO [00038310] * RD COMPARE * port=0 adr=05 act=2E24B791997AD234EA exp=2E24B791997AD234EA + 38308.50ns INFO [00038310] Port=0 RD @01 + 38310.50ns INFO [00038312] * RD COMPARE * port=0 adr=01 act=052D95A5C68DFCD8D7 exp=052D95A5C68DFCD8D7 + 38310.50ns INFO [00038312] Port=0 WR @04=23120C6249D81BFBE0 + 38310.50ns INFO [00038312] Port=1 RD @03 + 38311.50ns INFO [00038313] Port=0 RD @03 + 38311.50ns INFO [00038313] Port=1 RD @00 + 38312.50ns INFO [00038314] * RD COMPARE * port=1 adr=03 act=1C8FBD27F9D36F33C8 exp=1C8FBD27F9D36F33C8 + 38312.50ns INFO [00038314] Port=0 WR @03=2CA10A0129D8CD6C3C + 38313.50ns INFO [00038315] * RD COMPARE * port=0 adr=03 act=1C8FBD27F9D36F33C8 exp=1C8FBD27F9D36F33C8 + 38313.50ns INFO [00038315] * RD COMPARE * port=1 adr=00 act=859F05678BD143CBDD exp=859F05678BD143CBDD + 38313.50ns INFO [00038315] Port=0 WR @01=59306195D3CF094FEA + 38313.50ns INFO [00038315] Port=0 RD @04 + 38313.50ns INFO [00038315] Port=1 RD @05 + 38314.50ns INFO [00038316] Port=0 WR @07=545E4370B8F507B0AE + 38314.50ns INFO [00038316] Port=0 RD @03 + 38315.50ns INFO [00038317] * RD COMPARE * port=0 adr=04 act=23120C6249D81BFBE0 exp=23120C6249D81BFBE0 + 38315.50ns INFO [00038317] * RD COMPARE * port=1 adr=05 act=2E24B791997AD234EA exp=2E24B791997AD234EA + 38315.50ns INFO [00038317] Port=0 RD @05 + 38316.50ns INFO [00038318] * RD COMPARE * port=0 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38316.50ns INFO [00038318] Port=1 RD @06 + 38317.50ns INFO [00038319] * RD COMPARE * port=0 adr=05 act=2E24B791997AD234EA exp=2E24B791997AD234EA + 38317.50ns INFO [00038319] Port=0 RD @02 + 38318.50ns INFO [00038320] * RD COMPARE * port=1 adr=06 act=7E080BB00740CA0D4F exp=7E080BB00740CA0D4F + 38318.50ns INFO [00038320] Port=0 RD @07 + 38318.50ns INFO [00038320] Port=1 RD @04 + 38319.50ns INFO [00038321] * RD COMPARE * port=0 adr=02 act=96C347AC2E965C65EC exp=96C347AC2E965C65EC + 38319.50ns INFO [00038321] Port=0 WR @06=4B5E457151A02E94B0 + 38320.50ns INFO [00038322] * RD COMPARE * port=0 adr=07 act=545E4370B8F507B0AE exp=545E4370B8F507B0AE + 38320.50ns INFO [00038322] * RD COMPARE * port=1 adr=04 act=23120C6249D81BFBE0 exp=23120C6249D81BFBE0 + 38320.50ns INFO [00038322] Port=1 RD @01 + 38321.50ns INFO [00038323] Port=0 RD @06 + 38322.50ns INFO [00038324] * RD COMPARE * port=1 adr=01 act=59306195D3CF094FEA exp=59306195D3CF094FEA + 38322.50ns INFO [00038324] Port=0 WR @01=0FC481414B5AE8B37C + 38322.50ns INFO [00038324] Port=1 RD @03 + 38323.50ns INFO [00038325] * RD COMPARE * port=0 adr=06 act=4B5E457151A02E94B0 exp=4B5E457151A02E94B0 + 38323.50ns INFO [00038325] Port=0 WR @06=598170038D0A93E9D6 + 38324.50ns INFO [00038326] * RD COMPARE * port=1 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38325.50ns INFO [00038327] Port=0 RD @05 + 38326.50ns INFO [00038328] Port=1 RD @07 + 38327.50ns INFO [00038329] * RD COMPARE * port=0 adr=05 act=2E24B791997AD234EA exp=2E24B791997AD234EA + 38328.50ns INFO [00038330] * RD COMPARE * port=1 adr=07 act=545E4370B8F507B0AE exp=545E4370B8F507B0AE + 38328.50ns INFO [00038330] Port=0 WR @00=2AEF9E42831BB7D427 + 38328.50ns INFO [00038330] Port=0 RD @01 + 38329.50ns INFO [00038331] Port=0 RD @01 + 38330.50ns INFO [00038332] * RD COMPARE * port=0 adr=01 act=0FC481414B5AE8B37C exp=0FC481414B5AE8B37C + 38330.50ns INFO [00038332] Port=0 WR @06=31273FBCF002CB1D38 + 38330.50ns INFO [00038332] Port=0 RD @03 + 38331.50ns INFO [00038333] * RD COMPARE * port=0 adr=01 act=0FC481414B5AE8B37C exp=0FC481414B5AE8B37C + 38332.50ns INFO [00038334] * RD COMPARE * port=0 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38332.50ns INFO [00038334] Port=0 WR @04=295FD1AF8FA0E20319 + 38333.50ns INFO [00038335] Port=0 WR @04=485C57B755AEADFB80 + 38333.50ns INFO [00038335] Port=0 RD @02 + 38334.50ns INFO [00038336] Port=0 RD @04 + 38335.50ns INFO [00038337] * RD COMPARE * port=0 adr=02 act=96C347AC2E965C65EC exp=96C347AC2E965C65EC + 38335.50ns INFO [00038337] Port=1 RD @05 + 38336.50ns INFO [00038338] * RD COMPARE * port=0 adr=04 act=485C57B755AEADFB80 exp=485C57B755AEADFB80 + 38336.50ns INFO [00038338] Port=0 WR @07=6F031E07B12F7848FE + 38336.50ns INFO [00038338] Port=0 RD @02 + 38336.50ns INFO [00038338] Port=1 RD @03 + 38337.50ns INFO [00038339] * RD COMPARE * port=1 adr=05 act=2E24B791997AD234EA exp=2E24B791997AD234EA + 38337.50ns INFO [00038339] Port=1 RD @00 + 38338.50ns INFO [00038340] * RD COMPARE * port=0 adr=02 act=96C347AC2E965C65EC exp=96C347AC2E965C65EC + 38338.50ns INFO [00038340] * RD COMPARE * port=1 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38338.50ns INFO [00038340] Port=0 RD @01 + 38338.50ns INFO [00038340] Port=1 RD @02 + 38339.50ns INFO [00038341] * RD COMPARE * port=1 adr=00 act=2AEF9E42831BB7D427 exp=2AEF9E42831BB7D427 + 38339.50ns INFO [00038341] Port=0 WR @00=32785BAC2B5A1138C2 + 38339.50ns INFO [00038341] Port=0 RD @07 + 38339.50ns INFO [00038341] Port=1 RD @03 + 38340.50ns INFO [00038342] * RD COMPARE * port=0 adr=01 act=0FC481414B5AE8B37C exp=0FC481414B5AE8B37C + 38340.50ns INFO [00038342] * RD COMPARE * port=1 adr=02 act=96C347AC2E965C65EC exp=96C347AC2E965C65EC + 38340.50ns INFO [00038342] Port=0 WR @07=85B47F836CC882ACDC + 38340.50ns INFO [00038342] Port=1 RD @01 + 38341.50ns INFO [00038343] * RD COMPARE * port=0 adr=07 act=6F031E07B12F7848FE exp=6F031E07B12F7848FE + 38341.50ns INFO [00038343] * RD COMPARE * port=1 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38342.50ns INFO [00038344] * RD COMPARE * port=1 adr=01 act=0FC481414B5AE8B37C exp=0FC481414B5AE8B37C + 38342.50ns INFO [00038344] Port=0 RD @00 + 38342.50ns INFO [00038344] Port=1 RD @04 + 38343.50ns INFO [00038345] Port=0 RD @03 + 38344.50ns INFO [00038346] * RD COMPARE * port=0 adr=00 act=32785BAC2B5A1138C2 exp=32785BAC2B5A1138C2 + 38344.50ns INFO [00038346] * RD COMPARE * port=1 adr=04 act=485C57B755AEADFB80 exp=485C57B755AEADFB80 + 38344.50ns INFO [00038346] Port=0 RD @05 + 38344.50ns INFO [00038346] Port=1 RD @01 + 38345.50ns INFO [00038347] * RD COMPARE * port=0 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38345.50ns INFO [00038347] Port=0 WR @07=88076D5424AC9FD7E5 + 38346.50ns INFO [00038348] * RD COMPARE * port=0 adr=05 act=2E24B791997AD234EA exp=2E24B791997AD234EA + 38346.50ns INFO [00038348] * RD COMPARE * port=1 adr=01 act=0FC481414B5AE8B37C exp=0FC481414B5AE8B37C + 38347.50ns INFO [00038349] Port=0 WR @07=BD84FEE744DBDE10B2 + 38347.50ns INFO [00038349] Port=0 RD @04 + 38347.50ns INFO [00038349] Port=1 RD @00 + 38349.50ns INFO [00038351] * RD COMPARE * port=0 adr=04 act=485C57B755AEADFB80 exp=485C57B755AEADFB80 + 38349.50ns INFO [00038351] * RD COMPARE * port=1 adr=00 act=32785BAC2B5A1138C2 exp=32785BAC2B5A1138C2 + 38349.50ns INFO [00038351] Port=0 RD @04 + 38350.50ns INFO [00038352] Port=0 WR @07=A12572E203E6B01C8B + 38351.50ns INFO [00038353] * RD COMPARE * port=0 adr=04 act=485C57B755AEADFB80 exp=485C57B755AEADFB80 + 38352.50ns INFO [00038354] Port=0 WR @02=6439C4432E251D4118 + 38353.50ns INFO [00038355] Port=1 RD @00 + 38354.50ns INFO [00038356] Port=0 WR @07=D5417B2F8EE2CBEB83 + 38354.50ns INFO [00038356] Port=1 RD @05 + 38355.50ns INFO [00038357] * RD COMPARE * port=1 adr=00 act=32785BAC2B5A1138C2 exp=32785BAC2B5A1138C2 + 38356.50ns INFO [00038358] * RD COMPARE * port=1 adr=05 act=2E24B791997AD234EA exp=2E24B791997AD234EA + 38356.50ns INFO [00038358] Port=0 WR @04=CB3A2B58B9B44F37DF + 38356.50ns INFO [00038358] Port=0 RD @06 + 38357.50ns INFO [00038359] Port=0 RD @07 + 38358.50ns INFO [00038360] * RD COMPARE * port=0 adr=06 act=31273FBCF002CB1D38 exp=31273FBCF002CB1D38 + 38358.50ns INFO [00038360] Port=0 RD @00 + 38358.50ns INFO [00038360] Port=1 RD @03 + 38359.50ns INFO [00038361] * RD COMPARE * port=0 adr=07 act=D5417B2F8EE2CBEB83 exp=D5417B2F8EE2CBEB83 + 38359.50ns INFO [00038361] Port=1 RD @07 + 38360.50ns INFO [00038362] * RD COMPARE * port=0 adr=00 act=32785BAC2B5A1138C2 exp=32785BAC2B5A1138C2 + 38360.50ns INFO [00038362] * RD COMPARE * port=1 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38360.50ns INFO [00038362] Port=0 WR @00=2D03B7565D8FAAECB7 + 38360.50ns INFO [00038362] Port=0 RD @03 + 38361.50ns INFO [00038363] * RD COMPARE * port=1 adr=07 act=D5417B2F8EE2CBEB83 exp=D5417B2F8EE2CBEB83 + 38361.50ns INFO [00038363] Port=0 WR @01=BEEC5FFF58AF3A2D17 + 38362.50ns INFO [00038364] * RD COMPARE * port=0 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38362.50ns INFO [00038364] Port=1 RD @00 + 38363.50ns INFO [00038365] Port=1 RD @04 + 38364.50ns INFO [00038366] * RD COMPARE * port=1 adr=00 act=2D03B7565D8FAAECB7 exp=2D03B7565D8FAAECB7 + 38364.50ns INFO [00038366] Port=0 RD @03 + 38364.50ns INFO [00038366] Port=1 RD @03 + 38365.50ns INFO [00038367] * RD COMPARE * port=1 adr=04 act=CB3A2B58B9B44F37DF exp=CB3A2B58B9B44F37DF + 38366.50ns INFO [00038368] * RD COMPARE * port=0 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38366.50ns INFO [00038368] * RD COMPARE * port=1 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38366.50ns INFO [00038368] Port=0 RD @01 + 38368.50ns INFO [00038370] * RD COMPARE * port=0 adr=01 act=BEEC5FFF58AF3A2D17 exp=BEEC5FFF58AF3A2D17 + 38368.50ns INFO [00038370] Port=0 RD @04 + 38369.50ns INFO [00038371] Port=1 RD @04 + 38370.50ns INFO [00038372] * RD COMPARE * port=0 adr=04 act=CB3A2B58B9B44F37DF exp=CB3A2B58B9B44F37DF + 38371.50ns INFO [00038373] * RD COMPARE * port=1 adr=04 act=CB3A2B58B9B44F37DF exp=CB3A2B58B9B44F37DF + 38374.50ns INFO [00038376] Port=0 WR @06=F0CD569508BA094392 + 38375.50ns INFO [00038377] Port=0 RD @03 + 38375.50ns INFO [00038377] Port=1 RD @02 + 38376.50ns INFO [00038378] Port=0 RD @01 + 38377.50ns INFO [00038379] * RD COMPARE * port=0 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38377.50ns INFO [00038379] * RD COMPARE * port=1 adr=02 act=6439C4432E251D4118 exp=6439C4432E251D4118 + 38378.50ns INFO [00038380] * RD COMPARE * port=0 adr=01 act=BEEC5FFF58AF3A2D17 exp=BEEC5FFF58AF3A2D17 + 38378.50ns INFO [00038380] Port=0 WR @00=99798C89E2DCF864DB + 38379.50ns INFO [00038381] Port=0 RD @01 + 38380.50ns INFO [00038382] Port=0 WR @07=D5470FFF203F300123 + 38380.50ns INFO [00038382] Port=0 RD @00 + 38381.50ns INFO [00038383] * RD COMPARE * port=0 adr=01 act=BEEC5FFF58AF3A2D17 exp=BEEC5FFF58AF3A2D17 + 38382.50ns INFO [00038384] * RD COMPARE * port=0 adr=00 act=99798C89E2DCF864DB exp=99798C89E2DCF864DB + 38382.50ns INFO [00038384] Port=0 RD @07 + 38382.50ns INFO [00038384] Port=1 RD @07 + 38383.50ns INFO [00038385] Port=0 RD @00 + 38384.50ns INFO [00038386] * RD COMPARE * port=0 adr=07 act=D5470FFF203F300123 exp=D5470FFF203F300123 + 38384.50ns INFO [00038386] * RD COMPARE * port=1 adr=07 act=D5470FFF203F300123 exp=D5470FFF203F300123 + 38385.50ns INFO [00038387] * RD COMPARE * port=0 adr=00 act=99798C89E2DCF864DB exp=99798C89E2DCF864DB + 38385.50ns INFO [00038387] Port=0 WR @04=84073B7B7BBA617160 + 38386.50ns INFO [00038388] Port=1 RD @04 + 38387.50ns INFO [00038389] Port=1 RD @02 + 38388.50ns INFO [00038390] * RD COMPARE * port=1 adr=04 act=84073B7B7BBA617160 exp=84073B7B7BBA617160 + 38389.50ns INFO [00038391] * RD COMPARE * port=1 adr=02 act=6439C4432E251D4118 exp=6439C4432E251D4118 + 38389.50ns INFO [00038391] Port=0 RD @06 + 38389.50ns INFO [00038391] Port=1 RD @06 + 38390.50ns INFO [00038392] Port=1 RD @07 + 38391.50ns INFO [00038393] * RD COMPARE * port=0 adr=06 act=F0CD569508BA094392 exp=F0CD569508BA094392 + 38391.50ns INFO [00038393] * RD COMPARE * port=1 adr=06 act=F0CD569508BA094392 exp=F0CD569508BA094392 + 38391.50ns INFO [00038393] Port=1 RD @07 + 38392.50ns INFO [00038394] * RD COMPARE * port=1 adr=07 act=D5470FFF203F300123 exp=D5470FFF203F300123 + 38392.50ns INFO [00038394] Port=1 RD @03 + 38393.50ns INFO [00038395] * RD COMPARE * port=1 adr=07 act=D5470FFF203F300123 exp=D5470FFF203F300123 + 38393.50ns INFO [00038395] Port=0 WR @04=1F7BE72FF4ABBF5721 + 38394.50ns INFO [00038396] * RD COMPARE * port=1 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38394.50ns INFO [00038396] Port=1 RD @07 + 38395.50ns INFO [00038397] Port=0 WR @07=C61FC11CBE32CDDF20 + 38395.50ns INFO [00038397] Port=0 RD @03 + 38395.50ns INFO [00038397] Port=1 RD @03 + 38396.50ns INFO [00038398] * RD COMPARE * port=1 adr=07 act=D5470FFF203F300123 exp=D5470FFF203F300123 + 38397.50ns INFO [00038399] * RD COMPARE * port=0 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38397.50ns INFO [00038399] * RD COMPARE * port=1 adr=03 act=2CA10A0129D8CD6C3C exp=2CA10A0129D8CD6C3C + 38397.50ns INFO [00038399] Port=0 WR @05=E00EBAAD2EB99B104E + 38397.50ns INFO [00038399] Port=0 RD @04 + 38398.00ns INFO [00038400] [00038400] ...tick... + 38398.50ns INFO [00038400] Port=1 RD @04 + 38399.50ns INFO [00038401] * RD COMPARE * port=0 adr=04 act=1F7BE72FF4ABBF5721 exp=1F7BE72FF4ABBF5721 + 38400.50ns INFO [00038402] * RD COMPARE * port=1 adr=04 act=1F7BE72FF4ABBF5721 exp=1F7BE72FF4ABBF5721 + 38400.50ns INFO [00038402] Port=0 WR @03=72850468E65AD883F6 + 38400.50ns INFO [00038402] Port=1 RD @01 + 38401.50ns INFO [00038403] Port=0 WR @04=E777B38D214339674F + 38402.50ns INFO [00038404] * RD COMPARE * port=1 adr=01 act=BEEC5FFF58AF3A2D17 exp=BEEC5FFF58AF3A2D17 + 38402.50ns INFO [00038404] Port=0 RD @06 + 38403.50ns INFO [00038405] Port=1 RD @04 + 38404.50ns INFO [00038406] * RD COMPARE * port=0 adr=06 act=F0CD569508BA094392 exp=F0CD569508BA094392 + 38404.50ns INFO [00038406] Port=0 WR @01=414C6C1B81A59257C0 + 38404.50ns INFO [00038406] Port=1 RD @07 + 38405.50ns INFO [00038407] * RD COMPARE * port=1 adr=04 act=E777B38D214339674F exp=E777B38D214339674F + 38406.50ns INFO [00038408] * RD COMPARE * port=1 adr=07 act=C61FC11CBE32CDDF20 exp=C61FC11CBE32CDDF20 + 38406.50ns INFO [00038408] Port=0 WR @02=615C8D51109795688A + 38406.50ns INFO [00038408] Port=0 RD @07 + 38406.50ns INFO [00038408] Port=1 RD @05 + 38407.50ns INFO [00038409] Port=0 WR @04=CEBB30C5B2F80ED179 + 38408.50ns INFO [00038410] * RD COMPARE * port=0 adr=07 act=C61FC11CBE32CDDF20 exp=C61FC11CBE32CDDF20 + 38408.50ns INFO [00038410] * RD COMPARE * port=1 adr=05 act=E00EBAAD2EB99B104E exp=E00EBAAD2EB99B104E + 38408.50ns INFO [00038410] Port=0 WR @07=D4271BCAC212DE0C18 + 38410.50ns INFO [00038412] Port=0 WR @03=0A2081B13AC2FC14C3 + 38411.50ns INFO [00038413] Port=0 WR @06=071512B763729A56C2 + 38412.50ns INFO [00038414] Port=0 WR @04=DF66EFF273BCCE10D4 + 38412.50ns INFO [00038414] Port=0 RD @07 + 38413.50ns INFO [00038415] Port=0 WR @03=1651D2F26DD2A71068 + 38414.50ns INFO [00038416] * RD COMPARE * port=0 adr=07 act=D4271BCAC212DE0C18 exp=D4271BCAC212DE0C18 + 38414.50ns INFO [00038416] Port=0 WR @05=0BA43A1FD82D223193 + 38414.50ns INFO [00038416] Port=0 RD @00 + 38414.50ns INFO [00038416] Port=1 RD @02 + 38415.50ns INFO [00038417] Port=0 WR @05=F2478000B63B71A062 + 38416.50ns INFO [00038418] * RD COMPARE * port=0 adr=00 act=99798C89E2DCF864DB exp=99798C89E2DCF864DB + 38416.50ns INFO [00038418] * RD COMPARE * port=1 adr=02 act=615C8D51109795688A exp=615C8D51109795688A + 38416.50ns INFO [00038418] Port=0 WR @05=99DFAE96A0CBF14CE9 + 38416.50ns INFO [00038418] Port=0 RD @01 + 38416.50ns INFO [00038418] Port=1 RD @02 + 38417.50ns INFO [00038419] Port=0 WR @04=C83247BA8B286316F6 + 38418.50ns INFO [00038420] * RD COMPARE * port=0 adr=01 act=414C6C1B81A59257C0 exp=414C6C1B81A59257C0 + 38418.50ns INFO [00038420] * RD COMPARE * port=1 adr=02 act=615C8D51109795688A exp=615C8D51109795688A + 38418.50ns INFO [00038420] Port=0 WR @02=C536E4BE54FE3B8165 + 38418.50ns INFO [00038420] Port=0 RD @00 + 38419.50ns INFO [00038421] Port=0 WR @01=CEE9F8D94C4E9FB4D3 + 38419.50ns INFO [00038421] Port=0 RD @03 + 38420.50ns INFO [00038422] * RD COMPARE * port=0 adr=00 act=99798C89E2DCF864DB exp=99798C89E2DCF864DB + 38420.50ns INFO [00038422] Port=0 WR @06=C2A0AE2BA3272159E4 + 38420.50ns INFO [00038422] Port=0 RD @07 + 38421.50ns INFO [00038423] * RD COMPARE * port=0 adr=03 act=1651D2F26DD2A71068 exp=1651D2F26DD2A71068 + 38421.50ns INFO [00038423] Port=0 WR @01=993D7352C8663BEBCB + 38421.50ns INFO [00038423] Port=1 RD @03 + 38422.50ns INFO [00038424] * RD COMPARE * port=0 adr=07 act=D4271BCAC212DE0C18 exp=D4271BCAC212DE0C18 + 38422.50ns INFO [00038424] Port=0 RD @01 + 38423.50ns INFO [00038425] * RD COMPARE * port=1 adr=03 act=1651D2F26DD2A71068 exp=1651D2F26DD2A71068 + 38423.50ns INFO [00038425] Port=0 WR @06=D5CE1A91794E051761 + 38423.50ns INFO [00038425] Port=1 RD @05 + 38424.50ns INFO [00038426] * RD COMPARE * port=0 adr=01 act=993D7352C8663BEBCB exp=993D7352C8663BEBCB + 38425.50ns INFO [00038427] * RD COMPARE * port=1 adr=05 act=99DFAE96A0CBF14CE9 exp=99DFAE96A0CBF14CE9 + 38425.50ns INFO [00038427] Port=0 WR @05=82331FF4B821DB7F42 + 38426.50ns INFO [00038428] Port=0 WR @02=D3054B2758DAC8BA88 + 38426.50ns INFO [00038428] Port=0 RD @07 + 38427.50ns INFO [00038429] Port=1 RD @02 + 38428.50ns INFO [00038430] * RD COMPARE * port=0 adr=07 act=D4271BCAC212DE0C18 exp=D4271BCAC212DE0C18 + 38428.50ns INFO [00038430] Port=1 RD @03 + 38429.50ns INFO [00038431] * RD COMPARE * port=1 adr=02 act=D3054B2758DAC8BA88 exp=D3054B2758DAC8BA88 + 38429.50ns INFO [00038431] Port=0 WR @00=ABBE1D0532EA94D845 + 38430.50ns INFO [00038432] * RD COMPARE * port=1 adr=03 act=1651D2F26DD2A71068 exp=1651D2F26DD2A71068 + 38430.50ns INFO [00038432] Port=1 RD @03 + 38431.50ns INFO [00038433] Port=0 WR @05=1B26E6E7CC881FA92C + 38431.50ns INFO [00038433] Port=0 RD @04 + 38432.50ns INFO [00038434] * RD COMPARE * port=1 adr=03 act=1651D2F26DD2A71068 exp=1651D2F26DD2A71068 + 38432.50ns INFO [00038434] Port=0 WR @01=EBD0FC39EB2393C02A + 38433.50ns INFO [00038435] * RD COMPARE * port=0 adr=04 act=C83247BA8B286316F6 exp=C83247BA8B286316F6 + 38433.50ns INFO [00038435] Port=0 RD @06 + 38434.50ns INFO [00038436] Port=0 WR @04=884BD1EFEC583D8E16 + 38434.50ns INFO [00038436] Port=1 RD @06 + 38435.50ns INFO [00038437] * RD COMPARE * port=0 adr=06 act=D5CE1A91794E051761 exp=D5CE1A91794E051761 + 38435.50ns INFO [00038437] Port=0 WR @02=EEBE626394E082D192 + 38435.50ns INFO [00038437] Port=0 RD @03 + 38435.50ns INFO [00038437] Port=1 RD @05 + 38436.50ns INFO [00038438] * RD COMPARE * port=1 adr=06 act=D5CE1A91794E051761 exp=D5CE1A91794E051761 + 38436.50ns INFO [00038438] Port=0 WR @02=3C9938822C443FB454 + 38436.50ns INFO [00038438] Port=0 RD @06 + 38437.50ns INFO [00038439] * RD COMPARE * port=0 adr=03 act=1651D2F26DD2A71068 exp=1651D2F26DD2A71068 + 38437.50ns INFO [00038439] * RD COMPARE * port=1 adr=05 act=1B26E6E7CC881FA92C exp=1B26E6E7CC881FA92C + 38437.50ns INFO [00038439] Port=0 WR @05=530D68A76CFDB8010D + 38438.50ns INFO [00038440] * RD COMPARE * port=0 adr=06 act=D5CE1A91794E051761 exp=D5CE1A91794E051761 + 38438.50ns INFO [00038440] Port=1 RD @04 + 38439.50ns INFO [00038441] Port=1 RD @00 + 38440.50ns INFO [00038442] * RD COMPARE * port=1 adr=04 act=884BD1EFEC583D8E16 exp=884BD1EFEC583D8E16 + 38440.50ns INFO [00038442] Port=0 WR @05=A431328878B22BA5D2 + 38441.50ns INFO [00038443] * RD COMPARE * port=1 adr=00 act=ABBE1D0532EA94D845 exp=ABBE1D0532EA94D845 + 38443.50ns INFO [00038445] Port=0 RD @02 + 38443.50ns INFO [00038445] Port=1 RD @03 + 38444.50ns INFO [00038446] Port=0 RD @02 + 38445.50ns INFO [00038447] * RD COMPARE * port=0 adr=02 act=3C9938822C443FB454 exp=3C9938822C443FB454 + 38445.50ns INFO [00038447] * RD COMPARE * port=1 adr=03 act=1651D2F26DD2A71068 exp=1651D2F26DD2A71068 + 38446.50ns INFO [00038448] * RD COMPARE * port=0 adr=02 act=3C9938822C443FB454 exp=3C9938822C443FB454 + 38446.50ns INFO [00038448] Port=1 RD @06 + 38447.50ns INFO [00038449] Port=0 WR @02=8BFD035C8CFEDD6589 + 38447.50ns INFO [00038449] Port=0 RD @03 + 38447.50ns INFO [00038449] Port=1 RD @06 + 38448.50ns INFO [00038450] * RD COMPARE * port=1 adr=06 act=D5CE1A91794E051761 exp=D5CE1A91794E051761 + 38448.50ns INFO [00038450] Port=0 RD @02 + 38449.50ns INFO [00038451] * RD COMPARE * port=0 adr=03 act=1651D2F26DD2A71068 exp=1651D2F26DD2A71068 + 38449.50ns INFO [00038451] * RD COMPARE * port=1 adr=06 act=D5CE1A91794E051761 exp=D5CE1A91794E051761 + 38449.50ns INFO [00038451] Port=0 WR @04=7C52E3A789874C8375 + 38450.50ns INFO [00038452] * RD COMPARE * port=0 adr=02 act=8BFD035C8CFEDD6589 exp=8BFD035C8CFEDD6589 + 38451.50ns INFO [00038453] Port=0 RD @01 + 38452.50ns INFO [00038454] Port=0 WR @01=B58328474DFCD5977A + 38453.50ns INFO [00038455] * RD COMPARE * port=0 adr=01 act=EBD0FC39EB2393C02A exp=EBD0FC39EB2393C02A + 38453.50ns INFO [00038455] Port=1 RD @03 + 38454.50ns INFO [00038456] Port=0 WR @03=704BD6DCFF50100982 + 38454.50ns INFO [00038456] Port=1 RD @06 + 38455.50ns INFO [00038457] * RD COMPARE * port=1 adr=03 act=1651D2F26DD2A71068 exp=1651D2F26DD2A71068 + 38456.50ns INFO [00038458] * RD COMPARE * port=1 adr=06 act=D5CE1A91794E051761 exp=D5CE1A91794E051761 + 38456.50ns INFO [00038458] Port=0 WR @02=962BE76CDCD466D5C3 + 38456.50ns INFO [00038458] Port=0 RD @00 + 38457.50ns INFO [00038459] Port=0 WR @01=F72F2420ED3C90E952 + 38458.50ns INFO [00038460] * RD COMPARE * port=0 adr=00 act=ABBE1D0532EA94D845 exp=ABBE1D0532EA94D845 + 38459.50ns INFO [00038461] Port=0 RD @03 + 38460.50ns INFO [00038462] Port=0 RD @07 + 38460.50ns INFO [00038462] Port=1 RD @06 + 38461.50ns INFO [00038463] * RD COMPARE * port=0 adr=03 act=704BD6DCFF50100982 exp=704BD6DCFF50100982 + 38461.50ns INFO [00038463] Port=0 RD @01 + 38462.50ns INFO [00038464] * RD COMPARE * port=0 adr=07 act=D4271BCAC212DE0C18 exp=D4271BCAC212DE0C18 + 38462.50ns INFO [00038464] * RD COMPARE * port=1 adr=06 act=D5CE1A91794E051761 exp=D5CE1A91794E051761 + 38463.50ns INFO [00038465] * RD COMPARE * port=0 adr=01 act=F72F2420ED3C90E952 exp=F72F2420ED3C90E952 + 38463.50ns INFO [00038465] Port=0 WR @06=06D4835B3BBF1988A2 + 38465.50ns INFO [00038467] Port=0 RD @01 + 38465.50ns INFO [00038467] Port=1 RD @07 + 38467.50ns INFO [00038469] * RD COMPARE * port=0 adr=01 act=F72F2420ED3C90E952 exp=F72F2420ED3C90E952 + 38467.50ns INFO [00038469] * RD COMPARE * port=1 adr=07 act=D4271BCAC212DE0C18 exp=D4271BCAC212DE0C18 + 38467.50ns INFO [00038469] Port=0 RD @02 + 38469.50ns INFO [00038471] * RD COMPARE * port=0 adr=02 act=962BE76CDCD466D5C3 exp=962BE76CDCD466D5C3 + 38469.50ns INFO [00038471] Port=0 WR @01=EC1492D83FEE83F3C8 + 38470.50ns INFO [00038472] Port=0 RD @00 + 38470.50ns INFO [00038472] Port=1 RD @07 + 38471.50ns INFO [00038473] Port=0 RD @04 + 38472.50ns INFO [00038474] * RD COMPARE * port=0 adr=00 act=ABBE1D0532EA94D845 exp=ABBE1D0532EA94D845 + 38472.50ns INFO [00038474] * RD COMPARE * port=1 adr=07 act=D4271BCAC212DE0C18 exp=D4271BCAC212DE0C18 + 38472.50ns INFO [00038474] Port=0 RD @03 + 38473.50ns INFO [00038475] * RD COMPARE * port=0 adr=04 act=7C52E3A789874C8375 exp=7C52E3A789874C8375 + 38473.50ns INFO [00038475] Port=0 WR @05=976716DE941DA077B0 + 38473.50ns INFO [00038475] Port=1 RD @03 + 38474.50ns INFO [00038476] * RD COMPARE * port=0 adr=03 act=704BD6DCFF50100982 exp=704BD6DCFF50100982 + 38474.50ns INFO [00038476] Port=0 WR @01=DFF482E8A37B0EA4A0 + 38475.50ns INFO [00038477] * RD COMPARE * port=1 adr=03 act=704BD6DCFF50100982 exp=704BD6DCFF50100982 + 38475.50ns INFO [00038477] Port=1 RD @03 + 38477.50ns INFO [00038479] * RD COMPARE * port=1 adr=03 act=704BD6DCFF50100982 exp=704BD6DCFF50100982 + 38477.50ns INFO [00038479] Port=0 WR @07=4D9B23523F779DA1AE + 38478.50ns INFO [00038480] Port=1 RD @01 + 38480.50ns INFO [00038482] * RD COMPARE * port=1 adr=01 act=DFF482E8A37B0EA4A0 exp=DFF482E8A37B0EA4A0 + 38481.50ns INFO [00038483] Port=0 WR @02=20DC6629CB3B0A59BF + 38482.50ns INFO [00038484] Port=0 WR @00=FF822AF07AE34114EF + 38482.50ns INFO [00038484] Port=1 RD @03 + 38484.50ns INFO [00038486] * RD COMPARE * port=1 adr=03 act=704BD6DCFF50100982 exp=704BD6DCFF50100982 + 38484.50ns INFO [00038486] Port=0 WR @01=7845931F719129932B + 38484.50ns INFO [00038486] Port=1 RD @06 + 38485.50ns INFO [00038487] Port=0 WR @06=A76A3C5563348B6369 + 38486.50ns INFO [00038488] * RD COMPARE * port=1 adr=06 act=06D4835B3BBF1988A2 exp=06D4835B3BBF1988A2 + 38487.50ns INFO [00038489] Port=0 WR @00=9DD4C0C19D8624EFAF + 38487.50ns INFO [00038489] Port=1 RD @01 + 38488.50ns INFO [00038490] Port=0 RD @01 + 38488.50ns INFO [00038490] Port=1 RD @02 + 38489.50ns INFO [00038491] * RD COMPARE * port=1 adr=01 act=7845931F719129932B exp=7845931F719129932B + 38489.50ns INFO [00038491] Port=1 RD @04 + 38490.50ns INFO [00038492] * RD COMPARE * port=0 adr=01 act=7845931F719129932B exp=7845931F719129932B + 38490.50ns INFO [00038492] * RD COMPARE * port=1 adr=02 act=20DC6629CB3B0A59BF exp=20DC6629CB3B0A59BF + 38490.50ns INFO [00038492] Port=0 WR @02=A718A96FF03ADFEF13 + 38491.50ns INFO [00038493] * RD COMPARE * port=1 adr=04 act=7C52E3A789874C8375 exp=7C52E3A789874C8375 + 38491.50ns INFO [00038493] Port=0 RD @02 + 38491.50ns INFO [00038493] Port=1 RD @00 + 38493.50ns INFO [00038495] * RD COMPARE * port=0 adr=02 act=A718A96FF03ADFEF13 exp=A718A96FF03ADFEF13 + 38493.50ns INFO [00038495] * RD COMPARE * port=1 adr=00 act=9DD4C0C19D8624EFAF exp=9DD4C0C19D8624EFAF + 38493.50ns INFO [00038495] Port=1 RD @02 + 38494.50ns INFO [00038496] Port=0 RD @02 + 38495.50ns INFO [00038497] * RD COMPARE * port=1 adr=02 act=A718A96FF03ADFEF13 exp=A718A96FF03ADFEF13 + 38495.50ns INFO [00038497] Port=0 RD @02 + 38495.50ns INFO [00038497] Port=1 RD @06 + 38496.50ns INFO [00038498] * RD COMPARE * port=0 adr=02 act=A718A96FF03ADFEF13 exp=A718A96FF03ADFEF13 + 38497.50ns INFO [00038499] * RD COMPARE * port=0 adr=02 act=A718A96FF03ADFEF13 exp=A718A96FF03ADFEF13 + 38497.50ns INFO [00038499] * RD COMPARE * port=1 adr=06 act=A76A3C5563348B6369 exp=A76A3C5563348B6369 + 38497.50ns INFO [00038499] Port=0 RD @00 + 38497.50ns INFO [00038499] Port=1 RD @05 + 38498.00ns INFO [00038500] [00038500] ...tick... + 38498.50ns INFO [00038500] Port=0 WR @02=EFED44D2D7766C7648 + 38498.50ns INFO [00038500] Port=1 RD @03 + 38499.50ns INFO [00038501] * RD COMPARE * port=0 adr=00 act=9DD4C0C19D8624EFAF exp=9DD4C0C19D8624EFAF + 38499.50ns INFO [00038501] * RD COMPARE * port=1 adr=05 act=976716DE941DA077B0 exp=976716DE941DA077B0 + 38499.50ns INFO [00038501] Port=0 WR @01=94CAC0D000B035BB2E + 38499.50ns INFO [00038501] Port=1 RD @02 + 38500.50ns INFO [00038502] * RD COMPARE * port=1 adr=03 act=704BD6DCFF50100982 exp=704BD6DCFF50100982 + 38500.50ns INFO [00038502] Port=0 RD @03 + 38501.50ns INFO [00038503] * RD COMPARE * port=1 adr=02 act=EFED44D2D7766C7648 exp=EFED44D2D7766C7648 + 38501.50ns INFO [00038503] Port=0 WR @05=C12B237B7E0F4C4234 + 38502.50ns INFO [00038504] * RD COMPARE * port=0 adr=03 act=704BD6DCFF50100982 exp=704BD6DCFF50100982 + 38502.50ns INFO [00038504] Port=1 RD @00 + 38503.50ns INFO [00038505] Port=0 WR @06=49136A2B72CC04872A + 38503.50ns INFO [00038505] Port=0 RD @01 + 38503.50ns INFO [00038505] Port=1 RD @05 + 38504.50ns INFO [00038506] * RD COMPARE * port=1 adr=00 act=9DD4C0C19D8624EFAF exp=9DD4C0C19D8624EFAF + 38505.50ns INFO [00038507] * RD COMPARE * port=0 adr=01 act=94CAC0D000B035BB2E exp=94CAC0D000B035BB2E + 38505.50ns INFO [00038507] * RD COMPARE * port=1 adr=05 act=C12B237B7E0F4C4234 exp=C12B237B7E0F4C4234 + 38507.50ns INFO [00038509] Port=1 RD @05 + 38508.50ns INFO [00038510] Port=0 RD @03 + 38508.50ns INFO [00038510] Port=1 RD @04 + 38509.50ns INFO [00038511] * RD COMPARE * port=1 adr=05 act=C12B237B7E0F4C4234 exp=C12B237B7E0F4C4234 + 38510.50ns INFO [00038512] * RD COMPARE * port=0 adr=03 act=704BD6DCFF50100982 exp=704BD6DCFF50100982 + 38510.50ns INFO [00038512] * RD COMPARE * port=1 adr=04 act=7C52E3A789874C8375 exp=7C52E3A789874C8375 + 38510.50ns INFO [00038512] Port=0 RD @04 + 38510.50ns INFO [00038512] Port=1 RD @01 + 38511.50ns INFO [00038513] Port=0 WR @01=55BF75A3BE4FDDEC68 + 38511.50ns INFO [00038513] Port=0 RD @04 + 38511.50ns INFO [00038513] Port=1 RD @05 + 38512.50ns INFO [00038514] * RD COMPARE * port=0 adr=04 act=7C52E3A789874C8375 exp=7C52E3A789874C8375 + 38512.50ns INFO [00038514] * RD COMPARE * port=1 adr=01 act=94CAC0D000B035BB2E exp=94CAC0D000B035BB2E + 38512.50ns INFO [00038514] Port=0 WR @05=1BDC61559FD0A07C1C + 38513.50ns INFO [00038515] * RD COMPARE * port=0 adr=04 act=7C52E3A789874C8375 exp=7C52E3A789874C8375 + 38513.50ns INFO [00038515] * RD COMPARE * port=1 adr=05 act=C12B237B7E0F4C4234 exp=C12B237B7E0F4C4234 + 38513.50ns INFO [00038515] Port=1 RD @00 + 38514.50ns INFO [00038516] Port=0 WR @02=F1815939B9EDD5229F + 38514.50ns INFO [00038516] Port=1 RD @03 + 38515.50ns INFO [00038517] * RD COMPARE * port=1 adr=00 act=9DD4C0C19D8624EFAF exp=9DD4C0C19D8624EFAF + 38516.50ns INFO [00038518] * RD COMPARE * port=1 adr=03 act=704BD6DCFF50100982 exp=704BD6DCFF50100982 + 38516.50ns INFO [00038518] Port=0 RD @02 + 38517.50ns INFO [00038519] Port=0 WR @07=692FEA7A65976F52E5 + 38517.50ns INFO [00038519] Port=0 RD @01 + 38518.50ns INFO [00038520] * RD COMPARE * port=0 adr=02 act=F1815939B9EDD5229F exp=F1815939B9EDD5229F + 38518.50ns INFO [00038520] Port=0 RD @01 + 38518.50ns INFO [00038520] Port=1 RD @04 + 38519.50ns INFO [00038521] * RD COMPARE * port=0 adr=01 act=55BF75A3BE4FDDEC68 exp=55BF75A3BE4FDDEC68 + 38519.50ns INFO [00038521] Port=0 WR @05=C3327C0A3C6686C5F6 + 38519.50ns INFO [00038521] Port=1 RD @06 + 38520.50ns INFO [00038522] * RD COMPARE * port=0 adr=01 act=55BF75A3BE4FDDEC68 exp=55BF75A3BE4FDDEC68 + 38520.50ns INFO [00038522] * RD COMPARE * port=1 adr=04 act=7C52E3A789874C8375 exp=7C52E3A789874C8375 + 38520.50ns INFO [00038522] Port=0 WR @03=9E3AFB760C00EA0A05 + 38520.50ns INFO [00038522] Port=0 RD @01 + 38521.50ns INFO [00038523] * RD COMPARE * port=1 adr=06 act=49136A2B72CC04872A exp=49136A2B72CC04872A + 38521.50ns INFO [00038523] Port=0 RD @03 + 38521.50ns INFO [00038523] Port=1 RD @02 + 38522.50ns INFO [00038524] * RD COMPARE * port=0 adr=01 act=55BF75A3BE4FDDEC68 exp=55BF75A3BE4FDDEC68 + 38523.50ns INFO [00038525] * RD COMPARE * port=0 adr=03 act=9E3AFB760C00EA0A05 exp=9E3AFB760C00EA0A05 + 38523.50ns INFO [00038525] * RD COMPARE * port=1 adr=02 act=F1815939B9EDD5229F exp=F1815939B9EDD5229F + 38523.50ns INFO [00038525] Port=1 RD @04 + 38524.50ns INFO [00038526] Port=0 WR @06=C10705F41B3CB3B59E + 38524.50ns INFO [00038526] Port=1 RD @05 + 38525.50ns INFO [00038527] * RD COMPARE * port=1 adr=04 act=7C52E3A789874C8375 exp=7C52E3A789874C8375 + 38525.50ns INFO [00038527] Port=0 WR @02=9FC716AA158A33A4FF + 38525.50ns INFO [00038527] Port=0 RD @04 + 38526.50ns INFO [00038528] * RD COMPARE * port=1 adr=05 act=C3327C0A3C6686C5F6 exp=C3327C0A3C6686C5F6 + 38526.50ns INFO [00038528] Port=1 RD @07 + 38527.50ns INFO [00038529] * RD COMPARE * port=0 adr=04 act=7C52E3A789874C8375 exp=7C52E3A789874C8375 + 38528.50ns INFO [00038530] * RD COMPARE * port=1 adr=07 act=692FEA7A65976F52E5 exp=692FEA7A65976F52E5 + 38530.50ns INFO [00038532] Port=0 RD @00 + 38530.50ns INFO [00038532] Port=1 RD @01 + 38532.50ns INFO [00038534] * RD COMPARE * port=0 adr=00 act=9DD4C0C19D8624EFAF exp=9DD4C0C19D8624EFAF + 38532.50ns INFO [00038534] * RD COMPARE * port=1 adr=01 act=55BF75A3BE4FDDEC68 exp=55BF75A3BE4FDDEC68 + 38532.50ns INFO [00038534] Port=1 RD @06 + 38534.50ns INFO [00038536] * RD COMPARE * port=1 adr=06 act=C10705F41B3CB3B59E exp=C10705F41B3CB3B59E + 38534.50ns INFO [00038536] Port=0 RD @06 + 38535.50ns INFO [00038537] Port=0 RD @05 + 38536.50ns INFO [00038538] * RD COMPARE * port=0 adr=06 act=C10705F41B3CB3B59E exp=C10705F41B3CB3B59E + 38536.50ns INFO [00038538] Port=1 RD @06 + 38537.50ns INFO [00038539] * RD COMPARE * port=0 adr=05 act=C3327C0A3C6686C5F6 exp=C3327C0A3C6686C5F6 + 38537.50ns INFO [00038539] Port=1 RD @00 + 38538.50ns INFO [00038540] * RD COMPARE * port=1 adr=06 act=C10705F41B3CB3B59E exp=C10705F41B3CB3B59E + 38538.50ns INFO [00038540] Port=0 WR @07=E38DD24DCEB2EC6AAD + 38538.50ns INFO [00038540] Port=0 RD @01 + 38539.50ns INFO [00038541] * RD COMPARE * port=1 adr=00 act=9DD4C0C19D8624EFAF exp=9DD4C0C19D8624EFAF + 38539.50ns INFO [00038541] Port=0 RD @07 + 38539.50ns INFO [00038541] Port=1 RD @06 + 38540.50ns INFO [00038542] * RD COMPARE * port=0 adr=01 act=55BF75A3BE4FDDEC68 exp=55BF75A3BE4FDDEC68 + 38541.50ns INFO [00038543] * RD COMPARE * port=0 adr=07 act=E38DD24DCEB2EC6AAD exp=E38DD24DCEB2EC6AAD + 38541.50ns INFO [00038543] * RD COMPARE * port=1 adr=06 act=C10705F41B3CB3B59E exp=C10705F41B3CB3B59E + 38542.50ns INFO [00038544] Port=0 RD @01 + 38543.50ns INFO [00038545] Port=0 WR @05=105A7FD2CC15221BF6 + 38543.50ns INFO [00038545] Port=1 RD @02 + 38544.50ns INFO [00038546] * RD COMPARE * port=0 adr=01 act=55BF75A3BE4FDDEC68 exp=55BF75A3BE4FDDEC68 + 38544.50ns INFO [00038546] Port=0 RD @01 + 38545.50ns INFO [00038547] * RD COMPARE * port=1 adr=02 act=9FC716AA158A33A4FF exp=9FC716AA158A33A4FF + 38545.50ns INFO [00038547] Port=0 RD @06 + 38545.50ns INFO [00038547] Port=1 RD @05 + 38546.50ns INFO [00038548] * RD COMPARE * port=0 adr=01 act=55BF75A3BE4FDDEC68 exp=55BF75A3BE4FDDEC68 + 38547.50ns INFO [00038549] * RD COMPARE * port=0 adr=06 act=C10705F41B3CB3B59E exp=C10705F41B3CB3B59E + 38547.50ns INFO [00038549] * RD COMPARE * port=1 adr=05 act=105A7FD2CC15221BF6 exp=105A7FD2CC15221BF6 + 38547.50ns INFO [00038549] Port=0 WR @06=B4C9A508CF7A07CED2 + 38547.50ns INFO [00038549] Port=1 RD @03 + 38549.50ns INFO [00038551] * RD COMPARE * port=1 adr=03 act=9E3AFB760C00EA0A05 exp=9E3AFB760C00EA0A05 + 38549.50ns INFO [00038551] Port=1 RD @00 + 38551.50ns INFO [00038553] * RD COMPARE * port=1 adr=00 act=9DD4C0C19D8624EFAF exp=9DD4C0C19D8624EFAF + 38551.50ns INFO [00038553] Port=0 WR @06=BDD35E2C6D95A946C3 + 38551.50ns INFO [00038553] Port=1 RD @05 + 38552.50ns INFO [00038554] Port=0 RD @01 + 38552.50ns INFO [00038554] Port=1 RD @04 + 38553.50ns INFO [00038555] * RD COMPARE * port=1 adr=05 act=105A7FD2CC15221BF6 exp=105A7FD2CC15221BF6 + 38553.50ns INFO [00038555] Port=0 WR @01=59987DF35EF525057D + 38554.50ns INFO [00038556] * RD COMPARE * port=0 adr=01 act=55BF75A3BE4FDDEC68 exp=55BF75A3BE4FDDEC68 + 38554.50ns INFO [00038556] * RD COMPARE * port=1 adr=04 act=7C52E3A789874C8375 exp=7C52E3A789874C8375 + 38555.50ns INFO [00038557] Port=0 WR @03=B7D8D039AAE725BB8F + 38557.50ns INFO [00038559] Port=1 RD @07 + 38558.50ns INFO [00038560] Port=0 WR @03=E0A330AC6F969A5920 + 38559.50ns INFO [00038561] * RD COMPARE * port=1 adr=07 act=E38DD24DCEB2EC6AAD exp=E38DD24DCEB2EC6AAD + 38559.50ns INFO [00038561] Port=0 RD @02 + 38560.50ns INFO [00038562] Port=0 WR @06=477A24611F8EC61D45 + 38560.50ns INFO [00038562] Port=0 RD @01 + 38561.50ns INFO [00038563] * RD COMPARE * port=0 adr=02 act=9FC716AA158A33A4FF exp=9FC716AA158A33A4FF + 38561.50ns INFO [00038563] Port=1 RD @05 + 38562.50ns INFO [00038564] * RD COMPARE * port=0 adr=01 act=59987DF35EF525057D exp=59987DF35EF525057D + 38562.50ns INFO [00038564] Port=1 RD @03 + 38563.50ns INFO [00038565] * RD COMPARE * port=1 adr=05 act=105A7FD2CC15221BF6 exp=105A7FD2CC15221BF6 + 38564.50ns INFO [00038566] * RD COMPARE * port=1 adr=03 act=E0A330AC6F969A5920 exp=E0A330AC6F969A5920 + 38564.50ns INFO [00038566] Port=0 WR @01=35A2C75FFF820C4BE0 + 38565.50ns INFO [00038567] Port=0 RD @06 + 38566.50ns INFO [00038568] Port=0 WR @00=B67A46A4FB290E471C + 38567.50ns INFO [00038569] * RD COMPARE * port=0 adr=06 act=477A24611F8EC61D45 exp=477A24611F8EC61D45 + 38567.50ns INFO [00038569] Port=0 RD @06 + 38567.50ns INFO [00038569] Port=1 RD @04 + 38568.50ns INFO [00038570] Port=1 RD @01 + 38569.50ns INFO [00038571] * RD COMPARE * port=0 adr=06 act=477A24611F8EC61D45 exp=477A24611F8EC61D45 + 38569.50ns INFO [00038571] * RD COMPARE * port=1 adr=04 act=7C52E3A789874C8375 exp=7C52E3A789874C8375 + 38569.50ns INFO [00038571] Port=1 RD @06 + 38570.50ns INFO [00038572] * RD COMPARE * port=1 adr=01 act=35A2C75FFF820C4BE0 exp=35A2C75FFF820C4BE0 + 38571.50ns INFO [00038573] * RD COMPARE * port=1 adr=06 act=477A24611F8EC61D45 exp=477A24611F8EC61D45 + 38571.50ns INFO [00038573] Port=0 WR @02=C5EF5D314D3231A007 + 38572.50ns INFO [00038574] Port=0 RD @07 + 38573.50ns INFO [00038575] Port=0 WR @03=D72BF4E418BF54C6BD + 38573.50ns INFO [00038575] Port=1 RD @07 + 38574.50ns INFO [00038576] * RD COMPARE * port=0 adr=07 act=E38DD24DCEB2EC6AAD exp=E38DD24DCEB2EC6AAD + 38574.50ns INFO [00038576] Port=0 WR @04=9147E2478F2BB64758 + 38575.50ns INFO [00038577] * RD COMPARE * port=1 adr=07 act=E38DD24DCEB2EC6AAD exp=E38DD24DCEB2EC6AAD + 38575.50ns INFO [00038577] Port=0 RD @02 + 38576.50ns INFO [00038578] Port=0 WR @02=5721BA4560F57DFA66 + 38576.50ns INFO [00038578] Port=0 RD @07 + 38577.50ns INFO [00038579] * RD COMPARE * port=0 adr=02 act=C5EF5D314D3231A007 exp=C5EF5D314D3231A007 + 38578.50ns INFO [00038580] * RD COMPARE * port=0 adr=07 act=E38DD24DCEB2EC6AAD exp=E38DD24DCEB2EC6AAD + 38578.50ns INFO [00038580] Port=0 WR @03=5C9F5A69129CFA3B8E + 38580.50ns INFO [00038582] Port=1 RD @05 + 38581.50ns INFO [00038583] Port=0 WR @00=51F9B7B92075291BB3 + 38582.50ns INFO [00038584] * RD COMPARE * port=1 adr=05 act=105A7FD2CC15221BF6 exp=105A7FD2CC15221BF6 + 38582.50ns INFO [00038584] Port=0 WR @05=CB5DC86B77218116FE + 38582.50ns INFO [00038584] Port=1 RD @00 + 38583.50ns INFO [00038585] Port=0 WR @03=46A8B293B2C2F659F1 + 38583.50ns INFO [00038585] Port=0 RD @04 + 38583.50ns INFO [00038585] Port=1 RD @07 + 38584.50ns INFO [00038586] * RD COMPARE * port=1 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38584.50ns INFO [00038586] Port=0 WR @03=0A4318B23FD265AA80 + 38585.50ns INFO [00038587] * RD COMPARE * port=0 adr=04 act=9147E2478F2BB64758 exp=9147E2478F2BB64758 + 38585.50ns INFO [00038587] * RD COMPARE * port=1 adr=07 act=E38DD24DCEB2EC6AAD exp=E38DD24DCEB2EC6AAD + 38585.50ns INFO [00038587] Port=0 RD @04 + 38586.50ns INFO [00038588] Port=1 RD @05 + 38587.50ns INFO [00038589] * RD COMPARE * port=0 adr=04 act=9147E2478F2BB64758 exp=9147E2478F2BB64758 + 38587.50ns INFO [00038589] Port=0 RD @00 + 38587.50ns INFO [00038589] Port=1 RD @04 + 38588.50ns INFO [00038590] * RD COMPARE * port=1 adr=05 act=CB5DC86B77218116FE exp=CB5DC86B77218116FE + 38589.50ns INFO [00038591] * RD COMPARE * port=0 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38589.50ns INFO [00038591] * RD COMPARE * port=1 adr=04 act=9147E2478F2BB64758 exp=9147E2478F2BB64758 + 38589.50ns INFO [00038591] Port=0 WR @02=436A6492B5BEDDB63D + 38589.50ns INFO [00038591] Port=0 RD @00 + 38589.50ns INFO [00038591] Port=1 RD @03 + 38590.50ns INFO [00038592] Port=1 RD @03 + 38591.50ns INFO [00038593] * RD COMPARE * port=0 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38591.50ns INFO [00038593] * RD COMPARE * port=1 adr=03 act=0A4318B23FD265AA80 exp=0A4318B23FD265AA80 + 38591.50ns INFO [00038593] Port=0 WR @06=04A46360D92EB381B9 + 38592.50ns INFO [00038594] * RD COMPARE * port=1 adr=03 act=0A4318B23FD265AA80 exp=0A4318B23FD265AA80 + 38592.50ns INFO [00038594] Port=0 WR @01=E514F947605E587E50 + 38593.50ns INFO [00038595] Port=0 WR @07=70476326B510289AD0 + 38593.50ns INFO [00038595] Port=1 RD @03 + 38594.50ns INFO [00038596] Port=0 WR @06=6021F25BC8402BC7A5 + 38594.50ns INFO [00038596] Port=1 RD @07 + 38595.50ns INFO [00038597] * RD COMPARE * port=1 adr=03 act=0A4318B23FD265AA80 exp=0A4318B23FD265AA80 + 38595.50ns INFO [00038597] Port=0 WR @01=254FF2D103E1264BF2 + 38595.50ns INFO [00038597] Port=1 RD @05 + 38596.50ns INFO [00038598] * RD COMPARE * port=1 adr=07 act=70476326B510289AD0 exp=70476326B510289AD0 + 38596.50ns INFO [00038598] Port=1 RD @07 + 38597.50ns INFO [00038599] * RD COMPARE * port=1 adr=05 act=CB5DC86B77218116FE exp=CB5DC86B77218116FE + 38598.00ns INFO [00038600] [00038600] ...tick... + 38598.50ns INFO [00038600] * RD COMPARE * port=1 adr=07 act=70476326B510289AD0 exp=70476326B510289AD0 + 38598.50ns INFO [00038600] Port=0 RD @00 + 38598.50ns INFO [00038600] Port=1 RD @06 + 38599.50ns INFO [00038601] Port=0 WR @07=2679D9F8D3F567151A + 38599.50ns INFO [00038601] Port=1 RD @01 + 38600.50ns INFO [00038602] * RD COMPARE * port=0 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38600.50ns INFO [00038602] * RD COMPARE * port=1 adr=06 act=6021F25BC8402BC7A5 exp=6021F25BC8402BC7A5 + 38600.50ns INFO [00038602] Port=0 RD @00 + 38601.50ns INFO [00038603] * RD COMPARE * port=1 adr=01 act=254FF2D103E1264BF2 exp=254FF2D103E1264BF2 + 38601.50ns INFO [00038603] Port=0 WR @04=137BA9415F041CBC30 + 38601.50ns INFO [00038603] Port=1 RD @00 + 38602.50ns INFO [00038604] * RD COMPARE * port=0 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38602.50ns INFO [00038604] Port=0 WR @04=CB874B95DA30D0BDDC + 38602.50ns INFO [00038604] Port=1 RD @06 + 38603.50ns INFO [00038605] * RD COMPARE * port=1 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38603.50ns INFO [00038605] Port=0 WR @05=2EFAAC3A4E86E15B28 + 38604.50ns INFO [00038606] * RD COMPARE * port=1 adr=06 act=6021F25BC8402BC7A5 exp=6021F25BC8402BC7A5 + 38604.50ns INFO [00038606] Port=0 RD @05 + 38604.50ns INFO [00038606] Port=1 RD @07 + 38605.50ns INFO [00038607] Port=0 WR @07=D890A160AB548BF9ED + 38605.50ns INFO [00038607] Port=0 RD @01 + 38606.50ns INFO [00038608] * RD COMPARE * port=0 adr=05 act=2EFAAC3A4E86E15B28 exp=2EFAAC3A4E86E15B28 + 38606.50ns INFO [00038608] * RD COMPARE * port=1 adr=07 act=2679D9F8D3F567151A exp=2679D9F8D3F567151A + 38606.50ns INFO [00038608] Port=0 WR @05=0EAA0AD275CE0F5FFE + 38606.50ns INFO [00038608] Port=1 RD @00 + 38607.50ns INFO [00038609] * RD COMPARE * port=0 adr=01 act=254FF2D103E1264BF2 exp=254FF2D103E1264BF2 + 38608.50ns INFO [00038610] * RD COMPARE * port=1 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38608.50ns INFO [00038610] Port=0 RD @07 + 38609.50ns INFO [00038611] Port=0 WR @04=0671766E81037081A7 + 38609.50ns INFO [00038611] Port=0 RD @00 + 38610.50ns INFO [00038612] * RD COMPARE * port=0 adr=07 act=D890A160AB548BF9ED exp=D890A160AB548BF9ED + 38610.50ns INFO [00038612] Port=0 RD @00 + 38610.50ns INFO [00038612] Port=1 RD @04 + 38611.50ns INFO [00038613] * RD COMPARE * port=0 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38612.50ns INFO [00038614] * RD COMPARE * port=0 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38612.50ns INFO [00038614] * RD COMPARE * port=1 adr=04 act=0671766E81037081A7 exp=0671766E81037081A7 + 38613.50ns INFO [00038615] Port=0 RD @06 + 38614.50ns INFO [00038616] Port=0 WR @02=D50DC7F14DFD4ADA51 + 38615.50ns INFO [00038617] * RD COMPARE * port=0 adr=06 act=6021F25BC8402BC7A5 exp=6021F25BC8402BC7A5 + 38615.50ns INFO [00038617] Port=0 RD @02 + 38616.50ns INFO [00038618] Port=0 RD @00 + 38616.50ns INFO [00038618] Port=1 RD @04 + 38617.50ns INFO [00038619] * RD COMPARE * port=0 adr=02 act=D50DC7F14DFD4ADA51 exp=D50DC7F14DFD4ADA51 + 38617.50ns INFO [00038619] Port=1 RD @01 + 38618.50ns INFO [00038620] * RD COMPARE * port=0 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38618.50ns INFO [00038620] * RD COMPARE * port=1 adr=04 act=0671766E81037081A7 exp=0671766E81037081A7 + 38618.50ns INFO [00038620] Port=0 RD @06 + 38618.50ns INFO [00038620] Port=1 RD @00 + 38619.50ns INFO [00038621] * RD COMPARE * port=1 adr=01 act=254FF2D103E1264BF2 exp=254FF2D103E1264BF2 + 38619.50ns INFO [00038621] Port=0 WR @01=15E96E9BDDB04DF6E2 + 38619.50ns INFO [00038621] Port=0 RD @06 + 38619.50ns INFO [00038621] Port=1 RD @07 + 38620.50ns INFO [00038622] * RD COMPARE * port=0 adr=06 act=6021F25BC8402BC7A5 exp=6021F25BC8402BC7A5 + 38620.50ns INFO [00038622] * RD COMPARE * port=1 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38620.50ns INFO [00038622] Port=0 RD @04 + 38620.50ns INFO [00038622] Port=1 RD @00 + 38621.50ns INFO [00038623] * RD COMPARE * port=0 adr=06 act=6021F25BC8402BC7A5 exp=6021F25BC8402BC7A5 + 38621.50ns INFO [00038623] * RD COMPARE * port=1 adr=07 act=D890A160AB548BF9ED exp=D890A160AB548BF9ED + 38621.50ns INFO [00038623] Port=1 RD @07 + 38622.50ns INFO [00038624] * RD COMPARE * port=0 adr=04 act=0671766E81037081A7 exp=0671766E81037081A7 + 38622.50ns INFO [00038624] * RD COMPARE * port=1 adr=00 act=51F9B7B92075291BB3 exp=51F9B7B92075291BB3 + 38622.50ns INFO [00038624] Port=0 WR @05=A63A44D3698BFAC5EB + 38623.50ns INFO [00038625] * RD COMPARE * port=1 adr=07 act=D890A160AB548BF9ED exp=D890A160AB548BF9ED + 38623.50ns INFO [00038625] Port=1 RD @04 + 38624.50ns INFO [00038626] Port=0 WR @07=2652481966158B3DFE + 38625.50ns INFO [00038627] * RD COMPARE * port=1 adr=04 act=0671766E81037081A7 exp=0671766E81037081A7 + 38625.50ns INFO [00038627] Port=0 RD @01 + 38627.50ns INFO [00038629] * RD COMPARE * port=0 adr=01 act=15E96E9BDDB04DF6E2 exp=15E96E9BDDB04DF6E2 + 38627.50ns INFO [00038629] Port=0 WR @06=329DDD35C5767C51FC + 38628.50ns INFO [00038630] Port=0 WR @03=38DCBADA2B4B788302 + 38629.50ns INFO [00038631] Port=0 RD @03 + 38630.50ns INFO [00038632] Port=0 WR @07=8A3AE20428F92C5863 + 38631.50ns INFO [00038633] * RD COMPARE * port=0 adr=03 act=38DCBADA2B4B788302 exp=38DCBADA2B4B788302 + 38631.50ns INFO [00038633] Port=0 WR @01=CC243E67FD7E9576C8 + 38632.50ns INFO [00038634] Port=0 WR @00=2109DF85DE4DDF3953 + 38632.50ns INFO [00038634] Port=0 RD @01 + 38633.50ns INFO [00038635] Port=0 WR @00=8FE888E63349459206 + 38633.50ns INFO [00038635] Port=0 RD @04 + 38634.50ns INFO [00038636] * RD COMPARE * port=0 adr=01 act=CC243E67FD7E9576C8 exp=CC243E67FD7E9576C8 + 38634.50ns INFO [00038636] Port=0 RD @00 + 38634.50ns INFO [00038636] Port=1 RD @07 + 38635.50ns INFO [00038637] * RD COMPARE * port=0 adr=04 act=0671766E81037081A7 exp=0671766E81037081A7 + 38635.50ns INFO [00038637] Port=0 WR @06=081E8646F1B0939145 + 38635.50ns INFO [00038637] Port=0 RD @00 + 38636.50ns INFO [00038638] * RD COMPARE * port=0 adr=00 act=8FE888E63349459206 exp=8FE888E63349459206 + 38636.50ns INFO [00038638] * RD COMPARE * port=1 adr=07 act=8A3AE20428F92C5863 exp=8A3AE20428F92C5863 + 38636.50ns INFO [00038638] Port=0 WR @02=CD5E089039C4F57B74 + 38636.50ns INFO [00038638] Port=1 RD @05 + 38637.50ns INFO [00038639] * RD COMPARE * port=0 adr=00 act=8FE888E63349459206 exp=8FE888E63349459206 + 38638.50ns INFO [00038640] * RD COMPARE * port=1 adr=05 act=A63A44D3698BFAC5EB exp=A63A44D3698BFAC5EB + 38638.50ns INFO [00038640] Port=0 WR @05=A28CC03F7C9044DC30 + 38640.50ns INFO [00038642] Port=0 WR @01=14186933AE82CC1928 + 38640.50ns INFO [00038642] Port=0 RD @06 + 38640.50ns INFO [00038642] Port=1 RD @07 + 38642.50ns INFO [00038644] * RD COMPARE * port=0 adr=06 act=081E8646F1B0939145 exp=081E8646F1B0939145 + 38642.50ns INFO [00038644] * RD COMPARE * port=1 adr=07 act=8A3AE20428F92C5863 exp=8A3AE20428F92C5863 + 38642.50ns INFO [00038644] Port=0 RD @00 + 38643.50ns INFO [00038645] Port=0 WR @00=BE9538F951327985DE + 38644.50ns INFO [00038646] * RD COMPARE * port=0 adr=00 act=8FE888E63349459206 exp=8FE888E63349459206 + 38644.50ns INFO [00038646] Port=0 RD @02 + 38644.50ns INFO [00038646] Port=1 RD @06 + 38645.50ns INFO [00038647] Port=1 RD @05 + 38646.50ns INFO [00038648] * RD COMPARE * port=0 adr=02 act=CD5E089039C4F57B74 exp=CD5E089039C4F57B74 + 38646.50ns INFO [00038648] * RD COMPARE * port=1 adr=06 act=081E8646F1B0939145 exp=081E8646F1B0939145 + 38646.50ns INFO [00038648] Port=0 WR @07=54E74C0A1D000E031E + 38646.50ns INFO [00038648] Port=1 RD @02 + 38647.50ns INFO [00038649] * RD COMPARE * port=1 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38647.50ns INFO [00038649] Port=1 RD @01 + 38648.50ns INFO [00038650] * RD COMPARE * port=1 adr=02 act=CD5E089039C4F57B74 exp=CD5E089039C4F57B74 + 38648.50ns INFO [00038650] Port=0 WR @02=35A52F509A9E19E493 + 38648.50ns INFO [00038650] Port=0 RD @07 + 38649.50ns INFO [00038651] * RD COMPARE * port=1 adr=01 act=14186933AE82CC1928 exp=14186933AE82CC1928 + 38649.50ns INFO [00038651] Port=1 RD @06 + 38650.50ns INFO [00038652] * RD COMPARE * port=0 adr=07 act=54E74C0A1D000E031E exp=54E74C0A1D000E031E + 38651.50ns INFO [00038653] * RD COMPARE * port=1 adr=06 act=081E8646F1B0939145 exp=081E8646F1B0939145 + 38651.50ns INFO [00038653] Port=0 WR @02=86F379B60B393C6570 + 38651.50ns INFO [00038653] Port=0 RD @01 + 38652.50ns INFO [00038654] Port=0 WR @04=E21F06B311EB90A567 + 38653.50ns INFO [00038655] * RD COMPARE * port=0 adr=01 act=14186933AE82CC1928 exp=14186933AE82CC1928 + 38654.50ns INFO [00038656] Port=0 RD @05 + 38655.50ns INFO [00038657] Port=1 RD @02 + 38656.50ns INFO [00038658] * RD COMPARE * port=0 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38657.50ns INFO [00038659] * RD COMPARE * port=1 adr=02 act=86F379B60B393C6570 exp=86F379B60B393C6570 + 38657.50ns INFO [00038659] Port=0 RD @04 + 38658.50ns INFO [00038660] Port=0 WR @03=25C8BFF4461ADEEA8D + 38659.50ns INFO [00038661] * RD COMPARE * port=0 adr=04 act=E21F06B311EB90A567 exp=E21F06B311EB90A567 + 38659.50ns INFO [00038661] Port=0 WR @04=9A5CCD78BF60A96928 + 38660.50ns INFO [00038662] Port=0 RD @03 + 38660.50ns INFO [00038662] Port=1 RD @02 + 38661.50ns INFO [00038663] Port=0 RD @01 + 38661.50ns INFO [00038663] Port=1 RD @07 + 38662.50ns INFO [00038664] * RD COMPARE * port=0 adr=03 act=25C8BFF4461ADEEA8D exp=25C8BFF4461ADEEA8D + 38662.50ns INFO [00038664] * RD COMPARE * port=1 adr=02 act=86F379B60B393C6570 exp=86F379B60B393C6570 + 38663.50ns INFO [00038665] * RD COMPARE * port=0 adr=01 act=14186933AE82CC1928 exp=14186933AE82CC1928 + 38663.50ns INFO [00038665] * RD COMPARE * port=1 adr=07 act=54E74C0A1D000E031E exp=54E74C0A1D000E031E + 38663.50ns INFO [00038665] Port=0 RD @05 + 38664.50ns INFO [00038666] Port=0 WR @06=497CED862E7F8DC96C + 38664.50ns INFO [00038666] Port=0 RD @01 + 38665.50ns INFO [00038667] * RD COMPARE * port=0 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38665.50ns INFO [00038667] Port=0 WR @04=446225D832807F6E94 + 38666.50ns INFO [00038668] * RD COMPARE * port=0 adr=01 act=14186933AE82CC1928 exp=14186933AE82CC1928 + 38666.50ns INFO [00038668] Port=0 WR @03=38673A83CA62B89C12 + 38667.50ns INFO [00038669] Port=0 RD @07 + 38667.50ns INFO [00038669] Port=1 RD @07 + 38668.50ns INFO [00038670] Port=0 RD @03 + 38668.50ns INFO [00038670] Port=1 RD @05 + 38669.50ns INFO [00038671] * RD COMPARE * port=0 adr=07 act=54E74C0A1D000E031E exp=54E74C0A1D000E031E + 38669.50ns INFO [00038671] * RD COMPARE * port=1 adr=07 act=54E74C0A1D000E031E exp=54E74C0A1D000E031E + 38670.50ns INFO [00038672] * RD COMPARE * port=0 adr=03 act=38673A83CA62B89C12 exp=38673A83CA62B89C12 + 38670.50ns INFO [00038672] * RD COMPARE * port=1 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38670.50ns INFO [00038672] Port=0 RD @02 + 38671.50ns INFO [00038673] Port=0 WR @01=5784595FB8F9DFD658 + 38671.50ns INFO [00038673] Port=0 RD @05 + 38671.50ns INFO [00038673] Port=1 RD @02 + 38672.50ns INFO [00038674] * RD COMPARE * port=0 adr=02 act=86F379B60B393C6570 exp=86F379B60B393C6570 + 38672.50ns INFO [00038674] Port=0 WR @01=BEC57DC2AD0F780740 + 38672.50ns INFO [00038674] Port=0 RD @07 + 38672.50ns INFO [00038674] Port=1 RD @06 + 38673.50ns INFO [00038675] * RD COMPARE * port=0 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38673.50ns INFO [00038675] * RD COMPARE * port=1 adr=02 act=86F379B60B393C6570 exp=86F379B60B393C6570 + 38673.50ns INFO [00038675] Port=0 WR @06=A53EBF470E0A90A28E + 38674.50ns INFO [00038676] * RD COMPARE * port=0 adr=07 act=54E74C0A1D000E031E exp=54E74C0A1D000E031E + 38674.50ns INFO [00038676] * RD COMPARE * port=1 adr=06 act=497CED862E7F8DC96C exp=497CED862E7F8DC96C + 38674.50ns INFO [00038676] Port=0 RD @02 + 38675.50ns INFO [00038677] Port=0 WR @02=C623DBD3AF30BCD521 + 38675.50ns INFO [00038677] Port=0 RD @04 + 38675.50ns INFO [00038677] Port=1 RD @07 + 38676.50ns INFO [00038678] * RD COMPARE * port=0 adr=02 act=86F379B60B393C6570 exp=86F379B60B393C6570 + 38676.50ns INFO [00038678] Port=0 WR @00=39D6F43FB337C05CBF + 38677.50ns INFO [00038679] * RD COMPARE * port=0 adr=04 act=446225D832807F6E94 exp=446225D832807F6E94 + 38677.50ns INFO [00038679] * RD COMPARE * port=1 adr=07 act=54E74C0A1D000E031E exp=54E74C0A1D000E031E + 38677.50ns INFO [00038679] Port=1 RD @07 + 38679.50ns INFO [00038681] * RD COMPARE * port=1 adr=07 act=54E74C0A1D000E031E exp=54E74C0A1D000E031E + 38679.50ns INFO [00038681] Port=0 WR @00=4093FD1D66796AB262 + 38679.50ns INFO [00038681] Port=0 RD @02 + 38680.50ns INFO [00038682] Port=0 WR @06=392B33BE15E85FA84E + 38680.50ns INFO [00038682] Port=0 RD @05 + 38680.50ns INFO [00038682] Port=1 RD @01 + 38681.50ns INFO [00038683] * RD COMPARE * port=0 adr=02 act=C623DBD3AF30BCD521 exp=C623DBD3AF30BCD521 + 38682.50ns INFO [00038684] * RD COMPARE * port=0 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38682.50ns INFO [00038684] * RD COMPARE * port=1 adr=01 act=BEC57DC2AD0F780740 exp=BEC57DC2AD0F780740 + 38682.50ns INFO [00038684] Port=1 RD @03 + 38684.50ns INFO [00038686] * RD COMPARE * port=1 adr=03 act=38673A83CA62B89C12 exp=38673A83CA62B89C12 + 38684.50ns INFO [00038686] Port=0 WR @00=341B286032DCDD82E6 + 38684.50ns INFO [00038686] Port=0 RD @03 + 38685.50ns INFO [00038687] Port=0 RD @00 + 38686.50ns INFO [00038688] * RD COMPARE * port=0 adr=03 act=38673A83CA62B89C12 exp=38673A83CA62B89C12 + 38686.50ns INFO [00038688] Port=1 RD @02 + 38687.50ns INFO [00038689] * RD COMPARE * port=0 adr=00 act=341B286032DCDD82E6 exp=341B286032DCDD82E6 + 38687.50ns INFO [00038689] Port=1 RD @06 + 38688.50ns INFO [00038690] * RD COMPARE * port=1 adr=02 act=C623DBD3AF30BCD521 exp=C623DBD3AF30BCD521 + 38688.50ns INFO [00038690] Port=0 RD @07 + 38689.50ns INFO [00038691] * RD COMPARE * port=1 adr=06 act=392B33BE15E85FA84E exp=392B33BE15E85FA84E + 38689.50ns INFO [00038691] Port=0 WR @03=184635918A1861919C + 38689.50ns INFO [00038691] Port=0 RD @01 + 38690.50ns INFO [00038692] * RD COMPARE * port=0 adr=07 act=54E74C0A1D000E031E exp=54E74C0A1D000E031E + 38690.50ns INFO [00038692] Port=0 RD @03 + 38691.50ns INFO [00038693] * RD COMPARE * port=0 adr=01 act=BEC57DC2AD0F780740 exp=BEC57DC2AD0F780740 + 38691.50ns INFO [00038693] Port=0 WR @06=09248E30027D1702BD + 38691.50ns INFO [00038693] Port=1 RD @05 + 38692.50ns INFO [00038694] * RD COMPARE * port=0 adr=03 act=184635918A1861919C exp=184635918A1861919C + 38692.50ns INFO [00038694] Port=0 WR @03=7575544160FDA40C30 + 38692.50ns INFO [00038694] Port=1 RD @00 + 38693.50ns INFO [00038695] * RD COMPARE * port=1 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38693.50ns INFO [00038695] Port=1 RD @04 + 38694.50ns INFO [00038696] * RD COMPARE * port=1 adr=00 act=341B286032DCDD82E6 exp=341B286032DCDD82E6 + 38694.50ns INFO [00038696] Port=0 WR @00=8B8F8898F77D52466E + 38694.50ns INFO [00038696] Port=0 RD @03 + 38694.50ns INFO [00038696] Port=1 RD @03 + 38695.50ns INFO [00038697] * RD COMPARE * port=1 adr=04 act=446225D832807F6E94 exp=446225D832807F6E94 + 38695.50ns INFO [00038697] Port=0 RD @07 + 38695.50ns INFO [00038697] Port=1 RD @00 + 38696.50ns INFO [00038698] * RD COMPARE * port=0 adr=03 act=7575544160FDA40C30 exp=7575544160FDA40C30 + 38696.50ns INFO [00038698] * RD COMPARE * port=1 adr=03 act=7575544160FDA40C30 exp=7575544160FDA40C30 + 38696.50ns INFO [00038698] Port=1 RD @07 + 38697.50ns INFO [00038699] * RD COMPARE * port=0 adr=07 act=54E74C0A1D000E031E exp=54E74C0A1D000E031E + 38697.50ns INFO [00038699] * RD COMPARE * port=1 adr=00 act=8B8F8898F77D52466E exp=8B8F8898F77D52466E + 38698.00ns INFO [00038700] [00038700] ...tick... + 38698.50ns INFO [00038700] * RD COMPARE * port=1 adr=07 act=54E74C0A1D000E031E exp=54E74C0A1D000E031E + 38698.50ns INFO [00038700] Port=0 WR @07=36FA7FC5C3D632C630 + 38698.50ns INFO [00038700] Port=0 RD @00 + 38699.50ns INFO [00038701] Port=0 RD @03 + 38700.50ns INFO [00038702] * RD COMPARE * port=0 adr=00 act=8B8F8898F77D52466E exp=8B8F8898F77D52466E + 38700.50ns INFO [00038702] Port=1 RD @06 + 38701.50ns INFO [00038703] * RD COMPARE * port=0 adr=03 act=7575544160FDA40C30 exp=7575544160FDA40C30 + 38701.50ns INFO [00038703] Port=0 WR @06=D562DD5515F0E059D9 + 38701.50ns INFO [00038703] Port=1 RD @02 + 38702.50ns INFO [00038704] * RD COMPARE * port=1 adr=06 act=09248E30027D1702BD exp=09248E30027D1702BD + 38702.50ns INFO [00038704] Port=0 WR @01=341B52F08AF86E6DFB + 38702.50ns INFO [00038704] Port=0 RD @02 + 38702.50ns INFO [00038704] Port=1 RD @04 + 38703.50ns INFO [00038705] * RD COMPARE * port=1 adr=02 act=C623DBD3AF30BCD521 exp=C623DBD3AF30BCD521 + 38703.50ns INFO [00038705] Port=1 RD @00 + 38704.50ns INFO [00038706] * RD COMPARE * port=0 adr=02 act=C623DBD3AF30BCD521 exp=C623DBD3AF30BCD521 + 38704.50ns INFO [00038706] * RD COMPARE * port=1 adr=04 act=446225D832807F6E94 exp=446225D832807F6E94 + 38704.50ns INFO [00038706] Port=0 RD @05 + 38704.50ns INFO [00038706] Port=1 RD @01 + 38705.50ns INFO [00038707] * RD COMPARE * port=1 adr=00 act=8B8F8898F77D52466E exp=8B8F8898F77D52466E + 38705.50ns INFO [00038707] Port=0 RD @05 + 38705.50ns INFO [00038707] Port=1 RD @07 + 38706.50ns INFO [00038708] * RD COMPARE * port=0 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38706.50ns INFO [00038708] * RD COMPARE * port=1 adr=01 act=341B52F08AF86E6DFB exp=341B52F08AF86E6DFB + 38707.50ns INFO [00038709] * RD COMPARE * port=0 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38707.50ns INFO [00038709] * RD COMPARE * port=1 adr=07 act=36FA7FC5C3D632C630 exp=36FA7FC5C3D632C630 + 38707.50ns INFO [00038709] Port=0 RD @02 + 38709.50ns INFO [00038711] * RD COMPARE * port=0 adr=02 act=C623DBD3AF30BCD521 exp=C623DBD3AF30BCD521 + 38709.50ns INFO [00038711] Port=0 WR @00=4E1CF68C91594EA8D8 + 38709.50ns INFO [00038711] Port=0 RD @03 + 38710.50ns INFO [00038712] Port=0 WR @00=0D6E548036BC9B52C8 + 38710.50ns INFO [00038712] Port=0 RD @05 + 38710.50ns INFO [00038712] Port=1 RD @01 + 38711.50ns INFO [00038713] * RD COMPARE * port=0 adr=03 act=7575544160FDA40C30 exp=7575544160FDA40C30 + 38711.50ns INFO [00038713] Port=1 RD @07 + 38712.50ns INFO [00038714] * RD COMPARE * port=0 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38712.50ns INFO [00038714] * RD COMPARE * port=1 adr=01 act=341B52F08AF86E6DFB exp=341B52F08AF86E6DFB + 38713.50ns INFO [00038715] * RD COMPARE * port=1 adr=07 act=36FA7FC5C3D632C630 exp=36FA7FC5C3D632C630 + 38713.50ns INFO [00038715] Port=1 RD @00 + 38714.50ns INFO [00038716] Port=0 RD @02 + 38714.50ns INFO [00038716] Port=1 RD @00 + 38715.50ns INFO [00038717] * RD COMPARE * port=1 adr=00 act=0D6E548036BC9B52C8 exp=0D6E548036BC9B52C8 + 38715.50ns INFO [00038717] Port=0 WR @02=A6C88892374128D39B + 38716.50ns INFO [00038718] * RD COMPARE * port=0 adr=02 act=C623DBD3AF30BCD521 exp=C623DBD3AF30BCD521 + 38716.50ns INFO [00038718] * RD COMPARE * port=1 adr=00 act=0D6E548036BC9B52C8 exp=0D6E548036BC9B52C8 + 38716.50ns INFO [00038718] Port=0 RD @00 + 38718.50ns INFO [00038720] * RD COMPARE * port=0 adr=00 act=0D6E548036BC9B52C8 exp=0D6E548036BC9B52C8 + 38719.50ns INFO [00038721] Port=0 WR @04=7446F7F131AFE5E4DC + 38720.50ns INFO [00038722] Port=0 RD @01 + 38720.50ns INFO [00038722] Port=1 RD @03 + 38721.50ns INFO [00038723] Port=0 RD @06 + 38722.50ns INFO [00038724] * RD COMPARE * port=0 adr=01 act=341B52F08AF86E6DFB exp=341B52F08AF86E6DFB + 38722.50ns INFO [00038724] * RD COMPARE * port=1 adr=03 act=7575544160FDA40C30 exp=7575544160FDA40C30 + 38722.50ns INFO [00038724] Port=0 WR @03=A4DCD7640B592F812A + 38723.50ns INFO [00038725] * RD COMPARE * port=0 adr=06 act=D562DD5515F0E059D9 exp=D562DD5515F0E059D9 + 38723.50ns INFO [00038725] Port=1 RD @05 + 38724.50ns INFO [00038726] Port=0 RD @01 + 38724.50ns INFO [00038726] Port=1 RD @03 + 38725.50ns INFO [00038727] * RD COMPARE * port=1 adr=05 act=A28CC03F7C9044DC30 exp=A28CC03F7C9044DC30 + 38725.50ns INFO [00038727] Port=1 RD @07 + 38726.50ns INFO [00038728] * RD COMPARE * port=0 adr=01 act=341B52F08AF86E6DFB exp=341B52F08AF86E6DFB + 38726.50ns INFO [00038728] * RD COMPARE * port=1 adr=03 act=A4DCD7640B592F812A exp=A4DCD7640B592F812A + 38726.50ns INFO [00038728] Port=0 WR @00=AB465CE7CC4FB87A53 + 38726.50ns INFO [00038728] Port=0 RD @01 + 38726.50ns INFO [00038728] Port=1 RD @07 + 38727.50ns INFO [00038729] * RD COMPARE * port=1 adr=07 act=36FA7FC5C3D632C630 exp=36FA7FC5C3D632C630 + 38727.50ns INFO [00038729] Port=0 WR @05=757C3AB9B4D81C5D1A + 38728.50ns INFO [00038730] * RD COMPARE * port=0 adr=01 act=341B52F08AF86E6DFB exp=341B52F08AF86E6DFB + 38728.50ns INFO [00038730] * RD COMPARE * port=1 adr=07 act=36FA7FC5C3D632C630 exp=36FA7FC5C3D632C630 + 38728.50ns INFO [00038730] Port=0 RD @05 + 38729.50ns INFO [00038731] Port=0 RD @00 + 38730.50ns INFO [00038732] * RD COMPARE * port=0 adr=05 act=757C3AB9B4D81C5D1A exp=757C3AB9B4D81C5D1A + 38730.50ns INFO [00038732] Port=0 RD @04 + 38731.50ns INFO [00038733] * RD COMPARE * port=0 adr=00 act=AB465CE7CC4FB87A53 exp=AB465CE7CC4FB87A53 + 38731.50ns INFO [00038733] Port=1 RD @02 + 38732.50ns INFO [00038734] * RD COMPARE * port=0 adr=04 act=7446F7F131AFE5E4DC exp=7446F7F131AFE5E4DC + 38733.50ns INFO [00038735] * RD COMPARE * port=1 adr=02 act=A6C88892374128D39B exp=A6C88892374128D39B + 38733.50ns INFO [00038735] Port=0 WR @03=2A870289D99363C269 + 38733.50ns INFO [00038735] Port=0 RD @06 + 38733.50ns INFO [00038735] Port=1 RD @07 + 38734.50ns INFO [00038736] Port=0 RD @03 + 38734.50ns INFO [00038736] Port=1 RD @00 + 38735.50ns INFO [00038737] * RD COMPARE * port=0 adr=06 act=D562DD5515F0E059D9 exp=D562DD5515F0E059D9 + 38735.50ns INFO [00038737] * RD COMPARE * port=1 adr=07 act=36FA7FC5C3D632C630 exp=36FA7FC5C3D632C630 + 38736.50ns INFO [00038738] * RD COMPARE * port=0 adr=03 act=2A870289D99363C269 exp=2A870289D99363C269 + 38736.50ns INFO [00038738] * RD COMPARE * port=1 adr=00 act=AB465CE7CC4FB87A53 exp=AB465CE7CC4FB87A53 + 38736.50ns INFO [00038738] Port=1 RD @02 + 38738.50ns INFO [00038740] * RD COMPARE * port=1 adr=02 act=A6C88892374128D39B exp=A6C88892374128D39B + 38738.50ns INFO [00038740] Port=0 WR @01=01C8E900624251F5FF + 38738.50ns INFO [00038740] Port=0 RD @02 + 38739.50ns INFO [00038741] Port=0 WR @06=55254EE686B217FA0A + 38739.50ns INFO [00038741] Port=0 RD @00 + 38740.50ns INFO [00038742] * RD COMPARE * port=0 adr=02 act=A6C88892374128D39B exp=A6C88892374128D39B + 38740.50ns INFO [00038742] Port=1 RD @02 + 38741.50ns INFO [00038743] * RD COMPARE * port=0 adr=00 act=AB465CE7CC4FB87A53 exp=AB465CE7CC4FB87A53 + 38742.50ns INFO [00038744] * RD COMPARE * port=1 adr=02 act=A6C88892374128D39B exp=A6C88892374128D39B + 38742.50ns INFO [00038744] Port=0 WR @01=C8AC27D96A1E7A0897 + 38742.50ns INFO [00038744] Port=1 RD @05 + 38743.50ns INFO [00038745] Port=0 WR @05=BBC2D7696DFACAD69E + 38743.50ns INFO [00038745] Port=0 RD @06 + 38744.50ns INFO [00038746] * RD COMPARE * port=1 adr=05 act=757C3AB9B4D81C5D1A exp=757C3AB9B4D81C5D1A + 38744.50ns INFO [00038746] Port=0 WR @02=C765AFDA7A132B85A3 + 38745.50ns INFO [00038747] * RD COMPARE * port=0 adr=06 act=55254EE686B217FA0A exp=55254EE686B217FA0A + 38746.50ns INFO [00038748] Port=0 WR @05=65BF2BFE50B19DDDD6 + 38746.50ns INFO [00038748] Port=0 RD @02 + 38746.50ns INFO [00038748] Port=1 RD @04 + 38747.50ns INFO [00038749] Port=0 WR @03=909DBAC0E461F9B883 + 38747.50ns INFO [00038749] Port=1 RD @04 + 38748.50ns INFO [00038750] * RD COMPARE * port=0 adr=02 act=C765AFDA7A132B85A3 exp=C765AFDA7A132B85A3 + 38748.50ns INFO [00038750] * RD COMPARE * port=1 adr=04 act=7446F7F131AFE5E4DC exp=7446F7F131AFE5E4DC + 38748.50ns INFO [00038750] Port=0 WR @00=8C90946E614756798D + 38748.50ns INFO [00038750] Port=0 RD @07 + 38749.50ns INFO [00038751] * RD COMPARE * port=1 adr=04 act=7446F7F131AFE5E4DC exp=7446F7F131AFE5E4DC + 38749.50ns INFO [00038751] Port=0 WR @06=484A21C98163B60748 + 38750.50ns INFO [00038752] * RD COMPARE * port=0 adr=07 act=36FA7FC5C3D632C630 exp=36FA7FC5C3D632C630 + 38750.50ns INFO [00038752] Port=0 RD @04 + 38750.50ns INFO [00038752] Port=1 RD @07 + 38751.50ns INFO [00038753] Port=0 WR @03=5BE0BEAC5D0B0E76CB + 38751.50ns INFO [00038753] Port=1 RD @04 + 38752.50ns INFO [00038754] * RD COMPARE * port=0 adr=04 act=7446F7F131AFE5E4DC exp=7446F7F131AFE5E4DC + 38752.50ns INFO [00038754] * RD COMPARE * port=1 adr=07 act=36FA7FC5C3D632C630 exp=36FA7FC5C3D632C630 + 38753.50ns INFO [00038755] * RD COMPARE * port=1 adr=04 act=7446F7F131AFE5E4DC exp=7446F7F131AFE5E4DC + 38754.50ns INFO [00038756] Port=0 WR @02=035408FD7E0CF24295 + 38754.50ns INFO [00038756] Port=1 RD @01 + 38755.50ns INFO [00038757] Port=0 WR @00=38F44154D1868DF2F9 + 38755.50ns INFO [00038757] Port=0 RD @04 + 38756.50ns INFO [00038758] * RD COMPARE * port=1 adr=01 act=C8AC27D96A1E7A0897 exp=C8AC27D96A1E7A0897 + 38757.50ns INFO [00038759] * RD COMPARE * port=0 adr=04 act=7446F7F131AFE5E4DC exp=7446F7F131AFE5E4DC + 38757.50ns INFO [00038759] Port=0 WR @05=325A8A6B78228546F4 + 38757.50ns INFO [00038759] Port=0 RD @00 + 38758.50ns INFO [00038760] Port=0 RD @01 + 38758.50ns INFO [00038760] Port=1 RD @05 + 38759.50ns INFO [00038761] * RD COMPARE * port=0 adr=00 act=38F44154D1868DF2F9 exp=38F44154D1868DF2F9 + 38759.50ns INFO [00038761] Port=0 RD @04 + 38759.50ns INFO [00038761] Port=1 RD @03 + 38760.50ns INFO [00038762] * RD COMPARE * port=0 adr=01 act=C8AC27D96A1E7A0897 exp=C8AC27D96A1E7A0897 + 38760.50ns INFO [00038762] * RD COMPARE * port=1 adr=05 act=325A8A6B78228546F4 exp=325A8A6B78228546F4 + 38760.50ns INFO [00038762] Port=0 WR @03=7A835B836B432ECD4C + 38761.50ns INFO [00038763] * RD COMPARE * port=0 adr=04 act=7446F7F131AFE5E4DC exp=7446F7F131AFE5E4DC + 38761.50ns INFO [00038763] * RD COMPARE * port=1 adr=03 act=5BE0BEAC5D0B0E76CB exp=5BE0BEAC5D0B0E76CB + 38761.50ns INFO [00038763] Port=0 RD @04 + 38763.50ns INFO [00038765] * RD COMPARE * port=0 adr=04 act=7446F7F131AFE5E4DC exp=7446F7F131AFE5E4DC + 38763.50ns INFO [00038765] Port=0 WR @00=3CEFBF015A2998ABDD + 38763.50ns INFO [00038765] Port=1 RD @07 + 38764.50ns INFO [00038766] Port=0 RD @00 + 38765.50ns INFO [00038767] * RD COMPARE * port=1 adr=07 act=36FA7FC5C3D632C630 exp=36FA7FC5C3D632C630 + 38765.50ns INFO [00038767] Port=0 WR @07=A2BB97F141B875AA15 + 38766.50ns INFO [00038768] * RD COMPARE * port=0 adr=00 act=3CEFBF015A2998ABDD exp=3CEFBF015A2998ABDD + 38771.50ns INFO [00038773] Port=0 WR @04=18B1CCE2657140AD20 + 38771.50ns INFO [00038773] Port=0 RD @01 + 38771.50ns INFO [00038773] Port=1 RD @07 + 38773.50ns INFO [00038775] * RD COMPARE * port=0 adr=01 act=C8AC27D96A1E7A0897 exp=C8AC27D96A1E7A0897 + 38773.50ns INFO [00038775] * RD COMPARE * port=1 adr=07 act=A2BB97F141B875AA15 exp=A2BB97F141B875AA15 + 38773.50ns INFO [00038775] Port=0 WR @02=DC621CF759F850D8EA + 38774.50ns INFO [00038776] Port=0 RD @06 + 38774.50ns INFO [00038776] Port=1 RD @00 + 38776.50ns INFO [00038778] * RD COMPARE * port=0 adr=06 act=484A21C98163B60748 exp=484A21C98163B60748 + 38776.50ns INFO [00038778] * RD COMPARE * port=1 adr=00 act=3CEFBF015A2998ABDD exp=3CEFBF015A2998ABDD + 38776.50ns INFO [00038778] Port=0 WR @00=CE6FCF791472971835 + 38776.50ns INFO [00038778] Port=1 RD @01 + 38777.50ns INFO [00038779] Port=1 RD @07 + 38778.50ns INFO [00038780] * RD COMPARE * port=1 adr=01 act=C8AC27D96A1E7A0897 exp=C8AC27D96A1E7A0897 + 38778.50ns INFO [00038780] Port=0 RD @04 + 38779.50ns INFO [00038781] * RD COMPARE * port=1 adr=07 act=A2BB97F141B875AA15 exp=A2BB97F141B875AA15 + 38779.50ns INFO [00038781] Port=0 RD @06 + 38779.50ns INFO [00038781] Port=1 RD @03 + 38780.50ns INFO [00038782] * RD COMPARE * port=0 adr=04 act=18B1CCE2657140AD20 exp=18B1CCE2657140AD20 + 38780.50ns INFO [00038782] Port=0 WR @06=1668EDC73CA8D6BF0E + 38780.50ns INFO [00038782] Port=0 RD @00 + 38781.50ns INFO [00038783] * RD COMPARE * port=0 adr=06 act=484A21C98163B60748 exp=484A21C98163B60748 + 38781.50ns INFO [00038783] * RD COMPARE * port=1 adr=03 act=7A835B836B432ECD4C exp=7A835B836B432ECD4C + 38782.50ns INFO [00038784] * RD COMPARE * port=0 adr=00 act=CE6FCF791472971835 exp=CE6FCF791472971835 + 38782.50ns INFO [00038784] Port=0 RD @03 + 38783.50ns INFO [00038785] Port=0 WR @01=F52A7712B66114896E + 38783.50ns INFO [00038785] Port=0 RD @00 + 38783.50ns INFO [00038785] Port=1 RD @06 + 38784.50ns INFO [00038786] * RD COMPARE * port=0 adr=03 act=7A835B836B432ECD4C exp=7A835B836B432ECD4C + 38784.50ns INFO [00038786] Port=0 RD @00 + 38785.50ns INFO [00038787] * RD COMPARE * port=0 adr=00 act=CE6FCF791472971835 exp=CE6FCF791472971835 + 38785.50ns INFO [00038787] * RD COMPARE * port=1 adr=06 act=1668EDC73CA8D6BF0E exp=1668EDC73CA8D6BF0E + 38786.50ns INFO [00038788] * RD COMPARE * port=0 adr=00 act=CE6FCF791472971835 exp=CE6FCF791472971835 + 38787.50ns INFO [00038789] Port=0 WR @07=75464BBC36940C3EA7 + 38787.50ns INFO [00038789] Port=0 RD @03 + 38789.50ns INFO [00038791] * RD COMPARE * port=0 adr=03 act=7A835B836B432ECD4C exp=7A835B836B432ECD4C + 38793.50ns INFO [00038795] Port=0 RD @00 + 38794.50ns INFO [00038796] Port=0 WR @05=6AAFB041935056759B + 38794.50ns INFO [00038796] Port=0 RD @01 + 38794.50ns INFO [00038796] Port=1 RD @04 + 38795.50ns INFO [00038797] * RD COMPARE * port=0 adr=00 act=CE6FCF791472971835 exp=CE6FCF791472971835 + 38796.50ns INFO [00038798] * RD COMPARE * port=0 adr=01 act=F52A7712B66114896E exp=F52A7712B66114896E + 38796.50ns INFO [00038798] * RD COMPARE * port=1 adr=04 act=18B1CCE2657140AD20 exp=18B1CCE2657140AD20 + 38796.50ns INFO [00038798] Port=0 WR @04=93177FF1375B2A0CEE + 38796.50ns INFO [00038798] Port=0 RD @01 + 38796.50ns INFO [00038798] Port=1 RD @02 + 38797.50ns INFO [00038799] Port=0 RD @04 + 38798.00ns INFO [00038800] [00038800] ...tick... + 38798.50ns INFO [00038800] * RD COMPARE * port=0 adr=01 act=F52A7712B66114896E exp=F52A7712B66114896E + 38798.50ns INFO [00038800] * RD COMPARE * port=1 adr=02 act=DC621CF759F850D8EA exp=DC621CF759F850D8EA + 38798.50ns INFO [00038800] Port=0 RD @06 + 38799.50ns INFO [00038801] * RD COMPARE * port=0 adr=04 act=93177FF1375B2A0CEE exp=93177FF1375B2A0CEE + 38799.50ns INFO [00038801] Port=0 WR @04=01E307A9675CA88DE6 + 38799.50ns INFO [00038801] Port=1 RD @03 + 38800.50ns INFO [00038802] * RD COMPARE * port=0 adr=06 act=1668EDC73CA8D6BF0E exp=1668EDC73CA8D6BF0E + 38800.50ns INFO [00038802] Port=0 WR @03=13413476EF59AD6B04 + 38801.50ns INFO [00038803] * RD COMPARE * port=1 adr=03 act=7A835B836B432ECD4C exp=7A835B836B432ECD4C + 38802.50ns INFO [00038804] Port=1 RD @06 + 38804.50ns INFO [00038806] * RD COMPARE * port=1 adr=06 act=1668EDC73CA8D6BF0E exp=1668EDC73CA8D6BF0E + 38804.50ns INFO [00038806] Port=0 WR @07=94B47F8695D692A34B + 38804.50ns INFO [00038806] Port=1 RD @03 + 38806.50ns INFO [00038808] * RD COMPARE * port=1 adr=03 act=13413476EF59AD6B04 exp=13413476EF59AD6B04 + 38806.50ns INFO [00038808] Port=0 RD @04 + 38807.50ns INFO [00038809] Port=0 RD @02 + 38807.50ns INFO [00038809] Port=1 RD @02 + 38808.50ns INFO [00038810] * RD COMPARE * port=0 adr=04 act=01E307A9675CA88DE6 exp=01E307A9675CA88DE6 + 38808.50ns INFO [00038810] Port=0 WR @01=5F6AC42EB4FE3AA9E7 + 38808.50ns INFO [00038810] Port=0 RD @06 + 38809.50ns INFO [00038811] * RD COMPARE * port=0 adr=02 act=DC621CF759F850D8EA exp=DC621CF759F850D8EA + 38809.50ns INFO [00038811] * RD COMPARE * port=1 adr=02 act=DC621CF759F850D8EA exp=DC621CF759F850D8EA + 38809.50ns INFO [00038811] Port=1 RD @02 + 38810.50ns INFO [00038812] * RD COMPARE * port=0 adr=06 act=1668EDC73CA8D6BF0E exp=1668EDC73CA8D6BF0E + 38810.50ns INFO [00038812] Port=0 RD @01 + 38811.50ns INFO [00038813] * RD COMPARE * port=1 adr=02 act=DC621CF759F850D8EA exp=DC621CF759F850D8EA + 38811.50ns INFO [00038813] Port=0 WR @00=C747EAF921DBAD5708 + 38812.50ns INFO [00038814] * RD COMPARE * port=0 adr=01 act=5F6AC42EB4FE3AA9E7 exp=5F6AC42EB4FE3AA9E7 + 38812.50ns INFO [00038814] Port=0 WR @02=233F5C961C223BE628 + 38813.50ns INFO [00038815] Port=0 RD @06 + 38815.50ns INFO [00038817] * RD COMPARE * port=0 adr=06 act=1668EDC73CA8D6BF0E exp=1668EDC73CA8D6BF0E + 38815.50ns INFO [00038817] Port=0 WR @01=27DD13741F2B02655F + 38815.50ns INFO [00038817] Port=0 RD @05 + 38816.50ns INFO [00038818] Port=0 WR @04=9731529C37B0F3A08B + 38816.50ns INFO [00038818] Port=0 RD @01 + 38816.50ns INFO [00038818] Port=1 RD @06 + 38817.50ns INFO [00038819] * RD COMPARE * port=0 adr=05 act=6AAFB041935056759B exp=6AAFB041935056759B + 38817.50ns INFO [00038819] Port=0 WR @04=3C9434DB0AEFE6517D + 38817.50ns INFO [00038819] Port=0 RD @00 + 38818.50ns INFO [00038820] * RD COMPARE * port=0 adr=01 act=27DD13741F2B02655F exp=27DD13741F2B02655F + 38818.50ns INFO [00038820] * RD COMPARE * port=1 adr=06 act=1668EDC73CA8D6BF0E exp=1668EDC73CA8D6BF0E + 38818.50ns INFO [00038820] Port=0 WR @06=BD6CB81A573C415C4F + 38818.50ns INFO [00038820] Port=0 RD @07 + 38819.50ns INFO [00038821] * RD COMPARE * port=0 adr=00 act=C747EAF921DBAD5708 exp=C747EAF921DBAD5708 + 38819.50ns INFO [00038821] Port=0 WR @02=0C962C294C03AD5681 + 38820.50ns INFO [00038822] * RD COMPARE * port=0 adr=07 act=94B47F8695D692A34B exp=94B47F8695D692A34B + 38820.50ns INFO [00038822] Port=0 RD @02 + 38821.50ns INFO [00038823] Port=0 WR @03=A5DF30478469F2B98E + 38822.50ns INFO [00038824] * RD COMPARE * port=0 adr=02 act=0C962C294C03AD5681 exp=0C962C294C03AD5681 + 38822.50ns INFO [00038824] Port=0 WR @01=65E0364A53F8E523A8 + 38822.50ns INFO [00038824] Port=0 RD @02 + 38823.50ns INFO [00038825] Port=0 WR @01=48519C17949E331552 + 38824.50ns INFO [00038826] * RD COMPARE * port=0 adr=02 act=0C962C294C03AD5681 exp=0C962C294C03AD5681 + 38824.50ns INFO [00038826] Port=0 WR @06=E3B5148D49FE873E0A + 38824.50ns INFO [00038826] Port=0 RD @03 + 38824.50ns INFO [00038826] Port=1 RD @02 + 38825.50ns INFO [00038827] Port=0 RD @01 + 38825.50ns INFO [00038827] Port=1 RD @05 + 38826.50ns INFO [00038828] * RD COMPARE * port=0 adr=03 act=A5DF30478469F2B98E exp=A5DF30478469F2B98E + 38826.50ns INFO [00038828] * RD COMPARE * port=1 adr=02 act=0C962C294C03AD5681 exp=0C962C294C03AD5681 + 38826.50ns INFO [00038828] Port=0 WR @03=E2F05374C6B5AC77A3 + 38827.50ns INFO [00038829] * RD COMPARE * port=0 adr=01 act=48519C17949E331552 exp=48519C17949E331552 + 38827.50ns INFO [00038829] * RD COMPARE * port=1 adr=05 act=6AAFB041935056759B exp=6AAFB041935056759B + 38828.50ns INFO [00038830] Port=0 WR @02=79C7278BCBAAEC2B18 + 38829.50ns INFO [00038831] Port=0 WR @01=211F14BE29002C34C9 + 38829.50ns INFO [00038831] Port=1 RD @00 + 38830.50ns INFO [00038832] Port=1 RD @03 + 38831.50ns INFO [00038833] * RD COMPARE * port=1 adr=00 act=C747EAF921DBAD5708 exp=C747EAF921DBAD5708 + 38831.50ns INFO [00038833] Port=0 WR @03=963B0BC8F968F0B5A6 + 38832.50ns INFO [00038834] * RD COMPARE * port=1 adr=03 act=E2F05374C6B5AC77A3 exp=E2F05374C6B5AC77A3 + 38832.50ns INFO [00038834] Port=0 WR @02=7B203591670B703659 + 38834.50ns INFO [00038836] Port=0 RD @07 + 38834.50ns INFO [00038836] Port=1 RD @05 + 38836.50ns INFO [00038838] * RD COMPARE * port=0 adr=07 act=94B47F8695D692A34B exp=94B47F8695D692A34B + 38836.50ns INFO [00038838] * RD COMPARE * port=1 adr=05 act=6AAFB041935056759B exp=6AAFB041935056759B + 38836.50ns INFO [00038838] Port=0 WR @07=200990D8E1CA468BBC + 38836.50ns INFO [00038838] Port=0 RD @03 + 38838.50ns INFO [00038840] * RD COMPARE * port=0 adr=03 act=963B0BC8F968F0B5A6 exp=963B0BC8F968F0B5A6 + 38838.50ns INFO [00038840] Port=0 WR @07=8730881D07172B9352 + 38838.50ns INFO [00038840] Port=0 RD @02 + 38838.50ns INFO [00038840] Port=1 RD @02 + 38839.50ns INFO [00038841] Port=0 RD @05 + 38840.50ns INFO [00038842] * RD COMPARE * port=0 adr=02 act=7B203591670B703659 exp=7B203591670B703659 + 38840.50ns INFO [00038842] * RD COMPARE * port=1 adr=02 act=7B203591670B703659 exp=7B203591670B703659 + 38840.50ns INFO [00038842] Port=0 RD @01 + 38841.50ns INFO [00038843] * RD COMPARE * port=0 adr=05 act=6AAFB041935056759B exp=6AAFB041935056759B + 38842.50ns INFO [00038844] * RD COMPARE * port=0 adr=01 act=211F14BE29002C34C9 exp=211F14BE29002C34C9 + 38844.50ns INFO [00038846] Port=0 WR @06=2B670A93A32575151F + 38844.50ns INFO [00038846] Port=1 RD @01 + 38845.50ns INFO [00038847] Port=0 WR @03=9A90CEAED31D6C5EFD + 38846.50ns INFO [00038848] * RD COMPARE * port=1 adr=01 act=211F14BE29002C34C9 exp=211F14BE29002C34C9 + 38846.50ns INFO [00038848] Port=1 RD @01 + 38847.50ns INFO [00038849] Port=0 WR @03=02BB2B9DDBA448458D + 38847.50ns INFO [00038849] Port=0 RD @04 + 38848.50ns INFO [00038850] * RD COMPARE * port=1 adr=01 act=211F14BE29002C34C9 exp=211F14BE29002C34C9 + 38848.50ns INFO [00038850] Port=0 WR @03=60274347B8F55EEEFB + 38848.50ns INFO [00038850] Port=1 RD @00 + 38849.50ns INFO [00038851] * RD COMPARE * port=0 adr=04 act=3C9434DB0AEFE6517D exp=3C9434DB0AEFE6517D + 38850.50ns INFO [00038852] * RD COMPARE * port=1 adr=00 act=C747EAF921DBAD5708 exp=C747EAF921DBAD5708 + 38850.50ns INFO [00038852] Port=0 WR @02=8A7ECD5D76EC2D1A39 + 38851.50ns INFO [00038853] Port=0 RD @01 + 38853.50ns INFO [00038855] * RD COMPARE * port=0 adr=01 act=211F14BE29002C34C9 exp=211F14BE29002C34C9 + 38853.50ns INFO [00038855] Port=0 RD @01 + 38853.50ns INFO [00038855] Port=1 RD @00 + 38854.50ns INFO [00038856] Port=0 WR @06=A3D464285316ACCC5F + 38854.50ns INFO [00038856] Port=1 RD @00 + 38855.50ns INFO [00038857] * RD COMPARE * port=0 adr=01 act=211F14BE29002C34C9 exp=211F14BE29002C34C9 + 38855.50ns INFO [00038857] * RD COMPARE * port=1 adr=00 act=C747EAF921DBAD5708 exp=C747EAF921DBAD5708 + 38855.50ns INFO [00038857] Port=0 WR @07=044E63DA83EDB9D26B + 38855.50ns INFO [00038857] Port=0 RD @06 + 38855.50ns INFO [00038857] Port=1 RD @06 + 38856.50ns INFO [00038858] * RD COMPARE * port=1 adr=00 act=C747EAF921DBAD5708 exp=C747EAF921DBAD5708 + 38856.50ns INFO [00038858] Port=1 RD @01 + 38857.50ns INFO [00038859] * RD COMPARE * port=0 adr=06 act=A3D464285316ACCC5F exp=A3D464285316ACCC5F + 38857.50ns INFO [00038859] * RD COMPARE * port=1 adr=06 act=A3D464285316ACCC5F exp=A3D464285316ACCC5F + 38857.50ns INFO [00038859] Port=0 RD @05 + 38858.50ns INFO [00038860] * RD COMPARE * port=1 adr=01 act=211F14BE29002C34C9 exp=211F14BE29002C34C9 + 38858.50ns INFO [00038860] Port=0 WR @04=7892FDF87250846399 + 38859.50ns INFO [00038861] * RD COMPARE * port=0 adr=05 act=6AAFB041935056759B exp=6AAFB041935056759B + 38859.50ns INFO [00038861] Port=0 WR @00=D8FA7F1FC3963D000C + 38859.50ns INFO [00038861] Port=0 RD @02 + 38860.50ns INFO [00038862] Port=0 WR @05=9CC5F8D8F93EA651C8 + 38860.50ns INFO [00038862] Port=0 RD @00 + 38860.50ns INFO [00038862] Port=1 RD @04 + 38861.50ns INFO [00038863] * RD COMPARE * port=0 adr=02 act=8A7ECD5D76EC2D1A39 exp=8A7ECD5D76EC2D1A39 + 38861.50ns INFO [00038863] Port=0 RD @02 + 38861.50ns INFO [00038863] Port=1 RD @04 + 38862.50ns INFO [00038864] * RD COMPARE * port=0 adr=00 act=D8FA7F1FC3963D000C exp=D8FA7F1FC3963D000C + 38862.50ns INFO [00038864] * RD COMPARE * port=1 adr=04 act=7892FDF87250846399 exp=7892FDF87250846399 + 38862.50ns INFO [00038864] Port=0 WR @00=09F883BC16476BCAE5 + 38862.50ns INFO [00038864] Port=1 RD @03 + 38863.50ns INFO [00038865] * RD COMPARE * port=0 adr=02 act=8A7ECD5D76EC2D1A39 exp=8A7ECD5D76EC2D1A39 + 38863.50ns INFO [00038865] * RD COMPARE * port=1 adr=04 act=7892FDF87250846399 exp=7892FDF87250846399 + 38863.50ns INFO [00038865] Port=1 RD @06 + 38864.50ns INFO [00038866] * RD COMPARE * port=1 adr=03 act=60274347B8F55EEEFB exp=60274347B8F55EEEFB + 38864.50ns INFO [00038866] Port=0 WR @02=C8C8A2C7BA2CD6827A + 38864.50ns INFO [00038866] Port=1 RD @01 + 38865.50ns INFO [00038867] * RD COMPARE * port=1 adr=06 act=A3D464285316ACCC5F exp=A3D464285316ACCC5F + 38865.50ns INFO [00038867] Port=0 RD @01 + 38865.50ns INFO [00038867] Port=1 RD @05 + 38866.50ns INFO [00038868] * RD COMPARE * port=1 adr=01 act=211F14BE29002C34C9 exp=211F14BE29002C34C9 + 38866.50ns INFO [00038868] Port=0 WR @05=53D87653369C4988E0 + 38866.50ns INFO [00038868] Port=0 RD @03 + 38867.50ns INFO [00038869] * RD COMPARE * port=0 adr=01 act=211F14BE29002C34C9 exp=211F14BE29002C34C9 + 38867.50ns INFO [00038869] * RD COMPARE * port=1 adr=05 act=9CC5F8D8F93EA651C8 exp=9CC5F8D8F93EA651C8 + 38867.50ns INFO [00038869] Port=0 WR @02=0578A9218886B0A7DE + 38868.50ns INFO [00038870] * RD COMPARE * port=0 adr=03 act=60274347B8F55EEEFB exp=60274347B8F55EEEFB + 38868.50ns INFO [00038870] Port=0 WR @01=E7D4FDC01EEC6663DC + 38869.50ns INFO [00038871] Port=0 WR @01=4F02B8D757B8F651E3 + 38872.50ns INFO [00038874] Port=1 RD @05 + 38873.50ns INFO [00038875] Port=0 WR @06=9C97CBF35C4FF16B96 + 38873.50ns INFO [00038875] Port=0 RD @01 + 38873.50ns INFO [00038875] Port=1 RD @07 + 38874.50ns INFO [00038876] * RD COMPARE * port=1 adr=05 act=53D87653369C4988E0 exp=53D87653369C4988E0 + 38874.50ns INFO [00038876] Port=0 WR @06=8E0853C69789EB5AEC + 38875.50ns INFO [00038877] * RD COMPARE * port=0 adr=01 act=4F02B8D757B8F651E3 exp=4F02B8D757B8F651E3 + 38875.50ns INFO [00038877] * RD COMPARE * port=1 adr=07 act=044E63DA83EDB9D26B exp=044E63DA83EDB9D26B + 38875.50ns INFO [00038877] Port=0 WR @05=E6CE6C6B4246C64378 + 38875.50ns INFO [00038877] Port=0 RD @01 + 38876.50ns INFO [00038878] Port=0 WR @00=7A4025AE0057C43C3D + 38876.50ns INFO [00038878] Port=0 RD @04 + 38876.50ns INFO [00038878] Port=1 RD @05 + 38877.50ns INFO [00038879] * RD COMPARE * port=0 adr=01 act=4F02B8D757B8F651E3 exp=4F02B8D757B8F651E3 + 38877.50ns INFO [00038879] Port=0 RD @01 + 38878.50ns INFO [00038880] * RD COMPARE * port=0 adr=04 act=7892FDF87250846399 exp=7892FDF87250846399 + 38878.50ns INFO [00038880] * RD COMPARE * port=1 adr=05 act=E6CE6C6B4246C64378 exp=E6CE6C6B4246C64378 + 38878.50ns INFO [00038880] Port=1 RD @07 + 38879.50ns INFO [00038881] * RD COMPARE * port=0 adr=01 act=4F02B8D757B8F651E3 exp=4F02B8D757B8F651E3 + 38879.50ns INFO [00038881] Port=0 WR @02=E7542F2F292B18F78B + 38879.50ns INFO [00038881] Port=0 RD @03 + 38880.50ns INFO [00038882] * RD COMPARE * port=1 adr=07 act=044E63DA83EDB9D26B exp=044E63DA83EDB9D26B + 38880.50ns INFO [00038882] Port=0 WR @05=F6CB1C7FD30EF03755 + 38880.50ns INFO [00038882] Port=0 RD @01 + 38880.50ns INFO [00038882] Port=1 RD @00 + 38881.50ns INFO [00038883] * RD COMPARE * port=0 adr=03 act=60274347B8F55EEEFB exp=60274347B8F55EEEFB + 38882.50ns INFO [00038884] * RD COMPARE * port=0 adr=01 act=4F02B8D757B8F651E3 exp=4F02B8D757B8F651E3 + 38882.50ns INFO [00038884] * RD COMPARE * port=1 adr=00 act=7A4025AE0057C43C3D exp=7A4025AE0057C43C3D + 38883.50ns INFO [00038885] Port=0 WR @07=B90D46B5F7E04BC32B + 38884.50ns INFO [00038886] Port=0 WR @03=7178FD8717CC9B7C52 + 38885.50ns INFO [00038887] Port=0 RD @01 + 38886.50ns INFO [00038888] Port=0 WR @01=D692F539152907327E + 38887.50ns INFO [00038889] * RD COMPARE * port=0 adr=01 act=4F02B8D757B8F651E3 exp=4F02B8D757B8F651E3 + 38888.50ns INFO [00038890] Port=0 WR @07=0E6837F274DE6998D0 + 38888.50ns INFO [00038890] Port=0 RD @06 + 38889.50ns INFO [00038891] Port=0 WR @04=DFD0ED1FFA275ACF37 + 38889.50ns INFO [00038891] Port=1 RD @06 + 38890.50ns INFO [00038892] * RD COMPARE * port=0 adr=06 act=8E0853C69789EB5AEC exp=8E0853C69789EB5AEC + 38890.50ns INFO [00038892] Port=0 WR @07=BBBD034BB4F5BCE895 + 38890.50ns INFO [00038892] Port=0 RD @04 + 38890.50ns INFO [00038892] Port=1 RD @06 + 38891.50ns INFO [00038893] * RD COMPARE * port=1 adr=06 act=8E0853C69789EB5AEC exp=8E0853C69789EB5AEC + 38892.50ns INFO [00038894] * RD COMPARE * port=0 adr=04 act=DFD0ED1FFA275ACF37 exp=DFD0ED1FFA275ACF37 + 38892.50ns INFO [00038894] * RD COMPARE * port=1 adr=06 act=8E0853C69789EB5AEC exp=8E0853C69789EB5AEC + 38892.50ns INFO [00038894] Port=0 WR @02=A3ED9CC6C99AE4AA92 + 38892.50ns INFO [00038894] Port=1 RD @05 + 38893.50ns INFO [00038895] Port=1 RD @07 + 38894.50ns INFO [00038896] * RD COMPARE * port=1 adr=05 act=F6CB1C7FD30EF03755 exp=F6CB1C7FD30EF03755 + 38894.50ns INFO [00038896] Port=0 WR @04=F99D16B95B54EEECF9 + 38894.50ns INFO [00038896] Port=1 RD @06 + 38895.50ns INFO [00038897] * RD COMPARE * port=1 adr=07 act=BBBD034BB4F5BCE895 exp=BBBD034BB4F5BCE895 + 38896.50ns INFO [00038898] * RD COMPARE * port=1 adr=06 act=8E0853C69789EB5AEC exp=8E0853C69789EB5AEC + 38896.50ns INFO [00038898] Port=0 WR @01=2826DCF5A5268370FD + 38896.50ns INFO [00038898] Port=0 RD @02 + 38896.50ns INFO [00038898] Port=1 RD @03 + 38897.50ns INFO [00038899] Port=0 RD @05 + 38898.00ns INFO [00038900] [00038900] ...tick... + 38898.50ns INFO [00038900] * RD COMPARE * port=0 adr=02 act=A3ED9CC6C99AE4AA92 exp=A3ED9CC6C99AE4AA92 + 38898.50ns INFO [00038900] * RD COMPARE * port=1 adr=03 act=7178FD8717CC9B7C52 exp=7178FD8717CC9B7C52 + 38898.50ns INFO [00038900] Port=0 WR @05=A1B5195A8C64BCE784 + 38898.50ns INFO [00038900] Port=1 RD @02 + 38899.50ns INFO [00038901] * RD COMPARE * port=0 adr=05 act=F6CB1C7FD30EF03755 exp=F6CB1C7FD30EF03755 + 38899.50ns INFO [00038901] Port=0 WR @01=C9A0899A01443E9CB3 + 38900.50ns INFO [00038902] * RD COMPARE * port=1 adr=02 act=A3ED9CC6C99AE4AA92 exp=A3ED9CC6C99AE4AA92 + 38901.50ns INFO [00038903] Port=0 WR @00=2F21B1029287F8B8E8 + 38901.50ns INFO [00038903] Port=0 RD @06 + 38902.50ns INFO [00038904] Port=1 RD @04 + 38903.50ns INFO [00038905] * RD COMPARE * port=0 adr=06 act=8E0853C69789EB5AEC exp=8E0853C69789EB5AEC + 38903.50ns INFO [00038905] Port=0 RD @05 + 38903.50ns INFO [00038905] Port=1 RD @00 + 38904.50ns INFO [00038906] * RD COMPARE * port=1 adr=04 act=F99D16B95B54EEECF9 exp=F99D16B95B54EEECF9 + 38904.50ns INFO [00038906] Port=1 RD @00 + 38905.50ns INFO [00038907] * RD COMPARE * port=0 adr=05 act=A1B5195A8C64BCE784 exp=A1B5195A8C64BCE784 + 38905.50ns INFO [00038907] * RD COMPARE * port=1 adr=00 act=2F21B1029287F8B8E8 exp=2F21B1029287F8B8E8 + 38906.50ns INFO [00038908] * RD COMPARE * port=1 adr=00 act=2F21B1029287F8B8E8 exp=2F21B1029287F8B8E8 + 38906.50ns INFO [00038908] Port=0 WR @04=9521A7DD72F06C296C + 38906.50ns INFO [00038908] Port=0 RD @07 + 38906.50ns INFO [00038908] Port=1 RD @00 + 38907.50ns INFO [00038909] Port=0 WR @04=D9500C52AB0609B47F + 38907.50ns INFO [00038909] Port=1 RD @07 + 38908.50ns INFO [00038910] * RD COMPARE * port=0 adr=07 act=BBBD034BB4F5BCE895 exp=BBBD034BB4F5BCE895 + 38908.50ns INFO [00038910] * RD COMPARE * port=1 adr=00 act=2F21B1029287F8B8E8 exp=2F21B1029287F8B8E8 + 38909.50ns INFO [00038911] * RD COMPARE * port=1 adr=07 act=BBBD034BB4F5BCE895 exp=BBBD034BB4F5BCE895 + 38909.50ns INFO [00038911] Port=0 RD @02 + 38910.50ns INFO [00038912] Port=0 WR @03=BC0C9E889B10523AE0 + 38911.50ns INFO [00038913] * RD COMPARE * port=0 adr=02 act=A3ED9CC6C99AE4AA92 exp=A3ED9CC6C99AE4AA92 + 38911.50ns INFO [00038913] Port=1 RD @07 + 38912.50ns INFO [00038914] Port=1 RD @01 + 38913.50ns INFO [00038915] * RD COMPARE * port=1 adr=07 act=BBBD034BB4F5BCE895 exp=BBBD034BB4F5BCE895 + 38913.50ns INFO [00038915] Port=0 WR @01=F0CFFD1E984C5280E7 + 38913.50ns INFO [00038915] Port=0 RD @04 + 38914.50ns INFO [00038916] * RD COMPARE * port=1 adr=01 act=C9A0899A01443E9CB3 exp=C9A0899A01443E9CB3 + 38914.50ns INFO [00038916] Port=0 WR @05=75F27DF836C7B84B9D + 38915.50ns INFO [00038917] * RD COMPARE * port=0 adr=04 act=D9500C52AB0609B47F exp=D9500C52AB0609B47F + 38915.50ns INFO [00038917] Port=0 WR @06=D7BFE04245569D2B2B + 38915.50ns INFO [00038917] Port=1 RD @03 + 38916.50ns INFO [00038918] Port=0 WR @01=5F80ECEC20BB4CC4B1 + 38916.50ns INFO [00038918] Port=0 RD @07 + 38917.50ns INFO [00038919] * RD COMPARE * port=1 adr=03 act=BC0C9E889B10523AE0 exp=BC0C9E889B10523AE0 + 38918.50ns INFO [00038920] * RD COMPARE * port=0 adr=07 act=BBBD034BB4F5BCE895 exp=BBBD034BB4F5BCE895 + 38918.50ns INFO [00038920] Port=0 WR @02=53C2C1A3DE2EF6A90B + 38918.50ns INFO [00038920] Port=1 RD @03 + 38919.50ns INFO [00038921] Port=0 WR @07=50F2AC2EBCFFF80952 + 38919.50ns INFO [00038921] Port=0 RD @00 + 38919.50ns INFO [00038921] Port=1 RD @06 + 38920.50ns INFO [00038922] * RD COMPARE * port=1 adr=03 act=BC0C9E889B10523AE0 exp=BC0C9E889B10523AE0 + 38920.50ns INFO [00038922] Port=0 WR @04=804F9946D5E3375A5E + 38920.50ns INFO [00038922] Port=0 RD @01 + 38921.50ns INFO [00038923] * RD COMPARE * port=0 adr=00 act=2F21B1029287F8B8E8 exp=2F21B1029287F8B8E8 + 38921.50ns INFO [00038923] * RD COMPARE * port=1 adr=06 act=D7BFE04245569D2B2B exp=D7BFE04245569D2B2B + 38921.50ns INFO [00038923] Port=0 WR @04=DAB1209569BA5C86F2 + 38921.50ns INFO [00038923] Port=1 RD @01 + 38922.50ns INFO [00038924] * RD COMPARE * port=0 adr=01 act=5F80ECEC20BB4CC4B1 exp=5F80ECEC20BB4CC4B1 + 38922.50ns INFO [00038924] Port=0 WR @03=D3C71A4985849308EC + 38922.50ns INFO [00038924] Port=0 RD @06 + 38923.50ns INFO [00038925] * RD COMPARE * port=1 adr=01 act=5F80ECEC20BB4CC4B1 exp=5F80ECEC20BB4CC4B1 + 38923.50ns INFO [00038925] Port=0 WR @05=EE8C7EF6D2562C5605 + 38923.50ns INFO [00038925] Port=0 RD @04 + 38924.50ns INFO [00038926] * RD COMPARE * port=0 adr=06 act=D7BFE04245569D2B2B exp=D7BFE04245569D2B2B + 38924.50ns INFO [00038926] Port=1 RD @02 + 38925.50ns INFO [00038927] * RD COMPARE * port=0 adr=04 act=DAB1209569BA5C86F2 exp=DAB1209569BA5C86F2 + 38925.50ns INFO [00038927] Port=0 RD @03 + 38926.50ns INFO [00038928] * RD COMPARE * port=1 adr=02 act=53C2C1A3DE2EF6A90B exp=53C2C1A3DE2EF6A90B + 38926.50ns INFO [00038928] Port=0 WR @07=B5C12F4EE6246DA345 + 38926.50ns INFO [00038928] Port=1 RD @05 + 38927.50ns INFO [00038929] * RD COMPARE * port=0 adr=03 act=D3C71A4985849308EC exp=D3C71A4985849308EC + 38928.50ns INFO [00038930] * RD COMPARE * port=1 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 38929.50ns INFO [00038931] Port=0 WR @01=0DA2517E8E8426A3A2 + 38929.50ns INFO [00038931] Port=0 RD @06 + 38930.50ns INFO [00038932] Port=1 RD @01 + 38931.50ns INFO [00038933] * RD COMPARE * port=0 adr=06 act=D7BFE04245569D2B2B exp=D7BFE04245569D2B2B + 38931.50ns INFO [00038933] Port=0 WR @06=9F4424E10D23CEDEC5 + 38931.50ns INFO [00038933] Port=0 RD @05 + 38932.50ns INFO [00038934] * RD COMPARE * port=1 adr=01 act=0DA2517E8E8426A3A2 exp=0DA2517E8E8426A3A2 + 38932.50ns INFO [00038934] Port=0 RD @01 + 38933.50ns INFO [00038935] * RD COMPARE * port=0 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 38933.50ns INFO [00038935] Port=1 RD @01 + 38934.50ns INFO [00038936] * RD COMPARE * port=0 adr=01 act=0DA2517E8E8426A3A2 exp=0DA2517E8E8426A3A2 + 38934.50ns INFO [00038936] Port=0 WR @01=8901B22AB6BD0F435A + 38934.50ns INFO [00038936] Port=0 RD @05 + 38934.50ns INFO [00038936] Port=1 RD @00 + 38935.50ns INFO [00038937] * RD COMPARE * port=1 adr=01 act=0DA2517E8E8426A3A2 exp=0DA2517E8E8426A3A2 + 38935.50ns INFO [00038937] Port=0 WR @06=42139B94EBBE5D0DF7 + 38935.50ns INFO [00038937] Port=0 RD @05 + 38935.50ns INFO [00038937] Port=1 RD @03 + 38936.50ns INFO [00038938] * RD COMPARE * port=0 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 38936.50ns INFO [00038938] * RD COMPARE * port=1 adr=00 act=2F21B1029287F8B8E8 exp=2F21B1029287F8B8E8 + 38936.50ns INFO [00038938] Port=0 WR @01=B0EB64CDDDDE759EE7 + 38936.50ns INFO [00038938] Port=0 RD @04 + 38936.50ns INFO [00038938] Port=1 RD @06 + 38937.50ns INFO [00038939] * RD COMPARE * port=0 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 38937.50ns INFO [00038939] * RD COMPARE * port=1 adr=03 act=D3C71A4985849308EC exp=D3C71A4985849308EC + 38937.50ns INFO [00038939] Port=0 WR @02=90CE9EAE5E7B25E511 + 38938.50ns INFO [00038940] * RD COMPARE * port=0 adr=04 act=DAB1209569BA5C86F2 exp=DAB1209569BA5C86F2 + 38938.50ns INFO [00038940] * RD COMPARE * port=1 adr=06 act=42139B94EBBE5D0DF7 exp=42139B94EBBE5D0DF7 + 38938.50ns INFO [00038940] Port=0 RD @07 + 38938.50ns INFO [00038940] Port=1 RD @03 + 38939.50ns INFO [00038941] Port=0 WR @06=E0A6FEA3A8C62EE7F0 + 38940.50ns INFO [00038942] * RD COMPARE * port=0 adr=07 act=B5C12F4EE6246DA345 exp=B5C12F4EE6246DA345 + 38940.50ns INFO [00038942] * RD COMPARE * port=1 adr=03 act=D3C71A4985849308EC exp=D3C71A4985849308EC + 38940.50ns INFO [00038942] Port=0 RD @06 + 38940.50ns INFO [00038942] Port=1 RD @03 + 38941.50ns INFO [00038943] Port=0 WR @01=CBF8C852A1FBABAD2D + 38942.50ns INFO [00038944] * RD COMPARE * port=0 adr=06 act=E0A6FEA3A8C62EE7F0 exp=E0A6FEA3A8C62EE7F0 + 38942.50ns INFO [00038944] * RD COMPARE * port=1 adr=03 act=D3C71A4985849308EC exp=D3C71A4985849308EC + 38942.50ns INFO [00038944] Port=0 RD @06 + 38943.50ns INFO [00038945] Port=0 WR @00=36F80CBB632D938099 + 38944.50ns INFO [00038946] * RD COMPARE * port=0 adr=06 act=E0A6FEA3A8C62EE7F0 exp=E0A6FEA3A8C62EE7F0 + 38944.50ns INFO [00038946] Port=0 RD @01 + 38944.50ns INFO [00038946] Port=1 RD @00 + 38945.50ns INFO [00038947] Port=1 RD @07 + 38946.50ns INFO [00038948] * RD COMPARE * port=0 adr=01 act=CBF8C852A1FBABAD2D exp=CBF8C852A1FBABAD2D + 38946.50ns INFO [00038948] * RD COMPARE * port=1 adr=00 act=36F80CBB632D938099 exp=36F80CBB632D938099 + 38946.50ns INFO [00038948] Port=0 RD @06 + 38946.50ns INFO [00038948] Port=1 RD @07 + 38947.50ns INFO [00038949] * RD COMPARE * port=1 adr=07 act=B5C12F4EE6246DA345 exp=B5C12F4EE6246DA345 + 38948.50ns INFO [00038950] * RD COMPARE * port=0 adr=06 act=E0A6FEA3A8C62EE7F0 exp=E0A6FEA3A8C62EE7F0 + 38948.50ns INFO [00038950] * RD COMPARE * port=1 adr=07 act=B5C12F4EE6246DA345 exp=B5C12F4EE6246DA345 + 38948.50ns INFO [00038950] Port=0 RD @06 + 38949.50ns INFO [00038951] Port=0 RD @01 + 38949.50ns INFO [00038951] Port=1 RD @07 + 38950.50ns INFO [00038952] * RD COMPARE * port=0 adr=06 act=E0A6FEA3A8C62EE7F0 exp=E0A6FEA3A8C62EE7F0 + 38951.50ns INFO [00038953] * RD COMPARE * port=0 adr=01 act=CBF8C852A1FBABAD2D exp=CBF8C852A1FBABAD2D + 38951.50ns INFO [00038953] * RD COMPARE * port=1 adr=07 act=B5C12F4EE6246DA345 exp=B5C12F4EE6246DA345 + 38951.50ns INFO [00038953] Port=1 RD @05 + 38952.50ns INFO [00038954] Port=0 RD @07 + 38953.50ns INFO [00038955] * RD COMPARE * port=1 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 38953.50ns INFO [00038955] Port=0 WR @04=9D98E51A7D653CD1DC + 38953.50ns INFO [00038955] Port=1 RD @07 + 38954.50ns INFO [00038956] * RD COMPARE * port=0 adr=07 act=B5C12F4EE6246DA345 exp=B5C12F4EE6246DA345 + 38954.50ns INFO [00038956] Port=0 WR @00=95FC9B07007A8A21BE + 38954.50ns INFO [00038956] Port=0 RD @02 + 38955.50ns INFO [00038957] * RD COMPARE * port=1 adr=07 act=B5C12F4EE6246DA345 exp=B5C12F4EE6246DA345 + 38956.50ns INFO [00038958] * RD COMPARE * port=0 adr=02 act=90CE9EAE5E7B25E511 exp=90CE9EAE5E7B25E511 + 38956.50ns INFO [00038958] Port=0 RD @05 + 38957.50ns INFO [00038959] Port=0 RD @00 + 38958.50ns INFO [00038960] * RD COMPARE * port=0 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 38959.50ns INFO [00038961] * RD COMPARE * port=0 adr=00 act=95FC9B07007A8A21BE exp=95FC9B07007A8A21BE + 38959.50ns INFO [00038961] Port=1 RD @02 + 38960.50ns INFO [00038962] Port=0 WR @00=E51FCF8021042A7D53 + 38960.50ns INFO [00038962] Port=0 RD @03 + 38960.50ns INFO [00038962] Port=1 RD @01 + 38961.50ns INFO [00038963] * RD COMPARE * port=1 adr=02 act=90CE9EAE5E7B25E511 exp=90CE9EAE5E7B25E511 + 38961.50ns INFO [00038963] Port=0 RD @04 + 38962.50ns INFO [00038964] * RD COMPARE * port=0 adr=03 act=D3C71A4985849308EC exp=D3C71A4985849308EC + 38962.50ns INFO [00038964] * RD COMPARE * port=1 adr=01 act=CBF8C852A1FBABAD2D exp=CBF8C852A1FBABAD2D + 38962.50ns INFO [00038964] Port=0 RD @03 + 38963.50ns INFO [00038965] * RD COMPARE * port=0 adr=04 act=9D98E51A7D653CD1DC exp=9D98E51A7D653CD1DC + 38963.50ns INFO [00038965] Port=0 WR @04=0D6A30933FDDEF984F + 38963.50ns INFO [00038965] Port=0 RD @01 + 38963.50ns INFO [00038965] Port=1 RD @02 + 38964.50ns INFO [00038966] * RD COMPARE * port=0 adr=03 act=D3C71A4985849308EC exp=D3C71A4985849308EC + 38964.50ns INFO [00038966] Port=0 WR @02=BCB60C3BB5E7B64679 + 38964.50ns INFO [00038966] Port=0 RD @00 + 38965.50ns INFO [00038967] * RD COMPARE * port=0 adr=01 act=CBF8C852A1FBABAD2D exp=CBF8C852A1FBABAD2D + 38965.50ns INFO [00038967] * RD COMPARE * port=1 adr=02 act=90CE9EAE5E7B25E511 exp=90CE9EAE5E7B25E511 + 38965.50ns INFO [00038967] Port=0 WR @02=9E40015BDB10753BA0 + 38965.50ns INFO [00038967] Port=0 RD @04 + 38966.50ns INFO [00038968] * RD COMPARE * port=0 adr=00 act=E51FCF8021042A7D53 exp=E51FCF8021042A7D53 + 38966.50ns INFO [00038968] Port=0 WR @06=68064907E96A113AAA + 38966.50ns INFO [00038968] Port=1 RD @00 + 38967.50ns INFO [00038969] * RD COMPARE * port=0 adr=04 act=0D6A30933FDDEF984F exp=0D6A30933FDDEF984F + 38967.50ns INFO [00038969] Port=0 WR @03=CEA1BB2D071CF23179 + 38968.50ns INFO [00038970] * RD COMPARE * port=1 adr=00 act=E51FCF8021042A7D53 exp=E51FCF8021042A7D53 + 38971.50ns INFO [00038973] Port=0 WR @00=DC3723F805583B6031 + 38971.50ns INFO [00038973] Port=0 RD @03 + 38971.50ns INFO [00038973] Port=1 RD @02 + 38972.50ns INFO [00038974] Port=0 WR @04=17D41736D34D7112DD + 38972.50ns INFO [00038974] Port=0 RD @06 + 38972.50ns INFO [00038974] Port=1 RD @06 + 38973.50ns INFO [00038975] * RD COMPARE * port=0 adr=03 act=CEA1BB2D071CF23179 exp=CEA1BB2D071CF23179 + 38973.50ns INFO [00038975] * RD COMPARE * port=1 adr=02 act=9E40015BDB10753BA0 exp=9E40015BDB10753BA0 + 38973.50ns INFO [00038975] Port=0 WR @06=90F92843229D776137 + 38974.50ns INFO [00038976] * RD COMPARE * port=0 adr=06 act=68064907E96A113AAA exp=68064907E96A113AAA + 38974.50ns INFO [00038976] * RD COMPARE * port=1 adr=06 act=68064907E96A113AAA exp=68064907E96A113AAA + 38974.50ns INFO [00038976] Port=0 WR @04=115E8FAFFE93B772A5 + 38975.50ns INFO [00038977] Port=0 WR @06=9715F91C7078E497B2 + 38976.50ns INFO [00038978] Port=1 RD @07 + 38977.50ns INFO [00038979] Port=0 WR @00=E0C76A01F1733946E6 + 38977.50ns INFO [00038979] Port=0 RD @06 + 38977.50ns INFO [00038979] Port=1 RD @05 + 38978.50ns INFO [00038980] * RD COMPARE * port=1 adr=07 act=B5C12F4EE6246DA345 exp=B5C12F4EE6246DA345 + 38978.50ns INFO [00038980] Port=0 WR @07=7877E6248574BD93D9 + 38978.50ns INFO [00038980] Port=1 RD @05 + 38979.50ns INFO [00038981] * RD COMPARE * port=0 adr=06 act=9715F91C7078E497B2 exp=9715F91C7078E497B2 + 38979.50ns INFO [00038981] * RD COMPARE * port=1 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 38979.50ns INFO [00038981] Port=0 RD @00 + 38980.50ns INFO [00038982] * RD COMPARE * port=1 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 38980.50ns INFO [00038982] Port=0 WR @00=766931D52C1475BA1D + 38981.50ns INFO [00038983] * RD COMPARE * port=0 adr=00 act=E0C76A01F1733946E6 exp=E0C76A01F1733946E6 + 38981.50ns INFO [00038983] Port=0 WR @02=1B11E278D7AA2C5693 + 38982.50ns INFO [00038984] Port=0 WR @02=3C6F64B72677217FAB + 38982.50ns INFO [00038984] Port=0 RD @00 + 38984.50ns INFO [00038986] * RD COMPARE * port=0 adr=00 act=766931D52C1475BA1D exp=766931D52C1475BA1D + 38985.50ns INFO [00038987] Port=0 WR @01=8B163A7308C9E88164 + 38988.50ns INFO [00038990] Port=0 WR @04=4581C5441A995D972B + 38988.50ns INFO [00038990] Port=0 RD @02 + 38988.50ns INFO [00038990] Port=1 RD @03 + 38989.50ns INFO [00038991] Port=0 WR @02=19AF8D9F4A050C7739 + 38989.50ns INFO [00038991] Port=0 RD @07 + 38989.50ns INFO [00038991] Port=1 RD @04 + 38990.50ns INFO [00038992] * RD COMPARE * port=0 adr=02 act=3C6F64B72677217FAB exp=3C6F64B72677217FAB + 38990.50ns INFO [00038992] * RD COMPARE * port=1 adr=03 act=CEA1BB2D071CF23179 exp=CEA1BB2D071CF23179 + 38991.50ns INFO [00038993] * RD COMPARE * port=0 adr=07 act=7877E6248574BD93D9 exp=7877E6248574BD93D9 + 38991.50ns INFO [00038993] * RD COMPARE * port=1 adr=04 act=4581C5441A995D972B exp=4581C5441A995D972B + 38993.50ns INFO [00038995] Port=0 WR @03=82481E9CAF977290A3 + 38994.50ns INFO [00038996] Port=1 RD @03 + 38995.50ns INFO [00038997] Port=0 WR @01=11CF038F137D4B94B5 + 38995.50ns INFO [00038997] Port=0 RD @07 + 38996.50ns INFO [00038998] * RD COMPARE * port=1 adr=03 act=82481E9CAF977290A3 exp=82481E9CAF977290A3 + 38997.50ns INFO [00038999] * RD COMPARE * port=0 adr=07 act=7877E6248574BD93D9 exp=7877E6248574BD93D9 + 38997.50ns INFO [00038999] Port=0 WR @03=30CC2137E223196FD3 + 38997.50ns INFO [00038999] Port=0 RD @02 + 38998.00ns INFO [00039000] [00039000] ...tick... + 38998.50ns INFO [00039000] Port=0 WR @06=4BAA7183A08FEEDC24 + 38998.50ns INFO [00039000] Port=0 RD @05 + 38999.50ns INFO [00039001] * RD COMPARE * port=0 adr=02 act=19AF8D9F4A050C7739 exp=19AF8D9F4A050C7739 + 39000.50ns INFO [00039002] * RD COMPARE * port=0 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 39000.50ns INFO [00039002] Port=1 RD @04 + 39001.50ns INFO [00039003] Port=1 RD @07 + 39002.50ns INFO [00039004] * RD COMPARE * port=1 adr=04 act=4581C5441A995D972B exp=4581C5441A995D972B + 39003.50ns INFO [00039005] * RD COMPARE * port=1 adr=07 act=7877E6248574BD93D9 exp=7877E6248574BD93D9 + 39003.50ns INFO [00039005] Port=0 WR @00=920644584F4AFD17A0 + 39004.50ns INFO [00039006] Port=0 WR @07=E683FED9303E773741 + 39004.50ns INFO [00039006] Port=0 RD @01 + 39004.50ns INFO [00039006] Port=1 RD @05 + 39005.50ns INFO [00039007] Port=0 RD @03 + 39005.50ns INFO [00039007] Port=1 RD @04 + 39006.50ns INFO [00039008] * RD COMPARE * port=0 adr=01 act=11CF038F137D4B94B5 exp=11CF038F137D4B94B5 + 39006.50ns INFO [00039008] * RD COMPARE * port=1 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 39006.50ns INFO [00039008] Port=0 WR @02=1F361086659B625443 + 39006.50ns INFO [00039008] Port=1 RD @03 + 39007.50ns INFO [00039009] * RD COMPARE * port=0 adr=03 act=30CC2137E223196FD3 exp=30CC2137E223196FD3 + 39007.50ns INFO [00039009] * RD COMPARE * port=1 adr=04 act=4581C5441A995D972B exp=4581C5441A995D972B + 39007.50ns INFO [00039009] Port=0 RD @07 + 39008.50ns INFO [00039010] * RD COMPARE * port=1 adr=03 act=30CC2137E223196FD3 exp=30CC2137E223196FD3 + 39008.50ns INFO [00039010] Port=0 WR @03=D3F9E8A6DF8AA25E4F + 39008.50ns INFO [00039010] Port=1 RD @06 + 39009.50ns INFO [00039011] * RD COMPARE * port=0 adr=07 act=E683FED9303E773741 exp=E683FED9303E773741 + 39009.50ns INFO [00039011] Port=0 WR @03=976B2AEF8AA86CF1C9 + 39009.50ns INFO [00039011] Port=0 RD @01 + 39009.50ns INFO [00039011] Port=1 RD @00 + 39010.50ns INFO [00039012] * RD COMPARE * port=1 adr=06 act=4BAA7183A08FEEDC24 exp=4BAA7183A08FEEDC24 + 39010.50ns INFO [00039012] Port=0 RD @00 + 39011.50ns INFO [00039013] * RD COMPARE * port=0 adr=01 act=11CF038F137D4B94B5 exp=11CF038F137D4B94B5 + 39011.50ns INFO [00039013] * RD COMPARE * port=1 adr=00 act=920644584F4AFD17A0 exp=920644584F4AFD17A0 + 39011.50ns INFO [00039013] Port=0 WR @04=6DA9E08C5A3C7BE8A4 + 39011.50ns INFO [00039013] Port=1 RD @00 + 39012.50ns INFO [00039014] * RD COMPARE * port=0 adr=00 act=920644584F4AFD17A0 exp=920644584F4AFD17A0 + 39012.50ns INFO [00039014] Port=1 RD @00 + 39013.50ns INFO [00039015] * RD COMPARE * port=1 adr=00 act=920644584F4AFD17A0 exp=920644584F4AFD17A0 + 39013.50ns INFO [00039015] Port=1 RD @06 + 39014.50ns INFO [00039016] * RD COMPARE * port=1 adr=00 act=920644584F4AFD17A0 exp=920644584F4AFD17A0 + 39015.50ns INFO [00039017] * RD COMPARE * port=1 adr=06 act=4BAA7183A08FEEDC24 exp=4BAA7183A08FEEDC24 + 39016.50ns INFO [00039018] Port=0 RD @04 + 39016.50ns INFO [00039018] Port=1 RD @04 + 39017.50ns INFO [00039019] Port=0 WR @01=8EC41B7189EB333AB0 + 39017.50ns INFO [00039019] Port=1 RD @04 + 39018.50ns INFO [00039020] * RD COMPARE * port=0 adr=04 act=6DA9E08C5A3C7BE8A4 exp=6DA9E08C5A3C7BE8A4 + 39018.50ns INFO [00039020] * RD COMPARE * port=1 adr=04 act=6DA9E08C5A3C7BE8A4 exp=6DA9E08C5A3C7BE8A4 + 39018.50ns INFO [00039020] Port=0 WR @06=A779534484C9C3F4AF + 39018.50ns INFO [00039020] Port=0 RD @05 + 39018.50ns INFO [00039020] Port=1 RD @07 + 39019.50ns INFO [00039021] * RD COMPARE * port=1 adr=04 act=6DA9E08C5A3C7BE8A4 exp=6DA9E08C5A3C7BE8A4 + 39019.50ns INFO [00039021] Port=1 RD @00 + 39020.50ns INFO [00039022] * RD COMPARE * port=0 adr=05 act=EE8C7EF6D2562C5605 exp=EE8C7EF6D2562C5605 + 39020.50ns INFO [00039022] * RD COMPARE * port=1 adr=07 act=E683FED9303E773741 exp=E683FED9303E773741 + 39020.50ns INFO [00039022] Port=0 WR @05=3C5A2C7861DAB7A054 + 39021.50ns INFO [00039023] * RD COMPARE * port=1 adr=00 act=920644584F4AFD17A0 exp=920644584F4AFD17A0 + 39021.50ns INFO [00039023] Port=0 RD @01 + 39022.50ns INFO [00039024] Port=1 RD @04 + 39023.50ns INFO [00039025] * RD COMPARE * port=0 adr=01 act=8EC41B7189EB333AB0 exp=8EC41B7189EB333AB0 + 39023.50ns INFO [00039025] Port=0 WR @05=87DBD8CEC63E9E902E + 39024.50ns INFO [00039026] * RD COMPARE * port=1 adr=04 act=6DA9E08C5A3C7BE8A4 exp=6DA9E08C5A3C7BE8A4 + 39024.50ns INFO [00039026] Port=0 WR @00=9AC62252EE53A47D88 + 39024.50ns INFO [00039026] Port=1 RD @06 + 39025.50ns INFO [00039027] Port=1 RD @04 + 39026.50ns INFO [00039028] * RD COMPARE * port=1 adr=06 act=A779534484C9C3F4AF exp=A779534484C9C3F4AF + 39026.50ns INFO [00039028] Port=1 RD @07 + 39027.50ns INFO [00039029] * RD COMPARE * port=1 adr=04 act=6DA9E08C5A3C7BE8A4 exp=6DA9E08C5A3C7BE8A4 + 39027.50ns INFO [00039029] Port=0 WR @01=A2E5436CCDB5BD7B74 + 39028.50ns INFO [00039030] * RD COMPARE * port=1 adr=07 act=E683FED9303E773741 exp=E683FED9303E773741 + 39028.50ns INFO [00039030] Port=0 WR @00=DFDD3FFA4C430D2351 + 39029.50ns INFO [00039031] Port=0 WR @02=C9531520E436F5BE10 + 39029.50ns INFO [00039031] Port=0 RD @06 + 39031.50ns INFO [00039033] * RD COMPARE * port=0 adr=06 act=A779534484C9C3F4AF exp=A779534484C9C3F4AF + 39031.50ns INFO [00039033] Port=0 WR @00=C2499EABBC5C24F39E + 39032.50ns INFO [00039034] Port=0 RD @06 + 39033.50ns INFO [00039035] Port=1 RD @05 + 39034.50ns INFO [00039036] * RD COMPARE * port=0 adr=06 act=A779534484C9C3F4AF exp=A779534484C9C3F4AF + 39034.50ns INFO [00039036] Port=1 RD @06 + 39035.50ns INFO [00039037] * RD COMPARE * port=1 adr=05 act=87DBD8CEC63E9E902E exp=87DBD8CEC63E9E902E + 39035.50ns INFO [00039037] Port=0 WR @07=13638292B40C942656 + 39036.50ns INFO [00039038] * RD COMPARE * port=1 adr=06 act=A779534484C9C3F4AF exp=A779534484C9C3F4AF + 39036.50ns INFO [00039038] Port=0 RD @07 + 39036.50ns INFO [00039038] Port=1 RD @07 + 39038.50ns INFO [00039040] * RD COMPARE * port=0 adr=07 act=13638292B40C942656 exp=13638292B40C942656 + 39038.50ns INFO [00039040] * RD COMPARE * port=1 adr=07 act=13638292B40C942656 exp=13638292B40C942656 + 39038.50ns INFO [00039040] Port=0 WR @06=05EAEC189207C5842C + 39039.50ns INFO [00039041] Port=0 RD @03 + 39041.50ns INFO [00039043] * RD COMPARE * port=0 adr=03 act=976B2AEF8AA86CF1C9 exp=976B2AEF8AA86CF1C9 + 39041.50ns INFO [00039043] Port=0 RD @00 + 39042.50ns INFO [00039044] Port=0 WR @07=4005770E37DE1BA40A + 39042.50ns INFO [00039044] Port=1 RD @01 + 39043.50ns INFO [00039045] * RD COMPARE * port=0 adr=00 act=C2499EABBC5C24F39E exp=C2499EABBC5C24F39E + 39043.50ns INFO [00039045] Port=0 WR @02=BAB461E9008F7FF480 + 39043.50ns INFO [00039045] Port=1 RD @05 + 39044.50ns INFO [00039046] * RD COMPARE * port=1 adr=01 act=A2E5436CCDB5BD7B74 exp=A2E5436CCDB5BD7B74 + 39044.50ns INFO [00039046] Port=0 RD @05 + 39044.50ns INFO [00039046] Port=1 RD @05 + 39045.50ns INFO [00039047] * RD COMPARE * port=1 adr=05 act=87DBD8CEC63E9E902E exp=87DBD8CEC63E9E902E + 39045.50ns INFO [00039047] Port=0 RD @01 + 39045.50ns INFO [00039047] Port=1 RD @03 + 39046.50ns INFO [00039048] * RD COMPARE * port=0 adr=05 act=87DBD8CEC63E9E902E exp=87DBD8CEC63E9E902E + 39046.50ns INFO [00039048] * RD COMPARE * port=1 adr=05 act=87DBD8CEC63E9E902E exp=87DBD8CEC63E9E902E + 39047.50ns INFO [00039049] * RD COMPARE * port=0 adr=01 act=A2E5436CCDB5BD7B74 exp=A2E5436CCDB5BD7B74 + 39047.50ns INFO [00039049] * RD COMPARE * port=1 adr=03 act=976B2AEF8AA86CF1C9 exp=976B2AEF8AA86CF1C9 + 39047.50ns INFO [00039049] Port=0 RD @05 + 39048.50ns INFO [00039050] Port=0 WR @06=9A0978C8F84C074ED8 + 39049.50ns INFO [00039051] * RD COMPARE * port=0 adr=05 act=87DBD8CEC63E9E902E exp=87DBD8CEC63E9E902E + 39049.50ns INFO [00039051] Port=1 RD @00 + 39051.50ns INFO [00039053] * RD COMPARE * port=1 adr=00 act=C2499EABBC5C24F39E exp=C2499EABBC5C24F39E + 39051.50ns INFO [00039053] Port=0 RD @02 + 39052.50ns INFO [00039054] Port=0 WR @01=19E6D34BC3564391D7 + 39052.50ns INFO [00039054] Port=0 RD @06 + 39052.50ns INFO [00039054] Port=1 RD @06 + 39053.50ns INFO [00039055] * RD COMPARE * port=0 adr=02 act=BAB461E9008F7FF480 exp=BAB461E9008F7FF480 + 39053.50ns INFO [00039055] Port=1 RD @05 + 39054.50ns INFO [00039056] * RD COMPARE * port=0 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39054.50ns INFO [00039056] * RD COMPARE * port=1 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39054.50ns INFO [00039056] Port=0 RD @02 + 39055.50ns INFO [00039057] * RD COMPARE * port=1 adr=05 act=87DBD8CEC63E9E902E exp=87DBD8CEC63E9E902E + 39055.50ns INFO [00039057] Port=0 RD @05 + 39056.50ns INFO [00039058] * RD COMPARE * port=0 adr=02 act=BAB461E9008F7FF480 exp=BAB461E9008F7FF480 + 39056.50ns INFO [00039058] Port=0 WR @00=056EE7DAE3A5B9CC7E + 39057.50ns INFO [00039059] * RD COMPARE * port=0 adr=05 act=87DBD8CEC63E9E902E exp=87DBD8CEC63E9E902E + 39057.50ns INFO [00039059] Port=0 WR @04=A68162851CD2BDEB4F + 39057.50ns INFO [00039059] Port=1 RD @02 + 39059.50ns INFO [00039061] * RD COMPARE * port=1 adr=02 act=BAB461E9008F7FF480 exp=BAB461E9008F7FF480 + 39060.50ns INFO [00039062] Port=0 WR @02=29BD5ADD2064222278 + 39060.50ns INFO [00039062] Port=0 RD @00 + 39061.50ns INFO [00039063] Port=1 RD @05 + 39062.50ns INFO [00039064] * RD COMPARE * port=0 adr=00 act=056EE7DAE3A5B9CC7E exp=056EE7DAE3A5B9CC7E + 39062.50ns INFO [00039064] Port=0 WR @07=F94FE86F4F2FFDB8BA + 39063.50ns INFO [00039065] * RD COMPARE * port=1 adr=05 act=87DBD8CEC63E9E902E exp=87DBD8CEC63E9E902E + 39063.50ns INFO [00039065] Port=0 RD @04 + 39064.50ns INFO [00039066] Port=0 WR @05=884AE43BA6E385DF4B + 39064.50ns INFO [00039066] Port=1 RD @04 + 39065.50ns INFO [00039067] * RD COMPARE * port=0 adr=04 act=A68162851CD2BDEB4F exp=A68162851CD2BDEB4F + 39065.50ns INFO [00039067] Port=1 RD @03 + 39066.50ns INFO [00039068] * RD COMPARE * port=1 adr=04 act=A68162851CD2BDEB4F exp=A68162851CD2BDEB4F + 39066.50ns INFO [00039068] Port=0 WR @03=BCEAD5448A9E43DE9B + 39066.50ns INFO [00039068] Port=1 RD @02 + 39067.50ns INFO [00039069] * RD COMPARE * port=1 adr=03 act=976B2AEF8AA86CF1C9 exp=976B2AEF8AA86CF1C9 + 39067.50ns INFO [00039069] Port=0 WR @02=9B72A64F2C9C07DD7D + 39068.50ns INFO [00039070] * RD COMPARE * port=1 adr=02 act=29BD5ADD2064222278 exp=29BD5ADD2064222278 + 39068.50ns INFO [00039070] Port=1 RD @05 + 39069.50ns INFO [00039071] Port=0 WR @01=E16168F1D4D00B906F + 39069.50ns INFO [00039071] Port=0 RD @07 + 39070.50ns INFO [00039072] * RD COMPARE * port=1 adr=05 act=884AE43BA6E385DF4B exp=884AE43BA6E385DF4B + 39070.50ns INFO [00039072] Port=0 RD @03 + 39071.50ns INFO [00039073] * RD COMPARE * port=0 adr=07 act=F94FE86F4F2FFDB8BA exp=F94FE86F4F2FFDB8BA + 39072.50ns INFO [00039074] * RD COMPARE * port=0 adr=03 act=BCEAD5448A9E43DE9B exp=BCEAD5448A9E43DE9B + 39072.50ns INFO [00039074] Port=1 RD @03 + 39073.50ns INFO [00039075] Port=0 WR @01=1C6F9BFB486B3D3AD8 + 39074.50ns INFO [00039076] * RD COMPARE * port=1 adr=03 act=BCEAD5448A9E43DE9B exp=BCEAD5448A9E43DE9B + 39074.50ns INFO [00039076] Port=0 WR @07=B2EC4B8BE079FE34B1 + 39074.50ns INFO [00039076] Port=0 RD @04 + 39075.50ns INFO [00039077] Port=0 WR @03=DB268D9AE9C25C1EBA + 39076.50ns INFO [00039078] * RD COMPARE * port=0 adr=04 act=A68162851CD2BDEB4F exp=A68162851CD2BDEB4F + 39076.50ns INFO [00039078] Port=0 RD @05 + 39077.50ns INFO [00039079] Port=0 WR @04=60C557BBE41EC1C7DB + 39078.50ns INFO [00039080] * RD COMPARE * port=0 adr=05 act=884AE43BA6E385DF4B exp=884AE43BA6E385DF4B + 39078.50ns INFO [00039080] Port=0 RD @01 + 39080.50ns INFO [00039082] * RD COMPARE * port=0 adr=01 act=1C6F9BFB486B3D3AD8 exp=1C6F9BFB486B3D3AD8 + 39080.50ns INFO [00039082] Port=0 WR @07=B241C83DD17792D1F8 + 39082.50ns INFO [00039084] Port=0 RD @06 + 39083.50ns INFO [00039085] Port=1 RD @06 + 39084.50ns INFO [00039086] * RD COMPARE * port=0 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39084.50ns INFO [00039086] Port=1 RD @07 + 39085.50ns INFO [00039087] * RD COMPARE * port=1 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39085.50ns INFO [00039087] Port=0 WR @07=CB133ADB8DCF166871 + 39085.50ns INFO [00039087] Port=0 RD @02 + 39086.50ns INFO [00039088] * RD COMPARE * port=1 adr=07 act=B241C83DD17792D1F8 exp=B241C83DD17792D1F8 + 39086.50ns INFO [00039088] Port=0 WR @04=6F64048BA707C5A514 + 39086.50ns INFO [00039088] Port=1 RD @06 + 39087.50ns INFO [00039089] * RD COMPARE * port=0 adr=02 act=9B72A64F2C9C07DD7D exp=9B72A64F2C9C07DD7D + 39088.50ns INFO [00039090] * RD COMPARE * port=1 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39088.50ns INFO [00039090] Port=0 WR @02=7AAFF2CD5CFA048FEE + 39089.50ns INFO [00039091] Port=0 WR @07=DA722BC974C2FEF97F + 39089.50ns INFO [00039091] Port=0 RD @02 + 39091.50ns INFO [00039093] * RD COMPARE * port=0 adr=02 act=7AAFF2CD5CFA048FEE exp=7AAFF2CD5CFA048FEE + 39091.50ns INFO [00039093] Port=0 RD @02 + 39091.50ns INFO [00039093] Port=1 RD @00 + 39093.50ns INFO [00039095] * RD COMPARE * port=0 adr=02 act=7AAFF2CD5CFA048FEE exp=7AAFF2CD5CFA048FEE + 39093.50ns INFO [00039095] * RD COMPARE * port=1 adr=00 act=056EE7DAE3A5B9CC7E exp=056EE7DAE3A5B9CC7E + 39093.50ns INFO [00039095] Port=0 RD @03 + 39094.50ns INFO [00039096] Port=0 RD @00 + 39095.50ns INFO [00039097] * RD COMPARE * port=0 adr=03 act=DB268D9AE9C25C1EBA exp=DB268D9AE9C25C1EBA + 39095.50ns INFO [00039097] Port=0 RD @01 + 39096.50ns INFO [00039098] * RD COMPARE * port=0 adr=00 act=056EE7DAE3A5B9CC7E exp=056EE7DAE3A5B9CC7E + 39096.50ns INFO [00039098] Port=0 WR @03=1076D263529183A6A6 + 39096.50ns INFO [00039098] Port=0 RD @04 + 39097.50ns INFO [00039099] * RD COMPARE * port=0 adr=01 act=1C6F9BFB486B3D3AD8 exp=1C6F9BFB486B3D3AD8 + 39097.50ns INFO [00039099] Port=1 RD @01 + 39098.00ns INFO [00039100] [00039100] ...tick... + 39098.50ns INFO [00039100] * RD COMPARE * port=0 adr=04 act=6F64048BA707C5A514 exp=6F64048BA707C5A514 + 39098.50ns INFO [00039100] Port=0 RD @00 + 39098.50ns INFO [00039100] Port=1 RD @05 + 39099.50ns INFO [00039101] * RD COMPARE * port=1 adr=01 act=1C6F9BFB486B3D3AD8 exp=1C6F9BFB486B3D3AD8 + 39099.50ns INFO [00039101] Port=0 RD @03 + 39100.50ns INFO [00039102] * RD COMPARE * port=0 adr=00 act=056EE7DAE3A5B9CC7E exp=056EE7DAE3A5B9CC7E + 39100.50ns INFO [00039102] * RD COMPARE * port=1 adr=05 act=884AE43BA6E385DF4B exp=884AE43BA6E385DF4B + 39100.50ns INFO [00039102] Port=1 RD @03 + 39101.50ns INFO [00039103] * RD COMPARE * port=0 adr=03 act=1076D263529183A6A6 exp=1076D263529183A6A6 + 39102.50ns INFO [00039104] * RD COMPARE * port=1 adr=03 act=1076D263529183A6A6 exp=1076D263529183A6A6 + 39102.50ns INFO [00039104] Port=1 RD @05 + 39103.50ns INFO [00039105] Port=0 WR @01=A671ACC396BC07FCC9 + 39103.50ns INFO [00039105] Port=0 RD @07 + 39103.50ns INFO [00039105] Port=1 RD @03 + 39104.50ns INFO [00039106] * RD COMPARE * port=1 adr=05 act=884AE43BA6E385DF4B exp=884AE43BA6E385DF4B + 39104.50ns INFO [00039106] Port=0 RD @01 + 39105.50ns INFO [00039107] * RD COMPARE * port=0 adr=07 act=DA722BC974C2FEF97F exp=DA722BC974C2FEF97F + 39105.50ns INFO [00039107] * RD COMPARE * port=1 adr=03 act=1076D263529183A6A6 exp=1076D263529183A6A6 + 39105.50ns INFO [00039107] Port=0 WR @07=59B752FDE174171773 + 39105.50ns INFO [00039107] Port=1 RD @05 + 39106.50ns INFO [00039108] * RD COMPARE * port=0 adr=01 act=A671ACC396BC07FCC9 exp=A671ACC396BC07FCC9 + 39106.50ns INFO [00039108] Port=0 RD @03 + 39106.50ns INFO [00039108] Port=1 RD @00 + 39107.50ns INFO [00039109] * RD COMPARE * port=1 adr=05 act=884AE43BA6E385DF4B exp=884AE43BA6E385DF4B + 39108.50ns INFO [00039110] * RD COMPARE * port=0 adr=03 act=1076D263529183A6A6 exp=1076D263529183A6A6 + 39108.50ns INFO [00039110] * RD COMPARE * port=1 adr=00 act=056EE7DAE3A5B9CC7E exp=056EE7DAE3A5B9CC7E + 39109.50ns INFO [00039111] Port=1 RD @05 + 39110.50ns INFO [00039112] Port=0 RD @07 + 39110.50ns INFO [00039112] Port=1 RD @00 + 39111.50ns INFO [00039113] * RD COMPARE * port=1 adr=05 act=884AE43BA6E385DF4B exp=884AE43BA6E385DF4B + 39111.50ns INFO [00039113] Port=0 WR @05=D3BBE4620BB1F6BD7D + 39111.50ns INFO [00039113] Port=0 RD @03 + 39111.50ns INFO [00039113] Port=1 RD @00 + 39112.50ns INFO [00039114] * RD COMPARE * port=0 adr=07 act=59B752FDE174171773 exp=59B752FDE174171773 + 39112.50ns INFO [00039114] * RD COMPARE * port=1 adr=00 act=056EE7DAE3A5B9CC7E exp=056EE7DAE3A5B9CC7E + 39112.50ns INFO [00039114] Port=0 WR @07=41D491B68D9DAE06BB + 39112.50ns INFO [00039114] Port=1 RD @01 + 39113.50ns INFO [00039115] * RD COMPARE * port=0 adr=03 act=1076D263529183A6A6 exp=1076D263529183A6A6 + 39113.50ns INFO [00039115] * RD COMPARE * port=1 adr=00 act=056EE7DAE3A5B9CC7E exp=056EE7DAE3A5B9CC7E + 39113.50ns INFO [00039115] Port=0 WR @00=20C831F0EB76A64C8C + 39113.50ns INFO [00039115] Port=0 RD @06 + 39114.50ns INFO [00039116] * RD COMPARE * port=1 adr=01 act=A671ACC396BC07FCC9 exp=A671ACC396BC07FCC9 + 39114.50ns INFO [00039116] Port=0 RD @01 + 39114.50ns INFO [00039116] Port=1 RD @05 + 39115.50ns INFO [00039117] * RD COMPARE * port=0 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39115.50ns INFO [00039117] Port=1 RD @00 + 39116.50ns INFO [00039118] * RD COMPARE * port=0 adr=01 act=A671ACC396BC07FCC9 exp=A671ACC396BC07FCC9 + 39116.50ns INFO [00039118] * RD COMPARE * port=1 adr=05 act=D3BBE4620BB1F6BD7D exp=D3BBE4620BB1F6BD7D + 39117.50ns INFO [00039119] * RD COMPARE * port=1 adr=00 act=20C831F0EB76A64C8C exp=20C831F0EB76A64C8C + 39117.50ns INFO [00039119] Port=1 RD @07 + 39118.50ns INFO [00039120] Port=0 WR @04=4A3B0DE049605672D3 + 39118.50ns INFO [00039120] Port=0 RD @05 + 39119.50ns INFO [00039121] * RD COMPARE * port=1 adr=07 act=41D491B68D9DAE06BB exp=41D491B68D9DAE06BB + 39119.50ns INFO [00039121] Port=0 RD @04 + 39120.50ns INFO [00039122] * RD COMPARE * port=0 adr=05 act=D3BBE4620BB1F6BD7D exp=D3BBE4620BB1F6BD7D + 39120.50ns INFO [00039122] Port=0 WR @03=67CA66D42C0086F723 + 39121.50ns INFO [00039123] * RD COMPARE * port=0 adr=04 act=4A3B0DE049605672D3 exp=4A3B0DE049605672D3 + 39121.50ns INFO [00039123] Port=0 WR @00=9691745A12648F7FD4 + 39122.50ns INFO [00039124] Port=0 WR @00=CF70C3753B7FB5CC92 + 39122.50ns INFO [00039124] Port=1 RD @01 + 39123.50ns INFO [00039125] Port=0 RD @07 + 39124.50ns INFO [00039126] * RD COMPARE * port=1 adr=01 act=A671ACC396BC07FCC9 exp=A671ACC396BC07FCC9 + 39124.50ns INFO [00039126] Port=0 RD @06 + 39125.50ns INFO [00039127] * RD COMPARE * port=0 adr=07 act=41D491B68D9DAE06BB exp=41D491B68D9DAE06BB + 39125.50ns INFO [00039127] Port=0 WR @03=623E1D35D8D8822BBE + 39126.50ns INFO [00039128] * RD COMPARE * port=0 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39126.50ns INFO [00039128] Port=0 RD @05 + 39127.50ns INFO [00039129] Port=0 WR @00=D0FE66DB3EECB111F5 + 39127.50ns INFO [00039129] Port=0 RD @05 + 39128.50ns INFO [00039130] * RD COMPARE * port=0 adr=05 act=D3BBE4620BB1F6BD7D exp=D3BBE4620BB1F6BD7D + 39128.50ns INFO [00039130] Port=0 WR @07=9AE4A5CC5D4733B815 + 39129.50ns INFO [00039131] * RD COMPARE * port=0 adr=05 act=D3BBE4620BB1F6BD7D exp=D3BBE4620BB1F6BD7D + 39129.50ns INFO [00039131] Port=1 RD @00 + 39130.50ns INFO [00039132] Port=0 RD @05 + 39131.50ns INFO [00039133] * RD COMPARE * port=1 adr=00 act=D0FE66DB3EECB111F5 exp=D0FE66DB3EECB111F5 + 39131.50ns INFO [00039133] Port=0 WR @03=43E512B47091599450 + 39132.50ns INFO [00039134] * RD COMPARE * port=0 adr=05 act=D3BBE4620BB1F6BD7D exp=D3BBE4620BB1F6BD7D + 39133.50ns INFO [00039135] Port=0 RD @02 + 39135.50ns INFO [00039137] * RD COMPARE * port=0 adr=02 act=7AAFF2CD5CFA048FEE exp=7AAFF2CD5CFA048FEE + 39135.50ns INFO [00039137] Port=0 RD @06 + 39136.50ns INFO [00039138] Port=1 RD @03 + 39137.50ns INFO [00039139] * RD COMPARE * port=0 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39137.50ns INFO [00039139] Port=0 RD @06 + 39138.50ns INFO [00039140] * RD COMPARE * port=1 adr=03 act=43E512B47091599450 exp=43E512B47091599450 + 39138.50ns INFO [00039140] Port=1 RD @06 + 39139.50ns INFO [00039141] * RD COMPARE * port=0 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39140.50ns INFO [00039142] * RD COMPARE * port=1 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39140.50ns INFO [00039142] Port=0 WR @04=9882012F659CCE673D + 39141.50ns INFO [00039143] Port=0 RD @05 + 39141.50ns INFO [00039143] Port=1 RD @03 + 39142.50ns INFO [00039144] Port=0 WR @05=C0D0CC0EADD0F5323B + 39142.50ns INFO [00039144] Port=0 RD @00 + 39143.50ns INFO [00039145] * RD COMPARE * port=0 adr=05 act=D3BBE4620BB1F6BD7D exp=D3BBE4620BB1F6BD7D + 39143.50ns INFO [00039145] * RD COMPARE * port=1 adr=03 act=43E512B47091599450 exp=43E512B47091599450 + 39144.50ns INFO [00039146] * RD COMPARE * port=0 adr=00 act=D0FE66DB3EECB111F5 exp=D0FE66DB3EECB111F5 + 39144.50ns INFO [00039146] Port=0 WR @00=699FA448001B676135 + 39144.50ns INFO [00039146] Port=0 RD @02 + 39144.50ns INFO [00039146] Port=1 RD @07 + 39145.50ns INFO [00039147] Port=0 WR @01=8A9526F1099BFA86FE + 39145.50ns INFO [00039147] Port=1 RD @03 + 39146.50ns INFO [00039148] * RD COMPARE * port=0 adr=02 act=7AAFF2CD5CFA048FEE exp=7AAFF2CD5CFA048FEE + 39146.50ns INFO [00039148] * RD COMPARE * port=1 adr=07 act=9AE4A5CC5D4733B815 exp=9AE4A5CC5D4733B815 + 39146.50ns INFO [00039148] Port=0 WR @01=A7E61F817EA8206988 + 39146.50ns INFO [00039148] Port=0 RD @07 + 39147.50ns INFO [00039149] * RD COMPARE * port=1 adr=03 act=43E512B47091599450 exp=43E512B47091599450 + 39147.50ns INFO [00039149] Port=0 RD @03 + 39148.50ns INFO [00039150] * RD COMPARE * port=0 adr=07 act=9AE4A5CC5D4733B815 exp=9AE4A5CC5D4733B815 + 39148.50ns INFO [00039150] Port=0 RD @07 + 39149.50ns INFO [00039151] * RD COMPARE * port=0 adr=03 act=43E512B47091599450 exp=43E512B47091599450 + 39149.50ns INFO [00039151] Port=0 WR @05=DF63F46B3F3CCE0142 + 39149.50ns INFO [00039151] Port=1 RD @07 + 39150.50ns INFO [00039152] * RD COMPARE * port=0 adr=07 act=9AE4A5CC5D4733B815 exp=9AE4A5CC5D4733B815 + 39150.50ns INFO [00039152] Port=0 RD @01 + 39151.50ns INFO [00039153] * RD COMPARE * port=1 adr=07 act=9AE4A5CC5D4733B815 exp=9AE4A5CC5D4733B815 + 39152.50ns INFO [00039154] * RD COMPARE * port=0 adr=01 act=A7E61F817EA8206988 exp=A7E61F817EA8206988 + 39152.50ns INFO [00039154] Port=1 RD @06 + 39153.50ns INFO [00039155] Port=0 WR @01=2C6918561B0245723B + 39154.50ns INFO [00039156] * RD COMPARE * port=1 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39155.50ns INFO [00039157] Port=0 WR @04=B9528054D639DCC784 + 39155.50ns INFO [00039157] Port=1 RD @02 + 39156.50ns INFO [00039158] Port=0 RD @00 + 39156.50ns INFO [00039158] Port=1 RD @00 + 39157.50ns INFO [00039159] * RD COMPARE * port=1 adr=02 act=7AAFF2CD5CFA048FEE exp=7AAFF2CD5CFA048FEE + 39157.50ns INFO [00039159] Port=0 RD @04 + 39158.50ns INFO [00039160] * RD COMPARE * port=0 adr=00 act=699FA448001B676135 exp=699FA448001B676135 + 39158.50ns INFO [00039160] * RD COMPARE * port=1 adr=00 act=699FA448001B676135 exp=699FA448001B676135 + 39158.50ns INFO [00039160] Port=0 RD @00 + 39158.50ns INFO [00039160] Port=1 RD @02 + 39159.50ns INFO [00039161] * RD COMPARE * port=0 adr=04 act=B9528054D639DCC784 exp=B9528054D639DCC784 + 39159.50ns INFO [00039161] Port=1 RD @05 + 39160.50ns INFO [00039162] * RD COMPARE * port=0 adr=00 act=699FA448001B676135 exp=699FA448001B676135 + 39160.50ns INFO [00039162] * RD COMPARE * port=1 adr=02 act=7AAFF2CD5CFA048FEE exp=7AAFF2CD5CFA048FEE + 39160.50ns INFO [00039162] Port=0 RD @01 + 39161.50ns INFO [00039163] * RD COMPARE * port=1 adr=05 act=DF63F46B3F3CCE0142 exp=DF63F46B3F3CCE0142 + 39161.50ns INFO [00039163] Port=0 WR @05=C607B1189037253059 + 39161.50ns INFO [00039163] Port=0 RD @07 + 39162.50ns INFO [00039164] * RD COMPARE * port=0 adr=01 act=2C6918561B0245723B exp=2C6918561B0245723B + 39163.50ns INFO [00039165] * RD COMPARE * port=0 adr=07 act=9AE4A5CC5D4733B815 exp=9AE4A5CC5D4733B815 + 39163.50ns INFO [00039165] Port=0 WR @01=D30051366572B0B12B + 39163.50ns INFO [00039165] Port=0 RD @02 + 39163.50ns INFO [00039165] Port=1 RD @07 + 39164.50ns INFO [00039166] Port=0 RD @02 + 39165.50ns INFO [00039167] * RD COMPARE * port=0 adr=02 act=7AAFF2CD5CFA048FEE exp=7AAFF2CD5CFA048FEE + 39165.50ns INFO [00039167] * RD COMPARE * port=1 adr=07 act=9AE4A5CC5D4733B815 exp=9AE4A5CC5D4733B815 + 39165.50ns INFO [00039167] Port=1 RD @01 + 39166.50ns INFO [00039168] * RD COMPARE * port=0 adr=02 act=7AAFF2CD5CFA048FEE exp=7AAFF2CD5CFA048FEE + 39166.50ns INFO [00039168] Port=1 RD @06 + 39167.50ns INFO [00039169] * RD COMPARE * port=1 adr=01 act=D30051366572B0B12B exp=D30051366572B0B12B + 39168.50ns INFO [00039170] * RD COMPARE * port=1 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39168.50ns INFO [00039170] Port=0 RD @02 + 39169.50ns INFO [00039171] Port=0 RD @07 + 39170.50ns INFO [00039172] * RD COMPARE * port=0 adr=02 act=7AAFF2CD5CFA048FEE exp=7AAFF2CD5CFA048FEE + 39171.50ns INFO [00039173] * RD COMPARE * port=0 adr=07 act=9AE4A5CC5D4733B815 exp=9AE4A5CC5D4733B815 + 39171.50ns INFO [00039173] Port=0 WR @00=C81E607EBE05ADE83B + 39171.50ns INFO [00039173] Port=1 RD @06 + 39173.50ns INFO [00039175] * RD COMPARE * port=1 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39173.50ns INFO [00039175] Port=0 WR @00=67A8BE8882AB49CBEC + 39173.50ns INFO [00039175] Port=1 RD @02 + 39174.50ns INFO [00039176] Port=0 WR @07=3177F63DF4E63B8AC9 + 39175.50ns INFO [00039177] * RD COMPARE * port=1 adr=02 act=7AAFF2CD5CFA048FEE exp=7AAFF2CD5CFA048FEE + 39175.50ns INFO [00039177] Port=0 RD @03 + 39176.50ns INFO [00039178] Port=0 WR @02=056FD0CFF4DC930731 + 39176.50ns INFO [00039178] Port=1 RD @05 + 39177.50ns INFO [00039179] * RD COMPARE * port=0 adr=03 act=43E512B47091599450 exp=43E512B47091599450 + 39177.50ns INFO [00039179] Port=0 WR @04=AFBC3C57322C983787 + 39177.50ns INFO [00039179] Port=1 RD @07 + 39178.50ns INFO [00039180] * RD COMPARE * port=1 adr=05 act=C607B1189037253059 exp=C607B1189037253059 + 39178.50ns INFO [00039180] Port=1 RD @03 + 39179.50ns INFO [00039181] * RD COMPARE * port=1 adr=07 act=3177F63DF4E63B8AC9 exp=3177F63DF4E63B8AC9 + 39179.50ns INFO [00039181] Port=1 RD @06 + 39180.50ns INFO [00039182] * RD COMPARE * port=1 adr=03 act=43E512B47091599450 exp=43E512B47091599450 + 39181.50ns INFO [00039183] * RD COMPARE * port=1 adr=06 act=9A0978C8F84C074ED8 exp=9A0978C8F84C074ED8 + 39181.50ns INFO [00039183] Port=0 RD @04 + 39181.50ns INFO [00039183] Port=1 RD @04 + 39182.50ns INFO [00039184] Port=1 RD @02 + 39183.50ns INFO [00039185] * RD COMPARE * port=0 adr=04 act=AFBC3C57322C983787 exp=AFBC3C57322C983787 + 39183.50ns INFO [00039185] * RD COMPARE * port=1 adr=04 act=AFBC3C57322C983787 exp=AFBC3C57322C983787 + 39183.50ns INFO [00039185] Port=0 RD @01 + 39184.50ns INFO [00039186] * RD COMPARE * port=1 adr=02 act=056FD0CFF4DC930731 exp=056FD0CFF4DC930731 + 39185.50ns INFO [00039187] * RD COMPARE * port=0 adr=01 act=D30051366572B0B12B exp=D30051366572B0B12B + 39185.50ns INFO [00039187] Port=0 WR @03=486F6C56A56D91009D + 39185.50ns INFO [00039187] Port=1 RD @05 + 39186.50ns INFO [00039188] Port=0 WR @05=C750E8DFEBAB80513F + 39186.50ns INFO [00039188] Port=0 RD @07 + 39187.50ns INFO [00039189] * RD COMPARE * port=1 adr=05 act=C607B1189037253059 exp=C607B1189037253059 + 39187.50ns INFO [00039189] Port=0 RD @07 + 39188.50ns INFO [00039190] * RD COMPARE * port=0 adr=07 act=3177F63DF4E63B8AC9 exp=3177F63DF4E63B8AC9 + 39189.50ns INFO [00039191] * RD COMPARE * port=0 adr=07 act=3177F63DF4E63B8AC9 exp=3177F63DF4E63B8AC9 + 39189.50ns INFO [00039191] Port=0 RD @01 + 39191.50ns INFO [00039193] * RD COMPARE * port=0 adr=01 act=D30051366572B0B12B exp=D30051366572B0B12B + 39192.50ns INFO [00039194] Port=0 WR @02=25F4C404547553EC3E + 39193.50ns INFO [00039195] Port=0 WR @07=604365F4B6EB0E5D2C + 39193.50ns INFO [00039195] Port=0 RD @01 + 39194.50ns INFO [00039196] Port=0 WR @06=E3E26EECD032DA7EE0 + 39194.50ns INFO [00039196] Port=1 RD @02 + 39195.50ns INFO [00039197] * RD COMPARE * port=0 adr=01 act=D30051366572B0B12B exp=D30051366572B0B12B + 39196.50ns INFO [00039198] * RD COMPARE * port=1 adr=02 act=25F4C404547553EC3E exp=25F4C404547553EC3E + 39197.50ns INFO [00039199] Port=0 WR @01=44236D366825CF876B + 39197.50ns INFO [00039199] Port=1 RD @07 + 39198.00ns INFO [00039200] [00039200] ...tick... + 39199.50ns INFO [00039201] * RD COMPARE * port=1 adr=07 act=604365F4B6EB0E5D2C exp=604365F4B6EB0E5D2C + 39199.50ns INFO [00039201] Port=0 RD @05 + 39200.50ns INFO [00039202] Port=0 WR @02=828B8CCD4B6FF5901F + 39200.50ns INFO [00039202] Port=1 RD @07 + 39201.50ns INFO [00039203] * RD COMPARE * port=0 adr=05 act=C750E8DFEBAB80513F exp=C750E8DFEBAB80513F + 39201.50ns INFO [00039203] Port=0 WR @00=B671587DF3784A04DD + 39202.50ns INFO [00039204] * RD COMPARE * port=1 adr=07 act=604365F4B6EB0E5D2C exp=604365F4B6EB0E5D2C + 39202.50ns INFO [00039204] Port=0 WR @05=936180AD693A97CBDD + 39203.50ns INFO [00039205] Port=0 RD @02 + 39204.50ns INFO [00039206] Port=0 RD @04 + 39205.50ns INFO [00039207] * RD COMPARE * port=0 adr=02 act=828B8CCD4B6FF5901F exp=828B8CCD4B6FF5901F + 39205.50ns INFO [00039207] Port=1 RD @06 + 39206.50ns INFO [00039208] * RD COMPARE * port=0 adr=04 act=AFBC3C57322C983787 exp=AFBC3C57322C983787 + 39206.50ns INFO [00039208] Port=0 RD @05 + 39207.50ns INFO [00039209] * RD COMPARE * port=1 adr=06 act=E3E26EECD032DA7EE0 exp=E3E26EECD032DA7EE0 + 39207.50ns INFO [00039209] Port=0 WR @02=5D79B71092F59023AD + 39207.50ns INFO [00039209] Port=0 RD @05 + 39207.50ns INFO [00039209] Port=1 RD @06 + 39208.50ns INFO [00039210] * RD COMPARE * port=0 adr=05 act=936180AD693A97CBDD exp=936180AD693A97CBDD + 39209.50ns INFO [00039211] * RD COMPARE * port=0 adr=05 act=936180AD693A97CBDD exp=936180AD693A97CBDD + 39209.50ns INFO [00039211] * RD COMPARE * port=1 adr=06 act=E3E26EECD032DA7EE0 exp=E3E26EECD032DA7EE0 + 39209.50ns INFO [00039211] Port=0 RD @01 + 39210.50ns INFO [00039212] Port=0 WR @03=702A6F3B74F58B30FC + 39211.50ns INFO [00039213] * RD COMPARE * port=0 adr=01 act=44236D366825CF876B exp=44236D366825CF876B + 39213.50ns INFO [00039215] Port=1 RD @01 + 39215.50ns INFO [00039217] * RD COMPARE * port=1 adr=01 act=44236D366825CF876B exp=44236D366825CF876B + 39216.50ns INFO [00039218] Port=0 RD @02 + 39217.50ns INFO [00039219] Port=0 WR @00=228D218B512D0FC2F0 + 39217.50ns INFO [00039219] Port=0 RD @01 + 39218.50ns INFO [00039220] * RD COMPARE * port=0 adr=02 act=5D79B71092F59023AD exp=5D79B71092F59023AD + 39219.50ns INFO [00039221] * RD COMPARE * port=0 adr=01 act=44236D366825CF876B exp=44236D366825CF876B + 39219.50ns INFO [00039221] Port=0 RD @01 + 39220.50ns INFO [00039222] Port=1 RD @04 + 39221.50ns INFO [00039223] * RD COMPARE * port=0 adr=01 act=44236D366825CF876B exp=44236D366825CF876B + 39221.50ns INFO [00039223] Port=0 RD @00 + 39222.50ns INFO [00039224] * RD COMPARE * port=1 adr=04 act=AFBC3C57322C983787 exp=AFBC3C57322C983787 + 39222.50ns INFO [00039224] Port=0 WR @01=7E2E25D6C0F0072002 + 39222.50ns INFO [00039224] Port=0 RD @00 + 39222.50ns INFO [00039224] Port=1 RD @03 + 39223.50ns INFO [00039225] * RD COMPARE * port=0 adr=00 act=228D218B512D0FC2F0 exp=228D218B512D0FC2F0 + 39223.50ns INFO [00039225] Port=0 WR @04=AAD357411302C579C5 + 39223.50ns INFO [00039225] Port=1 RD @03 + 39224.50ns INFO [00039226] * RD COMPARE * port=0 adr=00 act=228D218B512D0FC2F0 exp=228D218B512D0FC2F0 + 39224.50ns INFO [00039226] * RD COMPARE * port=1 adr=03 act=702A6F3B74F58B30FC exp=702A6F3B74F58B30FC + 39224.50ns INFO [00039226] Port=1 RD @01 + 39225.50ns INFO [00039227] * RD COMPARE * port=1 adr=03 act=702A6F3B74F58B30FC exp=702A6F3B74F58B30FC + 39225.50ns INFO [00039227] Port=0 WR @04=266C0CD66264831A19 + 39226.50ns INFO [00039228] * RD COMPARE * port=1 adr=01 act=7E2E25D6C0F0072002 exp=7E2E25D6C0F0072002 + 39226.50ns INFO [00039228] Port=0 WR @06=E5B034132F2FC1A527 + 39226.50ns INFO [00039228] Port=0 RD @00 + 39227.50ns INFO [00039229] Port=0 RD @04 + 39228.50ns INFO [00039230] * RD COMPARE * port=0 adr=00 act=228D218B512D0FC2F0 exp=228D218B512D0FC2F0 + 39228.50ns INFO [00039230] Port=0 WR @05=359BFD5E445E355EB8 + 39228.50ns INFO [00039230] Port=1 RD @02 + 39229.50ns INFO [00039231] * RD COMPARE * port=0 adr=04 act=266C0CD66264831A19 exp=266C0CD66264831A19 + 39229.50ns INFO [00039231] Port=0 RD @07 + 39229.50ns INFO [00039231] Port=1 RD @06 + 39230.50ns INFO [00039232] * RD COMPARE * port=1 adr=02 act=5D79B71092F59023AD exp=5D79B71092F59023AD + 39230.50ns INFO [00039232] Port=0 RD @00 + 39231.50ns INFO [00039233] * RD COMPARE * port=0 adr=07 act=604365F4B6EB0E5D2C exp=604365F4B6EB0E5D2C + 39231.50ns INFO [00039233] * RD COMPARE * port=1 adr=06 act=E5B034132F2FC1A527 exp=E5B034132F2FC1A527 + 39231.50ns INFO [00039233] Port=1 RD @06 + 39232.50ns INFO [00039234] * RD COMPARE * port=0 adr=00 act=228D218B512D0FC2F0 exp=228D218B512D0FC2F0 + 39232.50ns INFO [00039234] Port=0 RD @03 + 39233.50ns INFO [00039235] * RD COMPARE * port=1 adr=06 act=E5B034132F2FC1A527 exp=E5B034132F2FC1A527 + 39233.50ns INFO [00039235] Port=0 WR @00=81547C2747DB1D13FF + 39233.50ns INFO [00039235] Port=0 RD @06 + 39234.50ns INFO [00039236] * RD COMPARE * port=0 adr=03 act=702A6F3B74F58B30FC exp=702A6F3B74F58B30FC + 39235.50ns INFO [00039237] * RD COMPARE * port=0 adr=06 act=E5B034132F2FC1A527 exp=E5B034132F2FC1A527 + 39235.50ns INFO [00039237] Port=1 RD @00 + 39236.50ns INFO [00039238] Port=0 WR @02=F1914F5078CEB7EA9F + 39236.50ns INFO [00039238] Port=1 RD @05 + 39237.50ns INFO [00039239] * RD COMPARE * port=1 adr=00 act=81547C2747DB1D13FF exp=81547C2747DB1D13FF + 39237.50ns INFO [00039239] Port=0 RD @03 + 39238.50ns INFO [00039240] * RD COMPARE * port=1 adr=05 act=359BFD5E445E355EB8 exp=359BFD5E445E355EB8 + 39238.50ns INFO [00039240] Port=0 RD @05 + 39239.50ns INFO [00039241] * RD COMPARE * port=0 adr=03 act=702A6F3B74F58B30FC exp=702A6F3B74F58B30FC + 39239.50ns INFO [00039241] Port=0 RD @02 + 39239.50ns INFO [00039241] Port=1 RD @00 + 39240.50ns INFO [00039242] * RD COMPARE * port=0 adr=05 act=359BFD5E445E355EB8 exp=359BFD5E445E355EB8 + 39240.50ns INFO [00039242] Port=0 RD @02 + 39240.50ns INFO [00039242] Port=1 RD @01 + 39241.50ns INFO [00039243] * RD COMPARE * port=0 adr=02 act=F1914F5078CEB7EA9F exp=F1914F5078CEB7EA9F + 39241.50ns INFO [00039243] * RD COMPARE * port=1 adr=00 act=81547C2747DB1D13FF exp=81547C2747DB1D13FF + 39241.50ns INFO [00039243] Port=0 WR @01=2EF80315452E58ACFC + 39241.50ns INFO [00039243] Port=0 RD @04 + 39242.50ns INFO [00039244] * RD COMPARE * port=0 adr=02 act=F1914F5078CEB7EA9F exp=F1914F5078CEB7EA9F + 39242.50ns INFO [00039244] * RD COMPARE * port=1 adr=01 act=7E2E25D6C0F0072002 exp=7E2E25D6C0F0072002 + 39242.50ns INFO [00039244] Port=0 WR @00=9ECC1683139864815C + 39242.50ns INFO [00039244] Port=0 RD @01 + 39243.50ns INFO [00039245] * RD COMPARE * port=0 adr=04 act=266C0CD66264831A19 exp=266C0CD66264831A19 + 39244.50ns INFO [00039246] * RD COMPARE * port=0 adr=01 act=2EF80315452E58ACFC exp=2EF80315452E58ACFC + 39245.50ns INFO [00039247] Port=0 RD @03 + 39245.50ns INFO [00039247] Port=1 RD @00 + 39247.50ns INFO [00039249] * RD COMPARE * port=0 adr=03 act=702A6F3B74F58B30FC exp=702A6F3B74F58B30FC + 39247.50ns INFO [00039249] * RD COMPARE * port=1 adr=00 act=9ECC1683139864815C exp=9ECC1683139864815C + 39247.50ns INFO [00039249] Port=0 WR @00=D82EF8D1A02F01FFD4 + 39247.50ns INFO [00039249] Port=1 RD @07 + 39248.50ns INFO [00039250] Port=1 RD @01 + 39249.50ns INFO [00039251] * RD COMPARE * port=1 adr=07 act=604365F4B6EB0E5D2C exp=604365F4B6EB0E5D2C + 39249.50ns INFO [00039251] Port=0 WR @04=1C8E5E4AAD74CD8641 + 39250.50ns INFO [00039252] * RD COMPARE * port=1 adr=01 act=2EF80315452E58ACFC exp=2EF80315452E58ACFC + 39250.50ns INFO [00039252] Port=0 WR @01=7254151094336FD289 + 39251.50ns INFO [00039253] Port=1 RD @03 + 39252.50ns INFO [00039254] Port=0 WR @00=8AE6DC1C8B484BDDD4 + 39252.50ns INFO [00039254] Port=1 RD @04 + 39253.50ns INFO [00039255] * RD COMPARE * port=1 adr=03 act=702A6F3B74F58B30FC exp=702A6F3B74F58B30FC + 39253.50ns INFO [00039255] Port=0 WR @07=ACEF77592C15DC7D21 + 39253.50ns INFO [00039255] Port=0 RD @06 + 39254.50ns INFO [00039256] * RD COMPARE * port=1 adr=04 act=1C8E5E4AAD74CD8641 exp=1C8E5E4AAD74CD8641 + 39255.50ns INFO [00039257] * RD COMPARE * port=0 adr=06 act=E5B034132F2FC1A527 exp=E5B034132F2FC1A527 + 39255.50ns INFO [00039257] Port=0 WR @00=C1F775877C0B5A2C5C + 39255.50ns INFO [00039257] Port=0 RD @01 + 39256.50ns INFO [00039258] Port=1 RD @06 + 39257.50ns INFO [00039259] * RD COMPARE * port=0 adr=01 act=7254151094336FD289 exp=7254151094336FD289 + 39257.50ns INFO [00039259] Port=0 WR @02=7E1CC858137E8E45ED + 39257.50ns INFO [00039259] Port=1 RD @04 + 39258.50ns INFO [00039260] * RD COMPARE * port=1 adr=06 act=E5B034132F2FC1A527 exp=E5B034132F2FC1A527 + 39258.50ns INFO [00039260] Port=0 WR @00=D158E26AFEEDAAA8FD + 39258.50ns INFO [00039260] Port=0 RD @04 + 39258.50ns INFO [00039260] Port=1 RD @04 + 39259.50ns INFO [00039261] * RD COMPARE * port=1 adr=04 act=1C8E5E4AAD74CD8641 exp=1C8E5E4AAD74CD8641 + 39260.50ns INFO [00039262] * RD COMPARE * port=0 adr=04 act=1C8E5E4AAD74CD8641 exp=1C8E5E4AAD74CD8641 + 39260.50ns INFO [00039262] * RD COMPARE * port=1 adr=04 act=1C8E5E4AAD74CD8641 exp=1C8E5E4AAD74CD8641 + 39260.50ns INFO [00039262] Port=0 WR @06=84F40A718D6E580C7A + 39260.50ns INFO [00039262] Port=0 RD @00 + 39261.50ns INFO [00039263] Port=0 WR @07=BFF2D3A986BC3C4AF2 + 39261.50ns INFO [00039263] Port=0 RD @05 + 39262.50ns INFO [00039264] * RD COMPARE * port=0 adr=00 act=D158E26AFEEDAAA8FD exp=D158E26AFEEDAAA8FD + 39262.50ns INFO [00039264] Port=0 RD @06 + 39262.50ns INFO [00039264] Port=1 RD @01 + 39263.50ns INFO [00039265] * RD COMPARE * port=0 adr=05 act=359BFD5E445E355EB8 exp=359BFD5E445E355EB8 + 39263.50ns INFO [00039265] Port=1 RD @02 + 39264.50ns INFO [00039266] * RD COMPARE * port=0 adr=06 act=84F40A718D6E580C7A exp=84F40A718D6E580C7A + 39264.50ns INFO [00039266] * RD COMPARE * port=1 adr=01 act=7254151094336FD289 exp=7254151094336FD289 + 39264.50ns INFO [00039266] Port=0 WR @06=64649799B08C6A7D5D + 39264.50ns INFO [00039266] Port=0 RD @04 + 39265.50ns INFO [00039267] * RD COMPARE * port=1 adr=02 act=7E1CC858137E8E45ED exp=7E1CC858137E8E45ED + 39265.50ns INFO [00039267] Port=1 RD @03 + 39266.50ns INFO [00039268] * RD COMPARE * port=0 adr=04 act=1C8E5E4AAD74CD8641 exp=1C8E5E4AAD74CD8641 + 39266.50ns INFO [00039268] Port=1 RD @04 + 39267.50ns INFO [00039269] * RD COMPARE * port=1 adr=03 act=702A6F3B74F58B30FC exp=702A6F3B74F58B30FC + 39267.50ns INFO [00039269] Port=0 WR @07=47A0619A3F13C05044 + 39268.50ns INFO [00039270] * RD COMPARE * port=1 adr=04 act=1C8E5E4AAD74CD8641 exp=1C8E5E4AAD74CD8641 + 39268.50ns INFO [00039270] Port=0 WR @03=17D51278E1C8DAF184 + 39268.50ns INFO [00039270] Port=1 RD @04 + 39269.50ns INFO [00039271] Port=1 RD @02 + 39270.50ns INFO [00039272] * RD COMPARE * port=1 adr=04 act=1C8E5E4AAD74CD8641 exp=1C8E5E4AAD74CD8641 + 39271.50ns INFO [00039273] * RD COMPARE * port=1 adr=02 act=7E1CC858137E8E45ED exp=7E1CC858137E8E45ED + 39271.50ns INFO [00039273] Port=0 RD @06 + 39272.50ns INFO [00039274] Port=0 RD @02 + 39273.50ns INFO [00039275] * RD COMPARE * port=0 adr=06 act=64649799B08C6A7D5D exp=64649799B08C6A7D5D + 39273.50ns INFO [00039275] Port=0 WR @02=E018F09444E966B87C + 39273.50ns INFO [00039275] Port=1 RD @05 + 39274.50ns INFO [00039276] * RD COMPARE * port=0 adr=02 act=7E1CC858137E8E45ED exp=7E1CC858137E8E45ED + 39274.50ns INFO [00039276] Port=1 RD @00 + 39275.50ns INFO [00039277] * RD COMPARE * port=1 adr=05 act=359BFD5E445E355EB8 exp=359BFD5E445E355EB8 + 39275.50ns INFO [00039277] Port=0 WR @00=672F1A65837BDCABE8 + 39275.50ns INFO [00039277] Port=0 RD @02 + 39276.50ns INFO [00039278] * RD COMPARE * port=1 adr=00 act=D158E26AFEEDAAA8FD exp=D158E26AFEEDAAA8FD + 39276.50ns INFO [00039278] Port=0 WR @02=701CF5B372A2B84E2B + 39276.50ns INFO [00039278] Port=0 RD @00 + 39277.50ns INFO [00039279] * RD COMPARE * port=0 adr=02 act=E018F09444E966B87C exp=E018F09444E966B87C + 39277.50ns INFO [00039279] Port=0 WR @02=15D8305778268DC82F + 39278.50ns INFO [00039280] * RD COMPARE * port=0 adr=00 act=672F1A65837BDCABE8 exp=672F1A65837BDCABE8 + 39278.50ns INFO [00039280] Port=0 WR @06=B144A00F744DB470CF + 39278.50ns INFO [00039280] Port=0 RD @05 + 39278.50ns INFO [00039280] Port=1 RD @07 + 39279.50ns INFO [00039281] Port=0 WR @05=F90175D9DEDDA9540E + 39279.50ns INFO [00039281] Port=0 RD @03 + 39280.50ns INFO [00039282] * RD COMPARE * port=0 adr=05 act=359BFD5E445E355EB8 exp=359BFD5E445E355EB8 + 39280.50ns INFO [00039282] * RD COMPARE * port=1 adr=07 act=47A0619A3F13C05044 exp=47A0619A3F13C05044 + 39280.50ns INFO [00039282] Port=1 RD @07 + 39281.50ns INFO [00039283] * RD COMPARE * port=0 adr=03 act=17D51278E1C8DAF184 exp=17D51278E1C8DAF184 + 39282.50ns INFO [00039284] * RD COMPARE * port=1 adr=07 act=47A0619A3F13C05044 exp=47A0619A3F13C05044 + 39282.50ns INFO [00039284] Port=0 WR @05=0D62F0FF72411C32B8 + 39283.50ns INFO [00039285] Port=1 RD @06 + 39284.50ns INFO [00039286] Port=0 RD @07 + 39285.50ns INFO [00039287] * RD COMPARE * port=1 adr=06 act=B144A00F744DB470CF exp=B144A00F744DB470CF + 39285.50ns INFO [00039287] Port=0 RD @01 + 39286.50ns INFO [00039288] * RD COMPARE * port=0 adr=07 act=47A0619A3F13C05044 exp=47A0619A3F13C05044 + 39286.50ns INFO [00039288] Port=0 WR @07=A201E0C741EF3754D0 + 39286.50ns INFO [00039288] Port=0 RD @03 + 39287.50ns INFO [00039289] * RD COMPARE * port=0 adr=01 act=7254151094336FD289 exp=7254151094336FD289 + 39287.50ns INFO [00039289] Port=0 WR @07=5E30E9BD70BE4B4D5F + 39287.50ns INFO [00039289] Port=0 RD @01 + 39288.50ns INFO [00039290] * RD COMPARE * port=0 adr=03 act=17D51278E1C8DAF184 exp=17D51278E1C8DAF184 + 39288.50ns INFO [00039290] Port=0 RD @04 + 39288.50ns INFO [00039290] Port=1 RD @06 + 39289.50ns INFO [00039291] * RD COMPARE * port=0 adr=01 act=7254151094336FD289 exp=7254151094336FD289 + 39289.50ns INFO [00039291] Port=0 WR @05=EE1855B1A5DF5DCFA1 + 39289.50ns INFO [00039291] Port=0 RD @07 + 39290.50ns INFO [00039292] * RD COMPARE * port=0 adr=04 act=1C8E5E4AAD74CD8641 exp=1C8E5E4AAD74CD8641 + 39290.50ns INFO [00039292] * RD COMPARE * port=1 adr=06 act=B144A00F744DB470CF exp=B144A00F744DB470CF + 39290.50ns INFO [00039292] Port=0 RD @03 + 39290.50ns INFO [00039292] Port=1 RD @00 + 39291.50ns INFO [00039293] * RD COMPARE * port=0 adr=07 act=5E30E9BD70BE4B4D5F exp=5E30E9BD70BE4B4D5F + 39291.50ns INFO [00039293] Port=0 WR @06=2E2385C4653618B3AE + 39291.50ns INFO [00039293] Port=0 RD @07 + 39292.50ns INFO [00039294] * RD COMPARE * port=0 adr=03 act=17D51278E1C8DAF184 exp=17D51278E1C8DAF184 + 39292.50ns INFO [00039294] * RD COMPARE * port=1 adr=00 act=672F1A65837BDCABE8 exp=672F1A65837BDCABE8 + 39292.50ns INFO [00039294] Port=1 RD @00 + 39293.50ns INFO [00039295] * RD COMPARE * port=0 adr=07 act=5E30E9BD70BE4B4D5F exp=5E30E9BD70BE4B4D5F + 39293.50ns INFO [00039295] Port=1 RD @06 + 39294.50ns INFO [00039296] * RD COMPARE * port=1 adr=00 act=672F1A65837BDCABE8 exp=672F1A65837BDCABE8 + 39294.50ns INFO [00039296] Port=0 WR @05=BA0DB239A34B7EC7C6 + 39294.50ns INFO [00039296] Port=0 RD @02 + 39295.50ns INFO [00039297] * RD COMPARE * port=1 adr=06 act=2E2385C4653618B3AE exp=2E2385C4653618B3AE + 39295.50ns INFO [00039297] Port=0 WR @03=6B76E017ACD4706B63 + 39295.50ns INFO [00039297] Port=0 RD @00 + 39295.50ns INFO [00039297] Port=1 RD @02 + 39296.50ns INFO [00039298] * RD COMPARE * port=0 adr=02 act=15D8305778268DC82F exp=15D8305778268DC82F + 39296.50ns INFO [00039298] Port=0 WR @04=58CB86A9A86E317324 + 39297.50ns INFO [00039299] * RD COMPARE * port=0 adr=00 act=672F1A65837BDCABE8 exp=672F1A65837BDCABE8 + 39297.50ns INFO [00039299] * RD COMPARE * port=1 adr=02 act=15D8305778268DC82F exp=15D8305778268DC82F + 39297.50ns INFO [00039299] Port=0 RD @00 + 39298.00ns INFO [00039300] [00039300] ...tick... + 39298.50ns INFO [00039300] Port=0 RD @01 + 39299.50ns INFO [00039301] * RD COMPARE * port=0 adr=00 act=672F1A65837BDCABE8 exp=672F1A65837BDCABE8 + 39300.50ns INFO [00039302] * RD COMPARE * port=0 adr=01 act=7254151094336FD289 exp=7254151094336FD289 + 39300.50ns INFO [00039302] Port=0 RD @00 + 39301.50ns INFO [00039303] Port=1 RD @07 + 39302.50ns INFO [00039304] * RD COMPARE * port=0 adr=00 act=672F1A65837BDCABE8 exp=672F1A65837BDCABE8 + 39303.50ns INFO [00039305] * RD COMPARE * port=1 adr=07 act=5E30E9BD70BE4B4D5F exp=5E30E9BD70BE4B4D5F + 39303.50ns INFO [00039305] Port=0 WR @02=FC7ED66557D23F2B96 + 39303.50ns INFO [00039305] Port=0 RD @05 + 39304.50ns INFO [00039306] Port=0 WR @07=343D6C910D1E7F5D7A + 39304.50ns INFO [00039306] Port=1 RD @00 + 39305.50ns INFO [00039307] * RD COMPARE * port=0 adr=05 act=BA0DB239A34B7EC7C6 exp=BA0DB239A34B7EC7C6 + 39305.50ns INFO [00039307] Port=0 WR @00=5B6AB6A05F34F6B504 + 39306.50ns INFO [00039308] * RD COMPARE * port=1 adr=00 act=672F1A65837BDCABE8 exp=672F1A65837BDCABE8 + 39306.50ns INFO [00039308] Port=0 WR @00=BB012A9830C0D92C8F + 39307.50ns INFO [00039309] Port=0 WR @05=60D214C5420EC7E44B + 39307.50ns INFO [00039309] Port=1 RD @03 + 39308.50ns INFO [00039310] Port=0 WR @05=DA7E4B504936C8456A + 39309.50ns INFO [00039311] * RD COMPARE * port=1 adr=03 act=6B76E017ACD4706B63 exp=6B76E017ACD4706B63 + 39309.50ns INFO [00039311] Port=1 RD @06 + 39310.50ns INFO [00039312] Port=0 WR @03=605D3BF3CCAB9C8825 + 39311.50ns INFO [00039313] * RD COMPARE * port=1 adr=06 act=2E2385C4653618B3AE exp=2E2385C4653618B3AE + 39311.50ns INFO [00039313] Port=0 WR @04=98A4A517EE2D895333 + 39311.50ns INFO [00039313] Port=1 RD @01 + 39312.50ns INFO [00039314] Port=0 WR @01=696D43A5326FADC9B1 + 39312.50ns INFO [00039314] Port=0 RD @02 + 39312.50ns INFO [00039314] Port=1 RD @04 + 39313.50ns INFO [00039315] * RD COMPARE * port=1 adr=01 act=7254151094336FD289 exp=7254151094336FD289 + 39313.50ns INFO [00039315] Port=1 RD @07 + 39314.50ns INFO [00039316] * RD COMPARE * port=0 adr=02 act=FC7ED66557D23F2B96 exp=FC7ED66557D23F2B96 + 39314.50ns INFO [00039316] * RD COMPARE * port=1 adr=04 act=98A4A517EE2D895333 exp=98A4A517EE2D895333 + 39315.50ns INFO [00039317] * RD COMPARE * port=1 adr=07 act=343D6C910D1E7F5D7A exp=343D6C910D1E7F5D7A + 39315.50ns INFO [00039317] Port=0 WR @06=9086D057787C7126C9 + 39316.50ns INFO [00039318] Port=0 WR @07=5C28A26A4853221B0A + 39316.50ns INFO [00039318] Port=0 RD @06 + 39317.50ns INFO [00039319] Port=0 RD @04 + 39318.50ns INFO [00039320] * RD COMPARE * port=0 adr=06 act=9086D057787C7126C9 exp=9086D057787C7126C9 + 39319.50ns INFO [00039321] * RD COMPARE * port=0 adr=04 act=98A4A517EE2D895333 exp=98A4A517EE2D895333 + 39319.50ns INFO [00039321] Port=0 WR @01=DF16A872F70AF45D67 + 39319.50ns INFO [00039321] Port=1 RD @05 + 39320.50ns INFO [00039322] Port=0 WR @05=66E96D9C24CB8AC377 + 39320.50ns INFO [00039322] Port=1 RD @07 + 39321.50ns INFO [00039323] * RD COMPARE * port=1 adr=05 act=DA7E4B504936C8456A exp=DA7E4B504936C8456A + 39321.50ns INFO [00039323] Port=0 WR @05=4B695E6F85B90C4369 + 39321.50ns INFO [00039323] Port=0 RD @02 + 39322.50ns INFO [00039324] * RD COMPARE * port=1 adr=07 act=5C28A26A4853221B0A exp=5C28A26A4853221B0A + 39322.50ns INFO [00039324] Port=0 WR @00=945D8D7AE725E87D7F + 39322.50ns INFO [00039324] Port=1 RD @06 + 39323.50ns INFO [00039325] * RD COMPARE * port=0 adr=02 act=FC7ED66557D23F2B96 exp=FC7ED66557D23F2B96 + 39324.50ns INFO [00039326] * RD COMPARE * port=1 adr=06 act=9086D057787C7126C9 exp=9086D057787C7126C9 + 39324.50ns INFO [00039326] Port=0 WR @06=C7C8E12BA54A6F150F + 39324.50ns INFO [00039326] Port=1 RD @04 + 39325.50ns INFO [00039327] Port=1 RD @04 + 39326.50ns INFO [00039328] * RD COMPARE * port=1 adr=04 act=98A4A517EE2D895333 exp=98A4A517EE2D895333 + 39326.50ns INFO [00039328] Port=1 RD @07 + 39327.50ns INFO [00039329] * RD COMPARE * port=1 adr=04 act=98A4A517EE2D895333 exp=98A4A517EE2D895333 + 39328.50ns INFO [00039330] * RD COMPARE * port=1 adr=07 act=5C28A26A4853221B0A exp=5C28A26A4853221B0A + 39328.50ns INFO [00039330] Port=0 WR @07=ED099599F77943E1CF + 39328.50ns INFO [00039330] Port=0 RD @06 + 39328.50ns INFO [00039330] Port=1 RD @02 + 39329.50ns INFO [00039331] Port=0 WR @07=23E8B44DC91F133D49 + 39330.50ns INFO [00039332] * RD COMPARE * port=0 adr=06 act=C7C8E12BA54A6F150F exp=C7C8E12BA54A6F150F + 39330.50ns INFO [00039332] * RD COMPARE * port=1 adr=02 act=FC7ED66557D23F2B96 exp=FC7ED66557D23F2B96 + 39330.50ns INFO [00039332] Port=0 RD @00 + 39330.50ns INFO [00039332] Port=1 RD @05 + 39331.50ns INFO [00039333] Port=0 WR @06=57277D132866A15CC1 + 39331.50ns INFO [00039333] Port=1 RD @00 + 39332.50ns INFO [00039334] * RD COMPARE * port=0 adr=00 act=945D8D7AE725E87D7F exp=945D8D7AE725E87D7F + 39332.50ns INFO [00039334] * RD COMPARE * port=1 adr=05 act=4B695E6F85B90C4369 exp=4B695E6F85B90C4369 + 39332.50ns INFO [00039334] Port=1 RD @02 + 39333.50ns INFO [00039335] * RD COMPARE * port=1 adr=00 act=945D8D7AE725E87D7F exp=945D8D7AE725E87D7F + 39333.50ns INFO [00039335] Port=0 RD @01 + 39333.50ns INFO [00039335] Port=1 RD @02 + 39334.50ns INFO [00039336] * RD COMPARE * port=1 adr=02 act=FC7ED66557D23F2B96 exp=FC7ED66557D23F2B96 + 39334.50ns INFO [00039336] Port=0 RD @05 + 39335.50ns INFO [00039337] * RD COMPARE * port=0 adr=01 act=DF16A872F70AF45D67 exp=DF16A872F70AF45D67 + 39335.50ns INFO [00039337] * RD COMPARE * port=1 adr=02 act=FC7ED66557D23F2B96 exp=FC7ED66557D23F2B96 + 39336.50ns INFO [00039338] * RD COMPARE * port=0 adr=05 act=4B695E6F85B90C4369 exp=4B695E6F85B90C4369 + 39336.50ns INFO [00039338] Port=0 RD @05 + 39337.50ns INFO [00039339] Port=0 WR @07=D788720256090D778D + 39338.50ns INFO [00039340] * RD COMPARE * port=0 adr=05 act=4B695E6F85B90C4369 exp=4B695E6F85B90C4369 + 39340.50ns INFO [00039342] Port=0 RD @04 + 39340.50ns INFO [00039342] Port=1 RD @06 + 39342.50ns INFO [00039344] * RD COMPARE * port=0 adr=04 act=98A4A517EE2D895333 exp=98A4A517EE2D895333 + 39342.50ns INFO [00039344] * RD COMPARE * port=1 adr=06 act=57277D132866A15CC1 exp=57277D132866A15CC1 + 39342.50ns INFO [00039344] Port=0 RD @04 + 39343.50ns INFO [00039345] Port=0 RD @04 + 39344.50ns INFO [00039346] * RD COMPARE * port=0 adr=04 act=98A4A517EE2D895333 exp=98A4A517EE2D895333 + 39344.50ns INFO [00039346] Port=0 RD @01 + 39344.50ns INFO [00039346] Port=1 RD @02 + 39345.50ns INFO [00039347] * RD COMPARE * port=0 adr=04 act=98A4A517EE2D895333 exp=98A4A517EE2D895333 + 39345.50ns INFO [00039347] Port=0 WR @03=E1EA8CFC04DAE34D5E + 39346.50ns INFO [00039348] * RD COMPARE * port=0 adr=01 act=DF16A872F70AF45D67 exp=DF16A872F70AF45D67 + 39346.50ns INFO [00039348] * RD COMPARE * port=1 adr=02 act=FC7ED66557D23F2B96 exp=FC7ED66557D23F2B96 + 39347.50ns INFO [00039349] Port=0 WR @03=FF7436B3FF1979A2F7 + 39347.50ns INFO [00039349] Port=0 RD @05 + 39348.50ns INFO [00039350] Port=0 WR @06=A9188CA4D1F4443DC7 + 39348.50ns INFO [00039350] Port=1 RD @01 + 39349.50ns INFO [00039351] * RD COMPARE * port=0 adr=05 act=4B695E6F85B90C4369 exp=4B695E6F85B90C4369 + 39349.50ns INFO [00039351] Port=0 WR @01=DC5FADFE03261F734B + 39349.50ns INFO [00039351] Port=0 RD @04 + 39350.50ns INFO [00039352] * RD COMPARE * port=1 adr=01 act=DF16A872F70AF45D67 exp=DF16A872F70AF45D67 + 39350.50ns INFO [00039352] Port=1 RD @03 + 39351.50ns INFO [00039353] * RD COMPARE * port=0 adr=04 act=98A4A517EE2D895333 exp=98A4A517EE2D895333 + 39351.50ns INFO [00039353] Port=0 WR @01=B8712929F1737805BF + 39352.50ns INFO [00039354] * RD COMPARE * port=1 adr=03 act=FF7436B3FF1979A2F7 exp=FF7436B3FF1979A2F7 + 39352.50ns INFO [00039354] Port=0 RD @02 + 39352.50ns INFO [00039354] Port=1 RD @07 + 39353.50ns INFO [00039355] Port=1 RD @01 + 39354.50ns INFO [00039356] * RD COMPARE * port=0 adr=02 act=FC7ED66557D23F2B96 exp=FC7ED66557D23F2B96 + 39354.50ns INFO [00039356] * RD COMPARE * port=1 adr=07 act=D788720256090D778D exp=D788720256090D778D + 39354.50ns INFO [00039356] Port=1 RD @04 + 39355.50ns INFO [00039357] * RD COMPARE * port=1 adr=01 act=B8712929F1737805BF exp=B8712929F1737805BF + 39355.50ns INFO [00039357] Port=1 RD @07 + 39356.50ns INFO [00039358] * RD COMPARE * port=1 adr=04 act=98A4A517EE2D895333 exp=98A4A517EE2D895333 + 39356.50ns INFO [00039358] Port=1 RD @05 + 39357.50ns INFO [00039359] * RD COMPARE * port=1 adr=07 act=D788720256090D778D exp=D788720256090D778D + 39357.50ns INFO [00039359] Port=0 RD @05 + 39358.50ns INFO [00039360] * RD COMPARE * port=1 adr=05 act=4B695E6F85B90C4369 exp=4B695E6F85B90C4369 + 39358.50ns INFO [00039360] Port=0 RD @07 + 39358.50ns INFO [00039360] Port=1 RD @07 + 39359.50ns INFO [00039361] * RD COMPARE * port=0 adr=05 act=4B695E6F85B90C4369 exp=4B695E6F85B90C4369 + 39359.50ns INFO [00039361] Port=0 RD @00 + 39360.50ns INFO [00039362] * RD COMPARE * port=0 adr=07 act=D788720256090D778D exp=D788720256090D778D + 39360.50ns INFO [00039362] * RD COMPARE * port=1 adr=07 act=D788720256090D778D exp=D788720256090D778D + 39360.50ns INFO [00039362] Port=0 RD @03 + 39360.50ns INFO [00039362] Port=1 RD @07 + 39361.50ns INFO [00039363] * RD COMPARE * port=0 adr=00 act=945D8D7AE725E87D7F exp=945D8D7AE725E87D7F + 39361.50ns INFO [00039363] Port=0 WR @04=4B10C5545BD864761E + 39361.50ns INFO [00039363] Port=1 RD @00 + 39362.50ns INFO [00039364] * RD COMPARE * port=0 adr=03 act=FF7436B3FF1979A2F7 exp=FF7436B3FF1979A2F7 + 39362.50ns INFO [00039364] * RD COMPARE * port=1 adr=07 act=D788720256090D778D exp=D788720256090D778D + 39362.50ns INFO [00039364] Port=0 RD @03 + 39362.50ns INFO [00039364] Port=1 RD @07 + 39363.50ns INFO [00039365] * RD COMPARE * port=1 adr=00 act=945D8D7AE725E87D7F exp=945D8D7AE725E87D7F + 39363.50ns INFO [00039365] Port=0 WR @07=822C9FAA501423A0D1 + 39364.50ns INFO [00039366] * RD COMPARE * port=0 adr=03 act=FF7436B3FF1979A2F7 exp=FF7436B3FF1979A2F7 + 39364.50ns INFO [00039366] * RD COMPARE * port=1 adr=07 act=D788720256090D778D exp=D788720256090D778D + 39364.50ns INFO [00039366] Port=1 RD @00 + 39366.50ns INFO [00039368] * RD COMPARE * port=1 adr=00 act=945D8D7AE725E87D7F exp=945D8D7AE725E87D7F + 39366.50ns INFO [00039368] Port=0 WR @02=38498765E50B6A7B37 + 39366.50ns INFO [00039368] Port=0 RD @01 + 39367.50ns INFO [00039369] Port=1 RD @03 + 39368.50ns INFO [00039370] * RD COMPARE * port=0 adr=01 act=B8712929F1737805BF exp=B8712929F1737805BF + 39368.50ns INFO [00039370] Port=0 WR @03=DCA788CA0180CF6AB3 + 39368.50ns INFO [00039370] Port=0 RD @01 + 39369.50ns INFO [00039371] * RD COMPARE * port=1 adr=03 act=FF7436B3FF1979A2F7 exp=FF7436B3FF1979A2F7 + 39369.50ns INFO [00039371] Port=0 RD @07 + 39370.50ns INFO [00039372] * RD COMPARE * port=0 adr=01 act=B8712929F1737805BF exp=B8712929F1737805BF + 39371.50ns INFO [00039373] * RD COMPARE * port=0 adr=07 act=822C9FAA501423A0D1 exp=822C9FAA501423A0D1 + 39371.50ns INFO [00039373] Port=1 RD @05 + 39372.50ns INFO [00039374] Port=0 WR @03=80BA944476EFEFD49A + 39372.50ns INFO [00039374] Port=1 RD @07 + 39373.50ns INFO [00039375] * RD COMPARE * port=1 adr=05 act=4B695E6F85B90C4369 exp=4B695E6F85B90C4369 + 39373.50ns INFO [00039375] Port=0 RD @07 + 39374.50ns INFO [00039376] * RD COMPARE * port=1 adr=07 act=822C9FAA501423A0D1 exp=822C9FAA501423A0D1 + 39374.50ns INFO [00039376] Port=0 WR @00=743685B60FA2CB8F61 + 39374.50ns INFO [00039376] Port=1 RD @07 + 39375.50ns INFO [00039377] * RD COMPARE * port=0 adr=07 act=822C9FAA501423A0D1 exp=822C9FAA501423A0D1 + 39375.50ns INFO [00039377] Port=0 WR @00=F47D8178590A3469EA + 39375.50ns INFO [00039377] Port=0 RD @04 + 39376.50ns INFO [00039378] * RD COMPARE * port=1 adr=07 act=822C9FAA501423A0D1 exp=822C9FAA501423A0D1 + 39376.50ns INFO [00039378] Port=0 RD @07 + 39377.50ns INFO [00039379] * RD COMPARE * port=0 adr=04 act=4B10C5545BD864761E exp=4B10C5545BD864761E + 39377.50ns INFO [00039379] Port=0 WR @04=913369B3D4FF6C4E2E + 39377.50ns INFO [00039379] Port=0 RD @03 + 39378.50ns INFO [00039380] * RD COMPARE * port=0 adr=07 act=822C9FAA501423A0D1 exp=822C9FAA501423A0D1 + 39378.50ns INFO [00039380] Port=1 RD @00 + 39379.50ns INFO [00039381] * RD COMPARE * port=0 adr=03 act=80BA944476EFEFD49A exp=80BA944476EFEFD49A + 39379.50ns INFO [00039381] Port=1 RD @06 + 39380.50ns INFO [00039382] * RD COMPARE * port=1 adr=00 act=F47D8178590A3469EA exp=F47D8178590A3469EA + 39380.50ns INFO [00039382] Port=0 WR @04=1A9DA603CBCE93B832 + 39381.50ns INFO [00039383] * RD COMPARE * port=1 adr=06 act=A9188CA4D1F4443DC7 exp=A9188CA4D1F4443DC7 + 39381.50ns INFO [00039383] Port=0 WR @05=00A20872B180D9753D + 39381.50ns INFO [00039383] Port=0 RD @00 + 39382.50ns INFO [00039384] Port=0 RD @03 + 39383.50ns INFO [00039385] * RD COMPARE * port=0 adr=00 act=F47D8178590A3469EA exp=F47D8178590A3469EA + 39384.50ns INFO [00039386] * RD COMPARE * port=0 adr=03 act=80BA944476EFEFD49A exp=80BA944476EFEFD49A + 39384.50ns INFO [00039386] Port=0 WR @03=082F5725E6B8D4C466 + 39385.50ns INFO [00039387] Port=0 RD @06 + 39386.50ns INFO [00039388] Port=1 RD @06 + 39387.50ns INFO [00039389] * RD COMPARE * port=0 adr=06 act=A9188CA4D1F4443DC7 exp=A9188CA4D1F4443DC7 + 39387.50ns INFO [00039389] Port=0 WR @07=75E844B5E4CD8C940B + 39387.50ns INFO [00039389] Port=1 RD @00 + 39388.50ns INFO [00039390] * RD COMPARE * port=1 adr=06 act=A9188CA4D1F4443DC7 exp=A9188CA4D1F4443DC7 + 39389.50ns INFO [00039391] * RD COMPARE * port=1 adr=00 act=F47D8178590A3469EA exp=F47D8178590A3469EA + 39389.50ns INFO [00039391] Port=0 WR @03=A22B6B94AFDD29D4D1 + 39389.50ns INFO [00039391] Port=0 RD @05 + 39389.50ns INFO [00039391] Port=1 RD @07 + 39390.50ns INFO [00039392] Port=1 RD @04 + 39391.50ns INFO [00039393] * RD COMPARE * port=0 adr=05 act=00A20872B180D9753D exp=00A20872B180D9753D + 39391.50ns INFO [00039393] * RD COMPARE * port=1 adr=07 act=75E844B5E4CD8C940B exp=75E844B5E4CD8C940B + 39391.50ns INFO [00039393] Port=0 WR @04=51A01BBFE727103898 + 39391.50ns INFO [00039393] Port=0 RD @02 + 39391.50ns INFO [00039393] Port=1 RD @03 + 39392.50ns INFO [00039394] * RD COMPARE * port=1 adr=04 act=1A9DA603CBCE93B832 exp=1A9DA603CBCE93B832 + 39392.50ns INFO [00039394] Port=0 WR @07=A962D08E4259B8DF22 + 39393.50ns INFO [00039395] * RD COMPARE * port=0 adr=02 act=38498765E50B6A7B37 exp=38498765E50B6A7B37 + 39393.50ns INFO [00039395] * RD COMPARE * port=1 adr=03 act=A22B6B94AFDD29D4D1 exp=A22B6B94AFDD29D4D1 + 39394.50ns INFO [00039396] Port=1 RD @02 + 39396.50ns INFO [00039398] * RD COMPARE * port=1 adr=02 act=38498765E50B6A7B37 exp=38498765E50B6A7B37 + 39396.50ns INFO [00039398] Port=0 RD @03 + 39396.50ns INFO [00039398] Port=1 RD @07 + 39397.50ns INFO [00039399] Port=0 RD @01 + 39397.50ns INFO [00039399] Port=1 RD @01 + 39398.00ns INFO [00039400] [00039400] ...tick... + 39398.50ns INFO [00039400] * RD COMPARE * port=0 adr=03 act=A22B6B94AFDD29D4D1 exp=A22B6B94AFDD29D4D1 + 39398.50ns INFO [00039400] * RD COMPARE * port=1 adr=07 act=A962D08E4259B8DF22 exp=A962D08E4259B8DF22 + 39398.50ns INFO [00039400] Port=1 RD @05 + 39399.50ns INFO [00039401] * RD COMPARE * port=0 adr=01 act=B8712929F1737805BF exp=B8712929F1737805BF + 39399.50ns INFO [00039401] * RD COMPARE * port=1 adr=01 act=B8712929F1737805BF exp=B8712929F1737805BF + 39399.50ns INFO [00039401] Port=0 RD @07 + 39399.50ns INFO [00039401] Port=1 RD @00 + 39400.50ns INFO [00039402] * RD COMPARE * port=1 adr=05 act=00A20872B180D9753D exp=00A20872B180D9753D + 39401.50ns INFO [00039403] * RD COMPARE * port=0 adr=07 act=A962D08E4259B8DF22 exp=A962D08E4259B8DF22 + 39401.50ns INFO [00039403] * RD COMPARE * port=1 adr=00 act=F47D8178590A3469EA exp=F47D8178590A3469EA + 39401.50ns INFO [00039403] Port=0 WR @05=7B625E83AF0D917813 + 39402.50ns INFO [00039404] Port=0 WR @01=DA5C5976F29B7486DC + 39402.50ns INFO [00039404] Port=0 RD @03 + 39403.50ns INFO [00039405] Port=0 RD @00 + 39403.50ns INFO [00039405] Port=1 RD @07 + 39404.50ns INFO [00039406] * RD COMPARE * port=0 adr=03 act=A22B6B94AFDD29D4D1 exp=A22B6B94AFDD29D4D1 + 39405.50ns INFO [00039407] * RD COMPARE * port=0 adr=00 act=F47D8178590A3469EA exp=F47D8178590A3469EA + 39405.50ns INFO [00039407] * RD COMPARE * port=1 adr=07 act=A962D08E4259B8DF22 exp=A962D08E4259B8DF22 + 39405.50ns INFO [00039407] Port=0 WR @02=DF382C3D1ABA18B015 + 39405.50ns INFO [00039407] Port=0 RD @05 + 39406.50ns INFO [00039408] Port=0 RD @03 + 39406.50ns INFO [00039408] Port=1 RD @02 + 39407.50ns INFO [00039409] * RD COMPARE * port=0 adr=05 act=7B625E83AF0D917813 exp=7B625E83AF0D917813 + 39407.50ns INFO [00039409] Port=0 WR @06=61F60071514113D7D0 + 39408.50ns INFO [00039410] * RD COMPARE * port=0 adr=03 act=A22B6B94AFDD29D4D1 exp=A22B6B94AFDD29D4D1 + 39408.50ns INFO [00039410] * RD COMPARE * port=1 adr=02 act=DF382C3D1ABA18B015 exp=DF382C3D1ABA18B015 + 39409.50ns INFO [00039411] Port=0 WR @05=B7D38892FD8339D2F1 + 39409.50ns INFO [00039411] Port=1 RD @03 + 39411.50ns INFO [00039413] * RD COMPARE * port=1 adr=03 act=A22B6B94AFDD29D4D1 exp=A22B6B94AFDD29D4D1 + 39411.50ns INFO [00039413] Port=1 RD @06 + 39412.50ns INFO [00039414] Port=0 WR @00=DAE05A3F15FE750FF4 + 39413.50ns INFO [00039415] * RD COMPARE * port=1 adr=06 act=61F60071514113D7D0 exp=61F60071514113D7D0 + 39414.50ns INFO [00039416] Port=0 WR @04=9A8C93AB8B56C5C516 + 39415.50ns INFO [00039417] Port=1 RD @01 + 39416.50ns INFO [00039418] Port=0 WR @02=1BFBDFF99BB00F7A7D + 39417.50ns INFO [00039419] * RD COMPARE * port=1 adr=01 act=DA5C5976F29B7486DC exp=DA5C5976F29B7486DC + 39418.50ns INFO [00039420] Port=0 RD @02 + 39420.50ns INFO [00039422] * RD COMPARE * port=0 adr=02 act=1BFBDFF99BB00F7A7D exp=1BFBDFF99BB00F7A7D + 39420.50ns INFO [00039422] Port=0 WR @03=60137ABF00EB22054E + 39421.50ns INFO [00039423] Port=0 RD @03 + 39421.50ns INFO [00039423] Port=1 RD @04 + 39422.50ns INFO [00039424] Port=0 RD @02 + 39422.50ns INFO [00039424] Port=1 RD @06 + 39423.50ns INFO [00039425] * RD COMPARE * port=0 adr=03 act=60137ABF00EB22054E exp=60137ABF00EB22054E + 39423.50ns INFO [00039425] * RD COMPARE * port=1 adr=04 act=9A8C93AB8B56C5C516 exp=9A8C93AB8B56C5C516 + 39423.50ns INFO [00039425] Port=0 WR @01=537F3B557487BF71C9 + 39423.50ns INFO [00039425] Port=1 RD @03 + 39424.50ns INFO [00039426] * RD COMPARE * port=0 adr=02 act=1BFBDFF99BB00F7A7D exp=1BFBDFF99BB00F7A7D + 39424.50ns INFO [00039426] * RD COMPARE * port=1 adr=06 act=61F60071514113D7D0 exp=61F60071514113D7D0 + 39424.50ns INFO [00039426] Port=1 RD @00 + 39425.50ns INFO [00039427] * RD COMPARE * port=1 adr=03 act=60137ABF00EB22054E exp=60137ABF00EB22054E + 39425.50ns INFO [00039427] Port=0 RD @05 + 39426.50ns INFO [00039428] * RD COMPARE * port=1 adr=00 act=DAE05A3F15FE750FF4 exp=DAE05A3F15FE750FF4 + 39427.50ns INFO [00039429] * RD COMPARE * port=0 adr=05 act=B7D38892FD8339D2F1 exp=B7D38892FD8339D2F1 + 39427.50ns INFO [00039429] Port=1 RD @06 + 39428.50ns INFO [00039430] Port=0 WR @03=E031B31C86ED7CE9E1 + 39429.50ns INFO [00039431] * RD COMPARE * port=1 adr=06 act=61F60071514113D7D0 exp=61F60071514113D7D0 + 39430.50ns INFO [00039432] Port=0 WR @01=ECDBA6EEF1D80A4723 + 39430.50ns INFO [00039432] Port=0 RD @05 + 39432.50ns INFO [00039434] * RD COMPARE * port=0 adr=05 act=B7D38892FD8339D2F1 exp=B7D38892FD8339D2F1 + 39432.50ns INFO [00039434] Port=0 WR @03=1156F5FA517906BB7A + 39432.50ns INFO [00039434] Port=1 RD @06 + 39434.50ns INFO [00039436] * RD COMPARE * port=1 adr=06 act=61F60071514113D7D0 exp=61F60071514113D7D0 + 39434.50ns INFO [00039436] Port=0 RD @01 + 39435.50ns INFO [00039437] Port=0 RD @04 + 39436.50ns INFO [00039438] * RD COMPARE * port=0 adr=01 act=ECDBA6EEF1D80A4723 exp=ECDBA6EEF1D80A4723 + 39437.50ns INFO [00039439] * RD COMPARE * port=0 adr=04 act=9A8C93AB8B56C5C516 exp=9A8C93AB8B56C5C516 + 39439.50ns INFO [00039441] Port=0 WR @00=C9AA9C0D85CAD54137 + 39439.50ns INFO [00039441] Port=1 RD @03 + 39441.50ns INFO [00039443] * RD COMPARE * port=1 adr=03 act=1156F5FA517906BB7A exp=1156F5FA517906BB7A + 39442.50ns INFO [00039444] Port=0 WR @07=24E41D7C47FFC2C9A7 + 39442.50ns INFO [00039444] Port=0 RD @00 + 39442.50ns INFO [00039444] Port=1 RD @06 + 39443.50ns INFO [00039445] Port=0 WR @07=53C5F26A17EFF6EEC4 + 39444.50ns INFO [00039446] * RD COMPARE * port=0 adr=00 act=C9AA9C0D85CAD54137 exp=C9AA9C0D85CAD54137 + 39444.50ns INFO [00039446] * RD COMPARE * port=1 adr=06 act=61F60071514113D7D0 exp=61F60071514113D7D0 + 39444.50ns INFO [00039446] Port=0 WR @02=0EDDDB200100C4C510 + 39446.50ns INFO [00039448] Port=0 WR @04=5BE7803BD6D7588998 + 39447.50ns INFO [00039449] Port=1 RD @00 + 39449.50ns INFO [00039451] * RD COMPARE * port=1 adr=00 act=C9AA9C0D85CAD54137 exp=C9AA9C0D85CAD54137 + 39449.50ns INFO [00039451] Port=0 WR @00=EDC992405D2114983F + 39451.50ns INFO [00039453] Port=0 WR @02=4F5BDDF3AAD524C708 + 39451.50ns INFO [00039453] Port=1 RD @00 + 39452.50ns INFO [00039454] Port=0 WR @01=16440C5D38125F6FE9 + 39453.50ns INFO [00039455] * RD COMPARE * port=1 adr=00 act=EDC992405D2114983F exp=EDC992405D2114983F + 39453.50ns INFO [00039455] Port=0 RD @00 + 39453.50ns INFO [00039455] Port=1 RD @01 + 39454.50ns INFO [00039456] Port=0 WR @00=05A94E8C993FB7A64B + 39455.50ns INFO [00039457] * RD COMPARE * port=0 adr=00 act=EDC992405D2114983F exp=EDC992405D2114983F + 39455.50ns INFO [00039457] * RD COMPARE * port=1 adr=01 act=16440C5D38125F6FE9 exp=16440C5D38125F6FE9 + 39455.50ns INFO [00039457] Port=0 WR @00=FF61EC98FAD4F2F301 + 39457.50ns INFO [00039459] Port=0 RD @02 + 39459.50ns INFO [00039461] * RD COMPARE * port=0 adr=02 act=4F5BDDF3AAD524C708 exp=4F5BDDF3AAD524C708 + 39459.50ns INFO [00039461] Port=0 WR @01=6DE0BBD9C428FFCD7D + 39459.50ns INFO [00039461] Port=0 RD @06 + 39460.50ns INFO [00039462] Port=0 WR @07=67A00BB3CDC53F043F + 39460.50ns INFO [00039462] Port=0 RD @04 + 39460.50ns INFO [00039462] Port=1 RD @05 + 39461.50ns INFO [00039463] * RD COMPARE * port=0 adr=06 act=61F60071514113D7D0 exp=61F60071514113D7D0 + 39461.50ns INFO [00039463] Port=0 RD @07 + 39461.50ns INFO [00039463] Port=1 RD @04 + 39462.50ns INFO [00039464] * RD COMPARE * port=0 adr=04 act=5BE7803BD6D7588998 exp=5BE7803BD6D7588998 + 39462.50ns INFO [00039464] * RD COMPARE * port=1 adr=05 act=B7D38892FD8339D2F1 exp=B7D38892FD8339D2F1 + 39462.50ns INFO [00039464] Port=0 WR @06=71242F77B517B299D0 + 39462.50ns INFO [00039464] Port=0 RD @07 + 39462.50ns INFO [00039464] Port=1 RD @04 + 39463.50ns INFO [00039465] * RD COMPARE * port=0 adr=07 act=67A00BB3CDC53F043F exp=67A00BB3CDC53F043F + 39463.50ns INFO [00039465] * RD COMPARE * port=1 adr=04 act=5BE7803BD6D7588998 exp=5BE7803BD6D7588998 + 39463.50ns INFO [00039465] Port=0 WR @07=5176DE22CCB4FD4E64 + 39464.50ns INFO [00039466] * RD COMPARE * port=0 adr=07 act=67A00BB3CDC53F043F exp=67A00BB3CDC53F043F + 39464.50ns INFO [00039466] * RD COMPARE * port=1 adr=04 act=5BE7803BD6D7588998 exp=5BE7803BD6D7588998 + 39464.50ns INFO [00039466] Port=0 RD @03 + 39464.50ns INFO [00039466] Port=1 RD @01 + 39466.50ns INFO [00039468] * RD COMPARE * port=0 adr=03 act=1156F5FA517906BB7A exp=1156F5FA517906BB7A + 39466.50ns INFO [00039468] * RD COMPARE * port=1 adr=01 act=6DE0BBD9C428FFCD7D exp=6DE0BBD9C428FFCD7D + 39466.50ns INFO [00039468] Port=0 WR @03=16B5AE2190DA599009 + 39466.50ns INFO [00039468] Port=0 RD @02 + 39467.50ns INFO [00039469] Port=0 WR @00=0693128A29D58485C2 + 39467.50ns INFO [00039469] Port=0 RD @05 + 39467.50ns INFO [00039469] Port=1 RD @01 + 39468.50ns INFO [00039470] * RD COMPARE * port=0 adr=02 act=4F5BDDF3AAD524C708 exp=4F5BDDF3AAD524C708 + 39469.50ns INFO [00039471] * RD COMPARE * port=0 adr=05 act=B7D38892FD8339D2F1 exp=B7D38892FD8339D2F1 + 39469.50ns INFO [00039471] * RD COMPARE * port=1 adr=01 act=6DE0BBD9C428FFCD7D exp=6DE0BBD9C428FFCD7D + 39469.50ns INFO [00039471] Port=1 RD @04 + 39470.50ns INFO [00039472] Port=0 WR @05=CA93BCDAD5479B1920 + 39470.50ns INFO [00039472] Port=1 RD @02 + 39471.50ns INFO [00039473] * RD COMPARE * port=1 adr=04 act=5BE7803BD6D7588998 exp=5BE7803BD6D7588998 + 39472.50ns INFO [00039474] * RD COMPARE * port=1 adr=02 act=4F5BDDF3AAD524C708 exp=4F5BDDF3AAD524C708 + 39472.50ns INFO [00039474] Port=1 RD @07 + 39473.50ns INFO [00039475] Port=1 RD @04 + 39474.50ns INFO [00039476] * RD COMPARE * port=1 adr=07 act=5176DE22CCB4FD4E64 exp=5176DE22CCB4FD4E64 + 39475.50ns INFO [00039477] * RD COMPARE * port=1 adr=04 act=5BE7803BD6D7588998 exp=5BE7803BD6D7588998 + 39476.50ns INFO [00039478] Port=1 RD @02 + 39477.50ns INFO [00039479] Port=0 WR @06=C12EAA36EB6AC0BC87 + 39477.50ns INFO [00039479] Port=0 RD @04 + 39478.50ns INFO [00039480] * RD COMPARE * port=1 adr=02 act=4F5BDDF3AAD524C708 exp=4F5BDDF3AAD524C708 + 39478.50ns INFO [00039480] Port=1 RD @07 + 39479.50ns INFO [00039481] * RD COMPARE * port=0 adr=04 act=5BE7803BD6D7588998 exp=5BE7803BD6D7588998 + 39479.50ns INFO [00039481] Port=0 RD @05 + 39480.50ns INFO [00039482] * RD COMPARE * port=1 adr=07 act=5176DE22CCB4FD4E64 exp=5176DE22CCB4FD4E64 + 39480.50ns INFO [00039482] Port=0 RD @01 + 39481.50ns INFO [00039483] * RD COMPARE * port=0 adr=05 act=CA93BCDAD5479B1920 exp=CA93BCDAD5479B1920 + 39482.50ns INFO [00039484] * RD COMPARE * port=0 adr=01 act=6DE0BBD9C428FFCD7D exp=6DE0BBD9C428FFCD7D + 39482.50ns INFO [00039484] Port=0 RD @06 + 39482.50ns INFO [00039484] Port=1 RD @00 + 39483.50ns INFO [00039485] Port=0 WR @03=23FE997721A9E4F4FD + 39483.50ns INFO [00039485] Port=0 RD @07 + 39484.50ns INFO [00039486] * RD COMPARE * port=0 adr=06 act=C12EAA36EB6AC0BC87 exp=C12EAA36EB6AC0BC87 + 39484.50ns INFO [00039486] * RD COMPARE * port=1 adr=00 act=0693128A29D58485C2 exp=0693128A29D58485C2 + 39484.50ns INFO [00039486] Port=1 RD @07 + 39485.50ns INFO [00039487] * RD COMPARE * port=0 adr=07 act=5176DE22CCB4FD4E64 exp=5176DE22CCB4FD4E64 + 39486.50ns INFO [00039488] * RD COMPARE * port=1 adr=07 act=5176DE22CCB4FD4E64 exp=5176DE22CCB4FD4E64 + 39486.50ns INFO [00039488] Port=0 WR @00=1B97AAB7759163ABE0 + 39487.50ns INFO [00039489] Port=0 WR @04=588755788EA15DE948 + 39487.50ns INFO [00039489] Port=0 RD @02 + 39487.50ns INFO [00039489] Port=1 RD @06 + 39489.50ns INFO [00039491] * RD COMPARE * port=0 adr=02 act=4F5BDDF3AAD524C708 exp=4F5BDDF3AAD524C708 + 39489.50ns INFO [00039491] * RD COMPARE * port=1 adr=06 act=C12EAA36EB6AC0BC87 exp=C12EAA36EB6AC0BC87 + 39490.50ns INFO [00039492] Port=0 WR @02=2DDCCBAC88B7DD6F6C + 39490.50ns INFO [00039492] Port=0 RD @03 + 39490.50ns INFO [00039492] Port=1 RD @00 + 39492.50ns INFO [00039494] * RD COMPARE * port=0 adr=03 act=23FE997721A9E4F4FD exp=23FE997721A9E4F4FD + 39492.50ns INFO [00039494] * RD COMPARE * port=1 adr=00 act=1B97AAB7759163ABE0 exp=1B97AAB7759163ABE0 + 39494.50ns INFO [00039496] Port=0 RD @01 + 39496.50ns INFO [00039498] * RD COMPARE * port=0 adr=01 act=6DE0BBD9C428FFCD7D exp=6DE0BBD9C428FFCD7D + 39498.00ns INFO [00039500] [00039500] ...tick... + 39498.50ns INFO [00039500] Port=0 WR @07=5DC9466523DEF931D9 + 39498.50ns INFO [00039500] Port=0 RD @01 + 39499.50ns INFO [00039501] Port=0 WR @01=3D8A27D609442E1676 + 39499.50ns INFO [00039501] Port=0 RD @03 + 39500.50ns INFO [00039502] * RD COMPARE * port=0 adr=01 act=6DE0BBD9C428FFCD7D exp=6DE0BBD9C428FFCD7D + 39500.50ns INFO [00039502] Port=0 RD @06 + 39500.50ns INFO [00039502] Port=1 RD @06 + 39501.50ns INFO [00039503] * RD COMPARE * port=0 adr=03 act=23FE997721A9E4F4FD exp=23FE997721A9E4F4FD + 39501.50ns INFO [00039503] Port=0 RD @02 + 39502.50ns INFO [00039504] * RD COMPARE * port=0 adr=06 act=C12EAA36EB6AC0BC87 exp=C12EAA36EB6AC0BC87 + 39502.50ns INFO [00039504] * RD COMPARE * port=1 adr=06 act=C12EAA36EB6AC0BC87 exp=C12EAA36EB6AC0BC87 + 39502.50ns INFO [00039504] Port=1 RD @04 + 39503.50ns INFO [00039505] * RD COMPARE * port=0 adr=02 act=2DDCCBAC88B7DD6F6C exp=2DDCCBAC88B7DD6F6C + 39504.50ns INFO [00039506] * RD COMPARE * port=1 adr=04 act=588755788EA15DE948 exp=588755788EA15DE948 + 39504.50ns INFO [00039506] Port=0 RD @03 + 39505.50ns INFO [00039507] Port=0 RD @03 + 39506.50ns INFO [00039508] * RD COMPARE * port=0 adr=03 act=23FE997721A9E4F4FD exp=23FE997721A9E4F4FD + 39506.50ns INFO [00039508] Port=0 WR @05=8AAAC310E89C7CFB15 + 39507.50ns INFO [00039509] * RD COMPARE * port=0 adr=03 act=23FE997721A9E4F4FD exp=23FE997721A9E4F4FD + 39507.50ns INFO [00039509] Port=1 RD @00 + 39509.50ns INFO [00039511] * RD COMPARE * port=1 adr=00 act=1B97AAB7759163ABE0 exp=1B97AAB7759163ABE0 + 39510.50ns INFO [00039512] Port=1 RD @04 + 39511.50ns INFO [00039513] Port=0 WR @05=059E75FC05F6EF2F62 + 39512.50ns INFO [00039514] * RD COMPARE * port=1 adr=04 act=588755788EA15DE948 exp=588755788EA15DE948 + 39512.50ns INFO [00039514] Port=1 RD @07 + 39514.50ns INFO [00039516] * RD COMPARE * port=1 adr=07 act=5DC9466523DEF931D9 exp=5DC9466523DEF931D9 + 39514.50ns INFO [00039516] Port=0 WR @04=A56F76A59480789C99 + 39514.50ns INFO [00039516] Port=0 RD @02 + 39515.50ns INFO [00039517] Port=0 RD @03 + 39516.50ns INFO [00039518] * RD COMPARE * port=0 adr=02 act=2DDCCBAC88B7DD6F6C exp=2DDCCBAC88B7DD6F6C + 39516.50ns INFO [00039518] Port=0 RD @04 + 39517.50ns INFO [00039519] * RD COMPARE * port=0 adr=03 act=23FE997721A9E4F4FD exp=23FE997721A9E4F4FD + 39517.50ns INFO [00039519] Port=0 WR @07=D069B16321AE267421 + 39517.50ns INFO [00039519] Port=0 RD @00 + 39518.50ns INFO [00039520] * RD COMPARE * port=0 adr=04 act=A56F76A59480789C99 exp=A56F76A59480789C99 + 39518.50ns INFO [00039520] Port=0 WR @04=B7A3103665FBC1EA0F + 39518.50ns INFO [00039520] Port=0 RD @01 + 39518.50ns INFO [00039520] Port=1 RD @02 + 39519.50ns INFO [00039521] * RD COMPARE * port=0 adr=00 act=1B97AAB7759163ABE0 exp=1B97AAB7759163ABE0 + 39519.50ns INFO [00039521] Port=0 RD @00 + 39520.50ns INFO [00039522] * RD COMPARE * port=0 adr=01 act=3D8A27D609442E1676 exp=3D8A27D609442E1676 + 39520.50ns INFO [00039522] * RD COMPARE * port=1 adr=02 act=2DDCCBAC88B7DD6F6C exp=2DDCCBAC88B7DD6F6C + 39520.50ns INFO [00039522] Port=0 WR @01=9EDA9747CAC104B5A6 + 39521.50ns INFO [00039523] * RD COMPARE * port=0 adr=00 act=1B97AAB7759163ABE0 exp=1B97AAB7759163ABE0 + 39521.50ns INFO [00039523] Port=1 RD @05 + 39523.50ns INFO [00039525] * RD COMPARE * port=1 adr=05 act=059E75FC05F6EF2F62 exp=059E75FC05F6EF2F62 + 39523.50ns INFO [00039525] Port=0 WR @05=A53CE7B0CC824CC588 + 39523.50ns INFO [00039525] Port=0 RD @07 + 39523.50ns INFO [00039525] Port=1 RD @04 + 39524.50ns INFO [00039526] Port=0 WR @06=B34440904D29134D15 + 39525.50ns INFO [00039527] * RD COMPARE * port=0 adr=07 act=D069B16321AE267421 exp=D069B16321AE267421 + 39525.50ns INFO [00039527] * RD COMPARE * port=1 adr=04 act=B7A3103665FBC1EA0F exp=B7A3103665FBC1EA0F + 39525.50ns INFO [00039527] Port=0 RD @07 + 39527.50ns INFO [00039529] * RD COMPARE * port=0 adr=07 act=D069B16321AE267421 exp=D069B16321AE267421 + 39527.50ns INFO [00039529] Port=1 RD @04 + 39529.50ns INFO [00039531] * RD COMPARE * port=1 adr=04 act=B7A3103665FBC1EA0F exp=B7A3103665FBC1EA0F + 39529.50ns INFO [00039531] Port=1 RD @05 + 39530.50ns INFO [00039532] Port=0 RD @03 + 39530.50ns INFO [00039532] Port=1 RD @07 + 39531.50ns INFO [00039533] * RD COMPARE * port=1 adr=05 act=A53CE7B0CC824CC588 exp=A53CE7B0CC824CC588 + 39531.50ns INFO [00039533] Port=0 WR @00=2075AFE3323C76283E + 39531.50ns INFO [00039533] Port=1 RD @03 + 39532.50ns INFO [00039534] * RD COMPARE * port=0 adr=03 act=23FE997721A9E4F4FD exp=23FE997721A9E4F4FD + 39532.50ns INFO [00039534] * RD COMPARE * port=1 adr=07 act=D069B16321AE267421 exp=D069B16321AE267421 + 39532.50ns INFO [00039534] Port=0 WR @00=C7798DA14527E7BD1C + 39532.50ns INFO [00039534] Port=1 RD @05 + 39533.50ns INFO [00039535] * RD COMPARE * port=1 adr=03 act=23FE997721A9E4F4FD exp=23FE997721A9E4F4FD + 39533.50ns INFO [00039535] Port=0 RD @05 + 39534.50ns INFO [00039536] * RD COMPARE * port=1 adr=05 act=A53CE7B0CC824CC588 exp=A53CE7B0CC824CC588 + 39534.50ns INFO [00039536] Port=0 WR @01=BD0FD440B6525D1602 + 39534.50ns INFO [00039536] Port=0 RD @07 + 39534.50ns INFO [00039536] Port=1 RD @06 + 39535.50ns INFO [00039537] * RD COMPARE * port=0 adr=05 act=A53CE7B0CC824CC588 exp=A53CE7B0CC824CC588 + 39536.50ns INFO [00039538] * RD COMPARE * port=0 adr=07 act=D069B16321AE267421 exp=D069B16321AE267421 + 39536.50ns INFO [00039538] * RD COMPARE * port=1 adr=06 act=B34440904D29134D15 exp=B34440904D29134D15 + 39536.50ns INFO [00039538] Port=0 WR @07=05370443DF3E7AD90B + 39537.50ns INFO [00039539] Port=0 WR @00=D3E62E17975752CD00 + 39537.50ns INFO [00039539] Port=1 RD @01 + 39538.50ns INFO [00039540] Port=1 RD @05 + 39539.50ns INFO [00039541] * RD COMPARE * port=1 adr=01 act=BD0FD440B6525D1602 exp=BD0FD440B6525D1602 + 39539.50ns INFO [00039541] Port=1 RD @05 + 39540.50ns INFO [00039542] * RD COMPARE * port=1 adr=05 act=A53CE7B0CC824CC588 exp=A53CE7B0CC824CC588 + 39541.50ns INFO [00039543] * RD COMPARE * port=1 adr=05 act=A53CE7B0CC824CC588 exp=A53CE7B0CC824CC588 + 39541.50ns INFO [00039543] Port=0 WR @06=9E48771BAA410E8074 + 39541.50ns INFO [00039543] Port=1 RD @04 + 39543.50ns INFO [00039545] * RD COMPARE * port=1 adr=04 act=B7A3103665FBC1EA0F exp=B7A3103665FBC1EA0F + 39544.50ns INFO [00039546] Port=0 RD @01 + 39545.50ns INFO [00039547] Port=0 RD @01 + 39546.50ns INFO [00039548] * RD COMPARE * port=0 adr=01 act=BD0FD440B6525D1602 exp=BD0FD440B6525D1602 + 39547.50ns INFO [00039549] * RD COMPARE * port=0 adr=01 act=BD0FD440B6525D1602 exp=BD0FD440B6525D1602 + 39547.50ns INFO [00039549] Port=1 RD @06 + 39548.50ns INFO [00039550] Port=0 RD @06 + 39548.50ns INFO [00039550] Port=1 RD @02 + 39549.50ns INFO [00039551] * RD COMPARE * port=1 adr=06 act=9E48771BAA410E8074 exp=9E48771BAA410E8074 + 39549.50ns INFO [00039551] Port=0 WR @02=333A7CB45DDA579E6D + 39549.50ns INFO [00039551] Port=1 RD @01 + 39550.50ns INFO [00039552] * RD COMPARE * port=0 adr=06 act=9E48771BAA410E8074 exp=9E48771BAA410E8074 + 39550.50ns INFO [00039552] * RD COMPARE * port=1 adr=02 act=2DDCCBAC88B7DD6F6C exp=2DDCCBAC88B7DD6F6C + 39550.50ns INFO [00039552] Port=0 WR @00=C0A26A938A1470E403 + 39550.50ns INFO [00039552] Port=1 RD @03 + 39551.50ns INFO [00039553] * RD COMPARE * port=1 adr=01 act=BD0FD440B6525D1602 exp=BD0FD440B6525D1602 + 39551.50ns INFO [00039553] Port=1 RD @07 + 39552.50ns INFO [00039554] * RD COMPARE * port=1 adr=03 act=23FE997721A9E4F4FD exp=23FE997721A9E4F4FD + 39553.50ns INFO [00039555] * RD COMPARE * port=1 adr=07 act=05370443DF3E7AD90B exp=05370443DF3E7AD90B + 39553.50ns INFO [00039555] Port=0 RD @07 + 39554.50ns INFO [00039556] Port=0 WR @06=B3549FA1190BBE8D83 + 39554.50ns INFO [00039556] Port=0 RD @07 + 39555.50ns INFO [00039557] * RD COMPARE * port=0 adr=07 act=05370443DF3E7AD90B exp=05370443DF3E7AD90B + 39555.50ns INFO [00039557] Port=0 WR @01=560CA9669F892A28AF + 39555.50ns INFO [00039557] Port=0 RD @02 + 39556.50ns INFO [00039558] * RD COMPARE * port=0 adr=07 act=05370443DF3E7AD90B exp=05370443DF3E7AD90B + 39556.50ns INFO [00039558] Port=0 WR @03=3AD4ADBD420E72BC2D + 39556.50ns INFO [00039558] Port=1 RD @07 + 39557.50ns INFO [00039559] * RD COMPARE * port=0 adr=02 act=333A7CB45DDA579E6D exp=333A7CB45DDA579E6D + 39557.50ns INFO [00039559] Port=0 WR @03=CCCB7FA26D3ECE4D11 + 39558.50ns INFO [00039560] * RD COMPARE * port=1 adr=07 act=05370443DF3E7AD90B exp=05370443DF3E7AD90B + 39558.50ns INFO [00039560] Port=0 RD @07 + 39559.50ns INFO [00039561] Port=0 WR @01=1C28452AF8FCA1377A + 39559.50ns INFO [00039561] Port=1 RD @06 + 39560.50ns INFO [00039562] * RD COMPARE * port=0 adr=07 act=05370443DF3E7AD90B exp=05370443DF3E7AD90B + 39560.50ns INFO [00039562] Port=0 WR @02=9332B797AD05B90D74 + 39560.50ns INFO [00039562] Port=0 RD @05 + 39561.50ns INFO [00039563] * RD COMPARE * port=1 adr=06 act=B3549FA1190BBE8D83 exp=B3549FA1190BBE8D83 + 39561.50ns INFO [00039563] Port=0 RD @03 + 39561.50ns INFO [00039563] Port=1 RD @03 + 39562.50ns INFO [00039564] * RD COMPARE * port=0 adr=05 act=A53CE7B0CC824CC588 exp=A53CE7B0CC824CC588 + 39563.50ns INFO [00039565] * RD COMPARE * port=0 adr=03 act=CCCB7FA26D3ECE4D11 exp=CCCB7FA26D3ECE4D11 + 39563.50ns INFO [00039565] * RD COMPARE * port=1 adr=03 act=CCCB7FA26D3ECE4D11 exp=CCCB7FA26D3ECE4D11 + 39563.50ns INFO [00039565] Port=1 RD @01 + 39564.50ns INFO [00039566] Port=0 WR @04=9902E9A822CE231FDB + 39565.50ns INFO [00039567] * RD COMPARE * port=1 adr=01 act=1C28452AF8FCA1377A exp=1C28452AF8FCA1377A + 39565.50ns INFO [00039567] Port=0 RD @02 + 39566.50ns INFO [00039568] Port=0 RD @02 + 39566.50ns INFO [00039568] Port=1 RD @07 + 39567.50ns INFO [00039569] * RD COMPARE * port=0 adr=02 act=9332B797AD05B90D74 exp=9332B797AD05B90D74 + 39567.50ns INFO [00039569] Port=0 RD @05 + 39568.50ns INFO [00039570] * RD COMPARE * port=0 adr=02 act=9332B797AD05B90D74 exp=9332B797AD05B90D74 + 39568.50ns INFO [00039570] * RD COMPARE * port=1 adr=07 act=05370443DF3E7AD90B exp=05370443DF3E7AD90B + 39568.50ns INFO [00039570] Port=0 WR @03=19980F9BF589DA4568 + 39568.50ns INFO [00039570] Port=1 RD @01 + 39569.50ns INFO [00039571] * RD COMPARE * port=0 adr=05 act=A53CE7B0CC824CC588 exp=A53CE7B0CC824CC588 + 39569.50ns INFO [00039571] Port=0 WR @00=6D0BCD9617A1F72A0A + 39570.50ns INFO [00039572] * RD COMPARE * port=1 adr=01 act=1C28452AF8FCA1377A exp=1C28452AF8FCA1377A + 39570.50ns INFO [00039572] Port=0 WR @02=7582D572D700EFD942 + 39571.50ns INFO [00039573] Port=0 RD @04 + 39572.50ns INFO [00039574] Port=0 RD @01 + 39572.50ns INFO [00039574] Port=1 RD @00 + 39573.50ns INFO [00039575] * RD COMPARE * port=0 adr=04 act=9902E9A822CE231FDB exp=9902E9A822CE231FDB + 39573.50ns INFO [00039575] Port=0 RD @02 + 39574.50ns INFO [00039576] * RD COMPARE * port=0 adr=01 act=1C28452AF8FCA1377A exp=1C28452AF8FCA1377A + 39574.50ns INFO [00039576] * RD COMPARE * port=1 adr=00 act=6D0BCD9617A1F72A0A exp=6D0BCD9617A1F72A0A + 39574.50ns INFO [00039576] Port=1 RD @03 + 39575.50ns INFO [00039577] * RD COMPARE * port=0 adr=02 act=7582D572D700EFD942 exp=7582D572D700EFD942 + 39575.50ns INFO [00039577] Port=0 RD @01 + 39575.50ns INFO [00039577] Port=1 RD @01 + 39576.50ns INFO [00039578] * RD COMPARE * port=1 adr=03 act=19980F9BF589DA4568 exp=19980F9BF589DA4568 + 39576.50ns INFO [00039578] Port=1 RD @03 + 39577.50ns INFO [00039579] * RD COMPARE * port=0 adr=01 act=1C28452AF8FCA1377A exp=1C28452AF8FCA1377A + 39577.50ns INFO [00039579] * RD COMPARE * port=1 adr=01 act=1C28452AF8FCA1377A exp=1C28452AF8FCA1377A + 39578.50ns INFO [00039580] * RD COMPARE * port=1 adr=03 act=19980F9BF589DA4568 exp=19980F9BF589DA4568 + 39578.50ns INFO [00039580] Port=0 RD @01 + 39579.50ns INFO [00039581] Port=1 RD @04 + 39580.50ns INFO [00039582] * RD COMPARE * port=0 adr=01 act=1C28452AF8FCA1377A exp=1C28452AF8FCA1377A + 39581.50ns INFO [00039583] * RD COMPARE * port=1 adr=04 act=9902E9A822CE231FDB exp=9902E9A822CE231FDB + 39582.50ns INFO [00039584] Port=0 RD @06 + 39583.50ns INFO [00039585] Port=0 RD @06 + 39583.50ns INFO [00039585] Port=1 RD @01 + 39584.50ns INFO [00039586] * RD COMPARE * port=0 adr=06 act=B3549FA1190BBE8D83 exp=B3549FA1190BBE8D83 + 39584.50ns INFO [00039586] Port=0 RD @01 + 39585.50ns INFO [00039587] * RD COMPARE * port=0 adr=06 act=B3549FA1190BBE8D83 exp=B3549FA1190BBE8D83 + 39585.50ns INFO [00039587] * RD COMPARE * port=1 adr=01 act=1C28452AF8FCA1377A exp=1C28452AF8FCA1377A + 39585.50ns INFO [00039587] Port=0 WR @06=537B45EA1C18604A24 + 39585.50ns INFO [00039587] Port=1 RD @04 + 39586.50ns INFO [00039588] * RD COMPARE * port=0 adr=01 act=1C28452AF8FCA1377A exp=1C28452AF8FCA1377A + 39586.50ns INFO [00039588] Port=0 WR @05=F9E53259B561A1E120 + 39587.50ns INFO [00039589] * RD COMPARE * port=1 adr=04 act=9902E9A822CE231FDB exp=9902E9A822CE231FDB + 39587.50ns INFO [00039589] Port=0 RD @07 + 39588.50ns INFO [00039590] Port=0 WR @04=87FFCD96D14A15777A + 39588.50ns INFO [00039590] Port=0 RD @02 + 39588.50ns INFO [00039590] Port=1 RD @01 + 39589.50ns INFO [00039591] * RD COMPARE * port=0 adr=07 act=05370443DF3E7AD90B exp=05370443DF3E7AD90B + 39589.50ns INFO [00039591] Port=0 RD @00 + 39589.50ns INFO [00039591] Port=1 RD @07 + 39590.50ns INFO [00039592] * RD COMPARE * port=0 adr=02 act=7582D572D700EFD942 exp=7582D572D700EFD942 + 39590.50ns INFO [00039592] * RD COMPARE * port=1 adr=01 act=1C28452AF8FCA1377A exp=1C28452AF8FCA1377A + 39590.50ns INFO [00039592] Port=0 WR @05=922BD6C9F64334C9F7 + 39590.50ns INFO [00039592] Port=1 RD @04 + 39591.50ns INFO [00039593] * RD COMPARE * port=0 adr=00 act=6D0BCD9617A1F72A0A exp=6D0BCD9617A1F72A0A + 39591.50ns INFO [00039593] * RD COMPARE * port=1 adr=07 act=05370443DF3E7AD90B exp=05370443DF3E7AD90B + 39591.50ns INFO [00039593] Port=0 RD @00 + 39591.50ns INFO [00039593] Port=1 RD @02 + 39592.50ns INFO [00039594] * RD COMPARE * port=1 adr=04 act=87FFCD96D14A15777A exp=87FFCD96D14A15777A + 39592.50ns INFO [00039594] Port=1 RD @07 + 39593.50ns INFO [00039595] * RD COMPARE * port=0 adr=00 act=6D0BCD9617A1F72A0A exp=6D0BCD9617A1F72A0A + 39593.50ns INFO [00039595] * RD COMPARE * port=1 adr=02 act=7582D572D700EFD942 exp=7582D572D700EFD942 + 39593.50ns INFO [00039595] Port=0 RD @03 + 39594.50ns INFO [00039596] * RD COMPARE * port=1 adr=07 act=05370443DF3E7AD90B exp=05370443DF3E7AD90B + 39594.50ns INFO [00039596] Port=0 WR @01=B91FE774E62369F654 + 39594.50ns INFO [00039596] Port=1 RD @03 + 39595.50ns INFO [00039597] * RD COMPARE * port=0 adr=03 act=19980F9BF589DA4568 exp=19980F9BF589DA4568 + 39595.50ns INFO [00039597] Port=0 WR @05=CF9ABE3FD29F9888A1 + 39596.50ns INFO [00039598] * RD COMPARE * port=1 adr=03 act=19980F9BF589DA4568 exp=19980F9BF589DA4568 + 39598.00ns INFO [00039600] [00039600] ...tick... + 39598.50ns INFO [00039600] Port=0 WR @05=8B330E4D38BE575647 + 39598.50ns INFO [00039600] Port=0 RD @06 + 39598.50ns INFO [00039600] Port=1 RD @02 + 39600.50ns INFO [00039602] * RD COMPARE * port=0 adr=06 act=537B45EA1C18604A24 exp=537B45EA1C18604A24 + 39600.50ns INFO [00039602] * RD COMPARE * port=1 adr=02 act=7582D572D700EFD942 exp=7582D572D700EFD942 + 39600.50ns INFO [00039602] Port=0 RD @00 + 39600.50ns INFO [00039602] Port=1 RD @07 + 39601.50ns INFO [00039603] Port=1 RD @01 + 39602.50ns INFO [00039604] * RD COMPARE * port=0 adr=00 act=6D0BCD9617A1F72A0A exp=6D0BCD9617A1F72A0A + 39602.50ns INFO [00039604] * RD COMPARE * port=1 adr=07 act=05370443DF3E7AD90B exp=05370443DF3E7AD90B + 39602.50ns INFO [00039604] Port=0 WR @03=543BC9A979B335671A + 39603.50ns INFO [00039605] * RD COMPARE * port=1 adr=01 act=B91FE774E62369F654 exp=B91FE774E62369F654 + 39603.50ns INFO [00039605] Port=0 RD @03 + 39603.50ns INFO [00039605] Port=1 RD @02 + 39604.50ns INFO [00039606] Port=0 RD @03 + 39605.50ns INFO [00039607] * RD COMPARE * port=0 adr=03 act=543BC9A979B335671A exp=543BC9A979B335671A + 39605.50ns INFO [00039607] * RD COMPARE * port=1 adr=02 act=7582D572D700EFD942 exp=7582D572D700EFD942 + 39605.50ns INFO [00039607] Port=0 RD @05 + 39606.50ns INFO [00039608] * RD COMPARE * port=0 adr=03 act=543BC9A979B335671A exp=543BC9A979B335671A + 39606.50ns INFO [00039608] Port=0 RD @06 + 39606.50ns INFO [00039608] Port=1 RD @06 + 39607.50ns INFO [00039609] * RD COMPARE * port=0 adr=05 act=8B330E4D38BE575647 exp=8B330E4D38BE575647 + 39608.50ns INFO [00039610] * RD COMPARE * port=0 adr=06 act=537B45EA1C18604A24 exp=537B45EA1C18604A24 + 39608.50ns INFO [00039610] * RD COMPARE * port=1 adr=06 act=537B45EA1C18604A24 exp=537B45EA1C18604A24 + 39610.50ns INFO [00039612] Port=0 WR @07=A425A961C1239ED5AF + 39611.50ns INFO [00039613] Port=0 WR @07=98A252CF6F82D39340 + 39612.50ns INFO [00039614] Port=0 WR @05=18970FF7B597EF787D + 39612.50ns INFO [00039614] Port=1 RD @04 + 39614.50ns INFO [00039616] * RD COMPARE * port=1 adr=04 act=87FFCD96D14A15777A exp=87FFCD96D14A15777A + 39614.50ns INFO [00039616] Port=0 RD @00 + 39614.50ns INFO [00039616] Port=1 RD @05 + 39615.50ns INFO [00039617] Port=0 WR @03=DB10FBF294F442E14F + 39616.50ns INFO [00039618] * RD COMPARE * port=0 adr=00 act=6D0BCD9617A1F72A0A exp=6D0BCD9617A1F72A0A + 39616.50ns INFO [00039618] * RD COMPARE * port=1 adr=05 act=18970FF7B597EF787D exp=18970FF7B597EF787D + 39617.50ns INFO [00039619] Port=1 RD @06 + 39618.50ns INFO [00039620] Port=0 WR @04=C5CC63B89904CCD727 + 39619.50ns INFO [00039621] * RD COMPARE * port=1 adr=06 act=537B45EA1C18604A24 exp=537B45EA1C18604A24 + 39619.50ns INFO [00039621] Port=0 WR @02=C6068E85FEBA11C6E2 + 39619.50ns INFO [00039621] Port=1 RD @01 + 39620.50ns INFO [00039622] Port=0 WR @05=CC58E9B32FDEF96152 + 39621.50ns INFO [00039623] * RD COMPARE * port=1 adr=01 act=B91FE774E62369F654 exp=B91FE774E62369F654 + 39621.50ns INFO [00039623] Port=0 WR @06=D7E9D65BE36B028D70 + 39622.50ns INFO [00039624] Port=0 RD @04 + 39624.50ns INFO [00039626] * RD COMPARE * port=0 adr=04 act=C5CC63B89904CCD727 exp=C5CC63B89904CCD727 + 39624.50ns INFO [00039626] Port=0 RD @02 + 39626.50ns INFO [00039628] * RD COMPARE * port=0 adr=02 act=C6068E85FEBA11C6E2 exp=C6068E85FEBA11C6E2 + 39627.50ns INFO [00039629] Port=0 RD @02 + 39628.50ns INFO [00039630] Port=0 RD @07 + 39629.50ns INFO [00039631] * RD COMPARE * port=0 adr=02 act=C6068E85FEBA11C6E2 exp=C6068E85FEBA11C6E2 + 39629.50ns INFO [00039631] Port=0 WR @01=D91E36129CF232F6AF + 39630.50ns INFO [00039632] * RD COMPARE * port=0 adr=07 act=98A252CF6F82D39340 exp=98A252CF6F82D39340 + 39630.50ns INFO [00039632] Port=0 WR @04=CD6C1257CF2B0C4717 + 39630.50ns INFO [00039632] Port=1 RD @00 + 39631.50ns INFO [00039633] Port=0 RD @04 + 39631.50ns INFO [00039633] Port=1 RD @00 + 39632.50ns INFO [00039634] * RD COMPARE * port=1 adr=00 act=6D0BCD9617A1F72A0A exp=6D0BCD9617A1F72A0A + 39633.50ns INFO [00039635] * RD COMPARE * port=0 adr=04 act=CD6C1257CF2B0C4717 exp=CD6C1257CF2B0C4717 + 39633.50ns INFO [00039635] * RD COMPARE * port=1 adr=00 act=6D0BCD9617A1F72A0A exp=6D0BCD9617A1F72A0A + 39633.50ns INFO [00039635] Port=0 RD @01 + 39634.50ns INFO [00039636] Port=0 RD @01 + 39635.50ns INFO [00039637] * RD COMPARE * port=0 adr=01 act=D91E36129CF232F6AF exp=D91E36129CF232F6AF + 39636.50ns INFO [00039638] * RD COMPARE * port=0 adr=01 act=D91E36129CF232F6AF exp=D91E36129CF232F6AF + 39636.50ns INFO [00039638] Port=0 RD @07 + 39637.50ns INFO [00039639] Port=0 WR @02=E431E1F6BC3315EBCE + 39637.50ns INFO [00039639] Port=1 RD @01 + 39638.50ns INFO [00039640] * RD COMPARE * port=0 adr=07 act=98A252CF6F82D39340 exp=98A252CF6F82D39340 + 39638.50ns INFO [00039640] Port=0 RD @07 + 39639.50ns INFO [00039641] * RD COMPARE * port=1 adr=01 act=D91E36129CF232F6AF exp=D91E36129CF232F6AF + 39640.50ns INFO [00039642] * RD COMPARE * port=0 adr=07 act=98A252CF6F82D39340 exp=98A252CF6F82D39340 + 39640.50ns INFO [00039642] Port=0 RD @07 + 39641.50ns INFO [00039643] Port=0 WR @05=F4497D2134F8821A47 + 39641.50ns INFO [00039643] Port=1 RD @07 + 39642.50ns INFO [00039644] * RD COMPARE * port=0 adr=07 act=98A252CF6F82D39340 exp=98A252CF6F82D39340 + 39642.50ns INFO [00039644] Port=0 RD @00 + 39642.50ns INFO [00039644] Port=1 RD @04 + 39643.50ns INFO [00039645] * RD COMPARE * port=1 adr=07 act=98A252CF6F82D39340 exp=98A252CF6F82D39340 + 39643.50ns INFO [00039645] Port=1 RD @00 + 39644.50ns INFO [00039646] * RD COMPARE * port=0 adr=00 act=6D0BCD9617A1F72A0A exp=6D0BCD9617A1F72A0A + 39644.50ns INFO [00039646] * RD COMPARE * port=1 adr=04 act=CD6C1257CF2B0C4717 exp=CD6C1257CF2B0C4717 + 39644.50ns INFO [00039646] Port=0 RD @01 + 39645.50ns INFO [00039647] * RD COMPARE * port=1 adr=00 act=6D0BCD9617A1F72A0A exp=6D0BCD9617A1F72A0A + 39645.50ns INFO [00039647] Port=1 RD @05 + 39646.50ns INFO [00039648] * RD COMPARE * port=0 adr=01 act=D91E36129CF232F6AF exp=D91E36129CF232F6AF + 39647.50ns INFO [00039649] * RD COMPARE * port=1 adr=05 act=F4497D2134F8821A47 exp=F4497D2134F8821A47 + 39648.50ns INFO [00039650] Port=0 WR @00=EE2C4DB985A4FA34F1 + 39649.50ns INFO [00039651] Port=0 WR @03=3E786379E71856F198 + 39649.50ns INFO [00039651] Port=0 RD @01 + 39649.50ns INFO [00039651] Port=1 RD @02 + 39650.50ns INFO [00039652] Port=1 RD @06 + 39651.50ns INFO [00039653] * RD COMPARE * port=0 adr=01 act=D91E36129CF232F6AF exp=D91E36129CF232F6AF + 39651.50ns INFO [00039653] * RD COMPARE * port=1 adr=02 act=E431E1F6BC3315EBCE exp=E431E1F6BC3315EBCE + 39652.50ns INFO [00039654] * RD COMPARE * port=1 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39652.50ns INFO [00039654] Port=0 WR @03=CAB1B7437C0B105D83 + 39652.50ns INFO [00039654] Port=1 RD @01 + 39654.50ns INFO [00039656] * RD COMPARE * port=1 adr=01 act=D91E36129CF232F6AF exp=D91E36129CF232F6AF + 39656.50ns INFO [00039658] Port=0 WR @03=C33EACEB7B2D0DCB96 + 39656.50ns INFO [00039658] Port=0 RD @06 + 39658.50ns INFO [00039660] * RD COMPARE * port=0 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39660.50ns INFO [00039662] Port=0 WR @05=85D74C8BFB0614A90D + 39661.50ns INFO [00039663] Port=0 WR @05=73A6897C809FC73456 + 39661.50ns INFO [00039663] Port=0 RD @04 + 39662.50ns INFO [00039664] Port=1 RD @00 + 39663.50ns INFO [00039665] * RD COMPARE * port=0 adr=04 act=CD6C1257CF2B0C4717 exp=CD6C1257CF2B0C4717 + 39663.50ns INFO [00039665] Port=0 WR @03=7350285E02E8485136 + 39663.50ns INFO [00039665] Port=0 RD @01 + 39663.50ns INFO [00039665] Port=1 RD @07 + 39664.50ns INFO [00039666] * RD COMPARE * port=1 adr=00 act=EE2C4DB985A4FA34F1 exp=EE2C4DB985A4FA34F1 + 39664.50ns INFO [00039666] Port=0 RD @06 + 39665.50ns INFO [00039667] * RD COMPARE * port=0 adr=01 act=D91E36129CF232F6AF exp=D91E36129CF232F6AF + 39665.50ns INFO [00039667] * RD COMPARE * port=1 adr=07 act=98A252CF6F82D39340 exp=98A252CF6F82D39340 + 39665.50ns INFO [00039667] Port=0 RD @05 + 39665.50ns INFO [00039667] Port=1 RD @06 + 39666.50ns INFO [00039668] * RD COMPARE * port=0 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39667.50ns INFO [00039669] * RD COMPARE * port=0 adr=05 act=73A6897C809FC73456 exp=73A6897C809FC73456 + 39667.50ns INFO [00039669] * RD COMPARE * port=1 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39668.50ns INFO [00039670] Port=0 RD @06 + 39668.50ns INFO [00039670] Port=1 RD @06 + 39669.50ns INFO [00039671] Port=0 RD @04 + 39669.50ns INFO [00039671] Port=1 RD @00 + 39670.50ns INFO [00039672] * RD COMPARE * port=0 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39670.50ns INFO [00039672] * RD COMPARE * port=1 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39670.50ns INFO [00039672] Port=0 RD @02 + 39671.50ns INFO [00039673] * RD COMPARE * port=0 adr=04 act=CD6C1257CF2B0C4717 exp=CD6C1257CF2B0C4717 + 39671.50ns INFO [00039673] * RD COMPARE * port=1 adr=00 act=EE2C4DB985A4FA34F1 exp=EE2C4DB985A4FA34F1 + 39671.50ns INFO [00039673] Port=1 RD @04 + 39672.50ns INFO [00039674] * RD COMPARE * port=0 adr=02 act=E431E1F6BC3315EBCE exp=E431E1F6BC3315EBCE + 39672.50ns INFO [00039674] Port=1 RD @02 + 39673.50ns INFO [00039675] * RD COMPARE * port=1 adr=04 act=CD6C1257CF2B0C4717 exp=CD6C1257CF2B0C4717 + 39673.50ns INFO [00039675] Port=0 WR @04=5D49A774A8D4CEEC3A + 39673.50ns INFO [00039675] Port=1 RD @02 + 39674.50ns INFO [00039676] * RD COMPARE * port=1 adr=02 act=E431E1F6BC3315EBCE exp=E431E1F6BC3315EBCE + 39674.50ns INFO [00039676] Port=0 WR @01=3BBB9E93499D3F749D + 39675.50ns INFO [00039677] * RD COMPARE * port=1 adr=02 act=E431E1F6BC3315EBCE exp=E431E1F6BC3315EBCE + 39675.50ns INFO [00039677] Port=0 WR @05=F4608044E1A5067400 + 39675.50ns INFO [00039677] Port=1 RD @01 + 39676.50ns INFO [00039678] Port=1 RD @01 + 39677.50ns INFO [00039679] * RD COMPARE * port=1 adr=01 act=3BBB9E93499D3F749D exp=3BBB9E93499D3F749D + 39677.50ns INFO [00039679] Port=0 WR @05=A6D18DE4799E49590C + 39677.50ns INFO [00039679] Port=0 RD @06 + 39678.50ns INFO [00039680] * RD COMPARE * port=1 adr=01 act=3BBB9E93499D3F749D exp=3BBB9E93499D3F749D + 39679.50ns INFO [00039681] * RD COMPARE * port=0 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39679.50ns INFO [00039681] Port=0 WR @02=B965565F2DB837B501 + 39679.50ns INFO [00039681] Port=1 RD @03 + 39680.50ns INFO [00039682] Port=0 RD @05 + 39681.50ns INFO [00039683] * RD COMPARE * port=1 adr=03 act=7350285E02E8485136 exp=7350285E02E8485136 + 39681.50ns INFO [00039683] Port=0 WR @01=BE95363EE400DFFB58 + 39681.50ns INFO [00039683] Port=0 RD @00 + 39682.50ns INFO [00039684] * RD COMPARE * port=0 adr=05 act=A6D18DE4799E49590C exp=A6D18DE4799E49590C + 39682.50ns INFO [00039684] Port=0 WR @01=30BF0F13A3B8E06065 + 39682.50ns INFO [00039684] Port=1 RD @07 + 39683.50ns INFO [00039685] * RD COMPARE * port=0 adr=00 act=EE2C4DB985A4FA34F1 exp=EE2C4DB985A4FA34F1 + 39683.50ns INFO [00039685] Port=0 RD @06 + 39684.50ns INFO [00039686] * RD COMPARE * port=1 adr=07 act=98A252CF6F82D39340 exp=98A252CF6F82D39340 + 39684.50ns INFO [00039686] Port=0 WR @01=C363DB2A1D73E10860 + 39685.50ns INFO [00039687] * RD COMPARE * port=0 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39685.50ns INFO [00039687] Port=0 WR @05=C5537AC7B2CECA27B9 + 39685.50ns INFO [00039687] Port=1 RD @06 + 39686.50ns INFO [00039688] Port=1 RD @01 + 39687.50ns INFO [00039689] * RD COMPARE * port=1 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39687.50ns INFO [00039689] Port=0 WR @01=45541D910B15FEE8FF + 39688.50ns INFO [00039690] * RD COMPARE * port=1 adr=01 act=C363DB2A1D73E10860 exp=C363DB2A1D73E10860 + 39688.50ns INFO [00039690] Port=0 RD @03 + 39689.50ns INFO [00039691] Port=0 WR @07=F82F19613435F55483 + 39690.50ns INFO [00039692] * RD COMPARE * port=0 adr=03 act=7350285E02E8485136 exp=7350285E02E8485136 + 39691.50ns INFO [00039693] Port=0 RD @05 + 39691.50ns INFO [00039693] Port=1 RD @06 + 39692.50ns INFO [00039694] Port=0 RD @02 + 39693.50ns INFO [00039695] * RD COMPARE * port=0 adr=05 act=C5537AC7B2CECA27B9 exp=C5537AC7B2CECA27B9 + 39693.50ns INFO [00039695] * RD COMPARE * port=1 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39693.50ns INFO [00039695] Port=0 RD @04 + 39694.50ns INFO [00039696] * RD COMPARE * port=0 adr=02 act=B965565F2DB837B501 exp=B965565F2DB837B501 + 39694.50ns INFO [00039696] Port=0 RD @01 + 39694.50ns INFO [00039696] Port=1 RD @02 + 39695.50ns INFO [00039697] * RD COMPARE * port=0 adr=04 act=5D49A774A8D4CEEC3A exp=5D49A774A8D4CEEC3A + 39695.50ns INFO [00039697] Port=1 RD @01 + 39696.50ns INFO [00039698] * RD COMPARE * port=0 adr=01 act=45541D910B15FEE8FF exp=45541D910B15FEE8FF + 39696.50ns INFO [00039698] * RD COMPARE * port=1 adr=02 act=B965565F2DB837B501 exp=B965565F2DB837B501 + 39696.50ns INFO [00039698] Port=1 RD @03 + 39697.50ns INFO [00039699] * RD COMPARE * port=1 adr=01 act=45541D910B15FEE8FF exp=45541D910B15FEE8FF + 39697.50ns INFO [00039699] Port=0 WR @05=4F2BEC1B436B622BDB + 39697.50ns INFO [00039699] Port=1 RD @06 + 39698.00ns INFO [00039700] [00039700] ...tick... + 39698.50ns INFO [00039700] * RD COMPARE * port=1 adr=03 act=7350285E02E8485136 exp=7350285E02E8485136 + 39698.50ns INFO [00039700] Port=0 WR @06=1E8639DA520CA75CB9 + 39699.50ns INFO [00039701] * RD COMPARE * port=1 adr=06 act=D7E9D65BE36B028D70 exp=D7E9D65BE36B028D70 + 39699.50ns INFO [00039701] Port=1 RD @06 + 39701.50ns INFO [00039703] * RD COMPARE * port=1 adr=06 act=1E8639DA520CA75CB9 exp=1E8639DA520CA75CB9 + 39701.50ns INFO [00039703] Port=0 WR @02=7AB83945D4E81AB3E9 + 39701.50ns INFO [00039703] Port=0 RD @07 + 39702.50ns INFO [00039704] Port=0 WR @06=77AA22CDFF93445034 + 39703.50ns INFO [00039705] * RD COMPARE * port=0 adr=07 act=F82F19613435F55483 exp=F82F19613435F55483 + 39704.50ns INFO [00039706] Port=0 RD @01 + 39705.50ns INFO [00039707] Port=1 RD @07 + 39706.50ns INFO [00039708] * RD COMPARE * port=0 adr=01 act=45541D910B15FEE8FF exp=45541D910B15FEE8FF + 39706.50ns INFO [00039708] Port=1 RD @02 + 39707.50ns INFO [00039709] * RD COMPARE * port=1 adr=07 act=F82F19613435F55483 exp=F82F19613435F55483 + 39707.50ns INFO [00039709] Port=0 WR @03=903B583AC0D34DE19D + 39707.50ns INFO [00039709] Port=1 RD @02 + 39708.50ns INFO [00039710] * RD COMPARE * port=1 adr=02 act=7AB83945D4E81AB3E9 exp=7AB83945D4E81AB3E9 + 39708.50ns INFO [00039710] Port=1 RD @06 + 39709.50ns INFO [00039711] * RD COMPARE * port=1 adr=02 act=7AB83945D4E81AB3E9 exp=7AB83945D4E81AB3E9 + 39709.50ns INFO [00039711] Port=0 RD @01 + 39710.50ns INFO [00039712] * RD COMPARE * port=1 adr=06 act=77AA22CDFF93445034 exp=77AA22CDFF93445034 + 39710.50ns INFO [00039712] Port=0 RD @03 + 39711.50ns INFO [00039713] * RD COMPARE * port=0 adr=01 act=45541D910B15FEE8FF exp=45541D910B15FEE8FF + 39712.50ns INFO [00039714] * RD COMPARE * port=0 adr=03 act=903B583AC0D34DE19D exp=903B583AC0D34DE19D + 39713.50ns INFO [00039715] Port=0 WR @03=11DA728D290F94587D + 39713.50ns INFO [00039715] Port=1 RD @04 + 39714.50ns INFO [00039716] Port=0 RD @05 + 39714.50ns INFO [00039716] Port=1 RD @03 + 39715.50ns INFO [00039717] * RD COMPARE * port=1 adr=04 act=5D49A774A8D4CEEC3A exp=5D49A774A8D4CEEC3A + 39715.50ns INFO [00039717] Port=0 WR @02=28B9C5899DE676931E + 39716.50ns INFO [00039718] * RD COMPARE * port=0 adr=05 act=4F2BEC1B436B622BDB exp=4F2BEC1B436B622BDB + 39716.50ns INFO [00039718] * RD COMPARE * port=1 adr=03 act=11DA728D290F94587D exp=11DA728D290F94587D + 39716.50ns INFO [00039718] Port=0 RD @02 + 39716.50ns INFO [00039718] Port=1 RD @03 + 39717.50ns INFO [00039719] Port=0 RD @03 + 39718.50ns INFO [00039720] * RD COMPARE * port=0 adr=02 act=28B9C5899DE676931E exp=28B9C5899DE676931E + 39718.50ns INFO [00039720] * RD COMPARE * port=1 adr=03 act=11DA728D290F94587D exp=11DA728D290F94587D + 39718.50ns INFO [00039720] Port=0 RD @04 + 39718.50ns INFO [00039720] Port=1 RD @05 + 39719.50ns INFO [00039721] * RD COMPARE * port=0 adr=03 act=11DA728D290F94587D exp=11DA728D290F94587D + 39719.50ns INFO [00039721] Port=0 WR @01=4F5796C4A05ABD70B0 + 39719.50ns INFO [00039721] Port=0 RD @03 + 39719.50ns INFO [00039721] Port=1 RD @00 + 39720.50ns INFO [00039722] * RD COMPARE * port=0 adr=04 act=5D49A774A8D4CEEC3A exp=5D49A774A8D4CEEC3A + 39720.50ns INFO [00039722] * RD COMPARE * port=1 adr=05 act=4F2BEC1B436B622BDB exp=4F2BEC1B436B622BDB + 39720.50ns INFO [00039722] Port=0 WR @02=3DA67B44C3A06937E8 + 39721.50ns INFO [00039723] * RD COMPARE * port=0 adr=03 act=11DA728D290F94587D exp=11DA728D290F94587D + 39721.50ns INFO [00039723] * RD COMPARE * port=1 adr=00 act=EE2C4DB985A4FA34F1 exp=EE2C4DB985A4FA34F1 + 39721.50ns INFO [00039723] Port=0 RD @07 + 39721.50ns INFO [00039723] Port=1 RD @05 + 39723.50ns INFO [00039725] * RD COMPARE * port=0 adr=07 act=F82F19613435F55483 exp=F82F19613435F55483 + 39723.50ns INFO [00039725] * RD COMPARE * port=1 adr=05 act=4F2BEC1B436B622BDB exp=4F2BEC1B436B622BDB + 39723.50ns INFO [00039725] Port=0 RD @04 + 39724.50ns INFO [00039726] Port=0 RD @01 + 39725.50ns INFO [00039727] * RD COMPARE * port=0 adr=04 act=5D49A774A8D4CEEC3A exp=5D49A774A8D4CEEC3A + 39725.50ns INFO [00039727] Port=1 RD @01 + 39726.50ns INFO [00039728] * RD COMPARE * port=0 adr=01 act=4F5796C4A05ABD70B0 exp=4F5796C4A05ABD70B0 + 39726.50ns INFO [00039728] Port=1 RD @00 + 39727.50ns INFO [00039729] * RD COMPARE * port=1 adr=01 act=4F5796C4A05ABD70B0 exp=4F5796C4A05ABD70B0 + 39727.50ns INFO [00039729] Port=0 WR @06=08634E9A20E5BBF88F + 39727.50ns INFO [00039729] Port=0 RD @00 + 39727.50ns INFO [00039729] Port=1 RD @03 + 39728.50ns INFO [00039730] * RD COMPARE * port=1 adr=00 act=EE2C4DB985A4FA34F1 exp=EE2C4DB985A4FA34F1 + 39728.50ns INFO [00039730] Port=0 WR @07=EA6080D54FFDF7A231 + 39729.50ns INFO [00039731] * RD COMPARE * port=0 adr=00 act=EE2C4DB985A4FA34F1 exp=EE2C4DB985A4FA34F1 + 39729.50ns INFO [00039731] * RD COMPARE * port=1 adr=03 act=11DA728D290F94587D exp=11DA728D290F94587D + 39729.50ns INFO [00039731] Port=0 WR @04=C3F8CB728AA9960D92 + 39730.50ns INFO [00039732] Port=1 RD @00 + 39731.50ns INFO [00039733] Port=0 WR @06=9B37B04478A1937FE1 + 39731.50ns INFO [00039733] Port=1 RD @04 + 39732.50ns INFO [00039734] * RD COMPARE * port=1 adr=00 act=EE2C4DB985A4FA34F1 exp=EE2C4DB985A4FA34F1 + 39732.50ns INFO [00039734] Port=0 WR @00=3C6640C6FF5ED92FD3 + 39732.50ns INFO [00039734] Port=0 RD @02 + 39733.50ns INFO [00039735] * RD COMPARE * port=1 adr=04 act=C3F8CB728AA9960D92 exp=C3F8CB728AA9960D92 + 39733.50ns INFO [00039735] Port=0 RD @04 + 39733.50ns INFO [00039735] Port=1 RD @00 + 39734.50ns INFO [00039736] * RD COMPARE * port=0 adr=02 act=3DA67B44C3A06937E8 exp=3DA67B44C3A06937E8 + 39734.50ns INFO [00039736] Port=0 WR @01=A99EDC748635DA9D17 + 39734.50ns INFO [00039736] Port=1 RD @03 + 39735.50ns INFO [00039737] * RD COMPARE * port=0 adr=04 act=C3F8CB728AA9960D92 exp=C3F8CB728AA9960D92 + 39735.50ns INFO [00039737] * RD COMPARE * port=1 adr=00 act=3C6640C6FF5ED92FD3 exp=3C6640C6FF5ED92FD3 + 39735.50ns INFO [00039737] Port=0 WR @07=02B58ADF3B956E0A4B + 39735.50ns INFO [00039737] Port=0 RD @02 + 39735.50ns INFO [00039737] Port=1 RD @04 + 39736.50ns INFO [00039738] * RD COMPARE * port=1 adr=03 act=11DA728D290F94587D exp=11DA728D290F94587D + 39737.50ns INFO [00039739] * RD COMPARE * port=0 adr=02 act=3DA67B44C3A06937E8 exp=3DA67B44C3A06937E8 + 39737.50ns INFO [00039739] * RD COMPARE * port=1 adr=04 act=C3F8CB728AA9960D92 exp=C3F8CB728AA9960D92 + 39737.50ns INFO [00039739] Port=0 WR @05=6BBD330A3B2BFDFF56 + 39737.50ns INFO [00039739] Port=1 RD @03 + 39739.50ns INFO [00039741] * RD COMPARE * port=1 adr=03 act=11DA728D290F94587D exp=11DA728D290F94587D + 39739.50ns INFO [00039741] Port=0 RD @07 + 39739.50ns INFO [00039741] Port=1 RD @02 + 39740.50ns INFO [00039742] Port=1 RD @06 + 39741.50ns INFO [00039743] * RD COMPARE * port=0 adr=07 act=02B58ADF3B956E0A4B exp=02B58ADF3B956E0A4B + 39741.50ns INFO [00039743] * RD COMPARE * port=1 adr=02 act=3DA67B44C3A06937E8 exp=3DA67B44C3A06937E8 + 39742.50ns INFO [00039744] * RD COMPARE * port=1 adr=06 act=9B37B04478A1937FE1 exp=9B37B04478A1937FE1 + 39743.50ns INFO [00039745] Port=1 RD @02 + 39744.50ns INFO [00039746] Port=0 WR @04=76829A4F22D51AE7CD + 39745.50ns INFO [00039747] * RD COMPARE * port=1 adr=02 act=3DA67B44C3A06937E8 exp=3DA67B44C3A06937E8 + 39745.50ns INFO [00039747] Port=0 WR @05=D1F7D7E88E6FEE7A1B + 39745.50ns INFO [00039747] Port=0 RD @06 + 39745.50ns INFO [00039747] Port=1 RD @01 + 39746.50ns INFO [00039748] Port=1 RD @05 + 39747.50ns INFO [00039749] * RD COMPARE * port=0 adr=06 act=9B37B04478A1937FE1 exp=9B37B04478A1937FE1 + 39747.50ns INFO [00039749] * RD COMPARE * port=1 adr=01 act=A99EDC748635DA9D17 exp=A99EDC748635DA9D17 + 39748.50ns INFO [00039750] * RD COMPARE * port=1 adr=05 act=D1F7D7E88E6FEE7A1B exp=D1F7D7E88E6FEE7A1B + 39749.50ns INFO [00039751] Port=0 RD @05 + 39750.50ns INFO [00039752] Port=0 RD @03 + 39750.50ns INFO [00039752] Port=1 RD @05 + 39751.50ns INFO [00039753] * RD COMPARE * port=0 adr=05 act=D1F7D7E88E6FEE7A1B exp=D1F7D7E88E6FEE7A1B + 39751.50ns INFO [00039753] Port=0 RD @01 + 39752.50ns INFO [00039754] * RD COMPARE * port=0 adr=03 act=11DA728D290F94587D exp=11DA728D290F94587D + 39752.50ns INFO [00039754] * RD COMPARE * port=1 adr=05 act=D1F7D7E88E6FEE7A1B exp=D1F7D7E88E6FEE7A1B + 39752.50ns INFO [00039754] Port=0 WR @05=9528A9E18D2941F239 + 39752.50ns INFO [00039754] Port=0 RD @04 + 39752.50ns INFO [00039754] Port=1 RD @07 + 39753.50ns INFO [00039755] * RD COMPARE * port=0 adr=01 act=A99EDC748635DA9D17 exp=A99EDC748635DA9D17 + 39753.50ns INFO [00039755] Port=0 WR @06=7B49FB43F1D4A3A28D + 39754.50ns INFO [00039756] * RD COMPARE * port=0 adr=04 act=76829A4F22D51AE7CD exp=76829A4F22D51AE7CD + 39754.50ns INFO [00039756] * RD COMPARE * port=1 adr=07 act=02B58ADF3B956E0A4B exp=02B58ADF3B956E0A4B + 39755.50ns INFO [00039757] Port=0 RD @02 + 39756.50ns INFO [00039758] Port=0 WR @04=A30B142AD86CD96F3A + 39756.50ns INFO [00039758] Port=0 RD @02 + 39756.50ns INFO [00039758] Port=1 RD @01 + 39757.50ns INFO [00039759] * RD COMPARE * port=0 adr=02 act=3DA67B44C3A06937E8 exp=3DA67B44C3A06937E8 + 39757.50ns INFO [00039759] Port=0 WR @00=58EF5CE67F8FA68CDD + 39758.50ns INFO [00039760] * RD COMPARE * port=0 adr=02 act=3DA67B44C3A06937E8 exp=3DA67B44C3A06937E8 + 39758.50ns INFO [00039760] * RD COMPARE * port=1 adr=01 act=A99EDC748635DA9D17 exp=A99EDC748635DA9D17 + 39758.50ns INFO [00039760] Port=0 WR @06=71A071B2F7D4EBB4A0 + 39758.50ns INFO [00039760] Port=0 RD @00 + 39759.50ns INFO [00039761] Port=1 RD @00 + 39760.50ns INFO [00039762] * RD COMPARE * port=0 adr=00 act=58EF5CE67F8FA68CDD exp=58EF5CE67F8FA68CDD + 39760.50ns INFO [00039762] Port=0 WR @02=38726614534A708D2F + 39760.50ns INFO [00039762] Port=0 RD @01 + 39761.50ns INFO [00039763] * RD COMPARE * port=1 adr=00 act=58EF5CE67F8FA68CDD exp=58EF5CE67F8FA68CDD + 39761.50ns INFO [00039763] Port=0 WR @05=9BC118EF0FB49AC20B + 39761.50ns INFO [00039763] Port=1 RD @07 + 39762.50ns INFO [00039764] * RD COMPARE * port=0 adr=01 act=A99EDC748635DA9D17 exp=A99EDC748635DA9D17 + 39762.50ns INFO [00039764] Port=0 WR @06=DCD48D60CB978755A2 + 39762.50ns INFO [00039764] Port=0 RD @04 + 39763.50ns INFO [00039765] * RD COMPARE * port=1 adr=07 act=02B58ADF3B956E0A4B exp=02B58ADF3B956E0A4B + 39763.50ns INFO [00039765] Port=0 RD @07 + 39764.50ns INFO [00039766] * RD COMPARE * port=0 adr=04 act=A30B142AD86CD96F3A exp=A30B142AD86CD96F3A + 39764.50ns INFO [00039766] Port=0 RD @06 + 39765.50ns INFO [00039767] * RD COMPARE * port=0 adr=07 act=02B58ADF3B956E0A4B exp=02B58ADF3B956E0A4B + 39765.50ns INFO [00039767] Port=1 RD @01 + 39766.50ns INFO [00039768] * RD COMPARE * port=0 adr=06 act=DCD48D60CB978755A2 exp=DCD48D60CB978755A2 + 39767.50ns INFO [00039769] * RD COMPARE * port=1 adr=01 act=A99EDC748635DA9D17 exp=A99EDC748635DA9D17 + 39767.50ns INFO [00039769] Port=0 WR @05=34EA0F795152169EA3 + 39767.50ns INFO [00039769] Port=1 RD @00 + 39769.50ns INFO [00039771] * RD COMPARE * port=1 adr=00 act=58EF5CE67F8FA68CDD exp=58EF5CE67F8FA68CDD + 39769.50ns INFO [00039771] Port=0 RD @05 + 39770.50ns INFO [00039772] Port=0 WR @03=1DE972E299D6E975E4 + 39770.50ns INFO [00039772] Port=0 RD @06 + 39770.50ns INFO [00039772] Port=1 RD @02 + 39771.50ns INFO [00039773] * RD COMPARE * port=0 adr=05 act=34EA0F795152169EA3 exp=34EA0F795152169EA3 + 39771.50ns INFO [00039773] Port=0 WR @00=22B789A6F22F358597 + 39772.50ns INFO [00039774] * RD COMPARE * port=0 adr=06 act=DCD48D60CB978755A2 exp=DCD48D60CB978755A2 + 39772.50ns INFO [00039774] * RD COMPARE * port=1 adr=02 act=38726614534A708D2F exp=38726614534A708D2F + 39773.50ns INFO [00039775] Port=0 RD @03 + 39774.50ns INFO [00039776] Port=1 RD @01 + 39775.50ns INFO [00039777] * RD COMPARE * port=0 adr=03 act=1DE972E299D6E975E4 exp=1DE972E299D6E975E4 + 39775.50ns INFO [00039777] Port=0 WR @07=B6CA7B05EBECB7BBEE + 39775.50ns INFO [00039777] Port=0 RD @02 + 39776.50ns INFO [00039778] * RD COMPARE * port=1 adr=01 act=A99EDC748635DA9D17 exp=A99EDC748635DA9D17 + 39776.50ns INFO [00039778] Port=0 RD @00 + 39776.50ns INFO [00039778] Port=1 RD @07 + 39777.50ns INFO [00039779] * RD COMPARE * port=0 adr=02 act=38726614534A708D2F exp=38726614534A708D2F + 39777.50ns INFO [00039779] Port=0 RD @01 + 39778.50ns INFO [00039780] * RD COMPARE * port=0 adr=00 act=22B789A6F22F358597 exp=22B789A6F22F358597 + 39778.50ns INFO [00039780] * RD COMPARE * port=1 adr=07 act=B6CA7B05EBECB7BBEE exp=B6CA7B05EBECB7BBEE + 39778.50ns INFO [00039780] Port=0 WR @03=F87890E86F60D2BF54 + 39778.50ns INFO [00039780] Port=1 RD @06 + 39779.50ns INFO [00039781] * RD COMPARE * port=0 adr=01 act=A99EDC748635DA9D17 exp=A99EDC748635DA9D17 + 39780.50ns INFO [00039782] * RD COMPARE * port=1 adr=06 act=DCD48D60CB978755A2 exp=DCD48D60CB978755A2 + 39780.50ns INFO [00039782] Port=0 WR @07=D724DA2A1A4646F9E2 + 39780.50ns INFO [00039782] Port=1 RD @02 + 39781.50ns INFO [00039783] Port=0 WR @07=B2F559DD7BD5B019E9 + 39782.50ns INFO [00039784] * RD COMPARE * port=1 adr=02 act=38726614534A708D2F exp=38726614534A708D2F + 39782.50ns INFO [00039784] Port=0 RD @05 + 39783.50ns INFO [00039785] Port=0 WR @07=919E1D68068BAE70FA + 39784.50ns INFO [00039786] * RD COMPARE * port=0 adr=05 act=34EA0F795152169EA3 exp=34EA0F795152169EA3 + 39785.50ns INFO [00039787] Port=0 WR @00=672E5FFA5970CA7D40 + 39785.50ns INFO [00039787] Port=1 RD @03 + 39786.50ns INFO [00039788] Port=1 RD @07 + 39787.50ns INFO [00039789] * RD COMPARE * port=1 adr=03 act=F87890E86F60D2BF54 exp=F87890E86F60D2BF54 + 39788.50ns INFO [00039790] * RD COMPARE * port=1 adr=07 act=919E1D68068BAE70FA exp=919E1D68068BAE70FA + 39788.50ns INFO [00039790] Port=0 WR @07=3A768FFDAA0D961FEF + 39789.50ns INFO [00039791] Port=0 RD @03 + 39791.50ns INFO [00039793] * RD COMPARE * port=0 adr=03 act=F87890E86F60D2BF54 exp=F87890E86F60D2BF54 + 39791.50ns INFO [00039793] Port=1 RD @06 + 39792.50ns INFO [00039794] Port=1 RD @05 + 39793.50ns INFO [00039795] * RD COMPARE * port=1 adr=06 act=DCD48D60CB978755A2 exp=DCD48D60CB978755A2 + 39793.50ns INFO [00039795] Port=1 RD @07 + 39794.50ns INFO [00039796] * RD COMPARE * port=1 adr=05 act=34EA0F795152169EA3 exp=34EA0F795152169EA3 + 39795.50ns INFO [00039797] * RD COMPARE * port=1 adr=07 act=3A768FFDAA0D961FEF exp=3A768FFDAA0D961FEF + 39795.50ns INFO [00039797] Port=1 RD @04 + 39796.50ns INFO [00039798] Port=1 RD @06 + 39797.50ns INFO [00039799] * RD COMPARE * port=1 adr=04 act=A30B142AD86CD96F3A exp=A30B142AD86CD96F3A + 39797.50ns INFO [00039799] Port=0 RD @01 + 39798.00ns INFO [00039800] [00039800] ...tick... + 39798.50ns INFO [00039800] * RD COMPARE * port=1 adr=06 act=DCD48D60CB978755A2 exp=DCD48D60CB978755A2 + 39798.50ns INFO [00039800] Port=0 WR @06=1E447DBDE8676BD378 + 39799.50ns INFO [00039801] * RD COMPARE * port=0 adr=01 act=A99EDC748635DA9D17 exp=A99EDC748635DA9D17 + 39799.50ns INFO [00039801] Port=0 WR @00=E72AC16917A3450A74 + 39800.50ns INFO [00039802] Port=0 WR @00=E1B5763A6DD9343DD7 + 39800.50ns INFO [00039802] Port=1 RD @07 + 39802.50ns INFO [00039804] * RD COMPARE * port=1 adr=07 act=3A768FFDAA0D961FEF exp=3A768FFDAA0D961FEF + 39802.50ns INFO [00039804] Port=0 WR @05=88914B750BCAE11921 + 39803.50ns INFO [00039805] Port=1 RD @01 + 39804.50ns INFO [00039806] Port=0 WR @00=9E66B273CAD706BBFC + 39804.50ns INFO [00039806] Port=0 RD @04 + 39805.50ns INFO [00039807] * RD COMPARE * port=1 adr=01 act=A99EDC748635DA9D17 exp=A99EDC748635DA9D17 + 39805.50ns INFO [00039807] Port=0 RD @06 + 39805.50ns INFO [00039807] Port=1 RD @07 + 39806.50ns INFO [00039808] * RD COMPARE * port=0 adr=04 act=A30B142AD86CD96F3A exp=A30B142AD86CD96F3A + 39806.50ns INFO [00039808] Port=0 RD @03 + 39806.50ns INFO [00039808] Port=1 RD @03 + 39807.50ns INFO [00039809] * RD COMPARE * port=0 adr=06 act=1E447DBDE8676BD378 exp=1E447DBDE8676BD378 + 39807.50ns INFO [00039809] * RD COMPARE * port=1 adr=07 act=3A768FFDAA0D961FEF exp=3A768FFDAA0D961FEF + 39807.50ns INFO [00039809] Port=0 WR @01=DA2F370A6D7448FD5D + 39807.50ns INFO [00039809] Port=1 RD @00 + 39808.50ns INFO [00039810] * RD COMPARE * port=0 adr=03 act=F87890E86F60D2BF54 exp=F87890E86F60D2BF54 + 39808.50ns INFO [00039810] * RD COMPARE * port=1 adr=03 act=F87890E86F60D2BF54 exp=F87890E86F60D2BF54 + 39809.50ns INFO [00039811] * RD COMPARE * port=1 adr=00 act=9E66B273CAD706BBFC exp=9E66B273CAD706BBFC + 39809.50ns INFO [00039811] Port=1 RD @01 + 39810.50ns INFO [00039812] Port=0 WR @06=1A80380488F801D78F + 39810.50ns INFO [00039812] Port=0 RD @07 + 39811.50ns INFO [00039813] * RD COMPARE * port=1 adr=01 act=DA2F370A6D7448FD5D exp=DA2F370A6D7448FD5D + 39811.50ns INFO [00039813] Port=1 RD @02 + 39812.50ns INFO [00039814] * RD COMPARE * port=0 adr=07 act=3A768FFDAA0D961FEF exp=3A768FFDAA0D961FEF + 39812.50ns INFO [00039814] Port=0 WR @03=81C20834A250FA398D + 39812.50ns INFO [00039814] Port=0 RD @01 + 39813.50ns INFO [00039815] * RD COMPARE * port=1 adr=02 act=38726614534A708D2F exp=38726614534A708D2F + 39813.50ns INFO [00039815] Port=0 WR @07=C4430432C74A792B6D + 39813.50ns INFO [00039815] Port=0 RD @05 + 39814.50ns INFO [00039816] * RD COMPARE * port=0 adr=01 act=DA2F370A6D7448FD5D exp=DA2F370A6D7448FD5D + 39815.50ns INFO [00039817] * RD COMPARE * port=0 adr=05 act=88914B750BCAE11921 exp=88914B750BCAE11921 + 39816.50ns INFO [00039818] Port=0 WR @02=A1760ED23BAECD6302 + 39817.50ns INFO [00039819] Port=0 WR @03=50495928064DC6B2E8 + 39818.50ns INFO [00039820] Port=0 RD @04 + 39819.50ns INFO [00039821] Port=0 WR @02=429C9306987B84D51A + 39819.50ns INFO [00039821] Port=1 RD @05 + 39820.50ns INFO [00039822] * RD COMPARE * port=0 adr=04 act=A30B142AD86CD96F3A exp=A30B142AD86CD96F3A + 39820.50ns INFO [00039822] Port=0 WR @06=DE5BBC03E6158A1537 + 39821.50ns INFO [00039823] * RD COMPARE * port=1 adr=05 act=88914B750BCAE11921 exp=88914B750BCAE11921 + 39821.50ns INFO [00039823] Port=0 RD @00 + 39823.50ns INFO [00039825] * RD COMPARE * port=0 adr=00 act=9E66B273CAD706BBFC exp=9E66B273CAD706BBFC + 39823.50ns INFO [00039825] Port=0 WR @00=09D1FAFA615D1F64BC + 39823.50ns INFO [00039825] Port=1 RD @05 + 39824.50ns INFO [00039826] Port=0 WR @06=64E795002610EC98AE + 39824.50ns INFO [00039826] Port=1 RD @02 + 39825.50ns INFO [00039827] * RD COMPARE * port=1 adr=05 act=88914B750BCAE11921 exp=88914B750BCAE11921 + 39825.50ns INFO [00039827] Port=0 WR @01=3E6260D9040EFB9D9A + 39826.50ns INFO [00039828] * RD COMPARE * port=1 adr=02 act=429C9306987B84D51A exp=429C9306987B84D51A + 39826.50ns INFO [00039828] Port=0 WR @03=CA54FCFAC0B5E3865B + 39826.50ns INFO [00039828] Port=0 RD @06 + 39827.50ns INFO [00039829] Port=0 WR @05=4913D8D6C2A0E3182A + 39828.50ns INFO [00039830] * RD COMPARE * port=0 adr=06 act=64E795002610EC98AE exp=64E795002610EC98AE + 39829.50ns INFO [00039831] Port=1 RD @05 + 39830.50ns INFO [00039832] Port=1 RD @06 + 39831.50ns INFO [00039833] * RD COMPARE * port=1 adr=05 act=4913D8D6C2A0E3182A exp=4913D8D6C2A0E3182A + 39831.50ns INFO [00039833] Port=1 RD @06 + 39832.50ns INFO [00039834] * RD COMPARE * port=1 adr=06 act=64E795002610EC98AE exp=64E795002610EC98AE + 39833.50ns INFO [00039835] * RD COMPARE * port=1 adr=06 act=64E795002610EC98AE exp=64E795002610EC98AE + 39834.50ns INFO [00039836] Port=1 RD @05 + 39835.50ns INFO [00039837] Port=0 RD @00 + 39836.50ns INFO [00039838] * RD COMPARE * port=1 adr=05 act=4913D8D6C2A0E3182A exp=4913D8D6C2A0E3182A + 39837.50ns INFO [00039839] * RD COMPARE * port=0 adr=00 act=09D1FAFA615D1F64BC exp=09D1FAFA615D1F64BC + 39837.50ns INFO [00039839] Port=1 RD @01 + 39838.50ns INFO [00039840] Port=0 RD @03 + 39839.50ns INFO [00039841] * RD COMPARE * port=1 adr=01 act=3E6260D9040EFB9D9A exp=3E6260D9040EFB9D9A + 39839.50ns INFO [00039841] Port=0 RD @01 + 39839.50ns INFO [00039841] Port=1 RD @02 + 39840.50ns INFO [00039842] * RD COMPARE * port=0 adr=03 act=CA54FCFAC0B5E3865B exp=CA54FCFAC0B5E3865B + 39841.50ns INFO [00039843] * RD COMPARE * port=0 adr=01 act=3E6260D9040EFB9D9A exp=3E6260D9040EFB9D9A + 39841.50ns INFO [00039843] * RD COMPARE * port=1 adr=02 act=429C9306987B84D51A exp=429C9306987B84D51A + 39841.50ns INFO [00039843] Port=0 WR @01=ACFD792A383ABDBB45 + 39842.50ns INFO [00039844] Port=0 WR @02=2FD6E94A717ECC7113 + 39842.50ns INFO [00039844] Port=1 RD @07 + 39843.50ns INFO [00039845] Port=1 RD @04 + 39844.50ns INFO [00039846] * RD COMPARE * port=1 adr=07 act=C4430432C74A792B6D exp=C4430432C74A792B6D + 39844.50ns INFO [00039846] Port=0 WR @04=18A925094B7DF7D6E3 + 39844.50ns INFO [00039846] Port=1 RD @05 + 39845.50ns INFO [00039847] * RD COMPARE * port=1 adr=04 act=A30B142AD86CD96F3A exp=A30B142AD86CD96F3A + 39845.50ns INFO [00039847] Port=0 RD @06 + 39846.50ns INFO [00039848] * RD COMPARE * port=1 adr=05 act=4913D8D6C2A0E3182A exp=4913D8D6C2A0E3182A + 39846.50ns INFO [00039848] Port=0 WR @01=4CD118C91947569B94 + 39846.50ns INFO [00039848] Port=1 RD @03 + 39847.50ns INFO [00039849] * RD COMPARE * port=0 adr=06 act=64E795002610EC98AE exp=64E795002610EC98AE + 39847.50ns INFO [00039849] Port=1 RD @07 + 39848.50ns INFO [00039850] * RD COMPARE * port=1 adr=03 act=CA54FCFAC0B5E3865B exp=CA54FCFAC0B5E3865B + 39848.50ns INFO [00039850] Port=0 RD @06 + 39849.50ns INFO [00039851] * RD COMPARE * port=1 adr=07 act=C4430432C74A792B6D exp=C4430432C74A792B6D + 39849.50ns INFO [00039851] Port=0 RD @04 + 39850.50ns INFO [00039852] * RD COMPARE * port=0 adr=06 act=64E795002610EC98AE exp=64E795002610EC98AE + 39850.50ns INFO [00039852] Port=0 WR @06=2F8F72C5374DE261D1 + 39850.50ns INFO [00039852] Port=1 RD @03 + 39851.50ns INFO [00039853] * RD COMPARE * port=0 adr=04 act=18A925094B7DF7D6E3 exp=18A925094B7DF7D6E3 + 39852.50ns INFO [00039854] * RD COMPARE * port=1 adr=03 act=CA54FCFAC0B5E3865B exp=CA54FCFAC0B5E3865B + 39852.50ns INFO [00039854] Port=0 WR @04=169E386AC1B3C05A2C + 39854.50ns INFO [00039856] Port=1 RD @02 + 39856.50ns INFO [00039858] * RD COMPARE * port=1 adr=02 act=2FD6E94A717ECC7113 exp=2FD6E94A717ECC7113 + 39856.50ns INFO [00039858] Port=1 RD @02 + 39858.50ns INFO [00039860] * RD COMPARE * port=1 adr=02 act=2FD6E94A717ECC7113 exp=2FD6E94A717ECC7113 + 39859.50ns INFO [00039861] Port=1 RD @06 + 39861.50ns INFO [00039863] * RD COMPARE * port=1 adr=06 act=2F8F72C5374DE261D1 exp=2F8F72C5374DE261D1 + 39861.50ns INFO [00039863] Port=1 RD @03 + 39862.50ns INFO [00039864] Port=0 WR @03=04C3A8B1CB2D63D8D6 + 39863.50ns INFO [00039865] * RD COMPARE * port=1 adr=03 act=CA54FCFAC0B5E3865B exp=CA54FCFAC0B5E3865B + 39863.50ns INFO [00039865] Port=1 RD @00 + 39864.50ns INFO [00039866] Port=0 RD @04 + 39865.50ns INFO [00039867] * RD COMPARE * port=1 adr=00 act=09D1FAFA615D1F64BC exp=09D1FAFA615D1F64BC + 39866.50ns INFO [00039868] * RD COMPARE * port=0 adr=04 act=169E386AC1B3C05A2C exp=169E386AC1B3C05A2C + 39866.50ns INFO [00039868] Port=0 WR @06=F5CFA600784008EB6C + 39870.50ns INFO [00039872] Port=0 RD @06 + 39871.50ns INFO [00039873] Port=0 WR @06=0CF9887D7DEF911CF5 + 39871.50ns INFO [00039873] Port=0 RD @04 + 39871.50ns INFO [00039873] Port=1 RD @02 + 39872.50ns INFO [00039874] * RD COMPARE * port=0 adr=06 act=F5CFA600784008EB6C exp=F5CFA600784008EB6C + 39873.50ns INFO [00039875] * RD COMPARE * port=0 adr=04 act=169E386AC1B3C05A2C exp=169E386AC1B3C05A2C + 39873.50ns INFO [00039875] * RD COMPARE * port=1 adr=02 act=2FD6E94A717ECC7113 exp=2FD6E94A717ECC7113 + 39873.50ns INFO [00039875] Port=0 RD @06 + 39874.50ns INFO [00039876] Port=0 WR @01=685CF537FC179339C5 + 39875.50ns INFO [00039877] * RD COMPARE * port=0 adr=06 act=0CF9887D7DEF911CF5 exp=0CF9887D7DEF911CF5 + 39875.50ns INFO [00039877] Port=1 RD @07 + 39876.50ns INFO [00039878] Port=0 RD @06 + 39876.50ns INFO [00039878] Port=1 RD @04 + 39877.50ns INFO [00039879] * RD COMPARE * port=1 adr=07 act=C4430432C74A792B6D exp=C4430432C74A792B6D + 39878.50ns INFO [00039880] * RD COMPARE * port=0 adr=06 act=0CF9887D7DEF911CF5 exp=0CF9887D7DEF911CF5 + 39878.50ns INFO [00039880] * RD COMPARE * port=1 adr=04 act=169E386AC1B3C05A2C exp=169E386AC1B3C05A2C + 39878.50ns INFO [00039880] Port=0 RD @06 + 39878.50ns INFO [00039880] Port=1 RD @03 + 39879.50ns INFO [00039881] Port=0 RD @01 + 39880.50ns INFO [00039882] * RD COMPARE * port=0 adr=06 act=0CF9887D7DEF911CF5 exp=0CF9887D7DEF911CF5 + 39880.50ns INFO [00039882] * RD COMPARE * port=1 adr=03 act=04C3A8B1CB2D63D8D6 exp=04C3A8B1CB2D63D8D6 + 39880.50ns INFO [00039882] Port=0 WR @04=92C9587D70E39D9D8B + 39880.50ns INFO [00039882] Port=0 RD @03 + 39880.50ns INFO [00039882] Port=1 RD @00 + 39881.50ns INFO [00039883] * RD COMPARE * port=0 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39881.50ns INFO [00039883] Port=0 WR @05=5E0C0A10BB4CAD5E84 + 39881.50ns INFO [00039883] Port=0 RD @06 + 39881.50ns INFO [00039883] Port=1 RD @02 + 39882.50ns INFO [00039884] * RD COMPARE * port=0 adr=03 act=04C3A8B1CB2D63D8D6 exp=04C3A8B1CB2D63D8D6 + 39882.50ns INFO [00039884] * RD COMPARE * port=1 adr=00 act=09D1FAFA615D1F64BC exp=09D1FAFA615D1F64BC + 39882.50ns INFO [00039884] Port=0 WR @07=1EF7295582E759D005 + 39882.50ns INFO [00039884] Port=1 RD @06 + 39883.50ns INFO [00039885] * RD COMPARE * port=0 adr=06 act=0CF9887D7DEF911CF5 exp=0CF9887D7DEF911CF5 + 39883.50ns INFO [00039885] * RD COMPARE * port=1 adr=02 act=2FD6E94A717ECC7113 exp=2FD6E94A717ECC7113 + 39883.50ns INFO [00039885] Port=0 WR @07=EF9ACF39D06985AD53 + 39883.50ns INFO [00039885] Port=1 RD @03 + 39884.50ns INFO [00039886] * RD COMPARE * port=1 adr=06 act=0CF9887D7DEF911CF5 exp=0CF9887D7DEF911CF5 + 39884.50ns INFO [00039886] Port=0 WR @07=507FC4AF98E52C6220 + 39885.50ns INFO [00039887] * RD COMPARE * port=1 adr=03 act=04C3A8B1CB2D63D8D6 exp=04C3A8B1CB2D63D8D6 + 39885.50ns INFO [00039887] Port=0 WR @00=8575C035A7F86D8708 + 39885.50ns INFO [00039887] Port=1 RD @06 + 39887.50ns INFO [00039889] * RD COMPARE * port=1 adr=06 act=0CF9887D7DEF911CF5 exp=0CF9887D7DEF911CF5 + 39887.50ns INFO [00039889] Port=0 WR @06=37902D9F5E5C914BB1 + 39888.50ns INFO [00039890] Port=0 WR @07=B1F4199E291E38A394 + 39889.50ns INFO [00039891] Port=0 RD @05 + 39889.50ns INFO [00039891] Port=1 RD @03 + 39890.50ns INFO [00039892] Port=0 WR @06=BD3AED6814927FE249 + 39890.50ns INFO [00039892] Port=1 RD @07 + 39891.50ns INFO [00039893] * RD COMPARE * port=0 adr=05 act=5E0C0A10BB4CAD5E84 exp=5E0C0A10BB4CAD5E84 + 39891.50ns INFO [00039893] * RD COMPARE * port=1 adr=03 act=04C3A8B1CB2D63D8D6 exp=04C3A8B1CB2D63D8D6 + 39891.50ns INFO [00039893] Port=0 WR @06=C8D921F5FE4C915BDB + 39892.50ns INFO [00039894] * RD COMPARE * port=1 adr=07 act=B1F4199E291E38A394 exp=B1F4199E291E38A394 + 39893.50ns INFO [00039895] Port=0 WR @05=9896A3B71C1AC84780 + 39893.50ns INFO [00039895] Port=0 RD @04 + 39894.50ns INFO [00039896] Port=0 WR @04=39461A04B822BD509E + 39895.50ns INFO [00039897] * RD COMPARE * port=0 adr=04 act=92C9587D70E39D9D8B exp=92C9587D70E39D9D8B + 39895.50ns INFO [00039897] Port=1 RD @05 + 39897.50ns INFO [00039899] * RD COMPARE * port=1 adr=05 act=9896A3B71C1AC84780 exp=9896A3B71C1AC84780 + 39897.50ns INFO [00039899] Port=0 WR @00=926B48A0DD0483DDEB + 39897.50ns INFO [00039899] Port=0 RD @07 + 39898.00ns INFO [00039900] [00039900] ...tick... + 39898.50ns INFO [00039900] Port=0 WR @02=CB8616779190478EF1 + 39898.50ns INFO [00039900] Port=0 RD @03 + 39898.50ns INFO [00039900] Port=1 RD @05 + 39899.50ns INFO [00039901] * RD COMPARE * port=0 adr=07 act=B1F4199E291E38A394 exp=B1F4199E291E38A394 + 39899.50ns INFO [00039901] Port=0 WR @05=51028B3354C92E2B78 + 39899.50ns INFO [00039901] Port=0 RD @01 + 39900.50ns INFO [00039902] * RD COMPARE * port=0 adr=03 act=04C3A8B1CB2D63D8D6 exp=04C3A8B1CB2D63D8D6 + 39900.50ns INFO [00039902] * RD COMPARE * port=1 adr=05 act=9896A3B71C1AC84780 exp=9896A3B71C1AC84780 + 39901.50ns INFO [00039903] * RD COMPARE * port=0 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39901.50ns INFO [00039903] Port=0 WR @07=B20EE05634AD544058 + 39901.50ns INFO [00039903] Port=0 RD @06 + 39901.50ns INFO [00039903] Port=1 RD @02 + 39903.50ns INFO [00039905] * RD COMPARE * port=0 adr=06 act=C8D921F5FE4C915BDB exp=C8D921F5FE4C915BDB + 39903.50ns INFO [00039905] * RD COMPARE * port=1 adr=02 act=CB8616779190478EF1 exp=CB8616779190478EF1 + 39903.50ns INFO [00039905] Port=0 WR @06=E2C73B2A3C9C5DFBE0 + 39903.50ns INFO [00039905] Port=0 RD @04 + 39904.50ns INFO [00039906] Port=0 WR @05=2B170EB0FDD6B58A59 + 39904.50ns INFO [00039906] Port=1 RD @03 + 39905.50ns INFO [00039907] * RD COMPARE * port=0 adr=04 act=39461A04B822BD509E exp=39461A04B822BD509E + 39905.50ns INFO [00039907] Port=0 RD @06 + 39905.50ns INFO [00039907] Port=1 RD @07 + 39906.50ns INFO [00039908] * RD COMPARE * port=1 adr=03 act=04C3A8B1CB2D63D8D6 exp=04C3A8B1CB2D63D8D6 + 39906.50ns INFO [00039908] Port=0 RD @04 + 39906.50ns INFO [00039908] Port=1 RD @01 + 39907.50ns INFO [00039909] * RD COMPARE * port=0 adr=06 act=E2C73B2A3C9C5DFBE0 exp=E2C73B2A3C9C5DFBE0 + 39907.50ns INFO [00039909] * RD COMPARE * port=1 adr=07 act=B20EE05634AD544058 exp=B20EE05634AD544058 + 39907.50ns INFO [00039909] Port=0 WR @02=FBB72EE0B5468EB334 + 39907.50ns INFO [00039909] Port=0 RD @01 + 39907.50ns INFO [00039909] Port=1 RD @01 + 39908.50ns INFO [00039910] * RD COMPARE * port=0 adr=04 act=39461A04B822BD509E exp=39461A04B822BD509E + 39908.50ns INFO [00039910] * RD COMPARE * port=1 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39909.50ns INFO [00039911] * RD COMPARE * port=0 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39909.50ns INFO [00039911] * RD COMPARE * port=1 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39909.50ns INFO [00039911] Port=0 WR @00=9DAE354D6682F7D517 + 39909.50ns INFO [00039911] Port=0 RD @01 + 39910.50ns INFO [00039912] Port=0 RD @06 + 39911.50ns INFO [00039913] * RD COMPARE * port=0 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39911.50ns INFO [00039913] Port=0 WR @07=09015C2F51AA9360CE + 39912.50ns INFO [00039914] * RD COMPARE * port=0 adr=06 act=E2C73B2A3C9C5DFBE0 exp=E2C73B2A3C9C5DFBE0 + 39912.50ns INFO [00039914] Port=0 WR @06=EBCC6265E125EE7A74 + 39912.50ns INFO [00039914] Port=0 RD @03 + 39913.50ns INFO [00039915] Port=0 WR @03=726FA2F67AD5D4BBE7 + 39913.50ns INFO [00039915] Port=0 RD @04 + 39913.50ns INFO [00039915] Port=1 RD @07 + 39914.50ns INFO [00039916] * RD COMPARE * port=0 adr=03 act=04C3A8B1CB2D63D8D6 exp=04C3A8B1CB2D63D8D6 + 39914.50ns INFO [00039916] Port=1 RD @00 + 39915.50ns INFO [00039917] * RD COMPARE * port=0 adr=04 act=39461A04B822BD509E exp=39461A04B822BD509E + 39915.50ns INFO [00039917] * RD COMPARE * port=1 adr=07 act=09015C2F51AA9360CE exp=09015C2F51AA9360CE + 39916.50ns INFO [00039918] * RD COMPARE * port=1 adr=00 act=9DAE354D6682F7D517 exp=9DAE354D6682F7D517 + 39916.50ns INFO [00039918] Port=0 WR @05=15F259F344B678AFCF + 39917.50ns INFO [00039919] Port=0 RD @05 + 39918.50ns INFO [00039920] Port=1 RD @06 + 39919.50ns INFO [00039921] * RD COMPARE * port=0 adr=05 act=15F259F344B678AFCF exp=15F259F344B678AFCF + 39919.50ns INFO [00039921] Port=0 WR @02=217302E0C1093D6491 + 39919.50ns INFO [00039921] Port=0 RD @01 + 39920.50ns INFO [00039922] * RD COMPARE * port=1 adr=06 act=EBCC6265E125EE7A74 exp=EBCC6265E125EE7A74 + 39920.50ns INFO [00039922] Port=0 WR @05=D632E4C581D9CF9C20 + 39921.50ns INFO [00039923] * RD COMPARE * port=0 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39921.50ns INFO [00039923] Port=0 WR @07=470ECFE9799FB37A1C + 39921.50ns INFO [00039923] Port=1 RD @01 + 39922.50ns INFO [00039924] Port=1 RD @04 + 39923.50ns INFO [00039925] * RD COMPARE * port=1 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39923.50ns INFO [00039925] Port=0 WR @03=7AD5E6FE2911A2F676 + 39923.50ns INFO [00039925] Port=0 RD @04 + 39924.50ns INFO [00039926] * RD COMPARE * port=1 adr=04 act=39461A04B822BD509E exp=39461A04B822BD509E + 39924.50ns INFO [00039926] Port=0 WR @05=0624EA6EAABC280BD4 + 39925.50ns INFO [00039927] * RD COMPARE * port=0 adr=04 act=39461A04B822BD509E exp=39461A04B822BD509E + 39926.50ns INFO [00039928] Port=0 RD @00 + 39928.50ns INFO [00039930] * RD COMPARE * port=0 adr=00 act=9DAE354D6682F7D517 exp=9DAE354D6682F7D517 + 39928.50ns INFO [00039930] Port=0 WR @00=DE1C26CB1E56C3B9C2 + 39929.50ns INFO [00039931] Port=0 WR @00=11D9AF96DD3C1A1078 + 39929.50ns INFO [00039931] Port=0 RD @02 + 39929.50ns INFO [00039931] Port=1 RD @05 + 39931.50ns INFO [00039933] * RD COMPARE * port=0 adr=02 act=217302E0C1093D6491 exp=217302E0C1093D6491 + 39931.50ns INFO [00039933] * RD COMPARE * port=1 adr=05 act=0624EA6EAABC280BD4 exp=0624EA6EAABC280BD4 + 39931.50ns INFO [00039933] Port=1 RD @01 + 39932.50ns INFO [00039934] Port=0 WR @06=ACAF475EE2D5657B16 + 39932.50ns INFO [00039934] Port=0 RD @04 + 39933.50ns INFO [00039935] * RD COMPARE * port=1 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39933.50ns INFO [00039935] Port=0 RD @02 + 39933.50ns INFO [00039935] Port=1 RD @01 + 39934.50ns INFO [00039936] * RD COMPARE * port=0 adr=04 act=39461A04B822BD509E exp=39461A04B822BD509E + 39935.50ns INFO [00039937] * RD COMPARE * port=0 adr=02 act=217302E0C1093D6491 exp=217302E0C1093D6491 + 39935.50ns INFO [00039937] * RD COMPARE * port=1 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39936.50ns INFO [00039938] Port=0 WR @05=F89E261336EC12EB37 + 39936.50ns INFO [00039938] Port=1 RD @00 + 39937.50ns INFO [00039939] Port=0 WR @03=1BA203A00E2096FC18 + 39937.50ns INFO [00039939] Port=1 RD @04 + 39938.50ns INFO [00039940] * RD COMPARE * port=1 adr=00 act=11D9AF96DD3C1A1078 exp=11D9AF96DD3C1A1078 + 39938.50ns INFO [00039940] Port=0 WR @05=E35000C01EA07C09A5 + 39938.50ns INFO [00039940] Port=1 RD @04 + 39939.50ns INFO [00039941] * RD COMPARE * port=1 adr=04 act=39461A04B822BD509E exp=39461A04B822BD509E + 39939.50ns INFO [00039941] Port=1 RD @03 + 39940.50ns INFO [00039942] * RD COMPARE * port=1 adr=04 act=39461A04B822BD509E exp=39461A04B822BD509E + 39940.50ns INFO [00039942] Port=1 RD @04 + 39941.50ns INFO [00039943] * RD COMPARE * port=1 adr=03 act=1BA203A00E2096FC18 exp=1BA203A00E2096FC18 + 39942.50ns INFO [00039944] * RD COMPARE * port=1 adr=04 act=39461A04B822BD509E exp=39461A04B822BD509E + 39942.50ns INFO [00039944] Port=1 RD @06 + 39944.50ns INFO [00039946] * RD COMPARE * port=1 adr=06 act=ACAF475EE2D5657B16 exp=ACAF475EE2D5657B16 + 39944.50ns INFO [00039946] Port=1 RD @03 + 39945.50ns INFO [00039947] Port=0 WR @00=B54F990DEE2D072DE7 + 39945.50ns INFO [00039947] Port=0 RD @02 + 39946.50ns INFO [00039948] * RD COMPARE * port=1 adr=03 act=1BA203A00E2096FC18 exp=1BA203A00E2096FC18 + 39947.50ns INFO [00039949] * RD COMPARE * port=0 adr=02 act=217302E0C1093D6491 exp=217302E0C1093D6491 + 39948.50ns INFO [00039950] Port=0 WR @07=AAB1521C8B6E4F4797 + 39948.50ns INFO [00039950] Port=0 RD @06 + 39949.50ns INFO [00039951] Port=0 WR @03=22A77D45D9A39DEFF8 + 39950.50ns INFO [00039952] * RD COMPARE * port=0 adr=06 act=ACAF475EE2D5657B16 exp=ACAF475EE2D5657B16 + 39950.50ns INFO [00039952] Port=0 WR @07=9BB7369DE8C1A21AFC + 39950.50ns INFO [00039952] Port=1 RD @02 + 39951.50ns INFO [00039953] Port=0 WR @04=3341F85A505F368020 + 39951.50ns INFO [00039953] Port=0 RD @01 + 39951.50ns INFO [00039953] Port=1 RD @00 + 39952.50ns INFO [00039954] * RD COMPARE * port=1 adr=02 act=217302E0C1093D6491 exp=217302E0C1093D6491 + 39952.50ns INFO [00039954] Port=0 WR @01=66667A25932F713CA8 + 39952.50ns INFO [00039954] Port=1 RD @04 + 39953.50ns INFO [00039955] * RD COMPARE * port=0 adr=01 act=685CF537FC179339C5 exp=685CF537FC179339C5 + 39953.50ns INFO [00039955] * RD COMPARE * port=1 adr=00 act=B54F990DEE2D072DE7 exp=B54F990DEE2D072DE7 + 39953.50ns INFO [00039955] Port=0 WR @00=AA23B325865B3C02E1 + 39953.50ns INFO [00039955] Port=0 RD @07 + 39953.50ns INFO [00039955] Port=1 RD @06 + 39954.50ns INFO [00039956] * RD COMPARE * port=1 adr=04 act=3341F85A505F368020 exp=3341F85A505F368020 + 39954.50ns INFO [00039956] Port=0 WR @03=9353E4293F55D5DA49 + 39955.50ns INFO [00039957] * RD COMPARE * port=0 adr=07 act=9BB7369DE8C1A21AFC exp=9BB7369DE8C1A21AFC + 39955.50ns INFO [00039957] * RD COMPARE * port=1 adr=06 act=ACAF475EE2D5657B16 exp=ACAF475EE2D5657B16 + 39957.50ns INFO [00039959] Port=0 WR @01=02D6BD1E521D1D41BD + 39957.50ns INFO [00039959] Port=0 RD @02 + 39959.50ns INFO [00039961] * RD COMPARE * port=0 adr=02 act=217302E0C1093D6491 exp=217302E0C1093D6491 + 39960.50ns INFO [00039962] Port=0 WR @07=63C5B2487F43582D13 + 39960.50ns INFO [00039962] Port=1 RD @06 + 39961.50ns INFO [00039963] Port=0 RD @03 + 39962.50ns INFO [00039964] * RD COMPARE * port=1 adr=06 act=ACAF475EE2D5657B16 exp=ACAF475EE2D5657B16 + 39963.50ns INFO [00039965] * RD COMPARE * port=0 adr=03 act=9353E4293F55D5DA49 exp=9353E4293F55D5DA49 + 39963.50ns INFO [00039965] Port=1 RD @04 + 39964.50ns INFO [00039966] Port=0 WR @07=FB48B0F63674561205 + 39965.50ns INFO [00039967] * RD COMPARE * port=1 adr=04 act=3341F85A505F368020 exp=3341F85A505F368020 + 39965.50ns INFO [00039967] Port=0 RD @00 + 39965.50ns INFO [00039967] Port=1 RD @01 + 39967.50ns INFO [00039969] * RD COMPARE * port=0 adr=00 act=AA23B325865B3C02E1 exp=AA23B325865B3C02E1 + 39967.50ns INFO [00039969] * RD COMPARE * port=1 adr=01 act=02D6BD1E521D1D41BD exp=02D6BD1E521D1D41BD + 39967.50ns INFO [00039969] Port=0 WR @06=94BFB8ACF995CCB223 + 39967.50ns INFO [00039969] Port=0 RD @00 + 39968.50ns INFO [00039970] Port=0 WR @02=41994CE118E260F43D + 39968.50ns INFO [00039970] Port=0 RD @03 + 39968.50ns INFO [00039970] Port=1 RD @07 + 39969.50ns INFO [00039971] * RD COMPARE * port=0 adr=00 act=AA23B325865B3C02E1 exp=AA23B325865B3C02E1 + 39969.50ns INFO [00039971] Port=0 WR @05=3D843672B8ADE719D3 + 39969.50ns INFO [00039971] Port=0 RD @01 + 39970.50ns INFO [00039972] * RD COMPARE * port=0 adr=03 act=9353E4293F55D5DA49 exp=9353E4293F55D5DA49 + 39970.50ns INFO [00039972] * RD COMPARE * port=1 adr=07 act=FB48B0F63674561205 exp=FB48B0F63674561205 + 39970.50ns INFO [00039972] Port=0 WR @03=A4D5B9C0F186A4AFE1 + 39971.50ns INFO [00039973] * RD COMPARE * port=0 adr=01 act=02D6BD1E521D1D41BD exp=02D6BD1E521D1D41BD + 39972.50ns INFO [00039974] Port=0 WR @01=4A722B73715128ECA3 + 39973.50ns INFO [00039975] Port=0 RD @01 + 39975.50ns INFO [00039977] * RD COMPARE * port=0 adr=01 act=4A722B73715128ECA3 exp=4A722B73715128ECA3 + 39975.50ns INFO [00039977] Port=0 WR @01=1597C279EC37CE89EC + 39976.50ns INFO [00039978] Port=0 RD @05 + 39977.50ns INFO [00039979] Port=0 WR @02=3E9EF9D5FE839F2D64 + 39977.50ns INFO [00039979] Port=0 RD @01 + 39978.50ns INFO [00039980] * RD COMPARE * port=0 adr=05 act=3D843672B8ADE719D3 exp=3D843672B8ADE719D3 + 39978.50ns INFO [00039980] Port=0 WR @01=4C536C78041F08E5E6 + 39978.50ns INFO [00039980] Port=0 RD @03 + 39979.50ns INFO [00039981] * RD COMPARE * port=0 adr=01 act=1597C279EC37CE89EC exp=1597C279EC37CE89EC + 39979.50ns INFO [00039981] Port=0 WR @05=8B9464CEE0D2B7713B + 39979.50ns INFO [00039981] Port=1 RD @03 + 39980.50ns INFO [00039982] * RD COMPARE * port=0 adr=03 act=A4D5B9C0F186A4AFE1 exp=A4D5B9C0F186A4AFE1 + 39980.50ns INFO [00039982] Port=1 RD @06 + 39981.50ns INFO [00039983] * RD COMPARE * port=1 adr=03 act=A4D5B9C0F186A4AFE1 exp=A4D5B9C0F186A4AFE1 + 39981.50ns INFO [00039983] Port=0 WR @06=59E8E0724580B6BB76 + 39981.50ns INFO [00039983] Port=0 RD @04 + 39981.50ns INFO [00039983] Port=1 RD @03 + 39982.50ns INFO [00039984] * RD COMPARE * port=1 adr=06 act=94BFB8ACF995CCB223 exp=94BFB8ACF995CCB223 + 39983.50ns INFO [00039985] * RD COMPARE * port=0 adr=04 act=3341F85A505F368020 exp=3341F85A505F368020 + 39983.50ns INFO [00039985] * RD COMPARE * port=1 adr=03 act=A4D5B9C0F186A4AFE1 exp=A4D5B9C0F186A4AFE1 + 39983.50ns INFO [00039985] Port=0 WR @05=43E0A42D5481118F98 + 39984.50ns INFO [00039986] Port=1 RD @00 + 39985.50ns INFO [00039987] Port=1 RD @02 + 39986.50ns INFO [00039988] * RD COMPARE * port=1 adr=00 act=AA23B325865B3C02E1 exp=AA23B325865B3C02E1 + 39986.50ns INFO [00039988] Port=0 RD @01 + 39986.50ns INFO [00039988] Port=1 RD @06 + 39987.50ns INFO [00039989] * RD COMPARE * port=1 adr=02 act=3E9EF9D5FE839F2D64 exp=3E9EF9D5FE839F2D64 + 39987.50ns INFO [00039989] Port=0 WR @01=8E019FD056CCCB70C2 + 39987.50ns INFO [00039989] Port=1 RD @05 + 39988.50ns INFO [00039990] * RD COMPARE * port=0 adr=01 act=4C536C78041F08E5E6 exp=4C536C78041F08E5E6 + 39988.50ns INFO [00039990] * RD COMPARE * port=1 adr=06 act=59E8E0724580B6BB76 exp=59E8E0724580B6BB76 + 39989.50ns INFO [00039991] * RD COMPARE * port=1 adr=05 act=43E0A42D5481118F98 exp=43E0A42D5481118F98 + 39989.50ns INFO [00039991] Port=0 WR @05=07B4412E443B599839 + 39989.50ns INFO [00039991] Port=1 RD @04 + 39990.50ns INFO [00039992] Port=0 WR @07=53B6D3E801A08FC951 + 39991.50ns INFO [00039993] * RD COMPARE * port=1 adr=04 act=3341F85A505F368020 exp=3341F85A505F368020 + 39991.50ns INFO [00039993] Port=1 RD @07 + 39993.50ns INFO [00039995] * RD COMPARE * port=1 adr=07 act=53B6D3E801A08FC951 exp=53B6D3E801A08FC951 + 39993.50ns INFO [00039995] Port=0 WR @04=DD20FBCA93AC0605B4 + 39993.50ns INFO [00039995] Port=1 RD @05 + 39994.50ns INFO [00039996] Port=0 RD @06 + 39994.50ns INFO [00039996] Port=1 RD @07 + 39995.50ns INFO [00039997] * RD COMPARE * port=1 adr=05 act=07B4412E443B599839 exp=07B4412E443B599839 + 39995.50ns INFO [00039997] Port=0 RD @03 + 39996.50ns INFO [00039998] * RD COMPARE * port=0 adr=06 act=59E8E0724580B6BB76 exp=59E8E0724580B6BB76 + 39996.50ns INFO [00039998] * RD COMPARE * port=1 adr=07 act=53B6D3E801A08FC951 exp=53B6D3E801A08FC951 + 39996.50ns INFO [00039998] Port=0 WR @04=D91E729BA84D182136 + 39996.50ns INFO [00039998] Port=0 RD @07 + 39997.50ns INFO [00039999] * RD COMPARE * port=0 adr=03 act=A4D5B9C0F186A4AFE1 exp=A4D5B9C0F186A4AFE1 + 39997.50ns INFO [00039999] Port=1 RD @05 + 39998.00ns INFO [00040000] [00040000] ...tick... + 39998.50ns INFO [00040000] * RD COMPARE * port=0 adr=07 act=53B6D3E801A08FC951 exp=53B6D3E801A08FC951 + 39998.50ns INFO [00040000] Port=0 RD @06 + 39999.50ns INFO [00040001] * RD COMPARE * port=1 adr=05 act=07B4412E443B599839 exp=07B4412E443B599839 + 39999.50ns INFO [00040001] Port=1 RD @07 + 40000.50ns INFO [00040002] * RD COMPARE * port=0 adr=06 act=59E8E0724580B6BB76 exp=59E8E0724580B6BB76 + 40000.50ns INFO [00040002] Port=0 RD @06 + 40000.50ns INFO [00040002] Port=1 RD @07 + 40001.50ns INFO [00040003] * RD COMPARE * port=1 adr=07 act=53B6D3E801A08FC951 exp=53B6D3E801A08FC951 + 40001.50ns INFO [00040003] Port=0 WR @04=78A6499A67FDED1D14 + 40002.50ns INFO [00040004] * RD COMPARE * port=0 adr=06 act=59E8E0724580B6BB76 exp=59E8E0724580B6BB76 + 40002.50ns INFO [00040004] * RD COMPARE * port=1 adr=07 act=53B6D3E801A08FC951 exp=53B6D3E801A08FC951 + 40002.50ns INFO [00040004] Port=0 RD @06 + 40002.50ns INFO [00040004] Port=1 RD @04 + 40004.50ns INFO [00040006] * RD COMPARE * port=0 adr=06 act=59E8E0724580B6BB76 exp=59E8E0724580B6BB76 + 40004.50ns INFO [00040006] * RD COMPARE * port=1 adr=04 act=78A6499A67FDED1D14 exp=78A6499A67FDED1D14 + 40004.50ns INFO [00040006] Port=0 WR @00=1775A1D27437D4E5D2 + 40005.50ns INFO [00040007] Port=1 RD @00 + 40006.50ns INFO [00040008] Port=0 WR @03=3F71F4D09274815BFF + 40006.50ns INFO [00040008] Port=0 RD @07 + 40006.50ns INFO [00040008] Port=1 RD @00 + 40007.50ns INFO [00040009] * RD COMPARE * port=1 adr=00 act=1775A1D27437D4E5D2 exp=1775A1D27437D4E5D2 + 40007.50ns INFO [00040009] Port=1 RD @00 + 40008.50ns INFO [00040010] * RD COMPARE * port=0 adr=07 act=53B6D3E801A08FC951 exp=53B6D3E801A08FC951 + 40008.50ns INFO [00040010] * RD COMPARE * port=1 adr=00 act=1775A1D27437D4E5D2 exp=1775A1D27437D4E5D2 + 40009.50ns INFO [00040011] * RD COMPARE * port=1 adr=00 act=1775A1D27437D4E5D2 exp=1775A1D27437D4E5D2 + 40009.50ns INFO [00040011] Port=0 RD @03 + 40010.50ns INFO [00040012] Port=1 RD @03 + 40011.50ns INFO [00040013] * RD COMPARE * port=0 adr=03 act=3F71F4D09274815BFF exp=3F71F4D09274815BFF + 40011.50ns INFO [00040013] Port=0 WR @01=17529FDFBFE00D743F + 40012.50ns INFO [00040014] * RD COMPARE * port=1 adr=03 act=3F71F4D09274815BFF exp=3F71F4D09274815BFF + 40012.50ns INFO [00040014] Port=0 WR @07=6130E751FEA3342E7A + 40012.50ns INFO [00040014] Port=0 RD @04 + 40013.50ns INFO [00040015] Port=1 RD @01 + 40014.50ns INFO [00040016] * RD COMPARE * port=0 adr=04 act=78A6499A67FDED1D14 exp=78A6499A67FDED1D14 + 40014.50ns INFO [00040016] Port=0 RD @05 + 40014.50ns INFO [00040016] Port=1 RD @04 + 40015.50ns INFO [00040017] * RD COMPARE * port=1 adr=01 act=17529FDFBFE00D743F exp=17529FDFBFE00D743F + 40016.50ns INFO [00040018] * RD COMPARE * port=0 adr=05 act=07B4412E443B599839 exp=07B4412E443B599839 + 40016.50ns INFO [00040018] * RD COMPARE * port=1 adr=04 act=78A6499A67FDED1D14 exp=78A6499A67FDED1D14 + 40016.50ns INFO [00040018] Port=1 RD @04 + 40018.50ns INFO [00040020] * RD COMPARE * port=1 adr=04 act=78A6499A67FDED1D14 exp=78A6499A67FDED1D14 + 40018.50ns INFO [00040020] Port=1 RD @02 + 40019.50ns INFO [00040021] Port=0 WR @06=2BA64B62627F8ABC5B + 40019.50ns INFO [00040021] Port=1 RD @02 + 40020.50ns INFO [00040022] * RD COMPARE * port=1 adr=02 act=3E9EF9D5FE839F2D64 exp=3E9EF9D5FE839F2D64 + 40020.50ns INFO [00040022] Port=0 RD @02 + 40021.50ns INFO [00040023] * RD COMPARE * port=1 adr=02 act=3E9EF9D5FE839F2D64 exp=3E9EF9D5FE839F2D64 + 40021.50ns INFO [00040023] Port=0 RD @01 + 40022.50ns INFO [00040024] * RD COMPARE * port=0 adr=02 act=3E9EF9D5FE839F2D64 exp=3E9EF9D5FE839F2D64 + 40022.50ns INFO [00040024] Port=0 RD @04 + 40023.50ns INFO [00040025] * RD COMPARE * port=0 adr=01 act=17529FDFBFE00D743F exp=17529FDFBFE00D743F + 40023.50ns INFO [00040025] Port=0 WR @04=128F9C9749FD4AD00D + 40023.50ns INFO [00040025] Port=1 RD @00 + 40024.50ns INFO [00040026] * RD COMPARE * port=0 adr=04 act=78A6499A67FDED1D14 exp=78A6499A67FDED1D14 + 40024.50ns INFO [00040026] Port=0 WR @03=8623F485A1F3927766 + 40024.50ns INFO [00040026] Port=0 RD @01 + 40025.50ns INFO [00040027] * RD COMPARE * port=1 adr=00 act=1775A1D27437D4E5D2 exp=1775A1D27437D4E5D2 + 40025.50ns INFO [00040027] Port=1 RD @06 + 40026.50ns INFO [00040028] * RD COMPARE * port=0 adr=01 act=17529FDFBFE00D743F exp=17529FDFBFE00D743F + 40027.50ns INFO [00040029] * RD COMPARE * port=1 adr=06 act=2BA64B62627F8ABC5B exp=2BA64B62627F8ABC5B + 40029.50ns INFO [00040031] Port=0 RD @07 + 40030.50ns INFO [00040032] Port=0 WR @03=F3EA9D0DF46E931DC1 + 40031.50ns INFO [00040033] * RD COMPARE * port=0 adr=07 act=6130E751FEA3342E7A exp=6130E751FEA3342E7A + 40031.50ns INFO [00040033] Port=1 RD @04 + 40032.50ns INFO [00040034] Port=0 RD @01 + 40033.50ns INFO [00040035] * RD COMPARE * port=1 adr=04 act=128F9C9749FD4AD00D exp=128F9C9749FD4AD00D + 40033.50ns INFO [00040035] Port=0 WR @01=2A7172337821780866 + 40033.50ns INFO [00040035] Port=1 RD @03 + 40034.50ns INFO [00040036] * RD COMPARE * port=0 adr=01 act=17529FDFBFE00D743F exp=17529FDFBFE00D743F + 40034.50ns INFO [00040036] Port=0 RD @07 + 40035.50ns INFO [00040037] * RD COMPARE * port=1 adr=03 act=F3EA9D0DF46E931DC1 exp=F3EA9D0DF46E931DC1 + 40035.50ns INFO [00040037] Port=0 RD @05 + 40036.50ns INFO [00040038] * RD COMPARE * port=0 adr=07 act=6130E751FEA3342E7A exp=6130E751FEA3342E7A + 40036.50ns INFO [00040038] Port=0 WR @02=9D31A74E5090303C93 + 40036.50ns INFO [00040038] Port=0 RD @03 + 40036.50ns INFO [00040038] Port=1 RD @01 + 40037.50ns INFO [00040039] * RD COMPARE * port=0 adr=05 act=07B4412E443B599839 exp=07B4412E443B599839 + 40038.50ns INFO [00040040] * RD COMPARE * port=0 adr=03 act=F3EA9D0DF46E931DC1 exp=F3EA9D0DF46E931DC1 + 40038.50ns INFO [00040040] * RD COMPARE * port=1 adr=01 act=2A7172337821780866 exp=2A7172337821780866 + 40038.50ns INFO [00040040] Port=0 WR @07=A32B942571959FEBCF + 40039.50ns INFO [00040041] Port=1 RD @01 + 40040.50ns INFO [00040042] Port=0 RD @03 + 40041.50ns INFO [00040043] * RD COMPARE * port=1 adr=01 act=2A7172337821780866 exp=2A7172337821780866 + 40041.50ns INFO [00040043] Port=0 WR @06=146848CE6342E20331 + 40041.50ns INFO [00040043] Port=1 RD @05 + 40042.50ns INFO [00040044] * RD COMPARE * port=0 adr=03 act=F3EA9D0DF46E931DC1 exp=F3EA9D0DF46E931DC1 + 40043.50ns INFO [00040045] * RD COMPARE * port=1 adr=05 act=07B4412E443B599839 exp=07B4412E443B599839 + 40043.50ns INFO [00040045] Port=0 WR @00=4383345E379A276C5A + 40044.50ns INFO [00040046] Port=0 RD @07 + 40044.50ns INFO [00040046] Port=1 RD @01 + 40045.50ns INFO [00040047] Port=0 WR @05=77795C5FFA763599D7 + 40045.50ns INFO [00040047] Port=0 RD @07 + 40046.50ns INFO [00040048] * RD COMPARE * port=0 adr=07 act=A32B942571959FEBCF exp=A32B942571959FEBCF + 40046.50ns INFO [00040048] * RD COMPARE * port=1 adr=01 act=2A7172337821780866 exp=2A7172337821780866 + 40046.50ns INFO [00040048] Port=0 WR @00=D5F101D5F1FC52E57E + 40047.50ns INFO [00040049] * RD COMPARE * port=0 adr=07 act=A32B942571959FEBCF exp=A32B942571959FEBCF + 40047.50ns INFO [00040049] Port=0 RD @05 + 40048.50ns INFO [00040050] Port=0 RD @01 + 40049.50ns INFO [00040051] * RD COMPARE * port=0 adr=05 act=77795C5FFA763599D7 exp=77795C5FFA763599D7 + 40050.50ns INFO [00040052] * RD COMPARE * port=0 adr=01 act=2A7172337821780866 exp=2A7172337821780866 + 40050.50ns INFO [00040052] Port=0 WR @01=A6B08DE86E8FCF2E1F + 40050.50ns INFO [00040052] Port=0 RD @03 + 40050.50ns INFO [00040052] Port=1 RD @03 + 40051.50ns INFO [00040053] Port=0 WR @00=0E62D291D9137CBD0D + 40052.50ns INFO [00040054] * RD COMPARE * port=0 adr=03 act=F3EA9D0DF46E931DC1 exp=F3EA9D0DF46E931DC1 + 40052.50ns INFO [00040054] * RD COMPARE * port=1 adr=03 act=F3EA9D0DF46E931DC1 exp=F3EA9D0DF46E931DC1 + 40052.50ns INFO [00040054] Port=0 RD @00 + 40052.50ns INFO [00040054] Port=1 RD @00 + 40053.50ns INFO [00040055] Port=0 RD @02 + 40053.50ns INFO [00040055] Port=1 RD @07 + 40054.50ns INFO [00040056] * RD COMPARE * port=0 adr=00 act=0E62D291D9137CBD0D exp=0E62D291D9137CBD0D + 40054.50ns INFO [00040056] * RD COMPARE * port=1 adr=00 act=0E62D291D9137CBD0D exp=0E62D291D9137CBD0D + 40055.50ns INFO [00040057] * RD COMPARE * port=0 adr=02 act=9D31A74E5090303C93 exp=9D31A74E5090303C93 + 40055.50ns INFO [00040057] * RD COMPARE * port=1 adr=07 act=A32B942571959FEBCF exp=A32B942571959FEBCF + 40055.50ns INFO [00040057] Port=0 WR @01=88885B76D9384306AF + 40055.50ns INFO [00040057] Port=0 RD @03 + 40055.50ns INFO [00040057] Port=1 RD @00 + 40056.50ns INFO [00040058] Port=0 RD @03 + 40057.50ns INFO [00040059] * RD COMPARE * port=0 adr=03 act=F3EA9D0DF46E931DC1 exp=F3EA9D0DF46E931DC1 + 40057.50ns INFO [00040059] * RD COMPARE * port=1 adr=00 act=0E62D291D9137CBD0D exp=0E62D291D9137CBD0D + 40058.50ns INFO [00040060] * RD COMPARE * port=0 adr=03 act=F3EA9D0DF46E931DC1 exp=F3EA9D0DF46E931DC1 + 40058.50ns INFO [00040060] Port=0 WR @05=3AA92D002144A7174B + 40058.50ns INFO [00040060] Port=0 RD @02 + 40059.50ns INFO [00040061] Port=0 RD @07 + 40060.50ns INFO [00040062] * RD COMPARE * port=0 adr=02 act=9D31A74E5090303C93 exp=9D31A74E5090303C93 + 40060.50ns INFO [00040062] Port=1 RD @04 + 40061.50ns INFO [00040063] * RD COMPARE * port=0 adr=07 act=A32B942571959FEBCF exp=A32B942571959FEBCF + 40061.50ns INFO [00040063] Port=0 WR @00=C7DB2B6CF28CE0278D + 40062.50ns INFO [00040064] * RD COMPARE * port=1 adr=04 act=128F9C9749FD4AD00D exp=128F9C9749FD4AD00D + 40063.50ns INFO [00040065] Port=0 WR @00=0B5C161F8A8A0F62B5 + 40063.50ns INFO [00040065] Port=0 RD @05 + 40063.50ns INFO [00040065] Port=1 RD @07 + 40064.50ns INFO [00040066] Port=1 RD @03 + 40065.50ns INFO [00040067] * RD COMPARE * port=0 adr=05 act=3AA92D002144A7174B exp=3AA92D002144A7174B + 40065.50ns INFO [00040067] * RD COMPARE * port=1 adr=07 act=A32B942571959FEBCF exp=A32B942571959FEBCF + 40066.50ns INFO [00040068] * RD COMPARE * port=1 adr=03 act=F3EA9D0DF46E931DC1 exp=F3EA9D0DF46E931DC1 + 40067.50ns INFO [00040069] Port=0 WR @07=BAD3C39D5588C5B0E0 + 40068.50ns INFO [00040070] Port=0 RD @07 + 40068.50ns INFO [00040070] Port=1 RD @07 + 40069.50ns INFO [00040071] Port=0 WR @05=074C7FA77D20539F52 + 40069.50ns INFO [00040071] Port=1 RD @03 + 40070.50ns INFO [00040072] * RD COMPARE * port=0 adr=07 act=BAD3C39D5588C5B0E0 exp=BAD3C39D5588C5B0E0 + 40070.50ns INFO [00040072] * RD COMPARE * port=1 adr=07 act=BAD3C39D5588C5B0E0 exp=BAD3C39D5588C5B0E0 + 40071.50ns INFO [00040073] * RD COMPARE * port=1 adr=03 act=F3EA9D0DF46E931DC1 exp=F3EA9D0DF46E931DC1 + 40071.50ns INFO [00040073] Port=0 RD @00 + 40072.50ns INFO [00040074] Port=0 WR @03=99C1D65A3EDE022B2A + 40072.50ns INFO [00040074] Port=1 RD @00 + 40073.50ns INFO [00040075] * RD COMPARE * port=0 adr=00 act=0B5C161F8A8A0F62B5 exp=0B5C161F8A8A0F62B5 + 40073.50ns INFO [00040075] Port=1 RD @04 + 40074.50ns INFO [00040076] * RD COMPARE * port=1 adr=00 act=0B5C161F8A8A0F62B5 exp=0B5C161F8A8A0F62B5 + 40074.50ns INFO [00040076] Port=0 RD @03 + 40075.50ns INFO [00040077] * RD COMPARE * port=1 adr=04 act=128F9C9749FD4AD00D exp=128F9C9749FD4AD00D + 40075.50ns INFO [00040077] Port=1 RD @03 + 40076.50ns INFO [00040078] * RD COMPARE * port=0 adr=03 act=99C1D65A3EDE022B2A exp=99C1D65A3EDE022B2A + 40076.50ns INFO [00040078] Port=0 WR @03=6D6AE3065A5A0AEB7A + 40076.50ns INFO [00040078] Port=0 RD @06 + 40077.50ns INFO [00040079] * RD COMPARE * port=1 adr=03 act=99C1D65A3EDE022B2A exp=99C1D65A3EDE022B2A + 40077.50ns INFO [00040079] Port=1 RD @05 + 40078.50ns INFO [00040080] * RD COMPARE * port=0 adr=06 act=146848CE6342E20331 exp=146848CE6342E20331 + 40079.50ns INFO [00040081] * RD COMPARE * port=1 adr=05 act=074C7FA77D20539F52 exp=074C7FA77D20539F52 + 40079.50ns INFO [00040081] Port=1 RD @07 + 40080.50ns INFO [00040082] Port=0 RD @05 + 40081.50ns INFO [00040083] * RD COMPARE * port=1 adr=07 act=BAD3C39D5588C5B0E0 exp=BAD3C39D5588C5B0E0 + 40081.50ns INFO [00040083] Port=0 WR @02=092334619D99DC9E95 + 40081.50ns INFO [00040083] Port=0 RD @00 + 40081.50ns INFO [00040083] Port=1 RD @05 + 40082.50ns INFO [00040084] * RD COMPARE * port=0 adr=05 act=074C7FA77D20539F52 exp=074C7FA77D20539F52 + 40082.50ns INFO [00040084] Port=0 WR @03=B4F0B28C033849435D + 40083.50ns INFO [00040085] * RD COMPARE * port=0 adr=00 act=0B5C161F8A8A0F62B5 exp=0B5C161F8A8A0F62B5 + 40083.50ns INFO [00040085] * RD COMPARE * port=1 adr=05 act=074C7FA77D20539F52 exp=074C7FA77D20539F52 + 40084.50ns INFO [00040086] Port=0 WR @00=40DB2494DB8FE3AD68 + 40084.50ns INFO [00040086] Port=0 RD @04 + 40084.50ns INFO [00040086] Port=1 RD @06 + 40085.50ns INFO [00040087] Port=0 RD @06 + 40085.50ns INFO [00040087] Port=1 RD @05 + 40086.50ns INFO [00040088] * RD COMPARE * port=0 adr=04 act=128F9C9749FD4AD00D exp=128F9C9749FD4AD00D + 40086.50ns INFO [00040088] * RD COMPARE * port=1 adr=06 act=146848CE6342E20331 exp=146848CE6342E20331 + 40087.50ns INFO [00040089] * RD COMPARE * port=0 adr=06 act=146848CE6342E20331 exp=146848CE6342E20331 + 40087.50ns INFO [00040089] * RD COMPARE * port=1 adr=05 act=074C7FA77D20539F52 exp=074C7FA77D20539F52 + 40087.50ns INFO [00040089] Port=1 RD @06 + 40088.50ns INFO [00040090] Port=0 RD @00 + 40088.50ns INFO [00040090] Port=1 RD @03 + 40089.50ns INFO [00040091] * RD COMPARE * port=1 adr=06 act=146848CE6342E20331 exp=146848CE6342E20331 + 40090.50ns INFO [00040092] * RD COMPARE * port=0 adr=00 act=40DB2494DB8FE3AD68 exp=40DB2494DB8FE3AD68 + 40090.50ns INFO [00040092] * RD COMPARE * port=1 adr=03 act=B4F0B28C033849435D exp=B4F0B28C033849435D + 40090.50ns INFO [00040092] Port=0 RD @07 + 40092.50ns INFO [00040094] * RD COMPARE * port=0 adr=07 act=BAD3C39D5588C5B0E0 exp=BAD3C39D5588C5B0E0 + 40095.50ns INFO [00040097] Port=1 RD @07 + 40096.50ns INFO [00040098] Port=0 WR @05=65EEFA58413ABA5AC1 + 40096.50ns INFO [00040098] Port=0 RD @07 + 40096.50ns INFO [00040098] Port=1 RD @03 + 40097.50ns INFO [00040099] * RD COMPARE * port=1 adr=07 act=BAD3C39D5588C5B0E0 exp=BAD3C39D5588C5B0E0 + 40097.50ns INFO [00040099] Port=0 WR @01=D2A2846FB275C6E79D + 40097.50ns INFO [00040099] Port=0 RD @05 + 40098.00ns INFO [00040100] [00040100] ...tick... + 40098.50ns INFO [00040100] * RD COMPARE * port=0 adr=07 act=BAD3C39D5588C5B0E0 exp=BAD3C39D5588C5B0E0 + 40098.50ns INFO [00040100] * RD COMPARE * port=1 adr=03 act=B4F0B28C033849435D exp=B4F0B28C033849435D + 40098.50ns INFO [00040100] Port=0 WR @02=12260F6268DC0EF814 + 40098.50ns INFO [00040100] Port=1 RD @07 + 40099.50ns INFO [00040101] * RD COMPARE * port=0 adr=05 act=65EEFA58413ABA5AC1 exp=65EEFA58413ABA5AC1 + 40099.50ns INFO [00040101] Port=0 WR @06=D00451FD8D7FD04647 + 40099.50ns INFO [00040101] Port=0 RD @04 + 40099.50ns INFO [00040101] Port=1 RD @02 + 40100.50ns INFO [00040102] * RD COMPARE * port=1 adr=07 act=BAD3C39D5588C5B0E0 exp=BAD3C39D5588C5B0E0 + 40101.50ns INFO [00040103] * RD COMPARE * port=0 adr=04 act=128F9C9749FD4AD00D exp=128F9C9749FD4AD00D + 40101.50ns INFO [00040103] * RD COMPARE * port=1 adr=02 act=12260F6268DC0EF814 exp=12260F6268DC0EF814 + 40101.50ns INFO [00040103] Port=0 WR @06=EDFEE404E353FE9E0A + 40102.50ns INFO [00040104] Port=0 WR @02=406539DB8C219C396F + 40102.50ns INFO [00040104] Port=1 RD @05 + 40103.50ns INFO [00040105] Port=0 WR @02=A991BEA2DE297591A7 + 40103.50ns INFO [00040105] Port=1 RD @01 + 40104.50ns INFO [00040106] * RD COMPARE * port=1 adr=05 act=65EEFA58413ABA5AC1 exp=65EEFA58413ABA5AC1 + 40104.50ns INFO [00040106] Port=0 WR @06=8B5FE9B3E5A374083D + 40104.50ns INFO [00040106] Port=0 RD @05 + 40105.50ns INFO [00040107] * RD COMPARE * port=1 adr=01 act=D2A2846FB275C6E79D exp=D2A2846FB275C6E79D + 40105.50ns INFO [00040107] Port=0 RD @03 + 40105.50ns INFO [00040107] Port=1 RD @01 + 40106.50ns INFO [00040108] * RD COMPARE * port=0 adr=05 act=65EEFA58413ABA5AC1 exp=65EEFA58413ABA5AC1 + 40107.50ns INFO [00040109] * RD COMPARE * port=0 adr=03 act=B4F0B28C033849435D exp=B4F0B28C033849435D + 40107.50ns INFO [00040109] * RD COMPARE * port=1 adr=01 act=D2A2846FB275C6E79D exp=D2A2846FB275C6E79D + 40107.50ns INFO [00040109] Port=1 RD @05 + 40108.50ns INFO [00040110] Port=0 RD @03 + 40109.50ns INFO [00040111] * RD COMPARE * port=1 adr=05 act=65EEFA58413ABA5AC1 exp=65EEFA58413ABA5AC1 + 40109.50ns INFO [00040111] Port=0 WR @04=ADA8BE0ECF7CDFC7AA + 40109.50ns INFO [00040111] Port=0 RD @06 + 40109.50ns INFO [00040111] Port=1 RD @00 + 40110.50ns INFO [00040112] * RD COMPARE * port=0 adr=03 act=B4F0B28C033849435D exp=B4F0B28C033849435D + 40110.50ns INFO [00040112] Port=1 RD @06 + 40111.50ns INFO [00040113] * RD COMPARE * port=0 adr=06 act=8B5FE9B3E5A374083D exp=8B5FE9B3E5A374083D + 40111.50ns INFO [00040113] * RD COMPARE * port=1 adr=00 act=40DB2494DB8FE3AD68 exp=40DB2494DB8FE3AD68 + 40112.50ns INFO [00040114] * RD COMPARE * port=1 adr=06 act=8B5FE9B3E5A374083D exp=8B5FE9B3E5A374083D + 40113.50ns INFO [00040115] Port=0 RD @07 + 40113.50ns INFO [00040115] Port=1 RD @06 + 40115.50ns INFO [00040117] * RD COMPARE * port=0 adr=07 act=BAD3C39D5588C5B0E0 exp=BAD3C39D5588C5B0E0 + 40115.50ns INFO [00040117] * RD COMPARE * port=1 adr=06 act=8B5FE9B3E5A374083D exp=8B5FE9B3E5A374083D + 40116.50ns INFO [00040118] Port=1 RD @00 + 40117.50ns INFO [00040119] Port=0 RD @00 + 40118.50ns INFO [00040120] * RD COMPARE * port=1 adr=00 act=40DB2494DB8FE3AD68 exp=40DB2494DB8FE3AD68 + 40118.50ns INFO [00040120] Port=0 WR @00=55473D097C1FE45FFD + 40118.50ns INFO [00040120] Port=1 RD @07 + 40119.50ns INFO [00040121] * RD COMPARE * port=0 adr=00 act=40DB2494DB8FE3AD68 exp=40DB2494DB8FE3AD68 + 40119.50ns INFO [00040121] Port=0 WR @04=B9C1257917AC77DA80 + 40120.50ns INFO [00040122] * RD COMPARE * port=1 adr=07 act=BAD3C39D5588C5B0E0 exp=BAD3C39D5588C5B0E0 + 40122.50ns INFO [00040124] Port=0 WR @07=DBE03825497AF37274 + 40123.50ns INFO [00040125] Port=0 RD @07 + 40124.50ns INFO [00040126] Port=0 WR @06=555AAE082BE21AB684 + 40124.50ns INFO [00040126] Port=0 RD @01 + 40125.50ns INFO [00040127] * RD COMPARE * port=0 adr=07 act=DBE03825497AF37274 exp=DBE03825497AF37274 + 40125.50ns INFO [00040127] Port=0 RD @00 + 40126.50ns INFO [00040128] * RD COMPARE * port=0 adr=01 act=D2A2846FB275C6E79D exp=D2A2846FB275C6E79D + 40126.50ns INFO [00040128] Port=0 WR @03=D0967E16F3628474C8 + 40126.50ns INFO [00040128] Port=1 RD @06 + 40127.50ns INFO [00040129] * RD COMPARE * port=0 adr=00 act=55473D097C1FE45FFD exp=55473D097C1FE45FFD + 40127.50ns INFO [00040129] Port=0 WR @01=06D4873A9DBCFFA27D + 40128.50ns INFO [00040130] * RD COMPARE * port=1 adr=06 act=555AAE082BE21AB684 exp=555AAE082BE21AB684 + 40129.50ns INFO [00040131] Port=0 WR @07=15C5587EDDF6EA984D + 40129.50ns INFO [00040131] Port=1 RD @00 + 40130.50ns INFO [00040132] Port=0 WR @03=1A8453EA314BD620B1 + 40130.50ns INFO [00040132] Port=0 RD @06 + 40131.50ns INFO [00040133] * RD COMPARE * port=1 adr=00 act=55473D097C1FE45FFD exp=55473D097C1FE45FFD + 40132.50ns INFO [00040134] * RD COMPARE * port=0 adr=06 act=555AAE082BE21AB684 exp=555AAE082BE21AB684 + 40132.50ns INFO [00040134] Port=0 WR @05=035E27BF38F7D6AA15 + 40134.50ns INFO [00040136] Port=0 RD @01 + 40135.50ns INFO [00040137] Port=1 RD @01 + 40136.50ns INFO [00040138] * RD COMPARE * port=0 adr=01 act=06D4873A9DBCFFA27D exp=06D4873A9DBCFFA27D + 40136.50ns INFO [00040138] Port=0 WR @01=838A978EF233527A0B + 40136.50ns INFO [00040138] Port=0 RD @07 + 40137.50ns INFO [00040139] * RD COMPARE * port=1 adr=01 act=06D4873A9DBCFFA27D exp=06D4873A9DBCFFA27D + 40138.50ns INFO [00040140] * RD COMPARE * port=0 adr=07 act=15C5587EDDF6EA984D exp=15C5587EDDF6EA984D + 40138.50ns INFO [00040140] Port=0 WR @04=6EAB4A85A6AC94F362 + 40138.50ns INFO [00040140] Port=0 RD @02 + 40139.50ns INFO [00040141] Port=1 RD @01 + 40140.50ns INFO [00040142] * RD COMPARE * port=0 adr=02 act=A991BEA2DE297591A7 exp=A991BEA2DE297591A7 + 40140.50ns INFO [00040142] Port=0 WR @06=8D2C93A8EF1EBA3A60 + 40140.50ns INFO [00040142] Port=0 RD @07 + 40140.50ns INFO [00040142] Port=1 RD @00 + 40141.50ns INFO [00040143] * RD COMPARE * port=1 adr=01 act=838A978EF233527A0B exp=838A978EF233527A0B + 40141.50ns INFO [00040143] Port=1 RD @02 + 40142.50ns INFO [00040144] * RD COMPARE * port=0 adr=07 act=15C5587EDDF6EA984D exp=15C5587EDDF6EA984D + 40142.50ns INFO [00040144] * RD COMPARE * port=1 adr=00 act=55473D097C1FE45FFD exp=55473D097C1FE45FFD + 40143.50ns INFO [00040145] * RD COMPARE * port=1 adr=02 act=A991BEA2DE297591A7 exp=A991BEA2DE297591A7 + 40143.50ns INFO [00040145] Port=0 RD @00 + 40144.50ns INFO [00040146] Port=0 WR @04=ED55E7C7B8C5CB09DF + 40145.50ns INFO [00040147] * RD COMPARE * port=0 adr=00 act=55473D097C1FE45FFD exp=55473D097C1FE45FFD + 40145.50ns INFO [00040147] Port=0 RD @07 + 40145.50ns INFO [00040147] Port=1 RD @01 + 40146.50ns INFO [00040148] Port=0 WR @04=F587DE1CAB2A1BE43B + 40146.50ns INFO [00040148] Port=1 RD @05 + 40147.50ns INFO [00040149] * RD COMPARE * port=0 adr=07 act=15C5587EDDF6EA984D exp=15C5587EDDF6EA984D + 40147.50ns INFO [00040149] * RD COMPARE * port=1 adr=01 act=838A978EF233527A0B exp=838A978EF233527A0B + 40147.50ns INFO [00040149] Port=0 RD @05 + 40148.50ns INFO [00040150] * RD COMPARE * port=1 adr=05 act=035E27BF38F7D6AA15 exp=035E27BF38F7D6AA15 + 40148.50ns INFO [00040150] Port=0 WR @05=B07DA4AF68271E5F40 + 40148.50ns INFO [00040150] Port=1 RD @03 + 40149.50ns INFO [00040151] * RD COMPARE * port=0 adr=05 act=035E27BF38F7D6AA15 exp=035E27BF38F7D6AA15 + 40150.50ns INFO [00040152] * RD COMPARE * port=1 adr=03 act=1A8453EA314BD620B1 exp=1A8453EA314BD620B1 + 40150.50ns INFO [00040152] Port=0 RD @03 + 40150.50ns INFO [00040152] Port=1 RD @06 + 40151.50ns INFO [00040153] Port=0 WR @03=0FB9B4D57AE3E350FC + 40151.50ns INFO [00040153] Port=1 RD @07 + 40152.50ns INFO [00040154] * RD COMPARE * port=0 adr=03 act=1A8453EA314BD620B1 exp=1A8453EA314BD620B1 + 40152.50ns INFO [00040154] * RD COMPARE * port=1 adr=06 act=8D2C93A8EF1EBA3A60 exp=8D2C93A8EF1EBA3A60 + 40153.50ns INFO [00040155] * RD COMPARE * port=1 adr=07 act=15C5587EDDF6EA984D exp=15C5587EDDF6EA984D + 40153.50ns INFO [00040155] Port=0 RD @02 + 40154.50ns INFO [00040156] Port=1 RD @01 + 40155.50ns INFO [00040157] * RD COMPARE * port=0 adr=02 act=A991BEA2DE297591A7 exp=A991BEA2DE297591A7 + 40155.50ns INFO [00040157] Port=0 RD @04 + 40156.50ns INFO [00040158] * RD COMPARE * port=1 adr=01 act=838A978EF233527A0B exp=838A978EF233527A0B + 40156.50ns INFO [00040158] Port=0 RD @05 + 40157.50ns INFO [00040159] * RD COMPARE * port=0 adr=04 act=F587DE1CAB2A1BE43B exp=F587DE1CAB2A1BE43B + 40158.50ns INFO [00040160] * RD COMPARE * port=0 adr=05 act=B07DA4AF68271E5F40 exp=B07DA4AF68271E5F40 + 40158.50ns INFO [00040160] Port=0 RD @02 + 40158.50ns INFO [00040160] Port=1 RD @06 + 40160.50ns INFO [00040162] * RD COMPARE * port=0 adr=02 act=A991BEA2DE297591A7 exp=A991BEA2DE297591A7 + 40160.50ns INFO [00040162] * RD COMPARE * port=1 adr=06 act=8D2C93A8EF1EBA3A60 exp=8D2C93A8EF1EBA3A60 + 40160.50ns INFO [00040162] Port=0 WR @05=7B417D07C9C4CACA9C + 40161.50ns INFO [00040163] Port=0 WR @03=065CFCBF059F71F4E3 + 40162.50ns INFO [00040164] Port=0 RD @05 + 40164.50ns INFO [00040166] * RD COMPARE * port=0 adr=05 act=7B417D07C9C4CACA9C exp=7B417D07C9C4CACA9C + 40164.50ns INFO [00040166] Port=0 RD @03 + 40166.50ns INFO [00040168] * RD COMPARE * port=0 adr=03 act=065CFCBF059F71F4E3 exp=065CFCBF059F71F4E3 + 40167.50ns INFO [00040169] Port=0 WR @07=D77C24956A83C6CC75 + 40167.50ns INFO [00040169] Port=0 RD @01 + 40169.50ns INFO [00040171] * RD COMPARE * port=0 adr=01 act=838A978EF233527A0B exp=838A978EF233527A0B + 40169.50ns INFO [00040171] Port=0 RD @01 + 40170.50ns INFO [00040172] Port=0 WR @07=A5741B691FBFE8D069 + 40170.50ns INFO [00040172] Port=0 RD @01 + 40171.50ns INFO [00040173] * RD COMPARE * port=0 adr=01 act=838A978EF233527A0B exp=838A978EF233527A0B + 40171.50ns INFO [00040173] Port=0 RD @06 + 40172.50ns INFO [00040174] * RD COMPARE * port=0 adr=01 act=838A978EF233527A0B exp=838A978EF233527A0B + 40172.50ns INFO [00040174] Port=0 WR @02=4F5D1AEFD12BFE9DFD + 40172.50ns INFO [00040174] Port=0 RD @06 + 40173.50ns INFO [00040175] * RD COMPARE * port=0 adr=06 act=8D2C93A8EF1EBA3A60 exp=8D2C93A8EF1EBA3A60 + 40173.50ns INFO [00040175] Port=0 WR @06=FFB85693987ED5DEAB + 40173.50ns INFO [00040175] Port=0 RD @07 + 40174.50ns INFO [00040176] * RD COMPARE * port=0 adr=06 act=8D2C93A8EF1EBA3A60 exp=8D2C93A8EF1EBA3A60 + 40174.50ns INFO [00040176] Port=0 WR @00=A0A871F5CA46CFCB62 + 40175.50ns INFO [00040177] * RD COMPARE * port=0 adr=07 act=A5741B691FBFE8D069 exp=A5741B691FBFE8D069 + 40175.50ns INFO [00040177] Port=1 RD @07 + 40177.50ns INFO [00040179] * RD COMPARE * port=1 adr=07 act=A5741B691FBFE8D069 exp=A5741B691FBFE8D069 + 40177.50ns INFO [00040179] Port=0 WR @01=3A952AB0F2A6DFB923 + 40177.50ns INFO [00040179] Port=1 RD @04 + 40179.50ns INFO [00040181] * RD COMPARE * port=1 adr=04 act=F587DE1CAB2A1BE43B exp=F587DE1CAB2A1BE43B + 40179.50ns INFO [00040181] Port=1 RD @02 + 40180.50ns INFO [00040182] Port=0 WR @03=8D9512C070607EE05D + 40180.50ns INFO [00040182] Port=1 RD @06 + 40181.50ns INFO [00040183] * RD COMPARE * port=1 adr=02 act=4F5D1AEFD12BFE9DFD exp=4F5D1AEFD12BFE9DFD + 40181.50ns INFO [00040183] Port=1 RD @06 + 40182.50ns INFO [00040184] * RD COMPARE * port=1 adr=06 act=FFB85693987ED5DEAB exp=FFB85693987ED5DEAB + 40183.50ns INFO [00040185] * RD COMPARE * port=1 adr=06 act=FFB85693987ED5DEAB exp=FFB85693987ED5DEAB + 40183.50ns INFO [00040185] Port=0 WR @01=FC539F2506BEA52F13 + 40184.50ns INFO [00040186] Port=0 WR @07=5FB761E28EC11B5887 + 40185.50ns INFO [00040187] Port=0 WR @07=EB2836ECE36BFE5306 + 40185.50ns INFO [00040187] Port=0 RD @06 + 40185.50ns INFO [00040187] Port=1 RD @06 + 40186.50ns INFO [00040188] Port=1 RD @02 + 40187.50ns INFO [00040189] * RD COMPARE * port=0 adr=06 act=FFB85693987ED5DEAB exp=FFB85693987ED5DEAB + 40187.50ns INFO [00040189] * RD COMPARE * port=1 adr=06 act=FFB85693987ED5DEAB exp=FFB85693987ED5DEAB + 40187.50ns INFO [00040189] Port=0 RD @03 + 40188.50ns INFO [00040190] * RD COMPARE * port=1 adr=02 act=4F5D1AEFD12BFE9DFD exp=4F5D1AEFD12BFE9DFD + 40188.50ns INFO [00040190] Port=1 RD @05 + 40189.50ns INFO [00040191] * RD COMPARE * port=0 adr=03 act=8D9512C070607EE05D exp=8D9512C070607EE05D + 40190.50ns INFO [00040192] * RD COMPARE * port=1 adr=05 act=7B417D07C9C4CACA9C exp=7B417D07C9C4CACA9C + 40191.50ns INFO [00040193] Port=0 WR @04=718EED2933A8E131DD + 40191.50ns INFO [00040193] Port=0 RD @05 + 40192.50ns INFO [00040194] Port=1 RD @06 + 40193.50ns INFO [00040195] * RD COMPARE * port=0 adr=05 act=7B417D07C9C4CACA9C exp=7B417D07C9C4CACA9C + 40193.50ns INFO [00040195] Port=0 WR @00=A53297AE418B9A0BBD + 40193.50ns INFO [00040195] Port=1 RD @01 + 40194.50ns INFO [00040196] * RD COMPARE * port=1 adr=06 act=FFB85693987ED5DEAB exp=FFB85693987ED5DEAB + 40194.50ns INFO [00040196] Port=0 WR @06=3C2F3FCF3A4CD0DDF8 + 40195.50ns INFO [00040197] * RD COMPARE * port=1 adr=01 act=FC539F2506BEA52F13 exp=FC539F2506BEA52F13 + 40195.50ns INFO [00040197] Port=0 WR @00=9FF50D5689C792993F + 40197.50ns INFO [00040199] Port=0 WR @00=E4138F82C4FAB9356A + 40197.50ns INFO [00040199] Port=0 RD @07 + 40197.50ns INFO [00040199] Port=1 RD @06 + 40198.00ns INFO [00040200] [00040200] ...tick... + 40198.50ns INFO [00040200] Port=1 RD @05 + 40199.50ns INFO [00040201] * RD COMPARE * port=0 adr=07 act=EB2836ECE36BFE5306 exp=EB2836ECE36BFE5306 + 40199.50ns INFO [00040201] * RD COMPARE * port=1 adr=06 act=3C2F3FCF3A4CD0DDF8 exp=3C2F3FCF3A4CD0DDF8 + 40199.50ns INFO [00040201] Port=0 WR @03=8549A8A38C7B12D25B + 40199.50ns INFO [00040201] Port=0 RD @02 + 40199.50ns INFO [00040201] Port=1 RD @02 + 40200.50ns INFO [00040202] * RD COMPARE * port=1 adr=05 act=7B417D07C9C4CACA9C exp=7B417D07C9C4CACA9C + 40200.50ns INFO [00040202] Port=0 WR @05=3F8CEC6ACC8B7BF141 + 40200.50ns INFO [00040202] Port=0 RD @03 + 40200.50ns INFO [00040202] Port=1 RD @06 + 40201.50ns INFO [00040203] * RD COMPARE * port=0 adr=02 act=4F5D1AEFD12BFE9DFD exp=4F5D1AEFD12BFE9DFD + 40201.50ns INFO [00040203] * RD COMPARE * port=1 adr=02 act=4F5D1AEFD12BFE9DFD exp=4F5D1AEFD12BFE9DFD + 40201.50ns INFO [00040203] Port=0 RD @03 + 40202.50ns INFO [00040204] * RD COMPARE * port=0 adr=03 act=8549A8A38C7B12D25B exp=8549A8A38C7B12D25B + 40202.50ns INFO [00040204] * RD COMPARE * port=1 adr=06 act=3C2F3FCF3A4CD0DDF8 exp=3C2F3FCF3A4CD0DDF8 + 40202.50ns INFO [00040204] Port=1 RD @00 + 40203.50ns INFO [00040205] * RD COMPARE * port=0 adr=03 act=8549A8A38C7B12D25B exp=8549A8A38C7B12D25B + 40203.50ns INFO [00040205] Port=0 WR @07=5942CC3366C6D9ADC8 + 40203.50ns INFO [00040205] Port=0 RD @00 + 40204.50ns INFO [00040206] * RD COMPARE * port=1 adr=00 act=E4138F82C4FAB9356A exp=E4138F82C4FAB9356A + 40204.50ns INFO [00040206] Port=0 WR @06=22E42DB2D91F7E1B8E + 40205.50ns INFO [00040207] * RD COMPARE * port=0 adr=00 act=E4138F82C4FAB9356A exp=E4138F82C4FAB9356A + 40205.50ns INFO [00040207] Port=0 RD @01 + 40205.50ns INFO [00040207] Port=1 RD @02 + 40206.50ns INFO [00040208] Port=1 RD @01 + 40207.50ns INFO [00040209] * RD COMPARE * port=0 adr=01 act=FC539F2506BEA52F13 exp=FC539F2506BEA52F13 + 40207.50ns INFO [00040209] * RD COMPARE * port=1 adr=02 act=4F5D1AEFD12BFE9DFD exp=4F5D1AEFD12BFE9DFD + 40208.50ns INFO [00040210] * RD COMPARE * port=1 adr=01 act=FC539F2506BEA52F13 exp=FC539F2506BEA52F13 + 40209.50ns INFO [00040211] Port=0 WR @00=1F3855CF483FDDE156 + 40210.50ns INFO [00040212] Port=1 RD @03 + 40212.50ns INFO [00040214] * RD COMPARE * port=1 adr=03 act=8549A8A38C7B12D25B exp=8549A8A38C7B12D25B + 40212.50ns INFO [00040214] Port=0 WR @01=58376DF6CBEE46FF06 + 40212.50ns INFO [00040214] Port=0 RD @05 + 40213.50ns INFO [00040215] Port=1 RD @07 + 40214.50ns INFO [00040216] * RD COMPARE * port=0 adr=05 act=3F8CEC6ACC8B7BF141 exp=3F8CEC6ACC8B7BF141 + 40214.50ns INFO [00040216] Port=0 RD @06 + 40215.50ns INFO [00040217] * RD COMPARE * port=1 adr=07 act=5942CC3366C6D9ADC8 exp=5942CC3366C6D9ADC8 + 40215.50ns INFO [00040217] Port=0 RD @05 + 40216.50ns INFO [00040218] * RD COMPARE * port=0 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40216.50ns INFO [00040218] Port=0 RD @06 + 40217.50ns INFO [00040219] * RD COMPARE * port=0 adr=05 act=3F8CEC6ACC8B7BF141 exp=3F8CEC6ACC8B7BF141 + 40217.50ns INFO [00040219] Port=0 WR @01=F2867D67671572D328 + 40218.50ns INFO [00040220] * RD COMPARE * port=0 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40219.50ns INFO [00040221] Port=0 RD @01 + 40220.50ns INFO [00040222] Port=0 WR @07=094A4FBD58194F34B6 + 40221.50ns INFO [00040223] * RD COMPARE * port=0 adr=01 act=F2867D67671572D328 exp=F2867D67671572D328 + 40221.50ns INFO [00040223] Port=0 WR @07=9A83FBE52CBEC64A1B + 40221.50ns INFO [00040223] Port=1 RD @03 + 40222.50ns INFO [00040224] Port=1 RD @01 + 40223.50ns INFO [00040225] * RD COMPARE * port=1 adr=03 act=8549A8A38C7B12D25B exp=8549A8A38C7B12D25B + 40223.50ns INFO [00040225] Port=0 WR @02=0355E3316502FE5D9D + 40224.50ns INFO [00040226] * RD COMPARE * port=1 adr=01 act=F2867D67671572D328 exp=F2867D67671572D328 + 40224.50ns INFO [00040226] Port=0 RD @01 + 40225.50ns INFO [00040227] Port=0 RD @04 + 40225.50ns INFO [00040227] Port=1 RD @06 + 40226.50ns INFO [00040228] * RD COMPARE * port=0 adr=01 act=F2867D67671572D328 exp=F2867D67671572D328 + 40226.50ns INFO [00040228] Port=0 WR @00=E302AA4BD5FBE643CD + 40226.50ns INFO [00040228] Port=1 RD @03 + 40227.50ns INFO [00040229] * RD COMPARE * port=0 adr=04 act=718EED2933A8E131DD exp=718EED2933A8E131DD + 40227.50ns INFO [00040229] * RD COMPARE * port=1 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40227.50ns INFO [00040229] Port=1 RD @03 + 40228.50ns INFO [00040230] * RD COMPARE * port=1 adr=03 act=8549A8A38C7B12D25B exp=8549A8A38C7B12D25B + 40228.50ns INFO [00040230] Port=0 RD @05 + 40228.50ns INFO [00040230] Port=1 RD @00 + 40229.50ns INFO [00040231] * RD COMPARE * port=1 adr=03 act=8549A8A38C7B12D25B exp=8549A8A38C7B12D25B + 40229.50ns INFO [00040231] Port=1 RD @05 + 40230.50ns INFO [00040232] * RD COMPARE * port=0 adr=05 act=3F8CEC6ACC8B7BF141 exp=3F8CEC6ACC8B7BF141 + 40230.50ns INFO [00040232] * RD COMPARE * port=1 adr=00 act=E302AA4BD5FBE643CD exp=E302AA4BD5FBE643CD + 40230.50ns INFO [00040232] Port=0 WR @07=F7EE54E9062504B52B + 40230.50ns INFO [00040232] Port=0 RD @01 + 40231.50ns INFO [00040233] * RD COMPARE * port=1 adr=05 act=3F8CEC6ACC8B7BF141 exp=3F8CEC6ACC8B7BF141 + 40232.50ns INFO [00040234] * RD COMPARE * port=0 adr=01 act=F2867D67671572D328 exp=F2867D67671572D328 + 40232.50ns INFO [00040234] Port=0 WR @02=9C02232113A1914195 + 40233.50ns INFO [00040235] Port=0 WR @05=D6AB9931C24EA5571C + 40233.50ns INFO [00040235] Port=0 RD @04 + 40233.50ns INFO [00040235] Port=1 RD @07 + 40234.50ns INFO [00040236] Port=0 WR @04=8BCD307D601D54006C + 40234.50ns INFO [00040236] Port=0 RD @00 + 40234.50ns INFO [00040236] Port=1 RD @03 + 40235.50ns INFO [00040237] * RD COMPARE * port=0 adr=04 act=718EED2933A8E131DD exp=718EED2933A8E131DD + 40235.50ns INFO [00040237] * RD COMPARE * port=1 adr=07 act=F7EE54E9062504B52B exp=F7EE54E9062504B52B + 40235.50ns INFO [00040237] Port=0 WR @07=524CF529AFB70206BB + 40236.50ns INFO [00040238] * RD COMPARE * port=0 adr=00 act=E302AA4BD5FBE643CD exp=E302AA4BD5FBE643CD + 40236.50ns INFO [00040238] * RD COMPARE * port=1 adr=03 act=8549A8A38C7B12D25B exp=8549A8A38C7B12D25B + 40236.50ns INFO [00040238] Port=0 RD @02 + 40237.50ns INFO [00040239] Port=0 WR @03=414DD1EB691D60B458 + 40237.50ns INFO [00040239] Port=0 RD @00 + 40238.50ns INFO [00040240] * RD COMPARE * port=0 adr=02 act=9C02232113A1914195 exp=9C02232113A1914195 + 40238.50ns INFO [00040240] Port=0 WR @01=662D9A6A9435BB597A + 40238.50ns INFO [00040240] Port=0 RD @05 + 40238.50ns INFO [00040240] Port=1 RD @04 + 40239.50ns INFO [00040241] * RD COMPARE * port=0 adr=00 act=E302AA4BD5FBE643CD exp=E302AA4BD5FBE643CD + 40240.50ns INFO [00040242] * RD COMPARE * port=0 adr=05 act=D6AB9931C24EA5571C exp=D6AB9931C24EA5571C + 40240.50ns INFO [00040242] * RD COMPARE * port=1 adr=04 act=8BCD307D601D54006C exp=8BCD307D601D54006C + 40241.50ns INFO [00040243] Port=0 WR @05=5C47508A551D9E9035 + 40241.50ns INFO [00040243] Port=0 RD @06 + 40241.50ns INFO [00040243] Port=1 RD @02 + 40242.50ns INFO [00040244] Port=0 RD @07 + 40243.50ns INFO [00040245] * RD COMPARE * port=0 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40243.50ns INFO [00040245] * RD COMPARE * port=1 adr=02 act=9C02232113A1914195 exp=9C02232113A1914195 + 40244.50ns INFO [00040246] * RD COMPARE * port=0 adr=07 act=524CF529AFB70206BB exp=524CF529AFB70206BB + 40244.50ns INFO [00040246] Port=0 RD @00 + 40246.50ns INFO [00040248] * RD COMPARE * port=0 adr=00 act=E302AA4BD5FBE643CD exp=E302AA4BD5FBE643CD + 40246.50ns INFO [00040248] Port=0 WR @03=795DC22B6482E5614F + 40247.50ns INFO [00040249] Port=0 RD @05 + 40248.50ns INFO [00040250] Port=0 RD @05 + 40248.50ns INFO [00040250] Port=1 RD @02 + 40249.50ns INFO [00040251] * RD COMPARE * port=0 adr=05 act=5C47508A551D9E9035 exp=5C47508A551D9E9035 + 40250.50ns INFO [00040252] * RD COMPARE * port=0 adr=05 act=5C47508A551D9E9035 exp=5C47508A551D9E9035 + 40250.50ns INFO [00040252] * RD COMPARE * port=1 adr=02 act=9C02232113A1914195 exp=9C02232113A1914195 + 40250.50ns INFO [00040252] Port=0 WR @02=E1599028FC38770134 + 40250.50ns INFO [00040252] Port=1 RD @01 + 40252.50ns INFO [00040254] * RD COMPARE * port=1 adr=01 act=662D9A6A9435BB597A exp=662D9A6A9435BB597A + 40252.50ns INFO [00040254] Port=0 WR @00=34E492B79B0E3A6F4D + 40252.50ns INFO [00040254] Port=1 RD @05 + 40253.50ns INFO [00040255] Port=1 RD @06 + 40254.50ns INFO [00040256] * RD COMPARE * port=1 adr=05 act=5C47508A551D9E9035 exp=5C47508A551D9E9035 + 40254.50ns INFO [00040256] Port=0 RD @01 + 40255.50ns INFO [00040257] * RD COMPARE * port=1 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40255.50ns INFO [00040257] Port=0 RD @06 + 40255.50ns INFO [00040257] Port=1 RD @03 + 40256.50ns INFO [00040258] * RD COMPARE * port=0 adr=01 act=662D9A6A9435BB597A exp=662D9A6A9435BB597A + 40256.50ns INFO [00040258] Port=1 RD @05 + 40257.50ns INFO [00040259] * RD COMPARE * port=0 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40257.50ns INFO [00040259] * RD COMPARE * port=1 adr=03 act=795DC22B6482E5614F exp=795DC22B6482E5614F + 40257.50ns INFO [00040259] Port=0 RD @00 + 40258.50ns INFO [00040260] * RD COMPARE * port=1 adr=05 act=5C47508A551D9E9035 exp=5C47508A551D9E9035 + 40258.50ns INFO [00040260] Port=0 RD @07 + 40259.50ns INFO [00040261] * RD COMPARE * port=0 adr=00 act=34E492B79B0E3A6F4D exp=34E492B79B0E3A6F4D + 40259.50ns INFO [00040261] Port=1 RD @01 + 40260.50ns INFO [00040262] * RD COMPARE * port=0 adr=07 act=524CF529AFB70206BB exp=524CF529AFB70206BB + 40260.50ns INFO [00040262] Port=0 WR @02=175421081AA9639D4F + 40260.50ns INFO [00040262] Port=0 RD @06 + 40261.50ns INFO [00040263] * RD COMPARE * port=1 adr=01 act=662D9A6A9435BB597A exp=662D9A6A9435BB597A + 40261.50ns INFO [00040263] Port=0 WR @07=482FB3E0F2474453DB + 40261.50ns INFO [00040263] Port=0 RD @02 + 40261.50ns INFO [00040263] Port=1 RD @00 + 40262.50ns INFO [00040264] * RD COMPARE * port=0 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40262.50ns INFO [00040264] Port=0 WR @01=7A7C1AB579ED04D802 + 40263.50ns INFO [00040265] * RD COMPARE * port=0 adr=02 act=175421081AA9639D4F exp=175421081AA9639D4F + 40263.50ns INFO [00040265] * RD COMPARE * port=1 adr=00 act=34E492B79B0E3A6F4D exp=34E492B79B0E3A6F4D + 40264.50ns INFO [00040266] Port=0 WR @04=BE6478E20783656D63 + 40264.50ns INFO [00040266] Port=1 RD @02 + 40266.50ns INFO [00040268] * RD COMPARE * port=1 adr=02 act=175421081AA9639D4F exp=175421081AA9639D4F + 40266.50ns INFO [00040268] Port=0 RD @03 + 40266.50ns INFO [00040268] Port=1 RD @00 + 40267.50ns INFO [00040269] Port=1 RD @00 + 40268.50ns INFO [00040270] * RD COMPARE * port=0 adr=03 act=795DC22B6482E5614F exp=795DC22B6482E5614F + 40268.50ns INFO [00040270] * RD COMPARE * port=1 adr=00 act=34E492B79B0E3A6F4D exp=34E492B79B0E3A6F4D + 40268.50ns INFO [00040270] Port=0 RD @01 + 40268.50ns INFO [00040270] Port=1 RD @05 + 40269.50ns INFO [00040271] * RD COMPARE * port=1 adr=00 act=34E492B79B0E3A6F4D exp=34E492B79B0E3A6F4D + 40270.50ns INFO [00040272] * RD COMPARE * port=0 adr=01 act=7A7C1AB579ED04D802 exp=7A7C1AB579ED04D802 + 40270.50ns INFO [00040272] * RD COMPARE * port=1 adr=05 act=5C47508A551D9E9035 exp=5C47508A551D9E9035 + 40270.50ns INFO [00040272] Port=1 RD @02 + 40271.50ns INFO [00040273] Port=0 WR @04=D6468E0E3F4BC8081A + 40271.50ns INFO [00040273] Port=1 RD @06 + 40272.50ns INFO [00040274] * RD COMPARE * port=1 adr=02 act=175421081AA9639D4F exp=175421081AA9639D4F + 40272.50ns INFO [00040274] Port=1 RD @00 + 40273.50ns INFO [00040275] * RD COMPARE * port=1 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40273.50ns INFO [00040275] Port=0 RD @03 + 40274.50ns INFO [00040276] * RD COMPARE * port=1 adr=00 act=34E492B79B0E3A6F4D exp=34E492B79B0E3A6F4D + 40274.50ns INFO [00040276] Port=0 RD @01 + 40275.50ns INFO [00040277] * RD COMPARE * port=0 adr=03 act=795DC22B6482E5614F exp=795DC22B6482E5614F + 40275.50ns INFO [00040277] Port=0 WR @07=9952884579AD547CA3 + 40276.50ns INFO [00040278] * RD COMPARE * port=0 adr=01 act=7A7C1AB579ED04D802 exp=7A7C1AB579ED04D802 + 40277.50ns INFO [00040279] Port=0 WR @03=FE33550845E7C93DC9 + 40278.50ns INFO [00040280] Port=1 RD @07 + 40279.50ns INFO [00040281] Port=0 WR @01=EE004971FFE5813834 + 40279.50ns INFO [00040281] Port=0 RD @07 + 40279.50ns INFO [00040281] Port=1 RD @02 + 40280.50ns INFO [00040282] * RD COMPARE * port=1 adr=07 act=9952884579AD547CA3 exp=9952884579AD547CA3 + 40280.50ns INFO [00040282] Port=0 WR @03=EB7E995AFA590D38DB + 40280.50ns INFO [00040282] Port=0 RD @07 + 40280.50ns INFO [00040282] Port=1 RD @02 + 40281.50ns INFO [00040283] * RD COMPARE * port=0 adr=07 act=9952884579AD547CA3 exp=9952884579AD547CA3 + 40281.50ns INFO [00040283] * RD COMPARE * port=1 adr=02 act=175421081AA9639D4F exp=175421081AA9639D4F + 40282.50ns INFO [00040284] * RD COMPARE * port=0 adr=07 act=9952884579AD547CA3 exp=9952884579AD547CA3 + 40282.50ns INFO [00040284] * RD COMPARE * port=1 adr=02 act=175421081AA9639D4F exp=175421081AA9639D4F + 40283.50ns INFO [00040285] Port=0 WR @07=F02FE121367B3ED14E + 40283.50ns INFO [00040285] Port=1 RD @03 + 40284.50ns INFO [00040286] Port=0 WR @04=F72554E22A5076E4D4 + 40284.50ns INFO [00040286] Port=0 RD @05 + 40284.50ns INFO [00040286] Port=1 RD @05 + 40285.50ns INFO [00040287] * RD COMPARE * port=1 adr=03 act=EB7E995AFA590D38DB exp=EB7E995AFA590D38DB + 40285.50ns INFO [00040287] Port=0 RD @00 + 40286.50ns INFO [00040288] * RD COMPARE * port=0 adr=05 act=5C47508A551D9E9035 exp=5C47508A551D9E9035 + 40286.50ns INFO [00040288] * RD COMPARE * port=1 adr=05 act=5C47508A551D9E9035 exp=5C47508A551D9E9035 + 40286.50ns INFO [00040288] Port=1 RD @00 + 40287.50ns INFO [00040289] * RD COMPARE * port=0 adr=00 act=34E492B79B0E3A6F4D exp=34E492B79B0E3A6F4D + 40287.50ns INFO [00040289] Port=0 WR @04=A82CB5DC8D18CAC99A + 40288.50ns INFO [00040290] * RD COMPARE * port=1 adr=00 act=34E492B79B0E3A6F4D exp=34E492B79B0E3A6F4D + 40288.50ns INFO [00040290] Port=0 RD @02 + 40290.50ns INFO [00040292] * RD COMPARE * port=0 adr=02 act=175421081AA9639D4F exp=175421081AA9639D4F + 40291.50ns INFO [00040293] Port=1 RD @01 + 40293.50ns INFO [00040295] * RD COMPARE * port=1 adr=01 act=EE004971FFE5813834 exp=EE004971FFE5813834 + 40293.50ns INFO [00040295] Port=0 WR @00=F0880EBB006048F09C + 40293.50ns INFO [00040295] Port=1 RD @06 + 40294.50ns INFO [00040296] Port=1 RD @01 + 40295.50ns INFO [00040297] * RD COMPARE * port=1 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40296.50ns INFO [00040298] * RD COMPARE * port=1 adr=01 act=EE004971FFE5813834 exp=EE004971FFE5813834 + 40298.00ns INFO [00040300] [00040300] ...tick... + 40298.50ns INFO [00040300] Port=0 WR @04=1F6AC717532F0B43F4 + 40300.50ns INFO [00040302] Port=0 WR @01=7E354D13747DF19189 + 40301.50ns INFO [00040303] Port=0 WR @04=19B1B5C35B1E680F70 + 40301.50ns INFO [00040303] Port=1 RD @06 + 40302.50ns INFO [00040304] Port=1 RD @00 + 40303.50ns INFO [00040305] * RD COMPARE * port=1 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40303.50ns INFO [00040305] Port=0 WR @07=9BF1ADCE72F938870C + 40304.50ns INFO [00040306] * RD COMPARE * port=1 adr=00 act=F0880EBB006048F09C exp=F0880EBB006048F09C + 40304.50ns INFO [00040306] Port=0 WR @02=15021B3BAE3E750F32 + 40304.50ns INFO [00040306] Port=1 RD @00 + 40305.50ns INFO [00040307] Port=0 WR @04=CB3CF461C239E5914D + 40305.50ns INFO [00040307] Port=0 RD @00 + 40306.50ns INFO [00040308] * RD COMPARE * port=1 adr=00 act=F0880EBB006048F09C exp=F0880EBB006048F09C + 40306.50ns INFO [00040308] Port=1 RD @02 + 40307.50ns INFO [00040309] * RD COMPARE * port=0 adr=00 act=F0880EBB006048F09C exp=F0880EBB006048F09C + 40307.50ns INFO [00040309] Port=0 WR @07=7C4A65DB318D0D0AA5 + 40307.50ns INFO [00040309] Port=1 RD @03 + 40308.50ns INFO [00040310] * RD COMPARE * port=1 adr=02 act=15021B3BAE3E750F32 exp=15021B3BAE3E750F32 + 40309.50ns INFO [00040311] * RD COMPARE * port=1 adr=03 act=EB7E995AFA590D38DB exp=EB7E995AFA590D38DB + 40309.50ns INFO [00040311] Port=0 WR @00=E5A5FA92B579C88DD7 + 40312.50ns INFO [00040314] Port=0 WR @07=FE8769435B4E7FA0B5 + 40312.50ns INFO [00040314] Port=0 RD @06 + 40313.50ns INFO [00040315] Port=1 RD @07 + 40314.50ns INFO [00040316] * RD COMPARE * port=0 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40314.50ns INFO [00040316] Port=0 WR @05=A31581C62D95767B11 + 40315.50ns INFO [00040317] * RD COMPARE * port=1 adr=07 act=FE8769435B4E7FA0B5 exp=FE8769435B4E7FA0B5 + 40315.50ns INFO [00040317] Port=0 RD @03 + 40315.50ns INFO [00040317] Port=1 RD @02 + 40316.50ns INFO [00040318] Port=0 WR @01=D2C710509D1ECBD443 + 40317.50ns INFO [00040319] * RD COMPARE * port=0 adr=03 act=EB7E995AFA590D38DB exp=EB7E995AFA590D38DB + 40317.50ns INFO [00040319] * RD COMPARE * port=1 adr=02 act=15021B3BAE3E750F32 exp=15021B3BAE3E750F32 + 40317.50ns INFO [00040319] Port=0 RD @05 + 40317.50ns INFO [00040319] Port=1 RD @00 + 40318.50ns INFO [00040320] Port=0 RD @06 + 40318.50ns INFO [00040320] Port=1 RD @07 + 40319.50ns INFO [00040321] * RD COMPARE * port=0 adr=05 act=A31581C62D95767B11 exp=A31581C62D95767B11 + 40319.50ns INFO [00040321] * RD COMPARE * port=1 adr=00 act=E5A5FA92B579C88DD7 exp=E5A5FA92B579C88DD7 + 40319.50ns INFO [00040321] Port=1 RD @01 + 40320.50ns INFO [00040322] * RD COMPARE * port=0 adr=06 act=22E42DB2D91F7E1B8E exp=22E42DB2D91F7E1B8E + 40320.50ns INFO [00040322] * RD COMPARE * port=1 adr=07 act=FE8769435B4E7FA0B5 exp=FE8769435B4E7FA0B5 + 40320.50ns INFO [00040322] Port=0 WR @00=AD9680C7580CE9DD1A + 40320.50ns INFO [00040322] Port=0 RD @04 + 40321.50ns INFO [00040323] * RD COMPARE * port=1 adr=01 act=D2C710509D1ECBD443 exp=D2C710509D1ECBD443 + 40321.50ns INFO [00040323] Port=0 RD @05 + 40322.50ns INFO [00040324] * RD COMPARE * port=0 adr=04 act=CB3CF461C239E5914D exp=CB3CF461C239E5914D + 40322.50ns INFO [00040324] Port=0 RD @01 + 40323.50ns INFO [00040325] * RD COMPARE * port=0 adr=05 act=A31581C62D95767B11 exp=A31581C62D95767B11 + 40323.50ns INFO [00040325] Port=0 RD @04 + 40324.50ns INFO [00040326] * RD COMPARE * port=0 adr=01 act=D2C710509D1ECBD443 exp=D2C710509D1ECBD443 + 40324.50ns INFO [00040326] Port=0 RD @05 + 40325.50ns INFO [00040327] * RD COMPARE * port=0 adr=04 act=CB3CF461C239E5914D exp=CB3CF461C239E5914D + 40325.50ns INFO [00040327] Port=0 RD @03 + 40326.50ns INFO [00040328] * RD COMPARE * port=0 adr=05 act=A31581C62D95767B11 exp=A31581C62D95767B11 + 40327.50ns INFO [00040329] * RD COMPARE * port=0 adr=03 act=EB7E995AFA590D38DB exp=EB7E995AFA590D38DB + 40327.50ns INFO [00040329] Port=1 RD @04 + 40329.50ns INFO [00040331] * RD COMPARE * port=1 adr=04 act=CB3CF461C239E5914D exp=CB3CF461C239E5914D + 40329.50ns INFO [00040331] Port=0 WR @03=725FB55113D39D35EC + 40330.50ns INFO [00040332] Port=0 WR @07=FD571BE73B232C96F4 + 40330.50ns INFO [00040332] Port=0 RD @00 + 40331.50ns INFO [00040333] Port=0 WR @07=30E7046C67536DCFDF + 40332.50ns INFO [00040334] * RD COMPARE * port=0 adr=00 act=AD9680C7580CE9DD1A exp=AD9680C7580CE9DD1A + 40332.50ns INFO [00040334] Port=0 RD @03 + 40334.50ns INFO [00040336] * RD COMPARE * port=0 adr=03 act=725FB55113D39D35EC exp=725FB55113D39D35EC + 40334.50ns INFO [00040336] Port=0 WR @04=E4727E922D57B2070B + 40335.50ns INFO [00040337] Port=0 WR @06=E72165E8C7E6A5215D + 40335.50ns INFO [00040337] Port=0 RD @01 + 40335.50ns INFO [00040337] Port=1 RD @02 + 40336.50ns INFO [00040338] Port=0 RD @02 + 40337.50ns INFO [00040339] * RD COMPARE * port=0 adr=01 act=D2C710509D1ECBD443 exp=D2C710509D1ECBD443 + 40337.50ns INFO [00040339] * RD COMPARE * port=1 adr=02 act=15021B3BAE3E750F32 exp=15021B3BAE3E750F32 + 40337.50ns INFO [00040339] Port=0 RD @03 + 40338.50ns INFO [00040340] * RD COMPARE * port=0 adr=02 act=15021B3BAE3E750F32 exp=15021B3BAE3E750F32 + 40338.50ns INFO [00040340] Port=0 RD @02 + 40339.50ns INFO [00040341] * RD COMPARE * port=0 adr=03 act=725FB55113D39D35EC exp=725FB55113D39D35EC + 40339.50ns INFO [00040341] Port=0 WR @02=6AE6E8E42507664E56 + 40340.50ns INFO [00040342] * RD COMPARE * port=0 adr=02 act=15021B3BAE3E750F32 exp=15021B3BAE3E750F32 + 40341.50ns INFO [00040343] Port=0 WR @00=96B4F8F801D517929B + 40343.50ns INFO [00040345] Port=0 WR @00=35678ECF18EC5F544D + 40344.50ns INFO [00040346] Port=0 RD @06 + 40344.50ns INFO [00040346] Port=1 RD @03 + 40345.50ns INFO [00040347] Port=0 WR @04=1C4F82975AAFCDB426 + 40345.50ns INFO [00040347] Port=1 RD @01 + 40346.50ns INFO [00040348] * RD COMPARE * port=0 adr=06 act=E72165E8C7E6A5215D exp=E72165E8C7E6A5215D + 40346.50ns INFO [00040348] * RD COMPARE * port=1 adr=03 act=725FB55113D39D35EC exp=725FB55113D39D35EC + 40346.50ns INFO [00040348] Port=0 RD @00 + 40347.50ns INFO [00040349] * RD COMPARE * port=1 adr=01 act=D2C710509D1ECBD443 exp=D2C710509D1ECBD443 + 40347.50ns INFO [00040349] Port=0 WR @04=2F425BEA6E62E9CE09 + 40347.50ns INFO [00040349] Port=1 RD @03 + 40348.50ns INFO [00040350] * RD COMPARE * port=0 adr=00 act=35678ECF18EC5F544D exp=35678ECF18EC5F544D + 40349.50ns INFO [00040351] * RD COMPARE * port=1 adr=03 act=725FB55113D39D35EC exp=725FB55113D39D35EC + 40349.50ns INFO [00040351] Port=0 WR @02=68124947600EE9FB84 + 40349.50ns INFO [00040351] Port=1 RD @06 + 40350.50ns INFO [00040352] Port=1 RD @07 + 40351.50ns INFO [00040353] * RD COMPARE * port=1 adr=06 act=E72165E8C7E6A5215D exp=E72165E8C7E6A5215D + 40351.50ns INFO [00040353] Port=0 WR @07=3A0A2BAED97AA905C4 + 40351.50ns INFO [00040353] Port=0 RD @00 + 40352.50ns INFO [00040354] * RD COMPARE * port=1 adr=07 act=30E7046C67536DCFDF exp=30E7046C67536DCFDF + 40352.50ns INFO [00040354] Port=0 RD @05 + 40353.50ns INFO [00040355] * RD COMPARE * port=0 adr=00 act=35678ECF18EC5F544D exp=35678ECF18EC5F544D + 40353.50ns INFO [00040355] Port=0 WR @06=EF17403D4CA90B0297 + 40353.50ns INFO [00040355] Port=1 RD @07 + 40354.50ns INFO [00040356] * RD COMPARE * port=0 adr=05 act=A31581C62D95767B11 exp=A31581C62D95767B11 + 40354.50ns INFO [00040356] Port=0 WR @00=BF4F68010B852D4F9F + 40355.50ns INFO [00040357] * RD COMPARE * port=1 adr=07 act=3A0A2BAED97AA905C4 exp=3A0A2BAED97AA905C4 + 40355.50ns INFO [00040357] Port=0 WR @01=85215439C4B4738288 + 40355.50ns INFO [00040357] Port=0 RD @05 + 40357.50ns INFO [00040359] * RD COMPARE * port=0 adr=05 act=A31581C62D95767B11 exp=A31581C62D95767B11 + 40359.50ns INFO [00040361] Port=0 WR @07=B50C2DAD2E98E9D2CD + 40361.50ns INFO [00040363] Port=1 RD @04 + 40362.50ns INFO [00040364] Port=0 RD @07 + 40363.50ns INFO [00040365] * RD COMPARE * port=1 adr=04 act=2F425BEA6E62E9CE09 exp=2F425BEA6E62E9CE09 + 40363.50ns INFO [00040365] Port=0 RD @05 + 40363.50ns INFO [00040365] Port=1 RD @04 + 40364.50ns INFO [00040366] * RD COMPARE * port=0 adr=07 act=B50C2DAD2E98E9D2CD exp=B50C2DAD2E98E9D2CD + 40365.50ns INFO [00040367] * RD COMPARE * port=0 adr=05 act=A31581C62D95767B11 exp=A31581C62D95767B11 + 40365.50ns INFO [00040367] * RD COMPARE * port=1 adr=04 act=2F425BEA6E62E9CE09 exp=2F425BEA6E62E9CE09 + 40365.50ns INFO [00040367] Port=1 RD @04 + 40366.50ns INFO [00040368] Port=0 WR @00=36AE8EA86933A8B3ED + 40366.50ns INFO [00040368] Port=0 RD @06 + 40367.50ns INFO [00040369] * RD COMPARE * port=1 adr=04 act=2F425BEA6E62E9CE09 exp=2F425BEA6E62E9CE09 + 40367.50ns INFO [00040369] Port=1 RD @07 + 40368.50ns INFO [00040370] * RD COMPARE * port=0 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40368.50ns INFO [00040370] Port=0 RD @05 + 40369.50ns INFO [00040371] * RD COMPARE * port=1 adr=07 act=B50C2DAD2E98E9D2CD exp=B50C2DAD2E98E9D2CD + 40369.50ns INFO [00040371] Port=0 WR @00=62A232EFBF3263A44C + 40370.50ns INFO [00040372] * RD COMPARE * port=0 adr=05 act=A31581C62D95767B11 exp=A31581C62D95767B11 + 40370.50ns INFO [00040372] Port=0 WR @04=A08E28F001FF675AE7 + 40371.50ns INFO [00040373] Port=0 RD @02 + 40371.50ns INFO [00040373] Port=1 RD @05 + 40372.50ns INFO [00040374] Port=0 WR @02=3538207900AB365F64 + 40372.50ns INFO [00040374] Port=0 RD @03 + 40373.50ns INFO [00040375] * RD COMPARE * port=0 adr=02 act=68124947600EE9FB84 exp=68124947600EE9FB84 + 40373.50ns INFO [00040375] * RD COMPARE * port=1 adr=05 act=A31581C62D95767B11 exp=A31581C62D95767B11 + 40373.50ns INFO [00040375] Port=0 WR @00=EA1C32E718B44AF8ED + 40373.50ns INFO [00040375] Port=1 RD @07 + 40374.50ns INFO [00040376] * RD COMPARE * port=0 adr=03 act=725FB55113D39D35EC exp=725FB55113D39D35EC + 40374.50ns INFO [00040376] Port=0 WR @01=B17A5F7231F26F3CF5 + 40374.50ns INFO [00040376] Port=1 RD @06 + 40375.50ns INFO [00040377] * RD COMPARE * port=1 adr=07 act=B50C2DAD2E98E9D2CD exp=B50C2DAD2E98E9D2CD + 40375.50ns INFO [00040377] Port=0 WR @05=738379E0921FC1F168 + 40376.50ns INFO [00040378] * RD COMPARE * port=1 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40376.50ns INFO [00040378] Port=0 WR @03=EBC4EE70951979A625 + 40377.50ns INFO [00040379] Port=0 RD @03 + 40377.50ns INFO [00040379] Port=1 RD @02 + 40378.50ns INFO [00040380] Port=0 WR @07=A0B7C7B088C3E4AA98 + 40378.50ns INFO [00040380] Port=0 RD @06 + 40378.50ns INFO [00040380] Port=1 RD @06 + 40379.50ns INFO [00040381] * RD COMPARE * port=0 adr=03 act=EBC4EE70951979A625 exp=EBC4EE70951979A625 + 40379.50ns INFO [00040381] * RD COMPARE * port=1 adr=02 act=3538207900AB365F64 exp=3538207900AB365F64 + 40379.50ns INFO [00040381] Port=0 WR @01=0B267F136176D594ED + 40379.50ns INFO [00040381] Port=0 RD @06 + 40380.50ns INFO [00040382] * RD COMPARE * port=0 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40380.50ns INFO [00040382] * RD COMPARE * port=1 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40380.50ns INFO [00040382] Port=0 RD @01 + 40381.50ns INFO [00040383] * RD COMPARE * port=0 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40381.50ns INFO [00040383] Port=0 RD @04 + 40382.50ns INFO [00040384] * RD COMPARE * port=0 adr=01 act=0B267F136176D594ED exp=0B267F136176D594ED + 40382.50ns INFO [00040384] Port=0 WR @07=384C51DC584BD06645 + 40383.50ns INFO [00040385] * RD COMPARE * port=0 adr=04 act=A08E28F001FF675AE7 exp=A08E28F001FF675AE7 + 40383.50ns INFO [00040385] Port=0 WR @01=6529600C2B1ED57F2D + 40383.50ns INFO [00040385] Port=0 RD @04 + 40383.50ns INFO [00040385] Port=1 RD @05 + 40384.50ns INFO [00040386] Port=1 RD @03 + 40385.50ns INFO [00040387] * RD COMPARE * port=0 adr=04 act=A08E28F001FF675AE7 exp=A08E28F001FF675AE7 + 40385.50ns INFO [00040387] * RD COMPARE * port=1 adr=05 act=738379E0921FC1F168 exp=738379E0921FC1F168 + 40385.50ns INFO [00040387] Port=0 RD @05 + 40386.50ns INFO [00040388] * RD COMPARE * port=1 adr=03 act=EBC4EE70951979A625 exp=EBC4EE70951979A625 + 40386.50ns INFO [00040388] Port=0 RD @04 + 40387.50ns INFO [00040389] * RD COMPARE * port=0 adr=05 act=738379E0921FC1F168 exp=738379E0921FC1F168 + 40388.50ns INFO [00040390] * RD COMPARE * port=0 adr=04 act=A08E28F001FF675AE7 exp=A08E28F001FF675AE7 + 40388.50ns INFO [00040390] Port=0 WR @04=17FF0FF175F16C539E + 40388.50ns INFO [00040390] Port=0 RD @06 + 40389.50ns INFO [00040391] Port=0 RD @05 + 40389.50ns INFO [00040391] Port=1 RD @00 + 40390.50ns INFO [00040392] * RD COMPARE * port=0 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40391.50ns INFO [00040393] * RD COMPARE * port=0 adr=05 act=738379E0921FC1F168 exp=738379E0921FC1F168 + 40391.50ns INFO [00040393] * RD COMPARE * port=1 adr=00 act=EA1C32E718B44AF8ED exp=EA1C32E718B44AF8ED + 40391.50ns INFO [00040393] Port=0 RD @03 + 40392.50ns INFO [00040394] Port=0 RD @04 + 40392.50ns INFO [00040394] Port=1 RD @07 + 40393.50ns INFO [00040395] * RD COMPARE * port=0 adr=03 act=EBC4EE70951979A625 exp=EBC4EE70951979A625 + 40393.50ns INFO [00040395] Port=0 RD @02 + 40394.50ns INFO [00040396] * RD COMPARE * port=0 adr=04 act=17FF0FF175F16C539E exp=17FF0FF175F16C539E + 40394.50ns INFO [00040396] * RD COMPARE * port=1 adr=07 act=384C51DC584BD06645 exp=384C51DC584BD06645 + 40395.50ns INFO [00040397] * RD COMPARE * port=0 adr=02 act=3538207900AB365F64 exp=3538207900AB365F64 + 40396.50ns INFO [00040398] Port=0 RD @04 + 40396.50ns INFO [00040398] Port=1 RD @05 + 40397.50ns INFO [00040399] Port=0 WR @04=201781DB0F43D63BDA + 40397.50ns INFO [00040399] Port=0 RD @01 + 40397.50ns INFO [00040399] Port=1 RD @03 + 40398.00ns INFO [00040400] [00040400] ...tick... + 40398.50ns INFO [00040400] * RD COMPARE * port=0 adr=04 act=17FF0FF175F16C539E exp=17FF0FF175F16C539E + 40398.50ns INFO [00040400] * RD COMPARE * port=1 adr=05 act=738379E0921FC1F168 exp=738379E0921FC1F168 + 40398.50ns INFO [00040400] Port=0 RD @07 + 40399.50ns INFO [00040401] * RD COMPARE * port=0 adr=01 act=6529600C2B1ED57F2D exp=6529600C2B1ED57F2D + 40399.50ns INFO [00040401] * RD COMPARE * port=1 adr=03 act=EBC4EE70951979A625 exp=EBC4EE70951979A625 + 40399.50ns INFO [00040401] Port=0 WR @00=8E6FA0E5C7044909EE + 40400.50ns INFO [00040402] * RD COMPARE * port=0 adr=07 act=384C51DC584BD06645 exp=384C51DC584BD06645 + 40400.50ns INFO [00040402] Port=0 RD @06 + 40401.50ns INFO [00040403] Port=1 RD @05 + 40402.50ns INFO [00040404] * RD COMPARE * port=0 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40402.50ns INFO [00040404] Port=0 RD @03 + 40403.50ns INFO [00040405] * RD COMPARE * port=1 adr=05 act=738379E0921FC1F168 exp=738379E0921FC1F168 + 40403.50ns INFO [00040405] Port=0 WR @05=3F97D0026FEBFFEED6 + 40404.50ns INFO [00040406] * RD COMPARE * port=0 adr=03 act=EBC4EE70951979A625 exp=EBC4EE70951979A625 + 40405.50ns INFO [00040407] Port=0 RD @07 + 40405.50ns INFO [00040407] Port=1 RD @07 + 40407.50ns INFO [00040409] * RD COMPARE * port=0 adr=07 act=384C51DC584BD06645 exp=384C51DC584BD06645 + 40407.50ns INFO [00040409] * RD COMPARE * port=1 adr=07 act=384C51DC584BD06645 exp=384C51DC584BD06645 + 40407.50ns INFO [00040409] Port=0 WR @03=7BD3AEC53A690C6E2F + 40407.50ns INFO [00040409] Port=0 RD @00 + 40409.50ns INFO [00040411] * RD COMPARE * port=0 adr=00 act=8E6FA0E5C7044909EE exp=8E6FA0E5C7044909EE + 40409.50ns INFO [00040411] Port=0 WR @02=0F799D91F9E69F6893 + 40409.50ns INFO [00040411] Port=0 RD @00 + 40409.50ns INFO [00040411] Port=1 RD @01 + 40410.50ns INFO [00040412] Port=1 RD @01 + 40411.50ns INFO [00040413] * RD COMPARE * port=0 adr=00 act=8E6FA0E5C7044909EE exp=8E6FA0E5C7044909EE + 40411.50ns INFO [00040413] * RD COMPARE * port=1 adr=01 act=6529600C2B1ED57F2D exp=6529600C2B1ED57F2D + 40411.50ns INFO [00040413] Port=0 WR @07=6C08814B3F657D6B7E + 40411.50ns INFO [00040413] Port=1 RD @02 + 40412.50ns INFO [00040414] * RD COMPARE * port=1 adr=01 act=6529600C2B1ED57F2D exp=6529600C2B1ED57F2D + 40412.50ns INFO [00040414] Port=0 RD @00 + 40413.50ns INFO [00040415] * RD COMPARE * port=1 adr=02 act=0F799D91F9E69F6893 exp=0F799D91F9E69F6893 + 40413.50ns INFO [00040415] Port=0 WR @03=979C666C30AF9891AC + 40414.50ns INFO [00040416] * RD COMPARE * port=0 adr=00 act=8E6FA0E5C7044909EE exp=8E6FA0E5C7044909EE + 40416.50ns INFO [00040418] Port=0 RD @01 + 40417.50ns INFO [00040419] Port=0 WR @01=B41FB2C4C242EE44A7 + 40417.50ns INFO [00040419] Port=1 RD @03 + 40418.50ns INFO [00040420] * RD COMPARE * port=0 adr=01 act=6529600C2B1ED57F2D exp=6529600C2B1ED57F2D + 40418.50ns INFO [00040420] Port=0 RD @02 + 40418.50ns INFO [00040420] Port=1 RD @06 + 40419.50ns INFO [00040421] * RD COMPARE * port=1 adr=03 act=979C666C30AF9891AC exp=979C666C30AF9891AC + 40419.50ns INFO [00040421] Port=0 WR @02=227B29A145FA7CA12C + 40419.50ns INFO [00040421] Port=1 RD @06 + 40420.50ns INFO [00040422] * RD COMPARE * port=0 adr=02 act=0F799D91F9E69F6893 exp=0F799D91F9E69F6893 + 40420.50ns INFO [00040422] * RD COMPARE * port=1 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40420.50ns INFO [00040422] Port=0 WR @04=9EF17C7A12B21FA3A4 + 40420.50ns INFO [00040422] Port=0 RD @01 + 40421.50ns INFO [00040423] * RD COMPARE * port=1 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40421.50ns INFO [00040423] Port=1 RD @03 + 40422.50ns INFO [00040424] * RD COMPARE * port=0 adr=01 act=B41FB2C4C242EE44A7 exp=B41FB2C4C242EE44A7 + 40423.50ns INFO [00040425] * RD COMPARE * port=1 adr=03 act=979C666C30AF9891AC exp=979C666C30AF9891AC + 40423.50ns INFO [00040425] Port=1 RD @00 + 40424.50ns INFO [00040426] Port=0 RD @05 + 40424.50ns INFO [00040426] Port=1 RD @05 + 40425.50ns INFO [00040427] * RD COMPARE * port=1 adr=00 act=8E6FA0E5C7044909EE exp=8E6FA0E5C7044909EE + 40425.50ns INFO [00040427] Port=0 WR @00=E23FDAD053AD8D2906 + 40425.50ns INFO [00040427] Port=0 RD @01 + 40425.50ns INFO [00040427] Port=1 RD @02 + 40426.50ns INFO [00040428] * RD COMPARE * port=0 adr=05 act=3F97D0026FEBFFEED6 exp=3F97D0026FEBFFEED6 + 40426.50ns INFO [00040428] * RD COMPARE * port=1 adr=05 act=3F97D0026FEBFFEED6 exp=3F97D0026FEBFFEED6 + 40426.50ns INFO [00040428] Port=0 RD @02 + 40427.50ns INFO [00040429] * RD COMPARE * port=0 adr=01 act=B41FB2C4C242EE44A7 exp=B41FB2C4C242EE44A7 + 40427.50ns INFO [00040429] * RD COMPARE * port=1 adr=02 act=227B29A145FA7CA12C exp=227B29A145FA7CA12C + 40428.50ns INFO [00040430] * RD COMPARE * port=0 adr=02 act=227B29A145FA7CA12C exp=227B29A145FA7CA12C + 40429.50ns INFO [00040431] Port=0 RD @03 + 40430.50ns INFO [00040432] Port=0 WR @01=B82744E38B06DAAD11 + 40430.50ns INFO [00040432] Port=0 RD @03 + 40431.50ns INFO [00040433] * RD COMPARE * port=0 adr=03 act=979C666C30AF9891AC exp=979C666C30AF9891AC + 40431.50ns INFO [00040433] Port=1 RD @07 + 40432.50ns INFO [00040434] * RD COMPARE * port=0 adr=03 act=979C666C30AF9891AC exp=979C666C30AF9891AC + 40432.50ns INFO [00040434] Port=0 WR @00=62A26FA38EC93C0245 + 40432.50ns INFO [00040434] Port=0 RD @01 + 40432.50ns INFO [00040434] Port=1 RD @07 + 40433.50ns INFO [00040435] * RD COMPARE * port=1 adr=07 act=6C08814B3F657D6B7E exp=6C08814B3F657D6B7E + 40433.50ns INFO [00040435] Port=0 RD @02 + 40434.50ns INFO [00040436] * RD COMPARE * port=0 adr=01 act=B82744E38B06DAAD11 exp=B82744E38B06DAAD11 + 40434.50ns INFO [00040436] * RD COMPARE * port=1 adr=07 act=6C08814B3F657D6B7E exp=6C08814B3F657D6B7E + 40434.50ns INFO [00040436] Port=0 WR @05=8495F702BDE58A2043 + 40435.50ns INFO [00040437] * RD COMPARE * port=0 adr=02 act=227B29A145FA7CA12C exp=227B29A145FA7CA12C + 40435.50ns INFO [00040437] Port=0 WR @02=43B45407C9A18CF383 + 40436.50ns INFO [00040438] Port=0 WR @04=C553F6468A919FE2F2 + 40436.50ns INFO [00040438] Port=0 RD @03 + 40438.50ns INFO [00040440] * RD COMPARE * port=0 adr=03 act=979C666C30AF9891AC exp=979C666C30AF9891AC + 40438.50ns INFO [00040440] Port=1 RD @02 + 40440.50ns INFO [00040442] * RD COMPARE * port=1 adr=02 act=43B45407C9A18CF383 exp=43B45407C9A18CF383 + 40440.50ns INFO [00040442] Port=0 RD @03 + 40440.50ns INFO [00040442] Port=1 RD @06 + 40441.50ns INFO [00040443] Port=0 RD @04 + 40442.50ns INFO [00040444] * RD COMPARE * port=0 adr=03 act=979C666C30AF9891AC exp=979C666C30AF9891AC + 40442.50ns INFO [00040444] * RD COMPARE * port=1 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40443.50ns INFO [00040445] * RD COMPARE * port=0 adr=04 act=C553F6468A919FE2F2 exp=C553F6468A919FE2F2 + 40444.50ns INFO [00040446] Port=0 WR @05=9CE10386FC0F046FFE + 40444.50ns INFO [00040446] Port=0 RD @06 + 40444.50ns INFO [00040446] Port=1 RD @04 + 40445.50ns INFO [00040447] Port=0 RD @05 + 40446.50ns INFO [00040448] * RD COMPARE * port=0 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40446.50ns INFO [00040448] * RD COMPARE * port=1 adr=04 act=C553F6468A919FE2F2 exp=C553F6468A919FE2F2 + 40447.50ns INFO [00040449] * RD COMPARE * port=0 adr=05 act=9CE10386FC0F046FFE exp=9CE10386FC0F046FFE + 40448.50ns INFO [00040450] Port=0 RD @06 + 40449.50ns INFO [00040451] Port=0 RD @00 + 40450.50ns INFO [00040452] * RD COMPARE * port=0 adr=06 act=EF17403D4CA90B0297 exp=EF17403D4CA90B0297 + 40450.50ns INFO [00040452] Port=0 RD @04 + 40450.50ns INFO [00040452] Port=1 RD @07 + 40451.50ns INFO [00040453] * RD COMPARE * port=0 adr=00 act=62A26FA38EC93C0245 exp=62A26FA38EC93C0245 + 40451.50ns INFO [00040453] Port=0 WR @06=A42DA16F48938A29AB + 40452.50ns INFO [00040454] * RD COMPARE * port=0 adr=04 act=C553F6468A919FE2F2 exp=C553F6468A919FE2F2 + 40452.50ns INFO [00040454] * RD COMPARE * port=1 adr=07 act=6C08814B3F657D6B7E exp=6C08814B3F657D6B7E + 40452.50ns INFO [00040454] Port=0 RD @04 + 40452.50ns INFO [00040454] Port=1 RD @05 + 40453.50ns INFO [00040455] Port=0 RD @01 + 40454.50ns INFO [00040456] * RD COMPARE * port=0 adr=04 act=C553F6468A919FE2F2 exp=C553F6468A919FE2F2 + 40454.50ns INFO [00040456] * RD COMPARE * port=1 adr=05 act=9CE10386FC0F046FFE exp=9CE10386FC0F046FFE + 40454.50ns INFO [00040456] Port=0 RD @03 + 40455.50ns INFO [00040457] * RD COMPARE * port=0 adr=01 act=B82744E38B06DAAD11 exp=B82744E38B06DAAD11 + 40455.50ns INFO [00040457] Port=0 WR @04=E0E07997742816D249 + 40456.50ns INFO [00040458] * RD COMPARE * port=0 adr=03 act=979C666C30AF9891AC exp=979C666C30AF9891AC + 40456.50ns INFO [00040458] Port=0 RD @00 + 40458.50ns INFO [00040460] * RD COMPARE * port=0 adr=00 act=62A26FA38EC93C0245 exp=62A26FA38EC93C0245 + 40460.50ns INFO [00040462] Port=0 RD @01 + 40460.50ns INFO [00040462] Port=1 RD @04 + 40461.50ns INFO [00040463] Port=0 WR @05=E7AD2394C14D85AFDB + 40462.50ns INFO [00040464] * RD COMPARE * port=0 adr=01 act=B82744E38B06DAAD11 exp=B82744E38B06DAAD11 + 40462.50ns INFO [00040464] * RD COMPARE * port=1 adr=04 act=E0E07997742816D249 exp=E0E07997742816D249 + 40463.50ns INFO [00040465] Port=1 RD @02 + 40464.50ns INFO [00040466] Port=1 RD @06 + 40465.50ns INFO [00040467] * RD COMPARE * port=1 adr=02 act=43B45407C9A18CF383 exp=43B45407C9A18CF383 + 40465.50ns INFO [00040467] Port=0 WR @06=580378348044528811 + 40465.50ns INFO [00040467] Port=1 RD @00 + 40466.50ns INFO [00040468] * RD COMPARE * port=1 adr=06 act=A42DA16F48938A29AB exp=A42DA16F48938A29AB + 40467.50ns INFO [00040469] * RD COMPARE * port=1 adr=00 act=62A26FA38EC93C0245 exp=62A26FA38EC93C0245 + 40467.50ns INFO [00040469] Port=0 RD @06 + 40469.50ns INFO [00040471] * RD COMPARE * port=0 adr=06 act=580378348044528811 exp=580378348044528811 + 40469.50ns INFO [00040471] Port=0 WR @00=7F5F7DF90EE39D6A65 + 40470.50ns INFO [00040472] Port=0 WR @03=09D8274A15A7720536 + 40471.50ns INFO [00040473] Port=0 RD @04 + 40471.50ns INFO [00040473] Port=1 RD @00 + 40472.50ns INFO [00040474] Port=1 RD @06 + 40473.50ns INFO [00040475] * RD COMPARE * port=0 adr=04 act=E0E07997742816D249 exp=E0E07997742816D249 + 40473.50ns INFO [00040475] * RD COMPARE * port=1 adr=00 act=7F5F7DF90EE39D6A65 exp=7F5F7DF90EE39D6A65 + 40473.50ns INFO [00040475] Port=0 WR @02=F0B549103F5B3CBA1E + 40473.50ns INFO [00040475] Port=1 RD @05 + 40474.50ns INFO [00040476] * RD COMPARE * port=1 adr=06 act=580378348044528811 exp=580378348044528811 + 40474.50ns INFO [00040476] Port=1 RD @04 + 40475.50ns INFO [00040477] * RD COMPARE * port=1 adr=05 act=E7AD2394C14D85AFDB exp=E7AD2394C14D85AFDB + 40475.50ns INFO [00040477] Port=0 RD @07 + 40475.50ns INFO [00040477] Port=1 RD @05 + 40476.50ns INFO [00040478] * RD COMPARE * port=1 adr=04 act=E0E07997742816D249 exp=E0E07997742816D249 + 40477.50ns INFO [00040479] * RD COMPARE * port=0 adr=07 act=6C08814B3F657D6B7E exp=6C08814B3F657D6B7E + 40477.50ns INFO [00040479] * RD COMPARE * port=1 adr=05 act=E7AD2394C14D85AFDB exp=E7AD2394C14D85AFDB + 40478.50ns INFO [00040480] Port=0 WR @04=7741A7CAC1A999D49B + 40478.50ns INFO [00040480] Port=0 RD @05 + 40479.50ns INFO [00040481] Port=0 WR @05=02DB234789FAE29CF2 + 40479.50ns INFO [00040481] Port=1 RD @03 + 40480.50ns INFO [00040482] * RD COMPARE * port=0 adr=05 act=E7AD2394C14D85AFDB exp=E7AD2394C14D85AFDB + 40481.50ns INFO [00040483] * RD COMPARE * port=1 adr=03 act=09D8274A15A7720536 exp=09D8274A15A7720536 + 40481.50ns INFO [00040483] Port=0 WR @01=BBDECF09F43BC3A050 + 40481.50ns INFO [00040483] Port=1 RD @06 + 40482.50ns INFO [00040484] Port=0 WR @01=9B6B7B1CEF0765D78D + 40482.50ns INFO [00040484] Port=0 RD @02 + 40483.50ns INFO [00040485] * RD COMPARE * port=1 adr=06 act=580378348044528811 exp=580378348044528811 + 40484.50ns INFO [00040486] * RD COMPARE * port=0 adr=02 act=F0B549103F5B3CBA1E exp=F0B549103F5B3CBA1E + 40484.50ns INFO [00040486] Port=0 WR @00=D294D753DEA0837B8C + 40484.50ns INFO [00040486] Port=0 RD @06 + 40484.50ns INFO [00040486] Port=1 RD @01 + 40485.50ns INFO [00040487] Port=0 WR @02=97D1C72FCD3A33E1C0 + 40485.50ns INFO [00040487] Port=1 RD @04 + 40486.50ns INFO [00040488] * RD COMPARE * port=0 adr=06 act=580378348044528811 exp=580378348044528811 + 40486.50ns INFO [00040488] * RD COMPARE * port=1 adr=01 act=9B6B7B1CEF0765D78D exp=9B6B7B1CEF0765D78D + 40486.50ns INFO [00040488] Port=0 WR @04=C8BCC89769833A5C82 + 40486.50ns INFO [00040488] Port=0 RD @07 + 40487.50ns INFO [00040489] * RD COMPARE * port=1 adr=04 act=7741A7CAC1A999D49B exp=7741A7CAC1A999D49B + 40487.50ns INFO [00040489] Port=0 WR @02=F2FBCFB3AFF98E8C91 + 40487.50ns INFO [00040489] Port=0 RD @04 + 40487.50ns INFO [00040489] Port=1 RD @03 + 40488.50ns INFO [00040490] * RD COMPARE * port=0 adr=07 act=6C08814B3F657D6B7E exp=6C08814B3F657D6B7E + 40488.50ns INFO [00040490] Port=0 WR @03=B506F1E8636FCE6F0F + 40488.50ns INFO [00040490] Port=0 RD @01 + 40489.50ns INFO [00040491] * RD COMPARE * port=0 adr=04 act=C8BCC89769833A5C82 exp=C8BCC89769833A5C82 + 40489.50ns INFO [00040491] * RD COMPARE * port=1 adr=03 act=09D8274A15A7720536 exp=09D8274A15A7720536 + 40489.50ns INFO [00040491] Port=0 WR @07=7E5BF96642DF0FC550 + 40490.50ns INFO [00040492] * RD COMPARE * port=0 adr=01 act=9B6B7B1CEF0765D78D exp=9B6B7B1CEF0765D78D + 40490.50ns INFO [00040492] Port=0 WR @04=0DA924A0020580A8AF + 40490.50ns INFO [00040492] Port=0 RD @02 + 40491.50ns INFO [00040493] Port=0 RD @02 + 40491.50ns INFO [00040493] Port=1 RD @01 + 40492.50ns INFO [00040494] * RD COMPARE * port=0 adr=02 act=F2FBCFB3AFF98E8C91 exp=F2FBCFB3AFF98E8C91 + 40492.50ns INFO [00040494] Port=0 WR @05=E9615248BA27FF8A31 + 40493.50ns INFO [00040495] * RD COMPARE * port=0 adr=02 act=F2FBCFB3AFF98E8C91 exp=F2FBCFB3AFF98E8C91 + 40493.50ns INFO [00040495] * RD COMPARE * port=1 adr=01 act=9B6B7B1CEF0765D78D exp=9B6B7B1CEF0765D78D + 40493.50ns INFO [00040495] Port=0 WR @05=3425F59D3995C38D7F + 40493.50ns INFO [00040495] Port=0 RD @02 + 40494.50ns INFO [00040496] Port=1 RD @01 + 40495.50ns INFO [00040497] * RD COMPARE * port=0 adr=02 act=F2FBCFB3AFF98E8C91 exp=F2FBCFB3AFF98E8C91 + 40495.50ns INFO [00040497] Port=0 WR @06=5266B428193CC00524 + 40495.50ns INFO [00040497] Port=1 RD @03 + 40496.50ns INFO [00040498] * RD COMPARE * port=1 adr=01 act=9B6B7B1CEF0765D78D exp=9B6B7B1CEF0765D78D + 40496.50ns INFO [00040498] Port=0 WR @04=97B3758B9EA85BDE6D + 40496.50ns INFO [00040498] Port=0 RD @01 + 40496.50ns INFO [00040498] Port=1 RD @02 + 40497.50ns INFO [00040499] * RD COMPARE * port=1 adr=03 act=B506F1E8636FCE6F0F exp=B506F1E8636FCE6F0F + 40497.50ns INFO [00040499] Port=0 RD @01 + 40498.00ns INFO [00040500] [00040500] ...tick... + 40498.50ns INFO [00040500] * RD COMPARE * port=0 adr=01 act=9B6B7B1CEF0765D78D exp=9B6B7B1CEF0765D78D + 40498.50ns INFO [00040500] * RD COMPARE * port=1 adr=02 act=F2FBCFB3AFF98E8C91 exp=F2FBCFB3AFF98E8C91 + 40498.50ns INFO [00040500] Port=1 RD @00 + 40499.50ns INFO [00040501] * RD COMPARE * port=0 adr=01 act=9B6B7B1CEF0765D78D exp=9B6B7B1CEF0765D78D + 40499.50ns INFO [00040501] Port=0 WR @06=D062098B7A951C7218 + 40500.50ns INFO [00040502] * RD COMPARE * port=1 adr=00 act=D294D753DEA0837B8C exp=D294D753DEA0837B8C + 40502.50ns INFO [00040504] Port=0 WR @05=295DC1ECDDDCA0AABB + 40502.50ns INFO [00040504] Port=1 RD @01 + 40503.50ns INFO [00040505] Port=0 WR @02=54CC332F4E74EC2ECC + 40503.50ns INFO [00040505] Port=0 RD @01 + 40504.50ns INFO [00040506] * RD COMPARE * port=1 adr=01 act=9B6B7B1CEF0765D78D exp=9B6B7B1CEF0765D78D + 40505.50ns INFO [00040507] * RD COMPARE * port=0 adr=01 act=9B6B7B1CEF0765D78D exp=9B6B7B1CEF0765D78D + 40505.50ns INFO [00040507] Port=0 WR @00=80F15DD49FF8DF2E6F + 40505.50ns INFO [00040507] Port=1 RD @06 + 40506.50ns INFO [00040508] Port=1 RD @01 + 40507.50ns INFO [00040509] * RD COMPARE * port=1 adr=06 act=D062098B7A951C7218 exp=D062098B7A951C7218 + 40507.50ns INFO [00040509] Port=0 RD @07 + 40508.50ns INFO [00040510] * RD COMPARE * port=1 adr=01 act=9B6B7B1CEF0765D78D exp=9B6B7B1CEF0765D78D + 40509.50ns INFO [00040511] * RD COMPARE * port=0 adr=07 act=7E5BF96642DF0FC550 exp=7E5BF96642DF0FC550 + 40509.50ns INFO [00040511] Port=0 WR @01=35BC59694163E87EA0 + 40509.50ns INFO [00040511] Port=0 RD @06 + 40511.50ns INFO [00040513] * RD COMPARE * port=0 adr=06 act=D062098B7A951C7218 exp=D062098B7A951C7218 + 40512.50ns INFO [00040514] Port=1 RD @07 + 40513.50ns INFO [00040515] Port=0 RD @03 + 40514.50ns INFO [00040516] * RD COMPARE * port=1 adr=07 act=7E5BF96642DF0FC550 exp=7E5BF96642DF0FC550 + 40514.50ns INFO [00040516] Port=0 WR @07=F836D8CEABF7546420 + 40514.50ns INFO [00040516] Port=0 RD @04 + 40514.50ns INFO [00040516] Port=1 RD @06 + 40515.50ns INFO [00040517] * RD COMPARE * port=0 adr=03 act=B506F1E8636FCE6F0F exp=B506F1E8636FCE6F0F + 40515.50ns INFO [00040517] Port=0 RD @00 + 40516.50ns INFO [00040518] * RD COMPARE * port=0 adr=04 act=97B3758B9EA85BDE6D exp=97B3758B9EA85BDE6D + 40516.50ns INFO [00040518] * RD COMPARE * port=1 adr=06 act=D062098B7A951C7218 exp=D062098B7A951C7218 + 40516.50ns INFO [00040518] Port=0 RD @06 + 40517.50ns INFO [00040519] * RD COMPARE * port=0 adr=00 act=80F15DD49FF8DF2E6F exp=80F15DD49FF8DF2E6F + 40517.50ns INFO [00040519] Port=0 WR @00=701E752CAEABC7D3C2 + 40518.50ns INFO [00040520] * RD COMPARE * port=0 adr=06 act=D062098B7A951C7218 exp=D062098B7A951C7218 + 40518.50ns INFO [00040520] Port=1 RD @02 + 40519.50ns INFO [00040521] Port=1 RD @03 + 40520.50ns INFO [00040522] * RD COMPARE * port=1 adr=02 act=54CC332F4E74EC2ECC exp=54CC332F4E74EC2ECC + 40520.50ns INFO [00040522] Port=0 RD @01 + 40521.50ns INFO [00040523] * RD COMPARE * port=1 adr=03 act=B506F1E8636FCE6F0F exp=B506F1E8636FCE6F0F + 40521.50ns INFO [00040523] Port=0 RD @04 + 40522.50ns INFO [00040524] * RD COMPARE * port=0 adr=01 act=35BC59694163E87EA0 exp=35BC59694163E87EA0 + 40522.50ns INFO [00040524] Port=0 RD @04 + 40523.50ns INFO [00040525] * RD COMPARE * port=0 adr=04 act=97B3758B9EA85BDE6D exp=97B3758B9EA85BDE6D + 40523.50ns INFO [00040525] Port=0 RD @06 + 40523.50ns INFO [00040525] Port=1 RD @07 + 40524.50ns INFO [00040526] * RD COMPARE * port=0 adr=04 act=97B3758B9EA85BDE6D exp=97B3758B9EA85BDE6D + 40524.50ns INFO [00040526] Port=0 WR @04=1200C3D072E0E696DC + 40524.50ns INFO [00040526] Port=0 RD @02 + 40525.50ns INFO [00040527] * RD COMPARE * port=0 adr=06 act=D062098B7A951C7218 exp=D062098B7A951C7218 + 40525.50ns INFO [00040527] * RD COMPARE * port=1 adr=07 act=F836D8CEABF7546420 exp=F836D8CEABF7546420 + 40525.50ns INFO [00040527] Port=0 WR @02=E05E51BC3982119F76 + 40526.50ns INFO [00040528] * RD COMPARE * port=0 adr=02 act=54CC332F4E74EC2ECC exp=54CC332F4E74EC2ECC + 40527.50ns INFO [00040529] Port=0 WR @04=2E862435B99F84FCB5 + 40527.50ns INFO [00040529] Port=0 RD @02 + 40528.50ns INFO [00040530] Port=0 WR @05=18B07FEAF2DA236BD4 + 40528.50ns INFO [00040530] Port=0 RD @04 + 40528.50ns INFO [00040530] Port=1 RD @06 + 40529.50ns INFO [00040531] * RD COMPARE * port=0 adr=02 act=E05E51BC3982119F76 exp=E05E51BC3982119F76 + 40530.50ns INFO [00040532] * RD COMPARE * port=0 adr=04 act=2E862435B99F84FCB5 exp=2E862435B99F84FCB5 + 40530.50ns INFO [00040532] * RD COMPARE * port=1 adr=06 act=D062098B7A951C7218 exp=D062098B7A951C7218 + 40530.50ns INFO [00040532] Port=1 RD @00 + 40532.50ns INFO [00040534] * RD COMPARE * port=1 adr=00 act=701E752CAEABC7D3C2 exp=701E752CAEABC7D3C2 + 40533.50ns INFO [00040535] Port=0 RD @05 + 40533.50ns INFO [00040535] Port=1 RD @02 + 40534.50ns INFO [00040536] Port=1 RD @05 + 40535.50ns INFO [00040537] * RD COMPARE * port=0 adr=05 act=18B07FEAF2DA236BD4 exp=18B07FEAF2DA236BD4 + 40535.50ns INFO [00040537] * RD COMPARE * port=1 adr=02 act=E05E51BC3982119F76 exp=E05E51BC3982119F76 + 40536.50ns INFO [00040538] * RD COMPARE * port=1 adr=05 act=18B07FEAF2DA236BD4 exp=18B07FEAF2DA236BD4 + 40536.50ns INFO [00040538] Port=0 RD @01 + 40536.50ns INFO [00040538] Port=1 RD @06 + 40537.50ns INFO [00040539] Port=0 WR @07=6B2E94B9DC6E5B3336 + 40537.50ns INFO [00040539] Port=0 RD @02 + 40538.50ns INFO [00040540] * RD COMPARE * port=0 adr=01 act=35BC59694163E87EA0 exp=35BC59694163E87EA0 + 40538.50ns INFO [00040540] * RD COMPARE * port=1 adr=06 act=D062098B7A951C7218 exp=D062098B7A951C7218 + 40538.50ns INFO [00040540] Port=1 RD @04 + 40539.50ns INFO [00040541] * RD COMPARE * port=0 adr=02 act=E05E51BC3982119F76 exp=E05E51BC3982119F76 + 40540.50ns INFO [00040542] * RD COMPARE * port=1 adr=04 act=2E862435B99F84FCB5 exp=2E862435B99F84FCB5 + 40540.50ns INFO [00040542] Port=0 RD @03 + 40542.50ns INFO [00040544] * RD COMPARE * port=0 adr=03 act=B506F1E8636FCE6F0F exp=B506F1E8636FCE6F0F + 40542.50ns INFO [00040544] Port=0 RD @03 + 40544.50ns INFO [00040546] * RD COMPARE * port=0 adr=03 act=B506F1E8636FCE6F0F exp=B506F1E8636FCE6F0F + 40544.50ns INFO [00040546] Port=0 WR @00=F768FC288B9002077C + 40544.50ns INFO [00040546] Port=1 RD @02 + 40545.50ns INFO [00040547] Port=0 RD @02 + 40546.50ns INFO [00040548] * RD COMPARE * port=1 adr=02 act=E05E51BC3982119F76 exp=E05E51BC3982119F76 + 40546.50ns INFO [00040548] Port=0 WR @06=8FC8A69273D98C2291 + 40547.50ns INFO [00040549] * RD COMPARE * port=0 adr=02 act=E05E51BC3982119F76 exp=E05E51BC3982119F76 + 40547.50ns INFO [00040549] Port=0 WR @03=1F693F304A564F4C95 + 40547.50ns INFO [00040549] Port=0 RD @02 + 40548.50ns INFO [00040550] Port=0 WR @03=62E4107FC6165819BC + 40548.50ns INFO [00040550] Port=0 RD @07 + 40548.50ns INFO [00040550] Port=1 RD @05 + 40549.50ns INFO [00040551] * RD COMPARE * port=0 adr=02 act=E05E51BC3982119F76 exp=E05E51BC3982119F76 + 40550.50ns INFO [00040552] * RD COMPARE * port=0 adr=07 act=6B2E94B9DC6E5B3336 exp=6B2E94B9DC6E5B3336 + 40550.50ns INFO [00040552] * RD COMPARE * port=1 adr=05 act=18B07FEAF2DA236BD4 exp=18B07FEAF2DA236BD4 + 40550.50ns INFO [00040552] Port=0 WR @02=87C2AD636EE7F88409 + 40550.50ns INFO [00040552] Port=0 RD @03 + 40551.50ns INFO [00040553] Port=0 WR @04=C1FD93EC3E74099195 + 40552.50ns INFO [00040554] * RD COMPARE * port=0 adr=03 act=62E4107FC6165819BC exp=62E4107FC6165819BC + 40552.50ns INFO [00040554] Port=0 RD @04 + 40553.50ns INFO [00040555] Port=0 RD @03 + 40554.50ns INFO [00040556] * RD COMPARE * port=0 adr=04 act=C1FD93EC3E74099195 exp=C1FD93EC3E74099195 + 40554.50ns INFO [00040556] Port=0 RD @05 + 40555.50ns INFO [00040557] * RD COMPARE * port=0 adr=03 act=62E4107FC6165819BC exp=62E4107FC6165819BC + 40556.50ns INFO [00040558] * RD COMPARE * port=0 adr=05 act=18B07FEAF2DA236BD4 exp=18B07FEAF2DA236BD4 + 40556.50ns INFO [00040558] Port=0 RD @02 + 40557.50ns INFO [00040559] Port=0 RD @01 + 40557.50ns INFO [00040559] Port=1 RD @03 + 40558.50ns INFO [00040560] * RD COMPARE * port=0 adr=02 act=87C2AD636EE7F88409 exp=87C2AD636EE7F88409 + 40558.50ns INFO [00040560] Port=0 RD @06 + 40559.50ns INFO [00040561] * RD COMPARE * port=0 adr=01 act=35BC59694163E87EA0 exp=35BC59694163E87EA0 + 40559.50ns INFO [00040561] * RD COMPARE * port=1 adr=03 act=62E4107FC6165819BC exp=62E4107FC6165819BC + 40559.50ns INFO [00040561] Port=0 WR @04=CCAA52B145B03BBF0D + 40560.50ns INFO [00040562] * RD COMPARE * port=0 adr=06 act=8FC8A69273D98C2291 exp=8FC8A69273D98C2291 + 40561.50ns INFO [00040563] Port=0 RD @07 + 40561.50ns INFO [00040563] Port=1 RD @04 + 40562.50ns INFO [00040564] Port=0 WR @06=5E5617FD60FCD15978 + 40562.50ns INFO [00040564] Port=0 RD @04 + 40562.50ns INFO [00040564] Port=1 RD @04 + 40563.50ns INFO [00040565] * RD COMPARE * port=0 adr=07 act=6B2E94B9DC6E5B3336 exp=6B2E94B9DC6E5B3336 + 40563.50ns INFO [00040565] * RD COMPARE * port=1 adr=04 act=CCAA52B145B03BBF0D exp=CCAA52B145B03BBF0D + 40563.50ns INFO [00040565] Port=1 RD @04 + 40564.50ns INFO [00040566] * RD COMPARE * port=0 adr=04 act=CCAA52B145B03BBF0D exp=CCAA52B145B03BBF0D + 40564.50ns INFO [00040566] * RD COMPARE * port=1 adr=04 act=CCAA52B145B03BBF0D exp=CCAA52B145B03BBF0D + 40564.50ns INFO [00040566] Port=0 RD @01 + 40564.50ns INFO [00040566] Port=1 RD @04 + 40565.50ns INFO [00040567] * RD COMPARE * port=1 adr=04 act=CCAA52B145B03BBF0D exp=CCAA52B145B03BBF0D + 40565.50ns INFO [00040567] Port=0 RD @00 + 40565.50ns INFO [00040567] Port=1 RD @04 + 40566.50ns INFO [00040568] * RD COMPARE * port=0 adr=01 act=35BC59694163E87EA0 exp=35BC59694163E87EA0 + 40566.50ns INFO [00040568] * RD COMPARE * port=1 adr=04 act=CCAA52B145B03BBF0D exp=CCAA52B145B03BBF0D + 40567.50ns INFO [00040569] * RD COMPARE * port=0 adr=00 act=F768FC288B9002077C exp=F768FC288B9002077C + 40567.50ns INFO [00040569] * RD COMPARE * port=1 adr=04 act=CCAA52B145B03BBF0D exp=CCAA52B145B03BBF0D + 40567.50ns INFO [00040569] Port=0 WR @05=CF9A683363F52CB19F + 40567.50ns INFO [00040569] Port=0 RD @01 + 40567.50ns INFO [00040569] Port=1 RD @07 + 40568.50ns INFO [00040570] Port=1 RD @04 + 40569.50ns INFO [00040571] * RD COMPARE * port=0 adr=01 act=35BC59694163E87EA0 exp=35BC59694163E87EA0 + 40569.50ns INFO [00040571] * RD COMPARE * port=1 adr=07 act=6B2E94B9DC6E5B3336 exp=6B2E94B9DC6E5B3336 + 40569.50ns INFO [00040571] Port=0 RD @02 + 40569.50ns INFO [00040571] Port=1 RD @06 + 40570.50ns INFO [00040572] * RD COMPARE * port=1 adr=04 act=CCAA52B145B03BBF0D exp=CCAA52B145B03BBF0D + 40570.50ns INFO [00040572] Port=1 RD @04 + 40571.50ns INFO [00040573] * RD COMPARE * port=0 adr=02 act=87C2AD636EE7F88409 exp=87C2AD636EE7F88409 + 40571.50ns INFO [00040573] * RD COMPARE * port=1 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40571.50ns INFO [00040573] Port=0 WR @01=31862F0A34E9341360 + 40571.50ns INFO [00040573] Port=0 RD @05 + 40571.50ns INFO [00040573] Port=1 RD @02 + 40572.50ns INFO [00040574] * RD COMPARE * port=1 adr=04 act=CCAA52B145B03BBF0D exp=CCAA52B145B03BBF0D + 40572.50ns INFO [00040574] Port=0 WR @07=980081241B2D3F7CDA + 40572.50ns INFO [00040574] Port=1 RD @02 + 40573.50ns INFO [00040575] * RD COMPARE * port=0 adr=05 act=CF9A683363F52CB19F exp=CF9A683363F52CB19F + 40573.50ns INFO [00040575] * RD COMPARE * port=1 adr=02 act=87C2AD636EE7F88409 exp=87C2AD636EE7F88409 + 40573.50ns INFO [00040575] Port=1 RD @05 + 40574.50ns INFO [00040576] * RD COMPARE * port=1 adr=02 act=87C2AD636EE7F88409 exp=87C2AD636EE7F88409 + 40574.50ns INFO [00040576] Port=1 RD @03 + 40575.50ns INFO [00040577] * RD COMPARE * port=1 adr=05 act=CF9A683363F52CB19F exp=CF9A683363F52CB19F + 40575.50ns INFO [00040577] Port=0 WR @01=AF3BEDCAF0689DF37C + 40575.50ns INFO [00040577] Port=0 RD @03 + 40576.50ns INFO [00040578] * RD COMPARE * port=1 adr=03 act=62E4107FC6165819BC exp=62E4107FC6165819BC + 40576.50ns INFO [00040578] Port=0 WR @04=86733895BE36F07975 + 40576.50ns INFO [00040578] Port=0 RD @01 + 40576.50ns INFO [00040578] Port=1 RD @05 + 40577.50ns INFO [00040579] * RD COMPARE * port=0 adr=03 act=62E4107FC6165819BC exp=62E4107FC6165819BC + 40577.50ns INFO [00040579] Port=0 RD @00 + 40577.50ns INFO [00040579] Port=1 RD @04 + 40578.50ns INFO [00040580] * RD COMPARE * port=0 adr=01 act=AF3BEDCAF0689DF37C exp=AF3BEDCAF0689DF37C + 40578.50ns INFO [00040580] * RD COMPARE * port=1 adr=05 act=CF9A683363F52CB19F exp=CF9A683363F52CB19F + 40579.50ns INFO [00040581] * RD COMPARE * port=0 adr=00 act=F768FC288B9002077C exp=F768FC288B9002077C + 40579.50ns INFO [00040581] * RD COMPARE * port=1 adr=04 act=86733895BE36F07975 exp=86733895BE36F07975 + 40579.50ns INFO [00040581] Port=1 RD @01 + 40580.50ns INFO [00040582] Port=0 WR @02=B9779F2929116C00CC + 40580.50ns INFO [00040582] Port=0 RD @05 + 40580.50ns INFO [00040582] Port=1 RD @05 + 40581.50ns INFO [00040583] * RD COMPARE * port=1 adr=01 act=AF3BEDCAF0689DF37C exp=AF3BEDCAF0689DF37C + 40581.50ns INFO [00040583] Port=0 WR @02=6DDE530F5CE2934897 + 40582.50ns INFO [00040584] * RD COMPARE * port=0 adr=05 act=CF9A683363F52CB19F exp=CF9A683363F52CB19F + 40582.50ns INFO [00040584] * RD COMPARE * port=1 adr=05 act=CF9A683363F52CB19F exp=CF9A683363F52CB19F + 40582.50ns INFO [00040584] Port=0 RD @00 + 40584.50ns INFO [00040586] * RD COMPARE * port=0 adr=00 act=F768FC288B9002077C exp=F768FC288B9002077C + 40584.50ns INFO [00040586] Port=0 WR @03=DD26B1576DF8E0A25A + 40585.50ns INFO [00040587] Port=1 RD @05 + 40587.50ns INFO [00040589] * RD COMPARE * port=1 adr=05 act=CF9A683363F52CB19F exp=CF9A683363F52CB19F + 40587.50ns INFO [00040589] Port=0 WR @00=663FC3E17C48D46B69 + 40587.50ns INFO [00040589] Port=0 RD @02 + 40589.50ns INFO [00040591] * RD COMPARE * port=0 adr=02 act=6DDE530F5CE2934897 exp=6DDE530F5CE2934897 + 40589.50ns INFO [00040591] Port=0 WR @05=DA4147B21FD0098384 + 40590.50ns INFO [00040592] Port=0 RD @00 + 40592.50ns INFO [00040594] * RD COMPARE * port=0 adr=00 act=663FC3E17C48D46B69 exp=663FC3E17C48D46B69 + 40592.50ns INFO [00040594] Port=0 RD @07 + 40594.50ns INFO [00040596] * RD COMPARE * port=0 adr=07 act=980081241B2D3F7CDA exp=980081241B2D3F7CDA + 40594.50ns INFO [00040596] Port=0 RD @04 + 40594.50ns INFO [00040596] Port=1 RD @05 + 40595.50ns INFO [00040597] Port=1 RD @07 + 40596.50ns INFO [00040598] * RD COMPARE * port=0 adr=04 act=86733895BE36F07975 exp=86733895BE36F07975 + 40596.50ns INFO [00040598] * RD COMPARE * port=1 adr=05 act=DA4147B21FD0098384 exp=DA4147B21FD0098384 + 40596.50ns INFO [00040598] Port=0 WR @00=8975FE39844B6EAF6F + 40596.50ns INFO [00040598] Port=1 RD @01 + 40597.50ns INFO [00040599] * RD COMPARE * port=1 adr=07 act=980081241B2D3F7CDA exp=980081241B2D3F7CDA + 40598.00ns INFO [00040600] [00040600] ...tick... + 40598.50ns INFO [00040600] * RD COMPARE * port=1 adr=01 act=AF3BEDCAF0689DF37C exp=AF3BEDCAF0689DF37C + 40598.50ns INFO [00040600] Port=1 RD @03 + 40599.50ns INFO [00040601] Port=0 WR @05=FB2EB077A08B337DF5 + 40599.50ns INFO [00040601] Port=0 RD @00 + 40600.50ns INFO [00040602] * RD COMPARE * port=1 adr=03 act=DD26B1576DF8E0A25A exp=DD26B1576DF8E0A25A + 40601.50ns INFO [00040603] * RD COMPARE * port=0 adr=00 act=8975FE39844B6EAF6F exp=8975FE39844B6EAF6F + 40601.50ns INFO [00040603] Port=0 RD @03 + 40602.50ns INFO [00040604] Port=0 RD @07 + 40603.50ns INFO [00040605] * RD COMPARE * port=0 adr=03 act=DD26B1576DF8E0A25A exp=DD26B1576DF8E0A25A + 40603.50ns INFO [00040605] Port=0 WR @01=97FD7432C7D416A2A9 + 40603.50ns INFO [00040605] Port=0 RD @03 + 40604.50ns INFO [00040606] * RD COMPARE * port=0 adr=07 act=980081241B2D3F7CDA exp=980081241B2D3F7CDA + 40604.50ns INFO [00040606] Port=0 RD @00 + 40605.50ns INFO [00040607] * RD COMPARE * port=0 adr=03 act=DD26B1576DF8E0A25A exp=DD26B1576DF8E0A25A + 40606.50ns INFO [00040608] * RD COMPARE * port=0 adr=00 act=8975FE39844B6EAF6F exp=8975FE39844B6EAF6F + 40607.50ns INFO [00040609] Port=1 RD @06 + 40608.50ns INFO [00040610] Port=0 RD @02 + 40609.50ns INFO [00040611] * RD COMPARE * port=1 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40610.50ns INFO [00040612] * RD COMPARE * port=0 adr=02 act=6DDE530F5CE2934897 exp=6DDE530F5CE2934897 + 40610.50ns INFO [00040612] Port=1 RD @01 + 40612.50ns INFO [00040614] * RD COMPARE * port=1 adr=01 act=97FD7432C7D416A2A9 exp=97FD7432C7D416A2A9 + 40612.50ns INFO [00040614] Port=0 RD @02 + 40612.50ns INFO [00040614] Port=1 RD @02 + 40613.50ns INFO [00040615] Port=0 RD @04 + 40613.50ns INFO [00040615] Port=1 RD @05 + 40614.50ns INFO [00040616] * RD COMPARE * port=0 adr=02 act=6DDE530F5CE2934897 exp=6DDE530F5CE2934897 + 40614.50ns INFO [00040616] * RD COMPARE * port=1 adr=02 act=6DDE530F5CE2934897 exp=6DDE530F5CE2934897 + 40614.50ns INFO [00040616] Port=1 RD @05 + 40615.50ns INFO [00040617] * RD COMPARE * port=0 adr=04 act=86733895BE36F07975 exp=86733895BE36F07975 + 40615.50ns INFO [00040617] * RD COMPARE * port=1 adr=05 act=FB2EB077A08B337DF5 exp=FB2EB077A08B337DF5 + 40615.50ns INFO [00040617] Port=0 WR @00=CC914D70AC68B39030 + 40616.50ns INFO [00040618] * RD COMPARE * port=1 adr=05 act=FB2EB077A08B337DF5 exp=FB2EB077A08B337DF5 + 40616.50ns INFO [00040618] Port=1 RD @07 + 40617.50ns INFO [00040619] Port=1 RD @05 + 40618.50ns INFO [00040620] * RD COMPARE * port=1 adr=07 act=980081241B2D3F7CDA exp=980081241B2D3F7CDA + 40618.50ns INFO [00040620] Port=1 RD @02 + 40619.50ns INFO [00040621] * RD COMPARE * port=1 adr=05 act=FB2EB077A08B337DF5 exp=FB2EB077A08B337DF5 + 40619.50ns INFO [00040621] Port=0 WR @03=157D28843FDB1C4BE2 + 40620.50ns INFO [00040622] * RD COMPARE * port=1 adr=02 act=6DDE530F5CE2934897 exp=6DDE530F5CE2934897 + 40620.50ns INFO [00040622] Port=0 RD @00 + 40620.50ns INFO [00040622] Port=1 RD @02 + 40621.50ns INFO [00040623] Port=1 RD @05 + 40622.50ns INFO [00040624] * RD COMPARE * port=0 adr=00 act=CC914D70AC68B39030 exp=CC914D70AC68B39030 + 40622.50ns INFO [00040624] * RD COMPARE * port=1 adr=02 act=6DDE530F5CE2934897 exp=6DDE530F5CE2934897 + 40622.50ns INFO [00040624] Port=0 RD @03 + 40622.50ns INFO [00040624] Port=1 RD @02 + 40623.50ns INFO [00040625] * RD COMPARE * port=1 adr=05 act=FB2EB077A08B337DF5 exp=FB2EB077A08B337DF5 + 40623.50ns INFO [00040625] Port=0 WR @05=7D50E6ED1BE8EBAB65 + 40623.50ns INFO [00040625] Port=1 RD @07 + 40624.50ns INFO [00040626] * RD COMPARE * port=0 adr=03 act=157D28843FDB1C4BE2 exp=157D28843FDB1C4BE2 + 40624.50ns INFO [00040626] * RD COMPARE * port=1 adr=02 act=6DDE530F5CE2934897 exp=6DDE530F5CE2934897 + 40625.50ns INFO [00040627] * RD COMPARE * port=1 adr=07 act=980081241B2D3F7CDA exp=980081241B2D3F7CDA + 40625.50ns INFO [00040627] Port=0 WR @01=78456CE519EABB1949 + 40625.50ns INFO [00040627] Port=1 RD @00 + 40626.50ns INFO [00040628] Port=1 RD @01 + 40627.50ns INFO [00040629] * RD COMPARE * port=1 adr=00 act=CC914D70AC68B39030 exp=CC914D70AC68B39030 + 40627.50ns INFO [00040629] Port=0 RD @02 + 40627.50ns INFO [00040629] Port=1 RD @05 + 40628.50ns INFO [00040630] * RD COMPARE * port=1 adr=01 act=78456CE519EABB1949 exp=78456CE519EABB1949 + 40629.50ns INFO [00040631] * RD COMPARE * port=0 adr=02 act=6DDE530F5CE2934897 exp=6DDE530F5CE2934897 + 40629.50ns INFO [00040631] * RD COMPARE * port=1 adr=05 act=7D50E6ED1BE8EBAB65 exp=7D50E6ED1BE8EBAB65 + 40629.50ns INFO [00040631] Port=1 RD @01 + 40630.50ns INFO [00040632] Port=0 WR @03=6ACA77C1012897A36B + 40630.50ns INFO [00040632] Port=1 RD @04 + 40631.50ns INFO [00040633] * RD COMPARE * port=1 adr=01 act=78456CE519EABB1949 exp=78456CE519EABB1949 + 40631.50ns INFO [00040633] Port=0 WR @02=CE7F42F52B58B6C449 + 40631.50ns INFO [00040633] Port=0 RD @06 + 40632.50ns INFO [00040634] * RD COMPARE * port=1 adr=04 act=86733895BE36F07975 exp=86733895BE36F07975 + 40632.50ns INFO [00040634] Port=0 RD @06 + 40632.50ns INFO [00040634] Port=1 RD @07 + 40633.50ns INFO [00040635] * RD COMPARE * port=0 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40633.50ns INFO [00040635] Port=0 WR @03=B6BDC92243CDF17FF8 + 40634.50ns INFO [00040636] * RD COMPARE * port=0 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40634.50ns INFO [00040636] * RD COMPARE * port=1 adr=07 act=980081241B2D3F7CDA exp=980081241B2D3F7CDA + 40634.50ns INFO [00040636] Port=0 WR @01=09B2634B073DB3659E + 40634.50ns INFO [00040636] Port=1 RD @07 + 40635.50ns INFO [00040637] Port=0 WR @04=9F0EA6DAF4B83D4BCD + 40636.50ns INFO [00040638] * RD COMPARE * port=1 adr=07 act=980081241B2D3F7CDA exp=980081241B2D3F7CDA + 40636.50ns INFO [00040638] Port=0 RD @07 + 40637.50ns INFO [00040639] Port=0 WR @02=A92697F0A34AC8617C + 40638.50ns INFO [00040640] * RD COMPARE * port=0 adr=07 act=980081241B2D3F7CDA exp=980081241B2D3F7CDA + 40638.50ns INFO [00040640] Port=0 WR @07=D3D2638F449431336D + 40638.50ns INFO [00040640] Port=0 RD @01 + 40640.50ns INFO [00040642] * RD COMPARE * port=0 adr=01 act=09B2634B073DB3659E exp=09B2634B073DB3659E + 40640.50ns INFO [00040642] Port=0 RD @03 + 40640.50ns INFO [00040642] Port=1 RD @05 + 40641.50ns INFO [00040643] Port=0 WR @05=725B9C51C5FADA81F9 + 40642.50ns INFO [00040644] * RD COMPARE * port=0 adr=03 act=B6BDC92243CDF17FF8 exp=B6BDC92243CDF17FF8 + 40642.50ns INFO [00040644] * RD COMPARE * port=1 adr=05 act=7D50E6ED1BE8EBAB65 exp=7D50E6ED1BE8EBAB65 + 40642.50ns INFO [00040644] Port=0 RD @00 + 40643.50ns INFO [00040645] Port=0 RD @07 + 40643.50ns INFO [00040645] Port=1 RD @02 + 40644.50ns INFO [00040646] * RD COMPARE * port=0 adr=00 act=CC914D70AC68B39030 exp=CC914D70AC68B39030 + 40644.50ns INFO [00040646] Port=0 WR @02=9936B9AB080EDC34FA + 40645.50ns INFO [00040647] * RD COMPARE * port=0 adr=07 act=D3D2638F449431336D exp=D3D2638F449431336D + 40645.50ns INFO [00040647] * RD COMPARE * port=1 adr=02 act=A92697F0A34AC8617C exp=A92697F0A34AC8617C + 40646.50ns INFO [00040648] Port=0 WR @05=4D5F0C08CBE2E0EBF6 + 40647.50ns INFO [00040649] Port=0 WR @00=F7F4DCB721BFF3BF63 + 40647.50ns INFO [00040649] Port=0 RD @05 + 40648.50ns INFO [00040650] Port=0 WR @05=9040CA51A78EF3088B + 40649.50ns INFO [00040651] * RD COMPARE * port=0 adr=05 act=4D5F0C08CBE2E0EBF6 exp=4D5F0C08CBE2E0EBF6 + 40649.50ns INFO [00040651] Port=0 WR @03=F09BABD138EB1F4953 + 40649.50ns INFO [00040651] Port=1 RD @05 + 40651.50ns INFO [00040653] * RD COMPARE * port=1 adr=05 act=9040CA51A78EF3088B exp=9040CA51A78EF3088B + 40652.50ns INFO [00040654] Port=0 WR @07=88141BE47FB3BB27C1 + 40653.50ns INFO [00040655] Port=0 RD @03 + 40654.50ns INFO [00040656] Port=0 WR @04=E3B5A4EC88E4D307F8 + 40654.50ns INFO [00040656] Port=1 RD @07 + 40655.50ns INFO [00040657] * RD COMPARE * port=0 adr=03 act=F09BABD138EB1F4953 exp=F09BABD138EB1F4953 + 40655.50ns INFO [00040657] Port=0 RD @02 + 40656.50ns INFO [00040658] * RD COMPARE * port=1 adr=07 act=88141BE47FB3BB27C1 exp=88141BE47FB3BB27C1 + 40656.50ns INFO [00040658] Port=0 RD @04 + 40657.50ns INFO [00040659] * RD COMPARE * port=0 adr=02 act=9936B9AB080EDC34FA exp=9936B9AB080EDC34FA + 40657.50ns INFO [00040659] Port=0 RD @05 + 40658.50ns INFO [00040660] * RD COMPARE * port=0 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40658.50ns INFO [00040660] Port=0 WR @00=A7FCBF501E09A31B97 + 40658.50ns INFO [00040660] Port=1 RD @06 + 40659.50ns INFO [00040661] * RD COMPARE * port=0 adr=05 act=9040CA51A78EF3088B exp=9040CA51A78EF3088B + 40659.50ns INFO [00040661] Port=0 WR @05=0F02B8EBEF852B4ED6 + 40659.50ns INFO [00040661] Port=1 RD @06 + 40660.50ns INFO [00040662] * RD COMPARE * port=1 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40660.50ns INFO [00040662] Port=0 RD @07 + 40661.50ns INFO [00040663] * RD COMPARE * port=1 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40661.50ns INFO [00040663] Port=0 RD @07 + 40662.50ns INFO [00040664] * RD COMPARE * port=0 adr=07 act=88141BE47FB3BB27C1 exp=88141BE47FB3BB27C1 + 40662.50ns INFO [00040664] Port=1 RD @03 + 40663.50ns INFO [00040665] * RD COMPARE * port=0 adr=07 act=88141BE47FB3BB27C1 exp=88141BE47FB3BB27C1 + 40663.50ns INFO [00040665] Port=0 WR @05=FF922EE763A97D647A + 40663.50ns INFO [00040665] Port=0 RD @01 + 40664.50ns INFO [00040666] * RD COMPARE * port=1 adr=03 act=F09BABD138EB1F4953 exp=F09BABD138EB1F4953 + 40664.50ns INFO [00040666] Port=0 RD @05 + 40664.50ns INFO [00040666] Port=1 RD @04 + 40665.50ns INFO [00040667] * RD COMPARE * port=0 adr=01 act=09B2634B073DB3659E exp=09B2634B073DB3659E + 40666.50ns INFO [00040668] * RD COMPARE * port=0 adr=05 act=FF922EE763A97D647A exp=FF922EE763A97D647A + 40666.50ns INFO [00040668] * RD COMPARE * port=1 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40666.50ns INFO [00040668] Port=0 RD @02 + 40666.50ns INFO [00040668] Port=1 RD @00 + 40667.50ns INFO [00040669] Port=0 RD @06 + 40668.50ns INFO [00040670] * RD COMPARE * port=0 adr=02 act=9936B9AB080EDC34FA exp=9936B9AB080EDC34FA + 40668.50ns INFO [00040670] * RD COMPARE * port=1 adr=00 act=A7FCBF501E09A31B97 exp=A7FCBF501E09A31B97 + 40669.50ns INFO [00040671] * RD COMPARE * port=0 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40669.50ns INFO [00040671] Port=0 WR @03=2AE6B41D3A02399F35 + 40670.50ns INFO [00040672] Port=0 RD @00 + 40670.50ns INFO [00040672] Port=1 RD @04 + 40671.50ns INFO [00040673] Port=0 RD @07 + 40671.50ns INFO [00040673] Port=1 RD @04 + 40672.50ns INFO [00040674] * RD COMPARE * port=0 adr=00 act=A7FCBF501E09A31B97 exp=A7FCBF501E09A31B97 + 40672.50ns INFO [00040674] * RD COMPARE * port=1 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40672.50ns INFO [00040674] Port=0 RD @03 + 40672.50ns INFO [00040674] Port=1 RD @00 + 40673.50ns INFO [00040675] * RD COMPARE * port=0 adr=07 act=88141BE47FB3BB27C1 exp=88141BE47FB3BB27C1 + 40673.50ns INFO [00040675] * RD COMPARE * port=1 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40673.50ns INFO [00040675] Port=0 WR @07=3747100B3B846A911A + 40673.50ns INFO [00040675] Port=0 RD @00 + 40673.50ns INFO [00040675] Port=1 RD @05 + 40674.50ns INFO [00040676] * RD COMPARE * port=0 adr=03 act=2AE6B41D3A02399F35 exp=2AE6B41D3A02399F35 + 40674.50ns INFO [00040676] * RD COMPARE * port=1 adr=00 act=A7FCBF501E09A31B97 exp=A7FCBF501E09A31B97 + 40675.50ns INFO [00040677] * RD COMPARE * port=0 adr=00 act=A7FCBF501E09A31B97 exp=A7FCBF501E09A31B97 + 40675.50ns INFO [00040677] * RD COMPARE * port=1 adr=05 act=FF922EE763A97D647A exp=FF922EE763A97D647A + 40675.50ns INFO [00040677] Port=0 WR @02=EBFABD6EDEAF77374F + 40677.50ns INFO [00040679] Port=0 RD @02 + 40678.50ns INFO [00040680] Port=0 RD @06 + 40678.50ns INFO [00040680] Port=1 RD @04 + 40679.50ns INFO [00040681] * RD COMPARE * port=0 adr=02 act=EBFABD6EDEAF77374F exp=EBFABD6EDEAF77374F + 40679.50ns INFO [00040681] Port=0 WR @02=8BFA52498756F7D83D + 40680.50ns INFO [00040682] * RD COMPARE * port=0 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40680.50ns INFO [00040682] * RD COMPARE * port=1 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40680.50ns INFO [00040682] Port=0 WR @05=E204942815F5892DD3 + 40681.50ns INFO [00040683] Port=1 RD @04 + 40682.50ns INFO [00040684] Port=0 WR @02=23ADF42F656A2E4B59 + 40682.50ns INFO [00040684] Port=0 RD @03 + 40683.50ns INFO [00040685] * RD COMPARE * port=1 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40683.50ns INFO [00040685] Port=0 RD @06 + 40683.50ns INFO [00040685] Port=1 RD @02 + 40684.50ns INFO [00040686] * RD COMPARE * port=0 adr=03 act=2AE6B41D3A02399F35 exp=2AE6B41D3A02399F35 + 40685.50ns INFO [00040687] * RD COMPARE * port=0 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40685.50ns INFO [00040687] * RD COMPARE * port=1 adr=02 act=23ADF42F656A2E4B59 exp=23ADF42F656A2E4B59 + 40686.50ns INFO [00040688] Port=0 RD @07 + 40687.50ns INFO [00040689] Port=0 WR @01=68FA97FEB9E95D7288 + 40687.50ns INFO [00040689] Port=1 RD @04 + 40688.50ns INFO [00040690] * RD COMPARE * port=0 adr=07 act=3747100B3B846A911A exp=3747100B3B846A911A + 40689.50ns INFO [00040691] * RD COMPARE * port=1 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40689.50ns INFO [00040691] Port=0 RD @04 + 40690.50ns INFO [00040692] Port=0 WR @02=ABF7D072E7267AB0E6 + 40690.50ns INFO [00040692] Port=0 RD @03 + 40691.50ns INFO [00040693] * RD COMPARE * port=0 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40692.50ns INFO [00040694] * RD COMPARE * port=0 adr=03 act=2AE6B41D3A02399F35 exp=2AE6B41D3A02399F35 + 40692.50ns INFO [00040694] Port=1 RD @03 + 40693.50ns INFO [00040695] Port=0 RD @06 + 40694.50ns INFO [00040696] * RD COMPARE * port=1 adr=03 act=2AE6B41D3A02399F35 exp=2AE6B41D3A02399F35 + 40694.50ns INFO [00040696] Port=0 RD @04 + 40695.50ns INFO [00040697] * RD COMPARE * port=0 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40695.50ns INFO [00040697] Port=0 RD @00 + 40696.50ns INFO [00040698] * RD COMPARE * port=0 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40696.50ns INFO [00040698] Port=0 WR @02=A51F235C5306ACAA38 + 40696.50ns INFO [00040698] Port=0 RD @07 + 40696.50ns INFO [00040698] Port=1 RD @06 + 40697.50ns INFO [00040699] * RD COMPARE * port=0 adr=00 act=A7FCBF501E09A31B97 exp=A7FCBF501E09A31B97 + 40697.50ns INFO [00040699] Port=1 RD @05 + 40698.00ns INFO [00040700] [00040700] ...tick... + 40698.50ns INFO [00040700] * RD COMPARE * port=0 adr=07 act=3747100B3B846A911A exp=3747100B3B846A911A + 40698.50ns INFO [00040700] * RD COMPARE * port=1 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40698.50ns INFO [00040700] Port=0 WR @07=13D7027674DAB93A6E + 40698.50ns INFO [00040700] Port=0 RD @06 + 40699.50ns INFO [00040701] * RD COMPARE * port=1 adr=05 act=E204942815F5892DD3 exp=E204942815F5892DD3 + 40699.50ns INFO [00040701] Port=0 WR @01=BBEF8A5C157C7522DD + 40700.50ns INFO [00040702] * RD COMPARE * port=0 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40700.50ns INFO [00040702] Port=1 RD @03 + 40701.50ns INFO [00040703] Port=0 WR @05=311989FC71E81E4292 + 40701.50ns INFO [00040703] Port=0 RD @03 + 40701.50ns INFO [00040703] Port=1 RD @06 + 40702.50ns INFO [00040704] * RD COMPARE * port=1 adr=03 act=2AE6B41D3A02399F35 exp=2AE6B41D3A02399F35 + 40703.50ns INFO [00040705] * RD COMPARE * port=0 adr=03 act=2AE6B41D3A02399F35 exp=2AE6B41D3A02399F35 + 40703.50ns INFO [00040705] * RD COMPARE * port=1 adr=06 act=5E5617FD60FCD15978 exp=5E5617FD60FCD15978 + 40704.50ns INFO [00040706] Port=0 WR @06=9CFC97CEE894FC39B8 + 40704.50ns INFO [00040706] Port=0 RD @03 + 40704.50ns INFO [00040706] Port=1 RD @03 + 40705.50ns INFO [00040707] Port=1 RD @06 + 40706.50ns INFO [00040708] * RD COMPARE * port=0 adr=03 act=2AE6B41D3A02399F35 exp=2AE6B41D3A02399F35 + 40706.50ns INFO [00040708] * RD COMPARE * port=1 adr=03 act=2AE6B41D3A02399F35 exp=2AE6B41D3A02399F35 + 40706.50ns INFO [00040708] Port=0 RD @00 + 40706.50ns INFO [00040708] Port=1 RD @00 + 40707.50ns INFO [00040709] * RD COMPARE * port=1 adr=06 act=9CFC97CEE894FC39B8 exp=9CFC97CEE894FC39B8 + 40707.50ns INFO [00040709] Port=0 WR @06=F15004F91CA717C4C6 + 40707.50ns INFO [00040709] Port=0 RD @01 + 40708.50ns INFO [00040710] * RD COMPARE * port=0 adr=00 act=A7FCBF501E09A31B97 exp=A7FCBF501E09A31B97 + 40708.50ns INFO [00040710] * RD COMPARE * port=1 adr=00 act=A7FCBF501E09A31B97 exp=A7FCBF501E09A31B97 + 40708.50ns INFO [00040710] Port=0 WR @01=F6A14FDC0156FFFAD9 + 40708.50ns INFO [00040710] Port=0 RD @03 + 40708.50ns INFO [00040710] Port=1 RD @00 + 40709.50ns INFO [00040711] * RD COMPARE * port=0 adr=01 act=BBEF8A5C157C7522DD exp=BBEF8A5C157C7522DD + 40710.50ns INFO [00040712] * RD COMPARE * port=0 adr=03 act=2AE6B41D3A02399F35 exp=2AE6B41D3A02399F35 + 40710.50ns INFO [00040712] * RD COMPARE * port=1 adr=00 act=A7FCBF501E09A31B97 exp=A7FCBF501E09A31B97 + 40711.50ns INFO [00040713] Port=0 WR @01=413483B8FC7BBCF95E + 40712.50ns INFO [00040714] Port=0 WR @06=5772989BEC8442BD01 + 40712.50ns INFO [00040714] Port=0 RD @02 + 40712.50ns INFO [00040714] Port=1 RD @07 + 40714.50ns INFO [00040716] * RD COMPARE * port=0 adr=02 act=A51F235C5306ACAA38 exp=A51F235C5306ACAA38 + 40714.50ns INFO [00040716] * RD COMPARE * port=1 adr=07 act=13D7027674DAB93A6E exp=13D7027674DAB93A6E + 40714.50ns INFO [00040716] Port=0 WR @07=E123E578C9315F7D3A + 40714.50ns INFO [00040716] Port=1 RD @06 + 40715.50ns INFO [00040717] Port=0 WR @01=E420F11DF3BAA73102 + 40716.50ns INFO [00040718] * RD COMPARE * port=1 adr=06 act=5772989BEC8442BD01 exp=5772989BEC8442BD01 + 40717.50ns INFO [00040719] Port=1 RD @04 + 40718.50ns INFO [00040720] Port=0 WR @05=A0542E4A46C43A4F32 + 40718.50ns INFO [00040720] Port=1 RD @04 + 40719.50ns INFO [00040721] * RD COMPARE * port=1 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40719.50ns INFO [00040721] Port=0 RD @07 + 40720.50ns INFO [00040722] * RD COMPARE * port=1 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40721.50ns INFO [00040723] * RD COMPARE * port=0 adr=07 act=E123E578C9315F7D3A exp=E123E578C9315F7D3A + 40721.50ns INFO [00040723] Port=1 RD @00 + 40722.50ns INFO [00040724] Port=0 RD @04 + 40722.50ns INFO [00040724] Port=1 RD @06 + 40723.50ns INFO [00040725] * RD COMPARE * port=1 adr=00 act=A7FCBF501E09A31B97 exp=A7FCBF501E09A31B97 + 40723.50ns INFO [00040725] Port=1 RD @04 + 40724.50ns INFO [00040726] * RD COMPARE * port=0 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40724.50ns INFO [00040726] * RD COMPARE * port=1 adr=06 act=5772989BEC8442BD01 exp=5772989BEC8442BD01 + 40725.50ns INFO [00040727] * RD COMPARE * port=1 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40726.50ns INFO [00040728] Port=0 WR @05=549BB269EC9DA54D8E + 40726.50ns INFO [00040728] Port=0 RD @04 + 40726.50ns INFO [00040728] Port=1 RD @06 + 40728.50ns INFO [00040730] * RD COMPARE * port=0 adr=04 act=E3B5A4EC88E4D307F8 exp=E3B5A4EC88E4D307F8 + 40728.50ns INFO [00040730] * RD COMPARE * port=1 adr=06 act=5772989BEC8442BD01 exp=5772989BEC8442BD01 + 40728.50ns INFO [00040730] Port=0 WR @04=9DC906E5A5E7B9141B + 40728.50ns INFO [00040730] Port=0 RD @07 + 40729.50ns INFO [00040731] Port=0 WR @07=7681B985B69BF1292C + 40730.50ns INFO [00040732] * RD COMPARE * port=0 adr=07 act=E123E578C9315F7D3A exp=E123E578C9315F7D3A + 40731.50ns INFO [00040733] Port=0 WR @03=E33B559EA16F92E11F + 40731.50ns INFO [00040733] Port=0 RD @04 + 40732.50ns INFO [00040734] Port=1 RD @05 + 40733.50ns INFO [00040735] * RD COMPARE * port=0 adr=04 act=9DC906E5A5E7B9141B exp=9DC906E5A5E7B9141B + 40734.50ns INFO [00040736] * RD COMPARE * port=1 adr=05 act=549BB269EC9DA54D8E exp=549BB269EC9DA54D8E + 40735.50ns INFO [00040737] Port=0 WR @03=1FB1F58FC3074AB47B + 40735.50ns INFO [00040737] Port=0 RD @02 + 40735.50ns INFO [00040737] Port=1 RD @04 + 40736.50ns INFO [00040738] Port=1 RD @05 + 40737.50ns INFO [00040739] * RD COMPARE * port=0 adr=02 act=A51F235C5306ACAA38 exp=A51F235C5306ACAA38 + 40737.50ns INFO [00040739] * RD COMPARE * port=1 adr=04 act=9DC906E5A5E7B9141B exp=9DC906E5A5E7B9141B + 40737.50ns INFO [00040739] Port=0 RD @05 + 40737.50ns INFO [00040739] Port=1 RD @06 + 40738.50ns INFO [00040740] * RD COMPARE * port=1 adr=05 act=549BB269EC9DA54D8E exp=549BB269EC9DA54D8E + 40738.50ns INFO [00040740] Port=0 WR @00=24F202143E20A8B943 + 40738.50ns INFO [00040740] Port=1 RD @07 + 40739.50ns INFO [00040741] * RD COMPARE * port=0 adr=05 act=549BB269EC9DA54D8E exp=549BB269EC9DA54D8E + 40739.50ns INFO [00040741] * RD COMPARE * port=1 adr=06 act=5772989BEC8442BD01 exp=5772989BEC8442BD01 + 40739.50ns INFO [00040741] Port=0 WR @00=0004D22AF3CB7BB535 + 40740.50ns INFO [00040742] * RD COMPARE * port=1 adr=07 act=7681B985B69BF1292C exp=7681B985B69BF1292C + 40741.50ns INFO [00040743] Port=0 RD @03 + 40743.50ns INFO [00040745] * RD COMPARE * port=0 adr=03 act=1FB1F58FC3074AB47B exp=1FB1F58FC3074AB47B + 40743.50ns INFO [00040745] Port=0 WR @00=6428282BCB6962604B + 40744.50ns INFO [00040746] Port=0 RD @06 + 40744.50ns INFO [00040746] Port=1 RD @02 + 40746.50ns INFO [00040748] * RD COMPARE * port=0 adr=06 act=5772989BEC8442BD01 exp=5772989BEC8442BD01 + 40746.50ns INFO [00040748] * RD COMPARE * port=1 adr=02 act=A51F235C5306ACAA38 exp=A51F235C5306ACAA38 + 40747.50ns INFO [00040749] Port=0 WR @05=44F062325C2C74FE22 + 40749.50ns INFO [00040751] Port=0 RD @03 + 40750.50ns INFO [00040752] Port=0 RD @03 + 40751.50ns INFO [00040753] * RD COMPARE * port=0 adr=03 act=1FB1F58FC3074AB47B exp=1FB1F58FC3074AB47B + 40751.50ns INFO [00040753] Port=0 RD @07 + 40751.50ns INFO [00040753] Port=1 RD @05 + 40752.50ns INFO [00040754] * RD COMPARE * port=0 adr=03 act=1FB1F58FC3074AB47B exp=1FB1F58FC3074AB47B + 40752.50ns INFO [00040754] Port=0 WR @05=56718559C422B5D18D + 40752.50ns INFO [00040754] Port=0 RD @01 + 40752.50ns INFO [00040754] Port=1 RD @04 + 40753.50ns INFO [00040755] * RD COMPARE * port=0 adr=07 act=7681B985B69BF1292C exp=7681B985B69BF1292C + 40753.50ns INFO [00040755] * RD COMPARE * port=1 adr=05 act=44F062325C2C74FE22 exp=44F062325C2C74FE22 + 40753.50ns INFO [00040755] Port=0 RD @06 + 40753.50ns INFO [00040755] Port=1 RD @07 + 40754.50ns INFO [00040756] * RD COMPARE * port=0 adr=01 act=E420F11DF3BAA73102 exp=E420F11DF3BAA73102 + 40754.50ns INFO [00040756] * RD COMPARE * port=1 adr=04 act=9DC906E5A5E7B9141B exp=9DC906E5A5E7B9141B + 40755.50ns INFO [00040757] * RD COMPARE * port=0 adr=06 act=5772989BEC8442BD01 exp=5772989BEC8442BD01 + 40755.50ns INFO [00040757] * RD COMPARE * port=1 adr=07 act=7681B985B69BF1292C exp=7681B985B69BF1292C + 40755.50ns INFO [00040757] Port=0 WR @04=AE6F367923C7D2B332 + 40755.50ns INFO [00040757] Port=0 RD @02 + 40755.50ns INFO [00040757] Port=1 RD @03 + 40756.50ns INFO [00040758] Port=0 RD @05 + 40756.50ns INFO [00040758] Port=1 RD @04 + 40757.50ns INFO [00040759] * RD COMPARE * port=0 adr=02 act=A51F235C5306ACAA38 exp=A51F235C5306ACAA38 + 40757.50ns INFO [00040759] * RD COMPARE * port=1 adr=03 act=1FB1F58FC3074AB47B exp=1FB1F58FC3074AB47B + 40757.50ns INFO [00040759] Port=1 RD @07 + 40758.50ns INFO [00040760] * RD COMPARE * port=0 adr=05 act=56718559C422B5D18D exp=56718559C422B5D18D + 40758.50ns INFO [00040760] * RD COMPARE * port=1 adr=04 act=AE6F367923C7D2B332 exp=AE6F367923C7D2B332 + 40758.50ns INFO [00040760] Port=0 WR @00=44B14A03D844DCDF33 + 40759.50ns INFO [00040761] * RD COMPARE * port=1 adr=07 act=7681B985B69BF1292C exp=7681B985B69BF1292C + 40759.50ns INFO [00040761] Port=0 RD @00 + 40759.50ns INFO [00040761] Port=1 RD @01 + 40760.50ns INFO [00040762] Port=0 RD @02 + 40760.50ns INFO [00040762] Port=1 RD @03 + 40761.50ns INFO [00040763] * RD COMPARE * port=0 adr=00 act=44B14A03D844DCDF33 exp=44B14A03D844DCDF33 + 40761.50ns INFO [00040763] * RD COMPARE * port=1 adr=01 act=E420F11DF3BAA73102 exp=E420F11DF3BAA73102 + 40761.50ns INFO [00040763] Port=0 WR @07=95075C8289A5DF026D + 40761.50ns INFO [00040763] Port=1 RD @06 + 40762.50ns INFO [00040764] * RD COMPARE * port=0 adr=02 act=A51F235C5306ACAA38 exp=A51F235C5306ACAA38 + 40762.50ns INFO [00040764] * RD COMPARE * port=1 adr=03 act=1FB1F58FC3074AB47B exp=1FB1F58FC3074AB47B + 40762.50ns INFO [00040764] Port=0 WR @00=E39B1B76658D724548 + 40762.50ns INFO [00040764] Port=0 RD @02 + 40763.50ns INFO [00040765] * RD COMPARE * port=1 adr=06 act=5772989BEC8442BD01 exp=5772989BEC8442BD01 + 40763.50ns INFO [00040765] Port=0 WR @00=032B75D1139BC41B59 + 40764.50ns INFO [00040766] * RD COMPARE * port=0 adr=02 act=A51F235C5306ACAA38 exp=A51F235C5306ACAA38 + 40765.50ns INFO [00040767] Port=0 WR @04=87939B35DB59AA6E59 + 40765.50ns INFO [00040767] Port=1 RD @01 + 40766.50ns INFO [00040768] Port=0 WR @06=D3845D6A39B89D6819 + 40766.50ns INFO [00040768] Port=1 RD @02 + 40767.50ns INFO [00040769] * RD COMPARE * port=1 adr=01 act=E420F11DF3BAA73102 exp=E420F11DF3BAA73102 + 40767.50ns INFO [00040769] Port=0 WR @05=085009CAE34D753694 + 40767.50ns INFO [00040769] Port=1 RD @00 + 40768.50ns INFO [00040770] * RD COMPARE * port=1 adr=02 act=A51F235C5306ACAA38 exp=A51F235C5306ACAA38 + 40768.50ns INFO [00040770] Port=1 RD @02 + 40769.50ns INFO [00040771] * RD COMPARE * port=1 adr=00 act=032B75D1139BC41B59 exp=032B75D1139BC41B59 + 40770.50ns INFO [00040772] * RD COMPARE * port=1 adr=02 act=A51F235C5306ACAA38 exp=A51F235C5306ACAA38 + 40770.50ns INFO [00040772] Port=0 WR @02=0FF62B253E60725690 + 40770.50ns INFO [00040772] Port=0 RD @00 + 40771.50ns INFO [00040773] Port=0 RD @07 + 40771.50ns INFO [00040773] Port=1 RD @02 + 40772.50ns INFO [00040774] * RD COMPARE * port=0 adr=00 act=032B75D1139BC41B59 exp=032B75D1139BC41B59 + 40772.50ns INFO [00040774] Port=0 RD @01 + 40772.50ns INFO [00040774] Port=1 RD @00 + 40773.50ns INFO [00040775] * RD COMPARE * port=0 adr=07 act=95075C8289A5DF026D exp=95075C8289A5DF026D + 40773.50ns INFO [00040775] * RD COMPARE * port=1 adr=02 act=0FF62B253E60725690 exp=0FF62B253E60725690 + 40773.50ns INFO [00040775] Port=0 RD @03 + 40773.50ns INFO [00040775] Port=1 RD @01 + 40774.50ns INFO [00040776] * RD COMPARE * port=0 adr=01 act=E420F11DF3BAA73102 exp=E420F11DF3BAA73102 + 40774.50ns INFO [00040776] * RD COMPARE * port=1 adr=00 act=032B75D1139BC41B59 exp=032B75D1139BC41B59 + 40774.50ns INFO [00040776] Port=0 WR @01=8E6C1BEDE099D28285 + 40775.50ns INFO [00040777] * RD COMPARE * port=0 adr=03 act=1FB1F58FC3074AB47B exp=1FB1F58FC3074AB47B + 40775.50ns INFO [00040777] * RD COMPARE * port=1 adr=01 act=E420F11DF3BAA73102 exp=E420F11DF3BAA73102 + 40776.50ns INFO [00040778] Port=0 WR @03=57E82114496798A982 + 40776.50ns INFO [00040778] Port=1 RD @05 + 40778.50ns INFO [00040780] * RD COMPARE * port=1 adr=05 act=085009CAE34D753694 exp=085009CAE34D753694 + 40778.50ns INFO [00040780] Port=0 WR @03=F16FA1E5525316BD29 + 40780.50ns INFO [00040782] Port=0 RD @07 + 40781.50ns INFO [00040783] Port=0 RD @06 + 40781.50ns INFO [00040783] Port=1 RD @06 + 40782.50ns INFO [00040784] * RD COMPARE * port=0 adr=07 act=95075C8289A5DF026D exp=95075C8289A5DF026D + 40783.50ns INFO [00040785] * RD COMPARE * port=0 adr=06 act=D3845D6A39B89D6819 exp=D3845D6A39B89D6819 + 40783.50ns INFO [00040785] * RD COMPARE * port=1 adr=06 act=D3845D6A39B89D6819 exp=D3845D6A39B89D6819 + 40783.50ns INFO [00040785] Port=0 RD @05 + 40784.50ns INFO [00040786] Port=0 WR @01=6CFDB0650EAFFFC062 + 40784.50ns INFO [00040786] Port=1 RD @05 + 40785.50ns INFO [00040787] * RD COMPARE * port=0 adr=05 act=085009CAE34D753694 exp=085009CAE34D753694 + 40785.50ns INFO [00040787] Port=0 RD @02 + 40786.50ns INFO [00040788] * RD COMPARE * port=1 adr=05 act=085009CAE34D753694 exp=085009CAE34D753694 + 40786.50ns INFO [00040788] Port=0 WR @02=A63917AED33EC193B1 + 40787.50ns INFO [00040789] * RD COMPARE * port=0 adr=02 act=0FF62B253E60725690 exp=0FF62B253E60725690 + 40787.50ns INFO [00040789] Port=0 WR @02=2A7FC962D6C58549DF + 40787.50ns INFO [00040789] Port=1 RD @06 + 40788.50ns INFO [00040790] Port=0 WR @06=D27EDEB178687A4917 + 40788.50ns INFO [00040790] Port=1 RD @01 + 40789.50ns INFO [00040791] * RD COMPARE * port=1 adr=06 act=D3845D6A39B89D6819 exp=D3845D6A39B89D6819 + 40789.50ns INFO [00040791] Port=1 RD @02 + 40790.50ns INFO [00040792] * RD COMPARE * port=1 adr=01 act=6CFDB0650EAFFFC062 exp=6CFDB0650EAFFFC062 + 40790.50ns INFO [00040792] Port=0 WR @04=94038AE7BE330616F9 + 40790.50ns INFO [00040792] Port=1 RD @00 + 40791.50ns INFO [00040793] * RD COMPARE * port=1 adr=02 act=2A7FC962D6C58549DF exp=2A7FC962D6C58549DF + 40791.50ns INFO [00040793] Port=0 WR @04=077BD9AF6A5D810048 + 40792.50ns INFO [00040794] * RD COMPARE * port=1 adr=00 act=032B75D1139BC41B59 exp=032B75D1139BC41B59 + 40792.50ns INFO [00040794] Port=1 RD @02 + 40793.50ns INFO [00040795] Port=0 RD @01 + 40793.50ns INFO [00040795] Port=1 RD @02 + 40794.50ns INFO [00040796] * RD COMPARE * port=1 adr=02 act=2A7FC962D6C58549DF exp=2A7FC962D6C58549DF + 40794.50ns INFO [00040796] Port=0 RD @07 + 40794.50ns INFO [00040796] Port=1 RD @02 + 40795.50ns INFO [00040797] * RD COMPARE * port=0 adr=01 act=6CFDB0650EAFFFC062 exp=6CFDB0650EAFFFC062 + 40795.50ns INFO [00040797] * RD COMPARE * port=1 adr=02 act=2A7FC962D6C58549DF exp=2A7FC962D6C58549DF + 40796.50ns INFO [00040798] * RD COMPARE * port=0 adr=07 act=95075C8289A5DF026D exp=95075C8289A5DF026D + 40796.50ns INFO [00040798] * RD COMPARE * port=1 adr=02 act=2A7FC962D6C58549DF exp=2A7FC962D6C58549DF + 40796.50ns INFO [00040798] Port=1 RD @01 + 40797.50ns INFO [00040799] Port=0 WR @03=C79542C88902BD7174 + 40797.50ns INFO [00040799] Port=0 RD @07 + 40798.00ns INFO [00040800] [00040800] ...tick... + 40798.50ns INFO [00040800] * RD COMPARE * port=1 adr=01 act=6CFDB0650EAFFFC062 exp=6CFDB0650EAFFFC062 + 40798.50ns INFO [00040800] Port=0 RD @04 + 40798.50ns INFO [00040800] Port=1 RD @03 + 40799.50ns INFO [00040801] * RD COMPARE * port=0 adr=07 act=95075C8289A5DF026D exp=95075C8289A5DF026D + 40799.50ns INFO [00040801] Port=0 WR @04=E5F3E900358721A713 + 40800.50ns INFO [00040802] * RD COMPARE * port=0 adr=04 act=077BD9AF6A5D810048 exp=077BD9AF6A5D810048 + 40800.50ns INFO [00040802] * RD COMPARE * port=1 adr=03 act=C79542C88902BD7174 exp=C79542C88902BD7174 + 40800.50ns INFO [00040802] Port=0 RD @03 + 40801.50ns INFO [00040803] Port=1 RD @07 + 40802.50ns INFO [00040804] * RD COMPARE * port=0 adr=03 act=C79542C88902BD7174 exp=C79542C88902BD7174 + 40802.50ns INFO [00040804] Port=1 RD @02 + 40803.50ns INFO [00040805] * RD COMPARE * port=1 adr=07 act=95075C8289A5DF026D exp=95075C8289A5DF026D + 40804.50ns INFO [00040806] * RD COMPARE * port=1 adr=02 act=2A7FC962D6C58549DF exp=2A7FC962D6C58549DF + 40804.50ns INFO [00040806] Port=0 WR @04=2E3AC6058E258878EF + 40804.50ns INFO [00040806] Port=1 RD @06 + 40805.50ns INFO [00040807] Port=0 WR @02=A4A596F45C4A64A830 + 40805.50ns INFO [00040807] Port=1 RD @06 + 40806.50ns INFO [00040808] * RD COMPARE * port=1 adr=06 act=D27EDEB178687A4917 exp=D27EDEB178687A4917 + 40807.50ns INFO [00040809] * RD COMPARE * port=1 adr=06 act=D27EDEB178687A4917 exp=D27EDEB178687A4917 + 40807.50ns INFO [00040809] Port=0 RD @05 + 40808.50ns INFO [00040810] Port=0 WR @01=1BE587E77B0A367C1F + 40808.50ns INFO [00040810] Port=1 RD @03 + 40809.50ns INFO [00040811] * RD COMPARE * port=0 adr=05 act=085009CAE34D753694 exp=085009CAE34D753694 + 40810.50ns INFO [00040812] * RD COMPARE * port=1 adr=03 act=C79542C88902BD7174 exp=C79542C88902BD7174 + 40810.50ns INFO [00040812] Port=0 RD @07 + 40812.50ns INFO [00040814] * RD COMPARE * port=0 adr=07 act=95075C8289A5DF026D exp=95075C8289A5DF026D + 40812.50ns INFO [00040814] Port=0 WR @00=C31C4B3E15B36F1A19 + 40812.50ns INFO [00040814] Port=0 RD @01 + 40813.50ns INFO [00040815] Port=0 RD @00 + 40813.50ns INFO [00040815] Port=1 RD @00 + 40814.50ns INFO [00040816] * RD COMPARE * port=0 adr=01 act=1BE587E77B0A367C1F exp=1BE587E77B0A367C1F + 40814.50ns INFO [00040816] Port=0 WR @07=EB40552D61D11127E9 + 40814.50ns INFO [00040816] Port=0 RD @05 + 40814.50ns INFO [00040816] Port=1 RD @02 + 40815.50ns INFO [00040817] * RD COMPARE * port=0 adr=00 act=C31C4B3E15B36F1A19 exp=C31C4B3E15B36F1A19 + 40815.50ns INFO [00040817] * RD COMPARE * port=1 adr=00 act=C31C4B3E15B36F1A19 exp=C31C4B3E15B36F1A19 + 40815.50ns INFO [00040817] Port=0 RD @05 + 40816.50ns INFO [00040818] * RD COMPARE * port=0 adr=05 act=085009CAE34D753694 exp=085009CAE34D753694 + 40816.50ns INFO [00040818] * RD COMPARE * port=1 adr=02 act=A4A596F45C4A64A830 exp=A4A596F45C4A64A830 + 40816.50ns INFO [00040818] Port=1 RD @03 + 40817.50ns INFO [00040819] * RD COMPARE * port=0 adr=05 act=085009CAE34D753694 exp=085009CAE34D753694 + 40817.50ns INFO [00040819] Port=0 RD @02 + 40818.50ns INFO [00040820] * RD COMPARE * port=1 adr=03 act=C79542C88902BD7174 exp=C79542C88902BD7174 + 40818.50ns INFO [00040820] Port=0 WR @05=03F7AA3CB1A5DDD291 + 40818.50ns INFO [00040820] Port=1 RD @01 + 40819.50ns INFO [00040821] * RD COMPARE * port=0 adr=02 act=A4A596F45C4A64A830 exp=A4A596F45C4A64A830 + 40819.50ns INFO [00040821] Port=1 RD @07 + 40820.50ns INFO [00040822] * RD COMPARE * port=1 adr=01 act=1BE587E77B0A367C1F exp=1BE587E77B0A367C1F + 40821.50ns INFO [00040823] * RD COMPARE * port=1 adr=07 act=EB40552D61D11127E9 exp=EB40552D61D11127E9 + 40821.50ns INFO [00040823] Port=1 RD @07 + 40822.50ns INFO [00040824] Port=0 WR @00=9018BC76BDC156327C + 40822.50ns INFO [00040824] Port=0 RD @03 + 40822.50ns INFO [00040824] Port=1 RD @01 + 40823.50ns INFO [00040825] * RD COMPARE * port=1 adr=07 act=EB40552D61D11127E9 exp=EB40552D61D11127E9 + 40824.50ns INFO [00040826] * RD COMPARE * port=0 adr=03 act=C79542C88902BD7174 exp=C79542C88902BD7174 + 40824.50ns INFO [00040826] * RD COMPARE * port=1 adr=01 act=1BE587E77B0A367C1F exp=1BE587E77B0A367C1F + 40824.50ns INFO [00040826] Port=0 WR @02=DBB3E5F2EBEB3C3C55 + 40824.50ns INFO [00040826] Port=1 RD @07 + 40825.50ns INFO [00040827] Port=1 RD @00 + 40826.50ns INFO [00040828] * RD COMPARE * port=1 adr=07 act=EB40552D61D11127E9 exp=EB40552D61D11127E9 + 40826.50ns INFO [00040828] Port=0 RD @05 + 40826.50ns INFO [00040828] Port=1 RD @00 + 40827.50ns INFO [00040829] * RD COMPARE * port=1 adr=00 act=9018BC76BDC156327C exp=9018BC76BDC156327C + 40828.50ns INFO [00040830] * RD COMPARE * port=0 adr=05 act=03F7AA3CB1A5DDD291 exp=03F7AA3CB1A5DDD291 + 40828.50ns INFO [00040830] * RD COMPARE * port=1 adr=00 act=9018BC76BDC156327C exp=9018BC76BDC156327C + 40828.50ns INFO [00040830] Port=0 RD @01 + 40829.50ns INFO [00040831] Port=1 RD @06 + 40830.50ns INFO [00040832] * RD COMPARE * port=0 adr=01 act=1BE587E77B0A367C1F exp=1BE587E77B0A367C1F + 40830.50ns INFO [00040832] Port=1 RD @02 + 40831.50ns INFO [00040833] * RD COMPARE * port=1 adr=06 act=D27EDEB178687A4917 exp=D27EDEB178687A4917 + 40831.50ns INFO [00040833] Port=0 WR @05=892066871241B969F2 + 40832.50ns INFO [00040834] * RD COMPARE * port=1 adr=02 act=DBB3E5F2EBEB3C3C55 exp=DBB3E5F2EBEB3C3C55 + 40833.50ns INFO [00040835] Port=1 RD @05 + 40834.50ns INFO [00040836] Port=0 RD @03 + 40835.50ns INFO [00040837] * RD COMPARE * port=1 adr=05 act=892066871241B969F2 exp=892066871241B969F2 + 40836.50ns INFO [00040838] * RD COMPARE * port=0 adr=03 act=C79542C88902BD7174 exp=C79542C88902BD7174 + 40839.50ns INFO [00040841] Port=0 WR @04=F542138D1F605DE67E + 40840.50ns INFO [00040842] Port=0 RD @00 + 40841.50ns INFO [00040843] Port=0 RD @05 + 40841.50ns INFO [00040843] Port=1 RD @03 + 40842.50ns INFO [00040844] * RD COMPARE * port=0 adr=00 act=9018BC76BDC156327C exp=9018BC76BDC156327C + 40843.50ns INFO [00040845] * RD COMPARE * port=0 adr=05 act=892066871241B969F2 exp=892066871241B969F2 + 40843.50ns INFO [00040845] * RD COMPARE * port=1 adr=03 act=C79542C88902BD7174 exp=C79542C88902BD7174 + 40843.50ns INFO [00040845] Port=0 RD @06 + 40843.50ns INFO [00040845] Port=1 RD @00 + 40844.50ns INFO [00040846] Port=0 RD @02 + 40845.50ns INFO [00040847] * RD COMPARE * port=0 adr=06 act=D27EDEB178687A4917 exp=D27EDEB178687A4917 + 40845.50ns INFO [00040847] * RD COMPARE * port=1 adr=00 act=9018BC76BDC156327C exp=9018BC76BDC156327C + 40845.50ns INFO [00040847] Port=0 RD @00 + 40846.50ns INFO [00040848] * RD COMPARE * port=0 adr=02 act=DBB3E5F2EBEB3C3C55 exp=DBB3E5F2EBEB3C3C55 + 40846.50ns INFO [00040848] Port=1 RD @06 + 40847.50ns INFO [00040849] * RD COMPARE * port=0 adr=00 act=9018BC76BDC156327C exp=9018BC76BDC156327C + 40847.50ns INFO [00040849] Port=1 RD @04 + 40848.50ns INFO [00040850] * RD COMPARE * port=1 adr=06 act=D27EDEB178687A4917 exp=D27EDEB178687A4917 + 40848.50ns INFO [00040850] Port=0 WR @07=FC331F2301F880C1BD + 40849.50ns INFO [00040851] * RD COMPARE * port=1 adr=04 act=F542138D1F605DE67E exp=F542138D1F605DE67E + 40849.50ns INFO [00040851] Port=0 WR @03=15AD1F027870D793E1 + 40851.50ns INFO [00040853] Port=0 WR @03=83E6501E53CC0EC261 + 40851.50ns INFO [00040853] Port=1 RD @05 + 40852.50ns INFO [00040854] Port=0 WR @01=E22D8071F00F408FE6 + 40852.50ns INFO [00040854] Port=0 RD @06 + 40853.50ns INFO [00040855] * RD COMPARE * port=1 adr=05 act=892066871241B969F2 exp=892066871241B969F2 + 40853.50ns INFO [00040855] Port=0 RD @07 + 40854.50ns INFO [00040856] * RD COMPARE * port=0 adr=06 act=D27EDEB178687A4917 exp=D27EDEB178687A4917 + 40854.50ns INFO [00040856] Port=0 WR @01=56B415C0A99B0E33D1 + 40854.50ns INFO [00040856] Port=0 RD @00 + 40855.50ns INFO [00040857] * RD COMPARE * port=0 adr=07 act=FC331F2301F880C1BD exp=FC331F2301F880C1BD + 40855.50ns INFO [00040857] Port=0 WR @00=D6C67F13CEA4F786D1 + 40856.50ns INFO [00040858] * RD COMPARE * port=0 adr=00 act=9018BC76BDC156327C exp=9018BC76BDC156327C + 40857.50ns INFO [00040859] Port=0 WR @04=C29212A0640A02EC3B + 40857.50ns INFO [00040859] Port=1 RD @01 + 40858.50ns INFO [00040860] Port=1 RD @00 + 40859.50ns INFO [00040861] * RD COMPARE * port=1 adr=01 act=56B415C0A99B0E33D1 exp=56B415C0A99B0E33D1 + 40859.50ns INFO [00040861] Port=0 RD @01 + 40860.50ns INFO [00040862] * RD COMPARE * port=1 adr=00 act=D6C67F13CEA4F786D1 exp=D6C67F13CEA4F786D1 + 40860.50ns INFO [00040862] Port=0 WR @01=A247EBC82789DA0661 + 40860.50ns INFO [00040862] Port=0 RD @06 + 40861.50ns INFO [00040863] * RD COMPARE * port=0 adr=01 act=56B415C0A99B0E33D1 exp=56B415C0A99B0E33D1 + 40861.50ns INFO [00040863] Port=0 WR @00=D28AB6CCE67BC911CE + 40862.50ns INFO [00040864] * RD COMPARE * port=0 adr=06 act=D27EDEB178687A4917 exp=D27EDEB178687A4917 + 40863.50ns INFO [00040865] Port=1 RD @07 + 40865.50ns INFO [00040867] * RD COMPARE * port=1 adr=07 act=FC331F2301F880C1BD exp=FC331F2301F880C1BD + 40865.50ns INFO [00040867] Port=0 RD @05 + 40866.50ns INFO [00040868] Port=0 WR @00=92701061FEF5749C9A + 40866.50ns INFO [00040868] Port=0 RD @04 + 40867.50ns INFO [00040869] * RD COMPARE * port=0 adr=05 act=892066871241B969F2 exp=892066871241B969F2 + 40867.50ns INFO [00040869] Port=0 WR @00=40819B386B0BB8D1F8 + 40867.50ns INFO [00040869] Port=0 RD @07 + 40868.50ns INFO [00040870] * RD COMPARE * port=0 adr=04 act=C29212A0640A02EC3B exp=C29212A0640A02EC3B + 40868.50ns INFO [00040870] Port=1 RD @04 + 40869.50ns INFO [00040871] * RD COMPARE * port=0 adr=07 act=FC331F2301F880C1BD exp=FC331F2301F880C1BD + 40870.50ns INFO [00040872] * RD COMPARE * port=1 adr=04 act=C29212A0640A02EC3B exp=C29212A0640A02EC3B + 40870.50ns INFO [00040872] Port=0 RD @02 + 40871.50ns INFO [00040873] Port=0 WR @07=8B4132A6BFDA797755 + 40872.50ns INFO [00040874] * RD COMPARE * port=0 adr=02 act=DBB3E5F2EBEB3C3C55 exp=DBB3E5F2EBEB3C3C55 + 40872.50ns INFO [00040874] Port=0 WR @06=4E676E7160704CCF54 + 40872.50ns INFO [00040874] Port=0 RD @01 + 40872.50ns INFO [00040874] Port=1 RD @01 + 40873.50ns INFO [00040875] Port=0 RD @05 + 40873.50ns INFO [00040875] Port=1 RD @00 + 40874.50ns INFO [00040876] * RD COMPARE * port=0 adr=01 act=A247EBC82789DA0661 exp=A247EBC82789DA0661 + 40874.50ns INFO [00040876] * RD COMPARE * port=1 adr=01 act=A247EBC82789DA0661 exp=A247EBC82789DA0661 + 40874.50ns INFO [00040876] Port=0 WR @05=6BC7E04E9E083C4903 + 40874.50ns INFO [00040876] Port=0 RD @00 + 40874.50ns INFO [00040876] Port=1 RD @00 + 40875.50ns INFO [00040877] * RD COMPARE * port=0 adr=05 act=892066871241B969F2 exp=892066871241B969F2 + 40875.50ns INFO [00040877] * RD COMPARE * port=1 adr=00 act=40819B386B0BB8D1F8 exp=40819B386B0BB8D1F8 + 40875.50ns INFO [00040877] Port=1 RD @02 + 40876.50ns INFO [00040878] * RD COMPARE * port=0 adr=00 act=40819B386B0BB8D1F8 exp=40819B386B0BB8D1F8 + 40876.50ns INFO [00040878] * RD COMPARE * port=1 adr=00 act=40819B386B0BB8D1F8 exp=40819B386B0BB8D1F8 + 40877.50ns INFO [00040879] * RD COMPARE * port=1 adr=02 act=DBB3E5F2EBEB3C3C55 exp=DBB3E5F2EBEB3C3C55 + 40877.50ns INFO [00040879] Port=0 WR @02=5CD54F7DEAE16AEF75 + 40878.50ns INFO [00040880] Port=0 WR @02=E60F34DB7B2FE77781 + 40878.50ns INFO [00040880] Port=0 RD @03 + 40879.50ns INFO [00040881] Port=0 WR @02=94DDB983258E1EED34 + 40879.50ns INFO [00040881] Port=1 RD @00 + 40880.50ns INFO [00040882] * RD COMPARE * port=0 adr=03 act=83E6501E53CC0EC261 exp=83E6501E53CC0EC261 + 40881.50ns INFO [00040883] * RD COMPARE * port=1 adr=00 act=40819B386B0BB8D1F8 exp=40819B386B0BB8D1F8 + 40881.50ns INFO [00040883] Port=1 RD @05 + 40882.50ns INFO [00040884] Port=0 WR @02=67FC0CF570A98C1C1E + 40883.50ns INFO [00040885] * RD COMPARE * port=1 adr=05 act=6BC7E04E9E083C4903 exp=6BC7E04E9E083C4903 + 40883.50ns INFO [00040885] Port=0 WR @05=97BF8675303C008C23 + 40883.50ns INFO [00040885] Port=0 RD @02 + 40883.50ns INFO [00040885] Port=1 RD @03 + 40884.50ns INFO [00040886] Port=0 RD @00 + 40884.50ns INFO [00040886] Port=1 RD @01 + 40885.50ns INFO [00040887] * RD COMPARE * port=0 adr=02 act=67FC0CF570A98C1C1E exp=67FC0CF570A98C1C1E + 40885.50ns INFO [00040887] * RD COMPARE * port=1 adr=03 act=83E6501E53CC0EC261 exp=83E6501E53CC0EC261 + 40885.50ns INFO [00040887] Port=0 WR @01=35E47771A45BB2F603 + 40885.50ns INFO [00040887] Port=0 RD @00 + 40886.50ns INFO [00040888] * RD COMPARE * port=0 adr=00 act=40819B386B0BB8D1F8 exp=40819B386B0BB8D1F8 + 40886.50ns INFO [00040888] * RD COMPARE * port=1 adr=01 act=A247EBC82789DA0661 exp=A247EBC82789DA0661 + 40886.50ns INFO [00040888] Port=1 RD @03 + 40887.50ns INFO [00040889] * RD COMPARE * port=0 adr=00 act=40819B386B0BB8D1F8 exp=40819B386B0BB8D1F8 + 40887.50ns INFO [00040889] Port=0 RD @01 + 40888.50ns INFO [00040890] * RD COMPARE * port=1 adr=03 act=83E6501E53CC0EC261 exp=83E6501E53CC0EC261 + 40888.50ns INFO [00040890] Port=0 WR @06=6ACA45F8333816A0CA + 40888.50ns INFO [00040890] Port=1 RD @04 + 40889.50ns INFO [00040891] * RD COMPARE * port=0 adr=01 act=35E47771A45BB2F603 exp=35E47771A45BB2F603 + 40889.50ns INFO [00040891] Port=0 WR @00=91FB040CB07C11223C + 40890.50ns INFO [00040892] * RD COMPARE * port=1 adr=04 act=C29212A0640A02EC3B exp=C29212A0640A02EC3B + 40890.50ns INFO [00040892] Port=0 RD @07 + 40891.50ns INFO [00040893] Port=0 WR @02=26B1E27B1B850EBA77 + 40892.50ns INFO [00040894] * RD COMPARE * port=0 adr=07 act=8B4132A6BFDA797755 exp=8B4132A6BFDA797755 + 40892.50ns INFO [00040894] Port=0 WR @06=5009DB0FEC4523416D + 40892.50ns INFO [00040894] Port=0 RD @05 + 40892.50ns INFO [00040894] Port=1 RD @04 + 40893.50ns INFO [00040895] Port=0 RD @04 + 40894.50ns INFO [00040896] * RD COMPARE * port=0 adr=05 act=97BF8675303C008C23 exp=97BF8675303C008C23 + 40894.50ns INFO [00040896] * RD COMPARE * port=1 adr=04 act=C29212A0640A02EC3B exp=C29212A0640A02EC3B + 40895.50ns INFO [00040897] * RD COMPARE * port=0 adr=04 act=C29212A0640A02EC3B exp=C29212A0640A02EC3B + 40895.50ns INFO [00040897] Port=0 RD @01 + 40895.50ns INFO [00040897] Port=1 RD @03 + 40896.50ns INFO [00040898] Port=1 RD @05 + 40897.50ns INFO [00040899] * RD COMPARE * port=0 adr=01 act=35E47771A45BB2F603 exp=35E47771A45BB2F603 + 40897.50ns INFO [00040899] * RD COMPARE * port=1 adr=03 act=83E6501E53CC0EC261 exp=83E6501E53CC0EC261 + 40897.50ns INFO [00040899] Port=0 WR @02=9F0476D1D54F9C9631 + 40898.00ns INFO [00040900] [00040900] ...tick... + 40898.50ns INFO [00040900] * RD COMPARE * port=1 adr=05 act=97BF8675303C008C23 exp=97BF8675303C008C23 + 40898.50ns INFO [00040900] Port=0 WR @07=49356E7F415A0EBBAB + 40898.50ns INFO [00040900] Port=0 RD @02 + 40899.50ns INFO [00040901] Port=0 RD @06 + 40899.50ns INFO [00040901] Port=1 RD @03 + 40900.50ns INFO [00040902] * RD COMPARE * port=0 adr=02 act=9F0476D1D54F9C9631 exp=9F0476D1D54F9C9631 + 40900.50ns INFO [00040902] Port=0 WR @03=7F00E17586F3FD0F77 + 40900.50ns INFO [00040902] Port=0 RD @00 + 40901.50ns INFO [00040903] * RD COMPARE * port=0 adr=06 act=5009DB0FEC4523416D exp=5009DB0FEC4523416D + 40901.50ns INFO [00040903] * RD COMPARE * port=1 adr=03 act=83E6501E53CC0EC261 exp=83E6501E53CC0EC261 + 40901.50ns INFO [00040903] Port=1 RD @06 + 40902.50ns INFO [00040904] * RD COMPARE * port=0 adr=00 act=91FB040CB07C11223C exp=91FB040CB07C11223C + 40902.50ns INFO [00040904] Port=1 RD @06 + 40903.50ns INFO [00040905] * RD COMPARE * port=1 adr=06 act=5009DB0FEC4523416D exp=5009DB0FEC4523416D + 40903.50ns INFO [00040905] Port=0 RD @05 + 40903.50ns INFO [00040905] Port=1 RD @07 + 40904.50ns INFO [00040906] * RD COMPARE * port=1 adr=06 act=5009DB0FEC4523416D exp=5009DB0FEC4523416D + 40904.50ns INFO [00040906] Port=0 WR @06=C018976C6AEC81F5A6 + 40904.50ns INFO [00040906] Port=0 RD @01 + 40905.50ns INFO [00040907] * RD COMPARE * port=0 adr=05 act=97BF8675303C008C23 exp=97BF8675303C008C23 + 40905.50ns INFO [00040907] * RD COMPARE * port=1 adr=07 act=49356E7F415A0EBBAB exp=49356E7F415A0EBBAB + 40905.50ns INFO [00040907] Port=0 WR @04=9D5544F6629EAD8618 + 40905.50ns INFO [00040907] Port=1 RD @07 + 40906.50ns INFO [00040908] * RD COMPARE * port=0 adr=01 act=35E47771A45BB2F603 exp=35E47771A45BB2F603 + 40907.50ns INFO [00040909] * RD COMPARE * port=1 adr=07 act=49356E7F415A0EBBAB exp=49356E7F415A0EBBAB + 40907.50ns INFO [00040909] Port=0 RD @07 + 40907.50ns INFO [00040909] Port=1 RD @04 + 40908.50ns INFO [00040910] Port=0 RD @06 + 40908.50ns INFO [00040910] Port=1 RD @07 + 40909.50ns INFO [00040911] * RD COMPARE * port=0 adr=07 act=49356E7F415A0EBBAB exp=49356E7F415A0EBBAB + 40909.50ns INFO [00040911] * RD COMPARE * port=1 adr=04 act=9D5544F6629EAD8618 exp=9D5544F6629EAD8618 + 40909.50ns INFO [00040911] Port=0 WR @01=9B83AE9A8F2A7C4767 + 40910.50ns INFO [00040912] * RD COMPARE * port=0 adr=06 act=C018976C6AEC81F5A6 exp=C018976C6AEC81F5A6 + 40910.50ns INFO [00040912] * RD COMPARE * port=1 adr=07 act=49356E7F415A0EBBAB exp=49356E7F415A0EBBAB + 40910.50ns INFO [00040912] Port=0 WR @02=58E0DAB7230F00EFE3 + 40910.50ns INFO [00040912] Port=0 RD @01 + 40912.50ns INFO [00040914] * RD COMPARE * port=0 adr=01 act=9B83AE9A8F2A7C4767 exp=9B83AE9A8F2A7C4767 + 40912.50ns INFO [00040914] Port=0 WR @02=F72E40ACCC8C183F52 + 40913.50ns INFO [00040915] Port=0 RD @02 + 40913.50ns INFO [00040915] Port=1 RD @02 + 40914.50ns INFO [00040916] Port=0 RD @01 + 40915.50ns INFO [00040917] * RD COMPARE * port=0 adr=02 act=F72E40ACCC8C183F52 exp=F72E40ACCC8C183F52 + 40915.50ns INFO [00040917] * RD COMPARE * port=1 adr=02 act=F72E40ACCC8C183F52 exp=F72E40ACCC8C183F52 + 40915.50ns INFO [00040917] Port=0 WR @05=1BE9E51B7B58CACAA3 + 40916.50ns INFO [00040918] * RD COMPARE * port=0 adr=01 act=9B83AE9A8F2A7C4767 exp=9B83AE9A8F2A7C4767 + 40916.50ns INFO [00040918] Port=0 WR @01=444D9A7E330089ADC2 + 40918.50ns INFO [00040920] Port=0 WR @01=18552D4B36AA02321C + 40919.50ns INFO [00040921] Port=1 RD @00 + 40920.50ns INFO [00040922] Port=1 RD @00 + 40921.50ns INFO [00040923] * RD COMPARE * port=1 adr=00 act=91FB040CB07C11223C exp=91FB040CB07C11223C + 40921.50ns INFO [00040923] Port=0 RD @07 + 40922.50ns INFO [00040924] * RD COMPARE * port=1 adr=00 act=91FB040CB07C11223C exp=91FB040CB07C11223C + 40922.50ns INFO [00040924] Port=0 WR @01=298BE44D19CA6AB36C + 40922.50ns INFO [00040924] Port=0 RD @06 + 40923.50ns INFO [00040925] * RD COMPARE * port=0 adr=07 act=49356E7F415A0EBBAB exp=49356E7F415A0EBBAB + 40923.50ns INFO [00040925] Port=0 WR @04=D693094DC02BC2CFAD + 40923.50ns INFO [00040925] Port=0 RD @06 + 40924.50ns INFO [00040926] * RD COMPARE * port=0 adr=06 act=C018976C6AEC81F5A6 exp=C018976C6AEC81F5A6 + 40924.50ns INFO [00040926] Port=0 RD @02 + 40925.50ns INFO [00040927] * RD COMPARE * port=0 adr=06 act=C018976C6AEC81F5A6 exp=C018976C6AEC81F5A6 + 40925.50ns INFO [00040927] Port=0 WR @00=F1BBEEF41532C68378 + 40925.50ns INFO [00040927] Port=1 RD @06 + 40926.50ns INFO [00040928] * RD COMPARE * port=0 adr=02 act=F72E40ACCC8C183F52 exp=F72E40ACCC8C183F52 + 40926.50ns INFO [00040928] Port=1 RD @01 + 40927.50ns INFO [00040929] * RD COMPARE * port=1 adr=06 act=C018976C6AEC81F5A6 exp=C018976C6AEC81F5A6 + 40927.50ns INFO [00040929] Port=0 RD @05 + 40928.50ns INFO [00040930] * RD COMPARE * port=1 adr=01 act=298BE44D19CA6AB36C exp=298BE44D19CA6AB36C + 40928.50ns INFO [00040930] Port=1 RD @07 + 40929.50ns INFO [00040931] * RD COMPARE * port=0 adr=05 act=1BE9E51B7B58CACAA3 exp=1BE9E51B7B58CACAA3 + 40929.50ns INFO [00040931] Port=1 RD @04 + 40930.50ns INFO [00040932] * RD COMPARE * port=1 adr=07 act=49356E7F415A0EBBAB exp=49356E7F415A0EBBAB + 40931.50ns INFO [00040933] * RD COMPARE * port=1 adr=04 act=D693094DC02BC2CFAD exp=D693094DC02BC2CFAD + 40931.50ns INFO [00040933] Port=0 RD @07 + 40931.50ns INFO [00040933] Port=1 RD @04 + 40932.50ns INFO [00040934] Port=0 WR @06=5D7A0A812658ECB933 + 40932.50ns INFO [00040934] Port=1 RD @02 + 40933.50ns INFO [00040935] * RD COMPARE * port=0 adr=07 act=49356E7F415A0EBBAB exp=49356E7F415A0EBBAB + 40933.50ns INFO [00040935] * RD COMPARE * port=1 adr=04 act=D693094DC02BC2CFAD exp=D693094DC02BC2CFAD + 40933.50ns INFO [00040935] Port=1 RD @02 + 40934.50ns INFO [00040936] * RD COMPARE * port=1 adr=02 act=F72E40ACCC8C183F52 exp=F72E40ACCC8C183F52 + 40934.50ns INFO [00040936] Port=0 WR @02=819119B5A8E8891AA2 + 40935.50ns INFO [00040937] * RD COMPARE * port=1 adr=02 act=F72E40ACCC8C183F52 exp=F72E40ACCC8C183F52 + 40935.50ns INFO [00040937] Port=0 RD @01 + 40937.50ns INFO [00040939] * RD COMPARE * port=0 adr=01 act=298BE44D19CA6AB36C exp=298BE44D19CA6AB36C + 40938.50ns INFO [00040940] Port=0 RD @03 + 40939.50ns INFO [00040941] Port=0 RD @02 + 40940.50ns INFO [00040942] * RD COMPARE * port=0 adr=03 act=7F00E17586F3FD0F77 exp=7F00E17586F3FD0F77 + 40940.50ns INFO [00040942] Port=1 RD @01 + 40941.50ns INFO [00040943] * RD COMPARE * port=0 adr=02 act=819119B5A8E8891AA2 exp=819119B5A8E8891AA2 + 40941.50ns INFO [00040943] Port=0 RD @02 + 40941.50ns INFO [00040943] Port=1 RD @00 + 40942.50ns INFO [00040944] * RD COMPARE * port=1 adr=01 act=298BE44D19CA6AB36C exp=298BE44D19CA6AB36C + 40942.50ns INFO [00040944] Port=0 WR @06=37B8DD7892BEC70C83 + 40942.50ns INFO [00040944] Port=0 RD @00 + 40943.50ns INFO [00040945] * RD COMPARE * port=0 adr=02 act=819119B5A8E8891AA2 exp=819119B5A8E8891AA2 + 40943.50ns INFO [00040945] * RD COMPARE * port=1 adr=00 act=F1BBEEF41532C68378 exp=F1BBEEF41532C68378 + 40944.50ns INFO [00040946] * RD COMPARE * port=0 adr=00 act=F1BBEEF41532C68378 exp=F1BBEEF41532C68378 + 40944.50ns INFO [00040946] Port=0 RD @07 + 40945.50ns INFO [00040947] Port=1 RD @06 + 40946.50ns INFO [00040948] * RD COMPARE * port=0 adr=07 act=49356E7F415A0EBBAB exp=49356E7F415A0EBBAB + 40946.50ns INFO [00040948] Port=0 WR @06=0ED9A2E7349F26ADFF + 40946.50ns INFO [00040948] Port=0 RD @05 + 40947.50ns INFO [00040949] * RD COMPARE * port=1 adr=06 act=37B8DD7892BEC70C83 exp=37B8DD7892BEC70C83 + 40947.50ns INFO [00040949] Port=0 WR @07=A76C70B04C1B89CB3E + 40947.50ns INFO [00040949] Port=1 RD @02 + 40948.50ns INFO [00040950] * RD COMPARE * port=0 adr=05 act=1BE9E51B7B58CACAA3 exp=1BE9E51B7B58CACAA3 + 40948.50ns INFO [00040950] Port=0 WR @04=81CEF62A272C6C75F5 + 40948.50ns INFO [00040950] Port=0 RD @07 + 40949.50ns INFO [00040951] * RD COMPARE * port=1 adr=02 act=819119B5A8E8891AA2 exp=819119B5A8E8891AA2 + 40950.50ns INFO [00040952] * RD COMPARE * port=0 adr=07 act=A76C70B04C1B89CB3E exp=A76C70B04C1B89CB3E + 40950.50ns INFO [00040952] Port=0 WR @07=B74561FBE43CD60C4C + 40951.50ns INFO [00040953] Port=0 RD @04 + 40951.50ns INFO [00040953] Port=1 RD @03 + 40953.50ns INFO [00040955] * RD COMPARE * port=0 adr=04 act=81CEF62A272C6C75F5 exp=81CEF62A272C6C75F5 + 40953.50ns INFO [00040955] * RD COMPARE * port=1 adr=03 act=7F00E17586F3FD0F77 exp=7F00E17586F3FD0F77 + 40954.50ns INFO [00040956] Port=1 RD @00 + 40956.50ns INFO [00040958] * RD COMPARE * port=1 adr=00 act=F1BBEEF41532C68378 exp=F1BBEEF41532C68378 + 40956.50ns INFO [00040958] Port=1 RD @01 + 40957.50ns INFO [00040959] Port=1 RD @07 + 40958.50ns INFO [00040960] * RD COMPARE * port=1 adr=01 act=298BE44D19CA6AB36C exp=298BE44D19CA6AB36C + 40958.50ns INFO [00040960] Port=1 RD @07 + 40959.50ns INFO [00040961] * RD COMPARE * port=1 adr=07 act=B74561FBE43CD60C4C exp=B74561FBE43CD60C4C + 40959.50ns INFO [00040961] Port=0 WR @03=AFAD439E47235C02C9 + 40960.50ns INFO [00040962] * RD COMPARE * port=1 adr=07 act=B74561FBE43CD60C4C exp=B74561FBE43CD60C4C + 40960.50ns INFO [00040962] Port=0 RD @04 + 40961.50ns INFO [00040963] Port=0 WR @02=04F63AC94CAED13631 + 40962.50ns INFO [00040964] * RD COMPARE * port=0 adr=04 act=81CEF62A272C6C75F5 exp=81CEF62A272C6C75F5 + 40962.50ns INFO [00040964] Port=0 WR @02=E557ACD15579386E39 + 40962.50ns INFO [00040964] Port=0 RD @06 + 40963.50ns INFO [00040965] Port=0 WR @05=C38CAC774DC828624A + 40964.50ns INFO [00040966] * RD COMPARE * port=0 adr=06 act=0ED9A2E7349F26ADFF exp=0ED9A2E7349F26ADFF + 40965.50ns INFO [00040967] Port=0 WR @05=E644203C4BD31A2B50 + 40966.50ns INFO [00040968] Port=0 WR @06=D0249FCEAAACD58840 + 40967.50ns INFO [00040969] Port=0 RD @02 + 40968.50ns INFO [00040970] Port=0 RD @00 + 40969.50ns INFO [00040971] * RD COMPARE * port=0 adr=02 act=E557ACD15579386E39 exp=E557ACD15579386E39 + 40969.50ns INFO [00040971] Port=1 RD @06 + 40970.50ns INFO [00040972] * RD COMPARE * port=0 adr=00 act=F1BBEEF41532C68378 exp=F1BBEEF41532C68378 + 40971.50ns INFO [00040973] * RD COMPARE * port=1 adr=06 act=D0249FCEAAACD58840 exp=D0249FCEAAACD58840 + 40971.50ns INFO [00040973] Port=1 RD @00 + 40972.50ns INFO [00040974] Port=0 WR @02=AEF5D8ED3310B86DDC + 40972.50ns INFO [00040974] Port=0 RD @05 + 40973.50ns INFO [00040975] * RD COMPARE * port=1 adr=00 act=F1BBEEF41532C68378 exp=F1BBEEF41532C68378 + 40973.50ns INFO [00040975] Port=1 RD @00 + 40974.50ns INFO [00040976] * RD COMPARE * port=0 adr=05 act=E644203C4BD31A2B50 exp=E644203C4BD31A2B50 + 40974.50ns INFO [00040976] Port=1 RD @02 + 40975.50ns INFO [00040977] * RD COMPARE * port=1 adr=00 act=F1BBEEF41532C68378 exp=F1BBEEF41532C68378 + 40975.50ns INFO [00040977] Port=0 WR @07=408B20367494DDDA90 + 40976.50ns INFO [00040978] * RD COMPARE * port=1 adr=02 act=AEF5D8ED3310B86DDC exp=AEF5D8ED3310B86DDC + 40976.50ns INFO [00040978] Port=1 RD @02 + 40977.50ns INFO [00040979] Port=0 RD @00 + 40977.50ns INFO [00040979] Port=1 RD @03 + 40978.50ns INFO [00040980] * RD COMPARE * port=1 adr=02 act=AEF5D8ED3310B86DDC exp=AEF5D8ED3310B86DDC + 40979.50ns INFO [00040981] * RD COMPARE * port=0 adr=00 act=F1BBEEF41532C68378 exp=F1BBEEF41532C68378 + 40979.50ns INFO [00040981] * RD COMPARE * port=1 adr=03 act=AFAD439E47235C02C9 exp=AFAD439E47235C02C9 + 40981.50ns INFO [00040983] Port=0 WR @05=B8133CA955D1EFF896 + 40982.50ns INFO [00040984] Port=0 RD @04 + 40983.50ns INFO [00040985] Port=0 WR @01=98D749F8A4F146BBD3 + 40983.50ns INFO [00040985] Port=1 RD @00 + 40984.50ns INFO [00040986] * RD COMPARE * port=0 adr=04 act=81CEF62A272C6C75F5 exp=81CEF62A272C6C75F5 + 40985.50ns INFO [00040987] * RD COMPARE * port=1 adr=00 act=F1BBEEF41532C68378 exp=F1BBEEF41532C68378 + 40985.50ns INFO [00040987] Port=0 RD @03 + 40985.50ns INFO [00040987] Port=1 RD @06 + 40986.50ns INFO [00040988] Port=1 RD @02 + 40987.50ns INFO [00040989] * RD COMPARE * port=0 adr=03 act=AFAD439E47235C02C9 exp=AFAD439E47235C02C9 + 40987.50ns INFO [00040989] * RD COMPARE * port=1 adr=06 act=D0249FCEAAACD58840 exp=D0249FCEAAACD58840 + 40987.50ns INFO [00040989] Port=0 RD @05 + 40988.50ns INFO [00040990] * RD COMPARE * port=1 adr=02 act=AEF5D8ED3310B86DDC exp=AEF5D8ED3310B86DDC + 40988.50ns INFO [00040990] Port=0 WR @03=F47A29D53BFC2B8705 + 40989.50ns INFO [00040991] * RD COMPARE * port=0 adr=05 act=B8133CA955D1EFF896 exp=B8133CA955D1EFF896 + 40989.50ns INFO [00040991] Port=1 RD @00 + 40990.50ns INFO [00040992] Port=0 WR @05=EF01070064B2BF1062 + 40990.50ns INFO [00040992] Port=1 RD @06 + 40991.50ns INFO [00040993] * RD COMPARE * port=1 adr=00 act=F1BBEEF41532C68378 exp=F1BBEEF41532C68378 + 40991.50ns INFO [00040993] Port=0 RD @00 + 40992.50ns INFO [00040994] * RD COMPARE * port=1 adr=06 act=D0249FCEAAACD58840 exp=D0249FCEAAACD58840 + 40993.50ns INFO [00040995] * RD COMPARE * port=0 adr=00 act=F1BBEEF41532C68378 exp=F1BBEEF41532C68378 + 40993.50ns INFO [00040995] Port=0 WR @07=2FCD16C3CF1882A80B + 40993.50ns INFO [00040995] Port=0 RD @06 + 40993.50ns INFO [00040995] Port=1 RD @06 + 40994.50ns INFO [00040996] Port=0 WR @00=AE1C932980B08FC978 + 40994.50ns INFO [00040996] Port=0 RD @03 + 40995.50ns INFO [00040997] * RD COMPARE * port=0 adr=06 act=D0249FCEAAACD58840 exp=D0249FCEAAACD58840 + 40995.50ns INFO [00040997] * RD COMPARE * port=1 adr=06 act=D0249FCEAAACD58840 exp=D0249FCEAAACD58840 + 40996.50ns INFO [00040998] * RD COMPARE * port=0 adr=03 act=F47A29D53BFC2B8705 exp=F47A29D53BFC2B8705 + 40997.50ns INFO [00040999] Port=0 WR @06=C75B770A4E24A97CC2 + 40998.00ns INFO [00041000] [00041000] ...tick... + 40998.50ns INFO [00041000] Port=0 WR @05=095A527417560C444C + 40999.50ns INFO [00041001] Port=0 RD @07 + 41001.50ns INFO [00041003] * RD COMPARE * port=0 adr=07 act=2FCD16C3CF1882A80B exp=2FCD16C3CF1882A80B + 41001.50ns INFO [00041003] Port=0 WR @03=34EA9388CF52B5E921 + 41001.50ns INFO [00041003] Port=1 RD @02 + 41002.50ns INFO [00041004] Port=1 RD @04 + 41003.50ns INFO [00041005] * RD COMPARE * port=1 adr=02 act=AEF5D8ED3310B86DDC exp=AEF5D8ED3310B86DDC + 41003.50ns INFO [00041005] Port=0 WR @01=262E3301E8C36B5283 + 41003.50ns INFO [00041005] Port=1 RD @02 + 41004.50ns INFO [00041006] * RD COMPARE * port=1 adr=04 act=81CEF62A272C6C75F5 exp=81CEF62A272C6C75F5 + 41004.50ns INFO [00041006] Port=0 RD @04 + 41005.50ns INFO [00041007] * RD COMPARE * port=1 adr=02 act=AEF5D8ED3310B86DDC exp=AEF5D8ED3310B86DDC + 41005.50ns INFO [00041007] Port=1 RD @04 + 41006.50ns INFO [00041008] * RD COMPARE * port=0 adr=04 act=81CEF62A272C6C75F5 exp=81CEF62A272C6C75F5 + 41007.50ns INFO [00041009] * RD COMPARE * port=1 adr=04 act=81CEF62A272C6C75F5 exp=81CEF62A272C6C75F5 + 41007.50ns INFO [00041009] Port=0 WR @05=D2479BE88CFC40D0FE + 41008.50ns INFO [00041010] Port=0 RD @07 + 41010.50ns INFO [00041012] * RD COMPARE * port=0 adr=07 act=2FCD16C3CF1882A80B exp=2FCD16C3CF1882A80B + 41010.50ns INFO [00041012] Port=1 RD @02 + 41011.50ns INFO [00041013] Port=0 WR @05=9CBBD08FA48738B569 + 41011.50ns INFO [00041013] Port=0 RD @03 + 41012.50ns INFO [00041014] * RD COMPARE * port=1 adr=02 act=AEF5D8ED3310B86DDC exp=AEF5D8ED3310B86DDC + 41012.50ns INFO [00041014] Port=0 RD @01 + 41013.50ns INFO [00041015] * RD COMPARE * port=0 adr=03 act=34EA9388CF52B5E921 exp=34EA9388CF52B5E921 + 41013.50ns INFO [00041015] Port=0 WR @03=E995A9E82F31B55725 + 41013.50ns INFO [00041015] Port=1 RD @00 + 41014.50ns INFO [00041016] * RD COMPARE * port=0 adr=01 act=262E3301E8C36B5283 exp=262E3301E8C36B5283 + 41015.50ns INFO [00041017] * RD COMPARE * port=1 adr=00 act=AE1C932980B08FC978 exp=AE1C932980B08FC978 + 41015.50ns INFO [00041017] Port=0 WR @03=EB4D75AAEA36D92ACA + 41018.50ns INFO [00041020] Port=0 RD @00 + 41019.50ns INFO [00041021] Port=0 WR @02=C90F4DD385F1C64230 + 41020.50ns INFO [00041022] * RD COMPARE * port=0 adr=00 act=AE1C932980B08FC978 exp=AE1C932980B08FC978 + 41021.50ns INFO [00041023] Port=1 RD @06 + 41022.50ns INFO [00041024] Port=0 WR @06=A1B2BF8D6694412864 + 41023.50ns INFO [00041025] * RD COMPARE * port=1 adr=06 act=C75B770A4E24A97CC2 exp=C75B770A4E24A97CC2 + 41023.50ns INFO [00041025] Port=0 RD @03 + 41023.50ns INFO [00041025] Port=1 RD @05 + 41024.50ns INFO [00041026] Port=0 RD @06 + 41024.50ns INFO [00041026] Port=1 RD @03 + 41025.50ns INFO [00041027] * RD COMPARE * port=0 adr=03 act=EB4D75AAEA36D92ACA exp=EB4D75AAEA36D92ACA + 41025.50ns INFO [00041027] * RD COMPARE * port=1 adr=05 act=9CBBD08FA48738B569 exp=9CBBD08FA48738B569 + 41025.50ns INFO [00041027] Port=0 WR @01=39BE307C318258EA84 + 41025.50ns INFO [00041027] Port=1 RD @06 + 41026.50ns INFO [00041028] * RD COMPARE * port=0 adr=06 act=A1B2BF8D6694412864 exp=A1B2BF8D6694412864 + 41026.50ns INFO [00041028] * RD COMPARE * port=1 adr=03 act=EB4D75AAEA36D92ACA exp=EB4D75AAEA36D92ACA + 41027.50ns INFO [00041029] * RD COMPARE * port=1 adr=06 act=A1B2BF8D6694412864 exp=A1B2BF8D6694412864 + 41027.50ns INFO [00041029] Port=0 WR @01=D91DDB311A2CF0EDDA + 41028.50ns INFO [00041030] Port=0 WR @05=2626C26E70FBD0C96B + 41028.50ns INFO [00041030] Port=0 RD @06 + 41030.50ns INFO [00041032] * RD COMPARE * port=0 adr=06 act=A1B2BF8D6694412864 exp=A1B2BF8D6694412864 + 41030.50ns INFO [00041032] Port=1 RD @03 + 41031.50ns INFO [00041033] Port=0 RD @02 + 41032.50ns INFO [00041034] * RD COMPARE * port=1 adr=03 act=EB4D75AAEA36D92ACA exp=EB4D75AAEA36D92ACA + 41032.50ns INFO [00041034] Port=1 RD @05 + 41033.50ns INFO [00041035] * RD COMPARE * port=0 adr=02 act=C90F4DD385F1C64230 exp=C90F4DD385F1C64230 + 41033.50ns INFO [00041035] Port=0 WR @07=7BF5A7599FBAFDD4BE + 41033.50ns INFO [00041035] Port=0 RD @00 + 41034.50ns INFO [00041036] * RD COMPARE * port=1 adr=05 act=2626C26E70FBD0C96B exp=2626C26E70FBD0C96B + 41034.50ns INFO [00041036] Port=1 RD @02 + 41035.50ns INFO [00041037] * RD COMPARE * port=0 adr=00 act=AE1C932980B08FC978 exp=AE1C932980B08FC978 + 41036.50ns INFO [00041038] * RD COMPARE * port=1 adr=02 act=C90F4DD385F1C64230 exp=C90F4DD385F1C64230 + 41036.50ns INFO [00041038] Port=0 RD @06 + 41037.50ns INFO [00041039] Port=0 RD @01 + 41038.50ns INFO [00041040] * RD COMPARE * port=0 adr=06 act=A1B2BF8D6694412864 exp=A1B2BF8D6694412864 + 41038.50ns INFO [00041040] Port=0 WR @07=1EC65C12B4D9F9406D + 41039.50ns INFO [00041041] * RD COMPARE * port=0 adr=01 act=D91DDB311A2CF0EDDA exp=D91DDB311A2CF0EDDA + 41039.50ns INFO [00041041] Port=0 RD @03 + 41041.50ns INFO [00041043] * RD COMPARE * port=0 adr=03 act=EB4D75AAEA36D92ACA exp=EB4D75AAEA36D92ACA + 41041.50ns INFO [00041043] Port=0 WR @06=2EDCD4A6E19787AFDF + 41041.50ns INFO [00041043] Port=0 RD @05 + 41042.50ns INFO [00041044] Port=0 WR @05=FE0EC391EC370C474A + 41042.50ns INFO [00041044] Port=0 RD @01 + 41043.50ns INFO [00041045] * RD COMPARE * port=0 adr=05 act=2626C26E70FBD0C96B exp=2626C26E70FBD0C96B + 41043.50ns INFO [00041045] Port=0 WR @07=B93FB46987BAA93482 + 41043.50ns INFO [00041045] Port=0 RD @06 + 41044.50ns INFO [00041046] * RD COMPARE * port=0 adr=01 act=D91DDB311A2CF0EDDA exp=D91DDB311A2CF0EDDA + 41044.50ns INFO [00041046] Port=0 WR @02=CFF27CF78FF2A8BA64 + 41044.50ns INFO [00041046] Port=1 RD @05 + 41045.50ns INFO [00041047] * RD COMPARE * port=0 adr=06 act=2EDCD4A6E19787AFDF exp=2EDCD4A6E19787AFDF + 41045.50ns INFO [00041047] Port=0 RD @02 + 41046.50ns INFO [00041048] * RD COMPARE * port=1 adr=05 act=FE0EC391EC370C474A exp=FE0EC391EC370C474A + 41047.50ns INFO [00041049] * RD COMPARE * port=0 adr=02 act=CFF27CF78FF2A8BA64 exp=CFF27CF78FF2A8BA64 + 41047.50ns INFO [00041049] Port=0 WR @04=4CC69BFC67806CA900 + 41048.50ns INFO [00041050] Port=0 WR @03=5AAB26F8A61E9CED97 + 41048.50ns INFO [00041050] Port=0 RD @01 + 41048.50ns INFO [00041050] Port=1 RD @00 + 41049.50ns INFO [00041051] Port=1 RD @07 + 41050.50ns INFO [00041052] * RD COMPARE * port=0 adr=01 act=D91DDB311A2CF0EDDA exp=D91DDB311A2CF0EDDA + 41050.50ns INFO [00041052] * RD COMPARE * port=1 adr=00 act=AE1C932980B08FC978 exp=AE1C932980B08FC978 + 41050.50ns INFO [00041052] Port=0 WR @00=8D75C055F08F856615 + 41051.50ns INFO [00041053] * RD COMPARE * port=1 adr=07 act=B93FB46987BAA93482 exp=B93FB46987BAA93482 + 41052.50ns INFO [00041054] Port=0 WR @04=5D0F711DB5181875FB + 41052.50ns INFO [00041054] Port=1 RD @02 + 41054.50ns INFO [00041056] * RD COMPARE * port=1 adr=02 act=CFF27CF78FF2A8BA64 exp=CFF27CF78FF2A8BA64 + 41054.50ns INFO [00041056] Port=0 RD @02 + 41054.50ns INFO [00041056] Port=1 RD @06 + 41055.50ns INFO [00041057] Port=0 WR @06=058AB466300A15BE6F + 41055.50ns INFO [00041057] Port=0 RD @00 + 41055.50ns INFO [00041057] Port=1 RD @05 + 41056.50ns INFO [00041058] * RD COMPARE * port=0 adr=02 act=CFF27CF78FF2A8BA64 exp=CFF27CF78FF2A8BA64 + 41056.50ns INFO [00041058] * RD COMPARE * port=1 adr=06 act=2EDCD4A6E19787AFDF exp=2EDCD4A6E19787AFDF + 41056.50ns INFO [00041058] Port=0 WR @05=A14F896CE90D00D3F6 + 41057.50ns INFO [00041059] * RD COMPARE * port=0 adr=00 act=8D75C055F08F856615 exp=8D75C055F08F856615 + 41057.50ns INFO [00041059] * RD COMPARE * port=1 adr=05 act=FE0EC391EC370C474A exp=FE0EC391EC370C474A + 41057.50ns INFO [00041059] Port=1 RD @03 + 41059.50ns INFO [00041061] * RD COMPARE * port=1 adr=03 act=5AAB26F8A61E9CED97 exp=5AAB26F8A61E9CED97 + 41059.50ns INFO [00041061] Port=0 WR @03=B212E479C96AB1A917 + 41060.50ns INFO [00041062] Port=0 RD @07 + 41061.50ns INFO [00041063] Port=1 RD @03 + 41062.50ns INFO [00041064] * RD COMPARE * port=0 adr=07 act=B93FB46987BAA93482 exp=B93FB46987BAA93482 + 41063.50ns INFO [00041065] * RD COMPARE * port=1 adr=03 act=B212E479C96AB1A917 exp=B212E479C96AB1A917 + 41065.50ns INFO [00041067] Port=0 WR @05=53EF533C243071FE7E + 41066.50ns INFO [00041068] Port=0 WR @03=77A0BE79D85C2B5CB9 + 41069.50ns INFO [00041071] Port=0 WR @05=4841E6440C9A39DEBB + 41069.50ns INFO [00041071] Port=1 RD @03 + 41070.50ns INFO [00041072] Port=0 WR @06=5D2C7B7476CB938F79 + 41070.50ns INFO [00041072] Port=1 RD @05 + 41071.50ns INFO [00041073] * RD COMPARE * port=1 adr=03 act=77A0BE79D85C2B5CB9 exp=77A0BE79D85C2B5CB9 + 41071.50ns INFO [00041073] Port=1 RD @07 + 41072.50ns INFO [00041074] * RD COMPARE * port=1 adr=05 act=4841E6440C9A39DEBB exp=4841E6440C9A39DEBB + 41072.50ns INFO [00041074] Port=1 RD @06 + 41073.50ns INFO [00041075] * RD COMPARE * port=1 adr=07 act=B93FB46987BAA93482 exp=B93FB46987BAA93482 + 41073.50ns INFO [00041075] Port=0 RD @05 + 41073.50ns INFO [00041075] Port=1 RD @03 + 41074.50ns INFO [00041076] * RD COMPARE * port=1 adr=06 act=5D2C7B7476CB938F79 exp=5D2C7B7476CB938F79 + 41074.50ns INFO [00041076] Port=0 WR @01=D9D60945859FB29860 + 41074.50ns INFO [00041076] Port=0 RD @02 + 41075.50ns INFO [00041077] * RD COMPARE * port=0 adr=05 act=4841E6440C9A39DEBB exp=4841E6440C9A39DEBB + 41075.50ns INFO [00041077] * RD COMPARE * port=1 adr=03 act=77A0BE79D85C2B5CB9 exp=77A0BE79D85C2B5CB9 + 41075.50ns INFO [00041077] Port=0 WR @07=D2A4C26C27A0C88291 + 41075.50ns INFO [00041077] Port=1 RD @05 + 41076.50ns INFO [00041078] * RD COMPARE * port=0 adr=02 act=CFF27CF78FF2A8BA64 exp=CFF27CF78FF2A8BA64 + 41076.50ns INFO [00041078] Port=0 WR @06=E00B2330B09E3B258F + 41076.50ns INFO [00041078] Port=1 RD @05 + 41077.50ns INFO [00041079] * RD COMPARE * port=1 adr=05 act=4841E6440C9A39DEBB exp=4841E6440C9A39DEBB + 41077.50ns INFO [00041079] Port=1 RD @06 + 41078.50ns INFO [00041080] * RD COMPARE * port=1 adr=05 act=4841E6440C9A39DEBB exp=4841E6440C9A39DEBB + 41078.50ns INFO [00041080] Port=0 WR @00=77B76704A62788AE81 + 41079.50ns INFO [00041081] * RD COMPARE * port=1 adr=06 act=E00B2330B09E3B258F exp=E00B2330B09E3B258F + 41079.50ns INFO [00041081] Port=1 RD @03 + 41080.50ns INFO [00041082] Port=0 WR @07=9FCF784F353D3E38F6 + 41080.50ns INFO [00041082] Port=1 RD @00 + 41081.50ns INFO [00041083] * RD COMPARE * port=1 adr=03 act=77A0BE79D85C2B5CB9 exp=77A0BE79D85C2B5CB9 + 41082.50ns INFO [00041084] * RD COMPARE * port=1 adr=00 act=77B76704A62788AE81 exp=77B76704A62788AE81 + 41082.50ns INFO [00041084] Port=0 WR @01=CCAE8367A6260B3C73 + 41082.50ns INFO [00041084] Port=0 RD @07 + 41083.50ns INFO [00041085] Port=0 WR @06=E4F38DF1E785666FEC + 41084.50ns INFO [00041086] * RD COMPARE * port=0 adr=07 act=9FCF784F353D3E38F6 exp=9FCF784F353D3E38F6 + 41084.50ns INFO [00041086] Port=1 RD @06 + 41085.50ns INFO [00041087] Port=0 RD @06 + 41086.50ns INFO [00041088] * RD COMPARE * port=1 adr=06 act=E4F38DF1E785666FEC exp=E4F38DF1E785666FEC + 41086.50ns INFO [00041088] Port=0 WR @05=B2F839CFD023F40EF7 + 41086.50ns INFO [00041088] Port=0 RD @07 + 41087.50ns INFO [00041089] * RD COMPARE * port=0 adr=06 act=E4F38DF1E785666FEC exp=E4F38DF1E785666FEC + 41087.50ns INFO [00041089] Port=0 WR @04=BD5BA5006B5030FBE6 + 41087.50ns INFO [00041089] Port=1 RD @00 + 41088.50ns INFO [00041090] * RD COMPARE * port=0 adr=07 act=9FCF784F353D3E38F6 exp=9FCF784F353D3E38F6 + 41088.50ns INFO [00041090] Port=0 WR @07=4B5D1E4B70125D4CB5 + 41088.50ns INFO [00041090] Port=0 RD @03 + 41088.50ns INFO [00041090] Port=1 RD @05 + 41089.50ns INFO [00041091] * RD COMPARE * port=1 adr=00 act=77B76704A62788AE81 exp=77B76704A62788AE81 + 41090.50ns INFO [00041092] * RD COMPARE * port=0 adr=03 act=77A0BE79D85C2B5CB9 exp=77A0BE79D85C2B5CB9 + 41090.50ns INFO [00041092] * RD COMPARE * port=1 adr=05 act=B2F839CFD023F40EF7 exp=B2F839CFD023F40EF7 + 41090.50ns INFO [00041092] Port=1 RD @04 + 41092.50ns INFO [00041094] * RD COMPARE * port=1 adr=04 act=BD5BA5006B5030FBE6 exp=BD5BA5006B5030FBE6 + 41092.50ns INFO [00041094] Port=1 RD @07 + 41093.50ns INFO [00041095] Port=0 WR @05=E073CC5D151FC072C0 + 41094.50ns INFO [00041096] * RD COMPARE * port=1 adr=07 act=4B5D1E4B70125D4CB5 exp=4B5D1E4B70125D4CB5 + 41095.50ns INFO [00041097] Port=0 WR @06=A436ECF6628CB30193 + 41095.50ns INFO [00041097] Port=1 RD @03 + 41097.50ns INFO [00041099] * RD COMPARE * port=1 adr=03 act=77A0BE79D85C2B5CB9 exp=77A0BE79D85C2B5CB9 + 41097.50ns INFO [00041099] Port=0 WR @02=472FDEA1DBD7692709 + 41098.00ns INFO [00041100] [00041100] ...tick... + 41099.50ns INFO [00041101] Port=1 RD @00 + 41101.50ns INFO [00041103] * RD COMPARE * port=1 adr=00 act=77B76704A62788AE81 exp=77B76704A62788AE81 + 41102.50ns INFO [00041104] Port=0 WR @05=E7C053E612F1E71438 + 41102.50ns INFO [00041104] Port=0 RD @02 + 41103.50ns INFO [00041105] Port=0 WR @00=312758CFD04149F124 + 41103.50ns INFO [00041105] Port=0 RD @03 + 41104.50ns INFO [00041106] * RD COMPARE * port=0 adr=02 act=472FDEA1DBD7692709 exp=472FDEA1DBD7692709 + 41104.50ns INFO [00041106] Port=0 WR @05=07F1F72A3A6803B5CE + 41104.50ns INFO [00041106] Port=0 RD @07 + 41105.50ns INFO [00041107] * RD COMPARE * port=0 adr=03 act=77A0BE79D85C2B5CB9 exp=77A0BE79D85C2B5CB9 + 41106.50ns INFO [00041108] * RD COMPARE * port=0 adr=07 act=4B5D1E4B70125D4CB5 exp=4B5D1E4B70125D4CB5 + 41106.50ns INFO [00041108] Port=0 WR @07=DDD986D017047D15BD + 41106.50ns INFO [00041108] Port=0 RD @05 + 41106.50ns INFO [00041108] Port=1 RD @05 + 41107.50ns INFO [00041109] Port=0 WR @05=B7F0BEB911B14200B2 + 41107.50ns INFO [00041109] Port=1 RD @00 + 41108.50ns INFO [00041110] * RD COMPARE * port=0 adr=05 act=07F1F72A3A6803B5CE exp=07F1F72A3A6803B5CE + 41108.50ns INFO [00041110] * RD COMPARE * port=1 adr=05 act=07F1F72A3A6803B5CE exp=07F1F72A3A6803B5CE + 41108.50ns INFO [00041110] Port=0 WR @03=D7C23E2351A038CB80 + 41108.50ns INFO [00041110] Port=0 RD @01 + 41109.50ns INFO [00041111] * RD COMPARE * port=1 adr=00 act=312758CFD04149F124 exp=312758CFD04149F124 + 41109.50ns INFO [00041111] Port=0 WR @07=5B2110230BD035309C + 41109.50ns INFO [00041111] Port=0 RD @02 + 41110.50ns INFO [00041112] * RD COMPARE * port=0 adr=01 act=CCAE8367A6260B3C73 exp=CCAE8367A6260B3C73 + 41110.50ns INFO [00041112] Port=0 WR @07=0ED4D1ADDEADB68DB7 + 41110.50ns INFO [00041112] Port=1 RD @01 + 41111.50ns INFO [00041113] * RD COMPARE * port=0 adr=02 act=472FDEA1DBD7692709 exp=472FDEA1DBD7692709 + 41111.50ns INFO [00041113] Port=0 RD @02 + 41112.50ns INFO [00041114] * RD COMPARE * port=1 adr=01 act=CCAE8367A6260B3C73 exp=CCAE8367A6260B3C73 + 41112.50ns INFO [00041114] Port=0 WR @00=2DA005C32C53F75BFF + 41113.50ns INFO [00041115] * RD COMPARE * port=0 adr=02 act=472FDEA1DBD7692709 exp=472FDEA1DBD7692709 + 41113.50ns INFO [00041115] Port=1 RD @04 + 41115.50ns INFO [00041117] * RD COMPARE * port=1 adr=04 act=BD5BA5006B5030FBE6 exp=BD5BA5006B5030FBE6 + 41115.50ns INFO [00041117] Port=0 WR @06=4EA663A5ABD0ABDC38 + 41116.50ns INFO [00041118] Port=0 RD @04 + 41116.50ns INFO [00041118] Port=1 RD @04 + 41117.50ns INFO [00041119] Port=0 WR @00=F671D22F39E02A0D8B + 41118.50ns INFO [00041120] * RD COMPARE * port=0 adr=04 act=BD5BA5006B5030FBE6 exp=BD5BA5006B5030FBE6 + 41118.50ns INFO [00041120] * RD COMPARE * port=1 adr=04 act=BD5BA5006B5030FBE6 exp=BD5BA5006B5030FBE6 + 41118.50ns INFO [00041120] Port=0 RD @05 + 41118.50ns INFO [00041120] Port=1 RD @04 + 41119.50ns INFO [00041121] Port=0 RD @06 + 41120.50ns INFO [00041122] * RD COMPARE * port=0 adr=05 act=B7F0BEB911B14200B2 exp=B7F0BEB911B14200B2 + 41120.50ns INFO [00041122] * RD COMPARE * port=1 adr=04 act=BD5BA5006B5030FBE6 exp=BD5BA5006B5030FBE6 + 41120.50ns INFO [00041122] Port=0 RD @07 + 41121.50ns INFO [00041123] * RD COMPARE * port=0 adr=06 act=4EA663A5ABD0ABDC38 exp=4EA663A5ABD0ABDC38 + 41121.50ns INFO [00041123] Port=0 WR @03=7C3B7D37134315FEA8 + 41121.50ns INFO [00041123] Port=0 RD @05 + 41121.50ns INFO [00041123] Port=1 RD @04 + 41122.50ns INFO [00041124] * RD COMPARE * port=0 adr=07 act=0ED4D1ADDEADB68DB7 exp=0ED4D1ADDEADB68DB7 + 41123.50ns INFO [00041125] * RD COMPARE * port=0 adr=05 act=B7F0BEB911B14200B2 exp=B7F0BEB911B14200B2 + 41123.50ns INFO [00041125] * RD COMPARE * port=1 adr=04 act=BD5BA5006B5030FBE6 exp=BD5BA5006B5030FBE6 + 41124.50ns INFO [00041126] Port=0 WR @04=03A2E3874D5999AD7C + 41125.50ns INFO [00041127] Port=1 RD @00 + 41126.50ns INFO [00041128] Port=0 RD @07 + 41126.50ns INFO [00041128] Port=1 RD @05 + 41127.50ns INFO [00041129] * RD COMPARE * port=1 adr=00 act=F671D22F39E02A0D8B exp=F671D22F39E02A0D8B + 41127.50ns INFO [00041129] Port=0 WR @07=600851BDDFD4AF9BE6 + 41127.50ns INFO [00041129] Port=0 RD @04 + 41128.50ns INFO [00041130] * RD COMPARE * port=0 adr=07 act=0ED4D1ADDEADB68DB7 exp=0ED4D1ADDEADB68DB7 + 41128.50ns INFO [00041130] * RD COMPARE * port=1 adr=05 act=B7F0BEB911B14200B2 exp=B7F0BEB911B14200B2 + 41128.50ns INFO [00041130] Port=0 WR @00=9C850DB353E9A84B72 + 41128.50ns INFO [00041130] Port=0 RD @04 + 41129.50ns INFO [00041131] * RD COMPARE * port=0 adr=04 act=03A2E3874D5999AD7C exp=03A2E3874D5999AD7C + 41130.50ns INFO [00041132] * RD COMPARE * port=0 adr=04 act=03A2E3874D5999AD7C exp=03A2E3874D5999AD7C + 41130.50ns INFO [00041132] Port=0 WR @04=28246F582C0F8132A4 + 41131.50ns INFO [00041133] Port=0 WR @01=C925537DB568BE16B0 + 41131.50ns INFO [00041133] Port=0 RD @04 + 41132.50ns INFO [00041134] Port=0 WR @04=DA5A1E0A3CFC05F08A + 41133.50ns INFO [00041135] * RD COMPARE * port=0 adr=04 act=28246F582C0F8132A4 exp=28246F582C0F8132A4 + 41135.50ns INFO [00041137] Port=0 WR @04=E4AFE62C65336B9FC1 + 41136.50ns INFO [00041138] Port=0 WR @03=7B5C2C4AA7BD89F22F + 41136.50ns INFO [00041138] Port=1 RD @05 + 41138.50ns INFO [00041140] * RD COMPARE * port=1 adr=05 act=B7F0BEB911B14200B2 exp=B7F0BEB911B14200B2 + 41138.50ns INFO [00041140] Port=0 WR @01=B1BA90397D4854E269 + 41138.50ns INFO [00041140] Port=0 RD @00 + 41138.50ns INFO [00041140] Port=1 RD @04 + 41139.50ns INFO [00041141] Port=0 WR @01=321CDA42713E4EEC53 + 41140.50ns INFO [00041142] * RD COMPARE * port=0 adr=00 act=9C850DB353E9A84B72 exp=9C850DB353E9A84B72 + 41140.50ns INFO [00041142] * RD COMPARE * port=1 adr=04 act=E4AFE62C65336B9FC1 exp=E4AFE62C65336B9FC1 + 41140.50ns INFO [00041142] Port=1 RD @07 + 41142.50ns INFO [00041144] * RD COMPARE * port=1 adr=07 act=600851BDDFD4AF9BE6 exp=600851BDDFD4AF9BE6 + 41142.50ns INFO [00041144] Port=0 WR @02=9FDC9B19315516F145 + 41142.50ns INFO [00041144] Port=0 RD @01 + 41142.50ns INFO [00041144] Port=1 RD @01 + 41143.50ns INFO [00041145] Port=0 RD @02 + 41144.50ns INFO [00041146] * RD COMPARE * port=0 adr=01 act=321CDA42713E4EEC53 exp=321CDA42713E4EEC53 + 41144.50ns INFO [00041146] * RD COMPARE * port=1 adr=01 act=321CDA42713E4EEC53 exp=321CDA42713E4EEC53 + 41145.50ns INFO [00041147] * RD COMPARE * port=0 adr=02 act=9FDC9B19315516F145 exp=9FDC9B19315516F145 + 41146.50ns INFO [00041148] Port=0 WR @00=4FBA2EA37A9DCAD490 + 41147.50ns INFO [00041149] Port=0 WR @02=30B6DDB75921CE1922 + 41148.50ns INFO [00041150] Port=0 RD @04 + 41148.50ns INFO [00041150] Port=1 RD @05 + 41149.50ns INFO [00041151] Port=1 RD @06 + 41150.50ns INFO [00041152] * RD COMPARE * port=0 adr=04 act=E4AFE62C65336B9FC1 exp=E4AFE62C65336B9FC1 + 41150.50ns INFO [00041152] * RD COMPARE * port=1 adr=05 act=B7F0BEB911B14200B2 exp=B7F0BEB911B14200B2 + 41150.50ns INFO [00041152] Port=0 WR @04=674D8B6F66ECAEDE86 + 41151.50ns INFO [00041153] * RD COMPARE * port=1 adr=06 act=4EA663A5ABD0ABDC38 exp=4EA663A5ABD0ABDC38 + 41151.50ns INFO [00041153] Port=0 WR @02=991152C16E5D752F30 + 41152.50ns INFO [00041154] Port=0 WR @05=4EEAE7BC045CF87A3E + 41152.50ns INFO [00041154] Port=0 RD @03 + 41153.50ns INFO [00041155] Port=0 RD @00 + 41154.50ns INFO [00041156] * RD COMPARE * port=0 adr=03 act=7B5C2C4AA7BD89F22F exp=7B5C2C4AA7BD89F22F + 41154.50ns INFO [00041156] Port=1 RD @03 + 41155.50ns INFO [00041157] * RD COMPARE * port=0 adr=00 act=4FBA2EA37A9DCAD490 exp=4FBA2EA37A9DCAD490 + 41156.50ns INFO [00041158] * RD COMPARE * port=1 adr=03 act=7B5C2C4AA7BD89F22F exp=7B5C2C4AA7BD89F22F + 41156.50ns INFO [00041158] Port=0 WR @00=FE7D318B3C0465F857 + 41157.50ns INFO [00041159] Port=0 RD @03 + 41158.50ns INFO [00041160] Port=0 WR @05=5D2967625D9B4BA51A + 41159.50ns INFO [00041161] * RD COMPARE * port=0 adr=03 act=7B5C2C4AA7BD89F22F exp=7B5C2C4AA7BD89F22F + 41159.50ns INFO [00041161] Port=0 WR @04=D881BA61E470DFF90A + 41160.50ns INFO [00041162] Port=1 RD @02 + 41161.50ns INFO [00041163] Port=0 WR @01=B5D44900C8FD8249C3 + 41161.50ns INFO [00041163] Port=1 RD @07 + 41162.50ns INFO [00041164] * RD COMPARE * port=1 adr=02 act=991152C16E5D752F30 exp=991152C16E5D752F30 + 41162.50ns INFO [00041164] Port=1 RD @01 + 41163.50ns INFO [00041165] * RD COMPARE * port=1 adr=07 act=600851BDDFD4AF9BE6 exp=600851BDDFD4AF9BE6 + 41163.50ns INFO [00041165] Port=1 RD @04 + 41164.50ns INFO [00041166] * RD COMPARE * port=1 adr=01 act=B5D44900C8FD8249C3 exp=B5D44900C8FD8249C3 + 41164.50ns INFO [00041166] Port=0 RD @05 + 41164.50ns INFO [00041166] Port=1 RD @03 + 41165.50ns INFO [00041167] * RD COMPARE * port=1 adr=04 act=D881BA61E470DFF90A exp=D881BA61E470DFF90A + 41165.50ns INFO [00041167] Port=0 WR @07=9EA6A3E7BF02243BE6 + 41165.50ns INFO [00041167] Port=0 RD @00 + 41165.50ns INFO [00041167] Port=1 RD @00 + 41166.50ns INFO [00041168] * RD COMPARE * port=0 adr=05 act=5D2967625D9B4BA51A exp=5D2967625D9B4BA51A + 41166.50ns INFO [00041168] * RD COMPARE * port=1 adr=03 act=7B5C2C4AA7BD89F22F exp=7B5C2C4AA7BD89F22F + 41166.50ns INFO [00041168] Port=0 RD @06 + 41167.50ns INFO [00041169] * RD COMPARE * port=0 adr=00 act=FE7D318B3C0465F857 exp=FE7D318B3C0465F857 + 41167.50ns INFO [00041169] * RD COMPARE * port=1 adr=00 act=FE7D318B3C0465F857 exp=FE7D318B3C0465F857 + 41168.50ns INFO [00041170] * RD COMPARE * port=0 adr=06 act=4EA663A5ABD0ABDC38 exp=4EA663A5ABD0ABDC38 + 41169.50ns INFO [00041171] Port=1 RD @05 + 41171.50ns INFO [00041173] * RD COMPARE * port=1 adr=05 act=5D2967625D9B4BA51A exp=5D2967625D9B4BA51A + 41171.50ns INFO [00041173] Port=0 WR @03=8E749FE6FEE6473AA1 + 41172.50ns INFO [00041174] Port=1 RD @01 + 41173.50ns INFO [00041175] Port=0 RD @00 + 41173.50ns INFO [00041175] Port=1 RD @00 + 41174.50ns INFO [00041176] * RD COMPARE * port=1 adr=01 act=B5D44900C8FD8249C3 exp=B5D44900C8FD8249C3 + 41174.50ns INFO [00041176] Port=0 RD @07 + 41175.50ns INFO [00041177] * RD COMPARE * port=0 adr=00 act=FE7D318B3C0465F857 exp=FE7D318B3C0465F857 + 41175.50ns INFO [00041177] * RD COMPARE * port=1 adr=00 act=FE7D318B3C0465F857 exp=FE7D318B3C0465F857 + 41175.50ns INFO [00041177] Port=1 RD @02 + 41176.50ns INFO [00041178] * RD COMPARE * port=0 adr=07 act=9EA6A3E7BF02243BE6 exp=9EA6A3E7BF02243BE6 + 41177.50ns INFO [00041179] * RD COMPARE * port=1 adr=02 act=991152C16E5D752F30 exp=991152C16E5D752F30 + 41177.50ns INFO [00041179] Port=0 WR @05=047289328A37CDBEEF + 41179.50ns INFO [00041181] Port=0 WR @07=6BC65564BDF0555EFF + 41179.50ns INFO [00041181] Port=1 RD @02 + 41180.50ns INFO [00041182] Port=0 RD @03 + 41180.50ns INFO [00041182] Port=1 RD @03 + 41181.50ns INFO [00041183] * RD COMPARE * port=1 adr=02 act=991152C16E5D752F30 exp=991152C16E5D752F30 + 41181.50ns INFO [00041183] Port=0 WR @02=9E1704204F957CA009 + 41182.50ns INFO [00041184] * RD COMPARE * port=0 adr=03 act=8E749FE6FEE6473AA1 exp=8E749FE6FEE6473AA1 + 41182.50ns INFO [00041184] * RD COMPARE * port=1 adr=03 act=8E749FE6FEE6473AA1 exp=8E749FE6FEE6473AA1 + 41183.50ns INFO [00041185] Port=0 RD @07 + 41183.50ns INFO [00041185] Port=1 RD @01 + 41184.50ns INFO [00041186] Port=1 RD @06 + 41185.50ns INFO [00041187] * RD COMPARE * port=0 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41185.50ns INFO [00041187] * RD COMPARE * port=1 adr=01 act=B5D44900C8FD8249C3 exp=B5D44900C8FD8249C3 + 41185.50ns INFO [00041187] Port=1 RD @03 + 41186.50ns INFO [00041188] * RD COMPARE * port=1 adr=06 act=4EA663A5ABD0ABDC38 exp=4EA663A5ABD0ABDC38 + 41186.50ns INFO [00041188] Port=0 WR @05=6ACDAFD04FD5563A54 + 41187.50ns INFO [00041189] * RD COMPARE * port=1 adr=03 act=8E749FE6FEE6473AA1 exp=8E749FE6FEE6473AA1 + 41187.50ns INFO [00041189] Port=0 RD @03 + 41189.50ns INFO [00041191] * RD COMPARE * port=0 adr=03 act=8E749FE6FEE6473AA1 exp=8E749FE6FEE6473AA1 + 41189.50ns INFO [00041191] Port=0 RD @04 + 41190.50ns INFO [00041192] Port=0 WR @03=F2143040B2077F3482 + 41191.50ns INFO [00041193] * RD COMPARE * port=0 adr=04 act=D881BA61E470DFF90A exp=D881BA61E470DFF90A + 41191.50ns INFO [00041193] Port=0 RD @03 + 41192.50ns INFO [00041194] Port=0 WR @03=2472A78AE23BAB77A5 + 41192.50ns INFO [00041194] Port=0 RD @05 + 41193.50ns INFO [00041195] * RD COMPARE * port=0 adr=03 act=F2143040B2077F3482 exp=F2143040B2077F3482 + 41193.50ns INFO [00041195] Port=0 RD @00 + 41194.50ns INFO [00041196] * RD COMPARE * port=0 adr=05 act=6ACDAFD04FD5563A54 exp=6ACDAFD04FD5563A54 + 41195.50ns INFO [00041197] * RD COMPARE * port=0 adr=00 act=FE7D318B3C0465F857 exp=FE7D318B3C0465F857 + 41195.50ns INFO [00041197] Port=1 RD @07 + 41197.50ns INFO [00041199] * RD COMPARE * port=1 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41198.00ns INFO [00041200] [00041200] ...tick... + 41199.50ns INFO [00041201] Port=1 RD @02 + 41200.50ns INFO [00041202] Port=0 WR @04=CE6D157D327ADCD686 + 41200.50ns INFO [00041202] Port=1 RD @05 + 41201.50ns INFO [00041203] * RD COMPARE * port=1 adr=02 act=9E1704204F957CA009 exp=9E1704204F957CA009 + 41201.50ns INFO [00041203] Port=1 RD @02 + 41202.50ns INFO [00041204] * RD COMPARE * port=1 adr=05 act=6ACDAFD04FD5563A54 exp=6ACDAFD04FD5563A54 + 41202.50ns INFO [00041204] Port=1 RD @00 + 41203.50ns INFO [00041205] * RD COMPARE * port=1 adr=02 act=9E1704204F957CA009 exp=9E1704204F957CA009 + 41204.50ns INFO [00041206] * RD COMPARE * port=1 adr=00 act=FE7D318B3C0465F857 exp=FE7D318B3C0465F857 + 41204.50ns INFO [00041206] Port=0 WR @00=1B04D585468D88D850 + 41205.50ns INFO [00041207] Port=0 RD @06 + 41206.50ns INFO [00041208] Port=0 WR @02=8296353AEEEC437A2E + 41206.50ns INFO [00041208] Port=1 RD @06 + 41207.50ns INFO [00041209] * RD COMPARE * port=0 adr=06 act=4EA663A5ABD0ABDC38 exp=4EA663A5ABD0ABDC38 + 41207.50ns INFO [00041209] Port=0 RD @04 + 41207.50ns INFO [00041209] Port=1 RD @01 + 41208.50ns INFO [00041210] * RD COMPARE * port=1 adr=06 act=4EA663A5ABD0ABDC38 exp=4EA663A5ABD0ABDC38 + 41209.50ns INFO [00041211] * RD COMPARE * port=0 adr=04 act=CE6D157D327ADCD686 exp=CE6D157D327ADCD686 + 41209.50ns INFO [00041211] * RD COMPARE * port=1 adr=01 act=B5D44900C8FD8249C3 exp=B5D44900C8FD8249C3 + 41209.50ns INFO [00041211] Port=0 WR @06=88CEAD16E13CA5E14C + 41210.50ns INFO [00041212] Port=0 RD @01 + 41212.50ns INFO [00041214] * RD COMPARE * port=0 adr=01 act=B5D44900C8FD8249C3 exp=B5D44900C8FD8249C3 + 41212.50ns INFO [00041214] Port=0 WR @05=F98D541B18BA47B914 + 41212.50ns INFO [00041214] Port=0 RD @07 + 41212.50ns INFO [00041214] Port=1 RD @01 + 41213.50ns INFO [00041215] Port=0 RD @03 + 41213.50ns INFO [00041215] Port=1 RD @00 + 41214.50ns INFO [00041216] * RD COMPARE * port=0 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41214.50ns INFO [00041216] * RD COMPARE * port=1 adr=01 act=B5D44900C8FD8249C3 exp=B5D44900C8FD8249C3 + 41214.50ns INFO [00041216] Port=0 WR @06=AE6CFFE2183AFB38CE + 41214.50ns INFO [00041216] Port=1 RD @00 + 41215.50ns INFO [00041217] * RD COMPARE * port=0 adr=03 act=2472A78AE23BAB77A5 exp=2472A78AE23BAB77A5 + 41215.50ns INFO [00041217] * RD COMPARE * port=1 adr=00 act=1B04D585468D88D850 exp=1B04D585468D88D850 + 41216.50ns INFO [00041218] * RD COMPARE * port=1 adr=00 act=1B04D585468D88D850 exp=1B04D585468D88D850 + 41216.50ns INFO [00041218] Port=0 WR @01=AB8AE6C9493FF22F6E + 41216.50ns INFO [00041218] Port=1 RD @05 + 41217.50ns INFO [00041219] Port=0 WR @05=52B6C132DD1A89205D + 41217.50ns INFO [00041219] Port=1 RD @07 + 41218.50ns INFO [00041220] * RD COMPARE * port=1 adr=05 act=F98D541B18BA47B914 exp=F98D541B18BA47B914 + 41218.50ns INFO [00041220] Port=0 RD @02 + 41218.50ns INFO [00041220] Port=1 RD @06 + 41219.50ns INFO [00041221] * RD COMPARE * port=1 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41219.50ns INFO [00041221] Port=0 WR @00=2541FCD1AE7824C1EA + 41219.50ns INFO [00041221] Port=1 RD @07 + 41220.50ns INFO [00041222] * RD COMPARE * port=0 adr=02 act=8296353AEEEC437A2E exp=8296353AEEEC437A2E + 41220.50ns INFO [00041222] * RD COMPARE * port=1 adr=06 act=AE6CFFE2183AFB38CE exp=AE6CFFE2183AFB38CE + 41220.50ns INFO [00041222] Port=0 WR @04=DD1D7FAB551F44DCF3 + 41220.50ns INFO [00041222] Port=1 RD @03 + 41221.50ns INFO [00041223] * RD COMPARE * port=1 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41221.50ns INFO [00041223] Port=1 RD @07 + 41222.50ns INFO [00041224] * RD COMPARE * port=1 adr=03 act=2472A78AE23BAB77A5 exp=2472A78AE23BAB77A5 + 41222.50ns INFO [00041224] Port=0 WR @02=9638BD662DC6D2E005 + 41222.50ns INFO [00041224] Port=0 RD @00 + 41222.50ns INFO [00041224] Port=1 RD @05 + 41223.50ns INFO [00041225] * RD COMPARE * port=1 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41223.50ns INFO [00041225] Port=1 RD @03 + 41224.50ns INFO [00041226] * RD COMPARE * port=0 adr=00 act=2541FCD1AE7824C1EA exp=2541FCD1AE7824C1EA + 41224.50ns INFO [00041226] * RD COMPARE * port=1 adr=05 act=52B6C132DD1A89205D exp=52B6C132DD1A89205D + 41224.50ns INFO [00041226] Port=0 WR @06=E8F95FE071C64B2D38 + 41224.50ns INFO [00041226] Port=0 RD @00 + 41225.50ns INFO [00041227] * RD COMPARE * port=1 adr=03 act=2472A78AE23BAB77A5 exp=2472A78AE23BAB77A5 + 41225.50ns INFO [00041227] Port=0 RD @02 + 41225.50ns INFO [00041227] Port=1 RD @02 + 41226.50ns INFO [00041228] * RD COMPARE * port=0 adr=00 act=2541FCD1AE7824C1EA exp=2541FCD1AE7824C1EA + 41226.50ns INFO [00041228] Port=0 WR @01=E98FF5341C58E3F63E + 41226.50ns INFO [00041228] Port=0 RD @03 + 41227.50ns INFO [00041229] * RD COMPARE * port=0 adr=02 act=9638BD662DC6D2E005 exp=9638BD662DC6D2E005 + 41227.50ns INFO [00041229] * RD COMPARE * port=1 adr=02 act=9638BD662DC6D2E005 exp=9638BD662DC6D2E005 + 41227.50ns INFO [00041229] Port=0 WR @04=2335F9C4C22F547687 + 41227.50ns INFO [00041229] Port=0 RD @07 + 41228.50ns INFO [00041230] * RD COMPARE * port=0 adr=03 act=2472A78AE23BAB77A5 exp=2472A78AE23BAB77A5 + 41228.50ns INFO [00041230] Port=0 WR @06=91CBFE132B95733AF4 + 41229.50ns INFO [00041231] * RD COMPARE * port=0 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41229.50ns INFO [00041231] Port=0 WR @03=A8221E4FF797547089 + 41231.50ns INFO [00041233] Port=0 RD @00 + 41232.50ns INFO [00041234] Port=1 RD @01 + 41233.50ns INFO [00041235] * RD COMPARE * port=0 adr=00 act=2541FCD1AE7824C1EA exp=2541FCD1AE7824C1EA + 41233.50ns INFO [00041235] Port=0 WR @00=FE7C64D2B962AF126B + 41234.50ns INFO [00041236] * RD COMPARE * port=1 adr=01 act=E98FF5341C58E3F63E exp=E98FF5341C58E3F63E + 41234.50ns INFO [00041236] Port=0 RD @07 + 41234.50ns INFO [00041236] Port=1 RD @03 + 41235.50ns INFO [00041237] Port=1 RD @00 + 41236.50ns INFO [00041238] * RD COMPARE * port=0 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41236.50ns INFO [00041238] * RD COMPARE * port=1 adr=03 act=A8221E4FF797547089 exp=A8221E4FF797547089 + 41236.50ns INFO [00041238] Port=0 WR @06=F3F2AE44A89DE28B9E + 41237.50ns INFO [00041239] * RD COMPARE * port=1 adr=00 act=FE7C64D2B962AF126B exp=FE7C64D2B962AF126B + 41237.50ns INFO [00041239] Port=0 RD @07 + 41237.50ns INFO [00041239] Port=1 RD @06 + 41238.50ns INFO [00041240] Port=0 WR @02=B38100E5B5CE7139AD + 41238.50ns INFO [00041240] Port=0 RD @01 + 41238.50ns INFO [00041240] Port=1 RD @03 + 41239.50ns INFO [00041241] * RD COMPARE * port=0 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41239.50ns INFO [00041241] * RD COMPARE * port=1 adr=06 act=F3F2AE44A89DE28B9E exp=F3F2AE44A89DE28B9E + 41239.50ns INFO [00041241] Port=0 RD @04 + 41240.50ns INFO [00041242] * RD COMPARE * port=0 adr=01 act=E98FF5341C58E3F63E exp=E98FF5341C58E3F63E + 41240.50ns INFO [00041242] * RD COMPARE * port=1 adr=03 act=A8221E4FF797547089 exp=A8221E4FF797547089 + 41240.50ns INFO [00041242] Port=0 WR @00=EEF65B5116BB764A81 + 41240.50ns INFO [00041242] Port=0 RD @05 + 41240.50ns INFO [00041242] Port=1 RD @03 + 41241.50ns INFO [00041243] * RD COMPARE * port=0 adr=04 act=2335F9C4C22F547687 exp=2335F9C4C22F547687 + 41241.50ns INFO [00041243] Port=0 WR @06=581C2FFB233346A319 + 41241.50ns INFO [00041243] Port=1 RD @07 + 41242.50ns INFO [00041244] * RD COMPARE * port=0 adr=05 act=52B6C132DD1A89205D exp=52B6C132DD1A89205D + 41242.50ns INFO [00041244] * RD COMPARE * port=1 adr=03 act=A8221E4FF797547089 exp=A8221E4FF797547089 + 41243.50ns INFO [00041245] * RD COMPARE * port=1 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41244.50ns INFO [00041246] Port=0 WR @00=CAF78B099C4E10861E + 41245.50ns INFO [00041247] Port=0 WR @00=454C0E8A1FB85E8F45 + 41245.50ns INFO [00041247] Port=0 RD @04 + 41246.50ns INFO [00041248] Port=0 RD @05 + 41247.50ns INFO [00041249] * RD COMPARE * port=0 adr=04 act=2335F9C4C22F547687 exp=2335F9C4C22F547687 + 41248.50ns INFO [00041250] * RD COMPARE * port=0 adr=05 act=52B6C132DD1A89205D exp=52B6C132DD1A89205D + 41249.50ns INFO [00041251] Port=1 RD @06 + 41251.50ns INFO [00041253] * RD COMPARE * port=1 adr=06 act=581C2FFB233346A319 exp=581C2FFB233346A319 + 41251.50ns INFO [00041253] Port=0 WR @06=B62AC108425D3DF874 + 41252.50ns INFO [00041254] Port=0 WR @02=43CBAAFE0076658AA2 + 41252.50ns INFO [00041254] Port=1 RD @01 + 41253.50ns INFO [00041255] Port=0 WR @03=1DF4A02D4367D1CBAC + 41253.50ns INFO [00041255] Port=0 RD @07 + 41254.50ns INFO [00041256] * RD COMPARE * port=1 adr=01 act=E98FF5341C58E3F63E exp=E98FF5341C58E3F63E + 41254.50ns INFO [00041256] Port=0 WR @00=86C99E3EC3B7E093F1 + 41255.50ns INFO [00041257] * RD COMPARE * port=0 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41255.50ns INFO [00041257] Port=0 RD @07 + 41255.50ns INFO [00041257] Port=1 RD @03 + 41256.50ns INFO [00041258] Port=0 WR @02=29AEA94643C7CB56F0 + 41256.50ns INFO [00041258] Port=1 RD @04 + 41257.50ns INFO [00041259] * RD COMPARE * port=0 adr=07 act=6BC65564BDF0555EFF exp=6BC65564BDF0555EFF + 41257.50ns INFO [00041259] * RD COMPARE * port=1 adr=03 act=1DF4A02D4367D1CBAC exp=1DF4A02D4367D1CBAC + 41257.50ns INFO [00041259] Port=0 RD @06 + 41257.50ns INFO [00041259] Port=1 RD @02 + 41258.50ns INFO [00041260] * RD COMPARE * port=1 adr=04 act=2335F9C4C22F547687 exp=2335F9C4C22F547687 + 41259.50ns INFO [00041261] * RD COMPARE * port=0 adr=06 act=B62AC108425D3DF874 exp=B62AC108425D3DF874 + 41259.50ns INFO [00041261] * RD COMPARE * port=1 adr=02 act=29AEA94643C7CB56F0 exp=29AEA94643C7CB56F0 + 41259.50ns INFO [00041261] Port=0 WR @01=30C1B5E90E61038527 + 41260.50ns INFO [00041262] Port=1 RD @00 + 41261.50ns INFO [00041263] Port=1 RD @03 + 41262.50ns INFO [00041264] * RD COMPARE * port=1 adr=00 act=86C99E3EC3B7E093F1 exp=86C99E3EC3B7E093F1 + 41263.50ns INFO [00041265] * RD COMPARE * port=1 adr=03 act=1DF4A02D4367D1CBAC exp=1DF4A02D4367D1CBAC + 41263.50ns INFO [00041265] Port=0 WR @07=93E571DC050F49F953 + 41263.50ns INFO [00041265] Port=1 RD @04 + 41264.50ns INFO [00041266] Port=0 WR @04=FC71A2B57804B7A363 + 41265.50ns INFO [00041267] * RD COMPARE * port=1 adr=04 act=2335F9C4C22F547687 exp=2335F9C4C22F547687 + 41265.50ns INFO [00041267] Port=1 RD @06 + 41266.50ns INFO [00041268] Port=0 WR @06=BB3C2F13090A7E50B1 + 41266.50ns INFO [00041268] Port=0 RD @02 + 41266.50ns INFO [00041268] Port=1 RD @07 + 41267.50ns INFO [00041269] * RD COMPARE * port=1 adr=06 act=B62AC108425D3DF874 exp=B62AC108425D3DF874 + 41267.50ns INFO [00041269] Port=0 WR @04=AAB7135E299FE84B73 + 41267.50ns INFO [00041269] Port=0 RD @03 + 41268.50ns INFO [00041270] * RD COMPARE * port=0 adr=02 act=29AEA94643C7CB56F0 exp=29AEA94643C7CB56F0 + 41268.50ns INFO [00041270] * RD COMPARE * port=1 adr=07 act=93E571DC050F49F953 exp=93E571DC050F49F953 + 41268.50ns INFO [00041270] Port=0 RD @03 + 41269.50ns INFO [00041271] * RD COMPARE * port=0 adr=03 act=1DF4A02D4367D1CBAC exp=1DF4A02D4367D1CBAC + 41269.50ns INFO [00041271] Port=0 RD @04 + 41270.50ns INFO [00041272] * RD COMPARE * port=0 adr=03 act=1DF4A02D4367D1CBAC exp=1DF4A02D4367D1CBAC + 41270.50ns INFO [00041272] Port=0 RD @05 + 41271.50ns INFO [00041273] * RD COMPARE * port=0 adr=04 act=AAB7135E299FE84B73 exp=AAB7135E299FE84B73 + 41271.50ns INFO [00041273] Port=1 RD @06 + 41272.50ns INFO [00041274] * RD COMPARE * port=0 adr=05 act=52B6C132DD1A89205D exp=52B6C132DD1A89205D + 41272.50ns INFO [00041274] Port=0 WR @00=5177B463C62A31E1C8 + 41272.50ns INFO [00041274] Port=0 RD @02 + 41272.50ns INFO [00041274] Port=1 RD @06 + 41273.50ns INFO [00041275] * RD COMPARE * port=1 adr=06 act=BB3C2F13090A7E50B1 exp=BB3C2F13090A7E50B1 + 41274.50ns INFO [00041276] * RD COMPARE * port=0 adr=02 act=29AEA94643C7CB56F0 exp=29AEA94643C7CB56F0 + 41274.50ns INFO [00041276] * RD COMPARE * port=1 adr=06 act=BB3C2F13090A7E50B1 exp=BB3C2F13090A7E50B1 + 41274.50ns INFO [00041276] Port=1 RD @04 + 41275.50ns INFO [00041277] Port=0 RD @04 + 41275.50ns INFO [00041277] Port=1 RD @03 + 41276.50ns INFO [00041278] * RD COMPARE * port=1 adr=04 act=AAB7135E299FE84B73 exp=AAB7135E299FE84B73 + 41277.50ns INFO [00041279] * RD COMPARE * port=0 adr=04 act=AAB7135E299FE84B73 exp=AAB7135E299FE84B73 + 41277.50ns INFO [00041279] * RD COMPARE * port=1 adr=03 act=1DF4A02D4367D1CBAC exp=1DF4A02D4367D1CBAC + 41277.50ns INFO [00041279] Port=0 WR @01=5AC5E0CB1D3D713D2D + 41277.50ns INFO [00041279] Port=0 RD @06 + 41278.50ns INFO [00041280] Port=1 RD @04 + 41279.50ns INFO [00041281] * RD COMPARE * port=0 adr=06 act=BB3C2F13090A7E50B1 exp=BB3C2F13090A7E50B1 + 41280.50ns INFO [00041282] * RD COMPARE * port=1 adr=04 act=AAB7135E299FE84B73 exp=AAB7135E299FE84B73 + 41280.50ns INFO [00041282] Port=0 WR @01=BC85E3CE82E09DE2A4 + 41280.50ns INFO [00041282] Port=0 RD @04 + 41280.50ns INFO [00041282] Port=1 RD @05 + 41281.50ns INFO [00041283] Port=1 RD @05 + 41282.50ns INFO [00041284] * RD COMPARE * port=0 adr=04 act=AAB7135E299FE84B73 exp=AAB7135E299FE84B73 + 41282.50ns INFO [00041284] * RD COMPARE * port=1 adr=05 act=52B6C132DD1A89205D exp=52B6C132DD1A89205D + 41282.50ns INFO [00041284] Port=0 WR @00=95F809D5A61F1AFF67 + 41282.50ns INFO [00041284] Port=0 RD @05 + 41282.50ns INFO [00041284] Port=1 RD @06 + 41283.50ns INFO [00041285] * RD COMPARE * port=1 adr=05 act=52B6C132DD1A89205D exp=52B6C132DD1A89205D + 41283.50ns INFO [00041285] Port=0 WR @05=70A808BBAD92EA0430 + 41283.50ns INFO [00041285] Port=1 RD @04 + 41284.50ns INFO [00041286] * RD COMPARE * port=0 adr=05 act=52B6C132DD1A89205D exp=52B6C132DD1A89205D + 41284.50ns INFO [00041286] * RD COMPARE * port=1 adr=06 act=BB3C2F13090A7E50B1 exp=BB3C2F13090A7E50B1 + 41284.50ns INFO [00041286] Port=0 WR @06=2B7674C91178925DF3 + 41284.50ns INFO [00041286] Port=0 RD @02 + 41285.50ns INFO [00041287] * RD COMPARE * port=1 adr=04 act=AAB7135E299FE84B73 exp=AAB7135E299FE84B73 + 41286.50ns INFO [00041288] * RD COMPARE * port=0 adr=02 act=29AEA94643C7CB56F0 exp=29AEA94643C7CB56F0 + 41286.50ns INFO [00041288] Port=0 WR @03=38005D45EBF34C18DC + 41286.50ns INFO [00041288] Port=0 RD @05 + 41288.50ns INFO [00041290] * RD COMPARE * port=0 adr=05 act=70A808BBAD92EA0430 exp=70A808BBAD92EA0430 + 41289.50ns INFO [00041291] Port=0 WR @03=6F12E2B76D7BD63FEC + 41289.50ns INFO [00041291] Port=1 RD @07 + 41290.50ns INFO [00041292] Port=0 RD @06 + 41290.50ns INFO [00041292] Port=1 RD @07 + 41291.50ns INFO [00041293] * RD COMPARE * port=1 adr=07 act=93E571DC050F49F953 exp=93E571DC050F49F953 + 41292.50ns INFO [00041294] * RD COMPARE * port=0 adr=06 act=2B7674C91178925DF3 exp=2B7674C91178925DF3 + 41292.50ns INFO [00041294] * RD COMPARE * port=1 adr=07 act=93E571DC050F49F953 exp=93E571DC050F49F953 + 41292.50ns INFO [00041294] Port=0 RD @01 + 41294.50ns INFO [00041296] * RD COMPARE * port=0 adr=01 act=BC85E3CE82E09DE2A4 exp=BC85E3CE82E09DE2A4 + 41294.50ns INFO [00041296] Port=0 RD @03 + 41295.50ns INFO [00041297] Port=1 RD @03 + 41296.50ns INFO [00041298] * RD COMPARE * port=0 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41296.50ns INFO [00041298] Port=0 WR @04=364643F169D8B5E021 + 41297.50ns INFO [00041299] * RD COMPARE * port=1 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41297.50ns INFO [00041299] Port=0 WR @01=6E735733914CD32039 + 41297.50ns INFO [00041299] Port=1 RD @05 + 41298.00ns INFO [00041300] [00041300] ...tick... + 41298.50ns INFO [00041300] Port=1 RD @00 + 41299.50ns INFO [00041301] * RD COMPARE * port=1 adr=05 act=70A808BBAD92EA0430 exp=70A808BBAD92EA0430 + 41299.50ns INFO [00041301] Port=0 RD @03 + 41300.50ns INFO [00041302] * RD COMPARE * port=1 adr=00 act=95F809D5A61F1AFF67 exp=95F809D5A61F1AFF67 + 41300.50ns INFO [00041302] Port=1 RD @01 + 41301.50ns INFO [00041303] * RD COMPARE * port=0 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41301.50ns INFO [00041303] Port=1 RD @01 + 41302.50ns INFO [00041304] * RD COMPARE * port=1 adr=01 act=6E735733914CD32039 exp=6E735733914CD32039 + 41302.50ns INFO [00041304] Port=0 WR @01=6011C70837E089E26E + 41302.50ns INFO [00041304] Port=0 RD @00 + 41302.50ns INFO [00041304] Port=1 RD @03 + 41303.50ns INFO [00041305] * RD COMPARE * port=1 adr=01 act=6E735733914CD32039 exp=6E735733914CD32039 + 41303.50ns INFO [00041305] Port=0 WR @04=6C31BD07794A4F32D5 + 41303.50ns INFO [00041305] Port=0 RD @03 + 41303.50ns INFO [00041305] Port=1 RD @02 + 41304.50ns INFO [00041306] * RD COMPARE * port=0 adr=00 act=95F809D5A61F1AFF67 exp=95F809D5A61F1AFF67 + 41304.50ns INFO [00041306] * RD COMPARE * port=1 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41304.50ns INFO [00041306] Port=0 WR @04=F9B6E866D3167DA1F8 + 41304.50ns INFO [00041306] Port=0 RD @05 + 41304.50ns INFO [00041306] Port=1 RD @07 + 41305.50ns INFO [00041307] * RD COMPARE * port=0 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41305.50ns INFO [00041307] * RD COMPARE * port=1 adr=02 act=29AEA94643C7CB56F0 exp=29AEA94643C7CB56F0 + 41305.50ns INFO [00041307] Port=0 WR @00=46ACD562C88ABA5503 + 41305.50ns INFO [00041307] Port=1 RD @07 + 41306.50ns INFO [00041308] * RD COMPARE * port=0 adr=05 act=70A808BBAD92EA0430 exp=70A808BBAD92EA0430 + 41306.50ns INFO [00041308] * RD COMPARE * port=1 adr=07 act=93E571DC050F49F953 exp=93E571DC050F49F953 + 41306.50ns INFO [00041308] Port=0 WR @01=FFB3A2C95E8D050CD9 + 41306.50ns INFO [00041308] Port=0 RD @07 + 41307.50ns INFO [00041309] * RD COMPARE * port=1 adr=07 act=93E571DC050F49F953 exp=93E571DC050F49F953 + 41307.50ns INFO [00041309] Port=0 RD @02 + 41308.50ns INFO [00041310] * RD COMPARE * port=0 adr=07 act=93E571DC050F49F953 exp=93E571DC050F49F953 + 41308.50ns INFO [00041310] Port=0 WR @06=25CD0DB05A1132EDBA + 41308.50ns INFO [00041310] Port=1 RD @02 + 41309.50ns INFO [00041311] * RD COMPARE * port=0 adr=02 act=29AEA94643C7CB56F0 exp=29AEA94643C7CB56F0 + 41310.50ns INFO [00041312] * RD COMPARE * port=1 adr=02 act=29AEA94643C7CB56F0 exp=29AEA94643C7CB56F0 + 41310.50ns INFO [00041312] Port=1 RD @06 + 41311.50ns INFO [00041313] Port=0 RD @03 + 41312.50ns INFO [00041314] * RD COMPARE * port=1 adr=06 act=25CD0DB05A1132EDBA exp=25CD0DB05A1132EDBA + 41312.50ns INFO [00041314] Port=0 WR @01=AD8D5F47A4A77DA6BF + 41313.50ns INFO [00041315] * RD COMPARE * port=0 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41314.50ns INFO [00041316] Port=0 RD @03 + 41315.50ns INFO [00041317] Port=0 WR @07=98AF95C6E051F25A7D + 41316.50ns INFO [00041318] * RD COMPARE * port=0 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41316.50ns INFO [00041318] Port=1 RD @03 + 41317.50ns INFO [00041319] Port=0 WR @00=C57B3325FC91D3BB3B + 41317.50ns INFO [00041319] Port=0 RD @02 + 41317.50ns INFO [00041319] Port=1 RD @04 + 41318.50ns INFO [00041320] * RD COMPARE * port=1 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41318.50ns INFO [00041320] Port=0 WR @06=A4DB69394DFDD6BA99 + 41319.50ns INFO [00041321] * RD COMPARE * port=0 adr=02 act=29AEA94643C7CB56F0 exp=29AEA94643C7CB56F0 + 41319.50ns INFO [00041321] * RD COMPARE * port=1 adr=04 act=F9B6E866D3167DA1F8 exp=F9B6E866D3167DA1F8 + 41319.50ns INFO [00041321] Port=0 WR @02=78BCC134E023D44BCB + 41319.50ns INFO [00041321] Port=0 RD @06 + 41320.50ns INFO [00041322] Port=0 WR @04=12C644F7D39C8AAC7E + 41321.50ns INFO [00041323] * RD COMPARE * port=0 adr=06 act=A4DB69394DFDD6BA99 exp=A4DB69394DFDD6BA99 + 41321.50ns INFO [00041323] Port=0 RD @06 + 41322.50ns INFO [00041324] Port=0 RD @06 + 41323.50ns INFO [00041325] * RD COMPARE * port=0 adr=06 act=A4DB69394DFDD6BA99 exp=A4DB69394DFDD6BA99 + 41323.50ns INFO [00041325] Port=1 RD @06 + 41324.50ns INFO [00041326] * RD COMPARE * port=0 adr=06 act=A4DB69394DFDD6BA99 exp=A4DB69394DFDD6BA99 + 41324.50ns INFO [00041326] Port=0 RD @07 + 41324.50ns INFO [00041326] Port=1 RD @05 + 41325.50ns INFO [00041327] * RD COMPARE * port=1 adr=06 act=A4DB69394DFDD6BA99 exp=A4DB69394DFDD6BA99 + 41325.50ns INFO [00041327] Port=0 WR @04=A7B70DB1DF8C7C58AB + 41325.50ns INFO [00041327] Port=1 RD @07 + 41326.50ns INFO [00041328] * RD COMPARE * port=0 adr=07 act=98AF95C6E051F25A7D exp=98AF95C6E051F25A7D + 41326.50ns INFO [00041328] * RD COMPARE * port=1 adr=05 act=70A808BBAD92EA0430 exp=70A808BBAD92EA0430 + 41326.50ns INFO [00041328] Port=0 WR @02=779773131DE61B78DA + 41326.50ns INFO [00041328] Port=0 RD @03 + 41327.50ns INFO [00041329] * RD COMPARE * port=1 adr=07 act=98AF95C6E051F25A7D exp=98AF95C6E051F25A7D + 41327.50ns INFO [00041329] Port=0 RD @01 + 41327.50ns INFO [00041329] Port=1 RD @04 + 41328.50ns INFO [00041330] * RD COMPARE * port=0 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41328.50ns INFO [00041330] Port=0 WR @06=7913BA78E56A14647C + 41329.50ns INFO [00041331] * RD COMPARE * port=0 adr=01 act=AD8D5F47A4A77DA6BF exp=AD8D5F47A4A77DA6BF + 41329.50ns INFO [00041331] * RD COMPARE * port=1 adr=04 act=A7B70DB1DF8C7C58AB exp=A7B70DB1DF8C7C58AB + 41329.50ns INFO [00041331] Port=0 RD @06 + 41329.50ns INFO [00041331] Port=1 RD @01 + 41330.50ns INFO [00041332] Port=0 WR @04=C3920A99A436BBD10F + 41330.50ns INFO [00041332] Port=0 RD @00 + 41331.50ns INFO [00041333] * RD COMPARE * port=0 adr=06 act=7913BA78E56A14647C exp=7913BA78E56A14647C + 41331.50ns INFO [00041333] * RD COMPARE * port=1 adr=01 act=AD8D5F47A4A77DA6BF exp=AD8D5F47A4A77DA6BF + 41331.50ns INFO [00041333] Port=0 RD @02 + 41331.50ns INFO [00041333] Port=1 RD @06 + 41332.50ns INFO [00041334] * RD COMPARE * port=0 adr=00 act=C57B3325FC91D3BB3B exp=C57B3325FC91D3BB3B + 41332.50ns INFO [00041334] Port=1 RD @02 + 41333.50ns INFO [00041335] * RD COMPARE * port=0 adr=02 act=779773131DE61B78DA exp=779773131DE61B78DA + 41333.50ns INFO [00041335] * RD COMPARE * port=1 adr=06 act=7913BA78E56A14647C exp=7913BA78E56A14647C + 41333.50ns INFO [00041335] Port=0 RD @00 + 41334.50ns INFO [00041336] * RD COMPARE * port=1 adr=02 act=779773131DE61B78DA exp=779773131DE61B78DA + 41334.50ns INFO [00041336] Port=0 WR @05=A130C44E22E8CDCD84 + 41334.50ns INFO [00041336] Port=0 RD @06 + 41334.50ns INFO [00041336] Port=1 RD @06 + 41335.50ns INFO [00041337] * RD COMPARE * port=0 adr=00 act=C57B3325FC91D3BB3B exp=C57B3325FC91D3BB3B + 41335.50ns INFO [00041337] Port=0 WR @00=96DD63A07A2A4A5F89 + 41336.50ns INFO [00041338] * RD COMPARE * port=0 adr=06 act=7913BA78E56A14647C exp=7913BA78E56A14647C + 41336.50ns INFO [00041338] * RD COMPARE * port=1 adr=06 act=7913BA78E56A14647C exp=7913BA78E56A14647C + 41337.50ns INFO [00041339] Port=0 WR @00=EAA8C5AA2E54358F3F + 41337.50ns INFO [00041339] Port=0 RD @04 + 41338.50ns INFO [00041340] Port=0 RD @06 + 41339.50ns INFO [00041341] * RD COMPARE * port=0 adr=04 act=C3920A99A436BBD10F exp=C3920A99A436BBD10F + 41339.50ns INFO [00041341] Port=0 RD @04 + 41339.50ns INFO [00041341] Port=1 RD @01 + 41340.50ns INFO [00041342] * RD COMPARE * port=0 adr=06 act=7913BA78E56A14647C exp=7913BA78E56A14647C + 41340.50ns INFO [00041342] Port=0 RD @07 + 41341.50ns INFO [00041343] * RD COMPARE * port=0 adr=04 act=C3920A99A436BBD10F exp=C3920A99A436BBD10F + 41341.50ns INFO [00041343] * RD COMPARE * port=1 adr=01 act=AD8D5F47A4A77DA6BF exp=AD8D5F47A4A77DA6BF + 41342.50ns INFO [00041344] * RD COMPARE * port=0 adr=07 act=98AF95C6E051F25A7D exp=98AF95C6E051F25A7D + 41342.50ns INFO [00041344] Port=0 WR @04=4D280F6D905F685BA7 + 41343.50ns INFO [00041345] Port=0 WR @07=B11EB82A7119C96954 + 41343.50ns INFO [00041345] Port=0 RD @03 + 41343.50ns INFO [00041345] Port=1 RD @05 + 41345.50ns INFO [00041347] * RD COMPARE * port=0 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41345.50ns INFO [00041347] * RD COMPARE * port=1 adr=05 act=A130C44E22E8CDCD84 exp=A130C44E22E8CDCD84 + 41346.50ns INFO [00041348] Port=0 WR @06=CCFA67AADF35084CED + 41347.50ns INFO [00041349] Port=0 WR @07=DA28F965D44A3FDE53 + 41347.50ns INFO [00041349] Port=0 RD @04 + 41348.50ns INFO [00041350] Port=1 RD @01 + 41349.50ns INFO [00041351] * RD COMPARE * port=0 adr=04 act=4D280F6D905F685BA7 exp=4D280F6D905F685BA7 + 41350.50ns INFO [00041352] * RD COMPARE * port=1 adr=01 act=AD8D5F47A4A77DA6BF exp=AD8D5F47A4A77DA6BF + 41350.50ns INFO [00041352] Port=0 WR @06=B0E2DFB217C9A95F5F + 41350.50ns INFO [00041352] Port=0 RD @02 + 41351.50ns INFO [00041353] Port=0 RD @02 + 41352.50ns INFO [00041354] * RD COMPARE * port=0 adr=02 act=779773131DE61B78DA exp=779773131DE61B78DA + 41353.50ns INFO [00041355] * RD COMPARE * port=0 adr=02 act=779773131DE61B78DA exp=779773131DE61B78DA + 41353.50ns INFO [00041355] Port=1 RD @03 + 41355.50ns INFO [00041357] * RD COMPARE * port=1 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41355.50ns INFO [00041357] Port=0 RD @04 + 41355.50ns INFO [00041357] Port=1 RD @04 + 41356.50ns INFO [00041358] Port=0 WR @06=08E728EFE5F628D9AC + 41357.50ns INFO [00041359] * RD COMPARE * port=0 adr=04 act=4D280F6D905F685BA7 exp=4D280F6D905F685BA7 + 41357.50ns INFO [00041359] * RD COMPARE * port=1 adr=04 act=4D280F6D905F685BA7 exp=4D280F6D905F685BA7 + 41358.50ns INFO [00041360] Port=1 RD @07 + 41359.50ns INFO [00041361] Port=0 RD @07 + 41360.50ns INFO [00041362] * RD COMPARE * port=1 adr=07 act=DA28F965D44A3FDE53 exp=DA28F965D44A3FDE53 + 41360.50ns INFO [00041362] Port=0 WR @05=D69A18D31D496BF946 + 41360.50ns INFO [00041362] Port=0 RD @01 + 41361.50ns INFO [00041363] * RD COMPARE * port=0 adr=07 act=DA28F965D44A3FDE53 exp=DA28F965D44A3FDE53 + 41362.50ns INFO [00041364] * RD COMPARE * port=0 adr=01 act=AD8D5F47A4A77DA6BF exp=AD8D5F47A4A77DA6BF + 41362.50ns INFO [00041364] Port=0 WR @04=83A6D0835CC68B88EE + 41363.50ns INFO [00041365] Port=1 RD @07 + 41364.50ns INFO [00041366] Port=0 WR @01=95DC76E69E7061644F + 41364.50ns INFO [00041366] Port=1 RD @07 + 41365.50ns INFO [00041367] * RD COMPARE * port=1 adr=07 act=DA28F965D44A3FDE53 exp=DA28F965D44A3FDE53 + 41366.50ns INFO [00041368] * RD COMPARE * port=1 adr=07 act=DA28F965D44A3FDE53 exp=DA28F965D44A3FDE53 + 41366.50ns INFO [00041368] Port=0 WR @04=FF28A7969FE8ED1FA9 + 41366.50ns INFO [00041368] Port=1 RD @03 + 41367.50ns INFO [00041369] Port=0 WR @03=2CDE24D4667AEFE5FC + 41367.50ns INFO [00041369] Port=0 RD @05 + 41367.50ns INFO [00041369] Port=1 RD @04 + 41368.50ns INFO [00041370] * RD COMPARE * port=1 adr=03 act=6F12E2B76D7BD63FEC exp=6F12E2B76D7BD63FEC + 41368.50ns INFO [00041370] Port=0 RD @05 + 41369.50ns INFO [00041371] * RD COMPARE * port=0 adr=05 act=D69A18D31D496BF946 exp=D69A18D31D496BF946 + 41369.50ns INFO [00041371] * RD COMPARE * port=1 adr=04 act=FF28A7969FE8ED1FA9 exp=FF28A7969FE8ED1FA9 + 41369.50ns INFO [00041371] Port=0 WR @07=254042B19F7481AF5A + 41370.50ns INFO [00041372] * RD COMPARE * port=0 adr=05 act=D69A18D31D496BF946 exp=D69A18D31D496BF946 + 41370.50ns INFO [00041372] Port=0 WR @00=F3ECCB53442BCF003F + 41371.50ns INFO [00041373] Port=1 RD @07 + 41372.50ns INFO [00041374] Port=0 WR @05=C5514DD9882DDE562B + 41372.50ns INFO [00041374] Port=0 RD @03 + 41373.50ns INFO [00041375] * RD COMPARE * port=1 adr=07 act=254042B19F7481AF5A exp=254042B19F7481AF5A + 41373.50ns INFO [00041375] Port=0 WR @02=E64744F0D0DA41986E + 41373.50ns INFO [00041375] Port=0 RD @04 + 41373.50ns INFO [00041375] Port=1 RD @06 + 41374.50ns INFO [00041376] * RD COMPARE * port=0 adr=03 act=2CDE24D4667AEFE5FC exp=2CDE24D4667AEFE5FC + 41374.50ns INFO [00041376] Port=0 WR @00=F4159DB40508E1A6F9 + 41374.50ns INFO [00041376] Port=1 RD @04 + 41375.50ns INFO [00041377] * RD COMPARE * port=0 adr=04 act=FF28A7969FE8ED1FA9 exp=FF28A7969FE8ED1FA9 + 41375.50ns INFO [00041377] * RD COMPARE * port=1 adr=06 act=08E728EFE5F628D9AC exp=08E728EFE5F628D9AC + 41375.50ns INFO [00041377] Port=0 RD @01 + 41376.50ns INFO [00041378] * RD COMPARE * port=1 adr=04 act=FF28A7969FE8ED1FA9 exp=FF28A7969FE8ED1FA9 + 41376.50ns INFO [00041378] Port=0 WR @07=9E8A5121F4C776BCDE + 41376.50ns INFO [00041378] Port=0 RD @00 + 41377.50ns INFO [00041379] * RD COMPARE * port=0 adr=01 act=95DC76E69E7061644F exp=95DC76E69E7061644F + 41378.50ns INFO [00041380] * RD COMPARE * port=0 adr=00 act=F4159DB40508E1A6F9 exp=F4159DB40508E1A6F9 + 41379.50ns INFO [00041381] Port=0 WR @05=612EDDE1E44E492ADB + 41380.50ns INFO [00041382] Port=1 RD @06 + 41381.50ns INFO [00041383] Port=0 WR @01=F3F3D86F3B9DA1EDFE + 41381.50ns INFO [00041383] Port=1 RD @06 + 41382.50ns INFO [00041384] * RD COMPARE * port=1 adr=06 act=08E728EFE5F628D9AC exp=08E728EFE5F628D9AC + 41382.50ns INFO [00041384] Port=0 WR @05=9A9123AD1AF8F9D8FD + 41383.50ns INFO [00041385] * RD COMPARE * port=1 adr=06 act=08E728EFE5F628D9AC exp=08E728EFE5F628D9AC + 41383.50ns INFO [00041385] Port=0 RD @00 + 41384.50ns INFO [00041386] Port=0 RD @01 + 41384.50ns INFO [00041386] Port=1 RD @07 + 41385.50ns INFO [00041387] * RD COMPARE * port=0 adr=00 act=F4159DB40508E1A6F9 exp=F4159DB40508E1A6F9 + 41385.50ns INFO [00041387] Port=1 RD @07 + 41386.50ns INFO [00041388] * RD COMPARE * port=0 adr=01 act=F3F3D86F3B9DA1EDFE exp=F3F3D86F3B9DA1EDFE + 41386.50ns INFO [00041388] * RD COMPARE * port=1 adr=07 act=9E8A5121F4C776BCDE exp=9E8A5121F4C776BCDE + 41386.50ns INFO [00041388] Port=0 WR @01=4F719CE53DEAADC1F1 + 41386.50ns INFO [00041388] Port=1 RD @05 + 41387.50ns INFO [00041389] * RD COMPARE * port=1 adr=07 act=9E8A5121F4C776BCDE exp=9E8A5121F4C776BCDE + 41387.50ns INFO [00041389] Port=1 RD @07 + 41388.50ns INFO [00041390] * RD COMPARE * port=1 adr=05 act=9A9123AD1AF8F9D8FD exp=9A9123AD1AF8F9D8FD + 41388.50ns INFO [00041390] Port=0 RD @07 + 41388.50ns INFO [00041390] Port=1 RD @01 + 41389.50ns INFO [00041391] * RD COMPARE * port=1 adr=07 act=9E8A5121F4C776BCDE exp=9E8A5121F4C776BCDE + 41389.50ns INFO [00041391] Port=0 WR @05=A1EA6A051600C2FEB6 + 41389.50ns INFO [00041391] Port=0 RD @00 + 41389.50ns INFO [00041391] Port=1 RD @04 + 41390.50ns INFO [00041392] * RD COMPARE * port=0 adr=07 act=9E8A5121F4C776BCDE exp=9E8A5121F4C776BCDE + 41390.50ns INFO [00041392] * RD COMPARE * port=1 adr=01 act=4F719CE53DEAADC1F1 exp=4F719CE53DEAADC1F1 + 41390.50ns INFO [00041392] Port=0 WR @02=9A737019DFAABDA6FC + 41391.50ns INFO [00041393] * RD COMPARE * port=0 adr=00 act=F4159DB40508E1A6F9 exp=F4159DB40508E1A6F9 + 41391.50ns INFO [00041393] * RD COMPARE * port=1 adr=04 act=FF28A7969FE8ED1FA9 exp=FF28A7969FE8ED1FA9 + 41392.50ns INFO [00041394] Port=0 WR @07=B763C40FA6D2BF3A37 + 41392.50ns INFO [00041394] Port=1 RD @05 + 41393.50ns INFO [00041395] Port=1 RD @06 + 41394.50ns INFO [00041396] * RD COMPARE * port=1 adr=05 act=A1EA6A051600C2FEB6 exp=A1EA6A051600C2FEB6 + 41394.50ns INFO [00041396] Port=0 RD @05 + 41395.50ns INFO [00041397] * RD COMPARE * port=1 adr=06 act=08E728EFE5F628D9AC exp=08E728EFE5F628D9AC + 41396.50ns INFO [00041398] * RD COMPARE * port=0 adr=05 act=A1EA6A051600C2FEB6 exp=A1EA6A051600C2FEB6 + 41396.50ns INFO [00041398] Port=1 RD @04 + 41397.50ns INFO [00041399] Port=0 RD @06 + 41398.00ns INFO [00041400] [00041400] ...tick... + 41398.50ns INFO [00041400] * RD COMPARE * port=1 adr=04 act=FF28A7969FE8ED1FA9 exp=FF28A7969FE8ED1FA9 + 41398.50ns INFO [00041400] Port=0 WR @03=84BCA7889646CDB80C + 41398.50ns INFO [00041400] Port=1 RD @05 + 41399.50ns INFO [00041401] * RD COMPARE * port=0 adr=06 act=08E728EFE5F628D9AC exp=08E728EFE5F628D9AC + 41399.50ns INFO [00041401] Port=0 WR @05=41A728D3E5A71DB2C7 + 41399.50ns INFO [00041401] Port=0 RD @03 + 41399.50ns INFO [00041401] Port=1 RD @03 + 41400.50ns INFO [00041402] * RD COMPARE * port=1 adr=05 act=A1EA6A051600C2FEB6 exp=A1EA6A051600C2FEB6 + 41400.50ns INFO [00041402] Port=0 WR @03=4B78B04AD4A95968DD + 41400.50ns INFO [00041402] Port=0 RD @05 + 41400.50ns INFO [00041402] Port=1 RD @07 + 41401.50ns INFO [00041403] * RD COMPARE * port=0 adr=03 act=84BCA7889646CDB80C exp=84BCA7889646CDB80C + 41401.50ns INFO [00041403] * RD COMPARE * port=1 adr=03 act=84BCA7889646CDB80C exp=84BCA7889646CDB80C + 41401.50ns INFO [00041403] Port=0 WR @01=EE1D138DC44BE11ADB + 41402.50ns INFO [00041404] * RD COMPARE * port=0 adr=05 act=41A728D3E5A71DB2C7 exp=41A728D3E5A71DB2C7 + 41402.50ns INFO [00041404] * RD COMPARE * port=1 adr=07 act=B763C40FA6D2BF3A37 exp=B763C40FA6D2BF3A37 + 41402.50ns INFO [00041404] Port=0 RD @03 + 41403.50ns INFO [00041405] Port=0 WR @02=AFA9929CD3837C8744 + 41403.50ns INFO [00041405] Port=0 RD @03 + 41404.50ns INFO [00041406] * RD COMPARE * port=0 adr=03 act=4B78B04AD4A95968DD exp=4B78B04AD4A95968DD + 41405.50ns INFO [00041407] * RD COMPARE * port=0 adr=03 act=4B78B04AD4A95968DD exp=4B78B04AD4A95968DD + 41406.50ns INFO [00041408] Port=0 RD @01 + 41407.50ns INFO [00041409] Port=0 RD @01 + 41408.50ns INFO [00041410] * RD COMPARE * port=0 adr=01 act=EE1D138DC44BE11ADB exp=EE1D138DC44BE11ADB + 41409.50ns INFO [00041411] * RD COMPARE * port=0 adr=01 act=EE1D138DC44BE11ADB exp=EE1D138DC44BE11ADB + 41409.50ns INFO [00041411] Port=0 WR @07=75A1DF47FB701FE884 + 41412.50ns INFO [00041414] Port=0 WR @02=5F9FC38A5F8C295B4B + 41412.50ns INFO [00041414] Port=1 RD @03 + 41413.50ns INFO [00041415] Port=0 WR @03=FEDECB8EF5CF349B17 + 41413.50ns INFO [00041415] Port=0 RD @07 + 41413.50ns INFO [00041415] Port=1 RD @04 + 41414.50ns INFO [00041416] * RD COMPARE * port=1 adr=03 act=4B78B04AD4A95968DD exp=4B78B04AD4A95968DD + 41414.50ns INFO [00041416] Port=0 WR @01=ACDDF90887097581EA + 41414.50ns INFO [00041416] Port=0 RD @06 + 41415.50ns INFO [00041417] * RD COMPARE * port=0 adr=07 act=75A1DF47FB701FE884 exp=75A1DF47FB701FE884 + 41415.50ns INFO [00041417] * RD COMPARE * port=1 adr=04 act=FF28A7969FE8ED1FA9 exp=FF28A7969FE8ED1FA9 + 41415.50ns INFO [00041417] Port=0 WR @02=790B7C880CD1D4FC25 + 41416.50ns INFO [00041418] * RD COMPARE * port=0 adr=06 act=08E728EFE5F628D9AC exp=08E728EFE5F628D9AC + 41416.50ns INFO [00041418] Port=0 WR @07=032D5A525CF69AF2DA + 41417.50ns INFO [00041419] Port=0 RD @03 + 41419.50ns INFO [00041421] * RD COMPARE * port=0 adr=03 act=FEDECB8EF5CF349B17 exp=FEDECB8EF5CF349B17 + 41419.50ns INFO [00041421] Port=0 WR @05=310934B8E444353D5F + 41419.50ns INFO [00041421] Port=0 RD @00 + 41419.50ns INFO [00041421] Port=1 RD @07 + 41420.50ns INFO [00041422] Port=0 WR @01=9E17112B55A7F365BD + 41420.50ns INFO [00041422] Port=1 RD @06 + 41421.50ns INFO [00041423] * RD COMPARE * port=0 adr=00 act=F4159DB40508E1A6F9 exp=F4159DB40508E1A6F9 + 41421.50ns INFO [00041423] * RD COMPARE * port=1 adr=07 act=032D5A525CF69AF2DA exp=032D5A525CF69AF2DA + 41421.50ns INFO [00041423] Port=1 RD @04 + 41422.50ns INFO [00041424] * RD COMPARE * port=1 adr=06 act=08E728EFE5F628D9AC exp=08E728EFE5F628D9AC + 41422.50ns INFO [00041424] Port=0 RD @01 + 41423.50ns INFO [00041425] * RD COMPARE * port=1 adr=04 act=FF28A7969FE8ED1FA9 exp=FF28A7969FE8ED1FA9 + 41423.50ns INFO [00041425] Port=0 WR @03=B4A4ABCDDC897C2957 + 41423.50ns INFO [00041425] Port=0 RD @07 + 41424.50ns INFO [00041426] * RD COMPARE * port=0 adr=01 act=9E17112B55A7F365BD exp=9E17112B55A7F365BD + 41424.50ns INFO [00041426] Port=0 WR @05=77C1538B939FCEAFE5 + 41424.50ns INFO [00041426] Port=0 RD @01 + 41425.50ns INFO [00041427] * RD COMPARE * port=0 adr=07 act=032D5A525CF69AF2DA exp=032D5A525CF69AF2DA + 41426.50ns INFO [00041428] * RD COMPARE * port=0 adr=01 act=9E17112B55A7F365BD exp=9E17112B55A7F365BD + 41426.50ns INFO [00041428] Port=0 RD @05 + 41426.50ns INFO [00041428] Port=1 RD @02 + 41427.50ns INFO [00041429] Port=1 RD @00 + 41428.50ns INFO [00041430] * RD COMPARE * port=0 adr=05 act=77C1538B939FCEAFE5 exp=77C1538B939FCEAFE5 + 41428.50ns INFO [00041430] * RD COMPARE * port=1 adr=02 act=790B7C880CD1D4FC25 exp=790B7C880CD1D4FC25 + 41428.50ns INFO [00041430] Port=1 RD @04 + 41429.50ns INFO [00041431] * RD COMPARE * port=1 adr=00 act=F4159DB40508E1A6F9 exp=F4159DB40508E1A6F9 + 41429.50ns INFO [00041431] Port=0 WR @07=140BA3E6A65CD0252C + 41429.50ns INFO [00041431] Port=1 RD @05 + 41430.50ns INFO [00041432] * RD COMPARE * port=1 adr=04 act=FF28A7969FE8ED1FA9 exp=FF28A7969FE8ED1FA9 + 41430.50ns INFO [00041432] Port=1 RD @01 + 41431.50ns INFO [00041433] * RD COMPARE * port=1 adr=05 act=77C1538B939FCEAFE5 exp=77C1538B939FCEAFE5 + 41431.50ns INFO [00041433] Port=0 WR @07=4569F2F7115BA75E69 + 41432.50ns INFO [00041434] * RD COMPARE * port=1 adr=01 act=9E17112B55A7F365BD exp=9E17112B55A7F365BD + 41432.50ns INFO [00041434] Port=0 WR @00=5439FFB1C2BCB5BC7C + 41432.50ns INFO [00041434] Port=0 RD @07 + 41432.50ns INFO [00041434] Port=1 RD @05 + 41433.50ns INFO [00041435] Port=0 WR @00=C816A96E1BA6371AA3 + 41434.50ns INFO [00041436] * RD COMPARE * port=0 adr=07 act=4569F2F7115BA75E69 exp=4569F2F7115BA75E69 + 41434.50ns INFO [00041436] * RD COMPARE * port=1 adr=05 act=77C1538B939FCEAFE5 exp=77C1538B939FCEAFE5 + 41434.50ns INFO [00041436] Port=0 WR @06=DDD985D6DC9CD6F452 + 41434.50ns INFO [00041436] Port=1 RD @02 + 41435.50ns INFO [00041437] Port=0 WR @06=677EED05134D21AEA1 + 41436.50ns INFO [00041438] * RD COMPARE * port=1 adr=02 act=790B7C880CD1D4FC25 exp=790B7C880CD1D4FC25 + 41436.50ns INFO [00041438] Port=0 WR @02=1E38C57662E3D991D0 + 41436.50ns INFO [00041438] Port=0 RD @03 + 41438.50ns INFO [00041440] * RD COMPARE * port=0 adr=03 act=B4A4ABCDDC897C2957 exp=B4A4ABCDDC897C2957 + 41438.50ns INFO [00041440] Port=1 RD @02 + 41439.50ns INFO [00041441] Port=0 WR @02=85FA780C93B8AC5BB4 + 41440.50ns INFO [00041442] * RD COMPARE * port=1 adr=02 act=1E38C57662E3D991D0 exp=1E38C57662E3D991D0 + 41441.50ns INFO [00041443] Port=0 RD @05 + 41442.50ns INFO [00041444] Port=0 RD @06 + 41443.50ns INFO [00041445] * RD COMPARE * port=0 adr=05 act=77C1538B939FCEAFE5 exp=77C1538B939FCEAFE5 + 41443.50ns INFO [00041445] Port=0 RD @00 + 41444.50ns INFO [00041446] * RD COMPARE * port=0 adr=06 act=677EED05134D21AEA1 exp=677EED05134D21AEA1 + 41444.50ns INFO [00041446] Port=0 WR @05=6498CF2593BC2D02C8 + 41444.50ns INFO [00041446] Port=1 RD @03 + 41445.50ns INFO [00041447] * RD COMPARE * port=0 adr=00 act=C816A96E1BA6371AA3 exp=C816A96E1BA6371AA3 + 41445.50ns INFO [00041447] Port=0 WR @00=BB09335E509F60D8E5 + 41446.50ns INFO [00041448] * RD COMPARE * port=1 adr=03 act=B4A4ABCDDC897C2957 exp=B4A4ABCDDC897C2957 + 41446.50ns INFO [00041448] Port=0 WR @03=B7A164C64620927E5C + 41447.50ns INFO [00041449] Port=1 RD @05 + 41448.50ns INFO [00041450] Port=0 WR @04=CC574DE35BA232482D + 41448.50ns INFO [00041450] Port=0 RD @07 + 41448.50ns INFO [00041450] Port=1 RD @02 + 41449.50ns INFO [00041451] * RD COMPARE * port=1 adr=05 act=6498CF2593BC2D02C8 exp=6498CF2593BC2D02C8 + 41449.50ns INFO [00041451] Port=0 RD @03 + 41449.50ns INFO [00041451] Port=1 RD @01 + 41450.50ns INFO [00041452] * RD COMPARE * port=0 adr=07 act=4569F2F7115BA75E69 exp=4569F2F7115BA75E69 + 41450.50ns INFO [00041452] * RD COMPARE * port=1 adr=02 act=85FA780C93B8AC5BB4 exp=85FA780C93B8AC5BB4 + 41451.50ns INFO [00041453] * RD COMPARE * port=0 adr=03 act=B7A164C64620927E5C exp=B7A164C64620927E5C + 41451.50ns INFO [00041453] * RD COMPARE * port=1 adr=01 act=9E17112B55A7F365BD exp=9E17112B55A7F365BD + 41452.50ns INFO [00041454] Port=0 RD @07 + 41453.50ns INFO [00041455] Port=0 WR @03=9940415853EC47BBEB + 41453.50ns INFO [00041455] Port=0 RD @01 + 41453.50ns INFO [00041455] Port=1 RD @06 + 41454.50ns INFO [00041456] * RD COMPARE * port=0 adr=07 act=4569F2F7115BA75E69 exp=4569F2F7115BA75E69 + 41454.50ns INFO [00041456] Port=1 RD @04 + 41455.50ns INFO [00041457] * RD COMPARE * port=0 adr=01 act=9E17112B55A7F365BD exp=9E17112B55A7F365BD + 41455.50ns INFO [00041457] * RD COMPARE * port=1 adr=06 act=677EED05134D21AEA1 exp=677EED05134D21AEA1 + 41455.50ns INFO [00041457] Port=0 RD @02 + 41456.50ns INFO [00041458] * RD COMPARE * port=1 adr=04 act=CC574DE35BA232482D exp=CC574DE35BA232482D + 41456.50ns INFO [00041458] Port=1 RD @00 + 41457.50ns INFO [00041459] * RD COMPARE * port=0 adr=02 act=85FA780C93B8AC5BB4 exp=85FA780C93B8AC5BB4 + 41457.50ns INFO [00041459] Port=0 WR @02=50BCC825CFDCD5273C + 41457.50ns INFO [00041459] Port=1 RD @01 + 41458.50ns INFO [00041460] * RD COMPARE * port=1 adr=00 act=BB09335E509F60D8E5 exp=BB09335E509F60D8E5 + 41458.50ns INFO [00041460] Port=0 WR @05=6AA7ECDFDC3C057830 + 41458.50ns INFO [00041460] Port=1 RD @03 + 41459.50ns INFO [00041461] * RD COMPARE * port=1 adr=01 act=9E17112B55A7F365BD exp=9E17112B55A7F365BD + 41459.50ns INFO [00041461] Port=0 RD @06 + 41460.50ns INFO [00041462] * RD COMPARE * port=1 adr=03 act=9940415853EC47BBEB exp=9940415853EC47BBEB + 41461.50ns INFO [00041463] * RD COMPARE * port=0 adr=06 act=677EED05134D21AEA1 exp=677EED05134D21AEA1 + 41461.50ns INFO [00041463] Port=1 RD @03 + 41463.50ns INFO [00041465] * RD COMPARE * port=1 adr=03 act=9940415853EC47BBEB exp=9940415853EC47BBEB + 41464.50ns INFO [00041466] Port=0 WR @02=E67309C71966F4C3F5 + 41465.50ns INFO [00041467] Port=1 RD @05 + 41466.50ns INFO [00041468] Port=0 WR @07=DA1CCE84DD76A892D0 + 41466.50ns INFO [00041468] Port=0 RD @05 + 41467.50ns INFO [00041469] * RD COMPARE * port=1 adr=05 act=6AA7ECDFDC3C057830 exp=6AA7ECDFDC3C057830 + 41467.50ns INFO [00041469] Port=0 WR @03=053DA75C45A8C2B014 + 41468.50ns INFO [00041470] * RD COMPARE * port=0 adr=05 act=6AA7ECDFDC3C057830 exp=6AA7ECDFDC3C057830 + 41468.50ns INFO [00041470] Port=0 WR @07=78DCEC33A12976BBFF + 41468.50ns INFO [00041470] Port=0 RD @06 + 41469.50ns INFO [00041471] Port=0 RD @06 + 41470.50ns INFO [00041472] * RD COMPARE * port=0 adr=06 act=677EED05134D21AEA1 exp=677EED05134D21AEA1 + 41470.50ns INFO [00041472] Port=0 WR @00=7EE2728863312220CD + 41471.50ns INFO [00041473] * RD COMPARE * port=0 adr=06 act=677EED05134D21AEA1 exp=677EED05134D21AEA1 + 41472.50ns INFO [00041474] Port=1 RD @00 + 41473.50ns INFO [00041475] Port=0 WR @02=5883520CE7D7489D14 + 41473.50ns INFO [00041475] Port=1 RD @04 + 41474.50ns INFO [00041476] * RD COMPARE * port=1 adr=00 act=7EE2728863312220CD exp=7EE2728863312220CD + 41474.50ns INFO [00041476] Port=0 WR @06=A57AA63C93ABEA5400 + 41475.50ns INFO [00041477] * RD COMPARE * port=1 adr=04 act=CC574DE35BA232482D exp=CC574DE35BA232482D + 41475.50ns INFO [00041477] Port=0 WR @01=CAEE6E42F795772E01 + 41475.50ns INFO [00041477] Port=0 RD @06 + 41475.50ns INFO [00041477] Port=1 RD @02 + 41476.50ns INFO [00041478] Port=0 RD @06 + 41477.50ns INFO [00041479] * RD COMPARE * port=0 adr=06 act=A57AA63C93ABEA5400 exp=A57AA63C93ABEA5400 + 41477.50ns INFO [00041479] * RD COMPARE * port=1 adr=02 act=5883520CE7D7489D14 exp=5883520CE7D7489D14 + 41477.50ns INFO [00041479] Port=1 RD @04 + 41478.50ns INFO [00041480] * RD COMPARE * port=0 adr=06 act=A57AA63C93ABEA5400 exp=A57AA63C93ABEA5400 + 41478.50ns INFO [00041480] Port=0 WR @04=C6924CB900F496FCC6 + 41479.50ns INFO [00041481] * RD COMPARE * port=1 adr=04 act=CC574DE35BA232482D exp=CC574DE35BA232482D + 41479.50ns INFO [00041481] Port=0 RD @03 + 41479.50ns INFO [00041481] Port=1 RD @05 + 41480.50ns INFO [00041482] Port=0 WR @06=BF8C0166D7C431C260 + 41480.50ns INFO [00041482] Port=0 RD @05 + 41481.50ns INFO [00041483] * RD COMPARE * port=0 adr=03 act=053DA75C45A8C2B014 exp=053DA75C45A8C2B014 + 41481.50ns INFO [00041483] * RD COMPARE * port=1 adr=05 act=6AA7ECDFDC3C057830 exp=6AA7ECDFDC3C057830 + 41481.50ns INFO [00041483] Port=0 RD @01 + 41481.50ns INFO [00041483] Port=1 RD @02 + 41482.50ns INFO [00041484] * RD COMPARE * port=0 adr=05 act=6AA7ECDFDC3C057830 exp=6AA7ECDFDC3C057830 + 41482.50ns INFO [00041484] Port=1 RD @02 + 41483.50ns INFO [00041485] * RD COMPARE * port=0 adr=01 act=CAEE6E42F795772E01 exp=CAEE6E42F795772E01 + 41483.50ns INFO [00041485] * RD COMPARE * port=1 adr=02 act=5883520CE7D7489D14 exp=5883520CE7D7489D14 + 41483.50ns INFO [00041485] Port=0 WR @02=7A45E11F361CB6E729 + 41483.50ns INFO [00041485] Port=0 RD @01 + 41484.50ns INFO [00041486] * RD COMPARE * port=1 adr=02 act=5883520CE7D7489D14 exp=5883520CE7D7489D14 + 41484.50ns INFO [00041486] Port=0 RD @01 + 41485.50ns INFO [00041487] * RD COMPARE * port=0 adr=01 act=CAEE6E42F795772E01 exp=CAEE6E42F795772E01 + 41485.50ns INFO [00041487] Port=0 WR @01=A39A117892F519F4D3 + 41485.50ns INFO [00041487] Port=1 RD @02 + 41486.50ns INFO [00041488] * RD COMPARE * port=0 adr=01 act=CAEE6E42F795772E01 exp=CAEE6E42F795772E01 + 41487.50ns INFO [00041489] * RD COMPARE * port=1 adr=02 act=7A45E11F361CB6E729 exp=7A45E11F361CB6E729 + 41487.50ns INFO [00041489] Port=1 RD @03 + 41489.50ns INFO [00041491] * RD COMPARE * port=1 adr=03 act=053DA75C45A8C2B014 exp=053DA75C45A8C2B014 + 41489.50ns INFO [00041491] Port=1 RD @07 + 41490.50ns INFO [00041492] Port=0 RD @04 + 41490.50ns INFO [00041492] Port=1 RD @07 + 41491.50ns INFO [00041493] * RD COMPARE * port=1 adr=07 act=78DCEC33A12976BBFF exp=78DCEC33A12976BBFF + 41491.50ns INFO [00041493] Port=0 WR @07=0EA123C116825FDE5B + 41492.50ns INFO [00041494] * RD COMPARE * port=0 adr=04 act=C6924CB900F496FCC6 exp=C6924CB900F496FCC6 + 41492.50ns INFO [00041494] * RD COMPARE * port=1 adr=07 act=78DCEC33A12976BBFF exp=78DCEC33A12976BBFF + 41492.50ns INFO [00041494] Port=0 RD @05 + 41493.50ns INFO [00041495] Port=0 WR @03=7BF81C236F22B014B8 + 41494.50ns INFO [00041496] * RD COMPARE * port=0 adr=05 act=6AA7ECDFDC3C057830 exp=6AA7ECDFDC3C057830 + 41495.50ns INFO [00041497] Port=0 RD @00 + 41495.50ns INFO [00041497] Port=1 RD @06 + 41496.50ns INFO [00041498] Port=0 RD @06 + 41496.50ns INFO [00041498] Port=1 RD @02 + 41497.50ns INFO [00041499] * RD COMPARE * port=0 adr=00 act=7EE2728863312220CD exp=7EE2728863312220CD + 41497.50ns INFO [00041499] * RD COMPARE * port=1 adr=06 act=BF8C0166D7C431C260 exp=BF8C0166D7C431C260 + 41497.50ns INFO [00041499] Port=0 WR @07=30A7CFDD61791D2C1F + 41497.50ns INFO [00041499] Port=0 RD @04 + 41497.50ns INFO [00041499] Port=1 RD @00 + 41498.00ns INFO [00041500] [00041500] ...tick... + 41498.50ns INFO [00041500] * RD COMPARE * port=0 adr=06 act=BF8C0166D7C431C260 exp=BF8C0166D7C431C260 + 41498.50ns INFO [00041500] * RD COMPARE * port=1 adr=02 act=7A45E11F361CB6E729 exp=7A45E11F361CB6E729 + 41498.50ns INFO [00041500] Port=0 WR @07=1FA64F48604D2E2160 + 41498.50ns INFO [00041500] Port=0 RD @05 + 41499.50ns INFO [00041501] * RD COMPARE * port=0 adr=04 act=C6924CB900F496FCC6 exp=C6924CB900F496FCC6 + 41499.50ns INFO [00041501] * RD COMPARE * port=1 adr=00 act=7EE2728863312220CD exp=7EE2728863312220CD + 41499.50ns INFO [00041501] Port=0 WR @06=8CFC8C730A58EB75B7 + 41499.50ns INFO [00041501] Port=1 RD @02 + 41500.50ns INFO [00041502] * RD COMPARE * port=0 adr=05 act=6AA7ECDFDC3C057830 exp=6AA7ECDFDC3C057830 + 41500.50ns INFO [00041502] Port=0 WR @05=7D9CFA75DD529B814D + 41500.50ns INFO [00041502] Port=0 RD @00 + 41501.50ns INFO [00041503] * RD COMPARE * port=1 adr=02 act=7A45E11F361CB6E729 exp=7A45E11F361CB6E729 + 41502.50ns INFO [00041504] * RD COMPARE * port=0 adr=00 act=7EE2728863312220CD exp=7EE2728863312220CD + 41502.50ns INFO [00041504] Port=0 RD @05 + 41503.50ns INFO [00041505] Port=1 RD @02 + 41504.50ns INFO [00041506] * RD COMPARE * port=0 adr=05 act=7D9CFA75DD529B814D exp=7D9CFA75DD529B814D + 41504.50ns INFO [00041506] Port=0 WR @07=0BA1D2F7BDA15B45BD + 41504.50ns INFO [00041506] Port=0 RD @06 + 41504.50ns INFO [00041506] Port=1 RD @03 + 41505.50ns INFO [00041507] * RD COMPARE * port=1 adr=02 act=7A45E11F361CB6E729 exp=7A45E11F361CB6E729 + 41505.50ns INFO [00041507] Port=0 WR @06=1105FFBD16E78C7DF0 + 41505.50ns INFO [00041507] Port=0 RD @02 + 41506.50ns INFO [00041508] * RD COMPARE * port=0 adr=06 act=8CFC8C730A58EB75B7 exp=8CFC8C730A58EB75B7 + 41506.50ns INFO [00041508] * RD COMPARE * port=1 adr=03 act=7BF81C236F22B014B8 exp=7BF81C236F22B014B8 + 41506.50ns INFO [00041508] Port=0 WR @07=0FF2B4B55F7019AC73 + 41506.50ns INFO [00041508] Port=1 RD @01 + 41507.50ns INFO [00041509] * RD COMPARE * port=0 adr=02 act=7A45E11F361CB6E729 exp=7A45E11F361CB6E729 + 41507.50ns INFO [00041509] Port=0 RD @05 + 41507.50ns INFO [00041509] Port=1 RD @07 + 41508.50ns INFO [00041510] * RD COMPARE * port=1 adr=01 act=A39A117892F519F4D3 exp=A39A117892F519F4D3 + 41509.50ns INFO [00041511] * RD COMPARE * port=0 adr=05 act=7D9CFA75DD529B814D exp=7D9CFA75DD529B814D + 41509.50ns INFO [00041511] * RD COMPARE * port=1 adr=07 act=0FF2B4B55F7019AC73 exp=0FF2B4B55F7019AC73 + 41510.50ns INFO [00041512] Port=0 RD @06 + 41512.50ns INFO [00041514] * RD COMPARE * port=0 adr=06 act=1105FFBD16E78C7DF0 exp=1105FFBD16E78C7DF0 + 41512.50ns INFO [00041514] Port=0 RD @05 + 41513.50ns INFO [00041515] Port=0 WR @03=81DFFAED75C67C7348 + 41513.50ns INFO [00041515] Port=0 RD @05 + 41513.50ns INFO [00041515] Port=1 RD @02 + 41514.50ns INFO [00041516] * RD COMPARE * port=0 adr=05 act=7D9CFA75DD529B814D exp=7D9CFA75DD529B814D + 41514.50ns INFO [00041516] Port=0 RD @06 + 41515.50ns INFO [00041517] * RD COMPARE * port=0 adr=05 act=7D9CFA75DD529B814D exp=7D9CFA75DD529B814D + 41515.50ns INFO [00041517] * RD COMPARE * port=1 adr=02 act=7A45E11F361CB6E729 exp=7A45E11F361CB6E729 + 41515.50ns INFO [00041517] Port=1 RD @02 + 41516.50ns INFO [00041518] * RD COMPARE * port=0 adr=06 act=1105FFBD16E78C7DF0 exp=1105FFBD16E78C7DF0 + 41516.50ns INFO [00041518] Port=0 WR @05=F817BBB8D9BD7C848E + 41516.50ns INFO [00041518] Port=1 RD @03 + 41517.50ns INFO [00041519] * RD COMPARE * port=1 adr=02 act=7A45E11F361CB6E729 exp=7A45E11F361CB6E729 + 41517.50ns INFO [00041519] Port=1 RD @05 + 41518.50ns INFO [00041520] * RD COMPARE * port=1 adr=03 act=81DFFAED75C67C7348 exp=81DFFAED75C67C7348 + 41518.50ns INFO [00041520] Port=0 RD @03 + 41519.50ns INFO [00041521] * RD COMPARE * port=1 adr=05 act=F817BBB8D9BD7C848E exp=F817BBB8D9BD7C848E + 41519.50ns INFO [00041521] Port=0 RD @04 + 41519.50ns INFO [00041521] Port=1 RD @01 + 41520.50ns INFO [00041522] * RD COMPARE * port=0 adr=03 act=81DFFAED75C67C7348 exp=81DFFAED75C67C7348 + 41520.50ns INFO [00041522] Port=0 RD @06 + 41520.50ns INFO [00041522] Port=1 RD @01 + 41521.50ns INFO [00041523] * RD COMPARE * port=0 adr=04 act=C6924CB900F496FCC6 exp=C6924CB900F496FCC6 + 41521.50ns INFO [00041523] * RD COMPARE * port=1 adr=01 act=A39A117892F519F4D3 exp=A39A117892F519F4D3 + 41521.50ns INFO [00041523] Port=0 RD @05 + 41521.50ns INFO [00041523] Port=1 RD @04 + 41522.50ns INFO [00041524] * RD COMPARE * port=0 adr=06 act=1105FFBD16E78C7DF0 exp=1105FFBD16E78C7DF0 + 41522.50ns INFO [00041524] * RD COMPARE * port=1 adr=01 act=A39A117892F519F4D3 exp=A39A117892F519F4D3 + 41522.50ns INFO [00041524] Port=0 WR @00=2A842E2407CA478DAA + 41522.50ns INFO [00041524] Port=0 RD @01 + 41522.50ns INFO [00041524] Port=1 RD @04 + 41523.50ns INFO [00041525] * RD COMPARE * port=0 adr=05 act=F817BBB8D9BD7C848E exp=F817BBB8D9BD7C848E + 41523.50ns INFO [00041525] * RD COMPARE * port=1 adr=04 act=C6924CB900F496FCC6 exp=C6924CB900F496FCC6 + 41523.50ns INFO [00041525] Port=0 WR @06=4F9F11CA0202293890 + 41523.50ns INFO [00041525] Port=1 RD @05 + 41524.50ns INFO [00041526] * RD COMPARE * port=0 adr=01 act=A39A117892F519F4D3 exp=A39A117892F519F4D3 + 41524.50ns INFO [00041526] * RD COMPARE * port=1 adr=04 act=C6924CB900F496FCC6 exp=C6924CB900F496FCC6 + 41524.50ns INFO [00041526] Port=0 RD @05 + 41525.50ns INFO [00041527] * RD COMPARE * port=1 adr=05 act=F817BBB8D9BD7C848E exp=F817BBB8D9BD7C848E + 41525.50ns INFO [00041527] Port=0 WR @05=02F5D43F7CBCBE04CE + 41525.50ns INFO [00041527] Port=0 RD @04 + 41526.50ns INFO [00041528] * RD COMPARE * port=0 adr=05 act=F817BBB8D9BD7C848E exp=F817BBB8D9BD7C848E + 41526.50ns INFO [00041528] Port=1 RD @00 + 41527.50ns INFO [00041529] * RD COMPARE * port=0 adr=04 act=C6924CB900F496FCC6 exp=C6924CB900F496FCC6 + 41527.50ns INFO [00041529] Port=0 RD @02 + 41528.50ns INFO [00041530] * RD COMPARE * port=1 adr=00 act=2A842E2407CA478DAA exp=2A842E2407CA478DAA + 41528.50ns INFO [00041530] Port=0 WR @06=807B9E6A4282AC77E2 + 41528.50ns INFO [00041530] Port=0 RD @03 + 41529.50ns INFO [00041531] * RD COMPARE * port=0 adr=02 act=7A45E11F361CB6E729 exp=7A45E11F361CB6E729 + 41529.50ns INFO [00041531] Port=0 WR @06=C006D114A108310D06 + 41530.50ns INFO [00041532] * RD COMPARE * port=0 adr=03 act=81DFFAED75C67C7348 exp=81DFFAED75C67C7348 + 41530.50ns INFO [00041532] Port=1 RD @07 + 41531.50ns INFO [00041533] Port=1 RD @02 + 41532.50ns INFO [00041534] * RD COMPARE * port=1 adr=07 act=0FF2B4B55F7019AC73 exp=0FF2B4B55F7019AC73 + 41532.50ns INFO [00041534] Port=0 WR @02=E760C1EC09631A1632 + 41532.50ns INFO [00041534] Port=0 RD @06 + 41533.50ns INFO [00041535] * RD COMPARE * port=1 adr=02 act=7A45E11F361CB6E729 exp=7A45E11F361CB6E729 + 41533.50ns INFO [00041535] Port=0 RD @01 + 41534.50ns INFO [00041536] * RD COMPARE * port=0 adr=06 act=C006D114A108310D06 exp=C006D114A108310D06 + 41535.50ns INFO [00041537] * RD COMPARE * port=0 adr=01 act=A39A117892F519F4D3 exp=A39A117892F519F4D3 + 41535.50ns INFO [00041537] Port=0 RD @03 + 41536.50ns INFO [00041538] Port=1 RD @06 + 41537.50ns INFO [00041539] * RD COMPARE * port=0 adr=03 act=81DFFAED75C67C7348 exp=81DFFAED75C67C7348 + 41537.50ns INFO [00041539] Port=0 WR @05=BF3793CBCFD964F8C2 + 41537.50ns INFO [00041539] Port=0 RD @02 + 41537.50ns INFO [00041539] Port=1 RD @02 + 41538.50ns INFO [00041540] * RD COMPARE * port=1 adr=06 act=C006D114A108310D06 exp=C006D114A108310D06 + 41539.50ns INFO [00041541] * RD COMPARE * port=0 adr=02 act=E760C1EC09631A1632 exp=E760C1EC09631A1632 + 41539.50ns INFO [00041541] * RD COMPARE * port=1 adr=02 act=E760C1EC09631A1632 exp=E760C1EC09631A1632 + 41539.50ns INFO [00041541] Port=0 WR @00=08ACAD084B3EB56CCF + 41539.50ns INFO [00041541] Port=1 RD @07 + 41540.50ns INFO [00041542] Port=0 WR @02=FCDD93D6A6838BF0AB + 41541.50ns INFO [00041543] * RD COMPARE * port=1 adr=07 act=0FF2B4B55F7019AC73 exp=0FF2B4B55F7019AC73 + 41541.50ns INFO [00041543] Port=1 RD @00 + 41542.50ns INFO [00041544] Port=0 RD @06 + 41543.50ns INFO [00041545] * RD COMPARE * port=1 adr=00 act=08ACAD084B3EB56CCF exp=08ACAD084B3EB56CCF + 41544.50ns INFO [00041546] * RD COMPARE * port=0 adr=06 act=C006D114A108310D06 exp=C006D114A108310D06 + 41544.50ns INFO [00041546] Port=0 WR @00=FB074D4F0CAD316802 + 41544.50ns INFO [00041546] Port=0 RD @05 + 41545.50ns INFO [00041547] Port=1 RD @06 + 41546.50ns INFO [00041548] * RD COMPARE * port=0 adr=05 act=BF3793CBCFD964F8C2 exp=BF3793CBCFD964F8C2 + 41546.50ns INFO [00041548] Port=1 RD @07 + 41547.50ns INFO [00041549] * RD COMPARE * port=1 adr=06 act=C006D114A108310D06 exp=C006D114A108310D06 + 41547.50ns INFO [00041549] Port=0 WR @05=DEF2D64EF01576A8CC + 41547.50ns INFO [00041549] Port=0 RD @02 + 41547.50ns INFO [00041549] Port=1 RD @00 + 41548.50ns INFO [00041550] * RD COMPARE * port=1 adr=07 act=0FF2B4B55F7019AC73 exp=0FF2B4B55F7019AC73 + 41549.50ns INFO [00041551] * RD COMPARE * port=0 adr=02 act=FCDD93D6A6838BF0AB exp=FCDD93D6A6838BF0AB + 41549.50ns INFO [00041551] * RD COMPARE * port=1 adr=00 act=FB074D4F0CAD316802 exp=FB074D4F0CAD316802 + 41549.50ns INFO [00041551] Port=0 RD @05 + 41550.50ns INFO [00041552] Port=0 WR @04=59626375A3E079271C + 41550.50ns INFO [00041552] Port=1 RD @07 + 41551.50ns INFO [00041553] * RD COMPARE * port=0 adr=05 act=DEF2D64EF01576A8CC exp=DEF2D64EF01576A8CC + 41551.50ns INFO [00041553] Port=0 RD @03 + 41552.50ns INFO [00041554] * RD COMPARE * port=1 adr=07 act=0FF2B4B55F7019AC73 exp=0FF2B4B55F7019AC73 + 41552.50ns INFO [00041554] Port=0 WR @05=74D046C0C8C9F0CDDC + 41552.50ns INFO [00041554] Port=1 RD @01 + 41553.50ns INFO [00041555] * RD COMPARE * port=0 adr=03 act=81DFFAED75C67C7348 exp=81DFFAED75C67C7348 + 41553.50ns INFO [00041555] Port=1 RD @04 + 41554.50ns INFO [00041556] * RD COMPARE * port=1 adr=01 act=A39A117892F519F4D3 exp=A39A117892F519F4D3 + 41555.50ns INFO [00041557] * RD COMPARE * port=1 adr=04 act=59626375A3E079271C exp=59626375A3E079271C + 41556.50ns INFO [00041558] Port=0 RD @04 + 41557.50ns INFO [00041559] Port=0 WR @00=368AE49DFFC4B1285A + 41557.50ns INFO [00041559] Port=0 RD @07 + 41558.50ns INFO [00041560] * RD COMPARE * port=0 adr=04 act=59626375A3E079271C exp=59626375A3E079271C + 41558.50ns INFO [00041560] Port=0 WR @00=244EF218865B635FB3 + 41558.50ns INFO [00041560] Port=0 RD @06 + 41558.50ns INFO [00041560] Port=1 RD @04 + 41559.50ns INFO [00041561] * RD COMPARE * port=0 adr=07 act=0FF2B4B55F7019AC73 exp=0FF2B4B55F7019AC73 + 41559.50ns INFO [00041561] Port=0 WR @04=EFA3481501B2056A7A + 41560.50ns INFO [00041562] * RD COMPARE * port=0 adr=06 act=C006D114A108310D06 exp=C006D114A108310D06 + 41560.50ns INFO [00041562] * RD COMPARE * port=1 adr=04 act=59626375A3E079271C exp=59626375A3E079271C + 41560.50ns INFO [00041562] Port=1 RD @03 + 41562.50ns INFO [00041564] * RD COMPARE * port=1 adr=03 act=81DFFAED75C67C7348 exp=81DFFAED75C67C7348 + 41562.50ns INFO [00041564] Port=1 RD @06 + 41563.50ns INFO [00041565] Port=1 RD @04 + 41564.50ns INFO [00041566] * RD COMPARE * port=1 adr=06 act=C006D114A108310D06 exp=C006D114A108310D06 + 41565.50ns INFO [00041567] * RD COMPARE * port=1 adr=04 act=EFA3481501B2056A7A exp=EFA3481501B2056A7A + 41565.50ns INFO [00041567] Port=0 RD @01 + 41566.50ns INFO [00041568] Port=0 RD @05 + 41567.50ns INFO [00041569] * RD COMPARE * port=0 adr=01 act=A39A117892F519F4D3 exp=A39A117892F519F4D3 + 41567.50ns INFO [00041569] Port=0 WR @05=04FECB9A462711FD75 + 41567.50ns INFO [00041569] Port=0 RD @03 + 41568.50ns INFO [00041570] * RD COMPARE * port=0 adr=05 act=74D046C0C8C9F0CDDC exp=74D046C0C8C9F0CDDC + 41568.50ns INFO [00041570] Port=0 WR @01=559A2E8E249F6DFBBF + 41568.50ns INFO [00041570] Port=0 RD @03 + 41568.50ns INFO [00041570] Port=1 RD @02 + 41569.50ns INFO [00041571] * RD COMPARE * port=0 adr=03 act=81DFFAED75C67C7348 exp=81DFFAED75C67C7348 + 41570.50ns INFO [00041572] * RD COMPARE * port=0 adr=03 act=81DFFAED75C67C7348 exp=81DFFAED75C67C7348 + 41570.50ns INFO [00041572] * RD COMPARE * port=1 adr=02 act=FCDD93D6A6838BF0AB exp=FCDD93D6A6838BF0AB + 41570.50ns INFO [00041572] Port=0 WR @02=EE19F37A88C6A48C43 + 41571.50ns INFO [00041573] Port=0 WR @06=20A5837150FDF2FAB3 + 41571.50ns INFO [00041573] Port=0 RD @02 + 41571.50ns INFO [00041573] Port=1 RD @02 + 41572.50ns INFO [00041574] Port=0 RD @03 + 41573.50ns INFO [00041575] * RD COMPARE * port=0 adr=02 act=EE19F37A88C6A48C43 exp=EE19F37A88C6A48C43 + 41573.50ns INFO [00041575] * RD COMPARE * port=1 adr=02 act=EE19F37A88C6A48C43 exp=EE19F37A88C6A48C43 + 41573.50ns INFO [00041575] Port=0 RD @02 + 41573.50ns INFO [00041575] Port=1 RD @05 + 41574.50ns INFO [00041576] * RD COMPARE * port=0 adr=03 act=81DFFAED75C67C7348 exp=81DFFAED75C67C7348 + 41574.50ns INFO [00041576] Port=0 WR @01=05C1675B27FD766AEA + 41574.50ns INFO [00041576] Port=0 RD @04 + 41575.50ns INFO [00041577] * RD COMPARE * port=0 adr=02 act=EE19F37A88C6A48C43 exp=EE19F37A88C6A48C43 + 41575.50ns INFO [00041577] * RD COMPARE * port=1 adr=05 act=04FECB9A462711FD75 exp=04FECB9A462711FD75 + 41575.50ns INFO [00041577] Port=0 RD @01 + 41576.50ns INFO [00041578] * RD COMPARE * port=0 adr=04 act=EFA3481501B2056A7A exp=EFA3481501B2056A7A + 41576.50ns INFO [00041578] Port=0 RD @02 + 41577.50ns INFO [00041579] * RD COMPARE * port=0 adr=01 act=05C1675B27FD766AEA exp=05C1675B27FD766AEA + 41577.50ns INFO [00041579] Port=0 WR @04=30796FD9011E33C741 + 41578.50ns INFO [00041580] * RD COMPARE * port=0 adr=02 act=EE19F37A88C6A48C43 exp=EE19F37A88C6A48C43 + 41578.50ns INFO [00041580] Port=0 WR @03=01A402D90292EBCDC3 + 41580.50ns INFO [00041582] Port=0 WR @01=95BF7F3CF385210FBE + 41582.50ns INFO [00041584] Port=0 RD @00 + 41584.50ns INFO [00041586] * RD COMPARE * port=0 adr=00 act=244EF218865B635FB3 exp=244EF218865B635FB3 + 41584.50ns INFO [00041586] Port=0 RD @07 + 41584.50ns INFO [00041586] Port=1 RD @04 + 41585.50ns INFO [00041587] Port=0 WR @02=BF5D39CCB588296131 + 41585.50ns INFO [00041587] Port=1 RD @04 + 41586.50ns INFO [00041588] * RD COMPARE * port=0 adr=07 act=0FF2B4B55F7019AC73 exp=0FF2B4B55F7019AC73 + 41586.50ns INFO [00041588] * RD COMPARE * port=1 adr=04 act=30796FD9011E33C741 exp=30796FD9011E33C741 + 41586.50ns INFO [00041588] Port=0 WR @03=96B944D347A27D193F + 41586.50ns INFO [00041588] Port=1 RD @00 + 41587.50ns INFO [00041589] * RD COMPARE * port=1 adr=04 act=30796FD9011E33C741 exp=30796FD9011E33C741 + 41587.50ns INFO [00041589] Port=0 RD @01 + 41588.50ns INFO [00041590] * RD COMPARE * port=1 adr=00 act=244EF218865B635FB3 exp=244EF218865B635FB3 + 41588.50ns INFO [00041590] Port=0 WR @06=CE570339260FEF13AE + 41588.50ns INFO [00041590] Port=0 RD @02 + 41589.50ns INFO [00041591] * RD COMPARE * port=0 adr=01 act=95BF7F3CF385210FBE exp=95BF7F3CF385210FBE + 41589.50ns INFO [00041591] Port=1 RD @03 + 41590.50ns INFO [00041592] * RD COMPARE * port=0 adr=02 act=BF5D39CCB588296131 exp=BF5D39CCB588296131 + 41590.50ns INFO [00041592] Port=0 RD @05 + 41591.50ns INFO [00041593] * RD COMPARE * port=1 adr=03 act=96B944D347A27D193F exp=96B944D347A27D193F + 41592.50ns INFO [00041594] * RD COMPARE * port=0 adr=05 act=04FECB9A462711FD75 exp=04FECB9A462711FD75 + 41592.50ns INFO [00041594] Port=0 RD @02 + 41593.50ns INFO [00041595] Port=0 WR @01=98E2880C66AEF8E0F2 + 41594.50ns INFO [00041596] * RD COMPARE * port=0 adr=02 act=BF5D39CCB588296131 exp=BF5D39CCB588296131 + 41594.50ns INFO [00041596] Port=0 RD @04 + 41596.50ns INFO [00041598] * RD COMPARE * port=0 adr=04 act=30796FD9011E33C741 exp=30796FD9011E33C741 + 41596.50ns INFO [00041598] Port=0 WR @05=54A76B1A82DFA96BD5 + 41597.50ns INFO [00041599] Port=1 RD @00 + 41598.00ns INFO [00041600] [00041600] ...tick... + 41598.50ns INFO [00041600] Port=0 WR @01=A9A0710EEB54E9CB0E + 41598.50ns INFO [00041600] Port=0 RD @00 + 41598.50ns INFO [00041600] Port=1 RD @07 + 41599.50ns INFO [00041601] * RD COMPARE * port=1 adr=00 act=244EF218865B635FB3 exp=244EF218865B635FB3 + 41599.50ns INFO [00041601] Port=0 RD @02 + 41600.50ns INFO [00041602] * RD COMPARE * port=0 adr=00 act=244EF218865B635FB3 exp=244EF218865B635FB3 + 41600.50ns INFO [00041602] * RD COMPARE * port=1 adr=07 act=0FF2B4B55F7019AC73 exp=0FF2B4B55F7019AC73 + 41600.50ns INFO [00041602] Port=0 RD @00 + 41600.50ns INFO [00041602] Port=1 RD @07 + 41601.50ns INFO [00041603] * RD COMPARE * port=0 adr=02 act=BF5D39CCB588296131 exp=BF5D39CCB588296131 + 41602.50ns INFO [00041604] * RD COMPARE * port=0 adr=00 act=244EF218865B635FB3 exp=244EF218865B635FB3 + 41602.50ns INFO [00041604] * RD COMPARE * port=1 adr=07 act=0FF2B4B55F7019AC73 exp=0FF2B4B55F7019AC73 + 41602.50ns INFO [00041604] Port=0 WR @06=55C2F447D13E66D72D + 41602.50ns INFO [00041604] Port=1 RD @03 + 41603.50ns INFO [00041605] Port=0 WR @07=7FC33EEC4CA1C5BCD9 + 41603.50ns INFO [00041605] Port=0 RD @06 + 41604.50ns INFO [00041606] * RD COMPARE * port=1 adr=03 act=96B944D347A27D193F exp=96B944D347A27D193F + 41604.50ns INFO [00041606] Port=0 WR @02=8C448C921AAC7CF655 + 41604.50ns INFO [00041606] Port=0 RD @07 + 41604.50ns INFO [00041606] Port=1 RD @05 + 41605.50ns INFO [00041607] * RD COMPARE * port=0 adr=06 act=55C2F447D13E66D72D exp=55C2F447D13E66D72D + 41605.50ns INFO [00041607] Port=0 WR @04=8F81871DE633FD6F7D + 41605.50ns INFO [00041607] Port=1 RD @01 + 41606.50ns INFO [00041608] * RD COMPARE * port=0 adr=07 act=7FC33EEC4CA1C5BCD9 exp=7FC33EEC4CA1C5BCD9 + 41606.50ns INFO [00041608] * RD COMPARE * port=1 adr=05 act=54A76B1A82DFA96BD5 exp=54A76B1A82DFA96BD5 + 41606.50ns INFO [00041608] Port=0 WR @00=2124E70B249B60D0EA + 41606.50ns INFO [00041608] Port=0 RD @06 + 41606.50ns INFO [00041608] Port=1 RD @03 + 41607.50ns INFO [00041609] * RD COMPARE * port=1 adr=01 act=A9A0710EEB54E9CB0E exp=A9A0710EEB54E9CB0E + 41607.50ns INFO [00041609] Port=1 RD @00 + 41608.50ns INFO [00041610] * RD COMPARE * port=0 adr=06 act=55C2F447D13E66D72D exp=55C2F447D13E66D72D + 41608.50ns INFO [00041610] * RD COMPARE * port=1 adr=03 act=96B944D347A27D193F exp=96B944D347A27D193F + 41608.50ns INFO [00041610] Port=0 WR @07=87D34363B7978A36B0 + 41609.50ns INFO [00041611] * RD COMPARE * port=1 adr=00 act=2124E70B249B60D0EA exp=2124E70B249B60D0EA + 41610.50ns INFO [00041612] Port=0 WR @03=649FE41717075F1976 + 41612.50ns INFO [00041614] Port=0 WR @06=40C2F4216DB6F13C20 + 41612.50ns INFO [00041614] Port=1 RD @03 + 41613.50ns INFO [00041615] Port=0 WR @00=A9F1278414E2261D58 + 41613.50ns INFO [00041615] Port=0 RD @06 + 41614.50ns INFO [00041616] * RD COMPARE * port=1 adr=03 act=649FE41717075F1976 exp=649FE41717075F1976 + 41615.50ns INFO [00041617] * RD COMPARE * port=0 adr=06 act=40C2F4216DB6F13C20 exp=40C2F4216DB6F13C20 + 41615.50ns INFO [00041617] Port=0 RD @07 + 41615.50ns INFO [00041617] Port=1 RD @02 + 41616.50ns INFO [00041618] Port=0 RD @04 + 41617.50ns INFO [00041619] * RD COMPARE * port=0 adr=07 act=87D34363B7978A36B0 exp=87D34363B7978A36B0 + 41617.50ns INFO [00041619] * RD COMPARE * port=1 adr=02 act=8C448C921AAC7CF655 exp=8C448C921AAC7CF655 + 41617.50ns INFO [00041619] Port=0 RD @02 + 41618.50ns INFO [00041620] * RD COMPARE * port=0 adr=04 act=8F81871DE633FD6F7D exp=8F81871DE633FD6F7D + 41618.50ns INFO [00041620] Port=0 RD @02 + 41618.50ns INFO [00041620] Port=1 RD @04 + 41619.50ns INFO [00041621] * RD COMPARE * port=0 adr=02 act=8C448C921AAC7CF655 exp=8C448C921AAC7CF655 + 41619.50ns INFO [00041621] Port=0 WR @04=C88910422E669EA328 + 41619.50ns INFO [00041621] Port=0 RD @01 + 41620.50ns INFO [00041622] * RD COMPARE * port=0 adr=02 act=8C448C921AAC7CF655 exp=8C448C921AAC7CF655 + 41620.50ns INFO [00041622] * RD COMPARE * port=1 adr=04 act=8F81871DE633FD6F7D exp=8F81871DE633FD6F7D + 41620.50ns INFO [00041622] Port=0 RD @04 + 41621.50ns INFO [00041623] * RD COMPARE * port=0 adr=01 act=A9A0710EEB54E9CB0E exp=A9A0710EEB54E9CB0E + 41621.50ns INFO [00041623] Port=0 WR @02=9B6E911FD43693A681 + 41622.50ns INFO [00041624] * RD COMPARE * port=0 adr=04 act=C88910422E669EA328 exp=C88910422E669EA328 + 41622.50ns INFO [00041624] Port=0 WR @04=D3273166F75132EADF + 41622.50ns INFO [00041624] Port=1 RD @06 + 41623.50ns INFO [00041625] Port=0 WR @00=5BDC09B106003B4637 + 41624.50ns INFO [00041626] * RD COMPARE * port=1 adr=06 act=40C2F4216DB6F13C20 exp=40C2F4216DB6F13C20 + 41624.50ns INFO [00041626] Port=0 WR @02=F250B52E40B2D906FC + 41624.50ns INFO [00041626] Port=0 RD @05 + 41624.50ns INFO [00041626] Port=1 RD @00 + 41626.50ns INFO [00041628] * RD COMPARE * port=0 adr=05 act=54A76B1A82DFA96BD5 exp=54A76B1A82DFA96BD5 + 41626.50ns INFO [00041628] * RD COMPARE * port=1 adr=00 act=5BDC09B106003B4637 exp=5BDC09B106003B4637 + 41626.50ns INFO [00041628] Port=0 WR @04=51B38FC36EEB8471D8 + 41627.50ns INFO [00041629] Port=0 WR @01=85CA00CBD6575CBF48 + 41628.50ns INFO [00041630] Port=0 WR @07=312275C12CF2C509ED + 41628.50ns INFO [00041630] Port=0 RD @01 + 41630.50ns INFO [00041632] * RD COMPARE * port=0 adr=01 act=85CA00CBD6575CBF48 exp=85CA00CBD6575CBF48 + 41630.50ns INFO [00041632] Port=0 RD @01 + 41632.50ns INFO [00041634] * RD COMPARE * port=0 adr=01 act=85CA00CBD6575CBF48 exp=85CA00CBD6575CBF48 + 41632.50ns INFO [00041634] Port=0 RD @04 + 41633.50ns INFO [00041635] Port=1 RD @07 + 41634.50ns INFO [00041636] * RD COMPARE * port=0 adr=04 act=51B38FC36EEB8471D8 exp=51B38FC36EEB8471D8 + 41634.50ns INFO [00041636] Port=0 RD @00 + 41635.50ns INFO [00041637] * RD COMPARE * port=1 adr=07 act=312275C12CF2C509ED exp=312275C12CF2C509ED + 41636.50ns INFO [00041638] * RD COMPARE * port=0 adr=00 act=5BDC09B106003B4637 exp=5BDC09B106003B4637 + 41636.50ns INFO [00041638] Port=0 WR @03=155AFA4379F007B78F + 41636.50ns INFO [00041638] Port=0 RD @06 + 41636.50ns INFO [00041638] Port=1 RD @05 + 41638.50ns INFO [00041640] * RD COMPARE * port=0 adr=06 act=40C2F4216DB6F13C20 exp=40C2F4216DB6F13C20 + 41638.50ns INFO [00041640] * RD COMPARE * port=1 adr=05 act=54A76B1A82DFA96BD5 exp=54A76B1A82DFA96BD5 + 41638.50ns INFO [00041640] Port=0 RD @01 + 41638.50ns INFO [00041640] Port=1 RD @06 + 41639.50ns INFO [00041641] Port=1 RD @01 + 41640.50ns INFO [00041642] * RD COMPARE * port=0 adr=01 act=85CA00CBD6575CBF48 exp=85CA00CBD6575CBF48 + 41640.50ns INFO [00041642] * RD COMPARE * port=1 adr=06 act=40C2F4216DB6F13C20 exp=40C2F4216DB6F13C20 + 41640.50ns INFO [00041642] Port=0 WR @02=C4DA0DB35B1667EDFE + 41640.50ns INFO [00041642] Port=0 RD @05 + 41640.50ns INFO [00041642] Port=1 RD @03 + 41641.50ns INFO [00041643] * RD COMPARE * port=1 adr=01 act=85CA00CBD6575CBF48 exp=85CA00CBD6575CBF48 + 41641.50ns INFO [00041643] Port=0 WR @05=9B44EBD86269562535 + 41642.50ns INFO [00041644] * RD COMPARE * port=0 adr=05 act=54A76B1A82DFA96BD5 exp=54A76B1A82DFA96BD5 + 41642.50ns INFO [00041644] * RD COMPARE * port=1 adr=03 act=155AFA4379F007B78F exp=155AFA4379F007B78F + 41642.50ns INFO [00041644] Port=0 RD @01 + 41644.50ns INFO [00041646] * RD COMPARE * port=0 adr=01 act=85CA00CBD6575CBF48 exp=85CA00CBD6575CBF48 + 41647.50ns INFO [00041649] Port=0 WR @01=FB19CAC7454F87EE4A + 41647.50ns INFO [00041649] Port=0 RD @03 + 41648.50ns INFO [00041650] Port=0 WR @02=8E844C82F3C5262A9C + 41648.50ns INFO [00041650] Port=1 RD @03 + 41649.50ns INFO [00041651] * RD COMPARE * port=0 adr=03 act=155AFA4379F007B78F exp=155AFA4379F007B78F + 41649.50ns INFO [00041651] Port=0 WR @05=E041168552C5CEE59B + 41649.50ns INFO [00041651] Port=1 RD @01 + 41650.50ns INFO [00041652] * RD COMPARE * port=1 adr=03 act=155AFA4379F007B78F exp=155AFA4379F007B78F + 41650.50ns INFO [00041652] Port=0 RD @01 + 41650.50ns INFO [00041652] Port=1 RD @00 + 41651.50ns INFO [00041653] * RD COMPARE * port=1 adr=01 act=FB19CAC7454F87EE4A exp=FB19CAC7454F87EE4A + 41652.50ns INFO [00041654] * RD COMPARE * port=0 adr=01 act=FB19CAC7454F87EE4A exp=FB19CAC7454F87EE4A + 41652.50ns INFO [00041654] * RD COMPARE * port=1 adr=00 act=5BDC09B106003B4637 exp=5BDC09B106003B4637 + 41652.50ns INFO [00041654] Port=0 WR @00=737684CD97B6343465 + 41653.50ns INFO [00041655] Port=0 RD @05 + 41653.50ns INFO [00041655] Port=1 RD @04 + 41654.50ns INFO [00041656] Port=0 RD @00 + 41655.50ns INFO [00041657] * RD COMPARE * port=0 adr=05 act=E041168552C5CEE59B exp=E041168552C5CEE59B + 41655.50ns INFO [00041657] * RD COMPARE * port=1 adr=04 act=51B38FC36EEB8471D8 exp=51B38FC36EEB8471D8 + 41655.50ns INFO [00041657] Port=1 RD @01 + 41656.50ns INFO [00041658] * RD COMPARE * port=0 adr=00 act=737684CD97B6343465 exp=737684CD97B6343465 + 41656.50ns INFO [00041658] Port=0 WR @04=77BF923F86155B0917 + 41656.50ns INFO [00041658] Port=0 RD @07 + 41656.50ns INFO [00041658] Port=1 RD @00 + 41657.50ns INFO [00041659] * RD COMPARE * port=1 adr=01 act=FB19CAC7454F87EE4A exp=FB19CAC7454F87EE4A + 41657.50ns INFO [00041659] Port=0 RD @05 + 41657.50ns INFO [00041659] Port=1 RD @03 + 41658.50ns INFO [00041660] * RD COMPARE * port=0 adr=07 act=312275C12CF2C509ED exp=312275C12CF2C509ED + 41658.50ns INFO [00041660] * RD COMPARE * port=1 adr=00 act=737684CD97B6343465 exp=737684CD97B6343465 + 41658.50ns INFO [00041660] Port=0 WR @07=A73BFC1268D19A7B19 + 41659.50ns INFO [00041661] * RD COMPARE * port=0 adr=05 act=E041168552C5CEE59B exp=E041168552C5CEE59B + 41659.50ns INFO [00041661] * RD COMPARE * port=1 adr=03 act=155AFA4379F007B78F exp=155AFA4379F007B78F + 41659.50ns INFO [00041661] Port=0 RD @04 + 41659.50ns INFO [00041661] Port=1 RD @07 + 41660.50ns INFO [00041662] Port=0 WR @06=AD0C1C81EF430C0B72 + 41660.50ns INFO [00041662] Port=0 RD @02 + 41660.50ns INFO [00041662] Port=1 RD @07 + 41661.50ns INFO [00041663] * RD COMPARE * port=0 adr=04 act=77BF923F86155B0917 exp=77BF923F86155B0917 + 41661.50ns INFO [00041663] * RD COMPARE * port=1 adr=07 act=A73BFC1268D19A7B19 exp=A73BFC1268D19A7B19 + 41661.50ns INFO [00041663] Port=0 WR @05=2AC6F26A0DB1DA1ABE + 41662.50ns INFO [00041664] * RD COMPARE * port=0 adr=02 act=8E844C82F3C5262A9C exp=8E844C82F3C5262A9C + 41662.50ns INFO [00041664] * RD COMPARE * port=1 adr=07 act=A73BFC1268D19A7B19 exp=A73BFC1268D19A7B19 + 41662.50ns INFO [00041664] Port=0 WR @01=82023C79D2FE302ABE + 41662.50ns INFO [00041664] Port=0 RD @07 + 41663.50ns INFO [00041665] Port=0 RD @05 + 41664.50ns INFO [00041666] * RD COMPARE * port=0 adr=07 act=A73BFC1268D19A7B19 exp=A73BFC1268D19A7B19 + 41664.50ns INFO [00041666] Port=0 RD @01 + 41665.50ns INFO [00041667] * RD COMPARE * port=0 adr=05 act=2AC6F26A0DB1DA1ABE exp=2AC6F26A0DB1DA1ABE + 41665.50ns INFO [00041667] Port=1 RD @00 + 41666.50ns INFO [00041668] * RD COMPARE * port=0 adr=01 act=82023C79D2FE302ABE exp=82023C79D2FE302ABE + 41666.50ns INFO [00041668] Port=0 WR @03=4604D8A0599E8D2677 + 41667.50ns INFO [00041669] * RD COMPARE * port=1 adr=00 act=737684CD97B6343465 exp=737684CD97B6343465 + 41668.50ns INFO [00041670] Port=1 RD @03 + 41669.50ns INFO [00041671] Port=0 RD @04 + 41669.50ns INFO [00041671] Port=1 RD @02 + 41670.50ns INFO [00041672] * RD COMPARE * port=1 adr=03 act=4604D8A0599E8D2677 exp=4604D8A0599E8D2677 + 41670.50ns INFO [00041672] Port=0 WR @03=F9B5B47386AAB04B0B + 41670.50ns INFO [00041672] Port=0 RD @05 + 41670.50ns INFO [00041672] Port=1 RD @02 + 41671.50ns INFO [00041673] * RD COMPARE * port=0 adr=04 act=77BF923F86155B0917 exp=77BF923F86155B0917 + 41671.50ns INFO [00041673] * RD COMPARE * port=1 adr=02 act=8E844C82F3C5262A9C exp=8E844C82F3C5262A9C + 41671.50ns INFO [00041673] Port=1 RD @02 + 41672.50ns INFO [00041674] * RD COMPARE * port=0 adr=05 act=2AC6F26A0DB1DA1ABE exp=2AC6F26A0DB1DA1ABE + 41672.50ns INFO [00041674] * RD COMPARE * port=1 adr=02 act=8E844C82F3C5262A9C exp=8E844C82F3C5262A9C + 41673.50ns INFO [00041675] * RD COMPARE * port=1 adr=02 act=8E844C82F3C5262A9C exp=8E844C82F3C5262A9C + 41673.50ns INFO [00041675] Port=0 RD @00 + 41674.50ns INFO [00041676] Port=0 WR @04=4A0E813A90C795843B + 41674.50ns INFO [00041676] Port=1 RD @03 + 41675.50ns INFO [00041677] * RD COMPARE * port=0 adr=00 act=737684CD97B6343465 exp=737684CD97B6343465 + 41676.50ns INFO [00041678] * RD COMPARE * port=1 adr=03 act=F9B5B47386AAB04B0B exp=F9B5B47386AAB04B0B + 41676.50ns INFO [00041678] Port=0 WR @07=033D2E99F802BFE811 + 41676.50ns INFO [00041678] Port=0 RD @02 + 41677.50ns INFO [00041679] Port=0 RD @04 + 41678.50ns INFO [00041680] * RD COMPARE * port=0 adr=02 act=8E844C82F3C5262A9C exp=8E844C82F3C5262A9C + 41678.50ns INFO [00041680] Port=1 RD @05 + 41679.50ns INFO [00041681] * RD COMPARE * port=0 adr=04 act=4A0E813A90C795843B exp=4A0E813A90C795843B + 41679.50ns INFO [00041681] Port=0 WR @00=417426F6050D90D123 + 41679.50ns INFO [00041681] Port=0 RD @03 + 41679.50ns INFO [00041681] Port=1 RD @06 + 41680.50ns INFO [00041682] * RD COMPARE * port=1 adr=05 act=2AC6F26A0DB1DA1ABE exp=2AC6F26A0DB1DA1ABE + 41680.50ns INFO [00041682] Port=0 WR @06=09DF1E6E7C3C5646D7 + 41680.50ns INFO [00041682] Port=1 RD @07 + 41681.50ns INFO [00041683] * RD COMPARE * port=0 adr=03 act=F9B5B47386AAB04B0B exp=F9B5B47386AAB04B0B + 41681.50ns INFO [00041683] * RD COMPARE * port=1 adr=06 act=AD0C1C81EF430C0B72 exp=AD0C1C81EF430C0B72 + 41681.50ns INFO [00041683] Port=0 WR @03=56EB85C7DE64328543 + 41682.50ns INFO [00041684] * RD COMPARE * port=1 adr=07 act=033D2E99F802BFE811 exp=033D2E99F802BFE811 + 41682.50ns INFO [00041684] Port=1 RD @01 + 41683.50ns INFO [00041685] Port=0 RD @01 + 41684.50ns INFO [00041686] * RD COMPARE * port=1 adr=01 act=82023C79D2FE302ABE exp=82023C79D2FE302ABE + 41684.50ns INFO [00041686] Port=0 WR @04=D22C7802C73DF4792B + 41684.50ns INFO [00041686] Port=0 RD @00 + 41684.50ns INFO [00041686] Port=1 RD @05 + 41685.50ns INFO [00041687] * RD COMPARE * port=0 adr=01 act=82023C79D2FE302ABE exp=82023C79D2FE302ABE + 41685.50ns INFO [00041687] Port=0 WR @05=28DF7B73A3F424E073 + 41685.50ns INFO [00041687] Port=1 RD @00 + 41686.50ns INFO [00041688] * RD COMPARE * port=0 adr=00 act=417426F6050D90D123 exp=417426F6050D90D123 + 41686.50ns INFO [00041688] * RD COMPARE * port=1 adr=05 act=2AC6F26A0DB1DA1ABE exp=2AC6F26A0DB1DA1ABE + 41686.50ns INFO [00041688] Port=0 WR @06=CB62E6CDA2D24F9306 + 41686.50ns INFO [00041688] Port=0 RD @00 + 41687.50ns INFO [00041689] * RD COMPARE * port=1 adr=00 act=417426F6050D90D123 exp=417426F6050D90D123 + 41687.50ns INFO [00041689] Port=0 WR @03=40A1EB379E2C073BD1 + 41687.50ns INFO [00041689] Port=0 RD @01 + 41687.50ns INFO [00041689] Port=1 RD @02 + 41688.50ns INFO [00041690] * RD COMPARE * port=0 adr=00 act=417426F6050D90D123 exp=417426F6050D90D123 + 41689.50ns INFO [00041691] * RD COMPARE * port=0 adr=01 act=82023C79D2FE302ABE exp=82023C79D2FE302ABE + 41689.50ns INFO [00041691] * RD COMPARE * port=1 adr=02 act=8E844C82F3C5262A9C exp=8E844C82F3C5262A9C + 41689.50ns INFO [00041691] Port=1 RD @03 + 41691.50ns INFO [00041693] * RD COMPARE * port=1 adr=03 act=40A1EB379E2C073BD1 exp=40A1EB379E2C073BD1 + 41691.50ns INFO [00041693] Port=0 RD @05 + 41692.50ns INFO [00041694] Port=0 RD @07 + 41693.50ns INFO [00041695] * RD COMPARE * port=0 adr=05 act=28DF7B73A3F424E073 exp=28DF7B73A3F424E073 + 41693.50ns INFO [00041695] Port=0 WR @01=2291FC77543B915352 + 41693.50ns INFO [00041695] Port=1 RD @02 + 41694.50ns INFO [00041696] * RD COMPARE * port=0 adr=07 act=033D2E99F802BFE811 exp=033D2E99F802BFE811 + 41694.50ns INFO [00041696] Port=1 RD @03 + 41695.50ns INFO [00041697] * RD COMPARE * port=1 adr=02 act=8E844C82F3C5262A9C exp=8E844C82F3C5262A9C + 41695.50ns INFO [00041697] Port=1 RD @06 + 41696.50ns INFO [00041698] * RD COMPARE * port=1 adr=03 act=40A1EB379E2C073BD1 exp=40A1EB379E2C073BD1 + 41696.50ns INFO [00041698] Port=0 WR @01=B70DE2D8BEDAEEB839 + 41697.50ns INFO [00041699] * RD COMPARE * port=1 adr=06 act=CB62E6CDA2D24F9306 exp=CB62E6CDA2D24F9306 + 41697.50ns INFO [00041699] Port=0 WR @06=B6043CEF922E8F9905 + 41697.50ns INFO [00041699] Port=0 RD @00 + 41697.50ns INFO [00041699] Port=1 RD @01 + 41698.00ns INFO [00041700] [00041700] ...tick... + 41698.50ns INFO [00041700] Port=0 WR @02=1040C92D2F2597B4A1 + 41698.50ns INFO [00041700] Port=1 RD @07 + 41699.50ns INFO [00041701] * RD COMPARE * port=0 adr=00 act=417426F6050D90D123 exp=417426F6050D90D123 + 41699.50ns INFO [00041701] * RD COMPARE * port=1 adr=01 act=B70DE2D8BEDAEEB839 exp=B70DE2D8BEDAEEB839 + 41699.50ns INFO [00041701] Port=0 WR @05=C194431DB64538FC2A + 41699.50ns INFO [00041701] Port=1 RD @03 + 41700.50ns INFO [00041702] * RD COMPARE * port=1 adr=07 act=033D2E99F802BFE811 exp=033D2E99F802BFE811 + 41700.50ns INFO [00041702] Port=0 WR @00=9E73BD56F766EFD602 + 41700.50ns INFO [00041702] Port=0 RD @06 + 41701.50ns INFO [00041703] * RD COMPARE * port=1 adr=03 act=40A1EB379E2C073BD1 exp=40A1EB379E2C073BD1 + 41701.50ns INFO [00041703] Port=0 WR @03=6EF5A25525954A092F + 41702.50ns INFO [00041704] * RD COMPARE * port=0 adr=06 act=B6043CEF922E8F9905 exp=B6043CEF922E8F9905 + 41702.50ns INFO [00041704] Port=0 RD @07 + 41703.50ns INFO [00041705] Port=0 WR @03=55FA6B0C45F0DAC0D1 + 41703.50ns INFO [00041705] Port=0 RD @07 + 41704.50ns INFO [00041706] * RD COMPARE * port=0 adr=07 act=033D2E99F802BFE811 exp=033D2E99F802BFE811 + 41704.50ns INFO [00041706] Port=0 RD @06 + 41704.50ns INFO [00041706] Port=1 RD @06 + 41705.50ns INFO [00041707] * RD COMPARE * port=0 adr=07 act=033D2E99F802BFE811 exp=033D2E99F802BFE811 + 41705.50ns INFO [00041707] Port=0 WR @03=107E4F188C2668302A + 41705.50ns INFO [00041707] Port=0 RD @00 + 41706.50ns INFO [00041708] * RD COMPARE * port=0 adr=06 act=B6043CEF922E8F9905 exp=B6043CEF922E8F9905 + 41706.50ns INFO [00041708] * RD COMPARE * port=1 adr=06 act=B6043CEF922E8F9905 exp=B6043CEF922E8F9905 + 41707.50ns INFO [00041709] * RD COMPARE * port=0 adr=00 act=9E73BD56F766EFD602 exp=9E73BD56F766EFD602 + 41710.50ns INFO [00041712] Port=0 RD @01 + 41711.50ns INFO [00041713] Port=0 WR @02=30CC7BB7EA710C1764 + 41712.50ns INFO [00041714] * RD COMPARE * port=0 adr=01 act=B70DE2D8BEDAEEB839 exp=B70DE2D8BEDAEEB839 + 41713.50ns INFO [00041715] Port=0 RD @00 + 41714.50ns INFO [00041716] Port=0 WR @00=DD4AF5F509F77D987B + 41715.50ns INFO [00041717] * RD COMPARE * port=0 adr=00 act=9E73BD56F766EFD602 exp=9E73BD56F766EFD602 + 41715.50ns INFO [00041717] Port=0 RD @02 + 41715.50ns INFO [00041717] Port=1 RD @00 + 41716.50ns INFO [00041718] Port=0 RD @06 + 41717.50ns INFO [00041719] * RD COMPARE * port=0 adr=02 act=30CC7BB7EA710C1764 exp=30CC7BB7EA710C1764 + 41717.50ns INFO [00041719] * RD COMPARE * port=1 adr=00 act=DD4AF5F509F77D987B exp=DD4AF5F509F77D987B + 41717.50ns INFO [00041719] Port=0 WR @00=6458033A8F13C981AB + 41717.50ns INFO [00041719] Port=1 RD @07 + 41718.50ns INFO [00041720] * RD COMPARE * port=0 adr=06 act=B6043CEF922E8F9905 exp=B6043CEF922E8F9905 + 41718.50ns INFO [00041720] Port=0 RD @03 + 41719.50ns INFO [00041721] * RD COMPARE * port=1 adr=07 act=033D2E99F802BFE811 exp=033D2E99F802BFE811 + 41720.50ns INFO [00041722] * RD COMPARE * port=0 adr=03 act=107E4F188C2668302A exp=107E4F188C2668302A + 41720.50ns INFO [00041722] Port=1 RD @03 + 41721.50ns INFO [00041723] Port=1 RD @02 + 41722.50ns INFO [00041724] * RD COMPARE * port=1 adr=03 act=107E4F188C2668302A exp=107E4F188C2668302A + 41723.50ns INFO [00041725] * RD COMPARE * port=1 adr=02 act=30CC7BB7EA710C1764 exp=30CC7BB7EA710C1764 + 41723.50ns INFO [00041725] Port=1 RD @04 + 41724.50ns INFO [00041726] Port=0 WR @01=6BF8E8271F7E95B064 + 41724.50ns INFO [00041726] Port=1 RD @03 + 41725.50ns INFO [00041727] * RD COMPARE * port=1 adr=04 act=D22C7802C73DF4792B exp=D22C7802C73DF4792B + 41725.50ns INFO [00041727] Port=0 WR @04=F0DF69FFA9F2EE8B57 + 41725.50ns INFO [00041727] Port=0 RD @05 + 41726.50ns INFO [00041728] * RD COMPARE * port=1 adr=03 act=107E4F188C2668302A exp=107E4F188C2668302A + 41727.50ns INFO [00041729] * RD COMPARE * port=0 adr=05 act=C194431DB64538FC2A exp=C194431DB64538FC2A + 41727.50ns INFO [00041729] Port=1 RD @01 + 41728.50ns INFO [00041730] Port=1 RD @01 + 41729.50ns INFO [00041731] * RD COMPARE * port=1 adr=01 act=6BF8E8271F7E95B064 exp=6BF8E8271F7E95B064 + 41729.50ns INFO [00041731] Port=0 WR @02=0FDD6F1E7A709D7FA6 + 41730.50ns INFO [00041732] * RD COMPARE * port=1 adr=01 act=6BF8E8271F7E95B064 exp=6BF8E8271F7E95B064 + 41730.50ns INFO [00041732] Port=0 WR @07=99FF01122E80BEAE9C + 41730.50ns INFO [00041732] Port=0 RD @02 + 41730.50ns INFO [00041732] Port=1 RD @02 + 41732.50ns INFO [00041734] * RD COMPARE * port=0 adr=02 act=0FDD6F1E7A709D7FA6 exp=0FDD6F1E7A709D7FA6 + 41732.50ns INFO [00041734] * RD COMPARE * port=1 adr=02 act=0FDD6F1E7A709D7FA6 exp=0FDD6F1E7A709D7FA6 + 41732.50ns INFO [00041734] Port=1 RD @07 + 41734.50ns INFO [00041736] * RD COMPARE * port=1 adr=07 act=99FF01122E80BEAE9C exp=99FF01122E80BEAE9C + 41734.50ns INFO [00041736] Port=1 RD @01 + 41735.50ns INFO [00041737] Port=0 WR @04=FE6976A5D67C3B28CF + 41735.50ns INFO [00041737] Port=0 RD @05 + 41736.50ns INFO [00041738] * RD COMPARE * port=1 adr=01 act=6BF8E8271F7E95B064 exp=6BF8E8271F7E95B064 + 41737.50ns INFO [00041739] * RD COMPARE * port=0 adr=05 act=C194431DB64538FC2A exp=C194431DB64538FC2A + 41737.50ns INFO [00041739] Port=0 WR @05=6C24A2045AB394584A + 41737.50ns INFO [00041739] Port=1 RD @03 + 41738.50ns INFO [00041740] Port=0 WR @05=6AC13ACFF4B9A55C68 + 41738.50ns INFO [00041740] Port=1 RD @01 + 41739.50ns INFO [00041741] * RD COMPARE * port=1 adr=03 act=107E4F188C2668302A exp=107E4F188C2668302A + 41739.50ns INFO [00041741] Port=1 RD @02 + 41740.50ns INFO [00041742] * RD COMPARE * port=1 adr=01 act=6BF8E8271F7E95B064 exp=6BF8E8271F7E95B064 + 41740.50ns INFO [00041742] Port=0 WR @03=0458FD5ADF6226D15C + 41741.50ns INFO [00041743] * RD COMPARE * port=1 adr=02 act=0FDD6F1E7A709D7FA6 exp=0FDD6F1E7A709D7FA6 + 41742.50ns INFO [00041744] Port=0 WR @01=EE26B8FC85EDE56C3A + 41742.50ns INFO [00041744] Port=0 RD @00 + 41743.50ns INFO [00041745] Port=0 WR @06=22BC115402D2DD6612 + 41744.50ns INFO [00041746] * RD COMPARE * port=0 adr=00 act=6458033A8F13C981AB exp=6458033A8F13C981AB + 41744.50ns INFO [00041746] Port=0 RD @00 + 41745.50ns INFO [00041747] Port=0 WR @02=B046D591CF65B8A6F0 + 41745.50ns INFO [00041747] Port=1 RD @05 + 41746.50ns INFO [00041748] * RD COMPARE * port=0 adr=00 act=6458033A8F13C981AB exp=6458033A8F13C981AB + 41747.50ns INFO [00041749] * RD COMPARE * port=1 adr=05 act=6AC13ACFF4B9A55C68 exp=6AC13ACFF4B9A55C68 + 41748.50ns INFO [00041750] Port=0 RD @05 + 41749.50ns INFO [00041751] Port=0 WR @02=AF9C0DBBF4FE013475 + 41749.50ns INFO [00041751] Port=0 RD @04 + 41749.50ns INFO [00041751] Port=1 RD @07 + 41750.50ns INFO [00041752] * RD COMPARE * port=0 adr=05 act=6AC13ACFF4B9A55C68 exp=6AC13ACFF4B9A55C68 + 41750.50ns INFO [00041752] Port=0 RD @00 + 41750.50ns INFO [00041752] Port=1 RD @05 + 41751.50ns INFO [00041753] * RD COMPARE * port=0 adr=04 act=FE6976A5D67C3B28CF exp=FE6976A5D67C3B28CF + 41751.50ns INFO [00041753] * RD COMPARE * port=1 adr=07 act=99FF01122E80BEAE9C exp=99FF01122E80BEAE9C + 41751.50ns INFO [00041753] Port=0 WR @03=E5FAAD92DB8A9B3E6A + 41752.50ns INFO [00041754] * RD COMPARE * port=0 adr=00 act=6458033A8F13C981AB exp=6458033A8F13C981AB + 41752.50ns INFO [00041754] * RD COMPARE * port=1 adr=05 act=6AC13ACFF4B9A55C68 exp=6AC13ACFF4B9A55C68 + 41752.50ns INFO [00041754] Port=1 RD @02 + 41753.50ns INFO [00041755] Port=0 RD @00 + 41753.50ns INFO [00041755] Port=1 RD @05 + 41754.50ns INFO [00041756] * RD COMPARE * port=1 adr=02 act=AF9C0DBBF4FE013475 exp=AF9C0DBBF4FE013475 + 41755.50ns INFO [00041757] * RD COMPARE * port=0 adr=00 act=6458033A8F13C981AB exp=6458033A8F13C981AB + 41755.50ns INFO [00041757] * RD COMPARE * port=1 adr=05 act=6AC13ACFF4B9A55C68 exp=6AC13ACFF4B9A55C68 + 41755.50ns INFO [00041757] Port=1 RD @03 + 41757.50ns INFO [00041759] * RD COMPARE * port=1 adr=03 act=E5FAAD92DB8A9B3E6A exp=E5FAAD92DB8A9B3E6A + 41757.50ns INFO [00041759] Port=0 WR @07=AD9216E89B9598A928 + 41758.50ns INFO [00041760] Port=0 WR @00=5EA1018168172664F0 + 41758.50ns INFO [00041760] Port=0 RD @02 + 41758.50ns INFO [00041760] Port=1 RD @02 + 41759.50ns INFO [00041761] Port=1 RD @02 + 41760.50ns INFO [00041762] * RD COMPARE * port=0 adr=02 act=AF9C0DBBF4FE013475 exp=AF9C0DBBF4FE013475 + 41760.50ns INFO [00041762] * RD COMPARE * port=1 adr=02 act=AF9C0DBBF4FE013475 exp=AF9C0DBBF4FE013475 + 41761.50ns INFO [00041763] * RD COMPARE * port=1 adr=02 act=AF9C0DBBF4FE013475 exp=AF9C0DBBF4FE013475 + 41764.50ns INFO [00041766] Port=0 WR @02=8DAB7755B23411087E + 41765.50ns INFO [00041767] Port=0 RD @01 + 41766.50ns INFO [00041768] Port=0 WR @07=6EA9CF74C3F93CA80B + 41766.50ns INFO [00041768] Port=0 RD @03 + 41767.50ns INFO [00041769] * RD COMPARE * port=0 adr=01 act=EE26B8FC85EDE56C3A exp=EE26B8FC85EDE56C3A + 41767.50ns INFO [00041769] Port=0 WR @01=C2B3E39A4CEF3C68D4 + 41768.50ns INFO [00041770] * RD COMPARE * port=0 adr=03 act=E5FAAD92DB8A9B3E6A exp=E5FAAD92DB8A9B3E6A + 41769.50ns INFO [00041771] Port=0 RD @07 + 41769.50ns INFO [00041771] Port=1 RD @01 + 41771.50ns INFO [00041773] * RD COMPARE * port=0 adr=07 act=6EA9CF74C3F93CA80B exp=6EA9CF74C3F93CA80B + 41771.50ns INFO [00041773] * RD COMPARE * port=1 adr=01 act=C2B3E39A4CEF3C68D4 exp=C2B3E39A4CEF3C68D4 + 41771.50ns INFO [00041773] Port=0 RD @07 + 41773.50ns INFO [00041775] * RD COMPARE * port=0 adr=07 act=6EA9CF74C3F93CA80B exp=6EA9CF74C3F93CA80B + 41773.50ns INFO [00041775] Port=0 WR @00=E40991BF06E987930E + 41774.50ns INFO [00041776] Port=0 RD @07 + 41775.50ns INFO [00041777] Port=0 WR @05=49EBA18BDC3B79627C + 41776.50ns INFO [00041778] * RD COMPARE * port=0 adr=07 act=6EA9CF74C3F93CA80B exp=6EA9CF74C3F93CA80B + 41776.50ns INFO [00041778] Port=1 RD @06 + 41777.50ns INFO [00041779] Port=1 RD @05 + 41778.50ns INFO [00041780] * RD COMPARE * port=1 adr=06 act=22BC115402D2DD6612 exp=22BC115402D2DD6612 + 41778.50ns INFO [00041780] Port=0 WR @00=03AD655869554B6904 + 41778.50ns INFO [00041780] Port=0 RD @07 + 41778.50ns INFO [00041780] Port=1 RD @05 + 41779.50ns INFO [00041781] * RD COMPARE * port=1 adr=05 act=49EBA18BDC3B79627C exp=49EBA18BDC3B79627C + 41779.50ns INFO [00041781] Port=0 RD @00 + 41780.50ns INFO [00041782] * RD COMPARE * port=0 adr=07 act=6EA9CF74C3F93CA80B exp=6EA9CF74C3F93CA80B + 41780.50ns INFO [00041782] * RD COMPARE * port=1 adr=05 act=49EBA18BDC3B79627C exp=49EBA18BDC3B79627C + 41780.50ns INFO [00041782] Port=0 WR @00=10790344CF0B082DEC + 41781.50ns INFO [00041783] * RD COMPARE * port=0 adr=00 act=03AD655869554B6904 exp=03AD655869554B6904 + 41781.50ns INFO [00041783] Port=0 WR @04=D13BF3880F72AA4D77 + 41782.50ns INFO [00041784] Port=0 WR @07=56998ECF4A1C6789BF + 41782.50ns INFO [00041784] Port=1 RD @03 + 41783.50ns INFO [00041785] Port=0 RD @03 + 41783.50ns INFO [00041785] Port=1 RD @04 + 41784.50ns INFO [00041786] * RD COMPARE * port=1 adr=03 act=E5FAAD92DB8A9B3E6A exp=E5FAAD92DB8A9B3E6A + 41784.50ns INFO [00041786] Port=0 RD @05 + 41785.50ns INFO [00041787] * RD COMPARE * port=0 adr=03 act=E5FAAD92DB8A9B3E6A exp=E5FAAD92DB8A9B3E6A + 41785.50ns INFO [00041787] * RD COMPARE * port=1 adr=04 act=D13BF3880F72AA4D77 exp=D13BF3880F72AA4D77 + 41785.50ns INFO [00041787] Port=1 RD @04 + 41786.50ns INFO [00041788] * RD COMPARE * port=0 adr=05 act=49EBA18BDC3B79627C exp=49EBA18BDC3B79627C + 41786.50ns INFO [00041788] Port=0 RD @00 + 41787.50ns INFO [00041789] * RD COMPARE * port=1 adr=04 act=D13BF3880F72AA4D77 exp=D13BF3880F72AA4D77 + 41788.50ns INFO [00041790] * RD COMPARE * port=0 adr=00 act=10790344CF0B082DEC exp=10790344CF0B082DEC + 41788.50ns INFO [00041790] Port=0 WR @03=AB73F57FBA5B1C3E26 + 41789.50ns INFO [00041791] Port=0 WR @05=D42E4150EE1F2D21B0 + 41789.50ns INFO [00041791] Port=1 RD @04 + 41790.50ns INFO [00041792] Port=0 WR @06=158298C60BAE98D2CD + 41790.50ns INFO [00041792] Port=0 RD @01 + 41790.50ns INFO [00041792] Port=1 RD @00 + 41791.50ns INFO [00041793] * RD COMPARE * port=1 adr=04 act=D13BF3880F72AA4D77 exp=D13BF3880F72AA4D77 + 41791.50ns INFO [00041793] Port=0 WR @03=FA86F62A92BD86C94C + 41791.50ns INFO [00041793] Port=1 RD @04 + 41792.50ns INFO [00041794] * RD COMPARE * port=0 adr=01 act=C2B3E39A4CEF3C68D4 exp=C2B3E39A4CEF3C68D4 + 41792.50ns INFO [00041794] * RD COMPARE * port=1 adr=00 act=10790344CF0B082DEC exp=10790344CF0B082DEC + 41792.50ns INFO [00041794] Port=0 WR @07=B53D64F64D058B5906 + 41792.50ns INFO [00041794] Port=1 RD @03 + 41793.50ns INFO [00041795] * RD COMPARE * port=1 adr=04 act=D13BF3880F72AA4D77 exp=D13BF3880F72AA4D77 + 41794.50ns INFO [00041796] * RD COMPARE * port=1 adr=03 act=FA86F62A92BD86C94C exp=FA86F62A92BD86C94C + 41794.50ns INFO [00041796] Port=1 RD @03 + 41796.50ns INFO [00041798] * RD COMPARE * port=1 adr=03 act=FA86F62A92BD86C94C exp=FA86F62A92BD86C94C + 41796.50ns INFO [00041798] Port=0 RD @07 + 41797.50ns INFO [00041799] Port=0 WR @05=A7E0B6E2230C8BCA69 + 41797.50ns INFO [00041799] Port=1 RD @06 + 41798.00ns INFO [00041800] [00041800] ...tick... + 41798.50ns INFO [00041800] * RD COMPARE * port=0 adr=07 act=B53D64F64D058B5906 exp=B53D64F64D058B5906 + 41798.50ns INFO [00041800] Port=0 WR @06=EEC8028AD6AD0F7924 + 41798.50ns INFO [00041800] Port=0 RD @05 + 41798.50ns INFO [00041800] Port=1 RD @07 + 41799.50ns INFO [00041801] * RD COMPARE * port=1 adr=06 act=158298C60BAE98D2CD exp=158298C60BAE98D2CD + 41800.50ns INFO [00041802] * RD COMPARE * port=0 adr=05 act=A7E0B6E2230C8BCA69 exp=A7E0B6E2230C8BCA69 + 41800.50ns INFO [00041802] * RD COMPARE * port=1 adr=07 act=B53D64F64D058B5906 exp=B53D64F64D058B5906 + 41800.50ns INFO [00041802] Port=1 RD @01 + 41801.50ns INFO [00041803] Port=1 RD @06 + 41802.50ns INFO [00041804] * RD COMPARE * port=1 adr=01 act=C2B3E39A4CEF3C68D4 exp=C2B3E39A4CEF3C68D4 + 41802.50ns INFO [00041804] Port=1 RD @04 + 41803.50ns INFO [00041805] * RD COMPARE * port=1 adr=06 act=EEC8028AD6AD0F7924 exp=EEC8028AD6AD0F7924 + 41803.50ns INFO [00041805] Port=0 WR @02=2FFE13BD345D01D31C + 41803.50ns INFO [00041805] Port=1 RD @07 + 41804.50ns INFO [00041806] * RD COMPARE * port=1 adr=04 act=D13BF3880F72AA4D77 exp=D13BF3880F72AA4D77 + 41804.50ns INFO [00041806] Port=0 RD @00 + 41805.50ns INFO [00041807] * RD COMPARE * port=1 adr=07 act=B53D64F64D058B5906 exp=B53D64F64D058B5906 + 41805.50ns INFO [00041807] Port=0 WR @06=422204A03FE77B6A05 + 41806.50ns INFO [00041808] * RD COMPARE * port=0 adr=00 act=10790344CF0B082DEC exp=10790344CF0B082DEC + 41807.50ns INFO [00041809] Port=0 WR @05=F3AAD4621459D72493 + 41807.50ns INFO [00041809] Port=1 RD @01 + 41808.50ns INFO [00041810] Port=1 RD @06 + 41809.50ns INFO [00041811] * RD COMPARE * port=1 adr=01 act=C2B3E39A4CEF3C68D4 exp=C2B3E39A4CEF3C68D4 + 41809.50ns INFO [00041811] Port=0 RD @05 + 41809.50ns INFO [00041811] Port=1 RD @02 + 41810.50ns INFO [00041812] * RD COMPARE * port=1 adr=06 act=422204A03FE77B6A05 exp=422204A03FE77B6A05 + 41811.50ns INFO [00041813] * RD COMPARE * port=0 adr=05 act=F3AAD4621459D72493 exp=F3AAD4621459D72493 + 41811.50ns INFO [00041813] * RD COMPARE * port=1 adr=02 act=2FFE13BD345D01D31C exp=2FFE13BD345D01D31C + 41811.50ns INFO [00041813] Port=0 WR @05=2D8D67C2142DA72A49 + 41811.50ns INFO [00041813] Port=0 RD @01 + 41812.50ns INFO [00041814] Port=1 RD @02 + 41813.50ns INFO [00041815] * RD COMPARE * port=0 adr=01 act=C2B3E39A4CEF3C68D4 exp=C2B3E39A4CEF3C68D4 + 41813.50ns INFO [00041815] Port=0 WR @03=58136F87A126082CC4 + 41813.50ns INFO [00041815] Port=1 RD @01 + 41814.50ns INFO [00041816] * RD COMPARE * port=1 adr=02 act=2FFE13BD345D01D31C exp=2FFE13BD345D01D31C + 41814.50ns INFO [00041816] Port=0 WR @04=77521BFFFA6171202D + 41815.50ns INFO [00041817] * RD COMPARE * port=1 adr=01 act=C2B3E39A4CEF3C68D4 exp=C2B3E39A4CEF3C68D4 + 41815.50ns INFO [00041817] Port=0 WR @06=591BA5AD926E03864C + 41815.50ns INFO [00041817] Port=0 RD @05 + 41815.50ns INFO [00041817] Port=1 RD @02 + 41817.50ns INFO [00041819] * RD COMPARE * port=0 adr=05 act=2D8D67C2142DA72A49 exp=2D8D67C2142DA72A49 + 41817.50ns INFO [00041819] * RD COMPARE * port=1 adr=02 act=2FFE13BD345D01D31C exp=2FFE13BD345D01D31C + 41817.50ns INFO [00041819] Port=0 WR @02=9BBA1C529FBE7EA659 + 41818.50ns INFO [00041820] Port=0 WR @05=66054DA677DE06A1BF + 41819.50ns INFO [00041821] Port=0 WR @03=49F414E2D5AAF3F48E + 41819.50ns INFO [00041821] Port=0 RD @07 + 41820.50ns INFO [00041822] Port=0 RD @03 + 41820.50ns INFO [00041822] Port=1 RD @05 + 41821.50ns INFO [00041823] * RD COMPARE * port=0 adr=07 act=B53D64F64D058B5906 exp=B53D64F64D058B5906 + 41821.50ns INFO [00041823] Port=0 WR @05=84A2CA60B3AEA4CC70 + 41822.50ns INFO [00041824] * RD COMPARE * port=0 adr=03 act=49F414E2D5AAF3F48E exp=49F414E2D5AAF3F48E + 41822.50ns INFO [00041824] * RD COMPARE * port=1 adr=05 act=66054DA677DE06A1BF exp=66054DA677DE06A1BF + 41824.50ns INFO [00041826] Port=1 RD @00 + 41826.50ns INFO [00041828] * RD COMPARE * port=1 adr=00 act=10790344CF0B082DEC exp=10790344CF0B082DEC + 41828.50ns INFO [00041830] Port=0 WR @07=2E6D456D13DCAE207A + 41828.50ns INFO [00041830] Port=1 RD @04 + 41829.50ns INFO [00041831] Port=0 RD @04 + 41829.50ns INFO [00041831] Port=1 RD @05 + 41830.50ns INFO [00041832] * RD COMPARE * port=1 adr=04 act=77521BFFFA6171202D exp=77521BFFFA6171202D + 41830.50ns INFO [00041832] Port=1 RD @01 + 41831.50ns INFO [00041833] * RD COMPARE * port=0 adr=04 act=77521BFFFA6171202D exp=77521BFFFA6171202D + 41831.50ns INFO [00041833] * RD COMPARE * port=1 adr=05 act=84A2CA60B3AEA4CC70 exp=84A2CA60B3AEA4CC70 + 41831.50ns INFO [00041833] Port=1 RD @05 + 41832.50ns INFO [00041834] * RD COMPARE * port=1 adr=01 act=C2B3E39A4CEF3C68D4 exp=C2B3E39A4CEF3C68D4 + 41832.50ns INFO [00041834] Port=0 RD @06 + 41833.50ns INFO [00041835] * RD COMPARE * port=1 adr=05 act=84A2CA60B3AEA4CC70 exp=84A2CA60B3AEA4CC70 + 41834.50ns INFO [00041836] * RD COMPARE * port=0 adr=06 act=591BA5AD926E03864C exp=591BA5AD926E03864C + 41834.50ns INFO [00041836] Port=0 WR @03=DEFFCA3D42E3BC5535 + 41834.50ns INFO [00041836] Port=0 RD @07 + 41835.50ns INFO [00041837] Port=0 RD @06 + 41836.50ns INFO [00041838] * RD COMPARE * port=0 adr=07 act=2E6D456D13DCAE207A exp=2E6D456D13DCAE207A + 41836.50ns INFO [00041838] Port=0 RD @04 + 41837.50ns INFO [00041839] * RD COMPARE * port=0 adr=06 act=591BA5AD926E03864C exp=591BA5AD926E03864C + 41837.50ns INFO [00041839] Port=1 RD @06 + 41838.50ns INFO [00041840] * RD COMPARE * port=0 adr=04 act=77521BFFFA6171202D exp=77521BFFFA6171202D + 41839.50ns INFO [00041841] * RD COMPARE * port=1 adr=06 act=591BA5AD926E03864C exp=591BA5AD926E03864C + 41839.50ns INFO [00041841] Port=0 WR @01=2DAD074202C22E1E4E + 41839.50ns INFO [00041841] Port=0 RD @05 + 41840.50ns INFO [00041842] Port=1 RD @02 + 41841.50ns INFO [00041843] * RD COMPARE * port=0 adr=05 act=84A2CA60B3AEA4CC70 exp=84A2CA60B3AEA4CC70 + 41841.50ns INFO [00041843] Port=1 RD @00 + 41842.50ns INFO [00041844] * RD COMPARE * port=1 adr=02 act=9BBA1C529FBE7EA659 exp=9BBA1C529FBE7EA659 + 41843.50ns INFO [00041845] * RD COMPARE * port=1 adr=00 act=10790344CF0B082DEC exp=10790344CF0B082DEC + 41843.50ns INFO [00041845] Port=0 WR @05=84ED6B63D166723BBE + 41844.50ns INFO [00041846] Port=0 RD @00 + 41845.50ns INFO [00041847] Port=0 WR @00=A72EED14DEA34979D3 + 41846.50ns INFO [00041848] * RD COMPARE * port=0 adr=00 act=10790344CF0B082DEC exp=10790344CF0B082DEC + 41846.50ns INFO [00041848] Port=0 RD @06 + 41847.50ns INFO [00041849] Port=0 WR @05=5F30CC9E3E21D8CD41 + 41848.50ns INFO [00041850] * RD COMPARE * port=0 adr=06 act=591BA5AD926E03864C exp=591BA5AD926E03864C + 41849.50ns INFO [00041851] Port=0 WR @03=5FACC9B5FB17F5E9AF + 41849.50ns INFO [00041851] Port=1 RD @04 + 41850.50ns INFO [00041852] Port=0 WR @07=55E662EB3C83308C02 + 41850.50ns INFO [00041852] Port=0 RD @01 + 41850.50ns INFO [00041852] Port=1 RD @00 + 41851.50ns INFO [00041853] * RD COMPARE * port=1 adr=04 act=77521BFFFA6171202D exp=77521BFFFA6171202D + 41851.50ns INFO [00041853] Port=0 WR @07=85D1AFB6C45E414C85 + 41851.50ns INFO [00041853] Port=1 RD @02 + 41852.50ns INFO [00041854] * RD COMPARE * port=0 adr=01 act=2DAD074202C22E1E4E exp=2DAD074202C22E1E4E + 41852.50ns INFO [00041854] * RD COMPARE * port=1 adr=00 act=A72EED14DEA34979D3 exp=A72EED14DEA34979D3 + 41852.50ns INFO [00041854] Port=0 WR @02=5ED6CFF3E849DCEBFA + 41852.50ns INFO [00041854] Port=0 RD @05 + 41853.50ns INFO [00041855] * RD COMPARE * port=1 adr=02 act=9BBA1C529FBE7EA659 exp=9BBA1C529FBE7EA659 + 41853.50ns INFO [00041855] Port=0 RD @06 + 41853.50ns INFO [00041855] Port=1 RD @05 + 41854.50ns INFO [00041856] * RD COMPARE * port=0 adr=05 act=5F30CC9E3E21D8CD41 exp=5F30CC9E3E21D8CD41 + 41854.50ns INFO [00041856] Port=0 WR @00=95FF65732AD117E423 + 41854.50ns INFO [00041856] Port=0 RD @01 + 41854.50ns INFO [00041856] Port=1 RD @02 + 41855.50ns INFO [00041857] * RD COMPARE * port=0 adr=06 act=591BA5AD926E03864C exp=591BA5AD926E03864C + 41855.50ns INFO [00041857] * RD COMPARE * port=1 adr=05 act=5F30CC9E3E21D8CD41 exp=5F30CC9E3E21D8CD41 + 41855.50ns INFO [00041857] Port=0 RD @07 + 41856.50ns INFO [00041858] * RD COMPARE * port=0 adr=01 act=2DAD074202C22E1E4E exp=2DAD074202C22E1E4E + 41856.50ns INFO [00041858] * RD COMPARE * port=1 adr=02 act=5ED6CFF3E849DCEBFA exp=5ED6CFF3E849DCEBFA + 41857.50ns INFO [00041859] * RD COMPARE * port=0 adr=07 act=85D1AFB6C45E414C85 exp=85D1AFB6C45E414C85 + 41858.50ns INFO [00041860] Port=0 WR @02=98F7483FC67F259D15 + 41858.50ns INFO [00041860] Port=1 RD @04 + 41859.50ns INFO [00041861] Port=0 WR @04=3A80656D1587D8B31F + 41859.50ns INFO [00041861] Port=0 RD @00 + 41860.50ns INFO [00041862] * RD COMPARE * port=1 adr=04 act=77521BFFFA6171202D exp=77521BFFFA6171202D + 41860.50ns INFO [00041862] Port=0 WR @07=6BFF0E742CC10BA08F + 41861.50ns INFO [00041863] * RD COMPARE * port=0 adr=00 act=95FF65732AD117E423 exp=95FF65732AD117E423 + 41861.50ns INFO [00041863] Port=0 WR @03=40C9DA31AE61A3055C + 41861.50ns INFO [00041863] Port=1 RD @07 + 41862.50ns INFO [00041864] Port=0 WR @01=E3F0F0A630CB92958D + 41862.50ns INFO [00041864] Port=1 RD @05 + 41863.50ns INFO [00041865] * RD COMPARE * port=1 adr=07 act=6BFF0E742CC10BA08F exp=6BFF0E742CC10BA08F + 41863.50ns INFO [00041865] Port=0 RD @05 + 41863.50ns INFO [00041865] Port=1 RD @04 + 41864.50ns INFO [00041866] * RD COMPARE * port=1 adr=05 act=5F30CC9E3E21D8CD41 exp=5F30CC9E3E21D8CD41 + 41864.50ns INFO [00041866] Port=0 RD @02 + 41864.50ns INFO [00041866] Port=1 RD @03 + 41865.50ns INFO [00041867] * RD COMPARE * port=0 adr=05 act=5F30CC9E3E21D8CD41 exp=5F30CC9E3E21D8CD41 + 41865.50ns INFO [00041867] * RD COMPARE * port=1 adr=04 act=3A80656D1587D8B31F exp=3A80656D1587D8B31F + 41865.50ns INFO [00041867] Port=0 WR @01=2C8E12E893EAEF6211 + 41866.50ns INFO [00041868] * RD COMPARE * port=0 adr=02 act=98F7483FC67F259D15 exp=98F7483FC67F259D15 + 41866.50ns INFO [00041868] * RD COMPARE * port=1 adr=03 act=40C9DA31AE61A3055C exp=40C9DA31AE61A3055C + 41866.50ns INFO [00041868] Port=0 WR @04=626192070FA3B3346B + 41866.50ns INFO [00041868] Port=0 RD @02 + 41867.50ns INFO [00041869] Port=1 RD @07 + 41868.50ns INFO [00041870] * RD COMPARE * port=0 adr=02 act=98F7483FC67F259D15 exp=98F7483FC67F259D15 + 41868.50ns INFO [00041870] Port=0 RD @00 + 41869.50ns INFO [00041871] * RD COMPARE * port=1 adr=07 act=6BFF0E742CC10BA08F exp=6BFF0E742CC10BA08F + 41870.50ns INFO [00041872] * RD COMPARE * port=0 adr=00 act=95FF65732AD117E423 exp=95FF65732AD117E423 + 41870.50ns INFO [00041872] Port=1 RD @07 + 41871.50ns INFO [00041873] Port=0 RD @07 + 41872.50ns INFO [00041874] * RD COMPARE * port=1 adr=07 act=6BFF0E742CC10BA08F exp=6BFF0E742CC10BA08F + 41873.50ns INFO [00041875] * RD COMPARE * port=0 adr=07 act=6BFF0E742CC10BA08F exp=6BFF0E742CC10BA08F + 41873.50ns INFO [00041875] Port=0 WR @02=C01E10D6DD8B86D270 + 41875.50ns INFO [00041877] Port=0 WR @03=D16ED63859B1A44725 + 41875.50ns INFO [00041877] Port=1 RD @05 + 41877.50ns INFO [00041879] * RD COMPARE * port=1 adr=05 act=5F30CC9E3E21D8CD41 exp=5F30CC9E3E21D8CD41 + 41877.50ns INFO [00041879] Port=0 RD @00 + 41878.50ns INFO [00041880] Port=0 WR @01=86714157AFEE2BD885 + 41879.50ns INFO [00041881] * RD COMPARE * port=0 adr=00 act=95FF65732AD117E423 exp=95FF65732AD117E423 + 41879.50ns INFO [00041881] Port=1 RD @05 + 41880.50ns INFO [00041882] Port=0 WR @05=BA6F1BE93481D7F027 + 41880.50ns INFO [00041882] Port=1 RD @03 + 41881.50ns INFO [00041883] * RD COMPARE * port=1 adr=05 act=5F30CC9E3E21D8CD41 exp=5F30CC9E3E21D8CD41 + 41882.50ns INFO [00041884] * RD COMPARE * port=1 adr=03 act=D16ED63859B1A44725 exp=D16ED63859B1A44725 + 41882.50ns INFO [00041884] Port=1 RD @03 + 41883.50ns INFO [00041885] Port=0 WR @03=CA4AC01BD2A3420CDC + 41884.50ns INFO [00041886] * RD COMPARE * port=1 adr=03 act=D16ED63859B1A44725 exp=D16ED63859B1A44725 + 41884.50ns INFO [00041886] Port=1 RD @00 + 41885.50ns INFO [00041887] Port=0 WR @02=53C93C4018F1287266 + 41885.50ns INFO [00041887] Port=0 RD @07 + 41886.50ns INFO [00041888] * RD COMPARE * port=1 adr=00 act=95FF65732AD117E423 exp=95FF65732AD117E423 + 41886.50ns INFO [00041888] Port=0 WR @07=896777E7110A155CD5 + 41887.50ns INFO [00041889] * RD COMPARE * port=0 adr=07 act=6BFF0E742CC10BA08F exp=6BFF0E742CC10BA08F + 41887.50ns INFO [00041889] Port=0 RD @03 + 41887.50ns INFO [00041889] Port=1 RD @04 + 41888.50ns INFO [00041890] Port=0 WR @00=7C0F64018579989FF6 + 41888.50ns INFO [00041890] Port=0 RD @03 + 41888.50ns INFO [00041890] Port=1 RD @05 + 41889.50ns INFO [00041891] * RD COMPARE * port=0 adr=03 act=CA4AC01BD2A3420CDC exp=CA4AC01BD2A3420CDC + 41889.50ns INFO [00041891] * RD COMPARE * port=1 adr=04 act=626192070FA3B3346B exp=626192070FA3B3346B + 41889.50ns INFO [00041891] Port=1 RD @02 + 41890.50ns INFO [00041892] * RD COMPARE * port=0 adr=03 act=CA4AC01BD2A3420CDC exp=CA4AC01BD2A3420CDC + 41890.50ns INFO [00041892] * RD COMPARE * port=1 adr=05 act=BA6F1BE93481D7F027 exp=BA6F1BE93481D7F027 + 41890.50ns INFO [00041892] Port=0 WR @05=8DD14D03D0E36731FD + 41890.50ns INFO [00041892] Port=1 RD @06 + 41891.50ns INFO [00041893] * RD COMPARE * port=1 adr=02 act=53C93C4018F1287266 exp=53C93C4018F1287266 + 41891.50ns INFO [00041893] Port=0 RD @03 + 41892.50ns INFO [00041894] * RD COMPARE * port=1 adr=06 act=591BA5AD926E03864C exp=591BA5AD926E03864C + 41893.50ns INFO [00041895] * RD COMPARE * port=0 adr=03 act=CA4AC01BD2A3420CDC exp=CA4AC01BD2A3420CDC + 41893.50ns INFO [00041895] Port=0 WR @01=85B2C2E46BF5F7DA2A + 41893.50ns INFO [00041895] Port=0 RD @00 + 41893.50ns INFO [00041895] Port=1 RD @02 + 41894.50ns INFO [00041896] Port=0 RD @04 + 41894.50ns INFO [00041896] Port=1 RD @03 + 41895.50ns INFO [00041897] * RD COMPARE * port=0 adr=00 act=7C0F64018579989FF6 exp=7C0F64018579989FF6 + 41895.50ns INFO [00041897] * RD COMPARE * port=1 adr=02 act=53C93C4018F1287266 exp=53C93C4018F1287266 + 41895.50ns INFO [00041897] Port=0 WR @01=ED85255DB675D6B05D + 41895.50ns INFO [00041897] Port=1 RD @04 + 41896.50ns INFO [00041898] * RD COMPARE * port=0 adr=04 act=626192070FA3B3346B exp=626192070FA3B3346B + 41896.50ns INFO [00041898] * RD COMPARE * port=1 adr=03 act=CA4AC01BD2A3420CDC exp=CA4AC01BD2A3420CDC + 41897.50ns INFO [00041899] * RD COMPARE * port=1 adr=04 act=626192070FA3B3346B exp=626192070FA3B3346B + 41898.00ns INFO [00041900] [00041900] ...tick... + 41899.50ns INFO [00041901] Port=0 WR @00=BFB774AC0447B46568 + 41899.50ns INFO [00041901] Port=1 RD @03 + 41900.50ns INFO [00041902] Port=1 RD @04 + 41901.50ns INFO [00041903] * RD COMPARE * port=1 adr=03 act=CA4AC01BD2A3420CDC exp=CA4AC01BD2A3420CDC + 41901.50ns INFO [00041903] Port=0 WR @07=AE7E350ACA886C5892 + 41901.50ns INFO [00041903] Port=0 RD @04 + 41901.50ns INFO [00041903] Port=1 RD @04 + 41902.50ns INFO [00041904] * RD COMPARE * port=1 adr=04 act=626192070FA3B3346B exp=626192070FA3B3346B + 41902.50ns INFO [00041904] Port=0 RD @01 + 41903.50ns INFO [00041905] * RD COMPARE * port=0 adr=04 act=626192070FA3B3346B exp=626192070FA3B3346B + 41903.50ns INFO [00041905] * RD COMPARE * port=1 adr=04 act=626192070FA3B3346B exp=626192070FA3B3346B + 41904.50ns INFO [00041906] * RD COMPARE * port=0 adr=01 act=ED85255DB675D6B05D exp=ED85255DB675D6B05D + 41904.50ns INFO [00041906] Port=0 RD @00 + 41905.50ns INFO [00041907] Port=0 WR @02=E748E4C47B79DCAE76 + 41906.50ns INFO [00041908] * RD COMPARE * port=0 adr=00 act=BFB774AC0447B46568 exp=BFB774AC0447B46568 + 41906.50ns INFO [00041908] Port=0 WR @03=97076B9C4B666CE224 + 41906.50ns INFO [00041908] Port=0 RD @02 + 41906.50ns INFO [00041908] Port=1 RD @06 + 41908.50ns INFO [00041910] * RD COMPARE * port=0 adr=02 act=E748E4C47B79DCAE76 exp=E748E4C47B79DCAE76 + 41908.50ns INFO [00041910] * RD COMPARE * port=1 adr=06 act=591BA5AD926E03864C exp=591BA5AD926E03864C + 41908.50ns INFO [00041910] Port=0 WR @01=1D8923494CAFB96679 + 41908.50ns INFO [00041910] Port=1 RD @03 + 41910.50ns INFO [00041912] * RD COMPARE * port=1 adr=03 act=97076B9C4B666CE224 exp=97076B9C4B666CE224 + 41910.50ns INFO [00041912] Port=1 RD @04 + 41911.50ns INFO [00041913] Port=1 RD @03 + 41912.50ns INFO [00041914] * RD COMPARE * port=1 adr=04 act=626192070FA3B3346B exp=626192070FA3B3346B + 41912.50ns INFO [00041914] Port=0 RD @00 + 41913.50ns INFO [00041915] * RD COMPARE * port=1 adr=03 act=97076B9C4B666CE224 exp=97076B9C4B666CE224 + 41914.50ns INFO [00041916] * RD COMPARE * port=0 adr=00 act=BFB774AC0447B46568 exp=BFB774AC0447B46568 + 41914.50ns INFO [00041916] Port=0 RD @04 + 41916.50ns INFO [00041918] * RD COMPARE * port=0 adr=04 act=626192070FA3B3346B exp=626192070FA3B3346B + 41916.50ns INFO [00041918] Port=0 WR @03=E18C953CE25CDDE008 + 41916.50ns INFO [00041918] Port=0 RD @01 + 41916.50ns INFO [00041918] Port=1 RD @00 + 41918.50ns INFO [00041920] * RD COMPARE * port=0 adr=01 act=1D8923494CAFB96679 exp=1D8923494CAFB96679 + 41918.50ns INFO [00041920] * RD COMPARE * port=1 adr=00 act=BFB774AC0447B46568 exp=BFB774AC0447B46568 + 41918.50ns INFO [00041920] Port=0 WR @04=896B65CF62CEAF5EA7 + 41918.50ns INFO [00041920] Port=0 RD @05 + 41919.50ns INFO [00041921] Port=1 RD @00 + 41920.50ns INFO [00041922] * RD COMPARE * port=0 adr=05 act=8DD14D03D0E36731FD exp=8DD14D03D0E36731FD + 41920.50ns INFO [00041922] Port=0 WR @04=4341A3894A5EF487C4 + 41920.50ns INFO [00041922] Port=0 RD @03 + 41920.50ns INFO [00041922] Port=1 RD @00 + 41921.50ns INFO [00041923] * RD COMPARE * port=1 adr=00 act=BFB774AC0447B46568 exp=BFB774AC0447B46568 + 41922.50ns INFO [00041924] * RD COMPARE * port=0 adr=03 act=E18C953CE25CDDE008 exp=E18C953CE25CDDE008 + 41922.50ns INFO [00041924] * RD COMPARE * port=1 adr=00 act=BFB774AC0447B46568 exp=BFB774AC0447B46568 + 41922.50ns INFO [00041924] Port=0 WR @00=DC696D1AE6AA02B5F8 + 41922.50ns INFO [00041924] Port=0 RD @04 + 41924.50ns INFO [00041926] * RD COMPARE * port=0 adr=04 act=4341A3894A5EF487C4 exp=4341A3894A5EF487C4 + 41924.50ns INFO [00041926] Port=1 RD @03 + 41926.50ns INFO [00041928] * RD COMPARE * port=1 adr=03 act=E18C953CE25CDDE008 exp=E18C953CE25CDDE008 + 41927.50ns INFO [00041929] Port=0 RD @02 + 41928.50ns INFO [00041930] Port=1 RD @03 + 41929.50ns INFO [00041931] * RD COMPARE * port=0 adr=02 act=E748E4C47B79DCAE76 exp=E748E4C47B79DCAE76 + 41929.50ns INFO [00041931] Port=0 WR @05=389A789049C27753C5 + 41929.50ns INFO [00041931] Port=1 RD @01 + 41930.50ns INFO [00041932] * RD COMPARE * port=1 adr=03 act=E18C953CE25CDDE008 exp=E18C953CE25CDDE008 + 41930.50ns INFO [00041932] Port=0 RD @00 + 41931.50ns INFO [00041933] * RD COMPARE * port=1 adr=01 act=1D8923494CAFB96679 exp=1D8923494CAFB96679 + 41931.50ns INFO [00041933] Port=1 RD @07 + 41932.50ns INFO [00041934] * RD COMPARE * port=0 adr=00 act=DC696D1AE6AA02B5F8 exp=DC696D1AE6AA02B5F8 + 41932.50ns INFO [00041934] Port=0 WR @05=7D7DFB78DE21DC7ACF + 41932.50ns INFO [00041934] Port=1 RD @04 + 41933.50ns INFO [00041935] * RD COMPARE * port=1 adr=07 act=AE7E350ACA886C5892 exp=AE7E350ACA886C5892 + 41933.50ns INFO [00041935] Port=0 WR @02=02DAEAA78D59689C0C + 41933.50ns INFO [00041935] Port=0 RD @01 + 41933.50ns INFO [00041935] Port=1 RD @01 + 41934.50ns INFO [00041936] * RD COMPARE * port=1 adr=04 act=4341A3894A5EF487C4 exp=4341A3894A5EF487C4 + 41934.50ns INFO [00041936] Port=0 RD @06 + 41934.50ns INFO [00041936] Port=1 RD @00 + 41935.50ns INFO [00041937] * RD COMPARE * port=0 adr=01 act=1D8923494CAFB96679 exp=1D8923494CAFB96679 + 41935.50ns INFO [00041937] * RD COMPARE * port=1 adr=01 act=1D8923494CAFB96679 exp=1D8923494CAFB96679 + 41935.50ns INFO [00041937] Port=1 RD @05 + 41936.50ns INFO [00041938] * RD COMPARE * port=0 adr=06 act=591BA5AD926E03864C exp=591BA5AD926E03864C + 41936.50ns INFO [00041938] * RD COMPARE * port=1 adr=00 act=DC696D1AE6AA02B5F8 exp=DC696D1AE6AA02B5F8 + 41936.50ns INFO [00041938] Port=0 WR @05=65E4FA02D14808C8F0 + 41937.50ns INFO [00041939] * RD COMPARE * port=1 adr=05 act=7D7DFB78DE21DC7ACF exp=7D7DFB78DE21DC7ACF + 41937.50ns INFO [00041939] Port=0 RD @03 + 41937.50ns INFO [00041939] Port=1 RD @01 + 41938.50ns INFO [00041940] Port=0 WR @05=08E561E4F80981D4A2 + 41938.50ns INFO [00041940] Port=1 RD @00 + 41939.50ns INFO [00041941] * RD COMPARE * port=0 adr=03 act=E18C953CE25CDDE008 exp=E18C953CE25CDDE008 + 41939.50ns INFO [00041941] * RD COMPARE * port=1 adr=01 act=1D8923494CAFB96679 exp=1D8923494CAFB96679 + 41939.50ns INFO [00041941] Port=0 WR @06=DAFF1AE2E708D27A3D + 41939.50ns INFO [00041941] Port=1 RD @07 + 41940.50ns INFO [00041942] * RD COMPARE * port=1 adr=00 act=DC696D1AE6AA02B5F8 exp=DC696D1AE6AA02B5F8 + 41940.50ns INFO [00041942] Port=0 WR @01=81E14FBBE58545D4EC + 41940.50ns INFO [00041942] Port=1 RD @02 + 41941.50ns INFO [00041943] * RD COMPARE * port=1 adr=07 act=AE7E350ACA886C5892 exp=AE7E350ACA886C5892 + 41941.50ns INFO [00041943] Port=0 WR @04=B2EB06943AFBCEEC62 + 41941.50ns INFO [00041943] Port=0 RD @02 + 41941.50ns INFO [00041943] Port=1 RD @07 + 41942.50ns INFO [00041944] * RD COMPARE * port=1 adr=02 act=02DAEAA78D59689C0C exp=02DAEAA78D59689C0C + 41943.50ns INFO [00041945] * RD COMPARE * port=0 adr=02 act=02DAEAA78D59689C0C exp=02DAEAA78D59689C0C + 41943.50ns INFO [00041945] * RD COMPARE * port=1 adr=07 act=AE7E350ACA886C5892 exp=AE7E350ACA886C5892 + 41943.50ns INFO [00041945] Port=0 WR @05=5F5C311F4F7A66D427 + 41943.50ns INFO [00041945] Port=1 RD @04 + 41944.50ns INFO [00041946] Port=0 WR @04=2B4B7AF8F19DD20FE0 + 41944.50ns INFO [00041946] Port=1 RD @00 + 41945.50ns INFO [00041947] * RD COMPARE * port=1 adr=04 act=B2EB06943AFBCEEC62 exp=B2EB06943AFBCEEC62 + 41945.50ns INFO [00041947] Port=0 RD @02 + 41946.50ns INFO [00041948] * RD COMPARE * port=1 adr=00 act=DC696D1AE6AA02B5F8 exp=DC696D1AE6AA02B5F8 + 41946.50ns INFO [00041948] Port=1 RD @05 + 41947.50ns INFO [00041949] * RD COMPARE * port=0 adr=02 act=02DAEAA78D59689C0C exp=02DAEAA78D59689C0C + 41947.50ns INFO [00041949] Port=0 RD @00 + 41948.50ns INFO [00041950] * RD COMPARE * port=1 adr=05 act=5F5C311F4F7A66D427 exp=5F5C311F4F7A66D427 + 41948.50ns INFO [00041950] Port=0 RD @03 + 41948.50ns INFO [00041950] Port=1 RD @06 + 41949.50ns INFO [00041951] * RD COMPARE * port=0 adr=00 act=DC696D1AE6AA02B5F8 exp=DC696D1AE6AA02B5F8 + 41949.50ns INFO [00041951] Port=0 WR @02=08D46FF2BAB13E5111 + 41949.50ns INFO [00041951] Port=0 RD @00 + 41950.50ns INFO [00041952] * RD COMPARE * port=0 adr=03 act=E18C953CE25CDDE008 exp=E18C953CE25CDDE008 + 41950.50ns INFO [00041952] * RD COMPARE * port=1 adr=06 act=DAFF1AE2E708D27A3D exp=DAFF1AE2E708D27A3D + 41951.50ns INFO [00041953] * RD COMPARE * port=0 adr=00 act=DC696D1AE6AA02B5F8 exp=DC696D1AE6AA02B5F8 + 41951.50ns INFO [00041953] Port=0 RD @00 + 41951.50ns INFO [00041953] Port=1 RD @00 + 41952.50ns INFO [00041954] Port=0 WR @00=2EDE8031629789C5A1 + 41952.50ns INFO [00041954] Port=1 RD @06 + 41953.50ns INFO [00041955] * RD COMPARE * port=0 adr=00 act=DC696D1AE6AA02B5F8 exp=DC696D1AE6AA02B5F8 + 41953.50ns INFO [00041955] * RD COMPARE * port=1 adr=00 act=DC696D1AE6AA02B5F8 exp=DC696D1AE6AA02B5F8 + 41953.50ns INFO [00041955] Port=0 RD @02 + 41953.50ns INFO [00041955] Port=1 RD @05 + 41954.50ns INFO [00041956] * RD COMPARE * port=1 adr=06 act=DAFF1AE2E708D27A3D exp=DAFF1AE2E708D27A3D + 41954.50ns INFO [00041956] Port=0 WR @01=A5CEE6B0F92E365726 + 41954.50ns INFO [00041956] Port=0 RD @03 + 41954.50ns INFO [00041956] Port=1 RD @05 + 41955.50ns INFO [00041957] * RD COMPARE * port=0 adr=02 act=08D46FF2BAB13E5111 exp=08D46FF2BAB13E5111 + 41955.50ns INFO [00041957] * RD COMPARE * port=1 adr=05 act=5F5C311F4F7A66D427 exp=5F5C311F4F7A66D427 + 41955.50ns INFO [00041957] Port=0 WR @02=FA9F9029870B56BE48 + 41955.50ns INFO [00041957] Port=0 RD @06 + 41956.50ns INFO [00041958] * RD COMPARE * port=0 adr=03 act=E18C953CE25CDDE008 exp=E18C953CE25CDDE008 + 41956.50ns INFO [00041958] * RD COMPARE * port=1 adr=05 act=5F5C311F4F7A66D427 exp=5F5C311F4F7A66D427 + 41956.50ns INFO [00041958] Port=1 RD @05 + 41957.50ns INFO [00041959] * RD COMPARE * port=0 adr=06 act=DAFF1AE2E708D27A3D exp=DAFF1AE2E708D27A3D + 41957.50ns INFO [00041959] Port=0 RD @03 + 41958.50ns INFO [00041960] * RD COMPARE * port=1 adr=05 act=5F5C311F4F7A66D427 exp=5F5C311F4F7A66D427 + 41959.50ns INFO [00041961] * RD COMPARE * port=0 adr=03 act=E18C953CE25CDDE008 exp=E18C953CE25CDDE008 + 41959.50ns INFO [00041961] Port=0 WR @03=0115796F0B90BFF3D9 + 41961.50ns INFO [00041963] Port=1 RD @00 + 41963.50ns INFO [00041965] * RD COMPARE * port=1 adr=00 act=2EDE8031629789C5A1 exp=2EDE8031629789C5A1 + 41963.50ns INFO [00041965] Port=0 WR @00=9072D3A0F613A95889 + 41963.50ns INFO [00041965] Port=0 RD @01 + 41964.50ns INFO [00041966] Port=1 RD @01 + 41965.50ns INFO [00041967] * RD COMPARE * port=0 adr=01 act=A5CEE6B0F92E365726 exp=A5CEE6B0F92E365726 + 41966.50ns INFO [00041968] * RD COMPARE * port=1 adr=01 act=A5CEE6B0F92E365726 exp=A5CEE6B0F92E365726 + 41966.50ns INFO [00041968] Port=1 RD @00 + 41967.50ns INFO [00041969] Port=1 RD @06 + 41968.50ns INFO [00041970] * RD COMPARE * port=1 adr=00 act=9072D3A0F613A95889 exp=9072D3A0F613A95889 + 41969.50ns INFO [00041971] * RD COMPARE * port=1 adr=06 act=DAFF1AE2E708D27A3D exp=DAFF1AE2E708D27A3D + 41971.50ns INFO [00041973] Port=1 RD @06 + 41972.50ns INFO [00041974] Port=0 WR @03=5523E0E3454B748F6A + 41972.50ns INFO [00041974] Port=0 RD @00 + 41973.50ns INFO [00041975] * RD COMPARE * port=1 adr=06 act=DAFF1AE2E708D27A3D exp=DAFF1AE2E708D27A3D + 41973.50ns INFO [00041975] Port=0 WR @04=BD71A52BE76C24E375 + 41973.50ns INFO [00041975] Port=0 RD @00 + 41974.50ns INFO [00041976] * RD COMPARE * port=0 adr=00 act=9072D3A0F613A95889 exp=9072D3A0F613A95889 + 41974.50ns INFO [00041976] Port=0 WR @07=4C30D9943DE5098FB6 + 41974.50ns INFO [00041976] Port=1 RD @01 + 41975.50ns INFO [00041977] * RD COMPARE * port=0 adr=00 act=9072D3A0F613A95889 exp=9072D3A0F613A95889 + 41975.50ns INFO [00041977] Port=0 WR @07=CB2CDEC8C91BE9614E + 41975.50ns INFO [00041977] Port=0 RD @04 + 41976.50ns INFO [00041978] * RD COMPARE * port=1 adr=01 act=A5CEE6B0F92E365726 exp=A5CEE6B0F92E365726 + 41976.50ns INFO [00041978] Port=0 WR @01=07B29DFB194743BFEB + 41976.50ns INFO [00041978] Port=0 RD @04 + 41976.50ns INFO [00041978] Port=1 RD @00 + 41977.50ns INFO [00041979] * RD COMPARE * port=0 adr=04 act=BD71A52BE76C24E375 exp=BD71A52BE76C24E375 + 41977.50ns INFO [00041979] Port=0 WR @07=1017E1BC5B2727B361 + 41978.50ns INFO [00041980] * RD COMPARE * port=0 adr=04 act=BD71A52BE76C24E375 exp=BD71A52BE76C24E375 + 41978.50ns INFO [00041980] * RD COMPARE * port=1 adr=00 act=9072D3A0F613A95889 exp=9072D3A0F613A95889 + 41978.50ns INFO [00041980] Port=0 WR @02=86604583AECDFBAD3C + 41978.50ns INFO [00041980] Port=1 RD @07 + 41979.50ns INFO [00041981] Port=1 RD @07 + 41980.50ns INFO [00041982] * RD COMPARE * port=1 adr=07 act=1017E1BC5B2727B361 exp=1017E1BC5B2727B361 + 41980.50ns INFO [00041982] Port=0 RD @00 + 41980.50ns INFO [00041982] Port=1 RD @01 + 41981.50ns INFO [00041983] * RD COMPARE * port=1 adr=07 act=1017E1BC5B2727B361 exp=1017E1BC5B2727B361 + 41982.50ns INFO [00041984] * RD COMPARE * port=0 adr=00 act=9072D3A0F613A95889 exp=9072D3A0F613A95889 + 41982.50ns INFO [00041984] * RD COMPARE * port=1 adr=01 act=07B29DFB194743BFEB exp=07B29DFB194743BFEB + 41982.50ns INFO [00041984] Port=1 RD @04 + 41983.50ns INFO [00041985] Port=0 WR @05=A271AB598B5F15D05C + 41983.50ns INFO [00041985] Port=1 RD @03 + 41984.50ns INFO [00041986] * RD COMPARE * port=1 adr=04 act=BD71A52BE76C24E375 exp=BD71A52BE76C24E375 + 41984.50ns INFO [00041986] Port=1 RD @01 + 41985.50ns INFO [00041987] * RD COMPARE * port=1 adr=03 act=5523E0E3454B748F6A exp=5523E0E3454B748F6A + 41985.50ns INFO [00041987] Port=0 WR @01=CFFA1F01526A94F0D4 + 41985.50ns INFO [00041987] Port=0 RD @03 + 41986.50ns INFO [00041988] * RD COMPARE * port=1 adr=01 act=07B29DFB194743BFEB exp=07B29DFB194743BFEB + 41986.50ns INFO [00041988] Port=0 RD @03 + 41986.50ns INFO [00041988] Port=1 RD @05 + 41987.50ns INFO [00041989] * RD COMPARE * port=0 adr=03 act=5523E0E3454B748F6A exp=5523E0E3454B748F6A + 41987.50ns INFO [00041989] Port=0 RD @02 + 41988.50ns INFO [00041990] * RD COMPARE * port=0 adr=03 act=5523E0E3454B748F6A exp=5523E0E3454B748F6A + 41988.50ns INFO [00041990] * RD COMPARE * port=1 adr=05 act=A271AB598B5F15D05C exp=A271AB598B5F15D05C + 41988.50ns INFO [00041990] Port=1 RD @06 + 41989.50ns INFO [00041991] * RD COMPARE * port=0 adr=02 act=86604583AECDFBAD3C exp=86604583AECDFBAD3C + 41989.50ns INFO [00041991] Port=0 RD @03 + 41990.50ns INFO [00041992] * RD COMPARE * port=1 adr=06 act=DAFF1AE2E708D27A3D exp=DAFF1AE2E708D27A3D + 41990.50ns INFO [00041992] Port=0 RD @07 + 41990.50ns INFO [00041992] Port=1 RD @07 + 41991.50ns INFO [00041993] * RD COMPARE * port=0 adr=03 act=5523E0E3454B748F6A exp=5523E0E3454B748F6A + 41991.50ns INFO [00041993] Port=0 WR @03=5322198D00FBB0506E + 41992.50ns INFO [00041994] * RD COMPARE * port=0 adr=07 act=1017E1BC5B2727B361 exp=1017E1BC5B2727B361 + 41992.50ns INFO [00041994] * RD COMPARE * port=1 adr=07 act=1017E1BC5B2727B361 exp=1017E1BC5B2727B361 + 41992.50ns INFO [00041994] Port=0 RD @03 + 41992.50ns INFO [00041994] Port=1 RD @03 + 41994.50ns INFO [00041996] * RD COMPARE * port=0 adr=03 act=5322198D00FBB0506E exp=5322198D00FBB0506E + 41994.50ns INFO [00041996] * RD COMPARE * port=1 adr=03 act=5322198D00FBB0506E exp=5322198D00FBB0506E + 41994.50ns INFO [00041996] Port=0 WR @05=99345A5366B3B234E1 + 41994.50ns INFO [00041996] Port=0 RD @01 + 41996.50ns INFO [00041998] * RD COMPARE * port=0 adr=01 act=CFFA1F01526A94F0D4 exp=CFFA1F01526A94F0D4 + 41996.50ns INFO [00041998] Port=0 RD @04 + 41997.50ns INFO [00041999] Port=0 RD @07 + 41998.00ns INFO [00042000] [00042000] ...tick... + 41998.50ns INFO [00042000] * RD COMPARE * port=0 adr=04 act=BD71A52BE76C24E375 exp=BD71A52BE76C24E375 + 41998.50ns INFO [00042000] Port=1 RD @00 + 41999.50ns INFO [00042001] * RD COMPARE * port=0 adr=07 act=1017E1BC5B2727B361 exp=1017E1BC5B2727B361 + 41999.50ns INFO [00042001] Port=1 RD @07 + 42000.50ns INFO [00042002] * RD COMPARE * port=1 adr=00 act=9072D3A0F613A95889 exp=9072D3A0F613A95889 + 42000.50ns INFO [00042002] Port=1 RD @01 + 42001.50ns INFO [00042003] * RD COMPARE * port=1 adr=07 act=1017E1BC5B2727B361 exp=1017E1BC5B2727B361 + 42002.50ns INFO [00042004] * RD COMPARE * port=1 adr=01 act=CFFA1F01526A94F0D4 exp=CFFA1F01526A94F0D4 + 42003.50ns INFO [00042005] Port=0 RD @02 + 42004.50ns INFO [00042006] Port=0 WR @04=C31C0E4AD4112A1ED4 + 42004.50ns INFO [00042006] Port=1 RD @07 + 42005.50ns INFO [00042007] * RD COMPARE * port=0 adr=02 act=86604583AECDFBAD3C exp=86604583AECDFBAD3C + 42006.50ns INFO [00042008] * RD COMPARE * port=1 adr=07 act=1017E1BC5B2727B361 exp=1017E1BC5B2727B361 + 42006.50ns INFO [00042008] Port=0 WR @06=F9081D1A8B93A86EDB + 42006.50ns INFO [00042008] Port=1 RD @01 + 42008.50ns INFO [00042010] * RD COMPARE * port=1 adr=01 act=CFFA1F01526A94F0D4 exp=CFFA1F01526A94F0D4 + 42009.50ns INFO [00042011] Port=0 WR @00=1F226D9D0DB5074C87 + 42010.50ns INFO [00042012] Port=0 WR @07=9EE2CDEC5701CCDE58 + 42012.50ns INFO [00042014] Port=0 WR @06=3D059D8023160EF336 + 42012.50ns INFO [00042014] Port=0 RD @07 + 42013.50ns INFO [00042015] Port=0 RD @03 + 42014.50ns INFO [00042016] * RD COMPARE * port=0 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42014.50ns INFO [00042016] Port=0 WR @00=683F8CBE33C14DEB60 + 42015.50ns INFO [00042017] * RD COMPARE * port=0 adr=03 act=5322198D00FBB0506E exp=5322198D00FBB0506E + 42015.50ns INFO [00042017] Port=0 WR @04=7D216758B9D476D1C6 + 42015.50ns INFO [00042017] Port=0 RD @05 + 42016.50ns INFO [00042018] Port=0 WR @05=6A1C8BF887FD13BAA8 + 42016.50ns INFO [00042018] Port=1 RD @04 + 42017.50ns INFO [00042019] * RD COMPARE * port=0 adr=05 act=99345A5366B3B234E1 exp=99345A5366B3B234E1 + 42017.50ns INFO [00042019] Port=0 WR @03=F59D100A808CC91AA8 + 42018.50ns INFO [00042020] * RD COMPARE * port=1 adr=04 act=7D216758B9D476D1C6 exp=7D216758B9D476D1C6 + 42018.50ns INFO [00042020] Port=0 WR @04=757F746CDDF066C716 + 42018.50ns INFO [00042020] Port=0 RD @02 + 42019.50ns INFO [00042021] Port=0 RD @02 + 42019.50ns INFO [00042021] Port=1 RD @02 + 42020.50ns INFO [00042022] * RD COMPARE * port=0 adr=02 act=86604583AECDFBAD3C exp=86604583AECDFBAD3C + 42020.50ns INFO [00042022] Port=0 RD @03 + 42021.50ns INFO [00042023] * RD COMPARE * port=0 adr=02 act=86604583AECDFBAD3C exp=86604583AECDFBAD3C + 42021.50ns INFO [00042023] * RD COMPARE * port=1 adr=02 act=86604583AECDFBAD3C exp=86604583AECDFBAD3C + 42022.50ns INFO [00042024] * RD COMPARE * port=0 adr=03 act=F59D100A808CC91AA8 exp=F59D100A808CC91AA8 + 42022.50ns INFO [00042024] Port=0 RD @06 + 42023.50ns INFO [00042025] Port=0 RD @01 + 42024.50ns INFO [00042026] * RD COMPARE * port=0 adr=06 act=3D059D8023160EF336 exp=3D059D8023160EF336 + 42024.50ns INFO [00042026] Port=1 RD @02 + 42025.50ns INFO [00042027] * RD COMPARE * port=0 adr=01 act=CFFA1F01526A94F0D4 exp=CFFA1F01526A94F0D4 + 42026.50ns INFO [00042028] * RD COMPARE * port=1 adr=02 act=86604583AECDFBAD3C exp=86604583AECDFBAD3C + 42026.50ns INFO [00042028] Port=0 WR @02=EB70B87E79BCC68952 + 42026.50ns INFO [00042028] Port=0 RD @07 + 42026.50ns INFO [00042028] Port=1 RD @05 + 42028.50ns INFO [00042030] * RD COMPARE * port=0 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42028.50ns INFO [00042030] * RD COMPARE * port=1 adr=05 act=6A1C8BF887FD13BAA8 exp=6A1C8BF887FD13BAA8 + 42028.50ns INFO [00042030] Port=0 RD @07 + 42029.50ns INFO [00042031] Port=1 RD @06 + 42030.50ns INFO [00042032] * RD COMPARE * port=0 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42030.50ns INFO [00042032] Port=1 RD @07 + 42031.50ns INFO [00042033] * RD COMPARE * port=1 adr=06 act=3D059D8023160EF336 exp=3D059D8023160EF336 + 42031.50ns INFO [00042033] Port=0 WR @02=93236B3E45C9574D59 + 42032.50ns INFO [00042034] * RD COMPARE * port=1 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42032.50ns INFO [00042034] Port=1 RD @07 + 42034.50ns INFO [00042036] * RD COMPARE * port=1 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42034.50ns INFO [00042036] Port=0 WR @02=C9FA01CBEDD0B14531 + 42035.50ns INFO [00042037] Port=0 WR @00=287C698BB2A9D572A7 + 42036.50ns INFO [00042038] Port=0 RD @00 + 42037.50ns INFO [00042039] Port=1 RD @07 + 42038.50ns INFO [00042040] * RD COMPARE * port=0 adr=00 act=287C698BB2A9D572A7 exp=287C698BB2A9D572A7 + 42038.50ns INFO [00042040] Port=1 RD @04 + 42039.50ns INFO [00042041] * RD COMPARE * port=1 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42039.50ns INFO [00042041] Port=1 RD @03 + 42040.50ns INFO [00042042] * RD COMPARE * port=1 adr=04 act=757F746CDDF066C716 exp=757F746CDDF066C716 + 42041.50ns INFO [00042043] * RD COMPARE * port=1 adr=03 act=F59D100A808CC91AA8 exp=F59D100A808CC91AA8 + 42041.50ns INFO [00042043] Port=0 WR @05=389D0B75BEAF7DA6E7 + 42041.50ns INFO [00042043] Port=0 RD @07 + 42042.50ns INFO [00042044] Port=0 RD @02 + 42043.50ns INFO [00042045] * RD COMPARE * port=0 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42043.50ns INFO [00042045] Port=0 WR @04=17D51DF75BF4F1ED27 + 42043.50ns INFO [00042045] Port=1 RD @00 + 42044.50ns INFO [00042046] * RD COMPARE * port=0 adr=02 act=C9FA01CBEDD0B14531 exp=C9FA01CBEDD0B14531 + 42045.50ns INFO [00042047] * RD COMPARE * port=1 adr=00 act=287C698BB2A9D572A7 exp=287C698BB2A9D572A7 + 42045.50ns INFO [00042047] Port=0 RD @06 + 42046.50ns INFO [00042048] Port=0 RD @06 + 42046.50ns INFO [00042048] Port=1 RD @06 + 42047.50ns INFO [00042049] * RD COMPARE * port=0 adr=06 act=3D059D8023160EF336 exp=3D059D8023160EF336 + 42047.50ns INFO [00042049] Port=0 WR @01=F21B1F2318DD546153 + 42047.50ns INFO [00042049] Port=0 RD @05 + 42048.50ns INFO [00042050] * RD COMPARE * port=0 adr=06 act=3D059D8023160EF336 exp=3D059D8023160EF336 + 42048.50ns INFO [00042050] * RD COMPARE * port=1 adr=06 act=3D059D8023160EF336 exp=3D059D8023160EF336 + 42049.50ns INFO [00042051] * RD COMPARE * port=0 adr=05 act=389D0B75BEAF7DA6E7 exp=389D0B75BEAF7DA6E7 + 42049.50ns INFO [00042051] Port=0 RD @00 + 42051.50ns INFO [00042053] * RD COMPARE * port=0 adr=00 act=287C698BB2A9D572A7 exp=287C698BB2A9D572A7 + 42052.50ns INFO [00042054] Port=0 RD @01 + 42054.50ns INFO [00042056] * RD COMPARE * port=0 adr=01 act=F21B1F2318DD546153 exp=F21B1F2318DD546153 + 42054.50ns INFO [00042056] Port=0 WR @01=8E346B91ECF6422602 + 42054.50ns INFO [00042056] Port=0 RD @07 + 42054.50ns INFO [00042056] Port=1 RD @05 + 42055.50ns INFO [00042057] Port=1 RD @00 + 42056.50ns INFO [00042058] * RD COMPARE * port=0 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42056.50ns INFO [00042058] * RD COMPARE * port=1 adr=05 act=389D0B75BEAF7DA6E7 exp=389D0B75BEAF7DA6E7 + 42057.50ns INFO [00042059] * RD COMPARE * port=1 adr=00 act=287C698BB2A9D572A7 exp=287C698BB2A9D572A7 + 42057.50ns INFO [00042059] Port=1 RD @07 + 42058.50ns INFO [00042060] Port=1 RD @04 + 42059.50ns INFO [00042061] * RD COMPARE * port=1 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42059.50ns INFO [00042061] Port=0 WR @00=689A7036C22D2BEC6E + 42060.50ns INFO [00042062] * RD COMPARE * port=1 adr=04 act=17D51DF75BF4F1ED27 exp=17D51DF75BF4F1ED27 + 42060.50ns INFO [00042062] Port=0 RD @00 + 42061.50ns INFO [00042063] Port=0 WR @03=A6500DE059BF58B078 + 42062.50ns INFO [00042064] * RD COMPARE * port=0 adr=00 act=689A7036C22D2BEC6E exp=689A7036C22D2BEC6E + 42062.50ns INFO [00042064] Port=0 RD @02 + 42062.50ns INFO [00042064] Port=1 RD @02 + 42063.50ns INFO [00042065] Port=0 WR @06=0BF3B5CD8F142AC118 + 42063.50ns INFO [00042065] Port=1 RD @02 + 42064.50ns INFO [00042066] * RD COMPARE * port=0 adr=02 act=C9FA01CBEDD0B14531 exp=C9FA01CBEDD0B14531 + 42064.50ns INFO [00042066] * RD COMPARE * port=1 adr=02 act=C9FA01CBEDD0B14531 exp=C9FA01CBEDD0B14531 + 42064.50ns INFO [00042066] Port=1 RD @07 + 42065.50ns INFO [00042067] * RD COMPARE * port=1 adr=02 act=C9FA01CBEDD0B14531 exp=C9FA01CBEDD0B14531 + 42065.50ns INFO [00042067] Port=0 WR @05=B3384135C4DD146EDD + 42066.50ns INFO [00042068] * RD COMPARE * port=1 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42066.50ns INFO [00042068] Port=0 WR @01=2CA484281A747D2187 + 42066.50ns INFO [00042068] Port=0 RD @07 + 42068.50ns INFO [00042070] * RD COMPARE * port=0 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42069.50ns INFO [00042071] Port=0 WR @03=48B01EB1463AEA64A4 + 42070.50ns INFO [00042072] Port=0 RD @03 + 42070.50ns INFO [00042072] Port=1 RD @01 + 42071.50ns INFO [00042073] Port=0 RD @01 + 42072.50ns INFO [00042074] * RD COMPARE * port=0 adr=03 act=48B01EB1463AEA64A4 exp=48B01EB1463AEA64A4 + 42072.50ns INFO [00042074] * RD COMPARE * port=1 adr=01 act=2CA484281A747D2187 exp=2CA484281A747D2187 + 42072.50ns INFO [00042074] Port=0 RD @02 + 42072.50ns INFO [00042074] Port=1 RD @07 + 42073.50ns INFO [00042075] * RD COMPARE * port=0 adr=01 act=2CA484281A747D2187 exp=2CA484281A747D2187 + 42073.50ns INFO [00042075] Port=0 WR @05=D16989CBFDF0FC61D0 + 42073.50ns INFO [00042075] Port=0 RD @03 + 42073.50ns INFO [00042075] Port=1 RD @07 + 42074.50ns INFO [00042076] * RD COMPARE * port=0 adr=02 act=C9FA01CBEDD0B14531 exp=C9FA01CBEDD0B14531 + 42074.50ns INFO [00042076] * RD COMPARE * port=1 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42074.50ns INFO [00042076] Port=0 RD @07 + 42075.50ns INFO [00042077] * RD COMPARE * port=0 adr=03 act=48B01EB1463AEA64A4 exp=48B01EB1463AEA64A4 + 42075.50ns INFO [00042077] * RD COMPARE * port=1 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42075.50ns INFO [00042077] Port=1 RD @05 + 42076.50ns INFO [00042078] * RD COMPARE * port=0 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42076.50ns INFO [00042078] Port=0 RD @02 + 42077.50ns INFO [00042079] * RD COMPARE * port=1 adr=05 act=D16989CBFDF0FC61D0 exp=D16989CBFDF0FC61D0 + 42078.50ns INFO [00042080] * RD COMPARE * port=0 adr=02 act=C9FA01CBEDD0B14531 exp=C9FA01CBEDD0B14531 + 42078.50ns INFO [00042080] Port=0 WR @03=EFAD316E732299C671 + 42078.50ns INFO [00042080] Port=0 RD @05 + 42079.50ns INFO [00042081] Port=0 RD @07 + 42079.50ns INFO [00042081] Port=1 RD @04 + 42080.50ns INFO [00042082] * RD COMPARE * port=0 adr=05 act=D16989CBFDF0FC61D0 exp=D16989CBFDF0FC61D0 + 42081.50ns INFO [00042083] * RD COMPARE * port=0 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42081.50ns INFO [00042083] * RD COMPARE * port=1 adr=04 act=17D51DF75BF4F1ED27 exp=17D51DF75BF4F1ED27 + 42081.50ns INFO [00042083] Port=1 RD @05 + 42082.50ns INFO [00042084] Port=0 WR @05=CE99F736DC9A240FD0 + 42082.50ns INFO [00042084] Port=0 RD @07 + 42082.50ns INFO [00042084] Port=1 RD @04 + 42083.50ns INFO [00042085] * RD COMPARE * port=1 adr=05 act=D16989CBFDF0FC61D0 exp=D16989CBFDF0FC61D0 + 42083.50ns INFO [00042085] Port=0 WR @02=1E16732A9182A6EE89 + 42084.50ns INFO [00042086] * RD COMPARE * port=0 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42084.50ns INFO [00042086] * RD COMPARE * port=1 adr=04 act=17D51DF75BF4F1ED27 exp=17D51DF75BF4F1ED27 + 42086.50ns INFO [00042088] Port=0 WR @05=830E12552902D39952 + 42086.50ns INFO [00042088] Port=0 RD @01 + 42087.50ns INFO [00042089] Port=0 WR @03=6A354F5EBAC7AD063E + 42087.50ns INFO [00042089] Port=0 RD @07 + 42087.50ns INFO [00042089] Port=1 RD @02 + 42088.50ns INFO [00042090] * RD COMPARE * port=0 adr=01 act=2CA484281A747D2187 exp=2CA484281A747D2187 + 42088.50ns INFO [00042090] Port=0 WR @00=58A447C5A73CF32FB8 + 42089.50ns INFO [00042091] * RD COMPARE * port=0 adr=07 act=9EE2CDEC5701CCDE58 exp=9EE2CDEC5701CCDE58 + 42089.50ns INFO [00042091] * RD COMPARE * port=1 adr=02 act=1E16732A9182A6EE89 exp=1E16732A9182A6EE89 + 42089.50ns INFO [00042091] Port=0 WR @07=71021684C613970FC1 + 42090.50ns INFO [00042092] Port=1 RD @06 + 42091.50ns INFO [00042093] Port=0 WR @03=61BD7A0ACDE62F2645 + 42091.50ns INFO [00042093] Port=0 RD @05 + 42091.50ns INFO [00042093] Port=1 RD @06 + 42092.50ns INFO [00042094] * RD COMPARE * port=1 adr=06 act=0BF3B5CD8F142AC118 exp=0BF3B5CD8F142AC118 + 42092.50ns INFO [00042094] Port=0 WR @04=DE15B4B4A6FFC55D11 + 42092.50ns INFO [00042094] Port=0 RD @00 + 42093.50ns INFO [00042095] * RD COMPARE * port=0 adr=05 act=830E12552902D39952 exp=830E12552902D39952 + 42093.50ns INFO [00042095] * RD COMPARE * port=1 adr=06 act=0BF3B5CD8F142AC118 exp=0BF3B5CD8F142AC118 + 42093.50ns INFO [00042095] Port=1 RD @07 + 42094.50ns INFO [00042096] * RD COMPARE * port=0 adr=00 act=58A447C5A73CF32FB8 exp=58A447C5A73CF32FB8 + 42094.50ns INFO [00042096] Port=1 RD @01 + 42095.50ns INFO [00042097] * RD COMPARE * port=1 adr=07 act=71021684C613970FC1 exp=71021684C613970FC1 + 42096.50ns INFO [00042098] * RD COMPARE * port=1 adr=01 act=2CA484281A747D2187 exp=2CA484281A747D2187 + 42097.50ns INFO [00042099] Port=1 RD @05 + 42098.00ns INFO [00042100] [00042100] ...tick... + 42098.50ns INFO [00042100] Port=0 RD @06 + 42098.50ns INFO [00042100] Port=1 RD @03 + 42099.50ns INFO [00042101] * RD COMPARE * port=1 adr=05 act=830E12552902D39952 exp=830E12552902D39952 + 42100.50ns INFO [00042102] * RD COMPARE * port=0 adr=06 act=0BF3B5CD8F142AC118 exp=0BF3B5CD8F142AC118 + 42100.50ns INFO [00042102] * RD COMPARE * port=1 adr=03 act=61BD7A0ACDE62F2645 exp=61BD7A0ACDE62F2645 + 42102.50ns INFO [00042104] Port=0 WR @03=A31EB05A62FDD0CE2C + 42102.50ns INFO [00042104] Port=0 RD @00 + 42102.50ns INFO [00042104] Port=1 RD @07 + 42103.50ns INFO [00042105] Port=1 RD @05 + 42104.50ns INFO [00042106] * RD COMPARE * port=0 adr=00 act=58A447C5A73CF32FB8 exp=58A447C5A73CF32FB8 + 42104.50ns INFO [00042106] * RD COMPARE * port=1 adr=07 act=71021684C613970FC1 exp=71021684C613970FC1 + 42105.50ns INFO [00042107] * RD COMPARE * port=1 adr=05 act=830E12552902D39952 exp=830E12552902D39952 + 42105.50ns INFO [00042107] Port=0 RD @03 + 42105.50ns INFO [00042107] Port=1 RD @04 + 42106.50ns INFO [00042108] Port=1 RD @00 + 42107.50ns INFO [00042109] * RD COMPARE * port=0 adr=03 act=A31EB05A62FDD0CE2C exp=A31EB05A62FDD0CE2C + 42107.50ns INFO [00042109] * RD COMPARE * port=1 adr=04 act=DE15B4B4A6FFC55D11 exp=DE15B4B4A6FFC55D11 + 42107.50ns INFO [00042109] Port=0 RD @03 + 42108.50ns INFO [00042110] * RD COMPARE * port=1 adr=00 act=58A447C5A73CF32FB8 exp=58A447C5A73CF32FB8 + 42108.50ns INFO [00042110] Port=0 RD @02 + 42109.50ns INFO [00042111] * RD COMPARE * port=0 adr=03 act=A31EB05A62FDD0CE2C exp=A31EB05A62FDD0CE2C + 42109.50ns INFO [00042111] Port=0 RD @07 + 42110.50ns INFO [00042112] * RD COMPARE * port=0 adr=02 act=1E16732A9182A6EE89 exp=1E16732A9182A6EE89 + 42111.50ns INFO [00042113] * RD COMPARE * port=0 adr=07 act=71021684C613970FC1 exp=71021684C613970FC1 + 42112.50ns INFO [00042114] Port=0 WR @05=BE7CC3D229C631EC9B + 42114.50ns INFO [00042116] Port=0 WR @03=A3AD583AC9FD8CEA9A + 42114.50ns INFO [00042116] Port=1 RD @04 + 42115.50ns INFO [00042117] Port=0 WR @05=EB197938EB26FF704A + 42115.50ns INFO [00042117] Port=1 RD @07 + 42116.50ns INFO [00042118] * RD COMPARE * port=1 adr=04 act=DE15B4B4A6FFC55D11 exp=DE15B4B4A6FFC55D11 + 42117.50ns INFO [00042119] * RD COMPARE * port=1 adr=07 act=71021684C613970FC1 exp=71021684C613970FC1 + 42117.50ns INFO [00042119] Port=0 WR @05=858AA2A3912ABD22FE + 42117.50ns INFO [00042119] Port=1 RD @07 + 42118.50ns INFO [00042120] Port=0 WR @02=D91198690CC609A7F3 + 42119.50ns INFO [00042121] * RD COMPARE * port=1 adr=07 act=71021684C613970FC1 exp=71021684C613970FC1 + 42120.50ns INFO [00042122] Port=1 RD @04 + 42121.50ns INFO [00042123] Port=0 RD @03 + 42122.50ns INFO [00042124] * RD COMPARE * port=1 adr=04 act=DE15B4B4A6FFC55D11 exp=DE15B4B4A6FFC55D11 + 42122.50ns INFO [00042124] Port=0 RD @02 + 42123.50ns INFO [00042125] * RD COMPARE * port=0 adr=03 act=A3AD583AC9FD8CEA9A exp=A3AD583AC9FD8CEA9A + 42123.50ns INFO [00042125] Port=0 WR @02=84A840936CEE4FC80E + 42124.50ns INFO [00042126] * RD COMPARE * port=0 adr=02 act=D91198690CC609A7F3 exp=D91198690CC609A7F3 + 42124.50ns INFO [00042126] Port=0 WR @06=8A03560A99C9783B9A + 42124.50ns INFO [00042126] Port=1 RD @01 + 42125.50ns INFO [00042127] Port=0 WR @07=505E3C3ED38746BD38 + 42125.50ns INFO [00042127] Port=0 RD @04 + 42126.50ns INFO [00042128] * RD COMPARE * port=1 adr=01 act=2CA484281A747D2187 exp=2CA484281A747D2187 + 42126.50ns INFO [00042128] Port=0 RD @04 + 42126.50ns INFO [00042128] Port=1 RD @04 + 42127.50ns INFO [00042129] * RD COMPARE * port=0 adr=04 act=DE15B4B4A6FFC55D11 exp=DE15B4B4A6FFC55D11 + 42127.50ns INFO [00042129] Port=0 RD @03 + 42127.50ns INFO [00042129] Port=1 RD @06 + 42128.50ns INFO [00042130] * RD COMPARE * port=0 adr=04 act=DE15B4B4A6FFC55D11 exp=DE15B4B4A6FFC55D11 + 42128.50ns INFO [00042130] * RD COMPARE * port=1 adr=04 act=DE15B4B4A6FFC55D11 exp=DE15B4B4A6FFC55D11 + 42129.50ns INFO [00042131] * RD COMPARE * port=0 adr=03 act=A3AD583AC9FD8CEA9A exp=A3AD583AC9FD8CEA9A + 42129.50ns INFO [00042131] * RD COMPARE * port=1 adr=06 act=8A03560A99C9783B9A exp=8A03560A99C9783B9A + 42129.50ns INFO [00042131] Port=0 RD @03 + 42129.50ns INFO [00042131] Port=1 RD @00 + 42130.50ns INFO [00042132] Port=1 RD @06 + 42131.50ns INFO [00042133] * RD COMPARE * port=0 adr=03 act=A3AD583AC9FD8CEA9A exp=A3AD583AC9FD8CEA9A + 42131.50ns INFO [00042133] * RD COMPARE * port=1 adr=00 act=58A447C5A73CF32FB8 exp=58A447C5A73CF32FB8 + 42131.50ns INFO [00042133] Port=0 WR @00=8B0DFFA83915837770 + 42132.50ns INFO [00042134] * RD COMPARE * port=1 adr=06 act=8A03560A99C9783B9A exp=8A03560A99C9783B9A + 42132.50ns INFO [00042134] Port=1 RD @04 + 42133.50ns INFO [00042135] Port=0 WR @01=9A1C609195A7AA0499 + 42133.50ns INFO [00042135] Port=0 RD @00 + 42134.50ns INFO [00042136] * RD COMPARE * port=1 adr=04 act=DE15B4B4A6FFC55D11 exp=DE15B4B4A6FFC55D11 + 42134.50ns INFO [00042136] Port=0 WR @06=DDEB2D1643CD2C844E + 42134.50ns INFO [00042136] Port=1 RD @01 + 42135.50ns INFO [00042137] * RD COMPARE * port=0 adr=00 act=8B0DFFA83915837770 exp=8B0DFFA83915837770 + 42135.50ns INFO [00042137] Port=0 RD @05 + 42135.50ns INFO [00042137] Port=1 RD @00 + 42136.50ns INFO [00042138] * RD COMPARE * port=1 adr=01 act=9A1C609195A7AA0499 exp=9A1C609195A7AA0499 + 42136.50ns INFO [00042138] Port=0 WR @04=33CBBC9EA937F2D111 + 42137.50ns INFO [00042139] * RD COMPARE * port=0 adr=05 act=858AA2A3912ABD22FE exp=858AA2A3912ABD22FE + 42137.50ns INFO [00042139] * RD COMPARE * port=1 adr=00 act=8B0DFFA83915837770 exp=8B0DFFA83915837770 + 42138.50ns INFO [00042140] Port=0 WR @06=B76B097EE31D1E32EC + 42138.50ns INFO [00042140] Port=0 RD @01 + 42138.50ns INFO [00042140] Port=1 RD @03 + 42139.50ns INFO [00042141] Port=1 RD @00 + 42140.50ns INFO [00042142] * RD COMPARE * port=0 adr=01 act=9A1C609195A7AA0499 exp=9A1C609195A7AA0499 + 42140.50ns INFO [00042142] * RD COMPARE * port=1 adr=03 act=A3AD583AC9FD8CEA9A exp=A3AD583AC9FD8CEA9A + 42140.50ns INFO [00042142] Port=0 WR @03=3C311F2686FED6A24F + 42140.50ns INFO [00042142] Port=0 RD @02 + 42141.50ns INFO [00042143] * RD COMPARE * port=1 adr=00 act=8B0DFFA83915837770 exp=8B0DFFA83915837770 + 42141.50ns INFO [00042143] Port=1 RD @05 + 42142.50ns INFO [00042144] * RD COMPARE * port=0 adr=02 act=84A840936CEE4FC80E exp=84A840936CEE4FC80E + 42142.50ns INFO [00042144] Port=0 RD @04 + 42143.50ns INFO [00042145] * RD COMPARE * port=1 adr=05 act=858AA2A3912ABD22FE exp=858AA2A3912ABD22FE + 42143.50ns INFO [00042145] Port=0 RD @07 + 42143.50ns INFO [00042145] Port=1 RD @00 + 42144.50ns INFO [00042146] * RD COMPARE * port=0 adr=04 act=33CBBC9EA937F2D111 exp=33CBBC9EA937F2D111 + 42144.50ns INFO [00042146] Port=1 RD @05 + 42145.50ns INFO [00042147] * RD COMPARE * port=0 adr=07 act=505E3C3ED38746BD38 exp=505E3C3ED38746BD38 + 42145.50ns INFO [00042147] * RD COMPARE * port=1 adr=00 act=8B0DFFA83915837770 exp=8B0DFFA83915837770 + 42145.50ns INFO [00042147] Port=0 RD @07 + 42146.50ns INFO [00042148] * RD COMPARE * port=1 adr=05 act=858AA2A3912ABD22FE exp=858AA2A3912ABD22FE + 42146.50ns INFO [00042148] Port=0 RD @07 + 42146.50ns INFO [00042148] Port=1 RD @03 + 42147.50ns INFO [00042149] * RD COMPARE * port=0 adr=07 act=505E3C3ED38746BD38 exp=505E3C3ED38746BD38 + 42147.50ns INFO [00042149] Port=0 WR @06=60A2DE6CD9D47227F2 + 42147.50ns INFO [00042149] Port=0 RD @01 + 42148.50ns INFO [00042150] * RD COMPARE * port=0 adr=07 act=505E3C3ED38746BD38 exp=505E3C3ED38746BD38 + 42148.50ns INFO [00042150] * RD COMPARE * port=1 adr=03 act=3C311F2686FED6A24F exp=3C311F2686FED6A24F + 42148.50ns INFO [00042150] Port=0 WR @03=C732EE49DF48F70863 + 42148.50ns INFO [00042150] Port=0 RD @01 + 42148.50ns INFO [00042150] Port=1 RD @04 + 42149.50ns INFO [00042151] * RD COMPARE * port=0 adr=01 act=9A1C609195A7AA0499 exp=9A1C609195A7AA0499 + 42149.50ns INFO [00042151] Port=0 WR @01=09066EF1FE5CB354C7 + 42150.50ns INFO [00042152] * RD COMPARE * port=0 adr=01 act=9A1C609195A7AA0499 exp=9A1C609195A7AA0499 + 42150.50ns INFO [00042152] * RD COMPARE * port=1 adr=04 act=33CBBC9EA937F2D111 exp=33CBBC9EA937F2D111 + 42150.50ns INFO [00042152] Port=0 WR @02=3832CA2D9C5155BE3E + 42150.50ns INFO [00042152] Port=0 RD @06 + 42150.50ns INFO [00042152] Port=1 RD @04 + 42151.50ns INFO [00042153] Port=0 RD @00 + 42151.50ns INFO [00042153] Port=1 RD @00 + 42152.50ns INFO [00042154] * RD COMPARE * port=0 adr=06 act=60A2DE6CD9D47227F2 exp=60A2DE6CD9D47227F2 + 42152.50ns INFO [00042154] * RD COMPARE * port=1 adr=04 act=33CBBC9EA937F2D111 exp=33CBBC9EA937F2D111 + 42152.50ns INFO [00042154] Port=0 WR @07=F4A81E5879DE91DD8E + 42152.50ns INFO [00042154] Port=0 RD @02 + 42152.50ns INFO [00042154] Port=1 RD @04 + 42153.50ns INFO [00042155] * RD COMPARE * port=0 adr=00 act=8B0DFFA83915837770 exp=8B0DFFA83915837770 + 42153.50ns INFO [00042155] * RD COMPARE * port=1 adr=00 act=8B0DFFA83915837770 exp=8B0DFFA83915837770 + 42154.50ns INFO [00042156] * RD COMPARE * port=0 adr=02 act=3832CA2D9C5155BE3E exp=3832CA2D9C5155BE3E + 42154.50ns INFO [00042156] * RD COMPARE * port=1 adr=04 act=33CBBC9EA937F2D111 exp=33CBBC9EA937F2D111 + 42155.50ns INFO [00042157] Port=0 WR @03=A458C2AEB06AA92E9A + 42155.50ns INFO [00042157] Port=0 RD @05 + 42156.50ns INFO [00042158] Port=1 RD @05 + 42157.50ns INFO [00042159] * RD COMPARE * port=0 adr=05 act=858AA2A3912ABD22FE exp=858AA2A3912ABD22FE + 42157.50ns INFO [00042159] Port=0 RD @00 + 42158.50ns INFO [00042160] * RD COMPARE * port=1 adr=05 act=858AA2A3912ABD22FE exp=858AA2A3912ABD22FE + 42158.50ns INFO [00042160] Port=0 WR @05=C7B8C76A08029CA7E1 + 42158.50ns INFO [00042160] Port=0 RD @03 + 42159.50ns INFO [00042161] * RD COMPARE * port=0 adr=00 act=8B0DFFA83915837770 exp=8B0DFFA83915837770 + 42159.50ns INFO [00042161] Port=0 WR @05=27120059E540DB6702 + 42160.50ns INFO [00042162] * RD COMPARE * port=0 adr=03 act=A458C2AEB06AA92E9A exp=A458C2AEB06AA92E9A + 42162.50ns INFO [00042164] Port=0 RD @01 + 42162.50ns INFO [00042164] Port=1 RD @05 + 42163.50ns INFO [00042165] Port=1 RD @05 + 42164.50ns INFO [00042166] * RD COMPARE * port=0 adr=01 act=09066EF1FE5CB354C7 exp=09066EF1FE5CB354C7 + 42164.50ns INFO [00042166] * RD COMPARE * port=1 adr=05 act=27120059E540DB6702 exp=27120059E540DB6702 + 42164.50ns INFO [00042166] Port=0 RD @06 + 42165.50ns INFO [00042167] * RD COMPARE * port=1 adr=05 act=27120059E540DB6702 exp=27120059E540DB6702 + 42165.50ns INFO [00042167] Port=0 WR @02=6AE51013DBE0474D69 + 42166.50ns INFO [00042168] * RD COMPARE * port=0 adr=06 act=60A2DE6CD9D47227F2 exp=60A2DE6CD9D47227F2 + 42167.50ns INFO [00042169] Port=0 RD @05 + 42167.50ns INFO [00042169] Port=1 RD @06 + 42168.50ns INFO [00042170] Port=0 WR @02=4991A66AD82E243CDF + 42168.50ns INFO [00042170] Port=0 RD @03 + 42169.50ns INFO [00042171] * RD COMPARE * port=0 adr=05 act=27120059E540DB6702 exp=27120059E540DB6702 + 42169.50ns INFO [00042171] * RD COMPARE * port=1 adr=06 act=60A2DE6CD9D47227F2 exp=60A2DE6CD9D47227F2 + 42169.50ns INFO [00042171] Port=1 RD @03 + 42170.50ns INFO [00042172] * RD COMPARE * port=0 adr=03 act=A458C2AEB06AA92E9A exp=A458C2AEB06AA92E9A + 42170.50ns INFO [00042172] Port=0 WR @05=D194D22312B21558AE + 42170.50ns INFO [00042172] Port=0 RD @03 + 42171.50ns INFO [00042173] * RD COMPARE * port=1 adr=03 act=A458C2AEB06AA92E9A exp=A458C2AEB06AA92E9A + 42171.50ns INFO [00042173] Port=1 RD @06 + 42172.50ns INFO [00042174] * RD COMPARE * port=0 adr=03 act=A458C2AEB06AA92E9A exp=A458C2AEB06AA92E9A + 42172.50ns INFO [00042174] Port=0 WR @00=407747D8F6F8DFE943 + 42172.50ns INFO [00042174] Port=1 RD @07 + 42173.50ns INFO [00042175] * RD COMPARE * port=1 adr=06 act=60A2DE6CD9D47227F2 exp=60A2DE6CD9D47227F2 + 42173.50ns INFO [00042175] Port=1 RD @02 + 42174.50ns INFO [00042176] * RD COMPARE * port=1 adr=07 act=F4A81E5879DE91DD8E exp=F4A81E5879DE91DD8E + 42174.50ns INFO [00042176] Port=0 WR @05=705081E3F686D01043 + 42175.50ns INFO [00042177] * RD COMPARE * port=1 adr=02 act=4991A66AD82E243CDF exp=4991A66AD82E243CDF + 42179.50ns INFO [00042181] Port=0 WR @02=3DACFC1676BE26C02C + 42179.50ns INFO [00042181] Port=0 RD @05 + 42179.50ns INFO [00042181] Port=1 RD @05 + 42180.50ns INFO [00042182] Port=0 WR @02=A5E13937C895F8B5DB + 42181.50ns INFO [00042183] * RD COMPARE * port=0 adr=05 act=705081E3F686D01043 exp=705081E3F686D01043 + 42181.50ns INFO [00042183] * RD COMPARE * port=1 adr=05 act=705081E3F686D01043 exp=705081E3F686D01043 + 42181.50ns INFO [00042183] Port=0 WR @00=2681B4BCCD9B08ECFC + 42181.50ns INFO [00042183] Port=1 RD @07 + 42183.50ns INFO [00042185] * RD COMPARE * port=1 adr=07 act=F4A81E5879DE91DD8E exp=F4A81E5879DE91DD8E + 42183.50ns INFO [00042185] Port=0 WR @06=286F8E5B79CD63868A + 42184.50ns INFO [00042186] Port=0 RD @05 + 42185.50ns INFO [00042187] Port=0 WR @00=BC13F1F867B30B6650 + 42186.50ns INFO [00042188] * RD COMPARE * port=0 adr=05 act=705081E3F686D01043 exp=705081E3F686D01043 + 42186.50ns INFO [00042188] Port=0 RD @05 + 42186.50ns INFO [00042188] Port=1 RD @04 + 42187.50ns INFO [00042189] Port=0 WR @03=7AB08E4011F6714AA9 + 42187.50ns INFO [00042189] Port=1 RD @07 + 42188.50ns INFO [00042190] * RD COMPARE * port=0 adr=05 act=705081E3F686D01043 exp=705081E3F686D01043 + 42188.50ns INFO [00042190] * RD COMPARE * port=1 adr=04 act=33CBBC9EA937F2D111 exp=33CBBC9EA937F2D111 + 42188.50ns INFO [00042190] Port=0 WR @05=BCD10F57BEC67E8978 + 42188.50ns INFO [00042190] Port=0 RD @07 + 42189.50ns INFO [00042191] * RD COMPARE * port=1 adr=07 act=F4A81E5879DE91DD8E exp=F4A81E5879DE91DD8E + 42189.50ns INFO [00042191] Port=1 RD @05 + 42190.50ns INFO [00042192] * RD COMPARE * port=0 adr=07 act=F4A81E5879DE91DD8E exp=F4A81E5879DE91DD8E + 42190.50ns INFO [00042192] Port=0 RD @05 + 42191.50ns INFO [00042193] * RD COMPARE * port=1 adr=05 act=BCD10F57BEC67E8978 exp=BCD10F57BEC67E8978 + 42191.50ns INFO [00042193] Port=1 RD @00 + 42192.50ns INFO [00042194] * RD COMPARE * port=0 adr=05 act=BCD10F57BEC67E8978 exp=BCD10F57BEC67E8978 + 42193.50ns INFO [00042195] * RD COMPARE * port=1 adr=00 act=BC13F1F867B30B6650 exp=BC13F1F867B30B6650 + 42193.50ns INFO [00042195] Port=1 RD @02 + 42194.50ns INFO [00042196] Port=0 RD @00 + 42194.50ns INFO [00042196] Port=1 RD @05 + 42195.50ns INFO [00042197] * RD COMPARE * port=1 adr=02 act=A5E13937C895F8B5DB exp=A5E13937C895F8B5DB + 42196.50ns INFO [00042198] * RD COMPARE * port=0 adr=00 act=BC13F1F867B30B6650 exp=BC13F1F867B30B6650 + 42196.50ns INFO [00042198] * RD COMPARE * port=1 adr=05 act=BCD10F57BEC67E8978 exp=BCD10F57BEC67E8978 + 42196.50ns INFO [00042198] Port=0 WR @00=4EE196309E67DA747C + 42197.50ns INFO [00042199] Port=1 RD @04 + 42198.00ns INFO [00042200] [00042200] ...tick... + 42199.50ns INFO [00042201] * RD COMPARE * port=1 adr=04 act=33CBBC9EA937F2D111 exp=33CBBC9EA937F2D111 + 42199.50ns INFO [00042201] Port=0 WR @02=456C79622CBC078D07 + 42200.50ns INFO [00042202] Port=0 RD @01 + 42200.50ns INFO [00042202] Port=1 RD @00 + 42202.50ns INFO [00042204] * RD COMPARE * port=0 adr=01 act=09066EF1FE5CB354C7 exp=09066EF1FE5CB354C7 + 42202.50ns INFO [00042204] * RD COMPARE * port=1 adr=00 act=4EE196309E67DA747C exp=4EE196309E67DA747C + 42202.50ns INFO [00042204] Port=0 WR @04=4FE39F8BDEFC3BF43D + 42204.50ns INFO [00042206] Port=0 WR @04=5691742073FF7CFC69 + 42204.50ns INFO [00042206] Port=0 RD @03 + 42205.50ns INFO [00042207] Port=0 WR @01=9A6DBE53FD3F6C4EF8 + 42205.50ns INFO [00042207] Port=0 RD @02 + 42206.50ns INFO [00042208] * RD COMPARE * port=0 adr=03 act=7AB08E4011F6714AA9 exp=7AB08E4011F6714AA9 + 42206.50ns INFO [00042208] Port=0 RD @07 + 42206.50ns INFO [00042208] Port=1 RD @00 + 42207.50ns INFO [00042209] * RD COMPARE * port=0 adr=02 act=456C79622CBC078D07 exp=456C79622CBC078D07 + 42207.50ns INFO [00042209] Port=0 WR @05=DA15D196970FB101A3 + 42208.50ns INFO [00042210] * RD COMPARE * port=0 adr=07 act=F4A81E5879DE91DD8E exp=F4A81E5879DE91DD8E + 42208.50ns INFO [00042210] * RD COMPARE * port=1 adr=00 act=4EE196309E67DA747C exp=4EE196309E67DA747C + 42209.50ns INFO [00042211] Port=0 WR @01=BC704D250899CB0B65 + 42210.50ns INFO [00042212] Port=0 WR @02=1F76ACCFDB8A09E066 + 42211.50ns INFO [00042213] Port=1 RD @05 + 42213.50ns INFO [00042215] * RD COMPARE * port=1 adr=05 act=DA15D196970FB101A3 exp=DA15D196970FB101A3 + 42213.50ns INFO [00042215] Port=0 WR @05=310B5F874D97B2222B + 42213.50ns INFO [00042215] Port=0 RD @07 + 42215.50ns INFO [00042217] * RD COMPARE * port=0 adr=07 act=F4A81E5879DE91DD8E exp=F4A81E5879DE91DD8E + 42216.50ns INFO [00042218] Port=0 RD @07 + 42217.50ns INFO [00042219] Port=0 WR @02=B560187896DC4F4ABB + 42217.50ns INFO [00042219] Port=0 RD @07 + 42218.50ns INFO [00042220] * RD COMPARE * port=0 adr=07 act=F4A81E5879DE91DD8E exp=F4A81E5879DE91DD8E + 42218.50ns INFO [00042220] Port=1 RD @00 + 42219.50ns INFO [00042221] * RD COMPARE * port=0 adr=07 act=F4A81E5879DE91DD8E exp=F4A81E5879DE91DD8E + 42219.50ns INFO [00042221] Port=0 WR @03=DF250006ABF4112887 + 42220.50ns INFO [00042222] * RD COMPARE * port=1 adr=00 act=4EE196309E67DA747C exp=4EE196309E67DA747C + 42220.50ns INFO [00042222] Port=0 RD @01 + 42220.50ns INFO [00042222] Port=1 RD @07 + 42222.50ns INFO [00042224] * RD COMPARE * port=0 adr=01 act=BC704D250899CB0B65 exp=BC704D250899CB0B65 + 42222.50ns INFO [00042224] * RD COMPARE * port=1 adr=07 act=F4A81E5879DE91DD8E exp=F4A81E5879DE91DD8E + 42222.50ns INFO [00042224] Port=0 WR @04=A1087333F34D8DDDE8 + 42223.50ns INFO [00042225] Port=0 RD @00 + 42223.50ns INFO [00042225] Port=1 RD @07 + 42224.50ns INFO [00042226] Port=0 RD @00 + 42225.50ns INFO [00042227] * RD COMPARE * port=0 adr=00 act=4EE196309E67DA747C exp=4EE196309E67DA747C + 42225.50ns INFO [00042227] * RD COMPARE * port=1 adr=07 act=F4A81E5879DE91DD8E exp=F4A81E5879DE91DD8E + 42225.50ns INFO [00042227] Port=0 RD @00 + 42225.50ns INFO [00042227] Port=1 RD @04 + 42226.50ns INFO [00042228] * RD COMPARE * port=0 adr=00 act=4EE196309E67DA747C exp=4EE196309E67DA747C + 42226.50ns INFO [00042228] Port=0 WR @07=B52CA2D471F80D06B8 + 42226.50ns INFO [00042228] Port=1 RD @04 + 42227.50ns INFO [00042229] * RD COMPARE * port=0 adr=00 act=4EE196309E67DA747C exp=4EE196309E67DA747C + 42227.50ns INFO [00042229] * RD COMPARE * port=1 adr=04 act=A1087333F34D8DDDE8 exp=A1087333F34D8DDDE8 + 42227.50ns INFO [00042229] Port=1 RD @03 + 42228.50ns INFO [00042230] * RD COMPARE * port=1 adr=04 act=A1087333F34D8DDDE8 exp=A1087333F34D8DDDE8 + 42228.50ns INFO [00042230] Port=0 WR @01=64E0CB1E9344F706DD + 42229.50ns INFO [00042231] * RD COMPARE * port=1 adr=03 act=DF250006ABF4112887 exp=DF250006ABF4112887 + 42229.50ns INFO [00042231] Port=0 WR @06=C7EF9C9A64153F835D + 42230.50ns INFO [00042232] Port=0 RD @04 + 42231.50ns INFO [00042233] Port=0 WR @07=0E3B1293A827D7AB8B + 42231.50ns INFO [00042233] Port=0 RD @05 + 42232.50ns INFO [00042234] * RD COMPARE * port=0 adr=04 act=A1087333F34D8DDDE8 exp=A1087333F34D8DDDE8 + 42232.50ns INFO [00042234] Port=0 RD @01 + 42232.50ns INFO [00042234] Port=1 RD @06 + 42233.50ns INFO [00042235] * RD COMPARE * port=0 adr=05 act=310B5F874D97B2222B exp=310B5F874D97B2222B + 42233.50ns INFO [00042235] Port=0 RD @06 + 42233.50ns INFO [00042235] Port=1 RD @00 + 42234.50ns INFO [00042236] * RD COMPARE * port=0 adr=01 act=64E0CB1E9344F706DD exp=64E0CB1E9344F706DD + 42234.50ns INFO [00042236] * RD COMPARE * port=1 adr=06 act=C7EF9C9A64153F835D exp=C7EF9C9A64153F835D + 42234.50ns INFO [00042236] Port=0 WR @03=65AC0F3AAF3AF2F6B3 + 42234.50ns INFO [00042236] Port=0 RD @06 + 42235.50ns INFO [00042237] * RD COMPARE * port=0 adr=06 act=C7EF9C9A64153F835D exp=C7EF9C9A64153F835D + 42235.50ns INFO [00042237] * RD COMPARE * port=1 adr=00 act=4EE196309E67DA747C exp=4EE196309E67DA747C + 42235.50ns INFO [00042237] Port=0 WR @07=F88CF55B3BA227065C + 42236.50ns INFO [00042238] * RD COMPARE * port=0 adr=06 act=C7EF9C9A64153F835D exp=C7EF9C9A64153F835D + 42236.50ns INFO [00042238] Port=0 RD @02 + 42236.50ns INFO [00042238] Port=1 RD @07 + 42237.50ns INFO [00042239] Port=0 WR @02=CAE968AD5531E06A4F + 42237.50ns INFO [00042239] Port=0 RD @00 + 42238.50ns INFO [00042240] * RD COMPARE * port=0 adr=02 act=B560187896DC4F4ABB exp=B560187896DC4F4ABB + 42238.50ns INFO [00042240] * RD COMPARE * port=1 adr=07 act=F88CF55B3BA227065C exp=F88CF55B3BA227065C + 42238.50ns INFO [00042240] Port=0 RD @02 + 42238.50ns INFO [00042240] Port=1 RD @04 + 42239.50ns INFO [00042241] * RD COMPARE * port=0 adr=00 act=4EE196309E67DA747C exp=4EE196309E67DA747C + 42239.50ns INFO [00042241] Port=0 RD @07 + 42240.50ns INFO [00042242] * RD COMPARE * port=0 adr=02 act=CAE968AD5531E06A4F exp=CAE968AD5531E06A4F + 42240.50ns INFO [00042242] * RD COMPARE * port=1 adr=04 act=A1087333F34D8DDDE8 exp=A1087333F34D8DDDE8 + 42240.50ns INFO [00042242] Port=0 RD @07 + 42240.50ns INFO [00042242] Port=1 RD @05 + 42241.50ns INFO [00042243] * RD COMPARE * port=0 adr=07 act=F88CF55B3BA227065C exp=F88CF55B3BA227065C + 42241.50ns INFO [00042243] Port=1 RD @05 + 42242.50ns INFO [00042244] * RD COMPARE * port=0 adr=07 act=F88CF55B3BA227065C exp=F88CF55B3BA227065C + 42242.50ns INFO [00042244] * RD COMPARE * port=1 adr=05 act=310B5F874D97B2222B exp=310B5F874D97B2222B + 42243.50ns INFO [00042245] * RD COMPARE * port=1 adr=05 act=310B5F874D97B2222B exp=310B5F874D97B2222B + 42243.50ns INFO [00042245] Port=0 WR @03=2C2B09EFB9A8058421 + 42243.50ns INFO [00042245] Port=1 RD @00 + 42244.50ns INFO [00042246] Port=0 WR @06=EAE55AA25DDBFCFDCC + 42244.50ns INFO [00042246] Port=0 RD @07 + 42244.50ns INFO [00042246] Port=1 RD @03 + 42245.50ns INFO [00042247] * RD COMPARE * port=1 adr=00 act=4EE196309E67DA747C exp=4EE196309E67DA747C + 42246.50ns INFO [00042248] * RD COMPARE * port=0 adr=07 act=F88CF55B3BA227065C exp=F88CF55B3BA227065C + 42246.50ns INFO [00042248] * RD COMPARE * port=1 adr=03 act=2C2B09EFB9A8058421 exp=2C2B09EFB9A8058421 + 42246.50ns INFO [00042248] Port=0 RD @05 + 42247.50ns INFO [00042249] Port=0 WR @07=BBF047F9C698B9B1F6 + 42247.50ns INFO [00042249] Port=0 RD @06 + 42248.50ns INFO [00042250] * RD COMPARE * port=0 adr=05 act=310B5F874D97B2222B exp=310B5F874D97B2222B + 42249.50ns INFO [00042251] * RD COMPARE * port=0 adr=06 act=EAE55AA25DDBFCFDCC exp=EAE55AA25DDBFCFDCC + 42249.50ns INFO [00042251] Port=0 WR @05=9EB30985158159FF7D + 42249.50ns INFO [00042251] Port=0 RD @01 + 42250.50ns INFO [00042252] Port=0 WR @01=57F35E1533A01A1336 + 42251.50ns INFO [00042253] * RD COMPARE * port=0 adr=01 act=64E0CB1E9344F706DD exp=64E0CB1E9344F706DD + 42252.50ns INFO [00042254] Port=0 RD @01 + 42253.50ns INFO [00042255] Port=0 WR @05=417F54834C7C6B5724 + 42254.50ns INFO [00042256] * RD COMPARE * port=0 adr=01 act=57F35E1533A01A1336 exp=57F35E1533A01A1336 + 42254.50ns INFO [00042256] Port=0 RD @05 + 42254.50ns INFO [00042256] Port=1 RD @03 + 42255.50ns INFO [00042257] Port=0 RD @05 + 42256.50ns INFO [00042258] * RD COMPARE * port=0 adr=05 act=417F54834C7C6B5724 exp=417F54834C7C6B5724 + 42256.50ns INFO [00042258] * RD COMPARE * port=1 adr=03 act=2C2B09EFB9A8058421 exp=2C2B09EFB9A8058421 + 42256.50ns INFO [00042258] Port=1 RD @00 + 42257.50ns INFO [00042259] * RD COMPARE * port=0 adr=05 act=417F54834C7C6B5724 exp=417F54834C7C6B5724 + 42258.50ns INFO [00042260] * RD COMPARE * port=1 adr=00 act=4EE196309E67DA747C exp=4EE196309E67DA747C + 42258.50ns INFO [00042260] Port=0 WR @01=A13004016C0FAB39D8 + 42259.50ns INFO [00042261] Port=1 RD @06 + 42260.50ns INFO [00042262] Port=0 WR @01=87CB35A31E1751D44A + 42260.50ns INFO [00042262] Port=0 RD @05 + 42260.50ns INFO [00042262] Port=1 RD @03 + 42261.50ns INFO [00042263] * RD COMPARE * port=1 adr=06 act=EAE55AA25DDBFCFDCC exp=EAE55AA25DDBFCFDCC + 42261.50ns INFO [00042263] Port=1 RD @06 + 42262.50ns INFO [00042264] * RD COMPARE * port=0 adr=05 act=417F54834C7C6B5724 exp=417F54834C7C6B5724 + 42262.50ns INFO [00042264] * RD COMPARE * port=1 adr=03 act=2C2B09EFB9A8058421 exp=2C2B09EFB9A8058421 + 42262.50ns INFO [00042264] Port=0 WR @01=F5A64CACE076BECE74 + 42263.50ns INFO [00042265] * RD COMPARE * port=1 adr=06 act=EAE55AA25DDBFCFDCC exp=EAE55AA25DDBFCFDCC + 42263.50ns INFO [00042265] Port=0 WR @04=E8348BE0A96FB34C74 + 42264.50ns INFO [00042266] Port=0 RD @07 + 42264.50ns INFO [00042266] Port=1 RD @02 + 42265.50ns INFO [00042267] Port=0 WR @06=F95E1A2938D7090C96 + 42265.50ns INFO [00042267] Port=1 RD @07 + 42266.50ns INFO [00042268] * RD COMPARE * port=0 adr=07 act=BBF047F9C698B9B1F6 exp=BBF047F9C698B9B1F6 + 42266.50ns INFO [00042268] * RD COMPARE * port=1 adr=02 act=CAE968AD5531E06A4F exp=CAE968AD5531E06A4F + 42266.50ns INFO [00042268] Port=0 WR @04=927EE3B176707F1A3A + 42266.50ns INFO [00042268] Port=0 RD @07 + 42267.50ns INFO [00042269] * RD COMPARE * port=1 adr=07 act=BBF047F9C698B9B1F6 exp=BBF047F9C698B9B1F6 + 42268.50ns INFO [00042270] * RD COMPARE * port=0 adr=07 act=BBF047F9C698B9B1F6 exp=BBF047F9C698B9B1F6 + 42268.50ns INFO [00042270] Port=0 RD @03 + 42268.50ns INFO [00042270] Port=1 RD @04 + 42269.50ns INFO [00042271] Port=0 WR @06=0BAA74D96799EDA7E7 + 42269.50ns INFO [00042271] Port=1 RD @05 + 42270.50ns INFO [00042272] * RD COMPARE * port=0 adr=03 act=2C2B09EFB9A8058421 exp=2C2B09EFB9A8058421 + 42270.50ns INFO [00042272] * RD COMPARE * port=1 adr=04 act=927EE3B176707F1A3A exp=927EE3B176707F1A3A + 42270.50ns INFO [00042272] Port=0 RD @02 + 42271.50ns INFO [00042273] * RD COMPARE * port=1 adr=05 act=417F54834C7C6B5724 exp=417F54834C7C6B5724 + 42271.50ns INFO [00042273] Port=1 RD @06 + 42272.50ns INFO [00042274] * RD COMPARE * port=0 adr=02 act=CAE968AD5531E06A4F exp=CAE968AD5531E06A4F + 42272.50ns INFO [00042274] Port=0 WR @05=2815775E7619EDDE56 + 42273.50ns INFO [00042275] * RD COMPARE * port=1 adr=06 act=0BAA74D96799EDA7E7 exp=0BAA74D96799EDA7E7 + 42274.50ns INFO [00042276] Port=0 RD @01 + 42275.50ns INFO [00042277] Port=1 RD @03 + 42276.50ns INFO [00042278] * RD COMPARE * port=0 adr=01 act=F5A64CACE076BECE74 exp=F5A64CACE076BECE74 + 42276.50ns INFO [00042278] Port=0 WR @07=1D428E91BAA69B2040 + 42277.50ns INFO [00042279] * RD COMPARE * port=1 adr=03 act=2C2B09EFB9A8058421 exp=2C2B09EFB9A8058421 + 42277.50ns INFO [00042279] Port=0 WR @04=5DF33673232825E134 + 42277.50ns INFO [00042279] Port=0 RD @06 + 42277.50ns INFO [00042279] Port=1 RD @02 + 42278.50ns INFO [00042280] Port=0 WR @00=B19D7663AD793A36F2 + 42279.50ns INFO [00042281] * RD COMPARE * port=0 adr=06 act=0BAA74D96799EDA7E7 exp=0BAA74D96799EDA7E7 + 42279.50ns INFO [00042281] * RD COMPARE * port=1 adr=02 act=CAE968AD5531E06A4F exp=CAE968AD5531E06A4F + 42279.50ns INFO [00042281] Port=0 RD @07 + 42280.50ns INFO [00042282] Port=0 WR @04=8C786F690BBC6D426B + 42280.50ns INFO [00042282] Port=0 RD @05 + 42281.50ns INFO [00042283] * RD COMPARE * port=0 adr=07 act=1D428E91BAA69B2040 exp=1D428E91BAA69B2040 + 42281.50ns INFO [00042283] Port=0 WR @03=12AA6DCDB1926C37CB + 42281.50ns INFO [00042283] Port=0 RD @04 + 42282.50ns INFO [00042284] * RD COMPARE * port=0 adr=05 act=2815775E7619EDDE56 exp=2815775E7619EDDE56 + 42282.50ns INFO [00042284] Port=0 RD @04 + 42283.50ns INFO [00042285] * RD COMPARE * port=0 adr=04 act=8C786F690BBC6D426B exp=8C786F690BBC6D426B + 42283.50ns INFO [00042285] Port=0 RD @05 + 42284.50ns INFO [00042286] * RD COMPARE * port=0 adr=04 act=8C786F690BBC6D426B exp=8C786F690BBC6D426B + 42284.50ns INFO [00042286] Port=0 RD @02 + 42284.50ns INFO [00042286] Port=1 RD @00 + 42285.50ns INFO [00042287] * RD COMPARE * port=0 adr=05 act=2815775E7619EDDE56 exp=2815775E7619EDDE56 + 42285.50ns INFO [00042287] Port=0 WR @05=CE460FEAAA944CA3CA + 42286.50ns INFO [00042288] * RD COMPARE * port=0 adr=02 act=CAE968AD5531E06A4F exp=CAE968AD5531E06A4F + 42286.50ns INFO [00042288] * RD COMPARE * port=1 adr=00 act=B19D7663AD793A36F2 exp=B19D7663AD793A36F2 + 42287.50ns INFO [00042289] Port=1 RD @01 + 42288.50ns INFO [00042290] Port=0 WR @04=F7591AEE39652D4861 + 42288.50ns INFO [00042290] Port=0 RD @07 + 42289.50ns INFO [00042291] * RD COMPARE * port=1 adr=01 act=F5A64CACE076BECE74 exp=F5A64CACE076BECE74 + 42289.50ns INFO [00042291] Port=0 WR @02=883C5048F23E8F548E + 42289.50ns INFO [00042291] Port=0 RD @07 + 42289.50ns INFO [00042291] Port=1 RD @03 + 42290.50ns INFO [00042292] * RD COMPARE * port=0 adr=07 act=1D428E91BAA69B2040 exp=1D428E91BAA69B2040 + 42290.50ns INFO [00042292] Port=0 WR @03=92ECD518137012C94D + 42290.50ns INFO [00042292] Port=1 RD @06 + 42291.50ns INFO [00042293] * RD COMPARE * port=0 adr=07 act=1D428E91BAA69B2040 exp=1D428E91BAA69B2040 + 42291.50ns INFO [00042293] * RD COMPARE * port=1 adr=03 act=12AA6DCDB1926C37CB exp=12AA6DCDB1926C37CB + 42292.50ns INFO [00042294] * RD COMPARE * port=1 adr=06 act=0BAA74D96799EDA7E7 exp=0BAA74D96799EDA7E7 + 42292.50ns INFO [00042294] Port=0 RD @04 + 42294.50ns INFO [00042296] * RD COMPARE * port=0 adr=04 act=F7591AEE39652D4861 exp=F7591AEE39652D4861 + 42294.50ns INFO [00042296] Port=0 WR @03=2A24B535A129D7016A + 42294.50ns INFO [00042296] Port=0 RD @02 + 42295.50ns INFO [00042297] Port=1 RD @05 + 42296.50ns INFO [00042298] * RD COMPARE * port=0 adr=02 act=883C5048F23E8F548E exp=883C5048F23E8F548E + 42296.50ns INFO [00042298] Port=0 RD @03 + 42297.50ns INFO [00042299] * RD COMPARE * port=1 adr=05 act=CE460FEAAA944CA3CA exp=CE460FEAAA944CA3CA + 42297.50ns INFO [00042299] Port=0 RD @00 + 42298.00ns INFO [00042300] [00042300] ...tick... + 42298.50ns INFO [00042300] * RD COMPARE * port=0 adr=03 act=2A24B535A129D7016A exp=2A24B535A129D7016A + 42299.50ns INFO [00042301] * RD COMPARE * port=0 adr=00 act=B19D7663AD793A36F2 exp=B19D7663AD793A36F2 + 42299.50ns INFO [00042301] Port=0 WR @03=DB6CF52E5744D66844 + 42299.50ns INFO [00042301] Port=1 RD @04 + 42301.50ns INFO [00042303] * RD COMPARE * port=1 adr=04 act=F7591AEE39652D4861 exp=F7591AEE39652D4861 + 42301.50ns INFO [00042303] Port=0 WR @00=50D38329BC954A4957 + 42301.50ns INFO [00042303] Port=0 RD @02 + 42303.50ns INFO [00042305] * RD COMPARE * port=0 adr=02 act=883C5048F23E8F548E exp=883C5048F23E8F548E + 42303.50ns INFO [00042305] Port=0 WR @02=F635C00426B823213E + 42304.50ns INFO [00042306] Port=0 WR @07=E4BCDAC1CB9208481E + 42305.50ns INFO [00042307] Port=1 RD @01 + 42306.50ns INFO [00042308] Port=0 WR @07=1B243AF596EEE6A4E3 + 42306.50ns INFO [00042308] Port=0 RD @02 + 42306.50ns INFO [00042308] Port=1 RD @01 + 42307.50ns INFO [00042309] * RD COMPARE * port=1 adr=01 act=F5A64CACE076BECE74 exp=F5A64CACE076BECE74 + 42307.50ns INFO [00042309] Port=0 WR @02=8EE692FBFDAF01D28B + 42307.50ns INFO [00042309] Port=0 RD @00 + 42307.50ns INFO [00042309] Port=1 RD @00 + 42308.50ns INFO [00042310] * RD COMPARE * port=0 adr=02 act=F635C00426B823213E exp=F635C00426B823213E + 42308.50ns INFO [00042310] * RD COMPARE * port=1 adr=01 act=F5A64CACE076BECE74 exp=F5A64CACE076BECE74 + 42309.50ns INFO [00042311] * RD COMPARE * port=0 adr=00 act=50D38329BC954A4957 exp=50D38329BC954A4957 + 42309.50ns INFO [00042311] * RD COMPARE * port=1 adr=00 act=50D38329BC954A4957 exp=50D38329BC954A4957 + 42309.50ns INFO [00042311] Port=0 WR @07=6F4AF6972E8AB3E53E + 42311.50ns INFO [00042313] Port=1 RD @03 + 42312.50ns INFO [00042314] Port=0 RD @04 + 42312.50ns INFO [00042314] Port=1 RD @00 + 42313.50ns INFO [00042315] * RD COMPARE * port=1 adr=03 act=DB6CF52E5744D66844 exp=DB6CF52E5744D66844 + 42313.50ns INFO [00042315] Port=0 WR @06=8A360F93122CFB236B + 42313.50ns INFO [00042315] Port=0 RD @01 + 42314.50ns INFO [00042316] * RD COMPARE * port=0 adr=04 act=F7591AEE39652D4861 exp=F7591AEE39652D4861 + 42314.50ns INFO [00042316] * RD COMPARE * port=1 adr=00 act=50D38329BC954A4957 exp=50D38329BC954A4957 + 42315.50ns INFO [00042317] * RD COMPARE * port=0 adr=01 act=F5A64CACE076BECE74 exp=F5A64CACE076BECE74 + 42315.50ns INFO [00042317] Port=0 WR @07=26F77DE4A1628BB1F3 + 42315.50ns INFO [00042317] Port=0 RD @04 + 42315.50ns INFO [00042317] Port=1 RD @05 + 42316.50ns INFO [00042318] Port=1 RD @00 + 42317.50ns INFO [00042319] * RD COMPARE * port=0 adr=04 act=F7591AEE39652D4861 exp=F7591AEE39652D4861 + 42317.50ns INFO [00042319] * RD COMPARE * port=1 adr=05 act=CE460FEAAA944CA3CA exp=CE460FEAAA944CA3CA + 42317.50ns INFO [00042319] Port=0 WR @04=90D7DFC5F4D6754CB1 + 42317.50ns INFO [00042319] Port=1 RD @07 + 42318.50ns INFO [00042320] * RD COMPARE * port=1 adr=00 act=50D38329BC954A4957 exp=50D38329BC954A4957 + 42318.50ns INFO [00042320] Port=1 RD @02 + 42319.50ns INFO [00042321] * RD COMPARE * port=1 adr=07 act=26F77DE4A1628BB1F3 exp=26F77DE4A1628BB1F3 + 42320.50ns INFO [00042322] * RD COMPARE * port=1 adr=02 act=8EE692FBFDAF01D28B exp=8EE692FBFDAF01D28B + 42320.50ns INFO [00042322] Port=0 RD @06 + 42321.50ns INFO [00042323] Port=0 RD @05 + 42321.50ns INFO [00042323] Port=1 RD @06 + 42322.50ns INFO [00042324] * RD COMPARE * port=0 adr=06 act=8A360F93122CFB236B exp=8A360F93122CFB236B + 42322.50ns INFO [00042324] Port=0 RD @01 + 42322.50ns INFO [00042324] Port=1 RD @06 + 42323.50ns INFO [00042325] * RD COMPARE * port=0 adr=05 act=CE460FEAAA944CA3CA exp=CE460FEAAA944CA3CA + 42323.50ns INFO [00042325] * RD COMPARE * port=1 adr=06 act=8A360F93122CFB236B exp=8A360F93122CFB236B + 42323.50ns INFO [00042325] Port=0 WR @04=7E0ECA9267F2936F4E + 42324.50ns INFO [00042326] * RD COMPARE * port=0 adr=01 act=F5A64CACE076BECE74 exp=F5A64CACE076BECE74 + 42324.50ns INFO [00042326] * RD COMPARE * port=1 adr=06 act=8A360F93122CFB236B exp=8A360F93122CFB236B + 42324.50ns INFO [00042326] Port=0 WR @01=DE3BB273720CCD14CE + 42324.50ns INFO [00042326] Port=0 RD @00 + 42325.50ns INFO [00042327] Port=1 RD @06 + 42326.50ns INFO [00042328] * RD COMPARE * port=0 adr=00 act=50D38329BC954A4957 exp=50D38329BC954A4957 + 42326.50ns INFO [00042328] Port=1 RD @06 + 42327.50ns INFO [00042329] * RD COMPARE * port=1 adr=06 act=8A360F93122CFB236B exp=8A360F93122CFB236B + 42328.50ns INFO [00042330] * RD COMPARE * port=1 adr=06 act=8A360F93122CFB236B exp=8A360F93122CFB236B + 42329.50ns INFO [00042331] Port=0 RD @05 + 42330.50ns INFO [00042332] Port=0 RD @07 + 42330.50ns INFO [00042332] Port=1 RD @01 + 42331.50ns INFO [00042333] * RD COMPARE * port=0 adr=05 act=CE460FEAAA944CA3CA exp=CE460FEAAA944CA3CA + 42331.50ns INFO [00042333] Port=0 WR @04=8CC81AF0140249B7CC + 42331.50ns INFO [00042333] Port=0 RD @00 + 42331.50ns INFO [00042333] Port=1 RD @07 + 42332.50ns INFO [00042334] * RD COMPARE * port=0 adr=07 act=26F77DE4A1628BB1F3 exp=26F77DE4A1628BB1F3 + 42332.50ns INFO [00042334] * RD COMPARE * port=1 adr=01 act=DE3BB273720CCD14CE exp=DE3BB273720CCD14CE + 42333.50ns INFO [00042335] * RD COMPARE * port=0 adr=00 act=50D38329BC954A4957 exp=50D38329BC954A4957 + 42333.50ns INFO [00042335] * RD COMPARE * port=1 adr=07 act=26F77DE4A1628BB1F3 exp=26F77DE4A1628BB1F3 + 42333.50ns INFO [00042335] Port=0 WR @00=49BC9BF37365176ED9 + 42333.50ns INFO [00042335] Port=0 RD @03 + 42335.50ns INFO [00042337] * RD COMPARE * port=0 adr=03 act=DB6CF52E5744D66844 exp=DB6CF52E5744D66844 + 42335.50ns INFO [00042337] Port=1 RD @02 + 42336.50ns INFO [00042338] Port=0 WR @06=3B39955E1589FEA336 + 42336.50ns INFO [00042338] Port=0 RD @00 + 42337.50ns INFO [00042339] * RD COMPARE * port=1 adr=02 act=8EE692FBFDAF01D28B exp=8EE692FBFDAF01D28B + 42337.50ns INFO [00042339] Port=1 RD @02 + 42338.50ns INFO [00042340] * RD COMPARE * port=0 adr=00 act=49BC9BF37365176ED9 exp=49BC9BF37365176ED9 + 42339.50ns INFO [00042341] * RD COMPARE * port=1 adr=02 act=8EE692FBFDAF01D28B exp=8EE692FBFDAF01D28B + 42339.50ns INFO [00042341] Port=1 RD @01 + 42340.50ns INFO [00042342] Port=0 WR @03=7F05FBC687D6B023B5 + 42341.50ns INFO [00042343] * RD COMPARE * port=1 adr=01 act=DE3BB273720CCD14CE exp=DE3BB273720CCD14CE + 42341.50ns INFO [00042343] Port=0 RD @04 + 42342.50ns INFO [00042344] Port=0 WR @07=479A9869003CD1F3BD + 42342.50ns INFO [00042344] Port=0 RD @05 + 42342.50ns INFO [00042344] Port=1 RD @04 + 42343.50ns INFO [00042345] * RD COMPARE * port=0 adr=04 act=8CC81AF0140249B7CC exp=8CC81AF0140249B7CC + 42343.50ns INFO [00042345] Port=0 WR @06=F4B5FE0365E3C7072E + 42343.50ns INFO [00042345] Port=0 RD @02 + 42343.50ns INFO [00042345] Port=1 RD @07 + 42344.50ns INFO [00042346] * RD COMPARE * port=0 adr=05 act=CE460FEAAA944CA3CA exp=CE460FEAAA944CA3CA + 42344.50ns INFO [00042346] * RD COMPARE * port=1 adr=04 act=8CC81AF0140249B7CC exp=8CC81AF0140249B7CC + 42344.50ns INFO [00042346] Port=0 WR @03=203B18F0B5AC363439 + 42345.50ns INFO [00042347] * RD COMPARE * port=0 adr=02 act=8EE692FBFDAF01D28B exp=8EE692FBFDAF01D28B + 42345.50ns INFO [00042347] * RD COMPARE * port=1 adr=07 act=479A9869003CD1F3BD exp=479A9869003CD1F3BD + 42345.50ns INFO [00042347] Port=0 WR @03=872CD9712D15A51FF3 + 42345.50ns INFO [00042347] Port=0 RD @00 + 42346.50ns INFO [00042348] Port=0 WR @07=73DEF02DF93A4CD158 + 42346.50ns INFO [00042348] Port=0 RD @00 + 42347.50ns INFO [00042349] * RD COMPARE * port=0 adr=00 act=49BC9BF37365176ED9 exp=49BC9BF37365176ED9 + 42347.50ns INFO [00042349] Port=0 RD @02 + 42348.50ns INFO [00042350] * RD COMPARE * port=0 adr=00 act=49BC9BF37365176ED9 exp=49BC9BF37365176ED9 + 42348.50ns INFO [00042350] Port=0 WR @01=E6C4C4B746709BD238 + 42348.50ns INFO [00042350] Port=0 RD @00 + 42349.50ns INFO [00042351] * RD COMPARE * port=0 adr=02 act=8EE692FBFDAF01D28B exp=8EE692FBFDAF01D28B + 42349.50ns INFO [00042351] Port=0 WR @06=AF25AAC9B9F8FFE323 + 42349.50ns INFO [00042351] Port=0 RD @05 + 42350.50ns INFO [00042352] * RD COMPARE * port=0 adr=00 act=49BC9BF37365176ED9 exp=49BC9BF37365176ED9 + 42350.50ns INFO [00042352] Port=0 WR @02=9F4B1EF591C3C56273 + 42351.50ns INFO [00042353] * RD COMPARE * port=0 adr=05 act=CE460FEAAA944CA3CA exp=CE460FEAAA944CA3CA + 42351.50ns INFO [00042353] Port=0 RD @07 + 42352.50ns INFO [00042354] Port=0 RD @07 + 42353.50ns INFO [00042355] * RD COMPARE * port=0 adr=07 act=73DEF02DF93A4CD158 exp=73DEF02DF93A4CD158 + 42353.50ns INFO [00042355] Port=0 RD @06 + 42353.50ns INFO [00042355] Port=1 RD @00 + 42354.50ns INFO [00042356] * RD COMPARE * port=0 adr=07 act=73DEF02DF93A4CD158 exp=73DEF02DF93A4CD158 + 42354.50ns INFO [00042356] Port=0 WR @01=E6ABD8508C3F72E0B6 + 42355.50ns INFO [00042357] * RD COMPARE * port=0 adr=06 act=AF25AAC9B9F8FFE323 exp=AF25AAC9B9F8FFE323 + 42355.50ns INFO [00042357] * RD COMPARE * port=1 adr=00 act=49BC9BF37365176ED9 exp=49BC9BF37365176ED9 + 42355.50ns INFO [00042357] Port=0 WR @01=59680A5E613F50CFF6 + 42355.50ns INFO [00042357] Port=1 RD @07 + 42356.50ns INFO [00042358] Port=0 WR @07=5FCA084B82DBFCA789 + 42356.50ns INFO [00042358] Port=1 RD @03 + 42357.50ns INFO [00042359] * RD COMPARE * port=1 adr=07 act=73DEF02DF93A4CD158 exp=73DEF02DF93A4CD158 + 42357.50ns INFO [00042359] Port=0 WR @03=2224A498A51119C3CF + 42357.50ns INFO [00042359] Port=1 RD @04 + 42358.50ns INFO [00042360] * RD COMPARE * port=1 adr=03 act=872CD9712D15A51FF3 exp=872CD9712D15A51FF3 + 42358.50ns INFO [00042360] Port=0 WR @06=7F66A12C365F112610 + 42358.50ns INFO [00042360] Port=1 RD @03 + 42359.50ns INFO [00042361] * RD COMPARE * port=1 adr=04 act=8CC81AF0140249B7CC exp=8CC81AF0140249B7CC + 42360.50ns INFO [00042362] * RD COMPARE * port=1 adr=03 act=2224A498A51119C3CF exp=2224A498A51119C3CF + 42360.50ns INFO [00042362] Port=0 WR @07=0A9A0B181DE000E26E + 42360.50ns INFO [00042362] Port=1 RD @02 + 42362.50ns INFO [00042364] * RD COMPARE * port=1 adr=02 act=9F4B1EF591C3C56273 exp=9F4B1EF591C3C56273 + 42362.50ns INFO [00042364] Port=0 WR @04=3A20DCA71AD791C607 + 42362.50ns INFO [00042364] Port=1 RD @02 + 42363.50ns INFO [00042365] Port=0 WR @03=3C8154582047F63190 + 42363.50ns INFO [00042365] Port=0 RD @04 + 42364.50ns INFO [00042366] * RD COMPARE * port=1 adr=02 act=9F4B1EF591C3C56273 exp=9F4B1EF591C3C56273 + 42365.50ns INFO [00042367] * RD COMPARE * port=0 adr=04 act=3A20DCA71AD791C607 exp=3A20DCA71AD791C607 + 42365.50ns INFO [00042367] Port=0 RD @07 + 42366.50ns INFO [00042368] Port=0 RD @06 + 42367.50ns INFO [00042369] * RD COMPARE * port=0 adr=07 act=0A9A0B181DE000E26E exp=0A9A0B181DE000E26E + 42367.50ns INFO [00042369] Port=0 WR @06=C44AAB5F93B0212C06 + 42368.50ns INFO [00042370] * RD COMPARE * port=0 adr=06 act=7F66A12C365F112610 exp=7F66A12C365F112610 + 42368.50ns INFO [00042370] Port=0 RD @07 + 42369.50ns INFO [00042371] Port=0 WR @01=87FD3FB876FE8D7CFE + 42369.50ns INFO [00042371] Port=1 RD @04 + 42370.50ns INFO [00042372] * RD COMPARE * port=0 adr=07 act=0A9A0B181DE000E26E exp=0A9A0B181DE000E26E + 42370.50ns INFO [00042372] Port=0 RD @02 + 42370.50ns INFO [00042372] Port=1 RD @05 + 42371.50ns INFO [00042373] * RD COMPARE * port=1 adr=04 act=3A20DCA71AD791C607 exp=3A20DCA71AD791C607 + 42371.50ns INFO [00042373] Port=0 WR @05=AA02C13B91F651EFF6 + 42371.50ns INFO [00042373] Port=0 RD @03 + 42372.50ns INFO [00042374] * RD COMPARE * port=0 adr=02 act=9F4B1EF591C3C56273 exp=9F4B1EF591C3C56273 + 42372.50ns INFO [00042374] * RD COMPARE * port=1 adr=05 act=CE460FEAAA944CA3CA exp=CE460FEAAA944CA3CA + 42372.50ns INFO [00042374] Port=0 RD @07 + 42372.50ns INFO [00042374] Port=1 RD @04 + 42373.50ns INFO [00042375] * RD COMPARE * port=0 adr=03 act=3C8154582047F63190 exp=3C8154582047F63190 + 42373.50ns INFO [00042375] Port=0 RD @01 + 42373.50ns INFO [00042375] Port=1 RD @05 + 42374.50ns INFO [00042376] * RD COMPARE * port=0 adr=07 act=0A9A0B181DE000E26E exp=0A9A0B181DE000E26E + 42374.50ns INFO [00042376] * RD COMPARE * port=1 adr=04 act=3A20DCA71AD791C607 exp=3A20DCA71AD791C607 + 42375.50ns INFO [00042377] * RD COMPARE * port=0 adr=01 act=87FD3FB876FE8D7CFE exp=87FD3FB876FE8D7CFE + 42375.50ns INFO [00042377] * RD COMPARE * port=1 adr=05 act=AA02C13B91F651EFF6 exp=AA02C13B91F651EFF6 + 42375.50ns INFO [00042377] Port=0 RD @06 + 42375.50ns INFO [00042377] Port=1 RD @07 + 42377.50ns INFO [00042379] * RD COMPARE * port=0 adr=06 act=C44AAB5F93B0212C06 exp=C44AAB5F93B0212C06 + 42377.50ns INFO [00042379] * RD COMPARE * port=1 adr=07 act=0A9A0B181DE000E26E exp=0A9A0B181DE000E26E + 42378.50ns INFO [00042380] Port=0 WR @04=0D1C5588358B48CF61 + 42380.50ns INFO [00042382] Port=0 WR @07=65FAF1E8EFA5A3BF0D + 42382.50ns INFO [00042384] Port=0 RD @06 + 42383.50ns INFO [00042385] Port=0 WR @02=CBA3D7368ED2AE244C + 42383.50ns INFO [00042385] Port=1 RD @05 + 42384.50ns INFO [00042386] * RD COMPARE * port=0 adr=06 act=C44AAB5F93B0212C06 exp=C44AAB5F93B0212C06 + 42384.50ns INFO [00042386] Port=0 RD @02 + 42384.50ns INFO [00042386] Port=1 RD @05 + 42385.50ns INFO [00042387] * RD COMPARE * port=1 adr=05 act=AA02C13B91F651EFF6 exp=AA02C13B91F651EFF6 + 42385.50ns INFO [00042387] Port=0 WR @02=5CA5CB7F1EAE7DA416 + 42386.50ns INFO [00042388] * RD COMPARE * port=0 adr=02 act=CBA3D7368ED2AE244C exp=CBA3D7368ED2AE244C + 42386.50ns INFO [00042388] * RD COMPARE * port=1 adr=05 act=AA02C13B91F651EFF6 exp=AA02C13B91F651EFF6 + 42386.50ns INFO [00042388] Port=0 WR @04=D1E9A68A9FC5992735 + 42387.50ns INFO [00042389] Port=0 WR @03=32406723F4885B28DD + 42387.50ns INFO [00042389] Port=0 RD @04 + 42387.50ns INFO [00042389] Port=1 RD @06 + 42388.50ns INFO [00042390] Port=0 WR @03=1C8637BC889A4C6ABC + 42388.50ns INFO [00042390] Port=1 RD @06 + 42389.50ns INFO [00042391] * RD COMPARE * port=0 adr=04 act=D1E9A68A9FC5992735 exp=D1E9A68A9FC5992735 + 42389.50ns INFO [00042391] * RD COMPARE * port=1 adr=06 act=C44AAB5F93B0212C06 exp=C44AAB5F93B0212C06 + 42389.50ns INFO [00042391] Port=0 WR @05=9B0C56AB86809F3A0F + 42390.50ns INFO [00042392] * RD COMPARE * port=1 adr=06 act=C44AAB5F93B0212C06 exp=C44AAB5F93B0212C06 + 42390.50ns INFO [00042392] Port=0 WR @06=4EE4546E484C33A2C2 + 42391.50ns INFO [00042393] Port=1 RD @04 + 42392.50ns INFO [00042394] Port=0 WR @07=4D9229EBFED8A36D15 + 42393.50ns INFO [00042395] * RD COMPARE * port=1 adr=04 act=D1E9A68A9FC5992735 exp=D1E9A68A9FC5992735 + 42393.50ns INFO [00042395] Port=1 RD @01 + 42394.50ns INFO [00042396] Port=1 RD @05 + 42395.50ns INFO [00042397] * RD COMPARE * port=1 adr=01 act=87FD3FB876FE8D7CFE exp=87FD3FB876FE8D7CFE + 42395.50ns INFO [00042397] Port=0 RD @01 + 42396.50ns INFO [00042398] * RD COMPARE * port=1 adr=05 act=9B0C56AB86809F3A0F exp=9B0C56AB86809F3A0F + 42396.50ns INFO [00042398] Port=0 WR @04=332A11466EBCFD2214 + 42397.50ns INFO [00042399] * RD COMPARE * port=0 adr=01 act=87FD3FB876FE8D7CFE exp=87FD3FB876FE8D7CFE + 42397.50ns INFO [00042399] Port=0 WR @05=41A97C4E11634FA31F + 42397.50ns INFO [00042399] Port=1 RD @07 + 42398.00ns INFO [00042400] [00042400] ...tick... + 42398.50ns INFO [00042400] Port=0 WR @00=2D5E6237D4A4BCA252 + 42398.50ns INFO [00042400] Port=0 RD @03 + 42399.50ns INFO [00042401] * RD COMPARE * port=1 adr=07 act=4D9229EBFED8A36D15 exp=4D9229EBFED8A36D15 + 42399.50ns INFO [00042401] Port=0 RD @01 + 42400.50ns INFO [00042402] * RD COMPARE * port=0 adr=03 act=1C8637BC889A4C6ABC exp=1C8637BC889A4C6ABC + 42401.50ns INFO [00042403] * RD COMPARE * port=0 adr=01 act=87FD3FB876FE8D7CFE exp=87FD3FB876FE8D7CFE + 42403.50ns INFO [00042405] Port=0 WR @03=7A04438F4E51090B89 + 42403.50ns INFO [00042405] Port=0 RD @07 + 42404.50ns INFO [00042406] Port=0 RD @01 + 42405.50ns INFO [00042407] * RD COMPARE * port=0 adr=07 act=4D9229EBFED8A36D15 exp=4D9229EBFED8A36D15 + 42406.50ns INFO [00042408] * RD COMPARE * port=0 adr=01 act=87FD3FB876FE8D7CFE exp=87FD3FB876FE8D7CFE + 42406.50ns INFO [00042408] Port=0 WR @01=797178703E85138782 + 42406.50ns INFO [00042408] Port=0 RD @06 + 42407.50ns INFO [00042409] Port=1 RD @01 + 42408.50ns INFO [00042410] * RD COMPARE * port=0 adr=06 act=4EE4546E484C33A2C2 exp=4EE4546E484C33A2C2 + 42408.50ns INFO [00042410] Port=0 RD @05 + 42409.50ns INFO [00042411] * RD COMPARE * port=1 adr=01 act=797178703E85138782 exp=797178703E85138782 + 42409.50ns INFO [00042411] Port=0 WR @02=001C261423282EE9EB + 42409.50ns INFO [00042411] Port=0 RD @00 + 42410.50ns INFO [00042412] * RD COMPARE * port=0 adr=05 act=41A97C4E11634FA31F exp=41A97C4E11634FA31F + 42410.50ns INFO [00042412] Port=0 WR @07=20B1AE57D24DD9A360 + 42411.50ns INFO [00042413] * RD COMPARE * port=0 adr=00 act=2D5E6237D4A4BCA252 exp=2D5E6237D4A4BCA252 + 42411.50ns INFO [00042413] Port=0 RD @00 + 42413.50ns INFO [00042415] * RD COMPARE * port=0 adr=00 act=2D5E6237D4A4BCA252 exp=2D5E6237D4A4BCA252 + 42413.50ns INFO [00042415] Port=0 RD @06 + 42415.50ns INFO [00042417] * RD COMPARE * port=0 adr=06 act=4EE4546E484C33A2C2 exp=4EE4546E484C33A2C2 + 42416.50ns INFO [00042418] Port=0 RD @03 + 42417.50ns INFO [00042419] Port=0 WR @07=F840259E6D099F26EB + 42418.50ns INFO [00042420] * RD COMPARE * port=0 adr=03 act=7A04438F4E51090B89 exp=7A04438F4E51090B89 + 42418.50ns INFO [00042420] Port=0 WR @05=94B9F4BFE7B5E9825C + 42420.50ns INFO [00042422] Port=1 RD @04 + 42422.50ns INFO [00042424] * RD COMPARE * port=1 adr=04 act=332A11466EBCFD2214 exp=332A11466EBCFD2214 + 42422.50ns INFO [00042424] Port=1 RD @07 + 42423.50ns INFO [00042425] Port=0 WR @04=9EBF05BE5ED776DFD6 + 42423.50ns INFO [00042425] Port=0 RD @02 + 42423.50ns INFO [00042425] Port=1 RD @00 + 42424.50ns INFO [00042426] * RD COMPARE * port=1 adr=07 act=F840259E6D099F26EB exp=F840259E6D099F26EB + 42425.50ns INFO [00042427] * RD COMPARE * port=0 adr=02 act=001C261423282EE9EB exp=001C261423282EE9EB + 42425.50ns INFO [00042427] * RD COMPARE * port=1 adr=00 act=2D5E6237D4A4BCA252 exp=2D5E6237D4A4BCA252 + 42425.50ns INFO [00042427] Port=0 WR @04=AEC0712AFD0F807BE2 + 42426.50ns INFO [00042428] Port=0 WR @04=5E3FC8B38441297D49 + 42426.50ns INFO [00042428] Port=0 RD @03 + 42426.50ns INFO [00042428] Port=1 RD @01 + 42427.50ns INFO [00042429] Port=0 RD @02 + 42428.50ns INFO [00042430] * RD COMPARE * port=0 adr=03 act=7A04438F4E51090B89 exp=7A04438F4E51090B89 + 42428.50ns INFO [00042430] * RD COMPARE * port=1 adr=01 act=797178703E85138782 exp=797178703E85138782 + 42428.50ns INFO [00042430] Port=0 RD @04 + 42428.50ns INFO [00042430] Port=1 RD @05 + 42429.50ns INFO [00042431] * RD COMPARE * port=0 adr=02 act=001C261423282EE9EB exp=001C261423282EE9EB + 42429.50ns INFO [00042431] Port=0 WR @04=10937E3553D2EC8A0B + 42429.50ns INFO [00042431] Port=0 RD @03 + 42430.50ns INFO [00042432] * RD COMPARE * port=0 adr=04 act=5E3FC8B38441297D49 exp=5E3FC8B38441297D49 + 42430.50ns INFO [00042432] * RD COMPARE * port=1 adr=05 act=94B9F4BFE7B5E9825C exp=94B9F4BFE7B5E9825C + 42430.50ns INFO [00042432] Port=0 RD @05 + 42431.50ns INFO [00042433] * RD COMPARE * port=0 adr=03 act=7A04438F4E51090B89 exp=7A04438F4E51090B89 + 42431.50ns INFO [00042433] Port=0 WR @05=65951B7E372DA398DA + 42431.50ns INFO [00042433] Port=0 RD @02 + 42431.50ns INFO [00042433] Port=1 RD @04 + 42432.50ns INFO [00042434] * RD COMPARE * port=0 adr=05 act=94B9F4BFE7B5E9825C exp=94B9F4BFE7B5E9825C + 42432.50ns INFO [00042434] Port=0 WR @07=88C3B50EA51EF397C3 + 42433.50ns INFO [00042435] * RD COMPARE * port=0 adr=02 act=001C261423282EE9EB exp=001C261423282EE9EB + 42433.50ns INFO [00042435] * RD COMPARE * port=1 adr=04 act=10937E3553D2EC8A0B exp=10937E3553D2EC8A0B + 42433.50ns INFO [00042435] Port=0 WR @07=476554375F13D7AA9F + 42433.50ns INFO [00042435] Port=0 RD @05 + 42433.50ns INFO [00042435] Port=1 RD @00 + 42434.50ns INFO [00042436] Port=0 WR @02=6F698DA1A6E2A5FA8D + 42435.50ns INFO [00042437] * RD COMPARE * port=0 adr=05 act=65951B7E372DA398DA exp=65951B7E372DA398DA + 42435.50ns INFO [00042437] * RD COMPARE * port=1 adr=00 act=2D5E6237D4A4BCA252 exp=2D5E6237D4A4BCA252 + 42435.50ns INFO [00042437] Port=0 WR @04=1FE0C790A987FAB416 + 42438.50ns INFO [00042440] Port=0 WR @02=6715B4F377FF11C7A3 + 42438.50ns INFO [00042440] Port=0 RD @07 + 42440.50ns INFO [00042442] * RD COMPARE * port=0 adr=07 act=476554375F13D7AA9F exp=476554375F13D7AA9F + 42440.50ns INFO [00042442] Port=0 RD @06 + 42441.50ns INFO [00042443] Port=0 RD @06 + 42442.50ns INFO [00042444] * RD COMPARE * port=0 adr=06 act=4EE4546E484C33A2C2 exp=4EE4546E484C33A2C2 + 42443.50ns INFO [00042445] * RD COMPARE * port=0 adr=06 act=4EE4546E484C33A2C2 exp=4EE4546E484C33A2C2 + 42443.50ns INFO [00042445] Port=1 RD @03 + 42444.50ns INFO [00042446] Port=0 WR @07=14DE2F39DF55E5B278 + 42445.50ns INFO [00042447] * RD COMPARE * port=1 adr=03 act=7A04438F4E51090B89 exp=7A04438F4E51090B89 + 42445.50ns INFO [00042447] Port=0 WR @06=B06BA8F9BFFDA47E2E + 42445.50ns INFO [00042447] Port=0 RD @01 + 42445.50ns INFO [00042447] Port=1 RD @01 + 42447.50ns INFO [00042449] * RD COMPARE * port=0 adr=01 act=797178703E85138782 exp=797178703E85138782 + 42447.50ns INFO [00042449] * RD COMPARE * port=1 adr=01 act=797178703E85138782 exp=797178703E85138782 + 42447.50ns INFO [00042449] Port=0 WR @03=56D5E746F66B2260BC + 42447.50ns INFO [00042449] Port=0 RD @07 + 42448.50ns INFO [00042450] Port=0 WR @03=125FCCACE42555CD63 + 42448.50ns INFO [00042450] Port=1 RD @04 + 42449.50ns INFO [00042451] * RD COMPARE * port=0 adr=07 act=14DE2F39DF55E5B278 exp=14DE2F39DF55E5B278 + 42450.50ns INFO [00042452] * RD COMPARE * port=1 adr=04 act=1FE0C790A987FAB416 exp=1FE0C790A987FAB416 + 42450.50ns INFO [00042452] Port=0 WR @05=32D1FE66F1413D65A7 + 42450.50ns INFO [00042452] Port=1 RD @00 + 42451.50ns INFO [00042453] Port=0 WR @00=E403B685AF8D112225 + 42451.50ns INFO [00042453] Port=0 RD @05 + 42452.50ns INFO [00042454] * RD COMPARE * port=1 adr=00 act=2D5E6237D4A4BCA252 exp=2D5E6237D4A4BCA252 + 42452.50ns INFO [00042454] Port=1 RD @04 + 42453.50ns INFO [00042455] * RD COMPARE * port=0 adr=05 act=32D1FE66F1413D65A7 exp=32D1FE66F1413D65A7 + 42453.50ns INFO [00042455] Port=0 WR @02=E9223F763BC4CEBF3B + 42453.50ns INFO [00042455] Port=1 RD @04 + 42454.50ns INFO [00042456] * RD COMPARE * port=1 adr=04 act=1FE0C790A987FAB416 exp=1FE0C790A987FAB416 + 42455.50ns INFO [00042457] * RD COMPARE * port=1 adr=04 act=1FE0C790A987FAB416 exp=1FE0C790A987FAB416 + 42455.50ns INFO [00042457] Port=0 RD @03 + 42456.50ns INFO [00042458] Port=1 RD @02 + 42457.50ns INFO [00042459] * RD COMPARE * port=0 adr=03 act=125FCCACE42555CD63 exp=125FCCACE42555CD63 + 42457.50ns INFO [00042459] Port=0 WR @06=96773FF3B789454EC2 + 42457.50ns INFO [00042459] Port=0 RD @03 + 42458.50ns INFO [00042460] * RD COMPARE * port=1 adr=02 act=E9223F763BC4CEBF3B exp=E9223F763BC4CEBF3B + 42458.50ns INFO [00042460] Port=0 RD @00 + 42458.50ns INFO [00042460] Port=1 RD @06 + 42459.50ns INFO [00042461] * RD COMPARE * port=0 adr=03 act=125FCCACE42555CD63 exp=125FCCACE42555CD63 + 42459.50ns INFO [00042461] Port=1 RD @05 + 42460.50ns INFO [00042462] * RD COMPARE * port=0 adr=00 act=E403B685AF8D112225 exp=E403B685AF8D112225 + 42460.50ns INFO [00042462] * RD COMPARE * port=1 adr=06 act=96773FF3B789454EC2 exp=96773FF3B789454EC2 + 42460.50ns INFO [00042462] Port=0 RD @07 + 42460.50ns INFO [00042462] Port=1 RD @00 + 42461.50ns INFO [00042463] * RD COMPARE * port=1 adr=05 act=32D1FE66F1413D65A7 exp=32D1FE66F1413D65A7 + 42462.50ns INFO [00042464] * RD COMPARE * port=0 adr=07 act=14DE2F39DF55E5B278 exp=14DE2F39DF55E5B278 + 42462.50ns INFO [00042464] * RD COMPARE * port=1 adr=00 act=E403B685AF8D112225 exp=E403B685AF8D112225 + 42462.50ns INFO [00042464] Port=0 WR @05=14A9C1CBE01BB9BA35 + 42463.50ns INFO [00042465] Port=0 RD @06 + 42464.50ns INFO [00042466] Port=0 WR @05=637FEE2C66C7003CFE + 42465.50ns INFO [00042467] * RD COMPARE * port=0 adr=06 act=96773FF3B789454EC2 exp=96773FF3B789454EC2 + 42465.50ns INFO [00042467] Port=1 RD @04 + 42466.50ns INFO [00042468] Port=0 RD @04 + 42466.50ns INFO [00042468] Port=1 RD @05 + 42467.50ns INFO [00042469] * RD COMPARE * port=1 adr=04 act=1FE0C790A987FAB416 exp=1FE0C790A987FAB416 + 42467.50ns INFO [00042469] Port=0 RD @04 + 42468.50ns INFO [00042470] * RD COMPARE * port=0 adr=04 act=1FE0C790A987FAB416 exp=1FE0C790A987FAB416 + 42468.50ns INFO [00042470] * RD COMPARE * port=1 adr=05 act=637FEE2C66C7003CFE exp=637FEE2C66C7003CFE + 42468.50ns INFO [00042470] Port=0 WR @01=F1554F3AB59DFC03E0 + 42468.50ns INFO [00042470] Port=0 RD @05 + 42469.50ns INFO [00042471] * RD COMPARE * port=0 adr=04 act=1FE0C790A987FAB416 exp=1FE0C790A987FAB416 + 42469.50ns INFO [00042471] Port=0 RD @06 + 42469.50ns INFO [00042471] Port=1 RD @06 + 42470.50ns INFO [00042472] * RD COMPARE * port=0 adr=05 act=637FEE2C66C7003CFE exp=637FEE2C66C7003CFE + 42470.50ns INFO [00042472] Port=0 WR @00=83D9B44373F0909200 + 42470.50ns INFO [00042472] Port=0 RD @07 + 42470.50ns INFO [00042472] Port=1 RD @07 + 42471.50ns INFO [00042473] * RD COMPARE * port=0 adr=06 act=96773FF3B789454EC2 exp=96773FF3B789454EC2 + 42471.50ns INFO [00042473] * RD COMPARE * port=1 adr=06 act=96773FF3B789454EC2 exp=96773FF3B789454EC2 + 42471.50ns INFO [00042473] Port=0 WR @02=8C8A228A4DAA7EBD5C + 42471.50ns INFO [00042473] Port=1 RD @05 + 42472.50ns INFO [00042474] * RD COMPARE * port=0 adr=07 act=14DE2F39DF55E5B278 exp=14DE2F39DF55E5B278 + 42472.50ns INFO [00042474] * RD COMPARE * port=1 adr=07 act=14DE2F39DF55E5B278 exp=14DE2F39DF55E5B278 + 42473.50ns INFO [00042475] * RD COMPARE * port=1 adr=05 act=637FEE2C66C7003CFE exp=637FEE2C66C7003CFE + 42474.50ns INFO [00042476] Port=0 WR @04=DA09DFA42E1250EB47 + 42474.50ns INFO [00042476] Port=0 RD @01 + 42474.50ns INFO [00042476] Port=1 RD @07 + 42475.50ns INFO [00042477] Port=0 RD @00 + 42475.50ns INFO [00042477] Port=1 RD @05 + 42476.50ns INFO [00042478] * RD COMPARE * port=0 adr=01 act=F1554F3AB59DFC03E0 exp=F1554F3AB59DFC03E0 + 42476.50ns INFO [00042478] * RD COMPARE * port=1 adr=07 act=14DE2F39DF55E5B278 exp=14DE2F39DF55E5B278 + 42477.50ns INFO [00042479] * RD COMPARE * port=0 adr=00 act=83D9B44373F0909200 exp=83D9B44373F0909200 + 42477.50ns INFO [00042479] * RD COMPARE * port=1 adr=05 act=637FEE2C66C7003CFE exp=637FEE2C66C7003CFE + 42477.50ns INFO [00042479] Port=1 RD @03 + 42478.50ns INFO [00042480] Port=0 RD @00 + 42478.50ns INFO [00042480] Port=1 RD @00 + 42479.50ns INFO [00042481] * RD COMPARE * port=1 adr=03 act=125FCCACE42555CD63 exp=125FCCACE42555CD63 + 42479.50ns INFO [00042481] Port=0 RD @07 + 42480.50ns INFO [00042482] * RD COMPARE * port=0 adr=00 act=83D9B44373F0909200 exp=83D9B44373F0909200 + 42480.50ns INFO [00042482] * RD COMPARE * port=1 adr=00 act=83D9B44373F0909200 exp=83D9B44373F0909200 + 42480.50ns INFO [00042482] Port=0 RD @00 + 42480.50ns INFO [00042482] Port=1 RD @06 + 42481.50ns INFO [00042483] * RD COMPARE * port=0 adr=07 act=14DE2F39DF55E5B278 exp=14DE2F39DF55E5B278 + 42481.50ns INFO [00042483] Port=0 WR @02=E4507C58D6534676D3 + 42481.50ns INFO [00042483] Port=0 RD @00 + 42482.50ns INFO [00042484] * RD COMPARE * port=0 adr=00 act=83D9B44373F0909200 exp=83D9B44373F0909200 + 42482.50ns INFO [00042484] * RD COMPARE * port=1 adr=06 act=96773FF3B789454EC2 exp=96773FF3B789454EC2 + 42483.50ns INFO [00042485] * RD COMPARE * port=0 adr=00 act=83D9B44373F0909200 exp=83D9B44373F0909200 + 42483.50ns INFO [00042485] Port=0 RD @01 + 42484.50ns INFO [00042486] Port=0 WR @06=A860E5FD58F0D8EB02 + 42485.50ns INFO [00042487] * RD COMPARE * port=0 adr=01 act=F1554F3AB59DFC03E0 exp=F1554F3AB59DFC03E0 + 42485.50ns INFO [00042487] Port=0 WR @00=2F6154EC4E3537F109 + 42485.50ns INFO [00042487] Port=1 RD @04 + 42486.50ns INFO [00042488] Port=0 RD @05 + 42486.50ns INFO [00042488] Port=1 RD @06 + 42487.50ns INFO [00042489] * RD COMPARE * port=1 adr=04 act=DA09DFA42E1250EB47 exp=DA09DFA42E1250EB47 + 42487.50ns INFO [00042489] Port=1 RD @04 + 42488.50ns INFO [00042490] * RD COMPARE * port=0 adr=05 act=637FEE2C66C7003CFE exp=637FEE2C66C7003CFE + 42488.50ns INFO [00042490] * RD COMPARE * port=1 adr=06 act=A860E5FD58F0D8EB02 exp=A860E5FD58F0D8EB02 + 42488.50ns INFO [00042490] Port=0 WR @03=F4BED8C19990DC0D2B + 42489.50ns INFO [00042491] * RD COMPARE * port=1 adr=04 act=DA09DFA42E1250EB47 exp=DA09DFA42E1250EB47 + 42489.50ns INFO [00042491] Port=0 RD @03 + 42490.50ns INFO [00042492] Port=0 WR @05=B096B00BF61D147320 + 42490.50ns INFO [00042492] Port=0 RD @06 + 42490.50ns INFO [00042492] Port=1 RD @02 + 42491.50ns INFO [00042493] * RD COMPARE * port=0 adr=03 act=F4BED8C19990DC0D2B exp=F4BED8C19990DC0D2B + 42492.50ns INFO [00042494] * RD COMPARE * port=0 adr=06 act=A860E5FD58F0D8EB02 exp=A860E5FD58F0D8EB02 + 42492.50ns INFO [00042494] * RD COMPARE * port=1 adr=02 act=E4507C58D6534676D3 exp=E4507C58D6534676D3 + 42492.50ns INFO [00042494] Port=0 WR @07=7D7DFBE8F26FEE09B1 + 42492.50ns INFO [00042494] Port=0 RD @01 + 42493.50ns INFO [00042495] Port=0 RD @00 + 42493.50ns INFO [00042495] Port=1 RD @06 + 42494.50ns INFO [00042496] * RD COMPARE * port=0 adr=01 act=F1554F3AB59DFC03E0 exp=F1554F3AB59DFC03E0 + 42494.50ns INFO [00042496] Port=0 WR @05=526C2BE3C2D50611B5 + 42494.50ns INFO [00042496] Port=1 RD @03 + 42495.50ns INFO [00042497] * RD COMPARE * port=0 adr=00 act=2F6154EC4E3537F109 exp=2F6154EC4E3537F109 + 42495.50ns INFO [00042497] * RD COMPARE * port=1 adr=06 act=A860E5FD58F0D8EB02 exp=A860E5FD58F0D8EB02 + 42495.50ns INFO [00042497] Port=0 WR @01=0AF3715F9ADD5BEB3B + 42496.50ns INFO [00042498] * RD COMPARE * port=1 adr=03 act=F4BED8C19990DC0D2B exp=F4BED8C19990DC0D2B + 42496.50ns INFO [00042498] Port=0 RD @06 + 42497.50ns INFO [00042499] Port=0 RD @03 + 42498.00ns INFO [00042500] [00042500] ...tick... + 42498.50ns INFO [00042500] * RD COMPARE * port=0 adr=06 act=A860E5FD58F0D8EB02 exp=A860E5FD58F0D8EB02 + 42498.50ns INFO [00042500] Port=0 WR @03=79208538B18A7DD7DB + 42498.50ns INFO [00042500] Port=1 RD @02 + 42499.50ns INFO [00042501] * RD COMPARE * port=0 adr=03 act=F4BED8C19990DC0D2B exp=F4BED8C19990DC0D2B + 42499.50ns INFO [00042501] Port=0 RD @03 + 42500.50ns INFO [00042502] * RD COMPARE * port=1 adr=02 act=E4507C58D6534676D3 exp=E4507C58D6534676D3 + 42500.50ns INFO [00042502] Port=0 RD @03 + 42500.50ns INFO [00042502] Port=1 RD @01 + 42501.50ns INFO [00042503] * RD COMPARE * port=0 adr=03 act=79208538B18A7DD7DB exp=79208538B18A7DD7DB + 42501.50ns INFO [00042503] Port=0 WR @01=B62F76627A0214C02E + 42501.50ns INFO [00042503] Port=1 RD @07 + 42502.50ns INFO [00042504] * RD COMPARE * port=0 adr=03 act=79208538B18A7DD7DB exp=79208538B18A7DD7DB + 42502.50ns INFO [00042504] * RD COMPARE * port=1 adr=01 act=0AF3715F9ADD5BEB3B exp=0AF3715F9ADD5BEB3B + 42502.50ns INFO [00042504] Port=1 RD @02 + 42503.50ns INFO [00042505] * RD COMPARE * port=1 adr=07 act=7D7DFBE8F26FEE09B1 exp=7D7DFBE8F26FEE09B1 + 42503.50ns INFO [00042505] Port=0 RD @01 + 42503.50ns INFO [00042505] Port=1 RD @05 + 42504.50ns INFO [00042506] * RD COMPARE * port=1 adr=02 act=E4507C58D6534676D3 exp=E4507C58D6534676D3 + 42505.50ns INFO [00042507] * RD COMPARE * port=0 adr=01 act=B62F76627A0214C02E exp=B62F76627A0214C02E + 42505.50ns INFO [00042507] * RD COMPARE * port=1 adr=05 act=526C2BE3C2D50611B5 exp=526C2BE3C2D50611B5 + 42505.50ns INFO [00042507] Port=0 WR @00=4E96BF394A6711B41B + 42506.50ns INFO [00042508] Port=0 RD @00 + 42507.50ns INFO [00042509] Port=1 RD @01 + 42508.50ns INFO [00042510] * RD COMPARE * port=0 adr=00 act=4E96BF394A6711B41B exp=4E96BF394A6711B41B + 42508.50ns INFO [00042510] Port=0 RD @05 + 42508.50ns INFO [00042510] Port=1 RD @02 + 42509.50ns INFO [00042511] * RD COMPARE * port=1 adr=01 act=B62F76627A0214C02E exp=B62F76627A0214C02E + 42509.50ns INFO [00042511] Port=0 RD @05 + 42510.50ns INFO [00042512] * RD COMPARE * port=0 adr=05 act=526C2BE3C2D50611B5 exp=526C2BE3C2D50611B5 + 42510.50ns INFO [00042512] * RD COMPARE * port=1 adr=02 act=E4507C58D6534676D3 exp=E4507C58D6534676D3 + 42510.50ns INFO [00042512] Port=0 WR @06=70703BDDFC1491D1E0 + 42510.50ns INFO [00042512] Port=1 RD @03 + 42511.50ns INFO [00042513] * RD COMPARE * port=0 adr=05 act=526C2BE3C2D50611B5 exp=526C2BE3C2D50611B5 + 42511.50ns INFO [00042513] Port=0 WR @01=972D787DC0277CC5FA + 42512.50ns INFO [00042514] * RD COMPARE * port=1 adr=03 act=79208538B18A7DD7DB exp=79208538B18A7DD7DB + 42512.50ns INFO [00042514] Port=0 WR @00=44DF2D43FF2E7D8C76 + 42513.50ns INFO [00042515] Port=0 RD @02 + 42514.50ns INFO [00042516] Port=0 WR @00=53E9BA7DCEEDC1D764 + 42514.50ns INFO [00042516] Port=0 RD @04 + 42514.50ns INFO [00042516] Port=1 RD @02 + 42515.50ns INFO [00042517] * RD COMPARE * port=0 adr=02 act=E4507C58D6534676D3 exp=E4507C58D6534676D3 + 42515.50ns INFO [00042517] Port=0 WR @03=F7EAF956E1C3BAB043 + 42515.50ns INFO [00042517] Port=0 RD @02 + 42515.50ns INFO [00042517] Port=1 RD @04 + 42516.50ns INFO [00042518] * RD COMPARE * port=0 adr=04 act=DA09DFA42E1250EB47 exp=DA09DFA42E1250EB47 + 42516.50ns INFO [00042518] * RD COMPARE * port=1 adr=02 act=E4507C58D6534676D3 exp=E4507C58D6534676D3 + 42517.50ns INFO [00042519] * RD COMPARE * port=0 adr=02 act=E4507C58D6534676D3 exp=E4507C58D6534676D3 + 42517.50ns INFO [00042519] * RD COMPARE * port=1 adr=04 act=DA09DFA42E1250EB47 exp=DA09DFA42E1250EB47 + 42517.50ns INFO [00042519] Port=0 RD @00 + 42517.50ns INFO [00042519] Port=1 RD @06 + 42518.50ns INFO [00042520] Port=1 RD @00 + 42519.50ns INFO [00042521] * RD COMPARE * port=0 adr=00 act=53E9BA7DCEEDC1D764 exp=53E9BA7DCEEDC1D764 + 42519.50ns INFO [00042521] * RD COMPARE * port=1 adr=06 act=70703BDDFC1491D1E0 exp=70703BDDFC1491D1E0 + 42519.50ns INFO [00042521] Port=0 WR @03=B03F0E931170B8A377 + 42520.50ns INFO [00042522] * RD COMPARE * port=1 adr=00 act=53E9BA7DCEEDC1D764 exp=53E9BA7DCEEDC1D764 + 42520.50ns INFO [00042522] Port=0 WR @04=D0FB00C656C630A373 + 42521.50ns INFO [00042523] Port=1 RD @03 + 42522.50ns INFO [00042524] Port=0 WR @07=D4DA6B343E3DA2280F + 42522.50ns INFO [00042524] Port=0 RD @00 + 42522.50ns INFO [00042524] Port=1 RD @00 + 42523.50ns INFO [00042525] * RD COMPARE * port=1 adr=03 act=B03F0E931170B8A377 exp=B03F0E931170B8A377 + 42523.50ns INFO [00042525] Port=0 WR @00=18C410CD237102A7F6 + 42523.50ns INFO [00042525] Port=1 RD @06 + 42524.50ns INFO [00042526] * RD COMPARE * port=0 adr=00 act=53E9BA7DCEEDC1D764 exp=53E9BA7DCEEDC1D764 + 42524.50ns INFO [00042526] * RD COMPARE * port=1 adr=00 act=53E9BA7DCEEDC1D764 exp=53E9BA7DCEEDC1D764 + 42525.50ns INFO [00042527] * RD COMPARE * port=1 adr=06 act=70703BDDFC1491D1E0 exp=70703BDDFC1491D1E0 + 42525.50ns INFO [00042527] Port=0 WR @03=9B014DE76445DC2F6E + 42525.50ns INFO [00042527] Port=0 RD @05 + 42526.50ns INFO [00042528] Port=0 RD @00 + 42527.50ns INFO [00042529] * RD COMPARE * port=0 adr=05 act=526C2BE3C2D50611B5 exp=526C2BE3C2D50611B5 + 42527.50ns INFO [00042529] Port=1 RD @03 + 42528.50ns INFO [00042530] * RD COMPARE * port=0 adr=00 act=18C410CD237102A7F6 exp=18C410CD237102A7F6 + 42529.50ns INFO [00042531] * RD COMPARE * port=1 adr=03 act=9B014DE76445DC2F6E exp=9B014DE76445DC2F6E + 42529.50ns INFO [00042531] Port=0 RD @03 + 42529.50ns INFO [00042531] Port=1 RD @03 + 42530.50ns INFO [00042532] Port=0 WR @03=218A0C419AC0441B3E + 42531.50ns INFO [00042533] * RD COMPARE * port=0 adr=03 act=9B014DE76445DC2F6E exp=9B014DE76445DC2F6E + 42531.50ns INFO [00042533] * RD COMPARE * port=1 adr=03 act=9B014DE76445DC2F6E exp=9B014DE76445DC2F6E + 42531.50ns INFO [00042533] Port=0 WR @01=8FD67E14151A00DF0B + 42531.50ns INFO [00042533] Port=1 RD @07 + 42532.50ns INFO [00042534] Port=0 WR @01=12293DF6946978F814 + 42532.50ns INFO [00042534] Port=0 RD @06 + 42533.50ns INFO [00042535] * RD COMPARE * port=1 adr=07 act=D4DA6B343E3DA2280F exp=D4DA6B343E3DA2280F + 42534.50ns INFO [00042536] * RD COMPARE * port=0 adr=06 act=70703BDDFC1491D1E0 exp=70703BDDFC1491D1E0 + 42535.50ns INFO [00042537] Port=0 WR @00=8438C09DBE34CDA145 + 42535.50ns INFO [00042537] Port=1 RD @04 + 42536.50ns INFO [00042538] Port=1 RD @07 + 42537.50ns INFO [00042539] * RD COMPARE * port=1 adr=04 act=D0FB00C656C630A373 exp=D0FB00C656C630A373 + 42537.50ns INFO [00042539] Port=0 WR @07=B756F629B1BCA19C03 + 42537.50ns INFO [00042539] Port=0 RD @05 + 42537.50ns INFO [00042539] Port=1 RD @01 + 42538.50ns INFO [00042540] * RD COMPARE * port=1 adr=07 act=D4DA6B343E3DA2280F exp=D4DA6B343E3DA2280F + 42538.50ns INFO [00042540] Port=0 WR @01=C03CFD688965DB161D + 42538.50ns INFO [00042540] Port=0 RD @06 + 42538.50ns INFO [00042540] Port=1 RD @00 + 42539.50ns INFO [00042541] * RD COMPARE * port=0 adr=05 act=526C2BE3C2D50611B5 exp=526C2BE3C2D50611B5 + 42539.50ns INFO [00042541] * RD COMPARE * port=1 adr=01 act=12293DF6946978F814 exp=12293DF6946978F814 + 42539.50ns INFO [00042541] Port=0 WR @01=7AA8B2BE286A547CB2 + 42540.50ns INFO [00042542] * RD COMPARE * port=0 adr=06 act=70703BDDFC1491D1E0 exp=70703BDDFC1491D1E0 + 42540.50ns INFO [00042542] * RD COMPARE * port=1 adr=00 act=8438C09DBE34CDA145 exp=8438C09DBE34CDA145 + 42540.50ns INFO [00042542] Port=0 RD @00 + 42541.50ns INFO [00042543] Port=0 RD @02 + 42542.50ns INFO [00042544] * RD COMPARE * port=0 adr=00 act=8438C09DBE34CDA145 exp=8438C09DBE34CDA145 + 42542.50ns INFO [00042544] Port=0 WR @00=C7B2624A5A598A4A03 + 42542.50ns INFO [00042544] Port=1 RD @06 + 42543.50ns INFO [00042545] * RD COMPARE * port=0 adr=02 act=E4507C58D6534676D3 exp=E4507C58D6534676D3 + 42543.50ns INFO [00042545] Port=0 WR @05=82CA28F0D443E69A9B + 42543.50ns INFO [00042545] Port=0 RD @06 + 42544.50ns INFO [00042546] * RD COMPARE * port=1 adr=06 act=70703BDDFC1491D1E0 exp=70703BDDFC1491D1E0 + 42544.50ns INFO [00042546] Port=0 WR @06=54FFD2B4BE57C1FAC3 + 42545.50ns INFO [00042547] * RD COMPARE * port=0 adr=06 act=70703BDDFC1491D1E0 exp=70703BDDFC1491D1E0 + 42545.50ns INFO [00042547] Port=0 RD @00 + 42545.50ns INFO [00042547] Port=1 RD @05 + 42547.50ns INFO [00042549] * RD COMPARE * port=0 adr=00 act=C7B2624A5A598A4A03 exp=C7B2624A5A598A4A03 + 42547.50ns INFO [00042549] * RD COMPARE * port=1 adr=05 act=82CA28F0D443E69A9B exp=82CA28F0D443E69A9B + 42548.50ns INFO [00042550] Port=0 WR @02=E8F9D5397C1B61BBF3 + 42552.50ns INFO [00042554] Port=0 WR @05=8CE1FEF5645AAD068C + 42552.50ns INFO [00042554] Port=1 RD @07 + 42553.50ns INFO [00042555] Port=1 RD @02 + 42554.50ns INFO [00042556] * RD COMPARE * port=1 adr=07 act=B756F629B1BCA19C03 exp=B756F629B1BCA19C03 + 42554.50ns INFO [00042556] Port=0 WR @04=9CF04CBCF93FB6A83D + 42554.50ns INFO [00042556] Port=0 RD @06 + 42555.50ns INFO [00042557] * RD COMPARE * port=1 adr=02 act=E8F9D5397C1B61BBF3 exp=E8F9D5397C1B61BBF3 + 42555.50ns INFO [00042557] Port=0 WR @04=948E7147CE96694547 + 42556.50ns INFO [00042558] * RD COMPARE * port=0 adr=06 act=54FFD2B4BE57C1FAC3 exp=54FFD2B4BE57C1FAC3 + 42557.50ns INFO [00042559] Port=1 RD @01 + 42559.50ns INFO [00042561] * RD COMPARE * port=1 adr=01 act=7AA8B2BE286A547CB2 exp=7AA8B2BE286A547CB2 + 42561.50ns INFO [00042563] Port=0 RD @01 + 42561.50ns INFO [00042563] Port=1 RD @03 + 42563.50ns INFO [00042565] * RD COMPARE * port=0 adr=01 act=7AA8B2BE286A547CB2 exp=7AA8B2BE286A547CB2 + 42563.50ns INFO [00042565] * RD COMPARE * port=1 adr=03 act=218A0C419AC0441B3E exp=218A0C419AC0441B3E + 42563.50ns INFO [00042565] Port=0 WR @02=1254B586681BC0FA31 + 42563.50ns INFO [00042565] Port=0 RD @03 + 42564.50ns INFO [00042566] Port=0 WR @00=EFBB966C9B608366FB + 42564.50ns INFO [00042566] Port=0 RD @05 + 42565.50ns INFO [00042567] * RD COMPARE * port=0 adr=03 act=218A0C419AC0441B3E exp=218A0C419AC0441B3E + 42565.50ns INFO [00042567] Port=0 WR @05=575CC0104FD999B465 + 42566.50ns INFO [00042568] * RD COMPARE * port=0 adr=05 act=8CE1FEF5645AAD068C exp=8CE1FEF5645AAD068C + 42567.50ns INFO [00042569] Port=1 RD @01 + 42568.50ns INFO [00042570] Port=1 RD @07 + 42569.50ns INFO [00042571] * RD COMPARE * port=1 adr=01 act=7AA8B2BE286A547CB2 exp=7AA8B2BE286A547CB2 + 42569.50ns INFO [00042571] Port=1 RD @07 + 42570.50ns INFO [00042572] * RD COMPARE * port=1 adr=07 act=B756F629B1BCA19C03 exp=B756F629B1BCA19C03 + 42570.50ns INFO [00042572] Port=0 WR @00=91D9F0D687A226ADF3 + 42571.50ns INFO [00042573] * RD COMPARE * port=1 adr=07 act=B756F629B1BCA19C03 exp=B756F629B1BCA19C03 + 42572.50ns INFO [00042574] Port=0 RD @04 + 42574.50ns INFO [00042576] * RD COMPARE * port=0 adr=04 act=948E7147CE96694547 exp=948E7147CE96694547 + 42574.50ns INFO [00042576] Port=0 RD @07 + 42576.50ns INFO [00042578] * RD COMPARE * port=0 adr=07 act=B756F629B1BCA19C03 exp=B756F629B1BCA19C03 + 42576.50ns INFO [00042578] Port=1 RD @01 + 42577.50ns INFO [00042579] Port=0 WR @01=D7725CCE4BD8E9A56D + 42577.50ns INFO [00042579] Port=1 RD @02 + 42578.50ns INFO [00042580] * RD COMPARE * port=1 adr=01 act=7AA8B2BE286A547CB2 exp=7AA8B2BE286A547CB2 + 42578.50ns INFO [00042580] Port=0 RD @07 + 42578.50ns INFO [00042580] Port=1 RD @07 + 42579.50ns INFO [00042581] * RD COMPARE * port=1 adr=02 act=1254B586681BC0FA31 exp=1254B586681BC0FA31 + 42579.50ns INFO [00042581] Port=1 RD @07 + 42580.50ns INFO [00042582] * RD COMPARE * port=0 adr=07 act=B756F629B1BCA19C03 exp=B756F629B1BCA19C03 + 42580.50ns INFO [00042582] * RD COMPARE * port=1 adr=07 act=B756F629B1BCA19C03 exp=B756F629B1BCA19C03 + 42581.50ns INFO [00042583] * RD COMPARE * port=1 adr=07 act=B756F629B1BCA19C03 exp=B756F629B1BCA19C03 + 42581.50ns INFO [00042583] Port=0 RD @07 + 42581.50ns INFO [00042583] Port=1 RD @04 + 42582.50ns INFO [00042584] Port=0 WR @00=8A297DCFB16AA3E57C + 42582.50ns INFO [00042584] Port=0 RD @04 + 42583.50ns INFO [00042585] * RD COMPARE * port=0 adr=07 act=B756F629B1BCA19C03 exp=B756F629B1BCA19C03 + 42583.50ns INFO [00042585] * RD COMPARE * port=1 adr=04 act=948E7147CE96694547 exp=948E7147CE96694547 + 42583.50ns INFO [00042585] Port=0 WR @06=6D39A568F9B9D87344 + 42583.50ns INFO [00042585] Port=1 RD @01 + 42584.50ns INFO [00042586] * RD COMPARE * port=0 adr=04 act=948E7147CE96694547 exp=948E7147CE96694547 + 42584.50ns INFO [00042586] Port=0 WR @07=786AEFB11A86F63FF3 + 42585.50ns INFO [00042587] * RD COMPARE * port=1 adr=01 act=D7725CCE4BD8E9A56D exp=D7725CCE4BD8E9A56D + 42586.50ns INFO [00042588] Port=0 RD @04 + 42586.50ns INFO [00042588] Port=1 RD @04 + 42587.50ns INFO [00042589] Port=1 RD @02 + 42588.50ns INFO [00042590] * RD COMPARE * port=0 adr=04 act=948E7147CE96694547 exp=948E7147CE96694547 + 42588.50ns INFO [00042590] * RD COMPARE * port=1 adr=04 act=948E7147CE96694547 exp=948E7147CE96694547 + 42589.50ns INFO [00042591] * RD COMPARE * port=1 adr=02 act=1254B586681BC0FA31 exp=1254B586681BC0FA31 + 42589.50ns INFO [00042591] Port=0 WR @06=1E89ABAB2F4869F4B0 + 42589.50ns INFO [00042591] Port=0 RD @05 + 42590.50ns INFO [00042592] Port=0 RD @06 + 42590.50ns INFO [00042592] Port=1 RD @01 + 42591.50ns INFO [00042593] * RD COMPARE * port=0 adr=05 act=575CC0104FD999B465 exp=575CC0104FD999B465 + 42591.50ns INFO [00042593] Port=0 RD @01 + 42592.50ns INFO [00042594] * RD COMPARE * port=0 adr=06 act=1E89ABAB2F4869F4B0 exp=1E89ABAB2F4869F4B0 + 42592.50ns INFO [00042594] * RD COMPARE * port=1 adr=01 act=D7725CCE4BD8E9A56D exp=D7725CCE4BD8E9A56D + 42592.50ns INFO [00042594] Port=0 RD @02 + 42593.50ns INFO [00042595] * RD COMPARE * port=0 adr=01 act=D7725CCE4BD8E9A56D exp=D7725CCE4BD8E9A56D + 42593.50ns INFO [00042595] Port=0 RD @04 + 42593.50ns INFO [00042595] Port=1 RD @06 + 42594.50ns INFO [00042596] * RD COMPARE * port=0 adr=02 act=1254B586681BC0FA31 exp=1254B586681BC0FA31 + 42594.50ns INFO [00042596] Port=0 WR @06=41DFA3ECCB3EEB44D4 + 42595.50ns INFO [00042597] * RD COMPARE * port=0 adr=04 act=948E7147CE96694547 exp=948E7147CE96694547 + 42595.50ns INFO [00042597] * RD COMPARE * port=1 adr=06 act=1E89ABAB2F4869F4B0 exp=1E89ABAB2F4869F4B0 + 42595.50ns INFO [00042597] Port=0 WR @04=0B06B60062A7C92789 + 42596.50ns INFO [00042598] Port=0 WR @00=23563B760BB8A3AED0 + 42597.50ns INFO [00042599] Port=1 RD @00 + 42598.00ns INFO [00042600] [00042600] ...tick... + 42598.50ns INFO [00042600] Port=0 RD @06 + 42598.50ns INFO [00042600] Port=1 RD @00 + 42599.50ns INFO [00042601] * RD COMPARE * port=1 adr=00 act=23563B760BB8A3AED0 exp=23563B760BB8A3AED0 + 42600.50ns INFO [00042602] * RD COMPARE * port=0 adr=06 act=41DFA3ECCB3EEB44D4 exp=41DFA3ECCB3EEB44D4 + 42600.50ns INFO [00042602] * RD COMPARE * port=1 adr=00 act=23563B760BB8A3AED0 exp=23563B760BB8A3AED0 + 42600.50ns INFO [00042602] Port=1 RD @04 + 42601.50ns INFO [00042603] Port=1 RD @05 + 42602.50ns INFO [00042604] * RD COMPARE * port=1 adr=04 act=0B06B60062A7C92789 exp=0B06B60062A7C92789 + 42602.50ns INFO [00042604] Port=1 RD @04 + 42603.50ns INFO [00042605] * RD COMPARE * port=1 adr=05 act=575CC0104FD999B465 exp=575CC0104FD999B465 + 42603.50ns INFO [00042605] Port=0 WR @01=D9EE409ECDA20548EF + 42604.50ns INFO [00042606] * RD COMPARE * port=1 adr=04 act=0B06B60062A7C92789 exp=0B06B60062A7C92789 + 42604.50ns INFO [00042606] Port=1 RD @02 + 42605.50ns INFO [00042607] Port=0 RD @05 + 42606.50ns INFO [00042608] * RD COMPARE * port=1 adr=02 act=1254B586681BC0FA31 exp=1254B586681BC0FA31 + 42606.50ns INFO [00042608] Port=1 RD @05 + 42607.50ns INFO [00042609] * RD COMPARE * port=0 adr=05 act=575CC0104FD999B465 exp=575CC0104FD999B465 + 42607.50ns INFO [00042609] Port=0 WR @03=7A8850B54E694FDE37 + 42607.50ns INFO [00042609] Port=0 RD @01 + 42608.50ns INFO [00042610] * RD COMPARE * port=1 adr=05 act=575CC0104FD999B465 exp=575CC0104FD999B465 + 42609.50ns INFO [00042611] * RD COMPARE * port=0 adr=01 act=D9EE409ECDA20548EF exp=D9EE409ECDA20548EF + 42610.50ns INFO [00042612] Port=0 RD @07 + 42611.50ns INFO [00042613] Port=0 RD @00 + 42612.50ns INFO [00042614] * RD COMPARE * port=0 adr=07 act=786AEFB11A86F63FF3 exp=786AEFB11A86F63FF3 + 42613.50ns INFO [00042615] * RD COMPARE * port=0 adr=00 act=23563B760BB8A3AED0 exp=23563B760BB8A3AED0 + 42613.50ns INFO [00042615] Port=0 WR @03=AA847B444F23BD0399 + 42615.50ns INFO [00042617] Port=0 RD @00 + 42616.50ns INFO [00042618] Port=1 RD @06 + 42617.50ns INFO [00042619] * RD COMPARE * port=0 adr=00 act=23563B760BB8A3AED0 exp=23563B760BB8A3AED0 + 42617.50ns INFO [00042619] Port=1 RD @07 + 42618.50ns INFO [00042620] * RD COMPARE * port=1 adr=06 act=41DFA3ECCB3EEB44D4 exp=41DFA3ECCB3EEB44D4 + 42618.50ns INFO [00042620] Port=0 WR @01=C92F80CB1DCEA3FAB1 + 42619.50ns INFO [00042621] * RD COMPARE * port=1 adr=07 act=786AEFB11A86F63FF3 exp=786AEFB11A86F63FF3 + 42619.50ns INFO [00042621] Port=0 RD @01 + 42619.50ns INFO [00042621] Port=1 RD @04 + 42620.50ns INFO [00042622] Port=1 RD @06 + 42621.50ns INFO [00042623] * RD COMPARE * port=0 adr=01 act=C92F80CB1DCEA3FAB1 exp=C92F80CB1DCEA3FAB1 + 42621.50ns INFO [00042623] * RD COMPARE * port=1 adr=04 act=0B06B60062A7C92789 exp=0B06B60062A7C92789 + 42621.50ns INFO [00042623] Port=0 WR @00=DBD85A1985E78F0DE2 + 42621.50ns INFO [00042623] Port=0 RD @06 + 42621.50ns INFO [00042623] Port=1 RD @02 + 42622.50ns INFO [00042624] * RD COMPARE * port=1 adr=06 act=41DFA3ECCB3EEB44D4 exp=41DFA3ECCB3EEB44D4 + 42622.50ns INFO [00042624] Port=0 RD @04 + 42623.50ns INFO [00042625] * RD COMPARE * port=0 adr=06 act=41DFA3ECCB3EEB44D4 exp=41DFA3ECCB3EEB44D4 + 42623.50ns INFO [00042625] * RD COMPARE * port=1 adr=02 act=1254B586681BC0FA31 exp=1254B586681BC0FA31 + 42623.50ns INFO [00042625] Port=0 WR @01=D1D6B58A06F46CDFC0 + 42623.50ns INFO [00042625] Port=1 RD @06 + 42624.50ns INFO [00042626] * RD COMPARE * port=0 adr=04 act=0B06B60062A7C92789 exp=0B06B60062A7C92789 + 42624.50ns INFO [00042626] Port=0 WR @02=FF7FBE25581F619ADC + 42624.50ns INFO [00042626] Port=0 RD @04 + 42624.50ns INFO [00042626] Port=1 RD @03 + 42625.50ns INFO [00042627] * RD COMPARE * port=1 adr=06 act=41DFA3ECCB3EEB44D4 exp=41DFA3ECCB3EEB44D4 + 42625.50ns INFO [00042627] Port=0 WR @06=D64616A72CF27472ED + 42626.50ns INFO [00042628] * RD COMPARE * port=0 adr=04 act=0B06B60062A7C92789 exp=0B06B60062A7C92789 + 42626.50ns INFO [00042628] * RD COMPARE * port=1 adr=03 act=AA847B444F23BD0399 exp=AA847B444F23BD0399 + 42628.50ns INFO [00042630] Port=0 WR @02=D311ABA2062AE81D45 + 42628.50ns INFO [00042630] Port=0 RD @04 + 42630.50ns INFO [00042632] * RD COMPARE * port=0 adr=04 act=0B06B60062A7C92789 exp=0B06B60062A7C92789 + 42630.50ns INFO [00042632] Port=1 RD @07 + 42632.50ns INFO [00042634] * RD COMPARE * port=1 adr=07 act=786AEFB11A86F63FF3 exp=786AEFB11A86F63FF3 + 42632.50ns INFO [00042634] Port=0 WR @05=53348368F86E60A1AD + 42632.50ns INFO [00042634] Port=0 RD @01 + 42633.50ns INFO [00042635] Port=0 WR @07=8EDF69C96A3515A0DF + 42633.50ns INFO [00042635] Port=1 RD @00 + 42634.50ns INFO [00042636] * RD COMPARE * port=0 adr=01 act=D1D6B58A06F46CDFC0 exp=D1D6B58A06F46CDFC0 + 42634.50ns INFO [00042636] Port=0 WR @02=EDDA9B6054091178DB + 42634.50ns INFO [00042636] Port=0 RD @07 + 42634.50ns INFO [00042636] Port=1 RD @06 + 42635.50ns INFO [00042637] * RD COMPARE * port=1 adr=00 act=DBD85A1985E78F0DE2 exp=DBD85A1985E78F0DE2 + 42636.50ns INFO [00042638] * RD COMPARE * port=0 adr=07 act=8EDF69C96A3515A0DF exp=8EDF69C96A3515A0DF + 42636.50ns INFO [00042638] * RD COMPARE * port=1 adr=06 act=D64616A72CF27472ED exp=D64616A72CF27472ED + 42637.50ns INFO [00042639] Port=0 WR @06=33AA8A38BCFD7003B1 + 42637.50ns INFO [00042639] Port=0 RD @03 + 42639.50ns INFO [00042641] * RD COMPARE * port=0 adr=03 act=AA847B444F23BD0399 exp=AA847B444F23BD0399 + 42640.50ns INFO [00042642] Port=0 WR @07=D8E2E11EBF4B1B8D10 + 42642.50ns INFO [00042644] Port=0 RD @07 + 42644.50ns INFO [00042646] * RD COMPARE * port=0 adr=07 act=D8E2E11EBF4B1B8D10 exp=D8E2E11EBF4B1B8D10 + 42644.50ns INFO [00042646] Port=0 WR @01=58F2964B0C8C7C38C8 + 42645.50ns INFO [00042647] Port=0 WR @00=42DA979872416BA51B + 42647.50ns INFO [00042649] Port=0 RD @01 + 42648.50ns INFO [00042650] Port=1 RD @02 + 42649.50ns INFO [00042651] * RD COMPARE * port=0 adr=01 act=58F2964B0C8C7C38C8 exp=58F2964B0C8C7C38C8 + 42650.50ns INFO [00042652] * RD COMPARE * port=1 adr=02 act=EDDA9B6054091178DB exp=EDDA9B6054091178DB + 42650.50ns INFO [00042652] Port=0 RD @04 + 42651.50ns INFO [00042653] Port=1 RD @00 + 42652.50ns INFO [00042654] * RD COMPARE * port=0 adr=04 act=0B06B60062A7C92789 exp=0B06B60062A7C92789 + 42653.50ns INFO [00042655] * RD COMPARE * port=1 adr=00 act=42DA979872416BA51B exp=42DA979872416BA51B + 42653.50ns INFO [00042655] Port=1 RD @00 + 42655.50ns INFO [00042657] * RD COMPARE * port=1 adr=00 act=42DA979872416BA51B exp=42DA979872416BA51B + 42655.50ns INFO [00042657] Port=0 RD @05 + 42656.50ns INFO [00042658] Port=1 RD @07 + 42657.50ns INFO [00042659] * RD COMPARE * port=0 adr=05 act=53348368F86E60A1AD exp=53348368F86E60A1AD + 42657.50ns INFO [00042659] Port=0 WR @02=6ACC4783EC93DE2A7D + 42658.50ns INFO [00042660] * RD COMPARE * port=1 adr=07 act=D8E2E11EBF4B1B8D10 exp=D8E2E11EBF4B1B8D10 + 42658.50ns INFO [00042660] Port=0 RD @06 + 42658.50ns INFO [00042660] Port=1 RD @02 + 42660.50ns INFO [00042662] * RD COMPARE * port=0 adr=06 act=33AA8A38BCFD7003B1 exp=33AA8A38BCFD7003B1 + 42660.50ns INFO [00042662] * RD COMPARE * port=1 adr=02 act=6ACC4783EC93DE2A7D exp=6ACC4783EC93DE2A7D + 42661.50ns INFO [00042663] Port=0 RD @04 + 42662.50ns INFO [00042664] Port=0 RD @00 + 42662.50ns INFO [00042664] Port=1 RD @02 + 42663.50ns INFO [00042665] * RD COMPARE * port=0 adr=04 act=0B06B60062A7C92789 exp=0B06B60062A7C92789 + 42663.50ns INFO [00042665] Port=0 WR @03=B0D55994DD25AB0848 + 42664.50ns INFO [00042666] * RD COMPARE * port=0 adr=00 act=42DA979872416BA51B exp=42DA979872416BA51B + 42664.50ns INFO [00042666] * RD COMPARE * port=1 adr=02 act=6ACC4783EC93DE2A7D exp=6ACC4783EC93DE2A7D + 42664.50ns INFO [00042666] Port=0 RD @02 + 42665.50ns INFO [00042667] Port=0 WR @01=8755A17150508CF465 + 42666.50ns INFO [00042668] * RD COMPARE * port=0 adr=02 act=6ACC4783EC93DE2A7D exp=6ACC4783EC93DE2A7D + 42667.50ns INFO [00042669] Port=0 RD @04 + 42668.50ns INFO [00042670] Port=0 RD @03 + 42668.50ns INFO [00042670] Port=1 RD @05 + 42669.50ns INFO [00042671] * RD COMPARE * port=0 adr=04 act=0B06B60062A7C92789 exp=0B06B60062A7C92789 + 42670.50ns INFO [00042672] * RD COMPARE * port=0 adr=03 act=B0D55994DD25AB0848 exp=B0D55994DD25AB0848 + 42670.50ns INFO [00042672] * RD COMPARE * port=1 adr=05 act=53348368F86E60A1AD exp=53348368F86E60A1AD + 42671.50ns INFO [00042673] Port=0 RD @01 + 42671.50ns INFO [00042673] Port=1 RD @01 + 42672.50ns INFO [00042674] Port=0 RD @04 + 42673.50ns INFO [00042675] * RD COMPARE * port=0 adr=01 act=8755A17150508CF465 exp=8755A17150508CF465 + 42673.50ns INFO [00042675] * RD COMPARE * port=1 adr=01 act=8755A17150508CF465 exp=8755A17150508CF465 + 42673.50ns INFO [00042675] Port=0 RD @03 + 42673.50ns INFO [00042675] Port=1 RD @06 + 42674.50ns INFO [00042676] * RD COMPARE * port=0 adr=04 act=0B06B60062A7C92789 exp=0B06B60062A7C92789 + 42674.50ns INFO [00042676] Port=0 RD @00 + 42674.50ns INFO [00042676] Port=1 RD @01 + 42675.50ns INFO [00042677] * RD COMPARE * port=0 adr=03 act=B0D55994DD25AB0848 exp=B0D55994DD25AB0848 + 42675.50ns INFO [00042677] * RD COMPARE * port=1 adr=06 act=33AA8A38BCFD7003B1 exp=33AA8A38BCFD7003B1 + 42676.50ns INFO [00042678] * RD COMPARE * port=0 adr=00 act=42DA979872416BA51B exp=42DA979872416BA51B + 42676.50ns INFO [00042678] * RD COMPARE * port=1 adr=01 act=8755A17150508CF465 exp=8755A17150508CF465 + 42676.50ns INFO [00042678] Port=1 RD @06 + 42677.50ns INFO [00042679] Port=0 WR @03=CF06F08B7684AD6066 + 42677.50ns INFO [00042679] Port=0 RD @06 + 42678.50ns INFO [00042680] * RD COMPARE * port=1 adr=06 act=33AA8A38BCFD7003B1 exp=33AA8A38BCFD7003B1 + 42678.50ns INFO [00042680] Port=0 RD @02 + 42679.50ns INFO [00042681] * RD COMPARE * port=0 adr=06 act=33AA8A38BCFD7003B1 exp=33AA8A38BCFD7003B1 + 42680.50ns INFO [00042682] * RD COMPARE * port=0 adr=02 act=6ACC4783EC93DE2A7D exp=6ACC4783EC93DE2A7D + 42681.50ns INFO [00042683] Port=1 RD @03 + 42683.50ns INFO [00042685] * RD COMPARE * port=1 adr=03 act=CF06F08B7684AD6066 exp=CF06F08B7684AD6066 + 42683.50ns INFO [00042685] Port=0 WR @04=4EF4A416298B3E1FD2 + 42683.50ns INFO [00042685] Port=0 RD @06 + 42684.50ns INFO [00042686] Port=0 WR @00=612C111A8FA5BE199E + 42684.50ns INFO [00042686] Port=0 RD @03 + 42684.50ns INFO [00042686] Port=1 RD @07 + 42685.50ns INFO [00042687] * RD COMPARE * port=0 adr=06 act=33AA8A38BCFD7003B1 exp=33AA8A38BCFD7003B1 + 42685.50ns INFO [00042687] Port=0 WR @04=20C1DB6FB11CD09CC6 + 42685.50ns INFO [00042687] Port=1 RD @05 + 42686.50ns INFO [00042688] * RD COMPARE * port=0 adr=03 act=CF06F08B7684AD6066 exp=CF06F08B7684AD6066 + 42686.50ns INFO [00042688] * RD COMPARE * port=1 adr=07 act=D8E2E11EBF4B1B8D10 exp=D8E2E11EBF4B1B8D10 + 42687.50ns INFO [00042689] * RD COMPARE * port=1 adr=05 act=53348368F86E60A1AD exp=53348368F86E60A1AD + 42687.50ns INFO [00042689] Port=1 RD @03 + 42688.50ns INFO [00042690] Port=0 WR @03=E18E7B8F17CB4B5370 + 42688.50ns INFO [00042690] Port=1 RD @01 + 42689.50ns INFO [00042691] * RD COMPARE * port=1 adr=03 act=CF06F08B7684AD6066 exp=CF06F08B7684AD6066 + 42689.50ns INFO [00042691] Port=0 RD @02 + 42690.50ns INFO [00042692] * RD COMPARE * port=1 adr=01 act=8755A17150508CF465 exp=8755A17150508CF465 + 42690.50ns INFO [00042692] Port=1 RD @04 + 42691.50ns INFO [00042693] * RD COMPARE * port=0 adr=02 act=6ACC4783EC93DE2A7D exp=6ACC4783EC93DE2A7D + 42691.50ns INFO [00042693] Port=0 WR @02=FCEB0FB765B81FBC09 + 42691.50ns INFO [00042693] Port=1 RD @01 + 42692.50ns INFO [00042694] * RD COMPARE * port=1 adr=04 act=20C1DB6FB11CD09CC6 exp=20C1DB6FB11CD09CC6 + 42692.50ns INFO [00042694] Port=0 RD @06 + 42692.50ns INFO [00042694] Port=1 RD @04 + 42693.50ns INFO [00042695] * RD COMPARE * port=1 adr=01 act=8755A17150508CF465 exp=8755A17150508CF465 + 42693.50ns INFO [00042695] Port=1 RD @01 + 42694.50ns INFO [00042696] * RD COMPARE * port=0 adr=06 act=33AA8A38BCFD7003B1 exp=33AA8A38BCFD7003B1 + 42694.50ns INFO [00042696] * RD COMPARE * port=1 adr=04 act=20C1DB6FB11CD09CC6 exp=20C1DB6FB11CD09CC6 + 42695.50ns INFO [00042697] * RD COMPARE * port=1 adr=01 act=8755A17150508CF465 exp=8755A17150508CF465 + 42695.50ns INFO [00042697] Port=0 WR @00=36C0B75F0FB1E4F396 + 42695.50ns INFO [00042697] Port=1 RD @04 + 42696.50ns INFO [00042698] Port=0 WR @00=18D4C6F74E0F6EE3D6 + 42697.50ns INFO [00042699] * RD COMPARE * port=1 adr=04 act=20C1DB6FB11CD09CC6 exp=20C1DB6FB11CD09CC6 + 42698.00ns INFO [00042700] [00042700] ...tick... + 42699.50ns INFO [00042701] Port=0 WR @06=982737204D9BA00AC8 + 42699.50ns INFO [00042701] Port=0 RD @07 + 42700.50ns INFO [00042702] Port=1 RD @02 + 42701.50ns INFO [00042703] * RD COMPARE * port=0 adr=07 act=D8E2E11EBF4B1B8D10 exp=D8E2E11EBF4B1B8D10 + 42701.50ns INFO [00042703] Port=0 WR @03=9F86DF066083C463F9 + 42702.50ns INFO [00042704] * RD COMPARE * port=1 adr=02 act=FCEB0FB765B81FBC09 exp=FCEB0FB765B81FBC09 + 42702.50ns INFO [00042704] Port=0 WR @01=94B2BA9CFD4C047B58 + 42702.50ns INFO [00042704] Port=1 RD @05 + 42703.50ns INFO [00042705] Port=0 RD @00 + 42704.50ns INFO [00042706] * RD COMPARE * port=1 adr=05 act=53348368F86E60A1AD exp=53348368F86E60A1AD + 42704.50ns INFO [00042706] Port=0 WR @07=1104BF78629E079377 + 42704.50ns INFO [00042706] Port=1 RD @04 + 42705.50ns INFO [00042707] * RD COMPARE * port=0 adr=00 act=18D4C6F74E0F6EE3D6 exp=18D4C6F74E0F6EE3D6 + 42705.50ns INFO [00042707] Port=0 WR @04=ECF286667330355A9B + 42705.50ns INFO [00042707] Port=0 RD @05 + 42706.50ns INFO [00042708] * RD COMPARE * port=1 adr=04 act=20C1DB6FB11CD09CC6 exp=20C1DB6FB11CD09CC6 + 42706.50ns INFO [00042708] Port=0 RD @00 + 42707.50ns INFO [00042709] * RD COMPARE * port=0 adr=05 act=53348368F86E60A1AD exp=53348368F86E60A1AD + 42708.50ns INFO [00042710] * RD COMPARE * port=0 adr=00 act=18D4C6F74E0F6EE3D6 exp=18D4C6F74E0F6EE3D6 + 42708.50ns INFO [00042710] Port=0 WR @02=682546CC1EC0FBCE8C + 42708.50ns INFO [00042710] Port=0 RD @00 + 42708.50ns INFO [00042710] Port=1 RD @07 + 42709.50ns INFO [00042711] Port=0 RD @00 + 42709.50ns INFO [00042711] Port=1 RD @00 + 42710.50ns INFO [00042712] * RD COMPARE * port=0 adr=00 act=18D4C6F74E0F6EE3D6 exp=18D4C6F74E0F6EE3D6 + 42710.50ns INFO [00042712] * RD COMPARE * port=1 adr=07 act=1104BF78629E079377 exp=1104BF78629E079377 + 42710.50ns INFO [00042712] Port=0 WR @01=D9D814BBDBC4B56C3C + 42710.50ns INFO [00042712] Port=0 RD @07 + 42710.50ns INFO [00042712] Port=1 RD @02 + 42711.50ns INFO [00042713] * RD COMPARE * port=0 adr=00 act=18D4C6F74E0F6EE3D6 exp=18D4C6F74E0F6EE3D6 + 42711.50ns INFO [00042713] * RD COMPARE * port=1 adr=00 act=18D4C6F74E0F6EE3D6 exp=18D4C6F74E0F6EE3D6 + 42711.50ns INFO [00042713] Port=1 RD @00 + 42712.50ns INFO [00042714] * RD COMPARE * port=0 adr=07 act=1104BF78629E079377 exp=1104BF78629E079377 + 42712.50ns INFO [00042714] * RD COMPARE * port=1 adr=02 act=682546CC1EC0FBCE8C exp=682546CC1EC0FBCE8C + 42712.50ns INFO [00042714] Port=0 WR @02=CAEA35FD5A9C6FDB0E + 42712.50ns INFO [00042714] Port=0 RD @04 + 42713.50ns INFO [00042715] * RD COMPARE * port=1 adr=00 act=18D4C6F74E0F6EE3D6 exp=18D4C6F74E0F6EE3D6 + 42713.50ns INFO [00042715] Port=0 RD @02 + 42713.50ns INFO [00042715] Port=1 RD @04 + 42714.50ns INFO [00042716] * RD COMPARE * port=0 adr=04 act=ECF286667330355A9B exp=ECF286667330355A9B + 42714.50ns INFO [00042716] Port=0 RD @05 + 42715.50ns INFO [00042717] * RD COMPARE * port=0 adr=02 act=CAEA35FD5A9C6FDB0E exp=CAEA35FD5A9C6FDB0E + 42715.50ns INFO [00042717] * RD COMPARE * port=1 adr=04 act=ECF286667330355A9B exp=ECF286667330355A9B + 42715.50ns INFO [00042717] Port=0 WR @00=5EB3A2FF4BEB07DE3D + 42715.50ns INFO [00042717] Port=0 RD @03 + 42716.50ns INFO [00042718] * RD COMPARE * port=0 adr=05 act=53348368F86E60A1AD exp=53348368F86E60A1AD + 42716.50ns INFO [00042718] Port=0 WR @03=FA5203D5C1B4404284 + 42716.50ns INFO [00042718] Port=0 RD @00 + 42717.50ns INFO [00042719] * RD COMPARE * port=0 adr=03 act=9F86DF066083C463F9 exp=9F86DF066083C463F9 + 42717.50ns INFO [00042719] Port=0 WR @06=73A2570962C118AC4F + 42717.50ns INFO [00042719] Port=0 RD @00 + 42718.50ns INFO [00042720] * RD COMPARE * port=0 adr=00 act=5EB3A2FF4BEB07DE3D exp=5EB3A2FF4BEB07DE3D + 42718.50ns INFO [00042720] Port=0 RD @02 + 42719.50ns INFO [00042721] * RD COMPARE * port=0 adr=00 act=5EB3A2FF4BEB07DE3D exp=5EB3A2FF4BEB07DE3D + 42720.50ns INFO [00042722] * RD COMPARE * port=0 adr=02 act=CAEA35FD5A9C6FDB0E exp=CAEA35FD5A9C6FDB0E + 42720.50ns INFO [00042722] Port=0 WR @02=D3639D0FE1ABE4553F + 42720.50ns INFO [00042722] Port=0 RD @06 + 42722.50ns INFO [00042724] * RD COMPARE * port=0 adr=06 act=73A2570962C118AC4F exp=73A2570962C118AC4F + 42722.50ns INFO [00042724] Port=0 WR @01=F6D83E3FD2BF0912E6 + 42722.50ns INFO [00042724] Port=0 RD @05 + 42723.50ns INFO [00042725] Port=0 WR @05=4ECB4B2DE263ED11E1 + 42724.50ns INFO [00042726] * RD COMPARE * port=0 adr=05 act=53348368F86E60A1AD exp=53348368F86E60A1AD + 42724.50ns INFO [00042726] Port=0 WR @07=AF247505685E375DAC + 42725.50ns INFO [00042727] Port=0 RD @03 + 42727.50ns INFO [00042729] * RD COMPARE * port=0 adr=03 act=FA5203D5C1B4404284 exp=FA5203D5C1B4404284 + 42727.50ns INFO [00042729] Port=0 RD @04 + 42728.50ns INFO [00042730] Port=1 RD @02 + 42729.50ns INFO [00042731] * RD COMPARE * port=0 adr=04 act=ECF286667330355A9B exp=ECF286667330355A9B + 42730.50ns INFO [00042732] * RD COMPARE * port=1 adr=02 act=D3639D0FE1ABE4553F exp=D3639D0FE1ABE4553F + 42730.50ns INFO [00042732] Port=0 WR @04=D2F5EFBA535C71B6B5 + 42731.50ns INFO [00042733] Port=0 WR @05=C01F749EC2E3EBC1C9 + 42731.50ns INFO [00042733] Port=0 RD @00 + 42733.50ns INFO [00042735] * RD COMPARE * port=0 adr=00 act=5EB3A2FF4BEB07DE3D exp=5EB3A2FF4BEB07DE3D + 42734.50ns INFO [00042736] Port=0 RD @01 + 42735.50ns INFO [00042737] Port=0 RD @05 + 42736.50ns INFO [00042738] * RD COMPARE * port=0 adr=01 act=F6D83E3FD2BF0912E6 exp=F6D83E3FD2BF0912E6 + 42737.50ns INFO [00042739] * RD COMPARE * port=0 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42737.50ns INFO [00042739] Port=0 RD @04 + 42738.50ns INFO [00042740] Port=0 RD @05 + 42738.50ns INFO [00042740] Port=1 RD @05 + 42739.50ns INFO [00042741] * RD COMPARE * port=0 adr=04 act=D2F5EFBA535C71B6B5 exp=D2F5EFBA535C71B6B5 + 42739.50ns INFO [00042741] Port=0 RD @03 + 42740.50ns INFO [00042742] * RD COMPARE * port=0 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42740.50ns INFO [00042742] * RD COMPARE * port=1 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42740.50ns INFO [00042742] Port=1 RD @05 + 42741.50ns INFO [00042743] * RD COMPARE * port=0 adr=03 act=FA5203D5C1B4404284 exp=FA5203D5C1B4404284 + 42741.50ns INFO [00042743] Port=0 WR @04=80365CC7D5615B5FEE + 42742.50ns INFO [00042744] * RD COMPARE * port=1 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42742.50ns INFO [00042744] Port=0 RD @03 + 42742.50ns INFO [00042744] Port=1 RD @07 + 42743.50ns INFO [00042745] Port=0 WR @06=EF67B1BEA5EF015B09 + 42743.50ns INFO [00042745] Port=0 RD @07 + 42744.50ns INFO [00042746] * RD COMPARE * port=0 adr=03 act=FA5203D5C1B4404284 exp=FA5203D5C1B4404284 + 42744.50ns INFO [00042746] * RD COMPARE * port=1 adr=07 act=AF247505685E375DAC exp=AF247505685E375DAC + 42745.50ns INFO [00042747] * RD COMPARE * port=0 adr=07 act=AF247505685E375DAC exp=AF247505685E375DAC + 42745.50ns INFO [00042747] Port=0 RD @00 + 42745.50ns INFO [00042747] Port=1 RD @01 + 42747.50ns INFO [00042749] * RD COMPARE * port=0 adr=00 act=5EB3A2FF4BEB07DE3D exp=5EB3A2FF4BEB07DE3D + 42747.50ns INFO [00042749] * RD COMPARE * port=1 adr=01 act=F6D83E3FD2BF0912E6 exp=F6D83E3FD2BF0912E6 + 42747.50ns INFO [00042749] Port=0 WR @00=0DF1874BE05771DE0B + 42747.50ns INFO [00042749] Port=0 RD @04 + 42747.50ns INFO [00042749] Port=1 RD @05 + 42749.50ns INFO [00042751] * RD COMPARE * port=0 adr=04 act=80365CC7D5615B5FEE exp=80365CC7D5615B5FEE + 42749.50ns INFO [00042751] * RD COMPARE * port=1 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42750.50ns INFO [00042752] Port=0 WR @07=71F9BA43192637429B + 42751.50ns INFO [00042753] Port=1 RD @04 + 42752.50ns INFO [00042754] Port=0 WR @04=89F6828E7A0F89BF08 + 42752.50ns INFO [00042754] Port=0 RD @05 + 42753.50ns INFO [00042755] * RD COMPARE * port=1 adr=04 act=80365CC7D5615B5FEE exp=80365CC7D5615B5FEE + 42753.50ns INFO [00042755] Port=0 RD @00 + 42753.50ns INFO [00042755] Port=1 RD @03 + 42754.50ns INFO [00042756] * RD COMPARE * port=0 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42755.50ns INFO [00042757] * RD COMPARE * port=0 adr=00 act=0DF1874BE05771DE0B exp=0DF1874BE05771DE0B + 42755.50ns INFO [00042757] * RD COMPARE * port=1 adr=03 act=FA5203D5C1B4404284 exp=FA5203D5C1B4404284 + 42755.50ns INFO [00042757] Port=0 RD @03 + 42755.50ns INFO [00042757] Port=1 RD @00 + 42757.50ns INFO [00042759] * RD COMPARE * port=0 adr=03 act=FA5203D5C1B4404284 exp=FA5203D5C1B4404284 + 42757.50ns INFO [00042759] * RD COMPARE * port=1 adr=00 act=0DF1874BE05771DE0B exp=0DF1874BE05771DE0B + 42759.50ns INFO [00042761] Port=0 RD @00 + 42760.50ns INFO [00042762] Port=0 RD @07 + 42761.50ns INFO [00042763] * RD COMPARE * port=0 adr=00 act=0DF1874BE05771DE0B exp=0DF1874BE05771DE0B + 42761.50ns INFO [00042763] Port=1 RD @00 + 42762.50ns INFO [00042764] * RD COMPARE * port=0 adr=07 act=71F9BA43192637429B exp=71F9BA43192637429B + 42763.50ns INFO [00042765] * RD COMPARE * port=1 adr=00 act=0DF1874BE05771DE0B exp=0DF1874BE05771DE0B + 42763.50ns INFO [00042765] Port=0 WR @04=B4B5B55E4D92D7C06F + 42764.50ns INFO [00042766] Port=1 RD @07 + 42765.50ns INFO [00042767] Port=0 RD @05 + 42766.50ns INFO [00042768] * RD COMPARE * port=1 adr=07 act=71F9BA43192637429B exp=71F9BA43192637429B + 42766.50ns INFO [00042768] Port=0 RD @03 + 42767.50ns INFO [00042769] * RD COMPARE * port=0 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42767.50ns INFO [00042769] Port=0 WR @06=8BD2C6961F9FA47BBF + 42768.50ns INFO [00042770] * RD COMPARE * port=0 adr=03 act=FA5203D5C1B4404284 exp=FA5203D5C1B4404284 + 42768.50ns INFO [00042770] Port=0 WR @06=8A7802071325570C7D + 42768.50ns INFO [00042770] Port=0 RD @01 + 42769.50ns INFO [00042771] Port=0 RD @03 + 42770.50ns INFO [00042772] * RD COMPARE * port=0 adr=01 act=F6D83E3FD2BF0912E6 exp=F6D83E3FD2BF0912E6 + 42770.50ns INFO [00042772] Port=1 RD @05 + 42771.50ns INFO [00042773] * RD COMPARE * port=0 adr=03 act=FA5203D5C1B4404284 exp=FA5203D5C1B4404284 + 42771.50ns INFO [00042773] Port=1 RD @07 + 42772.50ns INFO [00042774] * RD COMPARE * port=1 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42772.50ns INFO [00042774] Port=0 WR @07=9B83DBAB3180E048E3 + 42772.50ns INFO [00042774] Port=1 RD @02 + 42773.50ns INFO [00042775] * RD COMPARE * port=1 adr=07 act=71F9BA43192637429B exp=71F9BA43192637429B + 42773.50ns INFO [00042775] Port=1 RD @01 + 42774.50ns INFO [00042776] * RD COMPARE * port=1 adr=02 act=D3639D0FE1ABE4553F exp=D3639D0FE1ABE4553F + 42774.50ns INFO [00042776] Port=0 RD @07 + 42775.50ns INFO [00042777] * RD COMPARE * port=1 adr=01 act=F6D83E3FD2BF0912E6 exp=F6D83E3FD2BF0912E6 + 42775.50ns INFO [00042777] Port=0 RD @03 + 42775.50ns INFO [00042777] Port=1 RD @05 + 42776.50ns INFO [00042778] * RD COMPARE * port=0 adr=07 act=9B83DBAB3180E048E3 exp=9B83DBAB3180E048E3 + 42776.50ns INFO [00042778] Port=0 RD @00 + 42777.50ns INFO [00042779] * RD COMPARE * port=0 adr=03 act=FA5203D5C1B4404284 exp=FA5203D5C1B4404284 + 42777.50ns INFO [00042779] * RD COMPARE * port=1 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42777.50ns INFO [00042779] Port=1 RD @00 + 42778.50ns INFO [00042780] * RD COMPARE * port=0 adr=00 act=0DF1874BE05771DE0B exp=0DF1874BE05771DE0B + 42778.50ns INFO [00042780] Port=0 WR @06=A4814D92E6AC986209 + 42779.50ns INFO [00042781] * RD COMPARE * port=1 adr=00 act=0DF1874BE05771DE0B exp=0DF1874BE05771DE0B + 42779.50ns INFO [00042781] Port=0 WR @03=864D9C5E972FC9FEB5 + 42779.50ns INFO [00042781] Port=1 RD @01 + 42780.50ns INFO [00042782] Port=0 RD @03 + 42780.50ns INFO [00042782] Port=1 RD @04 + 42781.50ns INFO [00042783] * RD COMPARE * port=1 adr=01 act=F6D83E3FD2BF0912E6 exp=F6D83E3FD2BF0912E6 + 42782.50ns INFO [00042784] * RD COMPARE * port=0 adr=03 act=864D9C5E972FC9FEB5 exp=864D9C5E972FC9FEB5 + 42782.50ns INFO [00042784] * RD COMPARE * port=1 adr=04 act=B4B5B55E4D92D7C06F exp=B4B5B55E4D92D7C06F + 42783.50ns INFO [00042785] Port=1 RD @05 + 42784.50ns INFO [00042786] Port=0 WR @03=390FE316270C7B72D7 + 42785.50ns INFO [00042787] * RD COMPARE * port=1 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42785.50ns INFO [00042787] Port=0 WR @03=1F8A82DECF243020D5 + 42788.50ns INFO [00042790] Port=0 RD @05 + 42788.50ns INFO [00042790] Port=1 RD @03 + 42790.50ns INFO [00042792] * RD COMPARE * port=0 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42790.50ns INFO [00042792] * RD COMPARE * port=1 adr=03 act=1F8A82DECF243020D5 exp=1F8A82DECF243020D5 + 42791.50ns INFO [00042793] Port=0 RD @06 + 42791.50ns INFO [00042793] Port=1 RD @06 + 42792.50ns INFO [00042794] Port=0 WR @02=46D914BDC213C0ED6F + 42793.50ns INFO [00042795] * RD COMPARE * port=0 adr=06 act=A4814D92E6AC986209 exp=A4814D92E6AC986209 + 42793.50ns INFO [00042795] * RD COMPARE * port=1 adr=06 act=A4814D92E6AC986209 exp=A4814D92E6AC986209 + 42793.50ns INFO [00042795] Port=0 WR @03=A996FF386ECAD7101E + 42793.50ns INFO [00042795] Port=0 RD @06 + 42794.50ns INFO [00042796] Port=1 RD @04 + 42795.50ns INFO [00042797] * RD COMPARE * port=0 adr=06 act=A4814D92E6AC986209 exp=A4814D92E6AC986209 + 42795.50ns INFO [00042797] Port=0 WR @02=B9C01A9DA97A426B21 + 42795.50ns INFO [00042797] Port=1 RD @00 + 42796.50ns INFO [00042798] * RD COMPARE * port=1 adr=04 act=B4B5B55E4D92D7C06F exp=B4B5B55E4D92D7C06F + 42796.50ns INFO [00042798] Port=0 WR @00=446322508CB1723F35 + 42796.50ns INFO [00042798] Port=0 RD @06 + 42796.50ns INFO [00042798] Port=1 RD @05 + 42797.50ns INFO [00042799] * RD COMPARE * port=1 adr=00 act=0DF1874BE05771DE0B exp=0DF1874BE05771DE0B + 42797.50ns INFO [00042799] Port=0 WR @07=895F27DD1EF1667EFE + 42798.00ns INFO [00042800] [00042800] ...tick... + 42798.50ns INFO [00042800] * RD COMPARE * port=0 adr=06 act=A4814D92E6AC986209 exp=A4814D92E6AC986209 + 42798.50ns INFO [00042800] * RD COMPARE * port=1 adr=05 act=C01F749EC2E3EBC1C9 exp=C01F749EC2E3EBC1C9 + 42798.50ns INFO [00042800] Port=0 RD @06 + 42799.50ns INFO [00042801] Port=0 WR @02=4DAC7905161AAA34A4 + 42799.50ns INFO [00042801] Port=0 RD @06 + 42800.50ns INFO [00042802] * RD COMPARE * port=0 adr=06 act=A4814D92E6AC986209 exp=A4814D92E6AC986209 + 42800.50ns INFO [00042802] Port=0 WR @06=1A0E19E80E8B606CC0 + 42801.50ns INFO [00042803] * RD COMPARE * port=0 adr=06 act=A4814D92E6AC986209 exp=A4814D92E6AC986209 + 42801.50ns INFO [00042803] Port=0 WR @05=90520692C84BC69AAD + 42802.50ns INFO [00042804] Port=0 WR @02=00AC35653EA80A5EE3 + 42804.50ns INFO [00042806] Port=0 WR @05=135B542B7B570FB987 + 42804.50ns INFO [00042806] Port=0 RD @00 + 42805.50ns INFO [00042807] Port=1 RD @02 + 42806.50ns INFO [00042808] * RD COMPARE * port=0 adr=00 act=446322508CB1723F35 exp=446322508CB1723F35 + 42806.50ns INFO [00042808] Port=1 RD @05 + 42807.50ns INFO [00042809] * RD COMPARE * port=1 adr=02 act=00AC35653EA80A5EE3 exp=00AC35653EA80A5EE3 + 42807.50ns INFO [00042809] Port=0 WR @01=AAAC27D87DECC59B36 + 42807.50ns INFO [00042809] Port=0 RD @05 + 42808.50ns INFO [00042810] * RD COMPARE * port=1 adr=05 act=135B542B7B570FB987 exp=135B542B7B570FB987 + 42809.50ns INFO [00042811] * RD COMPARE * port=0 adr=05 act=135B542B7B570FB987 exp=135B542B7B570FB987 + 42809.50ns INFO [00042811] Port=0 RD @05 + 42809.50ns INFO [00042811] Port=1 RD @06 + 42811.50ns INFO [00042813] * RD COMPARE * port=0 adr=05 act=135B542B7B570FB987 exp=135B542B7B570FB987 + 42811.50ns INFO [00042813] * RD COMPARE * port=1 adr=06 act=1A0E19E80E8B606CC0 exp=1A0E19E80E8B606CC0 + 42812.50ns INFO [00042814] Port=1 RD @05 + 42813.50ns INFO [00042815] Port=1 RD @01 + 42814.50ns INFO [00042816] * RD COMPARE * port=1 adr=05 act=135B542B7B570FB987 exp=135B542B7B570FB987 + 42815.50ns INFO [00042817] * RD COMPARE * port=1 adr=01 act=AAAC27D87DECC59B36 exp=AAAC27D87DECC59B36 + 42817.50ns INFO [00042819] Port=0 RD @00 + 42818.50ns INFO [00042820] Port=0 WR @00=65935F4851CA80F1E6 + 42818.50ns INFO [00042820] Port=0 RD @06 + 42819.50ns INFO [00042821] * RD COMPARE * port=0 adr=00 act=446322508CB1723F35 exp=446322508CB1723F35 + 42820.50ns INFO [00042822] * RD COMPARE * port=0 adr=06 act=1A0E19E80E8B606CC0 exp=1A0E19E80E8B606CC0 + 42820.50ns INFO [00042822] Port=0 RD @02 + 42821.50ns INFO [00042823] Port=0 RD @00 + 42822.50ns INFO [00042824] * RD COMPARE * port=0 adr=02 act=00AC35653EA80A5EE3 exp=00AC35653EA80A5EE3 + 42822.50ns INFO [00042824] Port=1 RD @06 + 42823.50ns INFO [00042825] * RD COMPARE * port=0 adr=00 act=65935F4851CA80F1E6 exp=65935F4851CA80F1E6 + 42823.50ns INFO [00042825] Port=0 WR @05=969D134CC3AAF39D19 + 42824.50ns INFO [00042826] * RD COMPARE * port=1 adr=06 act=1A0E19E80E8B606CC0 exp=1A0E19E80E8B606CC0 + 42824.50ns INFO [00042826] Port=0 WR @01=095479BB734BE26C10 + 42824.50ns INFO [00042826] Port=1 RD @03 + 42825.50ns INFO [00042827] Port=0 WR @03=A411A29C3F475EF939 + 42825.50ns INFO [00042827] Port=0 RD @05 + 42825.50ns INFO [00042827] Port=1 RD @07 + 42826.50ns INFO [00042828] * RD COMPARE * port=1 adr=03 act=A996FF386ECAD7101E exp=A996FF386ECAD7101E + 42826.50ns INFO [00042828] Port=0 WR @00=8B988E35A05A33822F + 42826.50ns INFO [00042828] Port=0 RD @02 + 42826.50ns INFO [00042828] Port=1 RD @03 + 42827.50ns INFO [00042829] * RD COMPARE * port=0 adr=05 act=969D134CC3AAF39D19 exp=969D134CC3AAF39D19 + 42827.50ns INFO [00042829] * RD COMPARE * port=1 adr=07 act=895F27DD1EF1667EFE exp=895F27DD1EF1667EFE + 42827.50ns INFO [00042829] Port=0 WR @04=342F0F3DCBB8F764EE + 42827.50ns INFO [00042829] Port=0 RD @01 + 42828.50ns INFO [00042830] * RD COMPARE * port=0 adr=02 act=00AC35653EA80A5EE3 exp=00AC35653EA80A5EE3 + 42828.50ns INFO [00042830] * RD COMPARE * port=1 adr=03 act=A411A29C3F475EF939 exp=A411A29C3F475EF939 + 42828.50ns INFO [00042830] Port=0 WR @02=53332CA0C1B95A9E97 + 42829.50ns INFO [00042831] * RD COMPARE * port=0 adr=01 act=095479BB734BE26C10 exp=095479BB734BE26C10 + 42829.50ns INFO [00042831] Port=1 RD @02 + 42830.50ns INFO [00042832] Port=1 RD @01 + 42831.50ns INFO [00042833] * RD COMPARE * port=1 adr=02 act=53332CA0C1B95A9E97 exp=53332CA0C1B95A9E97 + 42832.50ns INFO [00042834] * RD COMPARE * port=1 adr=01 act=095479BB734BE26C10 exp=095479BB734BE26C10 + 42836.50ns INFO [00042838] Port=0 RD @04 + 42837.50ns INFO [00042839] Port=0 RD @07 + 42837.50ns INFO [00042839] Port=1 RD @05 + 42838.50ns INFO [00042840] * RD COMPARE * port=0 adr=04 act=342F0F3DCBB8F764EE exp=342F0F3DCBB8F764EE + 42838.50ns INFO [00042840] Port=1 RD @03 + 42839.50ns INFO [00042841] * RD COMPARE * port=0 adr=07 act=895F27DD1EF1667EFE exp=895F27DD1EF1667EFE + 42839.50ns INFO [00042841] * RD COMPARE * port=1 adr=05 act=969D134CC3AAF39D19 exp=969D134CC3AAF39D19 + 42839.50ns INFO [00042841] Port=0 RD @07 + 42839.50ns INFO [00042841] Port=1 RD @05 + 42840.50ns INFO [00042842] * RD COMPARE * port=1 adr=03 act=A411A29C3F475EF939 exp=A411A29C3F475EF939 + 42841.50ns INFO [00042843] * RD COMPARE * port=0 adr=07 act=895F27DD1EF1667EFE exp=895F27DD1EF1667EFE + 42841.50ns INFO [00042843] * RD COMPARE * port=1 adr=05 act=969D134CC3AAF39D19 exp=969D134CC3AAF39D19 + 42841.50ns INFO [00042843] Port=1 RD @03 + 42842.50ns INFO [00042844] Port=0 WR @04=1EABA5314457101FC2 + 42843.50ns INFO [00042845] * RD COMPARE * port=1 adr=03 act=A411A29C3F475EF939 exp=A411A29C3F475EF939 + 42844.50ns INFO [00042846] Port=0 RD @01 + 42845.50ns INFO [00042847] Port=0 WR @06=D59403FB5CBBE22649 + 42845.50ns INFO [00042847] Port=1 RD @00 + 42846.50ns INFO [00042848] * RD COMPARE * port=0 adr=01 act=095479BB734BE26C10 exp=095479BB734BE26C10 + 42846.50ns INFO [00042848] Port=0 WR @06=EEA5ED319F767092B0 + 42846.50ns INFO [00042848] Port=1 RD @02 + 42847.50ns INFO [00042849] * RD COMPARE * port=1 adr=00 act=8B988E35A05A33822F exp=8B988E35A05A33822F + 42848.50ns INFO [00042850] * RD COMPARE * port=1 adr=02 act=53332CA0C1B95A9E97 exp=53332CA0C1B95A9E97 + 42848.50ns INFO [00042850] Port=0 RD @02 + 42848.50ns INFO [00042850] Port=1 RD @02 + 42849.50ns INFO [00042851] Port=0 RD @07 + 42849.50ns INFO [00042851] Port=1 RD @03 + 42850.50ns INFO [00042852] * RD COMPARE * port=0 adr=02 act=53332CA0C1B95A9E97 exp=53332CA0C1B95A9E97 + 42850.50ns INFO [00042852] * RD COMPARE * port=1 adr=02 act=53332CA0C1B95A9E97 exp=53332CA0C1B95A9E97 + 42851.50ns INFO [00042853] * RD COMPARE * port=0 adr=07 act=895F27DD1EF1667EFE exp=895F27DD1EF1667EFE + 42851.50ns INFO [00042853] * RD COMPARE * port=1 adr=03 act=A411A29C3F475EF939 exp=A411A29C3F475EF939 + 42851.50ns INFO [00042853] Port=0 WR @00=10DA334E1FA89FB675 + 42851.50ns INFO [00042853] Port=1 RD @06 + 42852.50ns INFO [00042854] Port=0 WR @01=998D0CCF3A500766BC + 42853.50ns INFO [00042855] * RD COMPARE * port=1 adr=06 act=EEA5ED319F767092B0 exp=EEA5ED319F767092B0 + 42853.50ns INFO [00042855] Port=0 RD @02 + 42854.50ns INFO [00042856] Port=0 RD @05 + 42854.50ns INFO [00042856] Port=1 RD @02 + 42855.50ns INFO [00042857] * RD COMPARE * port=0 adr=02 act=53332CA0C1B95A9E97 exp=53332CA0C1B95A9E97 + 42855.50ns INFO [00042857] Port=1 RD @04 + 42856.50ns INFO [00042858] * RD COMPARE * port=0 adr=05 act=969D134CC3AAF39D19 exp=969D134CC3AAF39D19 + 42856.50ns INFO [00042858] * RD COMPARE * port=1 adr=02 act=53332CA0C1B95A9E97 exp=53332CA0C1B95A9E97 + 42856.50ns INFO [00042858] Port=0 RD @00 + 42856.50ns INFO [00042858] Port=1 RD @00 + 42857.50ns INFO [00042859] * RD COMPARE * port=1 adr=04 act=1EABA5314457101FC2 exp=1EABA5314457101FC2 + 42857.50ns INFO [00042859] Port=0 WR @04=F83613AAABD27BCF40 + 42857.50ns INFO [00042859] Port=1 RD @00 + 42858.50ns INFO [00042860] * RD COMPARE * port=0 adr=00 act=10DA334E1FA89FB675 exp=10DA334E1FA89FB675 + 42858.50ns INFO [00042860] * RD COMPARE * port=1 adr=00 act=10DA334E1FA89FB675 exp=10DA334E1FA89FB675 + 42858.50ns INFO [00042860] Port=0 RD @06 + 42859.50ns INFO [00042861] * RD COMPARE * port=1 adr=00 act=10DA334E1FA89FB675 exp=10DA334E1FA89FB675 + 42859.50ns INFO [00042861] Port=0 WR @02=104B14D1C5907B7D3B + 42859.50ns INFO [00042861] Port=1 RD @05 + 42860.50ns INFO [00042862] * RD COMPARE * port=0 adr=06 act=EEA5ED319F767092B0 exp=EEA5ED319F767092B0 + 42860.50ns INFO [00042862] Port=0 RD @06 + 42861.50ns INFO [00042863] * RD COMPARE * port=1 adr=05 act=969D134CC3AAF39D19 exp=969D134CC3AAF39D19 + 42861.50ns INFO [00042863] Port=0 RD @00 + 42862.50ns INFO [00042864] * RD COMPARE * port=0 adr=06 act=EEA5ED319F767092B0 exp=EEA5ED319F767092B0 + 42863.50ns INFO [00042865] * RD COMPARE * port=0 adr=00 act=10DA334E1FA89FB675 exp=10DA334E1FA89FB675 + 42863.50ns INFO [00042865] Port=0 WR @03=A1F98F746555376273 + 42864.50ns INFO [00042866] Port=0 RD @05 + 42864.50ns INFO [00042866] Port=1 RD @01 + 42865.50ns INFO [00042867] Port=0 WR @07=31540DFF9AD08BEE4A + 42865.50ns INFO [00042867] Port=0 RD @03 + 42866.50ns INFO [00042868] * RD COMPARE * port=0 adr=05 act=969D134CC3AAF39D19 exp=969D134CC3AAF39D19 + 42866.50ns INFO [00042868] * RD COMPARE * port=1 adr=01 act=998D0CCF3A500766BC exp=998D0CCF3A500766BC + 42866.50ns INFO [00042868] Port=0 WR @02=59698707FE4F3D774D + 42866.50ns INFO [00042868] Port=1 RD @04 + 42867.50ns INFO [00042869] * RD COMPARE * port=0 adr=03 act=A1F98F746555376273 exp=A1F98F746555376273 + 42867.50ns INFO [00042869] Port=0 RD @05 + 42868.50ns INFO [00042870] * RD COMPARE * port=1 adr=04 act=F83613AAABD27BCF40 exp=F83613AAABD27BCF40 + 42868.50ns INFO [00042870] Port=0 WR @02=D15631CB20C9FBF899 + 42868.50ns INFO [00042870] Port=0 RD @06 + 42869.50ns INFO [00042871] * RD COMPARE * port=0 adr=05 act=969D134CC3AAF39D19 exp=969D134CC3AAF39D19 + 42870.50ns INFO [00042872] * RD COMPARE * port=0 adr=06 act=EEA5ED319F767092B0 exp=EEA5ED319F767092B0 + 42871.50ns INFO [00042873] Port=0 WR @01=E8C7EBF9EF159EDAD5 + 42872.50ns INFO [00042874] Port=0 WR @03=B598FEAA236D5C2389 + 42872.50ns INFO [00042874] Port=0 RD @05 + 42872.50ns INFO [00042874] Port=1 RD @04 + 42873.50ns INFO [00042875] Port=0 RD @05 + 42873.50ns INFO [00042875] Port=1 RD @02 + 42874.50ns INFO [00042876] * RD COMPARE * port=0 adr=05 act=969D134CC3AAF39D19 exp=969D134CC3AAF39D19 + 42874.50ns INFO [00042876] * RD COMPARE * port=1 adr=04 act=F83613AAABD27BCF40 exp=F83613AAABD27BCF40 + 42874.50ns INFO [00042876] Port=0 WR @07=2A8EE544BB6AB46790 + 42874.50ns INFO [00042876] Port=0 RD @02 + 42875.50ns INFO [00042877] * RD COMPARE * port=0 adr=05 act=969D134CC3AAF39D19 exp=969D134CC3AAF39D19 + 42875.50ns INFO [00042877] * RD COMPARE * port=1 adr=02 act=D15631CB20C9FBF899 exp=D15631CB20C9FBF899 + 42875.50ns INFO [00042877] Port=0 WR @01=65402F4A24C49782DA + 42875.50ns INFO [00042877] Port=1 RD @06 + 42876.50ns INFO [00042878] * RD COMPARE * port=0 adr=02 act=D15631CB20C9FBF899 exp=D15631CB20C9FBF899 + 42876.50ns INFO [00042878] Port=0 WR @07=3C2431124FF80E0222 + 42877.50ns INFO [00042879] * RD COMPARE * port=1 adr=06 act=EEA5ED319F767092B0 exp=EEA5ED319F767092B0 + 42878.50ns INFO [00042880] Port=0 RD @00 + 42878.50ns INFO [00042880] Port=1 RD @00 + 42880.50ns INFO [00042882] * RD COMPARE * port=0 adr=00 act=10DA334E1FA89FB675 exp=10DA334E1FA89FB675 + 42880.50ns INFO [00042882] * RD COMPARE * port=1 adr=00 act=10DA334E1FA89FB675 exp=10DA334E1FA89FB675 + 42880.50ns INFO [00042882] Port=1 RD @02 + 42881.50ns INFO [00042883] Port=1 RD @01 + 42882.50ns INFO [00042884] * RD COMPARE * port=1 adr=02 act=D15631CB20C9FBF899 exp=D15631CB20C9FBF899 + 42883.50ns INFO [00042885] * RD COMPARE * port=1 adr=01 act=65402F4A24C49782DA exp=65402F4A24C49782DA + 42884.50ns INFO [00042886] Port=0 RD @01 + 42884.50ns INFO [00042886] Port=1 RD @00 + 42885.50ns INFO [00042887] Port=1 RD @06 + 42886.50ns INFO [00042888] * RD COMPARE * port=0 adr=01 act=65402F4A24C49782DA exp=65402F4A24C49782DA + 42886.50ns INFO [00042888] * RD COMPARE * port=1 adr=00 act=10DA334E1FA89FB675 exp=10DA334E1FA89FB675 + 42886.50ns INFO [00042888] Port=0 RD @01 + 42887.50ns INFO [00042889] * RD COMPARE * port=1 adr=06 act=EEA5ED319F767092B0 exp=EEA5ED319F767092B0 + 42887.50ns INFO [00042889] Port=0 WR @06=1EADD67DBFDE7AA5AE + 42887.50ns INFO [00042889] Port=0 RD @00 + 42888.50ns INFO [00042890] * RD COMPARE * port=0 adr=01 act=65402F4A24C49782DA exp=65402F4A24C49782DA + 42888.50ns INFO [00042890] Port=0 WR @07=28ED3F28400162F2EC + 42888.50ns INFO [00042890] Port=0 RD @05 + 42889.50ns INFO [00042891] * RD COMPARE * port=0 adr=00 act=10DA334E1FA89FB675 exp=10DA334E1FA89FB675 + 42890.50ns INFO [00042892] * RD COMPARE * port=0 adr=05 act=969D134CC3AAF39D19 exp=969D134CC3AAF39D19 + 42890.50ns INFO [00042892] Port=0 WR @05=4F9F0370253E1AC997 + 42891.50ns INFO [00042893] Port=0 WR @04=317CCDD60410F27924 + 42892.50ns INFO [00042894] Port=0 RD @02 + 42892.50ns INFO [00042894] Port=1 RD @01 + 42893.50ns INFO [00042895] Port=0 RD @00 + 42894.50ns INFO [00042896] * RD COMPARE * port=0 adr=02 act=D15631CB20C9FBF899 exp=D15631CB20C9FBF899 + 42894.50ns INFO [00042896] * RD COMPARE * port=1 adr=01 act=65402F4A24C49782DA exp=65402F4A24C49782DA + 42894.50ns INFO [00042896] Port=0 WR @02=85AD48EC7B7075C8CD + 42895.50ns INFO [00042897] * RD COMPARE * port=0 adr=00 act=10DA334E1FA89FB675 exp=10DA334E1FA89FB675 + 42895.50ns INFO [00042897] Port=0 RD @07 + 42895.50ns INFO [00042897] Port=1 RD @05 + 42896.50ns INFO [00042898] Port=0 RD @00 + 42896.50ns INFO [00042898] Port=1 RD @02 + 42897.50ns INFO [00042899] * RD COMPARE * port=0 adr=07 act=28ED3F28400162F2EC exp=28ED3F28400162F2EC + 42897.50ns INFO [00042899] * RD COMPARE * port=1 adr=05 act=4F9F0370253E1AC997 exp=4F9F0370253E1AC997 + 42897.50ns INFO [00042899] Port=0 WR @06=F6FA7858F5D17DFCDF + 42898.00ns INFO [00042900] [00042900] ...tick... + 42898.50ns INFO [00042900] * RD COMPARE * port=0 adr=00 act=10DA334E1FA89FB675 exp=10DA334E1FA89FB675 + 42898.50ns INFO [00042900] * RD COMPARE * port=1 adr=02 act=85AD48EC7B7075C8CD exp=85AD48EC7B7075C8CD + 42899.50ns INFO [00042901] Port=0 WR @02=47C38EE91CCA7D6A69 + 42900.50ns INFO [00042902] Port=0 RD @02 + 42900.50ns INFO [00042902] Port=1 RD @01 + 42901.50ns INFO [00042903] Port=0 RD @01 + 42902.50ns INFO [00042904] * RD COMPARE * port=0 adr=02 act=47C38EE91CCA7D6A69 exp=47C38EE91CCA7D6A69 + 42902.50ns INFO [00042904] * RD COMPARE * port=1 adr=01 act=65402F4A24C49782DA exp=65402F4A24C49782DA + 42902.50ns INFO [00042904] Port=0 RD @03 + 42903.50ns INFO [00042905] * RD COMPARE * port=0 adr=01 act=65402F4A24C49782DA exp=65402F4A24C49782DA + 42903.50ns INFO [00042905] Port=0 WR @06=A4D9D4D0FFF40DDEEA + 42904.50ns INFO [00042906] * RD COMPARE * port=0 adr=03 act=B598FEAA236D5C2389 exp=B598FEAA236D5C2389 + 42904.50ns INFO [00042906] Port=0 WR @05=1BA8C1132132401624 + 42904.50ns INFO [00042906] Port=0 RD @03 + 42906.50ns INFO [00042908] * RD COMPARE * port=0 adr=03 act=B598FEAA236D5C2389 exp=B598FEAA236D5C2389 + 42906.50ns INFO [00042908] Port=0 WR @06=0694FFA5B51CE6F3F1 + 42906.50ns INFO [00042908] Port=0 RD @02 + 42907.50ns INFO [00042909] Port=1 RD @01 + 42908.50ns INFO [00042910] * RD COMPARE * port=0 adr=02 act=47C38EE91CCA7D6A69 exp=47C38EE91CCA7D6A69 + 42908.50ns INFO [00042910] Port=1 RD @07 + 42909.50ns INFO [00042911] * RD COMPARE * port=1 adr=01 act=65402F4A24C49782DA exp=65402F4A24C49782DA + 42910.50ns INFO [00042912] * RD COMPARE * port=1 adr=07 act=28ED3F28400162F2EC exp=28ED3F28400162F2EC + 42910.50ns INFO [00042912] Port=0 WR @03=5E63255CF718C1AA71 + 42911.50ns INFO [00042913] Port=0 WR @02=AAED48A2C4DFB12BD7 + 42912.50ns INFO [00042914] Port=0 WR @00=38BB523DE63C0E1D26 + 42912.50ns INFO [00042914] Port=1 RD @01 + 42913.50ns INFO [00042915] Port=0 WR @04=ECFDB8B5FE1E1BD4E8 + 42913.50ns INFO [00042915] Port=0 RD @01 + 42913.50ns INFO [00042915] Port=1 RD @00 + 42914.50ns INFO [00042916] * RD COMPARE * port=1 adr=01 act=65402F4A24C49782DA exp=65402F4A24C49782DA + 42914.50ns INFO [00042916] Port=0 WR @07=E7605AD7FD4C0B54DC + 42915.50ns INFO [00042917] * RD COMPARE * port=0 adr=01 act=65402F4A24C49782DA exp=65402F4A24C49782DA + 42915.50ns INFO [00042917] * RD COMPARE * port=1 adr=00 act=38BB523DE63C0E1D26 exp=38BB523DE63C0E1D26 + 42915.50ns INFO [00042917] Port=0 WR @01=E432C9E6BB4C09B2CD + 42915.50ns INFO [00042917] Port=0 RD @06 + 42916.50ns INFO [00042918] Port=0 RD @01 + 42917.50ns INFO [00042919] * RD COMPARE * port=0 adr=06 act=0694FFA5B51CE6F3F1 exp=0694FFA5B51CE6F3F1 + 42918.50ns INFO [00042920] * RD COMPARE * port=0 adr=01 act=E432C9E6BB4C09B2CD exp=E432C9E6BB4C09B2CD + 42918.50ns INFO [00042920] Port=0 RD @07 + 42918.50ns INFO [00042920] Port=1 RD @02 + 42919.50ns INFO [00042921] Port=0 WR @03=CC45AD1B8672B10114 + 42919.50ns INFO [00042921] Port=1 RD @00 + 42920.50ns INFO [00042922] * RD COMPARE * port=0 adr=07 act=E7605AD7FD4C0B54DC exp=E7605AD7FD4C0B54DC + 42920.50ns INFO [00042922] * RD COMPARE * port=1 adr=02 act=AAED48A2C4DFB12BD7 exp=AAED48A2C4DFB12BD7 + 42920.50ns INFO [00042922] Port=0 WR @06=DA387A8C6842F74C7E + 42921.50ns INFO [00042923] * RD COMPARE * port=1 adr=00 act=38BB523DE63C0E1D26 exp=38BB523DE63C0E1D26 + 42921.50ns INFO [00042923] Port=1 RD @01 + 42922.50ns INFO [00042924] Port=1 RD @07 + 42923.50ns INFO [00042925] * RD COMPARE * port=1 adr=01 act=E432C9E6BB4C09B2CD exp=E432C9E6BB4C09B2CD + 42923.50ns INFO [00042925] Port=0 WR @03=4895AC6287B2A4EB8D + 42923.50ns INFO [00042925] Port=0 RD @01 + 42924.50ns INFO [00042926] * RD COMPARE * port=1 adr=07 act=E7605AD7FD4C0B54DC exp=E7605AD7FD4C0B54DC + 42924.50ns INFO [00042926] Port=1 RD @07 + 42925.50ns INFO [00042927] * RD COMPARE * port=0 adr=01 act=E432C9E6BB4C09B2CD exp=E432C9E6BB4C09B2CD + 42926.50ns INFO [00042928] * RD COMPARE * port=1 adr=07 act=E7605AD7FD4C0B54DC exp=E7605AD7FD4C0B54DC + 42926.50ns INFO [00042928] Port=0 WR @06=FC9AACED56F8877AE1 + 42927.50ns INFO [00042929] Port=0 WR @01=DAC918B285530DAE21 + 42927.50ns INFO [00042929] Port=0 RD @05 + 42928.50ns INFO [00042930] Port=0 RD @00 + 42928.50ns INFO [00042930] Port=1 RD @05 + 42929.50ns INFO [00042931] * RD COMPARE * port=0 adr=05 act=1BA8C1132132401624 exp=1BA8C1132132401624 + 42929.50ns INFO [00042931] Port=0 WR @06=0B09A9A99DD1BFAD0C + 42929.50ns INFO [00042931] Port=1 RD @02 + 42930.50ns INFO [00042932] * RD COMPARE * port=0 adr=00 act=38BB523DE63C0E1D26 exp=38BB523DE63C0E1D26 + 42930.50ns INFO [00042932] * RD COMPARE * port=1 adr=05 act=1BA8C1132132401624 exp=1BA8C1132132401624 + 42931.50ns INFO [00042933] * RD COMPARE * port=1 adr=02 act=AAED48A2C4DFB12BD7 exp=AAED48A2C4DFB12BD7 + 42933.50ns INFO [00042935] Port=0 WR @06=2E6BAD6F3DFB4D956A + 42934.50ns INFO [00042936] Port=0 WR @01=E6283C1C4045B811C0 + 42935.50ns INFO [00042937] Port=0 RD @07 + 42935.50ns INFO [00042937] Port=1 RD @01 + 42936.50ns INFO [00042938] Port=0 RD @00 + 42936.50ns INFO [00042938] Port=1 RD @00 + 42937.50ns INFO [00042939] * RD COMPARE * port=0 adr=07 act=E7605AD7FD4C0B54DC exp=E7605AD7FD4C0B54DC + 42937.50ns INFO [00042939] * RD COMPARE * port=1 adr=01 act=E6283C1C4045B811C0 exp=E6283C1C4045B811C0 + 42938.50ns INFO [00042940] * RD COMPARE * port=0 adr=00 act=38BB523DE63C0E1D26 exp=38BB523DE63C0E1D26 + 42938.50ns INFO [00042940] * RD COMPARE * port=1 adr=00 act=38BB523DE63C0E1D26 exp=38BB523DE63C0E1D26 + 42939.50ns INFO [00042941] Port=0 RD @00 + 42939.50ns INFO [00042941] Port=1 RD @07 + 42940.50ns INFO [00042942] Port=0 WR @00=572275CBAA1B05BA38 + 42940.50ns INFO [00042942] Port=1 RD @01 + 42941.50ns INFO [00042943] * RD COMPARE * port=0 adr=00 act=38BB523DE63C0E1D26 exp=38BB523DE63C0E1D26 + 42941.50ns INFO [00042943] * RD COMPARE * port=1 adr=07 act=E7605AD7FD4C0B54DC exp=E7605AD7FD4C0B54DC + 42941.50ns INFO [00042943] Port=0 WR @03=1FB6D9C8C8847AA72C + 42942.50ns INFO [00042944] * RD COMPARE * port=1 adr=01 act=E6283C1C4045B811C0 exp=E6283C1C4045B811C0 + 42942.50ns INFO [00042944] Port=0 WR @05=A6BFF50AEA31CC6E27 + 42942.50ns INFO [00042944] Port=1 RD @06 + 42943.50ns INFO [00042945] Port=0 WR @07=266E15F0CBC79E0BDB + 42943.50ns INFO [00042945] Port=0 RD @00 + 42944.50ns INFO [00042946] * RD COMPARE * port=1 adr=06 act=2E6BAD6F3DFB4D956A exp=2E6BAD6F3DFB4D956A + 42944.50ns INFO [00042946] Port=1 RD @07 + 42945.50ns INFO [00042947] * RD COMPARE * port=0 adr=00 act=572275CBAA1B05BA38 exp=572275CBAA1B05BA38 + 42945.50ns INFO [00042947] Port=0 WR @06=05BAC8A6E7D10D7AFC + 42945.50ns INFO [00042947] Port=0 RD @07 + 42946.50ns INFO [00042948] * RD COMPARE * port=1 adr=07 act=266E15F0CBC79E0BDB exp=266E15F0CBC79E0BDB + 42946.50ns INFO [00042948] Port=0 WR @02=D7210924EE58754C84 + 42946.50ns INFO [00042948] Port=1 RD @07 + 42947.50ns INFO [00042949] * RD COMPARE * port=0 adr=07 act=266E15F0CBC79E0BDB exp=266E15F0CBC79E0BDB + 42947.50ns INFO [00042949] Port=0 RD @05 + 42948.50ns INFO [00042950] * RD COMPARE * port=1 adr=07 act=266E15F0CBC79E0BDB exp=266E15F0CBC79E0BDB + 42949.50ns INFO [00042951] * RD COMPARE * port=0 adr=05 act=A6BFF50AEA31CC6E27 exp=A6BFF50AEA31CC6E27 + 42949.50ns INFO [00042951] Port=1 RD @04 + 42950.50ns INFO [00042952] Port=1 RD @05 + 42951.50ns INFO [00042953] * RD COMPARE * port=1 adr=04 act=ECFDB8B5FE1E1BD4E8 exp=ECFDB8B5FE1E1BD4E8 + 42951.50ns INFO [00042953] Port=0 WR @06=DB0B7EE298900F1357 + 42952.50ns INFO [00042954] * RD COMPARE * port=1 adr=05 act=A6BFF50AEA31CC6E27 exp=A6BFF50AEA31CC6E27 + 42952.50ns INFO [00042954] Port=0 WR @03=AF0E8FEF137FDD03E2 + 42952.50ns INFO [00042954] Port=1 RD @00 + 42954.50ns INFO [00042956] * RD COMPARE * port=1 adr=00 act=572275CBAA1B05BA38 exp=572275CBAA1B05BA38 + 42954.50ns INFO [00042956] Port=0 WR @07=1EA21C23C3327D824B + 42954.50ns INFO [00042956] Port=0 RD @00 + 42954.50ns INFO [00042956] Port=1 RD @06 + 42955.50ns INFO [00042957] Port=0 RD @04 + 42956.50ns INFO [00042958] * RD COMPARE * port=0 adr=00 act=572275CBAA1B05BA38 exp=572275CBAA1B05BA38 + 42956.50ns INFO [00042958] * RD COMPARE * port=1 adr=06 act=DB0B7EE298900F1357 exp=DB0B7EE298900F1357 + 42957.50ns INFO [00042959] * RD COMPARE * port=0 adr=04 act=ECFDB8B5FE1E1BD4E8 exp=ECFDB8B5FE1E1BD4E8 + 42958.50ns INFO [00042960] Port=0 WR @05=4284F5E17A24F62847 + 42960.50ns INFO [00042962] Port=0 RD @07 + 42960.50ns INFO [00042962] Port=1 RD @04 + 42962.50ns INFO [00042964] * RD COMPARE * port=0 adr=07 act=1EA21C23C3327D824B exp=1EA21C23C3327D824B + 42962.50ns INFO [00042964] * RD COMPARE * port=1 adr=04 act=ECFDB8B5FE1E1BD4E8 exp=ECFDB8B5FE1E1BD4E8 + 42962.50ns INFO [00042964] Port=0 RD @03 + 42962.50ns INFO [00042964] Port=1 RD @04 + 42963.50ns INFO [00042965] Port=0 WR @05=890686D3DF9B1314F9 + 42964.50ns INFO [00042966] * RD COMPARE * port=0 adr=03 act=AF0E8FEF137FDD03E2 exp=AF0E8FEF137FDD03E2 + 42964.50ns INFO [00042966] * RD COMPARE * port=1 adr=04 act=ECFDB8B5FE1E1BD4E8 exp=ECFDB8B5FE1E1BD4E8 + 42965.50ns INFO [00042967] Port=1 RD @07 + 42966.50ns INFO [00042968] Port=0 WR @04=4FD66CFB67C745ED33 + 42966.50ns INFO [00042968] Port=1 RD @01 + 42967.50ns INFO [00042969] * RD COMPARE * port=1 adr=07 act=1EA21C23C3327D824B exp=1EA21C23C3327D824B + 42967.50ns INFO [00042969] Port=0 WR @05=4DBE7F14E7F26DB028 + 42967.50ns INFO [00042969] Port=0 RD @07 + 42967.50ns INFO [00042969] Port=1 RD @02 + 42968.50ns INFO [00042970] * RD COMPARE * port=1 adr=01 act=E6283C1C4045B811C0 exp=E6283C1C4045B811C0 + 42968.50ns INFO [00042970] Port=0 WR @00=EFD889E5126012E671 + 42968.50ns INFO [00042970] Port=1 RD @04 + 42969.50ns INFO [00042971] * RD COMPARE * port=0 adr=07 act=1EA21C23C3327D824B exp=1EA21C23C3327D824B + 42969.50ns INFO [00042971] * RD COMPARE * port=1 adr=02 act=D7210924EE58754C84 exp=D7210924EE58754C84 + 42969.50ns INFO [00042971] Port=0 WR @04=F3A281B28350417C98 + 42969.50ns INFO [00042971] Port=0 RD @06 + 42969.50ns INFO [00042971] Port=1 RD @05 + 42970.50ns INFO [00042972] * RD COMPARE * port=1 adr=04 act=4FD66CFB67C745ED33 exp=4FD66CFB67C745ED33 + 42970.50ns INFO [00042972] Port=0 RD @07 + 42970.50ns INFO [00042972] Port=1 RD @05 + 42971.50ns INFO [00042973] * RD COMPARE * port=0 adr=06 act=DB0B7EE298900F1357 exp=DB0B7EE298900F1357 + 42971.50ns INFO [00042973] * RD COMPARE * port=1 adr=05 act=4DBE7F14E7F26DB028 exp=4DBE7F14E7F26DB028 + 42971.50ns INFO [00042973] Port=1 RD @06 + 42972.50ns INFO [00042974] * RD COMPARE * port=0 adr=07 act=1EA21C23C3327D824B exp=1EA21C23C3327D824B + 42972.50ns INFO [00042974] * RD COMPARE * port=1 adr=05 act=4DBE7F14E7F26DB028 exp=4DBE7F14E7F26DB028 + 42972.50ns INFO [00042974] Port=0 WR @00=68FB1EB16D26E3F66C + 42972.50ns INFO [00042974] Port=0 RD @04 + 42973.50ns INFO [00042975] * RD COMPARE * port=1 adr=06 act=DB0B7EE298900F1357 exp=DB0B7EE298900F1357 + 42974.50ns INFO [00042976] * RD COMPARE * port=0 adr=04 act=F3A281B28350417C98 exp=F3A281B28350417C98 + 42974.50ns INFO [00042976] Port=0 WR @05=7B8C8F8E04F21C66AF + 42976.50ns INFO [00042978] Port=0 RD @07 + 42977.50ns INFO [00042979] Port=0 WR @02=62CFFA7C2F7D02D52F + 42977.50ns INFO [00042979] Port=1 RD @06 + 42978.50ns INFO [00042980] * RD COMPARE * port=0 adr=07 act=1EA21C23C3327D824B exp=1EA21C23C3327D824B + 42978.50ns INFO [00042980] Port=1 RD @05 + 42979.50ns INFO [00042981] * RD COMPARE * port=1 adr=06 act=DB0B7EE298900F1357 exp=DB0B7EE298900F1357 + 42979.50ns INFO [00042981] Port=0 WR @00=E0F8AAB284E652B9E8 + 42979.50ns INFO [00042981] Port=0 RD @07 + 42979.50ns INFO [00042981] Port=1 RD @05 + 42980.50ns INFO [00042982] * RD COMPARE * port=1 adr=05 act=7B8C8F8E04F21C66AF exp=7B8C8F8E04F21C66AF + 42981.50ns INFO [00042983] * RD COMPARE * port=0 adr=07 act=1EA21C23C3327D824B exp=1EA21C23C3327D824B + 42981.50ns INFO [00042983] * RD COMPARE * port=1 adr=05 act=7B8C8F8E04F21C66AF exp=7B8C8F8E04F21C66AF + 42981.50ns INFO [00042983] Port=0 WR @03=4EA9F18A8079C4DC23 + 42981.50ns INFO [00042983] Port=0 RD @04 + 42982.50ns INFO [00042984] Port=0 RD @03 + 42982.50ns INFO [00042984] Port=1 RD @06 + 42983.50ns INFO [00042985] * RD COMPARE * port=0 adr=04 act=F3A281B28350417C98 exp=F3A281B28350417C98 + 42983.50ns INFO [00042985] Port=0 RD @07 + 42983.50ns INFO [00042985] Port=1 RD @01 + 42984.50ns INFO [00042986] * RD COMPARE * port=0 adr=03 act=4EA9F18A8079C4DC23 exp=4EA9F18A8079C4DC23 + 42984.50ns INFO [00042986] * RD COMPARE * port=1 adr=06 act=DB0B7EE298900F1357 exp=DB0B7EE298900F1357 + 42984.50ns INFO [00042986] Port=0 RD @02 + 42985.50ns INFO [00042987] * RD COMPARE * port=0 adr=07 act=1EA21C23C3327D824B exp=1EA21C23C3327D824B + 42985.50ns INFO [00042987] * RD COMPARE * port=1 adr=01 act=E6283C1C4045B811C0 exp=E6283C1C4045B811C0 + 42985.50ns INFO [00042987] Port=0 WR @00=3F4C2C7E7CEBBCAF7F + 42986.50ns INFO [00042988] * RD COMPARE * port=0 adr=02 act=62CFFA7C2F7D02D52F exp=62CFFA7C2F7D02D52F + 42986.50ns INFO [00042988] Port=1 RD @05 + 42987.50ns INFO [00042989] Port=0 WR @03=D51CBD0B3F3013C74D + 42987.50ns INFO [00042989] Port=0 RD @04 + 42988.50ns INFO [00042990] * RD COMPARE * port=1 adr=05 act=7B8C8F8E04F21C66AF exp=7B8C8F8E04F21C66AF + 42988.50ns INFO [00042990] Port=0 RD @03 + 42988.50ns INFO [00042990] Port=1 RD @01 + 42989.50ns INFO [00042991] * RD COMPARE * port=0 adr=04 act=F3A281B28350417C98 exp=F3A281B28350417C98 + 42989.50ns INFO [00042991] Port=0 RD @05 + 42990.50ns INFO [00042992] * RD COMPARE * port=0 adr=03 act=D51CBD0B3F3013C74D exp=D51CBD0B3F3013C74D + 42990.50ns INFO [00042992] * RD COMPARE * port=1 adr=01 act=E6283C1C4045B811C0 exp=E6283C1C4045B811C0 + 42990.50ns INFO [00042992] Port=0 WR @00=23F2594708A4F0525C + 42990.50ns INFO [00042992] Port=0 RD @04 + 42991.50ns INFO [00042993] * RD COMPARE * port=0 adr=05 act=7B8C8F8E04F21C66AF exp=7B8C8F8E04F21C66AF + 42991.50ns INFO [00042993] Port=0 RD @07 + 42991.50ns INFO [00042993] Port=1 RD @01 + 42992.50ns INFO [00042994] * RD COMPARE * port=0 adr=04 act=F3A281B28350417C98 exp=F3A281B28350417C98 + 42992.50ns INFO [00042994] Port=0 RD @07 + 42993.50ns INFO [00042995] * RD COMPARE * port=0 adr=07 act=1EA21C23C3327D824B exp=1EA21C23C3327D824B + 42993.50ns INFO [00042995] * RD COMPARE * port=1 adr=01 act=E6283C1C4045B811C0 exp=E6283C1C4045B811C0 + 42993.50ns INFO [00042995] Port=0 RD @00 + 42994.50ns INFO [00042996] * RD COMPARE * port=0 adr=07 act=1EA21C23C3327D824B exp=1EA21C23C3327D824B + 42994.50ns INFO [00042996] Port=0 WR @05=30163EA5F59F44877E + 42994.50ns INFO [00042996] Port=0 RD @01 + 42995.50ns INFO [00042997] * RD COMPARE * port=0 adr=00 act=23F2594708A4F0525C exp=23F2594708A4F0525C + 42996.50ns INFO [00042998] * RD COMPARE * port=0 adr=01 act=E6283C1C4045B811C0 exp=E6283C1C4045B811C0 + 42996.50ns INFO [00042998] Port=0 WR @04=BCFE67CC443E779D7E + 42996.50ns INFO [00042998] Port=0 RD @03 + 42996.50ns INFO [00042998] Port=1 RD @05 + 42997.50ns INFO [00042999] Port=0 WR @04=A39F20F8178CF70322 + 42998.00ns INFO [00043000] [00043000] ...tick... + 42998.50ns INFO [00043000] * RD COMPARE * port=0 adr=03 act=D51CBD0B3F3013C74D exp=D51CBD0B3F3013C74D + 42998.50ns INFO [00043000] * RD COMPARE * port=1 adr=05 act=30163EA5F59F44877E exp=30163EA5F59F44877E + 42999.50ns INFO [00043001] Port=0 WR @05=8A39C530617C19057D + 42999.50ns INFO [00043001] Port=1 RD @07 + 43000.50ns INFO [00043002] Port=0 WR @02=C60A8174CA3647339A + 43000.50ns INFO [00043002] Port=0 RD @06 + 43001.50ns INFO [00043003] * RD COMPARE * port=1 adr=07 act=1EA21C23C3327D824B exp=1EA21C23C3327D824B + 43002.50ns INFO [00043004] * RD COMPARE * port=0 adr=06 act=DB0B7EE298900F1357 exp=DB0B7EE298900F1357 + 43004.50ns INFO [00043006] Port=0 WR @07=DB414967F51374FF3B + 43004.50ns INFO [00043006] Port=0 RD @02 + 43005.50ns INFO [00043007] Port=0 WR @03=C674AC6D95D58DF195 + 43005.50ns INFO [00043007] Port=1 RD @00 + 43006.50ns INFO [00043008] * RD COMPARE * port=0 adr=02 act=C60A8174CA3647339A exp=C60A8174CA3647339A + 43007.50ns INFO [00043009] * RD COMPARE * port=1 adr=00 act=23F2594708A4F0525C exp=23F2594708A4F0525C + 43007.50ns INFO [00043009] Port=0 WR @03=6FB7A3F0E90BF89D1E + 43008.50ns INFO [00043010] Port=0 WR @02=768AEFB73E6053A21F + 43008.50ns INFO [00043010] Port=0 RD @06 + 43008.50ns INFO [00043010] Port=1 RD @06 + 43009.50ns INFO [00043011] Port=0 WR @05=BCFAC6C748D4079F7D + 43009.50ns INFO [00043011] Port=0 RD @04 + 43010.50ns INFO [00043012] * RD COMPARE * port=0 adr=06 act=DB0B7EE298900F1357 exp=DB0B7EE298900F1357 + 43010.50ns INFO [00043012] * RD COMPARE * port=1 adr=06 act=DB0B7EE298900F1357 exp=DB0B7EE298900F1357 + 43010.50ns INFO [00043012] Port=0 WR @00=29F5E52A0DB19D5924 + 43011.50ns INFO [00043013] * RD COMPARE * port=0 adr=04 act=A39F20F8178CF70322 exp=A39F20F8178CF70322 + 43011.50ns INFO [00043013] Port=0 RD @02 + 43011.50ns INFO [00043013] Port=1 RD @02 + 43013.50ns INFO [00043015] * RD COMPARE * port=0 adr=02 act=768AEFB73E6053A21F exp=768AEFB73E6053A21F + 43013.50ns INFO [00043015] * RD COMPARE * port=1 adr=02 act=768AEFB73E6053A21F exp=768AEFB73E6053A21F + 43014.50ns INFO [00043016] Port=0 RD @03 + 43015.50ns INFO [00043017] Port=0 WR @01=3557A8BFE8F0108548 + 43016.50ns INFO [00043018] * RD COMPARE * port=0 adr=03 act=6FB7A3F0E90BF89D1E exp=6FB7A3F0E90BF89D1E + 43016.50ns INFO [00043018] Port=0 RD @00 + 43017.50ns INFO [00043019] Port=0 WR @04=1B9CB9D74F187C0EED + 43017.50ns INFO [00043019] Port=1 RD @05 + 43018.50ns INFO [00043020] * RD COMPARE * port=0 adr=00 act=29F5E52A0DB19D5924 exp=29F5E52A0DB19D5924 + 43018.50ns INFO [00043020] Port=0 RD @03 + 43018.50ns INFO [00043020] Port=1 RD @06 + 43019.50ns INFO [00043021] * RD COMPARE * port=1 adr=05 act=BCFAC6C748D4079F7D exp=BCFAC6C748D4079F7D + 43019.50ns INFO [00043021] Port=0 WR @06=6891C81F8F70E3008B + 43019.50ns INFO [00043021] Port=0 RD @01 + 43020.50ns INFO [00043022] * RD COMPARE * port=0 adr=03 act=6FB7A3F0E90BF89D1E exp=6FB7A3F0E90BF89D1E + 43020.50ns INFO [00043022] * RD COMPARE * port=1 adr=06 act=DB0B7EE298900F1357 exp=DB0B7EE298900F1357 + 43021.50ns INFO [00043023] * RD COMPARE * port=0 adr=01 act=3557A8BFE8F0108548 exp=3557A8BFE8F0108548 + 43021.50ns INFO [00043023] Port=0 WR @04=0D84737AA320C10B7B + 43021.50ns INFO [00043023] Port=0 RD @06 + 43022.50ns INFO [00043024] Port=0 RD @02 + 43023.50ns INFO [00043025] * RD COMPARE * port=0 adr=06 act=6891C81F8F70E3008B exp=6891C81F8F70E3008B + 43023.50ns INFO [00043025] Port=1 RD @02 + 43024.50ns INFO [00043026] * RD COMPARE * port=0 adr=02 act=768AEFB73E6053A21F exp=768AEFB73E6053A21F + 43024.50ns INFO [00043026] Port=0 WR @06=026ACFE430A5E637A4 + 43024.50ns INFO [00043026] Port=0 RD @04 + 43025.50ns INFO [00043027] * RD COMPARE * port=1 adr=02 act=768AEFB73E6053A21F exp=768AEFB73E6053A21F + 43025.50ns INFO [00043027] Port=0 RD @02 + 43025.50ns INFO [00043027] Port=1 RD @06 + 43026.50ns INFO [00043028] * RD COMPARE * port=0 adr=04 act=0D84737AA320C10B7B exp=0D84737AA320C10B7B + 43026.50ns INFO [00043028] Port=0 WR @00=443DA27C29E8FA4B3D + 43027.50ns INFO [00043029] * RD COMPARE * port=0 adr=02 act=768AEFB73E6053A21F exp=768AEFB73E6053A21F + 43027.50ns INFO [00043029] * RD COMPARE * port=1 adr=06 act=026ACFE430A5E637A4 exp=026ACFE430A5E637A4 + 43028.50ns INFO [00043030] Port=0 WR @01=D42DB2BA45FBB92A04 + 43030.50ns INFO [00043032] Port=0 RD @00 + 43031.50ns INFO [00043033] Port=0 WR @00=80BFCC04BACD94CC60 + 43031.50ns INFO [00043033] Port=1 RD @02 + 43032.50ns INFO [00043034] * RD COMPARE * port=0 adr=00 act=443DA27C29E8FA4B3D exp=443DA27C29E8FA4B3D + 43032.50ns INFO [00043034] Port=0 WR @01=0B1773EF272F798467 + 43032.50ns INFO [00043034] Port=1 RD @04 + 43033.50ns INFO [00043035] * RD COMPARE * port=1 adr=02 act=768AEFB73E6053A21F exp=768AEFB73E6053A21F + 43033.50ns INFO [00043035] Port=1 RD @05 + 43034.50ns INFO [00043036] * RD COMPARE * port=1 adr=04 act=0D84737AA320C10B7B exp=0D84737AA320C10B7B + 43034.50ns INFO [00043036] Port=1 RD @02 + 43035.50ns INFO [00043037] * RD COMPARE * port=1 adr=05 act=BCFAC6C748D4079F7D exp=BCFAC6C748D4079F7D + 43036.50ns INFO [00043038] * RD COMPARE * port=1 adr=02 act=768AEFB73E6053A21F exp=768AEFB73E6053A21F + 43036.50ns INFO [00043038] Port=0 WR @05=159AEEE3314787C06F + 43036.50ns INFO [00043038] Port=0 RD @07 + 43037.50ns INFO [00043039] Port=1 RD @05 + 43038.50ns INFO [00043040] * RD COMPARE * port=0 adr=07 act=DB414967F51374FF3B exp=DB414967F51374FF3B + 43038.50ns INFO [00043040] Port=0 WR @01=8607995A9CA209B9D4 + 43039.50ns INFO [00043041] * RD COMPARE * port=1 adr=05 act=159AEEE3314787C06F exp=159AEEE3314787C06F + 43039.50ns INFO [00043041] Port=1 RD @07 + 43040.50ns INFO [00043042] Port=1 RD @05 + 43041.50ns INFO [00043043] * RD COMPARE * port=1 adr=07 act=DB414967F51374FF3B exp=DB414967F51374FF3B + 43042.50ns INFO [00043044] * RD COMPARE * port=1 adr=05 act=159AEEE3314787C06F exp=159AEEE3314787C06F + 43042.50ns INFO [00043044] Port=0 WR @02=A1CE31DADB3FF95361 + 43042.50ns INFO [00043044] Port=1 RD @07 + 43043.50ns INFO [00043045] Port=0 WR @04=17D8FF5907D6B043D7 + 43043.50ns INFO [00043045] Port=0 RD @05 + 43043.50ns INFO [00043045] Port=1 RD @07 + 43044.50ns INFO [00043046] * RD COMPARE * port=1 adr=07 act=DB414967F51374FF3B exp=DB414967F51374FF3B + 43044.50ns INFO [00043046] Port=0 RD @04 + 43045.50ns INFO [00043047] * RD COMPARE * port=0 adr=05 act=159AEEE3314787C06F exp=159AEEE3314787C06F + 43045.50ns INFO [00043047] * RD COMPARE * port=1 adr=07 act=DB414967F51374FF3B exp=DB414967F51374FF3B + 43046.50ns INFO [00043048] * RD COMPARE * port=0 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43046.50ns INFO [00043048] Port=1 RD @07 + 43047.50ns INFO [00043049] Port=0 WR @05=6FA92CEA7349C6837A + 43048.50ns INFO [00043050] * RD COMPARE * port=1 adr=07 act=DB414967F51374FF3B exp=DB414967F51374FF3B + 43048.50ns INFO [00043050] Port=0 WR @07=5CDA7897C5368AFA93 + 43049.50ns INFO [00043051] Port=0 WR @06=FF94A55AC3BCBF91DB + 43049.50ns INFO [00043051] Port=0 RD @05 + 43051.50ns INFO [00043053] * RD COMPARE * port=0 adr=05 act=6FA92CEA7349C6837A exp=6FA92CEA7349C6837A + 43051.50ns INFO [00043053] Port=0 RD @02 + 43053.50ns INFO [00043055] * RD COMPARE * port=0 adr=02 act=A1CE31DADB3FF95361 exp=A1CE31DADB3FF95361 + 43053.50ns INFO [00043055] Port=1 RD @04 + 43054.50ns INFO [00043056] Port=0 WR @01=E27DCA164E54AB1C42 + 43054.50ns INFO [00043056] Port=0 RD @04 + 43054.50ns INFO [00043056] Port=1 RD @05 + 43055.50ns INFO [00043057] * RD COMPARE * port=1 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43055.50ns INFO [00043057] Port=0 WR @07=45B953843FC28C2784 + 43055.50ns INFO [00043057] Port=0 RD @05 + 43056.50ns INFO [00043058] * RD COMPARE * port=0 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43056.50ns INFO [00043058] * RD COMPARE * port=1 adr=05 act=6FA92CEA7349C6837A exp=6FA92CEA7349C6837A + 43057.50ns INFO [00043059] * RD COMPARE * port=0 adr=05 act=6FA92CEA7349C6837A exp=6FA92CEA7349C6837A + 43057.50ns INFO [00043059] Port=1 RD @06 + 43058.50ns INFO [00043060] Port=1 RD @02 + 43059.50ns INFO [00043061] * RD COMPARE * port=1 adr=06 act=FF94A55AC3BCBF91DB exp=FF94A55AC3BCBF91DB + 43059.50ns INFO [00043061] Port=0 WR @06=7561626AC9EE8AF8BE + 43059.50ns INFO [00043061] Port=1 RD @02 + 43060.50ns INFO [00043062] * RD COMPARE * port=1 adr=02 act=A1CE31DADB3FF95361 exp=A1CE31DADB3FF95361 + 43060.50ns INFO [00043062] Port=0 WR @05=A0FC0DC772A015CD63 + 43060.50ns INFO [00043062] Port=1 RD @03 + 43061.50ns INFO [00043063] * RD COMPARE * port=1 adr=02 act=A1CE31DADB3FF95361 exp=A1CE31DADB3FF95361 + 43061.50ns INFO [00043063] Port=0 WR @02=13564705E7DCBA7FB1 + 43061.50ns INFO [00043063] Port=0 RD @01 + 43061.50ns INFO [00043063] Port=1 RD @00 + 43062.50ns INFO [00043064] * RD COMPARE * port=1 adr=03 act=6FB7A3F0E90BF89D1E exp=6FB7A3F0E90BF89D1E + 43062.50ns INFO [00043064] Port=0 WR @02=CDD6D3886ED7E79CB9 + 43062.50ns INFO [00043064] Port=0 RD @00 + 43062.50ns INFO [00043064] Port=1 RD @03 + 43063.50ns INFO [00043065] * RD COMPARE * port=0 adr=01 act=E27DCA164E54AB1C42 exp=E27DCA164E54AB1C42 + 43063.50ns INFO [00043065] * RD COMPARE * port=1 adr=00 act=80BFCC04BACD94CC60 exp=80BFCC04BACD94CC60 + 43063.50ns INFO [00043065] Port=0 WR @01=18E6F74A63B1EBC1F0 + 43063.50ns INFO [00043065] Port=0 RD @03 + 43064.50ns INFO [00043066] * RD COMPARE * port=0 adr=00 act=80BFCC04BACD94CC60 exp=80BFCC04BACD94CC60 + 43064.50ns INFO [00043066] * RD COMPARE * port=1 adr=03 act=6FB7A3F0E90BF89D1E exp=6FB7A3F0E90BF89D1E + 43065.50ns INFO [00043067] * RD COMPARE * port=0 adr=03 act=6FB7A3F0E90BF89D1E exp=6FB7A3F0E90BF89D1E + 43065.50ns INFO [00043067] Port=0 WR @02=80C5B8C31A6D416FFC + 43065.50ns INFO [00043067] Port=1 RD @06 + 43066.50ns INFO [00043068] Port=0 RD @04 + 43067.50ns INFO [00043069] * RD COMPARE * port=1 adr=06 act=7561626AC9EE8AF8BE exp=7561626AC9EE8AF8BE + 43067.50ns INFO [00043069] Port=0 RD @02 + 43068.50ns INFO [00043070] * RD COMPARE * port=0 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43068.50ns INFO [00043070] Port=1 RD @04 + 43069.50ns INFO [00043071] * RD COMPARE * port=0 adr=02 act=80C5B8C31A6D416FFC exp=80C5B8C31A6D416FFC + 43069.50ns INFO [00043071] Port=1 RD @02 + 43070.50ns INFO [00043072] * RD COMPARE * port=1 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43070.50ns INFO [00043072] Port=0 RD @01 + 43071.50ns INFO [00043073] * RD COMPARE * port=1 adr=02 act=80C5B8C31A6D416FFC exp=80C5B8C31A6D416FFC + 43071.50ns INFO [00043073] Port=0 WR @06=4BE1168847827F26CC + 43072.50ns INFO [00043074] * RD COMPARE * port=0 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43072.50ns INFO [00043074] Port=0 WR @07=11D687106A1E613A3B + 43072.50ns INFO [00043074] Port=0 RD @01 + 43074.50ns INFO [00043076] * RD COMPARE * port=0 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43074.50ns INFO [00043076] Port=0 WR @02=BDDB30EBFCEA47B1F1 + 43074.50ns INFO [00043076] Port=0 RD @01 + 43075.50ns INFO [00043077] Port=0 WR @03=6FC28313D4553A4EA7 + 43075.50ns INFO [00043077] Port=1 RD @04 + 43076.50ns INFO [00043078] * RD COMPARE * port=0 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43076.50ns INFO [00043078] Port=1 RD @07 + 43077.50ns INFO [00043079] * RD COMPARE * port=1 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43077.50ns INFO [00043079] Port=0 RD @01 + 43077.50ns INFO [00043079] Port=1 RD @00 + 43078.50ns INFO [00043080] * RD COMPARE * port=1 adr=07 act=11D687106A1E613A3B exp=11D687106A1E613A3B + 43078.50ns INFO [00043080] Port=1 RD @06 + 43079.50ns INFO [00043081] * RD COMPARE * port=0 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43079.50ns INFO [00043081] * RD COMPARE * port=1 adr=00 act=80BFCC04BACD94CC60 exp=80BFCC04BACD94CC60 + 43079.50ns INFO [00043081] Port=0 WR @03=A10427A1E929CE5EC5 + 43080.50ns INFO [00043082] * RD COMPARE * port=1 adr=06 act=4BE1168847827F26CC exp=4BE1168847827F26CC + 43080.50ns INFO [00043082] Port=0 RD @04 + 43082.50ns INFO [00043084] * RD COMPARE * port=0 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43082.50ns INFO [00043084] Port=0 WR @06=F6941FA61EA124FEA9 + 43082.50ns INFO [00043084] Port=0 RD @00 + 43083.50ns INFO [00043085] Port=0 RD @04 + 43083.50ns INFO [00043085] Port=1 RD @02 + 43084.50ns INFO [00043086] * RD COMPARE * port=0 adr=00 act=80BFCC04BACD94CC60 exp=80BFCC04BACD94CC60 + 43084.50ns INFO [00043086] Port=1 RD @03 + 43085.50ns INFO [00043087] * RD COMPARE * port=0 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43085.50ns INFO [00043087] * RD COMPARE * port=1 adr=02 act=BDDB30EBFCEA47B1F1 exp=BDDB30EBFCEA47B1F1 + 43085.50ns INFO [00043087] Port=0 WR @00=E154F5DE8D72C47C24 + 43085.50ns INFO [00043087] Port=0 RD @06 + 43085.50ns INFO [00043087] Port=1 RD @01 + 43086.50ns INFO [00043088] * RD COMPARE * port=1 adr=03 act=A10427A1E929CE5EC5 exp=A10427A1E929CE5EC5 + 43086.50ns INFO [00043088] Port=1 RD @01 + 43087.50ns INFO [00043089] * RD COMPARE * port=0 adr=06 act=F6941FA61EA124FEA9 exp=F6941FA61EA124FEA9 + 43087.50ns INFO [00043089] * RD COMPARE * port=1 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43087.50ns INFO [00043089] Port=0 WR @00=7196DB4F07A5348F67 + 43087.50ns INFO [00043089] Port=0 RD @04 + 43088.50ns INFO [00043090] * RD COMPARE * port=1 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43089.50ns INFO [00043091] * RD COMPARE * port=0 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43089.50ns INFO [00043091] Port=0 WR @06=38B381EF493CD754B1 + 43089.50ns INFO [00043091] Port=0 RD @07 + 43089.50ns INFO [00043091] Port=1 RD @04 + 43090.50ns INFO [00043092] Port=1 RD @07 + 43091.50ns INFO [00043093] * RD COMPARE * port=0 adr=07 act=11D687106A1E613A3B exp=11D687106A1E613A3B + 43091.50ns INFO [00043093] * RD COMPARE * port=1 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43091.50ns INFO [00043093] Port=1 RD @01 + 43092.50ns INFO [00043094] * RD COMPARE * port=1 adr=07 act=11D687106A1E613A3B exp=11D687106A1E613A3B + 43093.50ns INFO [00043095] * RD COMPARE * port=1 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43093.50ns INFO [00043095] Port=0 RD @07 + 43093.50ns INFO [00043095] Port=1 RD @06 + 43094.50ns INFO [00043096] Port=0 WR @06=CC3E3B45B3962719E8 + 43095.50ns INFO [00043097] * RD COMPARE * port=0 adr=07 act=11D687106A1E613A3B exp=11D687106A1E613A3B + 43095.50ns INFO [00043097] * RD COMPARE * port=1 adr=06 act=38B381EF493CD754B1 exp=38B381EF493CD754B1 + 43095.50ns INFO [00043097] Port=0 RD @07 + 43095.50ns INFO [00043097] Port=1 RD @03 + 43096.50ns INFO [00043098] Port=0 RD @06 + 43097.50ns INFO [00043099] * RD COMPARE * port=0 adr=07 act=11D687106A1E613A3B exp=11D687106A1E613A3B + 43097.50ns INFO [00043099] * RD COMPARE * port=1 adr=03 act=A10427A1E929CE5EC5 exp=A10427A1E929CE5EC5 + 43097.50ns INFO [00043099] Port=0 RD @07 + 43098.00ns INFO [00043100] [00043100] ...tick... + 43098.50ns INFO [00043100] * RD COMPARE * port=0 adr=06 act=CC3E3B45B3962719E8 exp=CC3E3B45B3962719E8 + 43099.50ns INFO [00043101] * RD COMPARE * port=0 adr=07 act=11D687106A1E613A3B exp=11D687106A1E613A3B + 43099.50ns INFO [00043101] Port=0 RD @05 + 43100.50ns INFO [00043102] Port=0 RD @00 + 43101.50ns INFO [00043103] * RD COMPARE * port=0 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43101.50ns INFO [00043103] Port=0 WR @02=C9B709CC712695AA2C + 43102.50ns INFO [00043104] * RD COMPARE * port=0 adr=00 act=7196DB4F07A5348F67 exp=7196DB4F07A5348F67 + 43102.50ns INFO [00043104] Port=0 RD @06 + 43102.50ns INFO [00043104] Port=1 RD @06 + 43103.50ns INFO [00043105] Port=1 RD @05 + 43104.50ns INFO [00043106] * RD COMPARE * port=0 adr=06 act=CC3E3B45B3962719E8 exp=CC3E3B45B3962719E8 + 43104.50ns INFO [00043106] * RD COMPARE * port=1 adr=06 act=CC3E3B45B3962719E8 exp=CC3E3B45B3962719E8 + 43105.50ns INFO [00043107] * RD COMPARE * port=1 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43106.50ns INFO [00043108] Port=0 RD @07 + 43106.50ns INFO [00043108] Port=1 RD @07 + 43107.50ns INFO [00043109] Port=1 RD @03 + 43108.50ns INFO [00043110] * RD COMPARE * port=0 adr=07 act=11D687106A1E613A3B exp=11D687106A1E613A3B + 43108.50ns INFO [00043110] * RD COMPARE * port=1 adr=07 act=11D687106A1E613A3B exp=11D687106A1E613A3B + 43108.50ns INFO [00043110] Port=0 WR @07=FDA980185F293D6D09 + 43108.50ns INFO [00043110] Port=0 RD @06 + 43109.50ns INFO [00043111] * RD COMPARE * port=1 adr=03 act=A10427A1E929CE5EC5 exp=A10427A1E929CE5EC5 + 43109.50ns INFO [00043111] Port=0 RD @00 + 43110.50ns INFO [00043112] * RD COMPARE * port=0 adr=06 act=CC3E3B45B3962719E8 exp=CC3E3B45B3962719E8 + 43110.50ns INFO [00043112] Port=1 RD @04 + 43111.50ns INFO [00043113] * RD COMPARE * port=0 adr=00 act=7196DB4F07A5348F67 exp=7196DB4F07A5348F67 + 43111.50ns INFO [00043113] Port=1 RD @06 + 43112.50ns INFO [00043114] * RD COMPARE * port=1 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43112.50ns INFO [00043114] Port=0 WR @03=20A6A8E559296FADF3 + 43113.50ns INFO [00043115] * RD COMPARE * port=1 adr=06 act=CC3E3B45B3962719E8 exp=CC3E3B45B3962719E8 + 43113.50ns INFO [00043115] Port=0 WR @00=05EFCD5C7FE28B8021 + 43113.50ns INFO [00043115] Port=0 RD @07 + 43113.50ns INFO [00043115] Port=1 RD @05 + 43114.50ns INFO [00043116] Port=0 RD @00 + 43114.50ns INFO [00043116] Port=1 RD @01 + 43115.50ns INFO [00043117] * RD COMPARE * port=0 adr=07 act=FDA980185F293D6D09 exp=FDA980185F293D6D09 + 43115.50ns INFO [00043117] * RD COMPARE * port=1 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43115.50ns INFO [00043117] Port=0 RD @06 + 43116.50ns INFO [00043118] * RD COMPARE * port=0 adr=00 act=05EFCD5C7FE28B8021 exp=05EFCD5C7FE28B8021 + 43116.50ns INFO [00043118] * RD COMPARE * port=1 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43116.50ns INFO [00043118] Port=0 RD @04 + 43117.50ns INFO [00043119] * RD COMPARE * port=0 adr=06 act=CC3E3B45B3962719E8 exp=CC3E3B45B3962719E8 + 43117.50ns INFO [00043119] Port=0 WR @07=AA61B99CF0ACAFD002 + 43118.50ns INFO [00043120] * RD COMPARE * port=0 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43118.50ns INFO [00043120] Port=0 WR @06=2835A57E643076F80B + 43118.50ns INFO [00043120] Port=1 RD @03 + 43119.50ns INFO [00043121] Port=0 WR @02=1748C8A0E77140663A + 43119.50ns INFO [00043121] Port=0 RD @00 + 43120.50ns INFO [00043122] * RD COMPARE * port=1 adr=03 act=20A6A8E559296FADF3 exp=20A6A8E559296FADF3 + 43120.50ns INFO [00043122] Port=0 WR @00=344B415916EBA5DCA9 + 43121.50ns INFO [00043123] * RD COMPARE * port=0 adr=00 act=05EFCD5C7FE28B8021 exp=05EFCD5C7FE28B8021 + 43121.50ns INFO [00043123] Port=0 RD @04 + 43123.50ns INFO [00043125] * RD COMPARE * port=0 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43123.50ns INFO [00043125] Port=0 WR @02=9C81E2B63D1A14BE0A + 43123.50ns INFO [00043125] Port=0 RD @01 + 43124.50ns INFO [00043126] Port=0 RD @00 + 43124.50ns INFO [00043126] Port=1 RD @07 + 43125.50ns INFO [00043127] * RD COMPARE * port=0 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43125.50ns INFO [00043127] Port=1 RD @06 + 43126.50ns INFO [00043128] * RD COMPARE * port=0 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43126.50ns INFO [00043128] * RD COMPARE * port=1 adr=07 act=AA61B99CF0ACAFD002 exp=AA61B99CF0ACAFD002 + 43126.50ns INFO [00043128] Port=0 RD @07 + 43126.50ns INFO [00043128] Port=1 RD @07 + 43127.50ns INFO [00043129] * RD COMPARE * port=1 adr=06 act=2835A57E643076F80B exp=2835A57E643076F80B + 43128.50ns INFO [00043130] * RD COMPARE * port=0 adr=07 act=AA61B99CF0ACAFD002 exp=AA61B99CF0ACAFD002 + 43128.50ns INFO [00043130] * RD COMPARE * port=1 adr=07 act=AA61B99CF0ACAFD002 exp=AA61B99CF0ACAFD002 + 43128.50ns INFO [00043130] Port=0 WR @03=1A7D2E08645DB3DF5C + 43128.50ns INFO [00043130] Port=0 RD @00 + 43128.50ns INFO [00043130] Port=1 RD @01 + 43130.50ns INFO [00043132] * RD COMPARE * port=0 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43130.50ns INFO [00043132] * RD COMPARE * port=1 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43130.50ns INFO [00043132] Port=0 WR @02=90641159EF413D47FE + 43132.50ns INFO [00043134] Port=0 WR @07=44ECFF3289BBE5ED79 + 43132.50ns INFO [00043134] Port=0 RD @02 + 43133.50ns INFO [00043135] Port=0 RD @00 + 43134.50ns INFO [00043136] * RD COMPARE * port=0 adr=02 act=90641159EF413D47FE exp=90641159EF413D47FE + 43134.50ns INFO [00043136] Port=0 RD @01 + 43135.50ns INFO [00043137] * RD COMPARE * port=0 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43135.50ns INFO [00043137] Port=0 RD @06 + 43135.50ns INFO [00043137] Port=1 RD @03 + 43136.50ns INFO [00043138] * RD COMPARE * port=0 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43136.50ns INFO [00043138] Port=0 RD @06 + 43136.50ns INFO [00043138] Port=1 RD @07 + 43137.50ns INFO [00043139] * RD COMPARE * port=0 adr=06 act=2835A57E643076F80B exp=2835A57E643076F80B + 43137.50ns INFO [00043139] * RD COMPARE * port=1 adr=03 act=1A7D2E08645DB3DF5C exp=1A7D2E08645DB3DF5C + 43137.50ns INFO [00043139] Port=1 RD @00 + 43138.50ns INFO [00043140] * RD COMPARE * port=0 adr=06 act=2835A57E643076F80B exp=2835A57E643076F80B + 43138.50ns INFO [00043140] * RD COMPARE * port=1 adr=07 act=44ECFF3289BBE5ED79 exp=44ECFF3289BBE5ED79 + 43139.50ns INFO [00043141] * RD COMPARE * port=1 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43139.50ns INFO [00043141] Port=0 RD @05 + 43141.50ns INFO [00043143] * RD COMPARE * port=0 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43141.50ns INFO [00043143] Port=1 RD @04 + 43142.50ns INFO [00043144] Port=0 WR @06=1736D9F44070DD4490 + 43143.50ns INFO [00043145] * RD COMPARE * port=1 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43143.50ns INFO [00043145] Port=1 RD @03 + 43144.50ns INFO [00043146] Port=0 WR @07=846C365614495060E6 + 43144.50ns INFO [00043146] Port=0 RD @05 + 43144.50ns INFO [00043146] Port=1 RD @04 + 43145.50ns INFO [00043147] * RD COMPARE * port=1 adr=03 act=1A7D2E08645DB3DF5C exp=1A7D2E08645DB3DF5C + 43146.50ns INFO [00043148] * RD COMPARE * port=0 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43146.50ns INFO [00043148] * RD COMPARE * port=1 adr=04 act=17D8FF5907D6B043D7 exp=17D8FF5907D6B043D7 + 43146.50ns INFO [00043148] Port=1 RD @00 + 43147.50ns INFO [00043149] Port=0 WR @04=A2D7FD829EC35F87BA + 43148.50ns INFO [00043150] * RD COMPARE * port=1 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43148.50ns INFO [00043150] Port=0 WR @06=8B93F2E1225632917C + 43149.50ns INFO [00043151] Port=0 RD @06 + 43150.50ns INFO [00043152] Port=0 RD @00 + 43150.50ns INFO [00043152] Port=1 RD @07 + 43151.50ns INFO [00043153] * RD COMPARE * port=0 adr=06 act=8B93F2E1225632917C exp=8B93F2E1225632917C + 43151.50ns INFO [00043153] Port=0 RD @04 + 43152.50ns INFO [00043154] * RD COMPARE * port=0 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43152.50ns INFO [00043154] * RD COMPARE * port=1 adr=07 act=846C365614495060E6 exp=846C365614495060E6 + 43152.50ns INFO [00043154] Port=0 RD @03 + 43152.50ns INFO [00043154] Port=1 RD @06 + 43153.50ns INFO [00043155] * RD COMPARE * port=0 adr=04 act=A2D7FD829EC35F87BA exp=A2D7FD829EC35F87BA + 43153.50ns INFO [00043155] Port=0 WR @03=78BC80D4D991FB68F2 + 43154.50ns INFO [00043156] * RD COMPARE * port=0 adr=03 act=1A7D2E08645DB3DF5C exp=1A7D2E08645DB3DF5C + 43154.50ns INFO [00043156] * RD COMPARE * port=1 adr=06 act=8B93F2E1225632917C exp=8B93F2E1225632917C + 43155.50ns INFO [00043157] Port=0 WR @06=87539FF6FA467CDEE6 + 43156.50ns INFO [00043158] Port=0 RD @05 + 43156.50ns INFO [00043158] Port=1 RD @05 + 43157.50ns INFO [00043159] Port=0 WR @03=9C3A535F91596ED9E7 + 43157.50ns INFO [00043159] Port=0 RD @01 + 43158.50ns INFO [00043160] * RD COMPARE * port=0 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43158.50ns INFO [00043160] * RD COMPARE * port=1 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43158.50ns INFO [00043160] Port=0 RD @01 + 43158.50ns INFO [00043160] Port=1 RD @06 + 43159.50ns INFO [00043161] * RD COMPARE * port=0 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43159.50ns INFO [00043161] Port=0 RD @02 + 43160.50ns INFO [00043162] * RD COMPARE * port=0 adr=01 act=18E6F74A63B1EBC1F0 exp=18E6F74A63B1EBC1F0 + 43160.50ns INFO [00043162] * RD COMPARE * port=1 adr=06 act=87539FF6FA467CDEE6 exp=87539FF6FA467CDEE6 + 43160.50ns INFO [00043162] Port=0 RD @05 + 43161.50ns INFO [00043163] * RD COMPARE * port=0 adr=02 act=90641159EF413D47FE exp=90641159EF413D47FE + 43161.50ns INFO [00043163] Port=0 WR @06=FFC1B40993895A861E + 43161.50ns INFO [00043163] Port=0 RD @05 + 43161.50ns INFO [00043163] Port=1 RD @00 + 43162.50ns INFO [00043164] * RD COMPARE * port=0 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43162.50ns INFO [00043164] Port=1 RD @03 + 43163.50ns INFO [00043165] * RD COMPARE * port=0 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43163.50ns INFO [00043165] * RD COMPARE * port=1 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43163.50ns INFO [00043165] Port=0 WR @04=C7381A5A02F7C67CFF + 43164.50ns INFO [00043166] * RD COMPARE * port=1 adr=03 act=9C3A535F91596ED9E7 exp=9C3A535F91596ED9E7 + 43164.50ns INFO [00043166] Port=0 WR @01=8978EECC5240366C5F + 43164.50ns INFO [00043166] Port=0 RD @05 + 43165.50ns INFO [00043167] Port=1 RD @03 + 43166.50ns INFO [00043168] * RD COMPARE * port=0 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43166.50ns INFO [00043168] Port=0 WR @03=A8B1D653977FD40543 + 43166.50ns INFO [00043168] Port=0 RD @05 + 43167.50ns INFO [00043169] * RD COMPARE * port=1 adr=03 act=9C3A535F91596ED9E7 exp=9C3A535F91596ED9E7 + 43167.50ns INFO [00043169] Port=0 WR @06=58945648300DF0CECD + 43167.50ns INFO [00043169] Port=0 RD @05 + 43167.50ns INFO [00043169] Port=1 RD @02 + 43168.50ns INFO [00043170] * RD COMPARE * port=0 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43168.50ns INFO [00043170] Port=0 RD @03 + 43169.50ns INFO [00043171] * RD COMPARE * port=0 adr=05 act=A0FC0DC772A015CD63 exp=A0FC0DC772A015CD63 + 43169.50ns INFO [00043171] * RD COMPARE * port=1 adr=02 act=90641159EF413D47FE exp=90641159EF413D47FE + 43169.50ns INFO [00043171] Port=0 WR @02=C8D9A1C507034238D3 + 43169.50ns INFO [00043171] Port=0 RD @01 + 43170.50ns INFO [00043172] * RD COMPARE * port=0 adr=03 act=A8B1D653977FD40543 exp=A8B1D653977FD40543 + 43170.50ns INFO [00043172] Port=0 RD @03 + 43170.50ns INFO [00043172] Port=1 RD @04 + 43171.50ns INFO [00043173] * RD COMPARE * port=0 adr=01 act=8978EECC5240366C5F exp=8978EECC5240366C5F + 43171.50ns INFO [00043173] Port=0 RD @06 + 43172.50ns INFO [00043174] * RD COMPARE * port=0 adr=03 act=A8B1D653977FD40543 exp=A8B1D653977FD40543 + 43172.50ns INFO [00043174] * RD COMPARE * port=1 adr=04 act=C7381A5A02F7C67CFF exp=C7381A5A02F7C67CFF + 43172.50ns INFO [00043174] Port=0 WR @05=085B36B3FEFA2B481B + 43173.50ns INFO [00043175] * RD COMPARE * port=0 adr=06 act=58945648300DF0CECD exp=58945648300DF0CECD + 43173.50ns INFO [00043175] Port=0 RD @07 + 43174.50ns INFO [00043176] Port=0 RD @00 + 43175.50ns INFO [00043177] * RD COMPARE * port=0 adr=07 act=846C365614495060E6 exp=846C365614495060E6 + 43175.50ns INFO [00043177] Port=1 RD @00 + 43176.50ns INFO [00043178] * RD COMPARE * port=0 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43176.50ns INFO [00043178] Port=0 WR @03=C89C10F49DF7DCADEF + 43176.50ns INFO [00043178] Port=1 RD @00 + 43177.50ns INFO [00043179] * RD COMPARE * port=1 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43177.50ns INFO [00043179] Port=0 WR @01=30AE0039405E01AD4A + 43177.50ns INFO [00043179] Port=1 RD @00 + 43178.50ns INFO [00043180] * RD COMPARE * port=1 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43178.50ns INFO [00043180] Port=1 RD @05 + 43179.50ns INFO [00043181] * RD COMPARE * port=1 adr=00 act=344B415916EBA5DCA9 exp=344B415916EBA5DCA9 + 43179.50ns INFO [00043181] Port=0 WR @00=3491E2A92C494DFA84 + 43179.50ns INFO [00043181] Port=0 RD @02 + 43179.50ns INFO [00043181] Port=1 RD @06 + 43180.50ns INFO [00043182] * RD COMPARE * port=1 adr=05 act=085B36B3FEFA2B481B exp=085B36B3FEFA2B481B + 43180.50ns INFO [00043182] Port=0 RD @03 + 43181.50ns INFO [00043183] * RD COMPARE * port=0 adr=02 act=C8D9A1C507034238D3 exp=C8D9A1C507034238D3 + 43181.50ns INFO [00043183] * RD COMPARE * port=1 adr=06 act=58945648300DF0CECD exp=58945648300DF0CECD + 43181.50ns INFO [00043183] Port=0 RD @02 + 43182.50ns INFO [00043184] * RD COMPARE * port=0 adr=03 act=C89C10F49DF7DCADEF exp=C89C10F49DF7DCADEF + 43182.50ns INFO [00043184] Port=0 RD @02 + 43182.50ns INFO [00043184] Port=1 RD @06 + 43183.50ns INFO [00043185] * RD COMPARE * port=0 adr=02 act=C8D9A1C507034238D3 exp=C8D9A1C507034238D3 + 43184.50ns INFO [00043186] * RD COMPARE * port=0 adr=02 act=C8D9A1C507034238D3 exp=C8D9A1C507034238D3 + 43184.50ns INFO [00043186] * RD COMPARE * port=1 adr=06 act=58945648300DF0CECD exp=58945648300DF0CECD + 43184.50ns INFO [00043186] Port=0 WR @05=259ACD6180D3973BBC + 43184.50ns INFO [00043186] Port=0 RD @06 + 43186.50ns INFO [00043188] * RD COMPARE * port=0 adr=06 act=58945648300DF0CECD exp=58945648300DF0CECD + 43186.50ns INFO [00043188] Port=0 RD @06 + 43187.50ns INFO [00043189] Port=0 WR @03=122FC238EC28195509 + 43187.50ns INFO [00043189] Port=1 RD @05 + 43188.50ns INFO [00043190] * RD COMPARE * port=0 adr=06 act=58945648300DF0CECD exp=58945648300DF0CECD + 43188.50ns INFO [00043190] Port=0 RD @07 + 43189.50ns INFO [00043191] * RD COMPARE * port=1 adr=05 act=259ACD6180D3973BBC exp=259ACD6180D3973BBC + 43189.50ns INFO [00043191] Port=0 WR @06=A359267B9E526C558E + 43189.50ns INFO [00043191] Port=0 RD @02 + 43189.50ns INFO [00043191] Port=1 RD @01 + 43190.50ns INFO [00043192] * RD COMPARE * port=0 adr=07 act=846C365614495060E6 exp=846C365614495060E6 + 43190.50ns INFO [00043192] Port=1 RD @01 + 43191.50ns INFO [00043193] * RD COMPARE * port=0 adr=02 act=C8D9A1C507034238D3 exp=C8D9A1C507034238D3 + 43191.50ns INFO [00043193] * RD COMPARE * port=1 adr=01 act=30AE0039405E01AD4A exp=30AE0039405E01AD4A + 43192.50ns INFO [00043194] * RD COMPARE * port=1 adr=01 act=30AE0039405E01AD4A exp=30AE0039405E01AD4A + 43192.50ns INFO [00043194] Port=1 RD @06 + 43193.50ns INFO [00043195] Port=0 WR @00=172EC05A7A65168BAB + 43193.50ns INFO [00043195] Port=0 RD @03 + 43194.50ns INFO [00043196] * RD COMPARE * port=1 adr=06 act=A359267B9E526C558E exp=A359267B9E526C558E + 43194.50ns INFO [00043196] Port=0 WR @07=D34533D022CD80E415 + 43194.50ns INFO [00043196] Port=1 RD @05 + 43195.50ns INFO [00043197] * RD COMPARE * port=0 adr=03 act=122FC238EC28195509 exp=122FC238EC28195509 + 43195.50ns INFO [00043197] Port=0 WR @05=2091820C257F101BC6 + 43195.50ns INFO [00043197] Port=0 RD @01 + 43196.50ns INFO [00043198] * RD COMPARE * port=1 adr=05 act=259ACD6180D3973BBC exp=259ACD6180D3973BBC + 43196.50ns INFO [00043198] Port=0 RD @01 + 43196.50ns INFO [00043198] Port=1 RD @00 + 43197.50ns INFO [00043199] * RD COMPARE * port=0 adr=01 act=30AE0039405E01AD4A exp=30AE0039405E01AD4A + 43197.50ns INFO [00043199] Port=0 WR @04=0A0017D13BC7C3C9E5 + 43198.00ns INFO [00043200] [00043200] ...tick... + 43198.50ns INFO [00043200] * RD COMPARE * port=0 adr=01 act=30AE0039405E01AD4A exp=30AE0039405E01AD4A + 43198.50ns INFO [00043200] * RD COMPARE * port=1 adr=00 act=172EC05A7A65168BAB exp=172EC05A7A65168BAB + 43198.50ns INFO [00043200] Port=1 RD @01 + 43200.50ns INFO [00043202] * RD COMPARE * port=1 adr=01 act=30AE0039405E01AD4A exp=30AE0039405E01AD4A + 43200.50ns INFO [00043202] Port=0 WR @02=B5099588EF8003302E + 43201.50ns INFO [00043203] Port=0 RD @03 + 43201.50ns INFO [00043203] Port=1 RD @03 + 43202.50ns INFO [00043204] Port=0 RD @00 + 43203.50ns INFO [00043205] * RD COMPARE * port=0 adr=03 act=122FC238EC28195509 exp=122FC238EC28195509 + 43203.50ns INFO [00043205] * RD COMPARE * port=1 adr=03 act=122FC238EC28195509 exp=122FC238EC28195509 + 43204.50ns INFO [00043206] * RD COMPARE * port=0 adr=00 act=172EC05A7A65168BAB exp=172EC05A7A65168BAB + 43204.50ns INFO [00043206] Port=1 RD @02 + 43205.50ns INFO [00043207] Port=0 RD @01 + 43205.50ns INFO [00043207] Port=1 RD @07 + 43206.50ns INFO [00043208] * RD COMPARE * port=1 adr=02 act=B5099588EF8003302E exp=B5099588EF8003302E + 43206.50ns INFO [00043208] Port=0 RD @00 + 43206.50ns INFO [00043208] Port=1 RD @07 + 43207.50ns INFO [00043209] * RD COMPARE * port=0 adr=01 act=30AE0039405E01AD4A exp=30AE0039405E01AD4A + 43207.50ns INFO [00043209] * RD COMPARE * port=1 adr=07 act=D34533D022CD80E415 exp=D34533D022CD80E415 + 43207.50ns INFO [00043209] Port=0 WR @01=BFFDE3D552E05DE85C + 43207.50ns INFO [00043209] Port=0 RD @05 + 43208.50ns INFO [00043210] * RD COMPARE * port=0 adr=00 act=172EC05A7A65168BAB exp=172EC05A7A65168BAB + 43208.50ns INFO [00043210] * RD COMPARE * port=1 adr=07 act=D34533D022CD80E415 exp=D34533D022CD80E415 + 43208.50ns INFO [00043210] Port=0 WR @06=9BB023DA4273E9F9B0 + 43208.50ns INFO [00043210] Port=1 RD @01 + 43209.50ns INFO [00043211] * RD COMPARE * port=0 adr=05 act=2091820C257F101BC6 exp=2091820C257F101BC6 + 43210.50ns INFO [00043212] * RD COMPARE * port=1 adr=01 act=BFFDE3D552E05DE85C exp=BFFDE3D552E05DE85C + 43210.50ns INFO [00043212] Port=0 RD @04 + 43210.50ns INFO [00043212] Port=1 RD @06 + 43211.50ns INFO [00043213] Port=1 RD @03 + 43212.50ns INFO [00043214] * RD COMPARE * port=0 adr=04 act=0A0017D13BC7C3C9E5 exp=0A0017D13BC7C3C9E5 + 43212.50ns INFO [00043214] * RD COMPARE * port=1 adr=06 act=9BB023DA4273E9F9B0 exp=9BB023DA4273E9F9B0 + 43212.50ns INFO [00043214] Port=0 WR @01=C6ACD2753F20418485 + 43213.50ns INFO [00043215] * RD COMPARE * port=1 adr=03 act=122FC238EC28195509 exp=122FC238EC28195509 + 43215.50ns INFO [00043217] Port=0 RD @00 + 43216.50ns INFO [00043218] Port=0 WR @00=1FB7133ACB3B1E0533 + 43217.50ns INFO [00043219] * RD COMPARE * port=0 adr=00 act=172EC05A7A65168BAB exp=172EC05A7A65168BAB + 43217.50ns INFO [00043219] Port=0 RD @05 + 43217.50ns INFO [00043219] Port=1 RD @02 + 43218.50ns INFO [00043220] Port=0 RD @07 + 43219.50ns INFO [00043221] * RD COMPARE * port=0 adr=05 act=2091820C257F101BC6 exp=2091820C257F101BC6 + 43219.50ns INFO [00043221] * RD COMPARE * port=1 adr=02 act=B5099588EF8003302E exp=B5099588EF8003302E + 43220.50ns INFO [00043222] * RD COMPARE * port=0 adr=07 act=D34533D022CD80E415 exp=D34533D022CD80E415 + 43220.50ns INFO [00043222] Port=0 RD @02 + 43221.50ns INFO [00043223] Port=0 RD @01 + 43222.50ns INFO [00043224] * RD COMPARE * port=0 adr=02 act=B5099588EF8003302E exp=B5099588EF8003302E + 43222.50ns INFO [00043224] Port=0 RD @05 + 43223.50ns INFO [00043225] * RD COMPARE * port=0 adr=01 act=C6ACD2753F20418485 exp=C6ACD2753F20418485 + 43223.50ns INFO [00043225] Port=0 WR @04=CDD674E9F6C63ADAE8 + 43224.50ns INFO [00043226] * RD COMPARE * port=0 adr=05 act=2091820C257F101BC6 exp=2091820C257F101BC6 + 43226.50ns INFO [00043228] Port=0 WR @05=43033EF1D5303C220D + 43227.50ns INFO [00043229] Port=0 RD @07 + 43228.50ns INFO [00043230] Port=0 WR @05=82D82329FEC3D6D49D + 43228.50ns INFO [00043230] Port=1 RD @02 + 43229.50ns INFO [00043231] * RD COMPARE * port=0 adr=07 act=D34533D022CD80E415 exp=D34533D022CD80E415 + 43229.50ns INFO [00043231] Port=1 RD @00 + 43230.50ns INFO [00043232] * RD COMPARE * port=1 adr=02 act=B5099588EF8003302E exp=B5099588EF8003302E + 43231.50ns INFO [00043233] * RD COMPARE * port=1 adr=00 act=1FB7133ACB3B1E0533 exp=1FB7133ACB3B1E0533 + 43232.50ns INFO [00043234] Port=1 RD @07 + 43233.50ns INFO [00043235] Port=0 WR @04=C260A160AF51720C4B + 43233.50ns INFO [00043235] Port=1 RD @03 + 43234.50ns INFO [00043236] * RD COMPARE * port=1 adr=07 act=D34533D022CD80E415 exp=D34533D022CD80E415 + 43234.50ns INFO [00043236] Port=0 WR @00=3E3F1499EF37805F71 + 43235.50ns INFO [00043237] * RD COMPARE * port=1 adr=03 act=122FC238EC28195509 exp=122FC238EC28195509 + 43235.50ns INFO [00043237] Port=0 WR @03=C440088877118EC8D6 + 43236.50ns INFO [00043238] Port=0 WR @07=9365AF08313FBF2649 + 43237.50ns INFO [00043239] Port=0 RD @05 + 43238.50ns INFO [00043240] Port=0 WR @02=B911A916E1A1FB05BD + 43238.50ns INFO [00043240] Port=0 RD @07 + 43239.50ns INFO [00043241] * RD COMPARE * port=0 adr=05 act=82D82329FEC3D6D49D exp=82D82329FEC3D6D49D + 43239.50ns INFO [00043241] Port=1 RD @01 + 43240.50ns INFO [00043242] * RD COMPARE * port=0 adr=07 act=9365AF08313FBF2649 exp=9365AF08313FBF2649 + 43240.50ns INFO [00043242] Port=0 WR @03=B064B7AE2D55B24331 + 43241.50ns INFO [00043243] * RD COMPARE * port=1 adr=01 act=C6ACD2753F20418485 exp=C6ACD2753F20418485 + 43241.50ns INFO [00043243] Port=0 RD @06 + 43242.50ns INFO [00043244] Port=0 WR @03=7E4A8964DFEA554152 + 43242.50ns INFO [00043244] Port=0 RD @00 + 43243.50ns INFO [00043245] * RD COMPARE * port=0 adr=06 act=9BB023DA4273E9F9B0 exp=9BB023DA4273E9F9B0 + 43243.50ns INFO [00043245] Port=1 RD @05 + 43244.50ns INFO [00043246] * RD COMPARE * port=0 adr=00 act=3E3F1499EF37805F71 exp=3E3F1499EF37805F71 + 43244.50ns INFO [00043246] Port=0 WR @01=5382AF9AAAD1B16E64 + 43244.50ns INFO [00043246] Port=0 RD @05 + 43245.50ns INFO [00043247] * RD COMPARE * port=1 adr=05 act=82D82329FEC3D6D49D exp=82D82329FEC3D6D49D + 43245.50ns INFO [00043247] Port=0 WR @05=84783F90458CD132B6 + 43246.50ns INFO [00043248] * RD COMPARE * port=0 adr=05 act=82D82329FEC3D6D49D exp=82D82329FEC3D6D49D + 43247.50ns INFO [00043249] Port=1 RD @00 + 43248.50ns INFO [00043250] Port=0 WR @06=4E4CFDED4116FC5E13 + 43248.50ns INFO [00043250] Port=0 RD @01 + 43249.50ns INFO [00043251] * RD COMPARE * port=1 adr=00 act=3E3F1499EF37805F71 exp=3E3F1499EF37805F71 + 43249.50ns INFO [00043251] Port=1 RD @04 + 43250.50ns INFO [00043252] * RD COMPARE * port=0 adr=01 act=5382AF9AAAD1B16E64 exp=5382AF9AAAD1B16E64 + 43250.50ns INFO [00043252] Port=0 WR @04=4F73E56F5E1BF3E0F9 + 43251.50ns INFO [00043253] * RD COMPARE * port=1 adr=04 act=C260A160AF51720C4B exp=C260A160AF51720C4B + 43251.50ns INFO [00043253] Port=1 RD @03 + 43252.50ns INFO [00043254] Port=0 RD @03 + 43253.50ns INFO [00043255] * RD COMPARE * port=1 adr=03 act=7E4A8964DFEA554152 exp=7E4A8964DFEA554152 + 43253.50ns INFO [00043255] Port=0 WR @05=8E1B87368613CBD5B1 + 43254.50ns INFO [00043256] * RD COMPARE * port=0 adr=03 act=7E4A8964DFEA554152 exp=7E4A8964DFEA554152 + 43255.50ns INFO [00043257] Port=0 RD @06 + 43256.50ns INFO [00043258] Port=0 WR @02=94A7F21E06CD7F87FD + 43257.50ns INFO [00043259] * RD COMPARE * port=0 adr=06 act=4E4CFDED4116FC5E13 exp=4E4CFDED4116FC5E13 + 43257.50ns INFO [00043259] Port=0 WR @05=AA8253D547653549B7 + 43258.50ns INFO [00043260] Port=0 WR @04=217FF6AE4D22C6CC99 + 43259.50ns INFO [00043261] Port=0 WR @01=1B4C58AE49E0CBE799 + 43259.50ns INFO [00043261] Port=1 RD @03 + 43260.50ns INFO [00043262] Port=0 WR @03=1F71C25DF5D7668C17 + 43260.50ns INFO [00043262] Port=0 RD @07 + 43261.50ns INFO [00043263] * RD COMPARE * port=1 adr=03 act=7E4A8964DFEA554152 exp=7E4A8964DFEA554152 + 43261.50ns INFO [00043263] Port=0 WR @06=5858B104BB18207406 + 43261.50ns INFO [00043263] Port=1 RD @00 + 43262.50ns INFO [00043264] * RD COMPARE * port=0 adr=07 act=9365AF08313FBF2649 exp=9365AF08313FBF2649 + 43262.50ns INFO [00043264] Port=0 RD @03 + 43262.50ns INFO [00043264] Port=1 RD @03 + 43263.50ns INFO [00043265] * RD COMPARE * port=1 adr=00 act=3E3F1499EF37805F71 exp=3E3F1499EF37805F71 + 43263.50ns INFO [00043265] Port=0 RD @01 + 43264.50ns INFO [00043266] * RD COMPARE * port=0 adr=03 act=1F71C25DF5D7668C17 exp=1F71C25DF5D7668C17 + 43264.50ns INFO [00043266] * RD COMPARE * port=1 adr=03 act=1F71C25DF5D7668C17 exp=1F71C25DF5D7668C17 + 43265.50ns INFO [00043267] * RD COMPARE * port=0 adr=01 act=1B4C58AE49E0CBE799 exp=1B4C58AE49E0CBE799 + 43265.50ns INFO [00043267] Port=0 RD @02 + 43265.50ns INFO [00043267] Port=1 RD @01 + 43266.50ns INFO [00043268] Port=0 RD @04 + 43267.50ns INFO [00043269] * RD COMPARE * port=0 adr=02 act=94A7F21E06CD7F87FD exp=94A7F21E06CD7F87FD + 43267.50ns INFO [00043269] * RD COMPARE * port=1 adr=01 act=1B4C58AE49E0CBE799 exp=1B4C58AE49E0CBE799 + 43267.50ns INFO [00043269] Port=1 RD @07 + 43268.50ns INFO [00043270] * RD COMPARE * port=0 adr=04 act=217FF6AE4D22C6CC99 exp=217FF6AE4D22C6CC99 + 43268.50ns INFO [00043270] Port=0 WR @03=C5EB17AD9B038AC96E + 43269.50ns INFO [00043271] * RD COMPARE * port=1 adr=07 act=9365AF08313FBF2649 exp=9365AF08313FBF2649 + 43269.50ns INFO [00043271] Port=0 WR @04=C41DB1A0AF79EBEBF0 + 43269.50ns INFO [00043271] Port=0 RD @02 + 43269.50ns INFO [00043271] Port=1 RD @00 + 43270.50ns INFO [00043272] Port=1 RD @07 + 43271.50ns INFO [00043273] * RD COMPARE * port=0 adr=02 act=94A7F21E06CD7F87FD exp=94A7F21E06CD7F87FD + 43271.50ns INFO [00043273] * RD COMPARE * port=1 adr=00 act=3E3F1499EF37805F71 exp=3E3F1499EF37805F71 + 43272.50ns INFO [00043274] * RD COMPARE * port=1 adr=07 act=9365AF08313FBF2649 exp=9365AF08313FBF2649 + 43272.50ns INFO [00043274] Port=0 RD @06 + 43273.50ns INFO [00043275] Port=0 RD @05 + 43274.50ns INFO [00043276] * RD COMPARE * port=0 adr=06 act=5858B104BB18207406 exp=5858B104BB18207406 + 43274.50ns INFO [00043276] Port=0 RD @02 + 43275.50ns INFO [00043277] * RD COMPARE * port=0 adr=05 act=AA8253D547653549B7 exp=AA8253D547653549B7 + 43275.50ns INFO [00043277] Port=0 WR @02=FAE482E17EE1D7C071 + 43276.50ns INFO [00043278] * RD COMPARE * port=0 adr=02 act=94A7F21E06CD7F87FD exp=94A7F21E06CD7F87FD + 43278.50ns INFO [00043280] Port=0 RD @04 + 43278.50ns INFO [00043280] Port=1 RD @07 + 43279.50ns INFO [00043281] Port=0 WR @03=01A6DEAEB4E9A6EF1A + 43279.50ns INFO [00043281] Port=1 RD @07 + 43280.50ns INFO [00043282] * RD COMPARE * port=0 adr=04 act=C41DB1A0AF79EBEBF0 exp=C41DB1A0AF79EBEBF0 + 43280.50ns INFO [00043282] * RD COMPARE * port=1 adr=07 act=9365AF08313FBF2649 exp=9365AF08313FBF2649 + 43280.50ns INFO [00043282] Port=0 RD @01 + 43281.50ns INFO [00043283] * RD COMPARE * port=1 adr=07 act=9365AF08313FBF2649 exp=9365AF08313FBF2649 + 43281.50ns INFO [00043283] Port=0 WR @03=E2A547959579A3F740 + 43282.50ns INFO [00043284] * RD COMPARE * port=0 adr=01 act=1B4C58AE49E0CBE799 exp=1B4C58AE49E0CBE799 + 43282.50ns INFO [00043284] Port=1 RD @04 + 43283.50ns INFO [00043285] Port=0 WR @07=A125A4921E7F462BB3 + 43283.50ns INFO [00043285] Port=0 RD @00 + 43284.50ns INFO [00043286] * RD COMPARE * port=1 adr=04 act=C41DB1A0AF79EBEBF0 exp=C41DB1A0AF79EBEBF0 + 43284.50ns INFO [00043286] Port=1 RD @04 + 43285.50ns INFO [00043287] * RD COMPARE * port=0 adr=00 act=3E3F1499EF37805F71 exp=3E3F1499EF37805F71 + 43285.50ns INFO [00043287] Port=0 RD @05 + 43285.50ns INFO [00043287] Port=1 RD @07 + 43286.50ns INFO [00043288] * RD COMPARE * port=1 adr=04 act=C41DB1A0AF79EBEBF0 exp=C41DB1A0AF79EBEBF0 + 43286.50ns INFO [00043288] Port=1 RD @04 + 43287.50ns INFO [00043289] * RD COMPARE * port=0 adr=05 act=AA8253D547653549B7 exp=AA8253D547653549B7 + 43287.50ns INFO [00043289] * RD COMPARE * port=1 adr=07 act=A125A4921E7F462BB3 exp=A125A4921E7F462BB3 + 43288.50ns INFO [00043290] * RD COMPARE * port=1 adr=04 act=C41DB1A0AF79EBEBF0 exp=C41DB1A0AF79EBEBF0 + 43288.50ns INFO [00043290] Port=0 WR @07=31279E6819C913C4DB + 43288.50ns INFO [00043290] Port=1 RD @03 + 43289.50ns INFO [00043291] Port=0 RD @01 + 43289.50ns INFO [00043291] Port=1 RD @03 + 43290.50ns INFO [00043292] * RD COMPARE * port=1 adr=03 act=E2A547959579A3F740 exp=E2A547959579A3F740 + 43291.50ns INFO [00043293] * RD COMPARE * port=0 adr=01 act=1B4C58AE49E0CBE799 exp=1B4C58AE49E0CBE799 + 43291.50ns INFO [00043293] * RD COMPARE * port=1 adr=03 act=E2A547959579A3F740 exp=E2A547959579A3F740 + 43291.50ns INFO [00043293] Port=0 RD @00 + 43291.50ns INFO [00043293] Port=1 RD @07 + 43293.50ns INFO [00043295] * RD COMPARE * port=0 adr=00 act=3E3F1499EF37805F71 exp=3E3F1499EF37805F71 + 43293.50ns INFO [00043295] * RD COMPARE * port=1 adr=07 act=31279E6819C913C4DB exp=31279E6819C913C4DB + 43293.50ns INFO [00043295] Port=0 WR @02=0BF935685971A59D13 + 43293.50ns INFO [00043295] Port=1 RD @05 + 43294.50ns INFO [00043296] Port=0 WR @04=5E691AD095BA738B9C + 43295.50ns INFO [00043297] * RD COMPARE * port=1 adr=05 act=AA8253D547653549B7 exp=AA8253D547653549B7 + 43295.50ns INFO [00043297] Port=0 WR @02=360B329B8775CED669 + 43295.50ns INFO [00043297] Port=1 RD @00 + 43296.50ns INFO [00043298] Port=1 RD @03 + 43297.50ns INFO [00043299] * RD COMPARE * port=1 adr=00 act=3E3F1499EF37805F71 exp=3E3F1499EF37805F71 + 43297.50ns INFO [00043299] Port=0 WR @01=25731B5115967071C4 + 43298.00ns INFO [00043300] [00043300] ...tick... + 43298.50ns INFO [00043300] * RD COMPARE * port=1 adr=03 act=E2A547959579A3F740 exp=E2A547959579A3F740 + 43298.50ns INFO [00043300] Port=0 WR @00=DD933C02ABE4221923 + 43298.50ns INFO [00043300] Port=0 RD @03 + 43300.50ns INFO [00043302] * RD COMPARE * port=0 adr=03 act=E2A547959579A3F740 exp=E2A547959579A3F740 + 43300.50ns INFO [00043302] Port=0 WR @05=7E4EB7A43BCF45FDBE + 43300.50ns INFO [00043302] Port=0 RD @00 + 43301.50ns INFO [00043303] Port=0 WR @01=9545859A38E8D982F2 + 43301.50ns INFO [00043303] Port=0 RD @06 + 43302.50ns INFO [00043304] * RD COMPARE * port=0 adr=00 act=DD933C02ABE4221923 exp=DD933C02ABE4221923 + 43302.50ns INFO [00043304] Port=0 RD @04 + 43303.50ns INFO [00043305] * RD COMPARE * port=0 adr=06 act=5858B104BB18207406 exp=5858B104BB18207406 + 43303.50ns INFO [00043305] Port=0 RD @03 + 43303.50ns INFO [00043305] Port=1 RD @04 + 43304.50ns INFO [00043306] * RD COMPARE * port=0 adr=04 act=5E691AD095BA738B9C exp=5E691AD095BA738B9C + 43304.50ns INFO [00043306] Port=0 RD @07 + 43305.50ns INFO [00043307] * RD COMPARE * port=0 adr=03 act=E2A547959579A3F740 exp=E2A547959579A3F740 + 43305.50ns INFO [00043307] * RD COMPARE * port=1 adr=04 act=5E691AD095BA738B9C exp=5E691AD095BA738B9C + 43306.50ns INFO [00043308] * RD COMPARE * port=0 adr=07 act=31279E6819C913C4DB exp=31279E6819C913C4DB + 43306.50ns INFO [00043308] Port=0 WR @00=882BF7A957702120A8 + 43306.50ns INFO [00043308] Port=0 RD @06 + 43307.50ns INFO [00043309] Port=0 WR @06=6162F6EAC423776B3A + 43308.50ns INFO [00043310] * RD COMPARE * port=0 adr=06 act=5858B104BB18207406 exp=5858B104BB18207406 + 43308.50ns INFO [00043310] Port=0 WR @06=4259C3A90F4E3E0F1F + 43308.50ns INFO [00043310] Port=0 RD @03 + 43308.50ns INFO [00043310] Port=1 RD @00 + 43310.50ns INFO [00043312] * RD COMPARE * port=0 adr=03 act=E2A547959579A3F740 exp=E2A547959579A3F740 + 43310.50ns INFO [00043312] * RD COMPARE * port=1 adr=00 act=882BF7A957702120A8 exp=882BF7A957702120A8 + 43310.50ns INFO [00043312] Port=0 WR @04=7A912785B4C8ECF26E + 43310.50ns INFO [00043312] Port=0 RD @01 + 43310.50ns INFO [00043312] Port=1 RD @02 + 43312.50ns INFO [00043314] * RD COMPARE * port=0 adr=01 act=9545859A38E8D982F2 exp=9545859A38E8D982F2 + 43312.50ns INFO [00043314] * RD COMPARE * port=1 adr=02 act=360B329B8775CED669 exp=360B329B8775CED669 + 43312.50ns INFO [00043314] Port=0 RD @04 + 43313.50ns INFO [00043315] Port=0 WR @04=E4C8418281933EAADB + 43314.50ns INFO [00043316] * RD COMPARE * port=0 adr=04 act=7A912785B4C8ECF26E exp=7A912785B4C8ECF26E + 43315.50ns INFO [00043317] Port=0 RD @02 + 43315.50ns INFO [00043317] Port=1 RD @03 + 43317.50ns INFO [00043319] * RD COMPARE * port=0 adr=02 act=360B329B8775CED669 exp=360B329B8775CED669 + 43317.50ns INFO [00043319] * RD COMPARE * port=1 adr=03 act=E2A547959579A3F740 exp=E2A547959579A3F740 + 43317.50ns INFO [00043319] Port=0 RD @06 + 43318.50ns INFO [00043320] Port=0 WR @00=7356A7693F977EE31F + 43318.50ns INFO [00043320] Port=0 RD @01 + 43319.50ns INFO [00043321] * RD COMPARE * port=0 adr=06 act=4259C3A90F4E3E0F1F exp=4259C3A90F4E3E0F1F + 43319.50ns INFO [00043321] Port=0 RD @07 + 43320.50ns INFO [00043322] * RD COMPARE * port=0 adr=01 act=9545859A38E8D982F2 exp=9545859A38E8D982F2 + 43321.50ns INFO [00043323] * RD COMPARE * port=0 adr=07 act=31279E6819C913C4DB exp=31279E6819C913C4DB + 43321.50ns INFO [00043323] Port=0 WR @07=49BC6BC337532F6D82 + 43321.50ns INFO [00043323] Port=1 RD @06 + 43323.50ns INFO [00043325] * RD COMPARE * port=1 adr=06 act=4259C3A90F4E3E0F1F exp=4259C3A90F4E3E0F1F + 43324.50ns INFO [00043326] Port=0 RD @04 + 43325.50ns INFO [00043327] Port=0 WR @04=BBA2D8866804A5A154 + 43326.50ns INFO [00043328] * RD COMPARE * port=0 adr=04 act=E4C8418281933EAADB exp=E4C8418281933EAADB + 43326.50ns INFO [00043328] Port=0 WR @07=5AE69F5C5FEE26F0B9 + 43327.50ns INFO [00043329] Port=0 RD @07 + 43328.50ns INFO [00043330] Port=1 RD @02 + 43329.50ns INFO [00043331] * RD COMPARE * port=0 adr=07 act=5AE69F5C5FEE26F0B9 exp=5AE69F5C5FEE26F0B9 + 43329.50ns INFO [00043331] Port=1 RD @05 + 43330.50ns INFO [00043332] * RD COMPARE * port=1 adr=02 act=360B329B8775CED669 exp=360B329B8775CED669 + 43330.50ns INFO [00043332] Port=1 RD @05 + 43331.50ns INFO [00043333] * RD COMPARE * port=1 adr=05 act=7E4EB7A43BCF45FDBE exp=7E4EB7A43BCF45FDBE + 43331.50ns INFO [00043333] Port=0 WR @06=084F2C7DAA1079A8F8 + 43331.50ns INFO [00043333] Port=1 RD @05 + 43332.50ns INFO [00043334] * RD COMPARE * port=1 adr=05 act=7E4EB7A43BCF45FDBE exp=7E4EB7A43BCF45FDBE + 43332.50ns INFO [00043334] Port=0 WR @02=A0EFEEE5D4B8B3EA19 + 43332.50ns INFO [00043334] Port=0 RD @05 + 43333.50ns INFO [00043335] * RD COMPARE * port=1 adr=05 act=7E4EB7A43BCF45FDBE exp=7E4EB7A43BCF45FDBE + 43333.50ns INFO [00043335] Port=0 WR @03=4594E9EA09E570BA5E + 43333.50ns INFO [00043335] Port=0 RD @01 + 43334.50ns INFO [00043336] * RD COMPARE * port=0 adr=05 act=7E4EB7A43BCF45FDBE exp=7E4EB7A43BCF45FDBE + 43335.50ns INFO [00043337] * RD COMPARE * port=0 adr=01 act=9545859A38E8D982F2 exp=9545859A38E8D982F2 + 43335.50ns INFO [00043337] Port=0 WR @02=1D4BF694DBAC4112CE + 43335.50ns INFO [00043337] Port=1 RD @05 + 43336.50ns INFO [00043338] Port=0 RD @07 + 43336.50ns INFO [00043338] Port=1 RD @06 + 43337.50ns INFO [00043339] * RD COMPARE * port=1 adr=05 act=7E4EB7A43BCF45FDBE exp=7E4EB7A43BCF45FDBE + 43337.50ns INFO [00043339] Port=1 RD @02 + 43338.50ns INFO [00043340] * RD COMPARE * port=0 adr=07 act=5AE69F5C5FEE26F0B9 exp=5AE69F5C5FEE26F0B9 + 43338.50ns INFO [00043340] * RD COMPARE * port=1 adr=06 act=084F2C7DAA1079A8F8 exp=084F2C7DAA1079A8F8 + 43338.50ns INFO [00043340] Port=0 RD @02 + 43338.50ns INFO [00043340] Port=1 RD @01 + 43339.50ns INFO [00043341] * RD COMPARE * port=1 adr=02 act=1D4BF694DBAC4112CE exp=1D4BF694DBAC4112CE + 43339.50ns INFO [00043341] Port=0 WR @03=8917A1144BF8D08070 + 43339.50ns INFO [00043341] Port=0 RD @05 + 43340.50ns INFO [00043342] * RD COMPARE * port=0 adr=02 act=1D4BF694DBAC4112CE exp=1D4BF694DBAC4112CE + 43340.50ns INFO [00043342] * RD COMPARE * port=1 adr=01 act=9545859A38E8D982F2 exp=9545859A38E8D982F2 + 43340.50ns INFO [00043342] Port=0 WR @00=67BC729A4885EB7E7E + 43341.50ns INFO [00043343] * RD COMPARE * port=0 adr=05 act=7E4EB7A43BCF45FDBE exp=7E4EB7A43BCF45FDBE + 43341.50ns INFO [00043343] Port=0 RD @04 + 43343.50ns INFO [00043345] * RD COMPARE * port=0 adr=04 act=BBA2D8866804A5A154 exp=BBA2D8866804A5A154 + 43343.50ns INFO [00043345] Port=0 RD @04 + 43344.50ns INFO [00043346] Port=0 WR @01=AEFC63D03F26368237 + 43344.50ns INFO [00043346] Port=1 RD @00 + 43345.50ns INFO [00043347] * RD COMPARE * port=0 adr=04 act=BBA2D8866804A5A154 exp=BBA2D8866804A5A154 + 43346.50ns INFO [00043348] * RD COMPARE * port=1 adr=00 act=67BC729A4885EB7E7E exp=67BC729A4885EB7E7E + 43347.50ns INFO [00043349] Port=0 WR @06=0E356E5E31AEEDC49D + 43347.50ns INFO [00043349] Port=0 RD @04 + 43349.50ns INFO [00043351] * RD COMPARE * port=0 adr=04 act=BBA2D8866804A5A154 exp=BBA2D8866804A5A154 + 43350.50ns INFO [00043352] Port=0 WR @04=18C201206A10CC5BF1 + 43350.50ns INFO [00043352] Port=0 RD @03 + 43350.50ns INFO [00043352] Port=1 RD @02 + 43351.50ns INFO [00043353] Port=0 RD @05 + 43352.50ns INFO [00043354] * RD COMPARE * port=0 adr=03 act=8917A1144BF8D08070 exp=8917A1144BF8D08070 + 43352.50ns INFO [00043354] * RD COMPARE * port=1 adr=02 act=1D4BF694DBAC4112CE exp=1D4BF694DBAC4112CE + 43352.50ns INFO [00043354] Port=0 RD @05 + 43353.50ns INFO [00043355] * RD COMPARE * port=0 adr=05 act=7E4EB7A43BCF45FDBE exp=7E4EB7A43BCF45FDBE + 43354.50ns INFO [00043356] * RD COMPARE * port=0 adr=05 act=7E4EB7A43BCF45FDBE exp=7E4EB7A43BCF45FDBE + 43354.50ns INFO [00043356] Port=1 RD @02 + 43355.50ns INFO [00043357] Port=0 WR @03=D7605D54B97A4C0D7D + 43356.50ns INFO [00043358] * RD COMPARE * port=1 adr=02 act=1D4BF694DBAC4112CE exp=1D4BF694DBAC4112CE + 43356.50ns INFO [00043358] Port=0 RD @06 + 43356.50ns INFO [00043358] Port=1 RD @01 + 43357.50ns INFO [00043359] Port=0 WR @01=AD598B61329ED2E1BE + 43357.50ns INFO [00043359] Port=1 RD @00 + 43358.50ns INFO [00043360] * RD COMPARE * port=0 adr=06 act=0E356E5E31AEEDC49D exp=0E356E5E31AEEDC49D + 43358.50ns INFO [00043360] * RD COMPARE * port=1 adr=01 act=AEFC63D03F26368237 exp=AEFC63D03F26368237 + 43358.50ns INFO [00043360] Port=1 RD @02 + 43359.50ns INFO [00043361] * RD COMPARE * port=1 adr=00 act=67BC729A4885EB7E7E exp=67BC729A4885EB7E7E + 43359.50ns INFO [00043361] Port=0 RD @01 + 43359.50ns INFO [00043361] Port=1 RD @03 + 43360.50ns INFO [00043362] * RD COMPARE * port=1 adr=02 act=1D4BF694DBAC4112CE exp=1D4BF694DBAC4112CE + 43360.50ns INFO [00043362] Port=0 WR @01=6D34BD81B03B1374DF + 43361.50ns INFO [00043363] * RD COMPARE * port=0 adr=01 act=AD598B61329ED2E1BE exp=AD598B61329ED2E1BE + 43361.50ns INFO [00043363] * RD COMPARE * port=1 adr=03 act=D7605D54B97A4C0D7D exp=D7605D54B97A4C0D7D + 43361.50ns INFO [00043363] Port=0 RD @00 + 43361.50ns INFO [00043363] Port=1 RD @05 + 43362.50ns INFO [00043364] Port=0 RD @06 + 43363.50ns INFO [00043365] * RD COMPARE * port=0 adr=00 act=67BC729A4885EB7E7E exp=67BC729A4885EB7E7E + 43363.50ns INFO [00043365] * RD COMPARE * port=1 adr=05 act=7E4EB7A43BCF45FDBE exp=7E4EB7A43BCF45FDBE + 43363.50ns INFO [00043365] Port=0 RD @03 + 43364.50ns INFO [00043366] * RD COMPARE * port=0 adr=06 act=0E356E5E31AEEDC49D exp=0E356E5E31AEEDC49D + 43365.50ns INFO [00043367] * RD COMPARE * port=0 adr=03 act=D7605D54B97A4C0D7D exp=D7605D54B97A4C0D7D + 43365.50ns INFO [00043367] Port=0 WR @05=1F70886A6D77F96CDD + 43365.50ns INFO [00043367] Port=1 RD @03 + 43366.50ns INFO [00043368] Port=0 WR @03=58ACC86EBD5D9665C1 + 43367.50ns INFO [00043369] * RD COMPARE * port=1 adr=03 act=D7605D54B97A4C0D7D exp=D7605D54B97A4C0D7D + 43369.50ns INFO [00043371] Port=0 WR @04=01A47FF9A46F1E43C5 + 43369.50ns INFO [00043371] Port=0 RD @07 + 43371.50ns INFO [00043373] * RD COMPARE * port=0 adr=07 act=5AE69F5C5FEE26F0B9 exp=5AE69F5C5FEE26F0B9 + 43371.50ns INFO [00043373] Port=0 WR @04=FFDD22C322F3C09413 + 43371.50ns INFO [00043373] Port=0 RD @05 + 43371.50ns INFO [00043373] Port=1 RD @00 + 43372.50ns INFO [00043374] Port=0 WR @04=4213913731F40F5720 + 43372.50ns INFO [00043374] Port=1 RD @06 + 43373.50ns INFO [00043375] * RD COMPARE * port=0 adr=05 act=1F70886A6D77F96CDD exp=1F70886A6D77F96CDD + 43373.50ns INFO [00043375] * RD COMPARE * port=1 adr=00 act=67BC729A4885EB7E7E exp=67BC729A4885EB7E7E + 43374.50ns INFO [00043376] * RD COMPARE * port=1 adr=06 act=0E356E5E31AEEDC49D exp=0E356E5E31AEEDC49D + 43374.50ns INFO [00043376] Port=0 WR @07=A80D7913777DCEEDC0 + 43374.50ns INFO [00043376] Port=0 RD @00 + 43375.50ns INFO [00043377] Port=0 RD @02 + 43375.50ns INFO [00043377] Port=1 RD @06 + 43376.50ns INFO [00043378] * RD COMPARE * port=0 adr=00 act=67BC729A4885EB7E7E exp=67BC729A4885EB7E7E + 43376.50ns INFO [00043378] Port=0 WR @04=D07BC80EC8A2E56CE9 + 43377.50ns INFO [00043379] * RD COMPARE * port=0 adr=02 act=1D4BF694DBAC4112CE exp=1D4BF694DBAC4112CE + 43377.50ns INFO [00043379] * RD COMPARE * port=1 adr=06 act=0E356E5E31AEEDC49D exp=0E356E5E31AEEDC49D + 43378.50ns INFO [00043380] Port=0 WR @05=EB5814C1DE40F38298 + 43378.50ns INFO [00043380] Port=0 RD @02 + 43379.50ns INFO [00043381] Port=1 RD @03 + 43380.50ns INFO [00043382] * RD COMPARE * port=0 adr=02 act=1D4BF694DBAC4112CE exp=1D4BF694DBAC4112CE + 43381.50ns INFO [00043383] * RD COMPARE * port=1 adr=03 act=58ACC86EBD5D9665C1 exp=58ACC86EBD5D9665C1 + 43381.50ns INFO [00043383] Port=1 RD @03 + 43382.50ns INFO [00043384] Port=0 WR @02=BC15368BB2D5029A00 + 43382.50ns INFO [00043384] Port=0 RD @00 + 43382.50ns INFO [00043384] Port=1 RD @05 + 43383.50ns INFO [00043385] * RD COMPARE * port=1 adr=03 act=58ACC86EBD5D9665C1 exp=58ACC86EBD5D9665C1 + 43383.50ns INFO [00043385] Port=0 WR @02=BE37864D74A6FD6723 + 43383.50ns INFO [00043385] Port=0 RD @07 + 43384.50ns INFO [00043386] * RD COMPARE * port=0 adr=00 act=67BC729A4885EB7E7E exp=67BC729A4885EB7E7E + 43384.50ns INFO [00043386] * RD COMPARE * port=1 adr=05 act=EB5814C1DE40F38298 exp=EB5814C1DE40F38298 + 43385.50ns INFO [00043387] * RD COMPARE * port=0 adr=07 act=A80D7913777DCEEDC0 exp=A80D7913777DCEEDC0 + 43385.50ns INFO [00043387] Port=0 WR @03=616B6325ADCDBD3A61 + 43385.50ns INFO [00043387] Port=0 RD @06 + 43386.50ns INFO [00043388] Port=0 WR @04=01C6051A872BC8BBE2 + 43386.50ns INFO [00043388] Port=0 RD @06 + 43387.50ns INFO [00043389] * RD COMPARE * port=0 adr=06 act=0E356E5E31AEEDC49D exp=0E356E5E31AEEDC49D + 43387.50ns INFO [00043389] Port=0 WR @00=F884522D408EBF3655 + 43387.50ns INFO [00043389] Port=0 RD @03 + 43387.50ns INFO [00043389] Port=1 RD @06 + 43388.50ns INFO [00043390] * RD COMPARE * port=0 adr=06 act=0E356E5E31AEEDC49D exp=0E356E5E31AEEDC49D + 43388.50ns INFO [00043390] Port=0 RD @07 + 43388.50ns INFO [00043390] Port=1 RD @01 + 43389.50ns INFO [00043391] * RD COMPARE * port=0 adr=03 act=616B6325ADCDBD3A61 exp=616B6325ADCDBD3A61 + 43389.50ns INFO [00043391] * RD COMPARE * port=1 adr=06 act=0E356E5E31AEEDC49D exp=0E356E5E31AEEDC49D + 43390.50ns INFO [00043392] * RD COMPARE * port=0 adr=07 act=A80D7913777DCEEDC0 exp=A80D7913777DCEEDC0 + 43390.50ns INFO [00043392] * RD COMPARE * port=1 adr=01 act=6D34BD81B03B1374DF exp=6D34BD81B03B1374DF + 43391.50ns INFO [00043393] Port=0 WR @01=CFC5CFA2BB9E28DB3E + 43392.50ns INFO [00043394] Port=0 WR @07=69FEAD5094C10099BC + 43392.50ns INFO [00043394] Port=0 RD @06 + 43394.50ns INFO [00043396] * RD COMPARE * port=0 adr=06 act=0E356E5E31AEEDC49D exp=0E356E5E31AEEDC49D + 43396.50ns INFO [00043398] Port=0 RD @03 + 43396.50ns INFO [00043398] Port=1 RD @04 + 43397.50ns INFO [00043399] Port=0 WR @07=DF520156C298FFEEE5 + 43398.00ns INFO [00043400] [00043400] ...tick... + 43398.50ns INFO [00043400] * RD COMPARE * port=0 adr=03 act=616B6325ADCDBD3A61 exp=616B6325ADCDBD3A61 + 43398.50ns INFO [00043400] * RD COMPARE * port=1 adr=04 act=01C6051A872BC8BBE2 exp=01C6051A872BC8BBE2 + 43398.50ns INFO [00043400] Port=0 WR @00=3A5A1F4C7B8DAEA2C2 + 43398.50ns INFO [00043400] Port=0 RD @02 + 43399.50ns INFO [00043401] Port=0 RD @01 + 43400.50ns INFO [00043402] * RD COMPARE * port=0 adr=02 act=BE37864D74A6FD6723 exp=BE37864D74A6FD6723 + 43400.50ns INFO [00043402] Port=0 WR @05=DD1407EF88AF2E332F + 43401.50ns INFO [00043403] * RD COMPARE * port=0 adr=01 act=CFC5CFA2BB9E28DB3E exp=CFC5CFA2BB9E28DB3E + 43401.50ns INFO [00043403] Port=0 RD @02 + 43401.50ns INFO [00043403] Port=1 RD @06 + 43403.50ns INFO [00043405] * RD COMPARE * port=0 adr=02 act=BE37864D74A6FD6723 exp=BE37864D74A6FD6723 + 43403.50ns INFO [00043405] * RD COMPARE * port=1 adr=06 act=0E356E5E31AEEDC49D exp=0E356E5E31AEEDC49D + 43403.50ns INFO [00043405] Port=1 RD @01 + 43404.50ns INFO [00043406] Port=0 RD @00 + 43404.50ns INFO [00043406] Port=1 RD @01 + 43405.50ns INFO [00043407] * RD COMPARE * port=1 adr=01 act=CFC5CFA2BB9E28DB3E exp=CFC5CFA2BB9E28DB3E + 43405.50ns INFO [00043407] Port=0 RD @07 + 43406.50ns INFO [00043408] * RD COMPARE * port=0 adr=00 act=3A5A1F4C7B8DAEA2C2 exp=3A5A1F4C7B8DAEA2C2 + 43406.50ns INFO [00043408] * RD COMPARE * port=1 adr=01 act=CFC5CFA2BB9E28DB3E exp=CFC5CFA2BB9E28DB3E + 43406.50ns INFO [00043408] Port=0 RD @00 + 43406.50ns INFO [00043408] Port=1 RD @00 + 43407.50ns INFO [00043409] * RD COMPARE * port=0 adr=07 act=DF520156C298FFEEE5 exp=DF520156C298FFEEE5 + 43407.50ns INFO [00043409] Port=1 RD @03 + 43408.50ns INFO [00043410] * RD COMPARE * port=0 adr=00 act=3A5A1F4C7B8DAEA2C2 exp=3A5A1F4C7B8DAEA2C2 + 43408.50ns INFO [00043410] * RD COMPARE * port=1 adr=00 act=3A5A1F4C7B8DAEA2C2 exp=3A5A1F4C7B8DAEA2C2 + 43408.50ns INFO [00043410] Port=1 RD @06 + 43409.50ns INFO [00043411] * RD COMPARE * port=1 adr=03 act=616B6325ADCDBD3A61 exp=616B6325ADCDBD3A61 + 43409.50ns INFO [00043411] Port=0 WR @05=6E6456E39914578C92 + 43409.50ns INFO [00043411] Port=0 RD @07 + 43410.50ns INFO [00043412] * RD COMPARE * port=1 adr=06 act=0E356E5E31AEEDC49D exp=0E356E5E31AEEDC49D + 43410.50ns INFO [00043412] Port=1 RD @02 + 43411.50ns INFO [00043413] * RD COMPARE * port=0 adr=07 act=DF520156C298FFEEE5 exp=DF520156C298FFEEE5 + 43411.50ns INFO [00043413] Port=0 WR @02=4779DAFAA9BEC1F276 + 43411.50ns INFO [00043413] Port=1 RD @00 + 43412.50ns INFO [00043414] * RD COMPARE * port=1 adr=02 act=BE37864D74A6FD6723 exp=BE37864D74A6FD6723 + 43412.50ns INFO [00043414] Port=0 RD @02 + 43413.50ns INFO [00043415] * RD COMPARE * port=1 adr=00 act=3A5A1F4C7B8DAEA2C2 exp=3A5A1F4C7B8DAEA2C2 + 43413.50ns INFO [00043415] Port=0 WR @01=EC9DB3862FF59797A1 + 43414.50ns INFO [00043416] * RD COMPARE * port=0 adr=02 act=4779DAFAA9BEC1F276 exp=4779DAFAA9BEC1F276 + 43415.50ns INFO [00043417] Port=0 RD @00 + 43417.50ns INFO [00043419] * RD COMPARE * port=0 adr=00 act=3A5A1F4C7B8DAEA2C2 exp=3A5A1F4C7B8DAEA2C2 + 43417.50ns INFO [00043419] Port=0 WR @06=99A8574F57F625E687 + 43418.50ns INFO [00043420] Port=0 WR @03=D570730F9663C136DB + 43418.50ns INFO [00043420] Port=1 RD @05 + 43419.50ns INFO [00043421] Port=0 WR @01=64CD38739F93399DAC + 43419.50ns INFO [00043421] Port=1 RD @00 + 43420.50ns INFO [00043422] * RD COMPARE * port=1 adr=05 act=6E6456E39914578C92 exp=6E6456E39914578C92 + 43420.50ns INFO [00043422] Port=1 RD @00 + 43421.50ns INFO [00043423] * RD COMPARE * port=1 adr=00 act=3A5A1F4C7B8DAEA2C2 exp=3A5A1F4C7B8DAEA2C2 + 43421.50ns INFO [00043423] Port=0 WR @06=70DAAD127C388BB6C4 + 43421.50ns INFO [00043423] Port=0 RD @05 + 43422.50ns INFO [00043424] * RD COMPARE * port=1 adr=00 act=3A5A1F4C7B8DAEA2C2 exp=3A5A1F4C7B8DAEA2C2 + 43422.50ns INFO [00043424] Port=0 WR @03=43D634A2218DCBE35B + 43423.50ns INFO [00043425] * RD COMPARE * port=0 adr=05 act=6E6456E39914578C92 exp=6E6456E39914578C92 + 43423.50ns INFO [00043425] Port=0 RD @02 + 43423.50ns INFO [00043425] Port=1 RD @03 + 43424.50ns INFO [00043426] Port=0 RD @06 + 43425.50ns INFO [00043427] * RD COMPARE * port=0 adr=02 act=4779DAFAA9BEC1F276 exp=4779DAFAA9BEC1F276 + 43425.50ns INFO [00043427] * RD COMPARE * port=1 adr=03 act=43D634A2218DCBE35B exp=43D634A2218DCBE35B + 43425.50ns INFO [00043427] Port=0 WR @03=A0C5EB1553E15E5688 + 43426.50ns INFO [00043428] * RD COMPARE * port=0 adr=06 act=70DAAD127C388BB6C4 exp=70DAAD127C388BB6C4 + 43426.50ns INFO [00043428] Port=0 RD @04 + 43427.50ns INFO [00043429] Port=0 WR @06=70CA6F97287FF5F8CB + 43428.50ns INFO [00043430] * RD COMPARE * port=0 adr=04 act=01C6051A872BC8BBE2 exp=01C6051A872BC8BBE2 + 43428.50ns INFO [00043430] Port=0 WR @05=3E41339627C2563449 + 43429.50ns INFO [00043431] Port=0 WR @05=F69F7A01D00CBEFA9C + 43432.50ns INFO [00043434] Port=0 WR @00=FA86F0222C437A41C9 + 43432.50ns INFO [00043434] Port=0 RD @04 + 43432.50ns INFO [00043434] Port=1 RD @01 + 43433.50ns INFO [00043435] Port=0 RD @00 + 43433.50ns INFO [00043435] Port=1 RD @01 + 43434.50ns INFO [00043436] * RD COMPARE * port=0 adr=04 act=01C6051A872BC8BBE2 exp=01C6051A872BC8BBE2 + 43434.50ns INFO [00043436] * RD COMPARE * port=1 adr=01 act=64CD38739F93399DAC exp=64CD38739F93399DAC + 43434.50ns INFO [00043436] Port=0 WR @03=795B03EDBC5C149A4A + 43434.50ns INFO [00043436] Port=0 RD @04 + 43435.50ns INFO [00043437] * RD COMPARE * port=0 adr=00 act=FA86F0222C437A41C9 exp=FA86F0222C437A41C9 + 43435.50ns INFO [00043437] * RD COMPARE * port=1 adr=01 act=64CD38739F93399DAC exp=64CD38739F93399DAC + 43435.50ns INFO [00043437] Port=0 RD @02 + 43436.50ns INFO [00043438] * RD COMPARE * port=0 adr=04 act=01C6051A872BC8BBE2 exp=01C6051A872BC8BBE2 + 43437.50ns INFO [00043439] * RD COMPARE * port=0 adr=02 act=4779DAFAA9BEC1F276 exp=4779DAFAA9BEC1F276 + 43437.50ns INFO [00043439] Port=0 WR @03=A9B178787C6E6ED749 + 43438.50ns INFO [00043440] Port=0 WR @02=11A7C663BF7B139B29 + 43439.50ns INFO [00043441] Port=0 RD @06 + 43441.50ns INFO [00043443] * RD COMPARE * port=0 adr=06 act=70CA6F97287FF5F8CB exp=70CA6F97287FF5F8CB + 43441.50ns INFO [00043443] Port=0 RD @03 + 43441.50ns INFO [00043443] Port=1 RD @06 + 43442.50ns INFO [00043444] Port=0 WR @03=505ABC99741CE42D93 + 43442.50ns INFO [00043444] Port=1 RD @01 + 43443.50ns INFO [00043445] * RD COMPARE * port=0 adr=03 act=A9B178787C6E6ED749 exp=A9B178787C6E6ED749 + 43443.50ns INFO [00043445] * RD COMPARE * port=1 adr=06 act=70CA6F97287FF5F8CB exp=70CA6F97287FF5F8CB + 43443.50ns INFO [00043445] Port=0 RD @02 + 43444.50ns INFO [00043446] * RD COMPARE * port=1 adr=01 act=64CD38739F93399DAC exp=64CD38739F93399DAC + 43444.50ns INFO [00043446] Port=0 WR @02=072BDA12D517F313BC + 43444.50ns INFO [00043446] Port=0 RD @03 + 43445.50ns INFO [00043447] * RD COMPARE * port=0 adr=02 act=11A7C663BF7B139B29 exp=11A7C663BF7B139B29 + 43445.50ns INFO [00043447] Port=0 WR @07=381521E1F837623639 + 43445.50ns INFO [00043447] Port=1 RD @02 + 43446.50ns INFO [00043448] * RD COMPARE * port=0 adr=03 act=505ABC99741CE42D93 exp=505ABC99741CE42D93 + 43446.50ns INFO [00043448] Port=0 WR @02=6142DBAC28C6FCEDA5 + 43446.50ns INFO [00043448] Port=1 RD @00 + 43447.50ns INFO [00043449] * RD COMPARE * port=1 adr=02 act=072BDA12D517F313BC exp=072BDA12D517F313BC + 43447.50ns INFO [00043449] Port=0 RD @04 + 43448.50ns INFO [00043450] * RD COMPARE * port=1 adr=00 act=FA86F0222C437A41C9 exp=FA86F0222C437A41C9 + 43449.50ns INFO [00043451] * RD COMPARE * port=0 adr=04 act=01C6051A872BC8BBE2 exp=01C6051A872BC8BBE2 + 43449.50ns INFO [00043451] Port=0 WR @00=455679C918BEF65BDE + 43449.50ns INFO [00043451] Port=0 RD @03 + 43451.50ns INFO [00043453] * RD COMPARE * port=0 adr=03 act=505ABC99741CE42D93 exp=505ABC99741CE42D93 + 43451.50ns INFO [00043453] Port=0 WR @00=7F44A6A21EC5EF55AF + 43452.50ns INFO [00043454] Port=0 WR @05=617D34FA7FFBBE6564 + 43453.50ns INFO [00043455] Port=0 WR @03=CB1B9C986E5C66E834 + 43455.50ns INFO [00043457] Port=0 WR @05=A8B6D1CF31AB5A657F + 43455.50ns INFO [00043457] Port=1 RD @07 + 43457.50ns INFO [00043459] * RD COMPARE * port=1 adr=07 act=381521E1F837623639 exp=381521E1F837623639 + 43457.50ns INFO [00043459] Port=0 WR @03=C7C47D264BD2A1D601 + 43459.50ns INFO [00043461] Port=0 WR @03=27178B6F6AFA8AAE90 + 43460.50ns INFO [00043462] Port=0 WR @07=65D0FE63E305088D89 + 43460.50ns INFO [00043462] Port=0 RD @02 + 43460.50ns INFO [00043462] Port=1 RD @06 + 43461.50ns INFO [00043463] Port=0 RD @04 + 43462.50ns INFO [00043464] * RD COMPARE * port=0 adr=02 act=6142DBAC28C6FCEDA5 exp=6142DBAC28C6FCEDA5 + 43462.50ns INFO [00043464] * RD COMPARE * port=1 adr=06 act=70CA6F97287FF5F8CB exp=70CA6F97287FF5F8CB + 43462.50ns INFO [00043464] Port=0 WR @06=7835E80A613DCF924C + 43462.50ns INFO [00043464] Port=1 RD @01 + 43463.50ns INFO [00043465] * RD COMPARE * port=0 adr=04 act=01C6051A872BC8BBE2 exp=01C6051A872BC8BBE2 + 43463.50ns INFO [00043465] Port=0 RD @02 + 43463.50ns INFO [00043465] Port=1 RD @07 + 43464.50ns INFO [00043466] * RD COMPARE * port=1 adr=01 act=64CD38739F93399DAC exp=64CD38739F93399DAC + 43464.50ns INFO [00043466] Port=0 RD @00 + 43464.50ns INFO [00043466] Port=1 RD @04 + 43465.50ns INFO [00043467] * RD COMPARE * port=0 adr=02 act=6142DBAC28C6FCEDA5 exp=6142DBAC28C6FCEDA5 + 43465.50ns INFO [00043467] * RD COMPARE * port=1 adr=07 act=65D0FE63E305088D89 exp=65D0FE63E305088D89 + 43465.50ns INFO [00043467] Port=0 WR @06=E82B0A51D3C60858D4 + 43466.50ns INFO [00043468] * RD COMPARE * port=0 adr=00 act=7F44A6A21EC5EF55AF exp=7F44A6A21EC5EF55AF + 43466.50ns INFO [00043468] * RD COMPARE * port=1 adr=04 act=01C6051A872BC8BBE2 exp=01C6051A872BC8BBE2 + 43466.50ns INFO [00043468] Port=0 WR @00=C1E96D439B42A199C7 + 43466.50ns INFO [00043468] Port=1 RD @06 + 43467.50ns INFO [00043469] Port=0 WR @01=DFF1B1BF58DBAE8D82 + 43467.50ns INFO [00043469] Port=1 RD @06 + 43468.50ns INFO [00043470] * RD COMPARE * port=1 adr=06 act=E82B0A51D3C60858D4 exp=E82B0A51D3C60858D4 + 43468.50ns INFO [00043470] Port=1 RD @07 + 43469.50ns INFO [00043471] * RD COMPARE * port=1 adr=06 act=E82B0A51D3C60858D4 exp=E82B0A51D3C60858D4 + 43469.50ns INFO [00043471] Port=0 RD @00 + 43469.50ns INFO [00043471] Port=1 RD @07 + 43470.50ns INFO [00043472] * RD COMPARE * port=1 adr=07 act=65D0FE63E305088D89 exp=65D0FE63E305088D89 + 43470.50ns INFO [00043472] Port=0 RD @07 + 43471.50ns INFO [00043473] * RD COMPARE * port=0 adr=00 act=C1E96D439B42A199C7 exp=C1E96D439B42A199C7 + 43471.50ns INFO [00043473] * RD COMPARE * port=1 adr=07 act=65D0FE63E305088D89 exp=65D0FE63E305088D89 + 43471.50ns INFO [00043473] Port=0 WR @04=CEC7D38E0004D3E9B7 + 43472.50ns INFO [00043474] * RD COMPARE * port=0 adr=07 act=65D0FE63E305088D89 exp=65D0FE63E305088D89 + 43472.50ns INFO [00043474] Port=0 WR @06=864DE83835E7356BB4 + 43472.50ns INFO [00043474] Port=1 RD @02 + 43473.50ns INFO [00043475] Port=0 WR @04=677492767E59435CFD + 43473.50ns INFO [00043475] Port=1 RD @07 + 43474.50ns INFO [00043476] * RD COMPARE * port=1 adr=02 act=6142DBAC28C6FCEDA5 exp=6142DBAC28C6FCEDA5 + 43474.50ns INFO [00043476] Port=1 RD @06 + 43475.50ns INFO [00043477] * RD COMPARE * port=1 adr=07 act=65D0FE63E305088D89 exp=65D0FE63E305088D89 + 43475.50ns INFO [00043477] Port=0 RD @00 + 43475.50ns INFO [00043477] Port=1 RD @02 + 43476.50ns INFO [00043478] * RD COMPARE * port=1 adr=06 act=864DE83835E7356BB4 exp=864DE83835E7356BB4 + 43476.50ns INFO [00043478] Port=0 WR @01=5A34AE125C94DE0953 + 43476.50ns INFO [00043478] Port=0 RD @07 + 43477.50ns INFO [00043479] * RD COMPARE * port=0 adr=00 act=C1E96D439B42A199C7 exp=C1E96D439B42A199C7 + 43477.50ns INFO [00043479] * RD COMPARE * port=1 adr=02 act=6142DBAC28C6FCEDA5 exp=6142DBAC28C6FCEDA5 + 43477.50ns INFO [00043479] Port=0 WR @06=94326D204E4E3C3467 + 43478.50ns INFO [00043480] * RD COMPARE * port=0 adr=07 act=65D0FE63E305088D89 exp=65D0FE63E305088D89 + 43478.50ns INFO [00043480] Port=0 WR @04=5374C677AD41AF98BA + 43478.50ns INFO [00043480] Port=1 RD @00 + 43479.50ns INFO [00043481] Port=0 WR @00=C4BE7DDFA48FFF23C0 + 43479.50ns INFO [00043481] Port=0 RD @03 + 43479.50ns INFO [00043481] Port=1 RD @03 + 43480.50ns INFO [00043482] * RD COMPARE * port=1 adr=00 act=C1E96D439B42A199C7 exp=C1E96D439B42A199C7 + 43481.50ns INFO [00043483] * RD COMPARE * port=0 adr=03 act=27178B6F6AFA8AAE90 exp=27178B6F6AFA8AAE90 + 43481.50ns INFO [00043483] * RD COMPARE * port=1 adr=03 act=27178B6F6AFA8AAE90 exp=27178B6F6AFA8AAE90 + 43481.50ns INFO [00043483] Port=0 RD @04 + 43481.50ns INFO [00043483] Port=1 RD @04 + 43482.50ns INFO [00043484] Port=1 RD @05 + 43483.50ns INFO [00043485] * RD COMPARE * port=0 adr=04 act=5374C677AD41AF98BA exp=5374C677AD41AF98BA + 43483.50ns INFO [00043485] * RD COMPARE * port=1 adr=04 act=5374C677AD41AF98BA exp=5374C677AD41AF98BA + 43483.50ns INFO [00043485] Port=0 WR @02=5DAF3CEF7A3E8CFA18 + 43484.50ns INFO [00043486] * RD COMPARE * port=1 adr=05 act=A8B6D1CF31AB5A657F exp=A8B6D1CF31AB5A657F + 43484.50ns INFO [00043486] Port=1 RD @00 + 43485.50ns INFO [00043487] Port=0 RD @01 + 43486.50ns INFO [00043488] * RD COMPARE * port=1 adr=00 act=C4BE7DDFA48FFF23C0 exp=C4BE7DDFA48FFF23C0 + 43486.50ns INFO [00043488] Port=0 RD @06 + 43487.50ns INFO [00043489] * RD COMPARE * port=0 adr=01 act=5A34AE125C94DE0953 exp=5A34AE125C94DE0953 + 43487.50ns INFO [00043489] Port=1 RD @01 + 43488.50ns INFO [00043490] * RD COMPARE * port=0 adr=06 act=94326D204E4E3C3467 exp=94326D204E4E3C3467 + 43489.50ns INFO [00043491] * RD COMPARE * port=1 adr=01 act=5A34AE125C94DE0953 exp=5A34AE125C94DE0953 + 43489.50ns INFO [00043491] Port=0 WR @05=290EE62A785D06F681 + 43489.50ns INFO [00043491] Port=0 RD @07 + 43490.50ns INFO [00043492] Port=0 RD @06 + 43491.50ns INFO [00043493] * RD COMPARE * port=0 adr=07 act=65D0FE63E305088D89 exp=65D0FE63E305088D89 + 43491.50ns INFO [00043493] Port=0 WR @05=1F00A8C9CE31DD13ED + 43492.50ns INFO [00043494] * RD COMPARE * port=0 adr=06 act=94326D204E4E3C3467 exp=94326D204E4E3C3467 + 43492.50ns INFO [00043494] Port=0 WR @06=7A3F83FE92C3D3C360 + 43492.50ns INFO [00043494] Port=1 RD @00 + 43493.50ns INFO [00043495] Port=0 WR @02=2B7D54314AA787FC7A + 43493.50ns INFO [00043495] Port=1 RD @01 + 43494.50ns INFO [00043496] * RD COMPARE * port=1 adr=00 act=C4BE7DDFA48FFF23C0 exp=C4BE7DDFA48FFF23C0 + 43494.50ns INFO [00043496] Port=0 WR @07=87FD71008053DAC2A2 + 43494.50ns INFO [00043496] Port=1 RD @06 + 43495.50ns INFO [00043497] * RD COMPARE * port=1 adr=01 act=5A34AE125C94DE0953 exp=5A34AE125C94DE0953 + 43495.50ns INFO [00043497] Port=0 WR @06=260F094159E6CEC43A + 43495.50ns INFO [00043497] Port=0 RD @03 + 43495.50ns INFO [00043497] Port=1 RD @05 + 43496.50ns INFO [00043498] * RD COMPARE * port=1 adr=06 act=7A3F83FE92C3D3C360 exp=7A3F83FE92C3D3C360 + 43496.50ns INFO [00043498] Port=0 RD @04 + 43497.50ns INFO [00043499] * RD COMPARE * port=0 adr=03 act=27178B6F6AFA8AAE90 exp=27178B6F6AFA8AAE90 + 43497.50ns INFO [00043499] * RD COMPARE * port=1 adr=05 act=1F00A8C9CE31DD13ED exp=1F00A8C9CE31DD13ED + 43497.50ns INFO [00043499] Port=0 WR @02=3F36D094E00BF8D73F + 43498.00ns INFO [00043500] [00043500] ...tick... + 43498.50ns INFO [00043500] * RD COMPARE * port=0 adr=04 act=5374C677AD41AF98BA exp=5374C677AD41AF98BA + 43499.50ns INFO [00043501] Port=0 RD @06 + 43499.50ns INFO [00043501] Port=1 RD @06 + 43500.50ns INFO [00043502] Port=0 WR @00=BFCB939D5249FC225C + 43500.50ns INFO [00043502] Port=1 RD @07 + 43501.50ns INFO [00043503] * RD COMPARE * port=0 adr=06 act=260F094159E6CEC43A exp=260F094159E6CEC43A + 43501.50ns INFO [00043503] * RD COMPARE * port=1 adr=06 act=260F094159E6CEC43A exp=260F094159E6CEC43A + 43501.50ns INFO [00043503] Port=0 WR @05=3034F3043BF38354FC + 43501.50ns INFO [00043503] Port=0 RD @03 + 43501.50ns INFO [00043503] Port=1 RD @00 + 43502.50ns INFO [00043504] * RD COMPARE * port=1 adr=07 act=87FD71008053DAC2A2 exp=87FD71008053DAC2A2 + 43502.50ns INFO [00043504] Port=0 WR @02=C66DFC64C090663D73 + 43502.50ns INFO [00043504] Port=0 RD @05 + 43503.50ns INFO [00043505] * RD COMPARE * port=0 adr=03 act=27178B6F6AFA8AAE90 exp=27178B6F6AFA8AAE90 + 43503.50ns INFO [00043505] * RD COMPARE * port=1 adr=00 act=BFCB939D5249FC225C exp=BFCB939D5249FC225C + 43503.50ns INFO [00043505] Port=0 RD @07 + 43504.50ns INFO [00043506] * RD COMPARE * port=0 adr=05 act=3034F3043BF38354FC exp=3034F3043BF38354FC + 43504.50ns INFO [00043506] Port=1 RD @00 + 43505.50ns INFO [00043507] * RD COMPARE * port=0 adr=07 act=87FD71008053DAC2A2 exp=87FD71008053DAC2A2 + 43506.50ns INFO [00043508] * RD COMPARE * port=1 adr=00 act=BFCB939D5249FC225C exp=BFCB939D5249FC225C + 43507.50ns INFO [00043509] Port=0 WR @05=C9CE8C4BC889FD8CD3 + 43507.50ns INFO [00043509] Port=0 RD @01 + 43507.50ns INFO [00043509] Port=1 RD @04 + 43508.50ns INFO [00043510] Port=0 RD @01 + 43508.50ns INFO [00043510] Port=1 RD @07 + 43509.50ns INFO [00043511] * RD COMPARE * port=0 adr=01 act=5A34AE125C94DE0953 exp=5A34AE125C94DE0953 + 43509.50ns INFO [00043511] * RD COMPARE * port=1 adr=04 act=5374C677AD41AF98BA exp=5374C677AD41AF98BA + 43510.50ns INFO [00043512] * RD COMPARE * port=0 adr=01 act=5A34AE125C94DE0953 exp=5A34AE125C94DE0953 + 43510.50ns INFO [00043512] * RD COMPARE * port=1 adr=07 act=87FD71008053DAC2A2 exp=87FD71008053DAC2A2 + 43510.50ns INFO [00043512] Port=0 RD @02 + 43510.50ns INFO [00043512] Port=1 RD @01 + 43512.50ns INFO [00043514] * RD COMPARE * port=0 adr=02 act=C66DFC64C090663D73 exp=C66DFC64C090663D73 + 43512.50ns INFO [00043514] * RD COMPARE * port=1 adr=01 act=5A34AE125C94DE0953 exp=5A34AE125C94DE0953 + 43514.50ns INFO [00043516] Port=0 RD @05 + 43516.50ns INFO [00043518] * RD COMPARE * port=0 adr=05 act=C9CE8C4BC889FD8CD3 exp=C9CE8C4BC889FD8CD3 + 43516.50ns INFO [00043518] Port=0 WR @05=E4D58B8F2AFA362AF5 + 43517.50ns INFO [00043519] Port=0 WR @03=07702277DBCB515954 + 43517.50ns INFO [00043519] Port=0 RD @00 + 43518.50ns INFO [00043520] Port=1 RD @05 + 43519.50ns INFO [00043521] * RD COMPARE * port=0 adr=00 act=BFCB939D5249FC225C exp=BFCB939D5249FC225C + 43520.50ns INFO [00043522] * RD COMPARE * port=1 adr=05 act=E4D58B8F2AFA362AF5 exp=E4D58B8F2AFA362AF5 + 43520.50ns INFO [00043522] Port=0 WR @06=99D43D7C3F4AA05958 + 43522.50ns INFO [00043524] Port=1 RD @01 + 43523.50ns INFO [00043525] Port=0 WR @00=1150127AAD2705DF3D + 43524.50ns INFO [00043526] * RD COMPARE * port=1 adr=01 act=5A34AE125C94DE0953 exp=5A34AE125C94DE0953 + 43526.50ns INFO [00043528] Port=1 RD @02 + 43527.50ns INFO [00043529] Port=0 WR @03=136155537D1EA47AED + 43527.50ns INFO [00043529] Port=0 RD @05 + 43527.50ns INFO [00043529] Port=1 RD @01 + 43528.50ns INFO [00043530] * RD COMPARE * port=1 adr=02 act=C66DFC64C090663D73 exp=C66DFC64C090663D73 + 43528.50ns INFO [00043530] Port=0 WR @02=6DB31D3FBEC0B292E6 + 43528.50ns INFO [00043530] Port=0 RD @00 + 43529.50ns INFO [00043531] * RD COMPARE * port=0 adr=05 act=E4D58B8F2AFA362AF5 exp=E4D58B8F2AFA362AF5 + 43529.50ns INFO [00043531] * RD COMPARE * port=1 adr=01 act=5A34AE125C94DE0953 exp=5A34AE125C94DE0953 + 43529.50ns INFO [00043531] Port=0 WR @00=2693CA46617D2AF873 + 43530.50ns INFO [00043532] * RD COMPARE * port=0 adr=00 act=1150127AAD2705DF3D exp=1150127AAD2705DF3D + 43530.50ns INFO [00043532] Port=0 WR @04=1926DD02BE5D64DB83 + 43530.50ns INFO [00043532] Port=0 RD @07 + 43531.50ns INFO [00043533] Port=0 WR @05=1923A9EEC6370A0DFC + 43531.50ns INFO [00043533] Port=1 RD @07 + 43532.50ns INFO [00043534] * RD COMPARE * port=0 adr=07 act=87FD71008053DAC2A2 exp=87FD71008053DAC2A2 + 43532.50ns INFO [00043534] Port=1 RD @02 + 43533.50ns INFO [00043535] * RD COMPARE * port=1 adr=07 act=87FD71008053DAC2A2 exp=87FD71008053DAC2A2 + 43533.50ns INFO [00043535] Port=0 WR @04=FF79B2AF32656AFB70 + 43534.50ns INFO [00043536] * RD COMPARE * port=1 adr=02 act=6DB31D3FBEC0B292E6 exp=6DB31D3FBEC0B292E6 + 43535.50ns INFO [00043537] Port=0 WR @01=685FFDF2FE6BEDBD3C + 43536.50ns INFO [00043538] Port=0 RD @01 + 43537.50ns INFO [00043539] Port=0 RD @00 + 43537.50ns INFO [00043539] Port=1 RD @01 + 43538.50ns INFO [00043540] * RD COMPARE * port=0 adr=01 act=685FFDF2FE6BEDBD3C exp=685FFDF2FE6BEDBD3C + 43539.50ns INFO [00043541] * RD COMPARE * port=0 adr=00 act=2693CA46617D2AF873 exp=2693CA46617D2AF873 + 43539.50ns INFO [00043541] * RD COMPARE * port=1 adr=01 act=685FFDF2FE6BEDBD3C exp=685FFDF2FE6BEDBD3C + 43539.50ns INFO [00043541] Port=0 WR @06=D57F290536619DDB91 + 43540.50ns INFO [00043542] Port=0 WR @05=C9CE160A8C460EC5FE + 43540.50ns INFO [00043542] Port=1 RD @00 + 43541.50ns INFO [00043543] Port=0 WR @06=9F46C7CDCC52F01B63 + 43542.50ns INFO [00043544] * RD COMPARE * port=1 adr=00 act=2693CA46617D2AF873 exp=2693CA46617D2AF873 + 43545.50ns INFO [00043547] Port=1 RD @01 + 43546.50ns INFO [00043548] Port=1 RD @05 + 43547.50ns INFO [00043549] * RD COMPARE * port=1 adr=01 act=685FFDF2FE6BEDBD3C exp=685FFDF2FE6BEDBD3C + 43547.50ns INFO [00043549] Port=0 RD @04 + 43548.50ns INFO [00043550] * RD COMPARE * port=1 adr=05 act=C9CE160A8C460EC5FE exp=C9CE160A8C460EC5FE + 43548.50ns INFO [00043550] Port=0 WR @02=8EE5E86B5C88296523 + 43548.50ns INFO [00043550] Port=1 RD @06 + 43549.50ns INFO [00043551] * RD COMPARE * port=0 adr=04 act=FF79B2AF32656AFB70 exp=FF79B2AF32656AFB70 + 43549.50ns INFO [00043551] Port=0 WR @05=B387F59AFD09FCDD02 + 43549.50ns INFO [00043551] Port=1 RD @03 + 43550.50ns INFO [00043552] * RD COMPARE * port=1 adr=06 act=9F46C7CDCC52F01B63 exp=9F46C7CDCC52F01B63 + 43550.50ns INFO [00043552] Port=1 RD @04 + 43551.50ns INFO [00043553] * RD COMPARE * port=1 adr=03 act=136155537D1EA47AED exp=136155537D1EA47AED + 43551.50ns INFO [00043553] Port=0 WR @02=A50234CD748671BB16 + 43552.50ns INFO [00043554] * RD COMPARE * port=1 adr=04 act=FF79B2AF32656AFB70 exp=FF79B2AF32656AFB70 + 43553.50ns INFO [00043555] Port=0 WR @05=47522F8A615AB98341 + 43553.50ns INFO [00043555] Port=0 RD @02 + 43554.50ns INFO [00043556] Port=0 RD @03 + 43555.50ns INFO [00043557] * RD COMPARE * port=0 adr=02 act=A50234CD748671BB16 exp=A50234CD748671BB16 + 43556.50ns INFO [00043558] * RD COMPARE * port=0 adr=03 act=136155537D1EA47AED exp=136155537D1EA47AED + 43557.50ns INFO [00043559] Port=0 WR @03=636975D337C8E450E5 + 43557.50ns INFO [00043559] Port=1 RD @06 + 43558.50ns INFO [00043560] Port=0 RD @02 + 43559.50ns INFO [00043561] * RD COMPARE * port=1 adr=06 act=9F46C7CDCC52F01B63 exp=9F46C7CDCC52F01B63 + 43560.50ns INFO [00043562] * RD COMPARE * port=0 adr=02 act=A50234CD748671BB16 exp=A50234CD748671BB16 + 43562.50ns INFO [00043564] Port=1 RD @05 + 43563.50ns INFO [00043565] Port=0 RD @02 + 43564.50ns INFO [00043566] * RD COMPARE * port=1 adr=05 act=47522F8A615AB98341 exp=47522F8A615AB98341 + 43564.50ns INFO [00043566] Port=0 WR @02=F30D510BC6091E33FD + 43564.50ns INFO [00043566] Port=1 RD @00 + 43565.50ns INFO [00043567] * RD COMPARE * port=0 adr=02 act=A50234CD748671BB16 exp=A50234CD748671BB16 + 43565.50ns INFO [00043567] Port=0 RD @05 + 43566.50ns INFO [00043568] * RD COMPARE * port=1 adr=00 act=2693CA46617D2AF873 exp=2693CA46617D2AF873 + 43566.50ns INFO [00043568] Port=0 WR @04=EB9231F847B4F6D058 + 43566.50ns INFO [00043568] Port=0 RD @00 + 43567.50ns INFO [00043569] * RD COMPARE * port=0 adr=05 act=47522F8A615AB98341 exp=47522F8A615AB98341 + 43567.50ns INFO [00043569] Port=0 RD @07 + 43568.50ns INFO [00043570] * RD COMPARE * port=0 adr=00 act=2693CA46617D2AF873 exp=2693CA46617D2AF873 + 43568.50ns INFO [00043570] Port=0 RD @05 + 43569.50ns INFO [00043571] * RD COMPARE * port=0 adr=07 act=87FD71008053DAC2A2 exp=87FD71008053DAC2A2 + 43570.50ns INFO [00043572] * RD COMPARE * port=0 adr=05 act=47522F8A615AB98341 exp=47522F8A615AB98341 + 43570.50ns INFO [00043572] Port=0 WR @04=B7BC53178619D3209D + 43572.50ns INFO [00043574] Port=0 RD @03 + 43573.50ns INFO [00043575] Port=0 WR @00=A94FB5D9F5AFBDD419 + 43573.50ns INFO [00043575] Port=0 RD @07 + 43573.50ns INFO [00043575] Port=1 RD @01 + 43574.50ns INFO [00043576] * RD COMPARE * port=0 adr=03 act=636975D337C8E450E5 exp=636975D337C8E450E5 + 43575.50ns INFO [00043577] * RD COMPARE * port=0 adr=07 act=87FD71008053DAC2A2 exp=87FD71008053DAC2A2 + 43575.50ns INFO [00043577] * RD COMPARE * port=1 adr=01 act=685FFDF2FE6BEDBD3C exp=685FFDF2FE6BEDBD3C + 43575.50ns INFO [00043577] Port=1 RD @06 + 43577.50ns INFO [00043579] * RD COMPARE * port=1 adr=06 act=9F46C7CDCC52F01B63 exp=9F46C7CDCC52F01B63 + 43577.50ns INFO [00043579] Port=1 RD @04 + 43578.50ns INFO [00043580] Port=0 WR @02=F79E803171D9D1164A + 43579.50ns INFO [00043581] * RD COMPARE * port=1 adr=04 act=B7BC53178619D3209D exp=B7BC53178619D3209D + 43579.50ns INFO [00043581] Port=0 WR @01=91916792D4952AEC31 + 43580.50ns INFO [00043582] Port=0 RD @04 + 43580.50ns INFO [00043582] Port=1 RD @07 + 43581.50ns INFO [00043583] Port=1 RD @03 + 43582.50ns INFO [00043584] * RD COMPARE * port=0 adr=04 act=B7BC53178619D3209D exp=B7BC53178619D3209D + 43582.50ns INFO [00043584] * RD COMPARE * port=1 adr=07 act=87FD71008053DAC2A2 exp=87FD71008053DAC2A2 + 43582.50ns INFO [00043584] Port=0 WR @00=511F272D8A82DB5ACB + 43583.50ns INFO [00043585] * RD COMPARE * port=1 adr=03 act=636975D337C8E450E5 exp=636975D337C8E450E5 + 43583.50ns INFO [00043585] Port=0 RD @00 + 43583.50ns INFO [00043585] Port=1 RD @07 + 43584.50ns INFO [00043586] Port=0 RD @07 + 43585.50ns INFO [00043587] * RD COMPARE * port=0 adr=00 act=511F272D8A82DB5ACB exp=511F272D8A82DB5ACB + 43585.50ns INFO [00043587] * RD COMPARE * port=1 adr=07 act=87FD71008053DAC2A2 exp=87FD71008053DAC2A2 + 43585.50ns INFO [00043587] Port=0 WR @06=3526D569658FB65926 + 43585.50ns INFO [00043587] Port=0 RD @04 + 43586.50ns INFO [00043588] * RD COMPARE * port=0 adr=07 act=87FD71008053DAC2A2 exp=87FD71008053DAC2A2 + 43587.50ns INFO [00043589] * RD COMPARE * port=0 adr=04 act=B7BC53178619D3209D exp=B7BC53178619D3209D + 43587.50ns INFO [00043589] Port=0 WR @07=0655670203001C69C7 + 43589.50ns INFO [00043591] Port=0 WR @03=93FFF3812DEAC100F5 + 43590.50ns INFO [00043592] Port=0 RD @04 + 43592.50ns INFO [00043594] * RD COMPARE * port=0 adr=04 act=B7BC53178619D3209D exp=B7BC53178619D3209D + 43594.50ns INFO [00043596] Port=0 WR @07=B4963DAF8897792C7E + 43594.50ns INFO [00043596] Port=0 RD @05 + 43596.50ns INFO [00043598] * RD COMPARE * port=0 adr=05 act=47522F8A615AB98341 exp=47522F8A615AB98341 + 43596.50ns INFO [00043598] Port=0 RD @01 + 43596.50ns INFO [00043598] Port=1 RD @00 + 43597.50ns INFO [00043599] Port=0 WR @02=AB056B0FBD3002A1ED + 43597.50ns INFO [00043599] Port=0 RD @00 + 43597.50ns INFO [00043599] Port=1 RD @01 + 43598.00ns INFO [00043600] [00043600] ...tick... + 43598.50ns INFO [00043600] * RD COMPARE * port=0 adr=01 act=91916792D4952AEC31 exp=91916792D4952AEC31 + 43598.50ns INFO [00043600] * RD COMPARE * port=1 adr=00 act=511F272D8A82DB5ACB exp=511F272D8A82DB5ACB + 43598.50ns INFO [00043600] Port=0 WR @05=7ED06ED323274DB444 + 43598.50ns INFO [00043600] Port=0 RD @02 + 43598.50ns INFO [00043600] Port=1 RD @02 + 43599.50ns INFO [00043601] * RD COMPARE * port=0 adr=00 act=511F272D8A82DB5ACB exp=511F272D8A82DB5ACB + 43599.50ns INFO [00043601] * RD COMPARE * port=1 adr=01 act=91916792D4952AEC31 exp=91916792D4952AEC31 + 43599.50ns INFO [00043601] Port=0 WR @00=1C27A6FACDFF783940 + 43600.50ns INFO [00043602] * RD COMPARE * port=0 adr=02 act=AB056B0FBD3002A1ED exp=AB056B0FBD3002A1ED + 43600.50ns INFO [00043602] * RD COMPARE * port=1 adr=02 act=AB056B0FBD3002A1ED exp=AB056B0FBD3002A1ED + 43600.50ns INFO [00043602] Port=0 WR @06=D8DB1D41005B3C24B5 + 43601.50ns INFO [00043603] Port=0 WR @07=4638BC028803089C3F + 43601.50ns INFO [00043603] Port=1 RD @05 + 43603.50ns INFO [00043605] * RD COMPARE * port=1 adr=05 act=7ED06ED323274DB444 exp=7ED06ED323274DB444 + 43604.50ns INFO [00043606] Port=0 WR @01=DDD8569E43F490D801 + 43604.50ns INFO [00043606] Port=1 RD @06 + 43605.50ns INFO [00043607] Port=0 WR @00=23C1A3F7085083DDDA + 43605.50ns INFO [00043607] Port=0 RD @02 + 43606.50ns INFO [00043608] * RD COMPARE * port=1 adr=06 act=D8DB1D41005B3C24B5 exp=D8DB1D41005B3C24B5 + 43606.50ns INFO [00043608] Port=1 RD @02 + 43607.50ns INFO [00043609] * RD COMPARE * port=0 adr=02 act=AB056B0FBD3002A1ED exp=AB056B0FBD3002A1ED + 43607.50ns INFO [00043609] Port=0 WR @02=01FDDAA70B4D8DA5D8 + 43607.50ns INFO [00043609] Port=0 RD @03 + 43607.50ns INFO [00043609] Port=1 RD @01 + 43608.50ns INFO [00043610] * RD COMPARE * port=1 adr=02 act=AB056B0FBD3002A1ED exp=AB056B0FBD3002A1ED + 43608.50ns INFO [00043610] Port=0 WR @05=14735BE671CF0B5208 + 43608.50ns INFO [00043610] Port=0 RD @02 + 43609.50ns INFO [00043611] * RD COMPARE * port=0 adr=03 act=93FFF3812DEAC100F5 exp=93FFF3812DEAC100F5 + 43609.50ns INFO [00043611] * RD COMPARE * port=1 adr=01 act=DDD8569E43F490D801 exp=DDD8569E43F490D801 + 43610.50ns INFO [00043612] * RD COMPARE * port=0 adr=02 act=01FDDAA70B4D8DA5D8 exp=01FDDAA70B4D8DA5D8 + 43611.50ns INFO [00043613] Port=0 WR @06=95D848B4F6626BD14C + 43611.50ns INFO [00043613] Port=1 RD @04 + 43613.50ns INFO [00043615] * RD COMPARE * port=1 adr=04 act=B7BC53178619D3209D exp=B7BC53178619D3209D + 43613.50ns INFO [00043615] Port=0 WR @00=55ED146110406B83D9 + 43614.50ns INFO [00043616] Port=0 WR @04=5ADD588E89EC19326F + 43615.50ns INFO [00043617] Port=0 WR @05=E9F11229C6E29765DF + 43616.50ns INFO [00043618] Port=0 WR @02=B03548B21DE31B2D02 + 43616.50ns INFO [00043618] Port=1 RD @05 + 43617.50ns INFO [00043619] Port=1 RD @04 + 43618.50ns INFO [00043620] * RD COMPARE * port=1 adr=05 act=E9F11229C6E29765DF exp=E9F11229C6E29765DF + 43618.50ns INFO [00043620] Port=1 RD @05 + 43619.50ns INFO [00043621] * RD COMPARE * port=1 adr=04 act=5ADD588E89EC19326F exp=5ADD588E89EC19326F + 43619.50ns INFO [00043621] Port=0 RD @07 + 43620.50ns INFO [00043622] * RD COMPARE * port=1 adr=05 act=E9F11229C6E29765DF exp=E9F11229C6E29765DF + 43620.50ns INFO [00043622] Port=0 WR @02=61B4B8217CCDA54371 + 43620.50ns INFO [00043622] Port=1 RD @01 + 43621.50ns INFO [00043623] * RD COMPARE * port=0 adr=07 act=4638BC028803089C3F exp=4638BC028803089C3F + 43621.50ns INFO [00043623] Port=0 WR @05=B4B150935B2F666B9C + 43621.50ns INFO [00043623] Port=0 RD @07 + 43621.50ns INFO [00043623] Port=1 RD @01 + 43622.50ns INFO [00043624] * RD COMPARE * port=1 adr=01 act=DDD8569E43F490D801 exp=DDD8569E43F490D801 + 43622.50ns INFO [00043624] Port=0 WR @06=24EB040FB2C3EA7236 + 43622.50ns INFO [00043624] Port=1 RD @04 + 43623.50ns INFO [00043625] * RD COMPARE * port=0 adr=07 act=4638BC028803089C3F exp=4638BC028803089C3F + 43623.50ns INFO [00043625] * RD COMPARE * port=1 adr=01 act=DDD8569E43F490D801 exp=DDD8569E43F490D801 + 43623.50ns INFO [00043625] Port=0 WR @03=5FD4B45791492EF4C5 + 43624.50ns INFO [00043626] * RD COMPARE * port=1 adr=04 act=5ADD588E89EC19326F exp=5ADD588E89EC19326F + 43624.50ns INFO [00043626] Port=1 RD @00 + 43625.50ns INFO [00043627] Port=1 RD @06 + 43626.50ns INFO [00043628] * RD COMPARE * port=1 adr=00 act=55ED146110406B83D9 exp=55ED146110406B83D9 + 43626.50ns INFO [00043628] Port=0 WR @00=9D584C057959709E7D + 43626.50ns INFO [00043628] Port=0 RD @03 + 43627.50ns INFO [00043629] * RD COMPARE * port=1 adr=06 act=24EB040FB2C3EA7236 exp=24EB040FB2C3EA7236 + 43627.50ns INFO [00043629] Port=0 WR @03=44571F2E90524ED2DF + 43628.50ns INFO [00043630] * RD COMPARE * port=0 adr=03 act=5FD4B45791492EF4C5 exp=5FD4B45791492EF4C5 + 43629.50ns INFO [00043631] Port=0 WR @07=1769E75EE4BCC4BC1B + 43629.50ns INFO [00043631] Port=0 RD @05 + 43630.50ns INFO [00043632] Port=0 RD @06 + 43631.50ns INFO [00043633] * RD COMPARE * port=0 adr=05 act=B4B150935B2F666B9C exp=B4B150935B2F666B9C + 43631.50ns INFO [00043633] Port=1 RD @06 + 43632.50ns INFO [00043634] * RD COMPARE * port=0 adr=06 act=24EB040FB2C3EA7236 exp=24EB040FB2C3EA7236 + 43632.50ns INFO [00043634] Port=0 WR @07=CB8CAF377CD4F1D268 + 43633.50ns INFO [00043635] * RD COMPARE * port=1 adr=06 act=24EB040FB2C3EA7236 exp=24EB040FB2C3EA7236 + 43634.50ns INFO [00043636] Port=0 WR @01=EBC5AEA07342B4E2BC + 43634.50ns INFO [00043636] Port=1 RD @06 + 43635.50ns INFO [00043637] Port=1 RD @02 + 43636.50ns INFO [00043638] * RD COMPARE * port=1 adr=06 act=24EB040FB2C3EA7236 exp=24EB040FB2C3EA7236 + 43636.50ns INFO [00043638] Port=0 WR @06=3F15BC893F1D8E2CBD + 43637.50ns INFO [00043639] * RD COMPARE * port=1 adr=02 act=61B4B8217CCDA54371 exp=61B4B8217CCDA54371 + 43637.50ns INFO [00043639] Port=1 RD @01 + 43638.50ns INFO [00043640] Port=0 WR @06=A06838D470B8E47993 + 43638.50ns INFO [00043640] Port=0 RD @05 + 43639.50ns INFO [00043641] * RD COMPARE * port=1 adr=01 act=EBC5AEA07342B4E2BC exp=EBC5AEA07342B4E2BC + 43639.50ns INFO [00043641] Port=0 RD @05 + 43639.50ns INFO [00043641] Port=1 RD @02 + 43640.50ns INFO [00043642] * RD COMPARE * port=0 adr=05 act=B4B150935B2F666B9C exp=B4B150935B2F666B9C + 43640.50ns INFO [00043642] Port=0 RD @07 + 43640.50ns INFO [00043642] Port=1 RD @07 + 43641.50ns INFO [00043643] * RD COMPARE * port=0 adr=05 act=B4B150935B2F666B9C exp=B4B150935B2F666B9C + 43641.50ns INFO [00043643] * RD COMPARE * port=1 adr=02 act=61B4B8217CCDA54371 exp=61B4B8217CCDA54371 + 43641.50ns INFO [00043643] Port=0 WR @02=5EEECFD64399AFDFCD + 43641.50ns INFO [00043643] Port=0 RD @05 + 43641.50ns INFO [00043643] Port=1 RD @03 + 43642.50ns INFO [00043644] * RD COMPARE * port=0 adr=07 act=CB8CAF377CD4F1D268 exp=CB8CAF377CD4F1D268 + 43642.50ns INFO [00043644] * RD COMPARE * port=1 adr=07 act=CB8CAF377CD4F1D268 exp=CB8CAF377CD4F1D268 + 43642.50ns INFO [00043644] Port=0 WR @04=8EE45A372D34E66D4B + 43642.50ns INFO [00043644] Port=0 RD @07 + 43643.50ns INFO [00043645] * RD COMPARE * port=0 adr=05 act=B4B150935B2F666B9C exp=B4B150935B2F666B9C + 43643.50ns INFO [00043645] * RD COMPARE * port=1 adr=03 act=44571F2E90524ED2DF exp=44571F2E90524ED2DF + 43643.50ns INFO [00043645] Port=0 RD @00 + 43644.50ns INFO [00043646] * RD COMPARE * port=0 adr=07 act=CB8CAF377CD4F1D268 exp=CB8CAF377CD4F1D268 + 43645.50ns INFO [00043647] * RD COMPARE * port=0 adr=00 act=9D584C057959709E7D exp=9D584C057959709E7D + 43645.50ns INFO [00043647] Port=1 RD @06 + 43646.50ns INFO [00043648] Port=0 RD @06 + 43646.50ns INFO [00043648] Port=1 RD @00 + 43647.50ns INFO [00043649] * RD COMPARE * port=1 adr=06 act=A06838D470B8E47993 exp=A06838D470B8E47993 + 43647.50ns INFO [00043649] Port=1 RD @05 + 43648.50ns INFO [00043650] * RD COMPARE * port=0 adr=06 act=A06838D470B8E47993 exp=A06838D470B8E47993 + 43648.50ns INFO [00043650] * RD COMPARE * port=1 adr=00 act=9D584C057959709E7D exp=9D584C057959709E7D + 43648.50ns INFO [00043650] Port=0 WR @07=79B15E759718836E9E + 43648.50ns INFO [00043650] Port=0 RD @02 + 43648.50ns INFO [00043650] Port=1 RD @01 + 43649.50ns INFO [00043651] * RD COMPARE * port=1 adr=05 act=B4B150935B2F666B9C exp=B4B150935B2F666B9C + 43650.50ns INFO [00043652] * RD COMPARE * port=0 adr=02 act=5EEECFD64399AFDFCD exp=5EEECFD64399AFDFCD + 43650.50ns INFO [00043652] * RD COMPARE * port=1 adr=01 act=EBC5AEA07342B4E2BC exp=EBC5AEA07342B4E2BC + 43650.50ns INFO [00043652] Port=0 RD @05 + 43651.50ns INFO [00043653] Port=0 WR @06=48D741751BABCE5FBE + 43651.50ns INFO [00043653] Port=1 RD @04 + 43652.50ns INFO [00043654] * RD COMPARE * port=0 adr=05 act=B4B150935B2F666B9C exp=B4B150935B2F666B9C + 43652.50ns INFO [00043654] Port=1 RD @02 + 43653.50ns INFO [00043655] * RD COMPARE * port=1 adr=04 act=8EE45A372D34E66D4B exp=8EE45A372D34E66D4B + 43654.50ns INFO [00043656] * RD COMPARE * port=1 adr=02 act=5EEECFD64399AFDFCD exp=5EEECFD64399AFDFCD + 43655.50ns INFO [00043657] Port=0 RD @04 + 43657.50ns INFO [00043659] * RD COMPARE * port=0 adr=04 act=8EE45A372D34E66D4B exp=8EE45A372D34E66D4B + 43657.50ns INFO [00043659] Port=0 RD @02 + 43658.50ns INFO [00043660] Port=0 WR @02=6D3D14F29A60A9F828 + 43658.50ns INFO [00043660] Port=0 RD @04 + 43659.50ns INFO [00043661] * RD COMPARE * port=0 adr=02 act=5EEECFD64399AFDFCD exp=5EEECFD64399AFDFCD + 43659.50ns INFO [00043661] Port=1 RD @05 + 43660.50ns INFO [00043662] * RD COMPARE * port=0 adr=04 act=8EE45A372D34E66D4B exp=8EE45A372D34E66D4B + 43660.50ns INFO [00043662] Port=0 WR @02=757C0B3BCDAF0587DC + 43661.50ns INFO [00043663] * RD COMPARE * port=1 adr=05 act=B4B150935B2F666B9C exp=B4B150935B2F666B9C + 43661.50ns INFO [00043663] Port=0 WR @07=B918FD6C7AF5F95954 + 43662.50ns INFO [00043664] Port=1 RD @04 + 43663.50ns INFO [00043665] Port=0 WR @01=75429FCEE297D23E26 + 43663.50ns INFO [00043665] Port=0 RD @05 + 43664.50ns INFO [00043666] * RD COMPARE * port=1 adr=04 act=8EE45A372D34E66D4B exp=8EE45A372D34E66D4B + 43664.50ns INFO [00043666] Port=0 WR @06=0B729A0C494C422B99 + 43664.50ns INFO [00043666] Port=1 RD @04 + 43665.50ns INFO [00043667] * RD COMPARE * port=0 adr=05 act=B4B150935B2F666B9C exp=B4B150935B2F666B9C + 43665.50ns INFO [00043667] Port=0 WR @00=7E00D84D161020167F + 43665.50ns INFO [00043667] Port=0 RD @06 + 43666.50ns INFO [00043668] * RD COMPARE * port=1 adr=04 act=8EE45A372D34E66D4B exp=8EE45A372D34E66D4B + 43666.50ns INFO [00043668] Port=0 WR @06=222D7B670C96A9F920 + 43666.50ns INFO [00043668] Port=0 RD @04 + 43667.50ns INFO [00043669] * RD COMPARE * port=0 adr=06 act=0B729A0C494C422B99 exp=0B729A0C494C422B99 + 43668.50ns INFO [00043670] * RD COMPARE * port=0 adr=04 act=8EE45A372D34E66D4B exp=8EE45A372D34E66D4B + 43668.50ns INFO [00043670] Port=0 RD @04 + 43669.50ns INFO [00043671] Port=0 RD @07 + 43669.50ns INFO [00043671] Port=1 RD @02 + 43670.50ns INFO [00043672] * RD COMPARE * port=0 adr=04 act=8EE45A372D34E66D4B exp=8EE45A372D34E66D4B + 43670.50ns INFO [00043672] Port=1 RD @02 + 43671.50ns INFO [00043673] * RD COMPARE * port=0 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43671.50ns INFO [00043673] * RD COMPARE * port=1 adr=02 act=757C0B3BCDAF0587DC exp=757C0B3BCDAF0587DC + 43671.50ns INFO [00043673] Port=0 WR @00=D3C6A813AE36E2CA09 + 43671.50ns INFO [00043673] Port=0 RD @02 + 43672.50ns INFO [00043674] * RD COMPARE * port=1 adr=02 act=757C0B3BCDAF0587DC exp=757C0B3BCDAF0587DC + 43672.50ns INFO [00043674] Port=0 RD @03 + 43673.50ns INFO [00043675] * RD COMPARE * port=0 adr=02 act=757C0B3BCDAF0587DC exp=757C0B3BCDAF0587DC + 43673.50ns INFO [00043675] Port=0 WR @04=EEEA612AF3D08833C1 + 43674.50ns INFO [00043676] * RD COMPARE * port=0 adr=03 act=44571F2E90524ED2DF exp=44571F2E90524ED2DF + 43674.50ns INFO [00043676] Port=0 RD @07 + 43675.50ns INFO [00043677] Port=1 RD @06 + 43676.50ns INFO [00043678] * RD COMPARE * port=0 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43676.50ns INFO [00043678] Port=0 RD @07 + 43677.50ns INFO [00043679] * RD COMPARE * port=1 adr=06 act=222D7B670C96A9F920 exp=222D7B670C96A9F920 + 43677.50ns INFO [00043679] Port=1 RD @03 + 43678.50ns INFO [00043680] * RD COMPARE * port=0 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43678.50ns INFO [00043680] Port=0 RD @03 + 43678.50ns INFO [00043680] Port=1 RD @07 + 43679.50ns INFO [00043681] * RD COMPARE * port=1 adr=03 act=44571F2E90524ED2DF exp=44571F2E90524ED2DF + 43679.50ns INFO [00043681] Port=0 RD @02 + 43680.50ns INFO [00043682] * RD COMPARE * port=0 adr=03 act=44571F2E90524ED2DF exp=44571F2E90524ED2DF + 43680.50ns INFO [00043682] * RD COMPARE * port=1 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43680.50ns INFO [00043682] Port=1 RD @06 + 43681.50ns INFO [00043683] * RD COMPARE * port=0 adr=02 act=757C0B3BCDAF0587DC exp=757C0B3BCDAF0587DC + 43682.50ns INFO [00043684] * RD COMPARE * port=1 adr=06 act=222D7B670C96A9F920 exp=222D7B670C96A9F920 + 43682.50ns INFO [00043684] Port=0 WR @05=1029FB5EE9D6061B46 + 43682.50ns INFO [00043684] Port=1 RD @04 + 43683.50ns INFO [00043685] Port=0 RD @06 + 43684.50ns INFO [00043686] * RD COMPARE * port=1 adr=04 act=EEEA612AF3D08833C1 exp=EEEA612AF3D08833C1 + 43685.50ns INFO [00043687] * RD COMPARE * port=0 adr=06 act=222D7B670C96A9F920 exp=222D7B670C96A9F920 + 43685.50ns INFO [00043687] Port=1 RD @06 + 43686.50ns INFO [00043688] Port=0 RD @06 + 43687.50ns INFO [00043689] * RD COMPARE * port=1 adr=06 act=222D7B670C96A9F920 exp=222D7B670C96A9F920 + 43688.50ns INFO [00043690] * RD COMPARE * port=0 adr=06 act=222D7B670C96A9F920 exp=222D7B670C96A9F920 + 43688.50ns INFO [00043690] Port=0 WR @00=40AE1C5F0989E87918 + 43688.50ns INFO [00043690] Port=1 RD @06 + 43689.50ns INFO [00043691] Port=0 WR @05=38C61B7278297C20F9 + 43689.50ns INFO [00043691] Port=1 RD @07 + 43690.50ns INFO [00043692] * RD COMPARE * port=1 adr=06 act=222D7B670C96A9F920 exp=222D7B670C96A9F920 + 43690.50ns INFO [00043692] Port=1 RD @07 + 43691.50ns INFO [00043693] * RD COMPARE * port=1 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43691.50ns INFO [00043693] Port=0 WR @03=1AB0DF7192E349740A + 43691.50ns INFO [00043693] Port=0 RD @02 + 43691.50ns INFO [00043693] Port=1 RD @02 + 43692.50ns INFO [00043694] * RD COMPARE * port=1 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43692.50ns INFO [00043694] Port=0 RD @06 + 43692.50ns INFO [00043694] Port=1 RD @07 + 43693.50ns INFO [00043695] * RD COMPARE * port=0 adr=02 act=757C0B3BCDAF0587DC exp=757C0B3BCDAF0587DC + 43693.50ns INFO [00043695] * RD COMPARE * port=1 adr=02 act=757C0B3BCDAF0587DC exp=757C0B3BCDAF0587DC + 43693.50ns INFO [00043695] Port=0 WR @02=BA475BAA09C519AE56 + 43693.50ns INFO [00043695] Port=0 RD @03 + 43694.50ns INFO [00043696] * RD COMPARE * port=0 adr=06 act=222D7B670C96A9F920 exp=222D7B670C96A9F920 + 43694.50ns INFO [00043696] * RD COMPARE * port=1 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43695.50ns INFO [00043697] * RD COMPARE * port=0 adr=03 act=1AB0DF7192E349740A exp=1AB0DF7192E349740A + 43695.50ns INFO [00043697] Port=0 WR @06=4CA76E819C662D75BE + 43696.50ns INFO [00043698] Port=1 RD @01 + 43697.50ns INFO [00043699] Port=0 RD @03 + 43698.00ns INFO [00043700] [00043700] ...tick... + 43698.50ns INFO [00043700] * RD COMPARE * port=1 adr=01 act=75429FCEE297D23E26 exp=75429FCEE297D23E26 + 43699.50ns INFO [00043701] * RD COMPARE * port=0 adr=03 act=1AB0DF7192E349740A exp=1AB0DF7192E349740A + 43699.50ns INFO [00043701] Port=0 RD @02 + 43699.50ns INFO [00043701] Port=1 RD @06 + 43700.50ns INFO [00043702] Port=0 WR @00=A27A18A65186BF25F8 + 43701.50ns INFO [00043703] * RD COMPARE * port=0 adr=02 act=BA475BAA09C519AE56 exp=BA475BAA09C519AE56 + 43701.50ns INFO [00043703] * RD COMPARE * port=1 adr=06 act=4CA76E819C662D75BE exp=4CA76E819C662D75BE + 43702.50ns INFO [00043704] Port=0 WR @05=1AD05D16CA5AE91E25 + 43702.50ns INFO [00043704] Port=0 RD @06 + 43702.50ns INFO [00043704] Port=1 RD @07 + 43703.50ns INFO [00043705] Port=0 RD @04 + 43704.50ns INFO [00043706] * RD COMPARE * port=0 adr=06 act=4CA76E819C662D75BE exp=4CA76E819C662D75BE + 43704.50ns INFO [00043706] * RD COMPARE * port=1 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43704.50ns INFO [00043706] Port=0 RD @00 + 43705.50ns INFO [00043707] * RD COMPARE * port=0 adr=04 act=EEEA612AF3D08833C1 exp=EEEA612AF3D08833C1 + 43705.50ns INFO [00043707] Port=0 RD @07 + 43706.50ns INFO [00043708] * RD COMPARE * port=0 adr=00 act=A27A18A65186BF25F8 exp=A27A18A65186BF25F8 + 43706.50ns INFO [00043708] Port=0 WR @03=1CA05832B7D5F415E6 + 43706.50ns INFO [00043708] Port=1 RD @01 + 43707.50ns INFO [00043709] * RD COMPARE * port=0 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43707.50ns INFO [00043709] Port=0 WR @05=C84C203F9CB3EC8DBF + 43708.50ns INFO [00043710] * RD COMPARE * port=1 adr=01 act=75429FCEE297D23E26 exp=75429FCEE297D23E26 + 43709.50ns INFO [00043711] Port=0 RD @00 + 43710.50ns INFO [00043712] Port=0 WR @04=897BE3329D76B0DBCF + 43710.50ns INFO [00043712] Port=0 RD @05 + 43710.50ns INFO [00043712] Port=1 RD @07 + 43711.50ns INFO [00043713] * RD COMPARE * port=0 adr=00 act=A27A18A65186BF25F8 exp=A27A18A65186BF25F8 + 43711.50ns INFO [00043713] Port=0 RD @01 + 43711.50ns INFO [00043713] Port=1 RD @07 + 43712.50ns INFO [00043714] * RD COMPARE * port=0 adr=05 act=C84C203F9CB3EC8DBF exp=C84C203F9CB3EC8DBF + 43712.50ns INFO [00043714] * RD COMPARE * port=1 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43713.50ns INFO [00043715] * RD COMPARE * port=0 adr=01 act=75429FCEE297D23E26 exp=75429FCEE297D23E26 + 43713.50ns INFO [00043715] * RD COMPARE * port=1 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43713.50ns INFO [00043715] Port=0 RD @01 + 43713.50ns INFO [00043715] Port=1 RD @06 + 43714.50ns INFO [00043716] Port=0 RD @03 + 43715.50ns INFO [00043717] * RD COMPARE * port=0 adr=01 act=75429FCEE297D23E26 exp=75429FCEE297D23E26 + 43715.50ns INFO [00043717] * RD COMPARE * port=1 adr=06 act=4CA76E819C662D75BE exp=4CA76E819C662D75BE + 43715.50ns INFO [00043717] Port=0 WR @03=789890B876D195D7C3 + 43716.50ns INFO [00043718] * RD COMPARE * port=0 adr=03 act=1CA05832B7D5F415E6 exp=1CA05832B7D5F415E6 + 43716.50ns INFO [00043718] Port=0 WR @04=8F4FE5F2A6F8D3291F + 43717.50ns INFO [00043719] Port=0 RD @05 + 43717.50ns INFO [00043719] Port=1 RD @01 + 43718.50ns INFO [00043720] Port=1 RD @04 + 43719.50ns INFO [00043721] * RD COMPARE * port=0 adr=05 act=C84C203F9CB3EC8DBF exp=C84C203F9CB3EC8DBF + 43719.50ns INFO [00043721] * RD COMPARE * port=1 adr=01 act=75429FCEE297D23E26 exp=75429FCEE297D23E26 + 43719.50ns INFO [00043721] Port=0 WR @00=71C6B32B3D80D98969 + 43719.50ns INFO [00043721] Port=1 RD @01 + 43720.50ns INFO [00043722] * RD COMPARE * port=1 adr=04 act=8F4FE5F2A6F8D3291F exp=8F4FE5F2A6F8D3291F + 43720.50ns INFO [00043722] Port=0 WR @00=A0E072F5865D8CCD3F + 43720.50ns INFO [00043722] Port=0 RD @06 + 43721.50ns INFO [00043723] * RD COMPARE * port=1 adr=01 act=75429FCEE297D23E26 exp=75429FCEE297D23E26 + 43721.50ns INFO [00043723] Port=0 RD @00 + 43721.50ns INFO [00043723] Port=1 RD @07 + 43722.50ns INFO [00043724] * RD COMPARE * port=0 adr=06 act=4CA76E819C662D75BE exp=4CA76E819C662D75BE + 43722.50ns INFO [00043724] Port=0 WR @01=C04DBA02C7B688FC68 + 43722.50ns INFO [00043724] Port=0 RD @07 + 43723.50ns INFO [00043725] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43723.50ns INFO [00043725] * RD COMPARE * port=1 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43724.50ns INFO [00043726] * RD COMPARE * port=0 adr=07 act=B918FD6C7AF5F95954 exp=B918FD6C7AF5F95954 + 43724.50ns INFO [00043726] Port=0 RD @03 + 43725.50ns INFO [00043727] Port=0 RD @00 + 43725.50ns INFO [00043727] Port=1 RD @00 + 43726.50ns INFO [00043728] * RD COMPARE * port=0 adr=03 act=789890B876D195D7C3 exp=789890B876D195D7C3 + 43726.50ns INFO [00043728] Port=0 RD @01 + 43727.50ns INFO [00043729] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43727.50ns INFO [00043729] * RD COMPARE * port=1 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43727.50ns INFO [00043729] Port=0 RD @00 + 43728.50ns INFO [00043730] * RD COMPARE * port=0 adr=01 act=C04DBA02C7B688FC68 exp=C04DBA02C7B688FC68 + 43728.50ns INFO [00043730] Port=0 RD @00 + 43728.50ns INFO [00043730] Port=1 RD @00 + 43729.50ns INFO [00043731] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43729.50ns INFO [00043731] Port=0 WR @04=039C476550E0B7D26B + 43730.50ns INFO [00043732] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43730.50ns INFO [00043732] * RD COMPARE * port=1 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43730.50ns INFO [00043732] Port=0 WR @06=37BF173483B198E186 + 43732.50ns INFO [00043734] Port=0 RD @06 + 43734.50ns INFO [00043736] * RD COMPARE * port=0 adr=06 act=37BF173483B198E186 exp=37BF173483B198E186 + 43734.50ns INFO [00043736] Port=0 RD @02 + 43735.50ns INFO [00043737] Port=0 RD @00 + 43735.50ns INFO [00043737] Port=1 RD @00 + 43736.50ns INFO [00043738] * RD COMPARE * port=0 adr=02 act=BA475BAA09C519AE56 exp=BA475BAA09C519AE56 + 43736.50ns INFO [00043738] Port=0 WR @07=81BA4915DF2F89BE31 + 43737.50ns INFO [00043739] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43737.50ns INFO [00043739] * RD COMPARE * port=1 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43737.50ns INFO [00043739] Port=1 RD @00 + 43738.50ns INFO [00043740] Port=0 RD @02 + 43739.50ns INFO [00043741] * RD COMPARE * port=1 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43739.50ns INFO [00043741] Port=0 RD @01 + 43739.50ns INFO [00043741] Port=1 RD @04 + 43740.50ns INFO [00043742] * RD COMPARE * port=0 adr=02 act=BA475BAA09C519AE56 exp=BA475BAA09C519AE56 + 43740.50ns INFO [00043742] Port=0 WR @05=C4DC4B77920BC26D93 + 43740.50ns INFO [00043742] Port=1 RD @01 + 43741.50ns INFO [00043743] * RD COMPARE * port=0 adr=01 act=C04DBA02C7B688FC68 exp=C04DBA02C7B688FC68 + 43741.50ns INFO [00043743] * RD COMPARE * port=1 adr=04 act=039C476550E0B7D26B exp=039C476550E0B7D26B + 43742.50ns INFO [00043744] * RD COMPARE * port=1 adr=01 act=C04DBA02C7B688FC68 exp=C04DBA02C7B688FC68 + 43742.50ns INFO [00043744] Port=0 WR @07=8CEA42E86F3A245569 + 43742.50ns INFO [00043744] Port=1 RD @00 + 43744.50ns INFO [00043746] * RD COMPARE * port=1 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43744.50ns INFO [00043746] Port=0 WR @06=8687D334E6BF50170B + 43744.50ns INFO [00043746] Port=0 RD @00 + 43745.50ns INFO [00043747] Port=0 RD @03 + 43746.50ns INFO [00043748] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43746.50ns INFO [00043748] Port=0 WR @01=DBEA73CBFBC0D67DDF + 43747.50ns INFO [00043749] * RD COMPARE * port=0 adr=03 act=789890B876D195D7C3 exp=789890B876D195D7C3 + 43747.50ns INFO [00043749] Port=1 RD @07 + 43749.50ns INFO [00043751] * RD COMPARE * port=1 adr=07 act=8CEA42E86F3A245569 exp=8CEA42E86F3A245569 + 43749.50ns INFO [00043751] Port=0 WR @04=3E35396A1CE091BD9B + 43752.50ns INFO [00043754] Port=1 RD @01 + 43753.50ns INFO [00043755] Port=0 RD @05 + 43754.50ns INFO [00043756] * RD COMPARE * port=1 adr=01 act=DBEA73CBFBC0D67DDF exp=DBEA73CBFBC0D67DDF + 43754.50ns INFO [00043756] Port=0 RD @03 + 43754.50ns INFO [00043756] Port=1 RD @02 + 43755.50ns INFO [00043757] * RD COMPARE * port=0 adr=05 act=C4DC4B77920BC26D93 exp=C4DC4B77920BC26D93 + 43755.50ns INFO [00043757] Port=0 WR @06=717CBE4497EE640663 + 43755.50ns INFO [00043757] Port=0 RD @00 + 43756.50ns INFO [00043758] * RD COMPARE * port=0 adr=03 act=789890B876D195D7C3 exp=789890B876D195D7C3 + 43756.50ns INFO [00043758] * RD COMPARE * port=1 adr=02 act=BA475BAA09C519AE56 exp=BA475BAA09C519AE56 + 43756.50ns INFO [00043758] Port=0 RD @07 + 43757.50ns INFO [00043759] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43757.50ns INFO [00043759] Port=0 RD @07 + 43758.50ns INFO [00043760] * RD COMPARE * port=0 adr=07 act=8CEA42E86F3A245569 exp=8CEA42E86F3A245569 + 43759.50ns INFO [00043761] * RD COMPARE * port=0 adr=07 act=8CEA42E86F3A245569 exp=8CEA42E86F3A245569 + 43759.50ns INFO [00043761] Port=1 RD @05 + 43760.50ns INFO [00043762] Port=0 WR @06=460C9B5BE217B6BEAF + 43760.50ns INFO [00043762] Port=0 RD @05 + 43761.50ns INFO [00043763] * RD COMPARE * port=1 adr=05 act=C4DC4B77920BC26D93 exp=C4DC4B77920BC26D93 + 43761.50ns INFO [00043763] Port=0 WR @02=87FAE41BBEB50CF74C + 43761.50ns INFO [00043763] Port=0 RD @06 + 43762.50ns INFO [00043764] * RD COMPARE * port=0 adr=05 act=C4DC4B77920BC26D93 exp=C4DC4B77920BC26D93 + 43763.50ns INFO [00043765] * RD COMPARE * port=0 adr=06 act=460C9B5BE217B6BEAF exp=460C9B5BE217B6BEAF + 43764.50ns INFO [00043766] Port=0 WR @02=A7955D9BB304323A82 + 43764.50ns INFO [00043766] Port=0 RD @06 + 43766.50ns INFO [00043768] * RD COMPARE * port=0 adr=06 act=460C9B5BE217B6BEAF exp=460C9B5BE217B6BEAF + 43766.50ns INFO [00043768] Port=0 WR @06=22772BEA5777E99520 + 43766.50ns INFO [00043768] Port=1 RD @07 + 43767.50ns INFO [00043769] Port=0 WR @01=08AC1CB58D0604096B + 43767.50ns INFO [00043769] Port=1 RD @04 + 43768.50ns INFO [00043770] * RD COMPARE * port=1 adr=07 act=8CEA42E86F3A245569 exp=8CEA42E86F3A245569 + 43768.50ns INFO [00043770] Port=0 WR @05=02407189E1B2B3CE98 + 43769.50ns INFO [00043771] * RD COMPARE * port=1 adr=04 act=3E35396A1CE091BD9B exp=3E35396A1CE091BD9B + 43769.50ns INFO [00043771] Port=1 RD @03 + 43770.50ns INFO [00043772] Port=0 RD @04 + 43771.50ns INFO [00043773] * RD COMPARE * port=1 adr=03 act=789890B876D195D7C3 exp=789890B876D195D7C3 + 43771.50ns INFO [00043773] Port=0 WR @07=6FF491559A29EDB752 + 43772.50ns INFO [00043774] * RD COMPARE * port=0 adr=04 act=3E35396A1CE091BD9B exp=3E35396A1CE091BD9B + 43773.50ns INFO [00043775] Port=0 RD @06 + 43773.50ns INFO [00043775] Port=1 RD @07 + 43775.50ns INFO [00043777] * RD COMPARE * port=0 adr=06 act=22772BEA5777E99520 exp=22772BEA5777E99520 + 43775.50ns INFO [00043777] * RD COMPARE * port=1 adr=07 act=6FF491559A29EDB752 exp=6FF491559A29EDB752 + 43775.50ns INFO [00043777] Port=0 WR @04=B7202F9A695C7F3C74 + 43775.50ns INFO [00043777] Port=0 RD @00 + 43775.50ns INFO [00043777] Port=1 RD @07 + 43776.50ns INFO [00043778] Port=0 WR @07=85EAAED53BBDEC7AAF + 43777.50ns INFO [00043779] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43777.50ns INFO [00043779] * RD COMPARE * port=1 adr=07 act=6FF491559A29EDB752 exp=6FF491559A29EDB752 + 43778.50ns INFO [00043780] Port=0 RD @04 + 43779.50ns INFO [00043781] Port=0 RD @06 + 43780.50ns INFO [00043782] * RD COMPARE * port=0 adr=04 act=B7202F9A695C7F3C74 exp=B7202F9A695C7F3C74 + 43780.50ns INFO [00043782] Port=0 RD @03 + 43780.50ns INFO [00043782] Port=1 RD @04 + 43781.50ns INFO [00043783] * RD COMPARE * port=0 adr=06 act=22772BEA5777E99520 exp=22772BEA5777E99520 + 43782.50ns INFO [00043784] * RD COMPARE * port=0 adr=03 act=789890B876D195D7C3 exp=789890B876D195D7C3 + 43782.50ns INFO [00043784] * RD COMPARE * port=1 adr=04 act=B7202F9A695C7F3C74 exp=B7202F9A695C7F3C74 + 43783.50ns INFO [00043785] Port=1 RD @01 + 43784.50ns INFO [00043786] Port=0 WR @07=ACFC6BB87DBD1B99CF + 43784.50ns INFO [00043786] Port=1 RD @03 + 43785.50ns INFO [00043787] * RD COMPARE * port=1 adr=01 act=08AC1CB58D0604096B exp=08AC1CB58D0604096B + 43786.50ns INFO [00043788] * RD COMPARE * port=1 adr=03 act=789890B876D195D7C3 exp=789890B876D195D7C3 + 43786.50ns INFO [00043788] Port=0 RD @01 + 43787.50ns INFO [00043789] Port=0 RD @05 + 43788.50ns INFO [00043790] * RD COMPARE * port=0 adr=01 act=08AC1CB58D0604096B exp=08AC1CB58D0604096B + 43789.50ns INFO [00043791] * RD COMPARE * port=0 adr=05 act=02407189E1B2B3CE98 exp=02407189E1B2B3CE98 + 43790.50ns INFO [00043792] Port=0 WR @01=BB67E0894606B2F001 + 43791.50ns INFO [00043793] Port=0 RD @05 + 43791.50ns INFO [00043793] Port=1 RD @00 + 43792.50ns INFO [00043794] Port=0 WR @04=FC6F490017605FF8F7 + 43793.50ns INFO [00043795] * RD COMPARE * port=0 adr=05 act=02407189E1B2B3CE98 exp=02407189E1B2B3CE98 + 43793.50ns INFO [00043795] * RD COMPARE * port=1 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43793.50ns INFO [00043795] Port=0 RD @06 + 43794.50ns INFO [00043796] Port=0 WR @03=6B39EC09EA6331012F + 43795.50ns INFO [00043797] * RD COMPARE * port=0 adr=06 act=22772BEA5777E99520 exp=22772BEA5777E99520 + 43796.50ns INFO [00043798] Port=0 WR @07=04F8C0D43ACBD3F966 + 43796.50ns INFO [00043798] Port=0 RD @03 + 43797.50ns INFO [00043799] Port=0 WR @05=21A5340BBEA481A50C + 43797.50ns INFO [00043799] Port=0 RD @00 + 43797.50ns INFO [00043799] Port=1 RD @04 + 43798.00ns INFO [00043800] [00043800] ...tick... + 43798.50ns INFO [00043800] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43798.50ns INFO [00043800] Port=0 WR @04=374646F9D65CB9B95A + 43799.50ns INFO [00043801] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43799.50ns INFO [00043801] * RD COMPARE * port=1 adr=04 act=FC6F490017605FF8F7 exp=FC6F490017605FF8F7 + 43800.50ns INFO [00043802] Port=0 WR @04=306F878C5D34C91237 + 43801.50ns INFO [00043803] Port=0 WR @02=5D7078BBBE2A2452F7 + 43801.50ns INFO [00043803] Port=1 RD @05 + 43803.50ns INFO [00043805] * RD COMPARE * port=1 adr=05 act=21A5340BBEA481A50C exp=21A5340BBEA481A50C + 43803.50ns INFO [00043805] Port=1 RD @06 + 43805.50ns INFO [00043807] * RD COMPARE * port=1 adr=06 act=22772BEA5777E99520 exp=22772BEA5777E99520 + 43805.50ns INFO [00043807] Port=0 WR @01=AA7270D5E8BDDF0DAB + 43806.50ns INFO [00043808] Port=0 WR @05=DF091CCD4821B3BE20 + 43806.50ns INFO [00043808] Port=0 RD @04 + 43807.50ns INFO [00043809] Port=0 RD @00 + 43808.50ns INFO [00043810] * RD COMPARE * port=0 adr=04 act=306F878C5D34C91237 exp=306F878C5D34C91237 + 43809.50ns INFO [00043811] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43812.50ns INFO [00043814] Port=0 WR @04=ECAB14113CA7C4D812 + 43812.50ns INFO [00043814] Port=0 RD @06 + 43813.50ns INFO [00043815] Port=0 RD @04 + 43813.50ns INFO [00043815] Port=1 RD @00 + 43814.50ns INFO [00043816] * RD COMPARE * port=0 adr=06 act=22772BEA5777E99520 exp=22772BEA5777E99520 + 43814.50ns INFO [00043816] Port=1 RD @01 + 43815.50ns INFO [00043817] * RD COMPARE * port=0 adr=04 act=ECAB14113CA7C4D812 exp=ECAB14113CA7C4D812 + 43815.50ns INFO [00043817] * RD COMPARE * port=1 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43815.50ns INFO [00043817] Port=0 RD @03 + 43816.50ns INFO [00043818] * RD COMPARE * port=1 adr=01 act=AA7270D5E8BDDF0DAB exp=AA7270D5E8BDDF0DAB + 43816.50ns INFO [00043818] Port=1 RD @01 + 43817.50ns INFO [00043819] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43817.50ns INFO [00043819] Port=1 RD @04 + 43818.50ns INFO [00043820] * RD COMPARE * port=1 adr=01 act=AA7270D5E8BDDF0DAB exp=AA7270D5E8BDDF0DAB + 43818.50ns INFO [00043820] Port=0 RD @04 + 43819.50ns INFO [00043821] * RD COMPARE * port=1 adr=04 act=ECAB14113CA7C4D812 exp=ECAB14113CA7C4D812 + 43819.50ns INFO [00043821] Port=1 RD @05 + 43820.50ns INFO [00043822] * RD COMPARE * port=0 adr=04 act=ECAB14113CA7C4D812 exp=ECAB14113CA7C4D812 + 43820.50ns INFO [00043822] Port=0 RD @05 + 43820.50ns INFO [00043822] Port=1 RD @07 + 43821.50ns INFO [00043823] * RD COMPARE * port=1 adr=05 act=DF091CCD4821B3BE20 exp=DF091CCD4821B3BE20 + 43821.50ns INFO [00043823] Port=0 WR @01=387CECB35D22B7ED69 + 43821.50ns INFO [00043823] Port=0 RD @06 + 43821.50ns INFO [00043823] Port=1 RD @00 + 43822.50ns INFO [00043824] * RD COMPARE * port=0 adr=05 act=DF091CCD4821B3BE20 exp=DF091CCD4821B3BE20 + 43822.50ns INFO [00043824] * RD COMPARE * port=1 adr=07 act=04F8C0D43ACBD3F966 exp=04F8C0D43ACBD3F966 + 43823.50ns INFO [00043825] * RD COMPARE * port=0 adr=06 act=22772BEA5777E99520 exp=22772BEA5777E99520 + 43823.50ns INFO [00043825] * RD COMPARE * port=1 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43823.50ns INFO [00043825] Port=0 RD @00 + 43823.50ns INFO [00043825] Port=1 RD @01 + 43825.50ns INFO [00043827] * RD COMPARE * port=0 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43825.50ns INFO [00043827] * RD COMPARE * port=1 adr=01 act=387CECB35D22B7ED69 exp=387CECB35D22B7ED69 + 43825.50ns INFO [00043827] Port=0 WR @05=1BAD135BEB4873C501 + 43826.50ns INFO [00043828] Port=0 WR @05=A757DF37F2499393FE + 43827.50ns INFO [00043829] Port=1 RD @04 + 43828.50ns INFO [00043830] Port=0 WR @01=D93A7663080C9F9DB5 + 43829.50ns INFO [00043831] * RD COMPARE * port=1 adr=04 act=ECAB14113CA7C4D812 exp=ECAB14113CA7C4D812 + 43831.50ns INFO [00043833] Port=0 WR @02=8C1F6F528F7516FBA0 + 43831.50ns INFO [00043833] Port=0 RD @05 + 43831.50ns INFO [00043833] Port=1 RD @01 + 43832.50ns INFO [00043834] Port=0 WR @05=8E7285E2178AA098B3 + 43832.50ns INFO [00043834] Port=1 RD @00 + 43833.50ns INFO [00043835] * RD COMPARE * port=0 adr=05 act=A757DF37F2499393FE exp=A757DF37F2499393FE + 43833.50ns INFO [00043835] * RD COMPARE * port=1 adr=01 act=D93A7663080C9F9DB5 exp=D93A7663080C9F9DB5 + 43833.50ns INFO [00043835] Port=0 WR @00=984D70F0507D97C11D + 43834.50ns INFO [00043836] * RD COMPARE * port=1 adr=00 act=A0E072F5865D8CCD3F exp=A0E072F5865D8CCD3F + 43834.50ns INFO [00043836] Port=0 WR @04=5241045B11FFD32BCD + 43835.50ns INFO [00043837] Port=0 WR @07=ABCEA8A233C7272AA9 + 43835.50ns INFO [00043837] Port=1 RD @00 + 43837.50ns INFO [00043839] * RD COMPARE * port=1 adr=00 act=984D70F0507D97C11D exp=984D70F0507D97C11D + 43837.50ns INFO [00043839] Port=0 WR @07=2BCD64749A2B829374 + 43837.50ns INFO [00043839] Port=0 RD @04 + 43837.50ns INFO [00043839] Port=1 RD @02 + 43839.50ns INFO [00043841] * RD COMPARE * port=0 adr=04 act=5241045B11FFD32BCD exp=5241045B11FFD32BCD + 43839.50ns INFO [00043841] * RD COMPARE * port=1 adr=02 act=8C1F6F528F7516FBA0 exp=8C1F6F528F7516FBA0 + 43839.50ns INFO [00043841] Port=0 RD @04 + 43839.50ns INFO [00043841] Port=1 RD @07 + 43841.50ns INFO [00043843] * RD COMPARE * port=0 adr=04 act=5241045B11FFD32BCD exp=5241045B11FFD32BCD + 43841.50ns INFO [00043843] * RD COMPARE * port=1 adr=07 act=2BCD64749A2B829374 exp=2BCD64749A2B829374 + 43841.50ns INFO [00043843] Port=1 RD @02 + 43842.50ns INFO [00043844] Port=0 WR @07=10B7D854BC415D67D8 + 43842.50ns INFO [00043844] Port=0 RD @00 + 43843.50ns INFO [00043845] * RD COMPARE * port=1 adr=02 act=8C1F6F528F7516FBA0 exp=8C1F6F528F7516FBA0 + 43844.50ns INFO [00043846] * RD COMPARE * port=0 adr=00 act=984D70F0507D97C11D exp=984D70F0507D97C11D + 43844.50ns INFO [00043846] Port=0 WR @00=E769F88E8C801CE7BB + 43844.50ns INFO [00043846] Port=0 RD @02 + 43846.50ns INFO [00043848] * RD COMPARE * port=0 adr=02 act=8C1F6F528F7516FBA0 exp=8C1F6F528F7516FBA0 + 43846.50ns INFO [00043848] Port=0 WR @07=FFDBABF4229CDCF4ED + 43846.50ns INFO [00043848] Port=0 RD @02 + 43847.50ns INFO [00043849] Port=0 WR @01=25AB3CD209FED66A09 + 43847.50ns INFO [00043849] Port=1 RD @06 + 43848.50ns INFO [00043850] * RD COMPARE * port=0 adr=02 act=8C1F6F528F7516FBA0 exp=8C1F6F528F7516FBA0 + 43848.50ns INFO [00043850] Port=0 RD @02 + 43849.50ns INFO [00043851] * RD COMPARE * port=1 adr=06 act=22772BEA5777E99520 exp=22772BEA5777E99520 + 43849.50ns INFO [00043851] Port=0 WR @02=44241157D77F65F3D6 + 43850.50ns INFO [00043852] * RD COMPARE * port=0 adr=02 act=8C1F6F528F7516FBA0 exp=8C1F6F528F7516FBA0 + 43850.50ns INFO [00043852] Port=0 WR @07=6CE23A99ABFA7BC586 + 43852.50ns INFO [00043854] Port=0 WR @04=FBF716C7E9852CD00A + 43852.50ns INFO [00043854] Port=0 RD @02 + 43852.50ns INFO [00043854] Port=1 RD @02 + 43853.50ns INFO [00043855] Port=0 RD @00 + 43854.50ns INFO [00043856] * RD COMPARE * port=0 adr=02 act=44241157D77F65F3D6 exp=44241157D77F65F3D6 + 43854.50ns INFO [00043856] * RD COMPARE * port=1 adr=02 act=44241157D77F65F3D6 exp=44241157D77F65F3D6 + 43854.50ns INFO [00043856] Port=0 WR @02=DA21303521434FBBA0 + 43854.50ns INFO [00043856] Port=0 RD @06 + 43855.50ns INFO [00043857] * RD COMPARE * port=0 adr=00 act=E769F88E8C801CE7BB exp=E769F88E8C801CE7BB + 43855.50ns INFO [00043857] Port=0 WR @01=4EED224A2B8CAD5692 + 43856.50ns INFO [00043858] * RD COMPARE * port=0 adr=06 act=22772BEA5777E99520 exp=22772BEA5777E99520 + 43856.50ns INFO [00043858] Port=0 WR @00=B0FB6B2858CD6C7D7F + 43856.50ns INFO [00043858] Port=1 RD @01 + 43857.50ns INFO [00043859] Port=0 RD @02 + 43857.50ns INFO [00043859] Port=1 RD @02 + 43858.50ns INFO [00043860] * RD COMPARE * port=1 adr=01 act=4EED224A2B8CAD5692 exp=4EED224A2B8CAD5692 + 43858.50ns INFO [00043860] Port=0 WR @02=F25AF7E8A975E45B42 + 43858.50ns INFO [00043860] Port=0 RD @00 + 43859.50ns INFO [00043861] * RD COMPARE * port=0 adr=02 act=DA21303521434FBBA0 exp=DA21303521434FBBA0 + 43859.50ns INFO [00043861] * RD COMPARE * port=1 adr=02 act=DA21303521434FBBA0 exp=DA21303521434FBBA0 + 43859.50ns INFO [00043861] Port=0 RD @00 + 43860.50ns INFO [00043862] * RD COMPARE * port=0 adr=00 act=B0FB6B2858CD6C7D7F exp=B0FB6B2858CD6C7D7F + 43860.50ns INFO [00043862] Port=0 RD @04 + 43861.50ns INFO [00043863] * RD COMPARE * port=0 adr=00 act=B0FB6B2858CD6C7D7F exp=B0FB6B2858CD6C7D7F + 43861.50ns INFO [00043863] Port=0 WR @00=46607F16B51CF847C2 + 43861.50ns INFO [00043863] Port=0 RD @07 + 43862.50ns INFO [00043864] * RD COMPARE * port=0 adr=04 act=FBF716C7E9852CD00A exp=FBF716C7E9852CD00A + 43862.50ns INFO [00043864] Port=0 RD @06 + 43863.50ns INFO [00043865] * RD COMPARE * port=0 adr=07 act=6CE23A99ABFA7BC586 exp=6CE23A99ABFA7BC586 + 43863.50ns INFO [00043865] Port=0 WR @02=15CE55C82FDC526C45 + 43863.50ns INFO [00043865] Port=1 RD @05 + 43864.50ns INFO [00043866] * RD COMPARE * port=0 adr=06 act=22772BEA5777E99520 exp=22772BEA5777E99520 + 43865.50ns INFO [00043867] * RD COMPARE * port=1 adr=05 act=8E7285E2178AA098B3 exp=8E7285E2178AA098B3 + 43865.50ns INFO [00043867] Port=0 WR @04=32D4D90F18F4AA188F + 43865.50ns INFO [00043867] Port=0 RD @03 + 43865.50ns INFO [00043867] Port=1 RD @02 + 43866.50ns INFO [00043868] Port=1 RD @04 + 43867.50ns INFO [00043869] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43867.50ns INFO [00043869] * RD COMPARE * port=1 adr=02 act=15CE55C82FDC526C45 exp=15CE55C82FDC526C45 + 43868.50ns INFO [00043870] * RD COMPARE * port=1 adr=04 act=32D4D90F18F4AA188F exp=32D4D90F18F4AA188F + 43868.50ns INFO [00043870] Port=0 WR @05=E958FB35CD9F79C896 + 43868.50ns INFO [00043870] Port=0 RD @01 + 43869.50ns INFO [00043871] Port=0 WR @07=740CB2A7E9AAEF00A4 + 43869.50ns INFO [00043871] Port=0 RD @06 + 43870.50ns INFO [00043872] * RD COMPARE * port=0 adr=01 act=4EED224A2B8CAD5692 exp=4EED224A2B8CAD5692 + 43870.50ns INFO [00043872] Port=0 RD @03 + 43870.50ns INFO [00043872] Port=1 RD @05 + 43871.50ns INFO [00043873] * RD COMPARE * port=0 adr=06 act=22772BEA5777E99520 exp=22772BEA5777E99520 + 43871.50ns INFO [00043873] Port=1 RD @03 + 43872.50ns INFO [00043874] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43872.50ns INFO [00043874] * RD COMPARE * port=1 adr=05 act=E958FB35CD9F79C896 exp=E958FB35CD9F79C896 + 43872.50ns INFO [00043874] Port=0 RD @04 + 43873.50ns INFO [00043875] * RD COMPARE * port=1 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43873.50ns INFO [00043875] Port=0 WR @02=04E20E3D4ECA19EE0D + 43874.50ns INFO [00043876] * RD COMPARE * port=0 adr=04 act=32D4D90F18F4AA188F exp=32D4D90F18F4AA188F + 43874.50ns INFO [00043876] Port=0 RD @03 + 43876.50ns INFO [00043878] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43876.50ns INFO [00043878] Port=1 RD @03 + 43877.50ns INFO [00043879] Port=0 RD @07 + 43877.50ns INFO [00043879] Port=1 RD @07 + 43878.50ns INFO [00043880] * RD COMPARE * port=1 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43878.50ns INFO [00043880] Port=0 RD @01 + 43878.50ns INFO [00043880] Port=1 RD @00 + 43879.50ns INFO [00043881] * RD COMPARE * port=0 adr=07 act=740CB2A7E9AAEF00A4 exp=740CB2A7E9AAEF00A4 + 43879.50ns INFO [00043881] * RD COMPARE * port=1 adr=07 act=740CB2A7E9AAEF00A4 exp=740CB2A7E9AAEF00A4 + 43880.50ns INFO [00043882] * RD COMPARE * port=0 adr=01 act=4EED224A2B8CAD5692 exp=4EED224A2B8CAD5692 + 43880.50ns INFO [00043882] * RD COMPARE * port=1 adr=00 act=46607F16B51CF847C2 exp=46607F16B51CF847C2 + 43883.50ns INFO [00043885] Port=0 WR @06=C6A23F655E4D233BFC + 43886.50ns INFO [00043888] Port=1 RD @00 + 43888.50ns INFO [00043890] * RD COMPARE * port=1 adr=00 act=46607F16B51CF847C2 exp=46607F16B51CF847C2 + 43888.50ns INFO [00043890] Port=0 WR @02=C27BAEDA5444D823E6 + 43888.50ns INFO [00043890] Port=0 RD @01 + 43889.50ns INFO [00043891] Port=0 RD @00 + 43890.50ns INFO [00043892] * RD COMPARE * port=0 adr=01 act=4EED224A2B8CAD5692 exp=4EED224A2B8CAD5692 + 43890.50ns INFO [00043892] Port=0 WR @06=437FA4352CBEF8FA5C + 43890.50ns INFO [00043892] Port=0 RD @02 + 43891.50ns INFO [00043893] * RD COMPARE * port=0 adr=00 act=46607F16B51CF847C2 exp=46607F16B51CF847C2 + 43891.50ns INFO [00043893] Port=1 RD @02 + 43892.50ns INFO [00043894] * RD COMPARE * port=0 adr=02 act=C27BAEDA5444D823E6 exp=C27BAEDA5444D823E6 + 43892.50ns INFO [00043894] Port=0 WR @01=A5E03C34C4F6FA3D1C + 43892.50ns INFO [00043894] Port=0 RD @06 + 43892.50ns INFO [00043894] Port=1 RD @00 + 43893.50ns INFO [00043895] * RD COMPARE * port=1 adr=02 act=C27BAEDA5444D823E6 exp=C27BAEDA5444D823E6 + 43893.50ns INFO [00043895] Port=0 WR @01=03663BF491C112B04B + 43893.50ns INFO [00043895] Port=0 RD @06 + 43893.50ns INFO [00043895] Port=1 RD @07 + 43894.50ns INFO [00043896] * RD COMPARE * port=0 adr=06 act=437FA4352CBEF8FA5C exp=437FA4352CBEF8FA5C + 43894.50ns INFO [00043896] * RD COMPARE * port=1 adr=00 act=46607F16B51CF847C2 exp=46607F16B51CF847C2 + 43894.50ns INFO [00043896] Port=0 WR @05=D77F2CCAF09DBEFB4E + 43894.50ns INFO [00043896] Port=1 RD @03 + 43895.50ns INFO [00043897] * RD COMPARE * port=0 adr=06 act=437FA4352CBEF8FA5C exp=437FA4352CBEF8FA5C + 43895.50ns INFO [00043897] * RD COMPARE * port=1 adr=07 act=740CB2A7E9AAEF00A4 exp=740CB2A7E9AAEF00A4 + 43896.50ns INFO [00043898] * RD COMPARE * port=1 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43898.00ns INFO [00043900] [00043900] ...tick... + 43898.50ns INFO [00043900] Port=0 WR @01=55D4529270726378C5 + 43898.50ns INFO [00043900] Port=1 RD @06 + 43899.50ns INFO [00043901] Port=1 RD @02 + 43900.50ns INFO [00043902] * RD COMPARE * port=1 adr=06 act=437FA4352CBEF8FA5C exp=437FA4352CBEF8FA5C + 43900.50ns INFO [00043902] Port=0 WR @02=B5CACF626169594683 + 43901.50ns INFO [00043903] * RD COMPARE * port=1 adr=02 act=C27BAEDA5444D823E6 exp=C27BAEDA5444D823E6 + 43901.50ns INFO [00043903] Port=0 RD @01 + 43901.50ns INFO [00043903] Port=1 RD @00 + 43902.50ns INFO [00043904] Port=0 RD @02 + 43902.50ns INFO [00043904] Port=1 RD @05 + 43903.50ns INFO [00043905] * RD COMPARE * port=0 adr=01 act=55D4529270726378C5 exp=55D4529270726378C5 + 43903.50ns INFO [00043905] * RD COMPARE * port=1 adr=00 act=46607F16B51CF847C2 exp=46607F16B51CF847C2 + 43903.50ns INFO [00043905] Port=0 WR @07=9369E15C938FD44D22 + 43904.50ns INFO [00043906] * RD COMPARE * port=0 adr=02 act=B5CACF626169594683 exp=B5CACF626169594683 + 43904.50ns INFO [00043906] * RD COMPARE * port=1 adr=05 act=D77F2CCAF09DBEFB4E exp=D77F2CCAF09DBEFB4E + 43904.50ns INFO [00043906] Port=0 RD @00 + 43904.50ns INFO [00043906] Port=1 RD @02 + 43905.50ns INFO [00043907] Port=0 WR @01=C4DE11419D4C122882 + 43906.50ns INFO [00043908] * RD COMPARE * port=0 adr=00 act=46607F16B51CF847C2 exp=46607F16B51CF847C2 + 43906.50ns INFO [00043908] * RD COMPARE * port=1 adr=02 act=B5CACF626169594683 exp=B5CACF626169594683 + 43906.50ns INFO [00043908] Port=0 WR @06=D5B2535FE7BF57314C + 43906.50ns INFO [00043908] Port=0 RD @00 + 43907.50ns INFO [00043909] Port=1 RD @05 + 43908.50ns INFO [00043910] * RD COMPARE * port=0 adr=00 act=46607F16B51CF847C2 exp=46607F16B51CF847C2 + 43909.50ns INFO [00043911] * RD COMPARE * port=1 adr=05 act=D77F2CCAF09DBEFB4E exp=D77F2CCAF09DBEFB4E + 43909.50ns INFO [00043911] Port=0 WR @01=7B198A81F3AD65038A + 43909.50ns INFO [00043911] Port=1 RD @04 + 43910.50ns INFO [00043912] Port=1 RD @04 + 43911.50ns INFO [00043913] * RD COMPARE * port=1 adr=04 act=32D4D90F18F4AA188F exp=32D4D90F18F4AA188F + 43911.50ns INFO [00043913] Port=0 WR @04=A968907CDF176382BB + 43912.50ns INFO [00043914] * RD COMPARE * port=1 adr=04 act=32D4D90F18F4AA188F exp=32D4D90F18F4AA188F + 43912.50ns INFO [00043914] Port=0 WR @02=049498125C30A2CF41 + 43912.50ns INFO [00043914] Port=0 RD @00 + 43913.50ns INFO [00043915] Port=0 WR @07=5CB119A9322414EB0B + 43913.50ns INFO [00043915] Port=0 RD @05 + 43913.50ns INFO [00043915] Port=1 RD @03 + 43914.50ns INFO [00043916] * RD COMPARE * port=0 adr=00 act=46607F16B51CF847C2 exp=46607F16B51CF847C2 + 43914.50ns INFO [00043916] Port=0 RD @02 + 43915.50ns INFO [00043917] * RD COMPARE * port=0 adr=05 act=D77F2CCAF09DBEFB4E exp=D77F2CCAF09DBEFB4E + 43915.50ns INFO [00043917] * RD COMPARE * port=1 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43916.50ns INFO [00043918] * RD COMPARE * port=0 adr=02 act=049498125C30A2CF41 exp=049498125C30A2CF41 + 43917.50ns INFO [00043919] Port=0 RD @05 + 43917.50ns INFO [00043919] Port=1 RD @04 + 43918.50ns INFO [00043920] Port=0 RD @02 + 43919.50ns INFO [00043921] * RD COMPARE * port=0 adr=05 act=D77F2CCAF09DBEFB4E exp=D77F2CCAF09DBEFB4E + 43919.50ns INFO [00043921] * RD COMPARE * port=1 adr=04 act=A968907CDF176382BB exp=A968907CDF176382BB + 43919.50ns INFO [00043921] Port=0 WR @04=4B8BB41D399DDEBD84 + 43919.50ns INFO [00043921] Port=0 RD @02 + 43920.50ns INFO [00043922] * RD COMPARE * port=0 adr=02 act=049498125C30A2CF41 exp=049498125C30A2CF41 + 43920.50ns INFO [00043922] Port=0 WR @00=B869884DFDCEC00A1A + 43920.50ns INFO [00043922] Port=1 RD @04 + 43921.50ns INFO [00043923] * RD COMPARE * port=0 adr=02 act=049498125C30A2CF41 exp=049498125C30A2CF41 + 43921.50ns INFO [00043923] Port=0 WR @05=EFA50E7D9A7461B86D + 43922.50ns INFO [00043924] * RD COMPARE * port=1 adr=04 act=4B8BB41D399DDEBD84 exp=4B8BB41D399DDEBD84 + 43922.50ns INFO [00043924] Port=1 RD @06 + 43923.50ns INFO [00043925] Port=0 RD @07 + 43923.50ns INFO [00043925] Port=1 RD @04 + 43924.50ns INFO [00043926] * RD COMPARE * port=1 adr=06 act=D5B2535FE7BF57314C exp=D5B2535FE7BF57314C + 43924.50ns INFO [00043926] Port=0 WR @02=067F8A2CA1FB631DE9 + 43924.50ns INFO [00043926] Port=1 RD @01 + 43925.50ns INFO [00043927] * RD COMPARE * port=0 adr=07 act=5CB119A9322414EB0B exp=5CB119A9322414EB0B + 43925.50ns INFO [00043927] * RD COMPARE * port=1 adr=04 act=4B8BB41D399DDEBD84 exp=4B8BB41D399DDEBD84 + 43925.50ns INFO [00043927] Port=1 RD @05 + 43926.50ns INFO [00043928] * RD COMPARE * port=1 adr=01 act=7B198A81F3AD65038A exp=7B198A81F3AD65038A + 43926.50ns INFO [00043928] Port=0 RD @03 + 43927.50ns INFO [00043929] * RD COMPARE * port=1 adr=05 act=EFA50E7D9A7461B86D exp=EFA50E7D9A7461B86D + 43927.50ns INFO [00043929] Port=0 WR @02=CD48DD87FC29BA7C88 + 43927.50ns INFO [00043929] Port=1 RD @03 + 43928.50ns INFO [00043930] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43928.50ns INFO [00043930] Port=0 RD @02 + 43928.50ns INFO [00043930] Port=1 RD @03 + 43929.50ns INFO [00043931] * RD COMPARE * port=1 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43929.50ns INFO [00043931] Port=0 WR @06=49ECD0A01474CF1AB8 + 43930.50ns INFO [00043932] * RD COMPARE * port=0 adr=02 act=CD48DD87FC29BA7C88 exp=CD48DD87FC29BA7C88 + 43930.50ns INFO [00043932] * RD COMPARE * port=1 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43930.50ns INFO [00043932] Port=0 WR @02=BA57D6F8EAD7E96D62 + 43930.50ns INFO [00043932] Port=1 RD @04 + 43932.50ns INFO [00043934] * RD COMPARE * port=1 adr=04 act=4B8BB41D399DDEBD84 exp=4B8BB41D399DDEBD84 + 43932.50ns INFO [00043934] Port=0 WR @00=B1BAFA13F616AB27C8 + 43933.50ns INFO [00043935] Port=1 RD @05 + 43935.50ns INFO [00043937] * RD COMPARE * port=1 adr=05 act=EFA50E7D9A7461B86D exp=EFA50E7D9A7461B86D + 43936.50ns INFO [00043938] Port=0 WR @04=2C73E4DC560A6B8322 + 43936.50ns INFO [00043938] Port=0 RD @06 + 43938.50ns INFO [00043940] * RD COMPARE * port=0 adr=06 act=49ECD0A01474CF1AB8 exp=49ECD0A01474CF1AB8 + 43938.50ns INFO [00043940] Port=0 RD @03 + 43939.50ns INFO [00043941] Port=0 RD @06 + 43940.50ns INFO [00043942] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43940.50ns INFO [00043942] Port=0 WR @02=5C9619CA809CF66477 + 43941.50ns INFO [00043943] * RD COMPARE * port=0 adr=06 act=49ECD0A01474CF1AB8 exp=49ECD0A01474CF1AB8 + 43943.50ns INFO [00043945] Port=0 RD @04 + 43943.50ns INFO [00043945] Port=1 RD @02 + 43944.50ns INFO [00043946] Port=0 WR @07=A8EE0CF4C02B226DB3 + 43944.50ns INFO [00043946] Port=0 RD @00 + 43944.50ns INFO [00043946] Port=1 RD @04 + 43945.50ns INFO [00043947] * RD COMPARE * port=0 adr=04 act=2C73E4DC560A6B8322 exp=2C73E4DC560A6B8322 + 43945.50ns INFO [00043947] * RD COMPARE * port=1 adr=02 act=5C9619CA809CF66477 exp=5C9619CA809CF66477 + 43945.50ns INFO [00043947] Port=0 RD @07 + 43945.50ns INFO [00043947] Port=1 RD @00 + 43946.50ns INFO [00043948] * RD COMPARE * port=0 adr=00 act=B1BAFA13F616AB27C8 exp=B1BAFA13F616AB27C8 + 43946.50ns INFO [00043948] * RD COMPARE * port=1 adr=04 act=2C73E4DC560A6B8322 exp=2C73E4DC560A6B8322 + 43947.50ns INFO [00043949] * RD COMPARE * port=0 adr=07 act=A8EE0CF4C02B226DB3 exp=A8EE0CF4C02B226DB3 + 43947.50ns INFO [00043949] * RD COMPARE * port=1 adr=00 act=B1BAFA13F616AB27C8 exp=B1BAFA13F616AB27C8 + 43947.50ns INFO [00043949] Port=0 WR @05=CB59A7EDE5B445036C + 43948.50ns INFO [00043950] Port=0 RD @04 + 43948.50ns INFO [00043950] Port=1 RD @07 + 43949.50ns INFO [00043951] Port=0 RD @02 + 43949.50ns INFO [00043951] Port=1 RD @06 + 43950.50ns INFO [00043952] * RD COMPARE * port=0 adr=04 act=2C73E4DC560A6B8322 exp=2C73E4DC560A6B8322 + 43950.50ns INFO [00043952] * RD COMPARE * port=1 adr=07 act=A8EE0CF4C02B226DB3 exp=A8EE0CF4C02B226DB3 + 43950.50ns INFO [00043952] Port=0 WR @05=75494971786CE2C838 + 43951.50ns INFO [00043953] * RD COMPARE * port=0 adr=02 act=5C9619CA809CF66477 exp=5C9619CA809CF66477 + 43951.50ns INFO [00043953] * RD COMPARE * port=1 adr=06 act=49ECD0A01474CF1AB8 exp=49ECD0A01474CF1AB8 + 43951.50ns INFO [00043953] Port=1 RD @02 + 43952.50ns INFO [00043954] Port=0 WR @06=0B7CBD2A37FB6A6339 + 43952.50ns INFO [00043954] Port=0 RD @01 + 43953.50ns INFO [00043955] * RD COMPARE * port=1 adr=02 act=5C9619CA809CF66477 exp=5C9619CA809CF66477 + 43953.50ns INFO [00043955] Port=0 RD @02 + 43954.50ns INFO [00043956] * RD COMPARE * port=0 adr=01 act=7B198A81F3AD65038A exp=7B198A81F3AD65038A + 43954.50ns INFO [00043956] Port=0 WR @02=7D50D388EB263DBC4B + 43955.50ns INFO [00043957] * RD COMPARE * port=0 adr=02 act=5C9619CA809CF66477 exp=5C9619CA809CF66477 + 43955.50ns INFO [00043957] Port=0 WR @01=7AC552CDC9381D8573 + 43955.50ns INFO [00043957] Port=0 RD @07 + 43956.50ns INFO [00043958] Port=0 RD @06 + 43957.50ns INFO [00043959] * RD COMPARE * port=0 adr=07 act=A8EE0CF4C02B226DB3 exp=A8EE0CF4C02B226DB3 + 43957.50ns INFO [00043959] Port=0 WR @05=225325C8A30B548FB5 + 43958.50ns INFO [00043960] * RD COMPARE * port=0 adr=06 act=0B7CBD2A37FB6A6339 exp=0B7CBD2A37FB6A6339 + 43958.50ns INFO [00043960] Port=0 WR @04=CE893CD4A5BB8F5821 + 43959.50ns INFO [00043961] Port=0 WR @01=A99C5107D8F03396EB + 43960.50ns INFO [00043962] Port=0 RD @03 + 43960.50ns INFO [00043962] Port=1 RD @07 + 43961.50ns INFO [00043963] Port=1 RD @05 + 43962.50ns INFO [00043964] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 43962.50ns INFO [00043964] * RD COMPARE * port=1 adr=07 act=A8EE0CF4C02B226DB3 exp=A8EE0CF4C02B226DB3 + 43962.50ns INFO [00043964] Port=1 RD @04 + 43963.50ns INFO [00043965] * RD COMPARE * port=1 adr=05 act=225325C8A30B548FB5 exp=225325C8A30B548FB5 + 43963.50ns INFO [00043965] Port=0 RD @06 + 43964.50ns INFO [00043966] * RD COMPARE * port=1 adr=04 act=CE893CD4A5BB8F5821 exp=CE893CD4A5BB8F5821 + 43964.50ns INFO [00043966] Port=1 RD @02 + 43965.50ns INFO [00043967] * RD COMPARE * port=0 adr=06 act=0B7CBD2A37FB6A6339 exp=0B7CBD2A37FB6A6339 + 43966.50ns INFO [00043968] * RD COMPARE * port=1 adr=02 act=7D50D388EB263DBC4B exp=7D50D388EB263DBC4B + 43966.50ns INFO [00043968] Port=0 WR @01=AED32264ADAC4506C5 + 43966.50ns INFO [00043968] Port=0 RD @05 + 43966.50ns INFO [00043968] Port=1 RD @05 + 43968.50ns INFO [00043970] * RD COMPARE * port=0 adr=05 act=225325C8A30B548FB5 exp=225325C8A30B548FB5 + 43968.50ns INFO [00043970] * RD COMPARE * port=1 adr=05 act=225325C8A30B548FB5 exp=225325C8A30B548FB5 + 43968.50ns INFO [00043970] Port=0 RD @02 + 43969.50ns INFO [00043971] Port=0 RD @06 + 43969.50ns INFO [00043971] Port=1 RD @07 + 43970.50ns INFO [00043972] * RD COMPARE * port=0 adr=02 act=7D50D388EB263DBC4B exp=7D50D388EB263DBC4B + 43970.50ns INFO [00043972] Port=0 WR @06=34708132CDEE014C52 + 43971.50ns INFO [00043973] * RD COMPARE * port=0 adr=06 act=0B7CBD2A37FB6A6339 exp=0B7CBD2A37FB6A6339 + 43971.50ns INFO [00043973] * RD COMPARE * port=1 adr=07 act=A8EE0CF4C02B226DB3 exp=A8EE0CF4C02B226DB3 + 43971.50ns INFO [00043973] Port=0 RD @01 + 43971.50ns INFO [00043973] Port=1 RD @02 + 43973.50ns INFO [00043975] * RD COMPARE * port=0 adr=01 act=AED32264ADAC4506C5 exp=AED32264ADAC4506C5 + 43973.50ns INFO [00043975] * RD COMPARE * port=1 adr=02 act=7D50D388EB263DBC4B exp=7D50D388EB263DBC4B + 43973.50ns INFO [00043975] Port=0 WR @06=FDDFE82023022C4F94 + 43973.50ns INFO [00043975] Port=1 RD @04 + 43974.50ns INFO [00043976] Port=0 WR @05=B47850AD15CB24ED00 + 43974.50ns INFO [00043976] Port=1 RD @07 + 43975.50ns INFO [00043977] * RD COMPARE * port=1 adr=04 act=CE893CD4A5BB8F5821 exp=CE893CD4A5BB8F5821 + 43975.50ns INFO [00043977] Port=0 WR @06=B24DB357FADCCBFE8E + 43976.50ns INFO [00043978] * RD COMPARE * port=1 adr=07 act=A8EE0CF4C02B226DB3 exp=A8EE0CF4C02B226DB3 + 43976.50ns INFO [00043978] Port=0 WR @06=BB85B982BA1B24BD04 + 43979.50ns INFO [00043981] Port=0 RD @07 + 43980.50ns INFO [00043982] Port=1 RD @01 + 43981.50ns INFO [00043983] * RD COMPARE * port=0 adr=07 act=A8EE0CF4C02B226DB3 exp=A8EE0CF4C02B226DB3 + 43981.50ns INFO [00043983] Port=1 RD @00 + 43982.50ns INFO [00043984] * RD COMPARE * port=1 adr=01 act=AED32264ADAC4506C5 exp=AED32264ADAC4506C5 + 43982.50ns INFO [00043984] Port=1 RD @04 + 43983.50ns INFO [00043985] * RD COMPARE * port=1 adr=00 act=B1BAFA13F616AB27C8 exp=B1BAFA13F616AB27C8 + 43983.50ns INFO [00043985] Port=0 WR @07=D5D83C062FBC9A2997 + 43983.50ns INFO [00043985] Port=1 RD @04 + 43984.50ns INFO [00043986] * RD COMPARE * port=1 adr=04 act=CE893CD4A5BB8F5821 exp=CE893CD4A5BB8F5821 + 43984.50ns INFO [00043986] Port=0 WR @01=0F723A795292129CE1 + 43984.50ns INFO [00043986] Port=0 RD @04 + 43985.50ns INFO [00043987] * RD COMPARE * port=1 adr=04 act=CE893CD4A5BB8F5821 exp=CE893CD4A5BB8F5821 + 43985.50ns INFO [00043987] Port=0 WR @06=ED1EBB71D01C06B795 + 43986.50ns INFO [00043988] * RD COMPARE * port=0 adr=04 act=CE893CD4A5BB8F5821 exp=CE893CD4A5BB8F5821 + 43986.50ns INFO [00043988] Port=0 RD @06 + 43987.50ns INFO [00043989] Port=0 RD @04 + 43988.50ns INFO [00043990] * RD COMPARE * port=0 adr=06 act=ED1EBB71D01C06B795 exp=ED1EBB71D01C06B795 + 43988.50ns INFO [00043990] Port=1 RD @04 + 43989.50ns INFO [00043991] * RD COMPARE * port=0 adr=04 act=CE893CD4A5BB8F5821 exp=CE893CD4A5BB8F5821 + 43989.50ns INFO [00043991] Port=1 RD @05 + 43990.50ns INFO [00043992] * RD COMPARE * port=1 adr=04 act=CE893CD4A5BB8F5821 exp=CE893CD4A5BB8F5821 + 43991.50ns INFO [00043993] * RD COMPARE * port=1 adr=05 act=B47850AD15CB24ED00 exp=B47850AD15CB24ED00 + 43991.50ns INFO [00043993] Port=0 WR @05=39D5E2E2521DCC714F + 43994.50ns INFO [00043996] Port=0 RD @02 + 43994.50ns INFO [00043996] Port=1 RD @01 + 43995.50ns INFO [00043997] Port=0 WR @05=795812ECF746E880EC + 43995.50ns INFO [00043997] Port=0 RD @01 + 43996.50ns INFO [00043998] * RD COMPARE * port=0 adr=02 act=7D50D388EB263DBC4B exp=7D50D388EB263DBC4B + 43996.50ns INFO [00043998] * RD COMPARE * port=1 adr=01 act=0F723A795292129CE1 exp=0F723A795292129CE1 + 43996.50ns INFO [00043998] Port=0 RD @05 + 43997.50ns INFO [00043999] * RD COMPARE * port=0 adr=01 act=0F723A795292129CE1 exp=0F723A795292129CE1 + 43997.50ns INFO [00043999] Port=0 WR @05=1B117DDB7B0D8579A0 + 43997.50ns INFO [00043999] Port=0 RD @01 + 43998.00ns INFO [00044000] [00044000] ...tick... + 43998.50ns INFO [00044000] * RD COMPARE * port=0 adr=05 act=795812ECF746E880EC exp=795812ECF746E880EC + 43998.50ns INFO [00044000] Port=0 WR @01=20253291BE88A0466C + 43998.50ns INFO [00044000] Port=0 RD @07 + 43998.50ns INFO [00044000] Port=1 RD @05 + 43999.50ns INFO [00044001] * RD COMPARE * port=0 adr=01 act=0F723A795292129CE1 exp=0F723A795292129CE1 + 44000.50ns INFO [00044002] * RD COMPARE * port=0 adr=07 act=D5D83C062FBC9A2997 exp=D5D83C062FBC9A2997 + 44000.50ns INFO [00044002] * RD COMPARE * port=1 adr=05 act=1B117DDB7B0D8579A0 exp=1B117DDB7B0D8579A0 + 44001.50ns INFO [00044003] Port=0 WR @05=1FE0235BD2D5065B5D + 44002.50ns INFO [00044004] Port=0 RD @06 + 44002.50ns INFO [00044004] Port=1 RD @01 + 44003.50ns INFO [00044005] Port=0 WR @02=35CDB0B0B4306E943F + 44003.50ns INFO [00044005] Port=0 RD @07 + 44004.50ns INFO [00044006] * RD COMPARE * port=0 adr=06 act=ED1EBB71D01C06B795 exp=ED1EBB71D01C06B795 + 44004.50ns INFO [00044006] * RD COMPARE * port=1 adr=01 act=20253291BE88A0466C exp=20253291BE88A0466C + 44005.50ns INFO [00044007] * RD COMPARE * port=0 adr=07 act=D5D83C062FBC9A2997 exp=D5D83C062FBC9A2997 + 44005.50ns INFO [00044007] Port=0 WR @02=9F984A5F40682119F9 + 44005.50ns INFO [00044007] Port=0 RD @01 + 44006.50ns INFO [00044008] Port=0 WR @07=F823C6579BCD40961C + 44006.50ns INFO [00044008] Port=0 RD @01 + 44007.50ns INFO [00044009] * RD COMPARE * port=0 adr=01 act=20253291BE88A0466C exp=20253291BE88A0466C + 44007.50ns INFO [00044009] Port=0 RD @03 + 44007.50ns INFO [00044009] Port=1 RD @02 + 44008.50ns INFO [00044010] * RD COMPARE * port=0 adr=01 act=20253291BE88A0466C exp=20253291BE88A0466C + 44008.50ns INFO [00044010] Port=0 WR @01=60AE03BD0FB2FACACB + 44008.50ns INFO [00044010] Port=0 RD @07 + 44009.50ns INFO [00044011] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 44009.50ns INFO [00044011] * RD COMPARE * port=1 adr=02 act=9F984A5F40682119F9 exp=9F984A5F40682119F9 + 44009.50ns INFO [00044011] Port=0 RD @07 + 44010.50ns INFO [00044012] * RD COMPARE * port=0 adr=07 act=F823C6579BCD40961C exp=F823C6579BCD40961C + 44011.50ns INFO [00044013] * RD COMPARE * port=0 adr=07 act=F823C6579BCD40961C exp=F823C6579BCD40961C + 44011.50ns INFO [00044013] Port=0 WR @06=C0A40910C5B0B8EF8B + 44011.50ns INFO [00044013] Port=1 RD @03 + 44012.50ns INFO [00044014] Port=0 WR @01=86E63731E70CD71684 + 44012.50ns INFO [00044014] Port=0 RD @06 + 44013.50ns INFO [00044015] * RD COMPARE * port=1 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 44013.50ns INFO [00044015] Port=0 RD @02 + 44014.50ns INFO [00044016] * RD COMPARE * port=0 adr=06 act=C0A40910C5B0B8EF8B exp=C0A40910C5B0B8EF8B + 44014.50ns INFO [00044016] Port=1 RD @00 + 44015.50ns INFO [00044017] * RD COMPARE * port=0 adr=02 act=9F984A5F40682119F9 exp=9F984A5F40682119F9 + 44016.50ns INFO [00044018] * RD COMPARE * port=1 adr=00 act=B1BAFA13F616AB27C8 exp=B1BAFA13F616AB27C8 + 44016.50ns INFO [00044018] Port=0 WR @01=20968ABECEDA54CF6D + 44017.50ns INFO [00044019] Port=1 RD @00 + 44018.50ns INFO [00044020] Port=0 RD @06 + 44018.50ns INFO [00044020] Port=1 RD @07 + 44019.50ns INFO [00044021] * RD COMPARE * port=1 adr=00 act=B1BAFA13F616AB27C8 exp=B1BAFA13F616AB27C8 + 44019.50ns INFO [00044021] Port=1 RD @07 + 44020.50ns INFO [00044022] * RD COMPARE * port=0 adr=06 act=C0A40910C5B0B8EF8B exp=C0A40910C5B0B8EF8B + 44020.50ns INFO [00044022] * RD COMPARE * port=1 adr=07 act=F823C6579BCD40961C exp=F823C6579BCD40961C + 44020.50ns INFO [00044022] Port=0 WR @04=6138F07194697573CF + 44021.50ns INFO [00044023] * RD COMPARE * port=1 adr=07 act=F823C6579BCD40961C exp=F823C6579BCD40961C + 44022.50ns INFO [00044024] Port=0 WR @04=ADDAA3025B93BEAA38 + 44023.50ns INFO [00044025] Port=0 WR @04=873A46E2A5ADB0AEA8 + 44023.50ns INFO [00044025] Port=1 RD @06 + 44024.50ns INFO [00044026] Port=0 RD @02 + 44024.50ns INFO [00044026] Port=1 RD @02 + 44025.50ns INFO [00044027] * RD COMPARE * port=1 adr=06 act=C0A40910C5B0B8EF8B exp=C0A40910C5B0B8EF8B + 44025.50ns INFO [00044027] Port=0 WR @07=A45973F1443E0783B2 + 44026.50ns INFO [00044028] * RD COMPARE * port=0 adr=02 act=9F984A5F40682119F9 exp=9F984A5F40682119F9 + 44026.50ns INFO [00044028] * RD COMPARE * port=1 adr=02 act=9F984A5F40682119F9 exp=9F984A5F40682119F9 + 44026.50ns INFO [00044028] Port=0 RD @03 + 44026.50ns INFO [00044028] Port=1 RD @01 + 44027.50ns INFO [00044029] Port=0 WR @01=1AB1C98E19524C58FA + 44027.50ns INFO [00044029] Port=0 RD @04 + 44028.50ns INFO [00044030] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 44028.50ns INFO [00044030] * RD COMPARE * port=1 adr=01 act=20968ABECEDA54CF6D exp=20968ABECEDA54CF6D + 44028.50ns INFO [00044030] Port=1 RD @00 + 44029.50ns INFO [00044031] * RD COMPARE * port=0 adr=04 act=873A46E2A5ADB0AEA8 exp=873A46E2A5ADB0AEA8 + 44029.50ns INFO [00044031] Port=0 WR @00=6012F460FE76DD437C + 44030.50ns INFO [00044032] * RD COMPARE * port=1 adr=00 act=B1BAFA13F616AB27C8 exp=B1BAFA13F616AB27C8 + 44030.50ns INFO [00044032] Port=0 RD @04 + 44031.50ns INFO [00044033] Port=0 WR @07=ED064452F14C3EB2B8 + 44031.50ns INFO [00044033] Port=0 RD @03 + 44031.50ns INFO [00044033] Port=1 RD @06 + 44032.50ns INFO [00044034] * RD COMPARE * port=0 adr=04 act=873A46E2A5ADB0AEA8 exp=873A46E2A5ADB0AEA8 + 44032.50ns INFO [00044034] Port=0 RD @00 + 44032.50ns INFO [00044034] Port=1 RD @00 + 44033.50ns INFO [00044035] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 44033.50ns INFO [00044035] * RD COMPARE * port=1 adr=06 act=C0A40910C5B0B8EF8B exp=C0A40910C5B0B8EF8B + 44033.50ns INFO [00044035] Port=0 RD @03 + 44033.50ns INFO [00044035] Port=1 RD @04 + 44034.50ns INFO [00044036] * RD COMPARE * port=0 adr=00 act=6012F460FE76DD437C exp=6012F460FE76DD437C + 44034.50ns INFO [00044036] * RD COMPARE * port=1 adr=00 act=6012F460FE76DD437C exp=6012F460FE76DD437C + 44034.50ns INFO [00044036] Port=1 RD @04 + 44035.50ns INFO [00044037] * RD COMPARE * port=0 adr=03 act=6B39EC09EA6331012F exp=6B39EC09EA6331012F + 44035.50ns INFO [00044037] * RD COMPARE * port=1 adr=04 act=873A46E2A5ADB0AEA8 exp=873A46E2A5ADB0AEA8 + 44035.50ns INFO [00044037] Port=0 RD @01 + 44035.50ns INFO [00044037] Port=1 RD @01 + 44036.50ns INFO [00044038] * RD COMPARE * port=1 adr=04 act=873A46E2A5ADB0AEA8 exp=873A46E2A5ADB0AEA8 + 44036.50ns INFO [00044038] Port=0 RD @01 + 44037.50ns INFO [00044039] * RD COMPARE * port=0 adr=01 act=1AB1C98E19524C58FA exp=1AB1C98E19524C58FA + 44037.50ns INFO [00044039] * RD COMPARE * port=1 adr=01 act=1AB1C98E19524C58FA exp=1AB1C98E19524C58FA + 44037.50ns INFO [00044039] Port=0 WR @02=7DB541CAF1B9A09B24 + 44038.50ns INFO [00044040] * RD COMPARE * port=0 adr=01 act=1AB1C98E19524C58FA exp=1AB1C98E19524C58FA + 44038.50ns INFO [00044040] Port=0 RD @01 + 44038.50ns INFO [00044040] Port=1 RD @05 + 44039.50ns INFO [00044041] Port=0 WR @01=703EB60160F977FC3D + 44040.50ns INFO [00044042] * RD COMPARE * port=0 adr=01 act=1AB1C98E19524C58FA exp=1AB1C98E19524C58FA + 44040.50ns INFO [00044042] * RD COMPARE * port=1 adr=05 act=1FE0235BD2D5065B5D exp=1FE0235BD2D5065B5D + 44040.50ns INFO [00044042] Port=1 RD @00 + 44042.50ns INFO [00044044] * RD COMPARE * port=1 adr=00 act=6012F460FE76DD437C exp=6012F460FE76DD437C + 44045.50ns INFO [00044047] Port=1 RD @00 + 44047.50ns INFO [00044049] * RD COMPARE * port=1 adr=00 act=6012F460FE76DD437C exp=6012F460FE76DD437C + 44047.50ns INFO [00044049] Port=0 WR @04=C0F4893408ECC17A5A + 44048.50ns INFO [00044050] Port=0 WR @03=BCCAE8E6FEF5DD4BF8 + 44049.50ns INFO [00044051] Port=0 RD @03 + 44050.50ns INFO [00044052] Port=0 WR @00=E4117A2B858C9650D4 + 44050.50ns INFO [00044052] Port=0 RD @07 + 44050.50ns INFO [00044052] Port=1 RD @01 + 44051.50ns INFO [00044053] * RD COMPARE * port=0 adr=03 act=BCCAE8E6FEF5DD4BF8 exp=BCCAE8E6FEF5DD4BF8 + 44051.50ns INFO [00044053] Port=0 WR @06=CFC0C25324305D7B77 + 44051.50ns INFO [00044053] Port=0 RD @07 + 44051.50ns INFO [00044053] Port=1 RD @05 + 44052.50ns INFO [00044054] * RD COMPARE * port=0 adr=07 act=ED064452F14C3EB2B8 exp=ED064452F14C3EB2B8 + 44052.50ns INFO [00044054] * RD COMPARE * port=1 adr=01 act=703EB60160F977FC3D exp=703EB60160F977FC3D + 44052.50ns INFO [00044054] Port=0 WR @02=8177D4195B4B022828 + 44053.50ns INFO [00044055] * RD COMPARE * port=0 adr=07 act=ED064452F14C3EB2B8 exp=ED064452F14C3EB2B8 + 44053.50ns INFO [00044055] * RD COMPARE * port=1 adr=05 act=1FE0235BD2D5065B5D exp=1FE0235BD2D5065B5D + 44053.50ns INFO [00044055] Port=0 WR @05=4240F421A403552B05 + 44053.50ns INFO [00044055] Port=1 RD @06 + 44054.50ns INFO [00044056] Port=0 WR @00=560C0A5D49C7DE0CC1 + 44055.50ns INFO [00044057] * RD COMPARE * port=1 adr=06 act=CFC0C25324305D7B77 exp=CFC0C25324305D7B77 + 44055.50ns INFO [00044057] Port=0 RD @04 + 44055.50ns INFO [00044057] Port=1 RD @06 + 44056.50ns INFO [00044058] Port=0 WR @02=660E7046D11D3E7BB8 + 44056.50ns INFO [00044058] Port=1 RD @00 + 44057.50ns INFO [00044059] * RD COMPARE * port=0 adr=04 act=C0F4893408ECC17A5A exp=C0F4893408ECC17A5A + 44057.50ns INFO [00044059] * RD COMPARE * port=1 adr=06 act=CFC0C25324305D7B77 exp=CFC0C25324305D7B77 + 44058.50ns INFO [00044060] * RD COMPARE * port=1 adr=00 act=560C0A5D49C7DE0CC1 exp=560C0A5D49C7DE0CC1 + 44058.50ns INFO [00044060] Port=0 WR @04=16408870E440479720 + 44058.50ns INFO [00044060] Port=0 RD @02 + 44058.50ns INFO [00044060] Port=1 RD @02 + 44059.50ns INFO [00044061] Port=0 RD @05 + 44060.50ns INFO [00044062] * RD COMPARE * port=0 adr=02 act=660E7046D11D3E7BB8 exp=660E7046D11D3E7BB8 + 44060.50ns INFO [00044062] * RD COMPARE * port=1 adr=02 act=660E7046D11D3E7BB8 exp=660E7046D11D3E7BB8 + 44061.50ns INFO [00044063] * RD COMPARE * port=0 adr=05 act=4240F421A403552B05 exp=4240F421A403552B05 + 44061.50ns INFO [00044063] Port=0 RD @01 + 44061.50ns INFO [00044063] Port=1 RD @07 + 44062.50ns INFO [00044064] Port=0 RD @00 + 44062.50ns INFO [00044064] Port=1 RD @04 + 44063.50ns INFO [00044065] * RD COMPARE * port=0 adr=01 act=703EB60160F977FC3D exp=703EB60160F977FC3D + 44063.50ns INFO [00044065] * RD COMPARE * port=1 adr=07 act=ED064452F14C3EB2B8 exp=ED064452F14C3EB2B8 + 44063.50ns INFO [00044065] Port=0 WR @03=438AA32C819AF7D65F + 44063.50ns INFO [00044065] Port=1 RD @01 + 44064.50ns INFO [00044066] * RD COMPARE * port=0 adr=00 act=560C0A5D49C7DE0CC1 exp=560C0A5D49C7DE0CC1 + 44064.50ns INFO [00044066] * RD COMPARE * port=1 adr=04 act=16408870E440479720 exp=16408870E440479720 + 44064.50ns INFO [00044066] Port=0 WR @01=A75D1221C56E8DA292 + 44065.50ns INFO [00044067] * RD COMPARE * port=1 adr=01 act=703EB60160F977FC3D exp=703EB60160F977FC3D + 44066.50ns INFO [00044068] Port=0 WR @03=634764ADF0B4D272F4 + 44066.50ns INFO [00044068] Port=0 RD @07 + 44067.50ns INFO [00044069] Port=0 RD @01 + 44067.50ns INFO [00044069] Port=1 RD @04 + 44068.50ns INFO [00044070] * RD COMPARE * port=0 adr=07 act=ED064452F14C3EB2B8 exp=ED064452F14C3EB2B8 + 44069.50ns INFO [00044071] * RD COMPARE * port=0 adr=01 act=A75D1221C56E8DA292 exp=A75D1221C56E8DA292 + 44069.50ns INFO [00044071] * RD COMPARE * port=1 adr=04 act=16408870E440479720 exp=16408870E440479720 + 44070.50ns INFO [00044072] Port=0 WR @02=0E4DB42C84E0C01238 + 44070.50ns INFO [00044072] Port=0 RD @04 + 44071.50ns INFO [00044073] Port=0 WR @04=76527492E5D8078160 + 44071.50ns INFO [00044073] Port=0 RD @06 + 44072.50ns INFO [00044074] * RD COMPARE * port=0 adr=04 act=16408870E440479720 exp=16408870E440479720 + 44072.50ns INFO [00044074] Port=0 WR @04=4CDC618C04248A1624 + 44072.50ns INFO [00044074] Port=0 RD @07 + 44073.50ns INFO [00044075] * RD COMPARE * port=0 adr=06 act=CFC0C25324305D7B77 exp=CFC0C25324305D7B77 + 44073.50ns INFO [00044075] Port=0 RD @01 + 44074.50ns INFO [00044076] * RD COMPARE * port=0 adr=07 act=ED064452F14C3EB2B8 exp=ED064452F14C3EB2B8 + 44074.50ns INFO [00044076] Port=0 WR @01=079CE23F22C0AB4099 + 44074.50ns INFO [00044076] Port=0 RD @00 + 44075.50ns INFO [00044077] * RD COMPARE * port=0 adr=01 act=A75D1221C56E8DA292 exp=A75D1221C56E8DA292 + 44075.50ns INFO [00044077] Port=0 RD @01 + 44076.50ns INFO [00044078] * RD COMPARE * port=0 adr=00 act=560C0A5D49C7DE0CC1 exp=560C0A5D49C7DE0CC1 + 44076.50ns INFO [00044078] Port=0 WR @03=C5102A3AA7988CC5E8 + 44076.50ns INFO [00044078] Port=0 RD @04 + 44077.50ns INFO [00044079] * RD COMPARE * port=0 adr=01 act=079CE23F22C0AB4099 exp=079CE23F22C0AB4099 + 44078.50ns INFO [00044080] * RD COMPARE * port=0 adr=04 act=4CDC618C04248A1624 exp=4CDC618C04248A1624 + 44079.50ns INFO [00044081] Port=0 WR @05=363A6A4EC1FB75857B + 44079.50ns INFO [00044081] Port=1 RD @01 + 44080.50ns INFO [00044082] Port=0 RD @02 + 44081.50ns INFO [00044083] * RD COMPARE * port=1 adr=01 act=079CE23F22C0AB4099 exp=079CE23F22C0AB4099 + 44081.50ns INFO [00044083] Port=0 RD @02 + 44082.50ns INFO [00044084] * RD COMPARE * port=0 adr=02 act=0E4DB42C84E0C01238 exp=0E4DB42C84E0C01238 + 44082.50ns INFO [00044084] Port=0 WR @03=A2542121ADC7D89430 + 44083.50ns INFO [00044085] * RD COMPARE * port=0 adr=02 act=0E4DB42C84E0C01238 exp=0E4DB42C84E0C01238 + 44083.50ns INFO [00044085] Port=0 WR @05=DEC1901DC25BF23C06 + 44083.50ns INFO [00044085] Port=0 RD @07 + 44083.50ns INFO [00044085] Port=1 RD @07 + 44084.50ns INFO [00044086] Port=0 WR @05=85C46DDFECDA56E789 + 44084.50ns INFO [00044086] Port=1 RD @06 + 44085.50ns INFO [00044087] * RD COMPARE * port=0 adr=07 act=ED064452F14C3EB2B8 exp=ED064452F14C3EB2B8 + 44085.50ns INFO [00044087] * RD COMPARE * port=1 adr=07 act=ED064452F14C3EB2B8 exp=ED064452F14C3EB2B8 + 44085.50ns INFO [00044087] Port=0 WR @07=68E168050EE9181A44 + 44085.50ns INFO [00044087] Port=1 RD @01 + 44086.50ns INFO [00044088] * RD COMPARE * port=1 adr=06 act=CFC0C25324305D7B77 exp=CFC0C25324305D7B77 + 44086.50ns INFO [00044088] Port=0 WR @03=9721EED62AF38C99AF + 44087.50ns INFO [00044089] * RD COMPARE * port=1 adr=01 act=079CE23F22C0AB4099 exp=079CE23F22C0AB4099 + 44087.50ns INFO [00044089] Port=0 RD @01 + 44088.50ns INFO [00044090] Port=0 RD @04 + 44088.50ns INFO [00044090] Port=1 RD @05 + 44089.50ns INFO [00044091] * RD COMPARE * port=0 adr=01 act=079CE23F22C0AB4099 exp=079CE23F22C0AB4099 + 44090.50ns INFO [00044092] * RD COMPARE * port=0 adr=04 act=4CDC618C04248A1624 exp=4CDC618C04248A1624 + 44090.50ns INFO [00044092] * RD COMPARE * port=1 adr=05 act=85C46DDFECDA56E789 exp=85C46DDFECDA56E789 + 44091.50ns INFO [00044093] Port=0 WR @00=70344036BCEB23219C + 44091.50ns INFO [00044093] Port=1 RD @04 + 44092.50ns INFO [00044094] Port=0 WR @05=63D437F83F242C5DCC + 44092.50ns INFO [00044094] Port=1 RD @06 + 44093.50ns INFO [00044095] * RD COMPARE * port=1 adr=04 act=4CDC618C04248A1624 exp=4CDC618C04248A1624 + 44093.50ns INFO [00044095] Port=1 RD @01 + 44094.50ns INFO [00044096] * RD COMPARE * port=1 adr=06 act=CFC0C25324305D7B77 exp=CFC0C25324305D7B77 + 44094.50ns INFO [00044096] Port=0 RD @00 + 44094.50ns INFO [00044096] Port=1 RD @07 + 44095.50ns INFO [00044097] * RD COMPARE * port=1 adr=01 act=079CE23F22C0AB4099 exp=079CE23F22C0AB4099 + 44095.50ns INFO [00044097] Port=0 WR @06=52AEF89D7E2BFE4719 + 44095.50ns INFO [00044097] Port=0 RD @04 + 44096.50ns INFO [00044098] * RD COMPARE * port=0 adr=00 act=70344036BCEB23219C exp=70344036BCEB23219C + 44096.50ns INFO [00044098] * RD COMPARE * port=1 adr=07 act=68E168050EE9181A44 exp=68E168050EE9181A44 + 44096.50ns INFO [00044098] Port=0 WR @04=087D60BA1AC99CBAA3 + 44096.50ns INFO [00044098] Port=0 RD @01 + 44097.50ns INFO [00044099] * RD COMPARE * port=0 adr=04 act=4CDC618C04248A1624 exp=4CDC618C04248A1624 + 44098.00ns INFO [00044100] [00044100] ...tick... + 44098.50ns INFO [00044100] * RD COMPARE * port=0 adr=01 act=079CE23F22C0AB4099 exp=079CE23F22C0AB4099 + 44099.50ns INFO [00044101] Port=1 RD @05 + 44101.50ns INFO [00044103] * RD COMPARE * port=1 adr=05 act=63D437F83F242C5DCC exp=63D437F83F242C5DCC + 44101.50ns INFO [00044103] Port=0 WR @00=7DEF1A238C3BD876DE + 44101.50ns INFO [00044103] Port=0 RD @06 + 44102.50ns INFO [00044104] Port=0 WR @06=E94245D055C97421A5 + 44102.50ns INFO [00044104] Port=0 RD @04 + 44103.50ns INFO [00044105] * RD COMPARE * port=0 adr=06 act=52AEF89D7E2BFE4719 exp=52AEF89D7E2BFE4719 + 44103.50ns INFO [00044105] Port=0 RD @00 + 44104.50ns INFO [00044106] * RD COMPARE * port=0 adr=04 act=087D60BA1AC99CBAA3 exp=087D60BA1AC99CBAA3 + 44104.50ns INFO [00044106] Port=0 WR @07=651522C6DB0AC517CB + 44105.50ns INFO [00044107] * RD COMPARE * port=0 adr=00 act=7DEF1A238C3BD876DE exp=7DEF1A238C3BD876DE + 44108.50ns INFO [00044110] Port=1 RD @05 + 44109.50ns INFO [00044111] Port=0 RD @04 + 44110.50ns INFO [00044112] * RD COMPARE * port=1 adr=05 act=63D437F83F242C5DCC exp=63D437F83F242C5DCC + 44111.50ns INFO [00044113] * RD COMPARE * port=0 adr=04 act=087D60BA1AC99CBAA3 exp=087D60BA1AC99CBAA3 + 44112.50ns INFO [00044114] Port=1 RD @01 + 44113.50ns INFO [00044115] Port=0 RD @05 + 44114.50ns INFO [00044116] * RD COMPARE * port=1 adr=01 act=079CE23F22C0AB4099 exp=079CE23F22C0AB4099 + 44114.50ns INFO [00044116] Port=1 RD @05 + 44115.50ns INFO [00044117] * RD COMPARE * port=0 adr=05 act=63D437F83F242C5DCC exp=63D437F83F242C5DCC + 44115.50ns INFO [00044117] Port=0 RD @00 + 44116.50ns INFO [00044118] * RD COMPARE * port=1 adr=05 act=63D437F83F242C5DCC exp=63D437F83F242C5DCC + 44116.50ns INFO [00044118] Port=0 RD @04 + 44116.50ns INFO [00044118] Port=1 RD @06 + 44117.50ns INFO [00044119] * RD COMPARE * port=0 adr=00 act=7DEF1A238C3BD876DE exp=7DEF1A238C3BD876DE + 44118.50ns INFO [00044120] * RD COMPARE * port=0 adr=04 act=087D60BA1AC99CBAA3 exp=087D60BA1AC99CBAA3 + 44118.50ns INFO [00044120] * RD COMPARE * port=1 adr=06 act=E94245D055C97421A5 exp=E94245D055C97421A5 + 44119.50ns INFO [00044121] Port=0 WR @02=4C338B96513A2F37AF + 44120.50ns INFO [00044122] Port=0 RD @06 + 44122.50ns INFO [00044124] * RD COMPARE * port=0 adr=06 act=E94245D055C97421A5 exp=E94245D055C97421A5 + 44122.50ns INFO [00044124] Port=0 WR @05=0E8BBA03D2D1F72048 + 44122.50ns INFO [00044124] Port=1 RD @06 + 44123.50ns INFO [00044125] Port=0 RD @07 + 44123.50ns INFO [00044125] Port=1 RD @05 + 44124.50ns INFO [00044126] * RD COMPARE * port=1 adr=06 act=E94245D055C97421A5 exp=E94245D055C97421A5 + 44125.50ns INFO [00044127] * RD COMPARE * port=0 adr=07 act=651522C6DB0AC517CB exp=651522C6DB0AC517CB + 44125.50ns INFO [00044127] * RD COMPARE * port=1 adr=05 act=0E8BBA03D2D1F72048 exp=0E8BBA03D2D1F72048 + 44125.50ns INFO [00044127] Port=0 WR @01=394FA88954D1C6A6B2 + 44126.50ns INFO [00044128] Port=0 WR @00=44A445440E260FC4B7 + 44126.50ns INFO [00044128] Port=0 RD @02 + 44128.50ns INFO [00044130] * RD COMPARE * port=0 adr=02 act=4C338B96513A2F37AF exp=4C338B96513A2F37AF + 44128.50ns INFO [00044130] Port=0 RD @00 + 44129.50ns INFO [00044131] Port=0 RD @05 + 44130.50ns INFO [00044132] * RD COMPARE * port=0 adr=00 act=44A445440E260FC4B7 exp=44A445440E260FC4B7 + 44130.50ns INFO [00044132] Port=0 WR @05=03AF271055589519CD + 44131.50ns INFO [00044133] * RD COMPARE * port=0 adr=05 act=0E8BBA03D2D1F72048 exp=0E8BBA03D2D1F72048 + 44131.50ns INFO [00044133] Port=0 WR @04=8531F82393757C8090 + 44131.50ns INFO [00044133] Port=1 RD @01 + 44132.50ns INFO [00044134] Port=0 WR @05=1B5238F117163C1BDB + 44132.50ns INFO [00044134] Port=1 RD @04 + 44133.50ns INFO [00044135] * RD COMPARE * port=1 adr=01 act=394FA88954D1C6A6B2 exp=394FA88954D1C6A6B2 + 44133.50ns INFO [00044135] Port=0 WR @01=2B04B7042C511C5193 + 44134.50ns INFO [00044136] * RD COMPARE * port=1 adr=04 act=8531F82393757C8090 exp=8531F82393757C8090 + 44134.50ns INFO [00044136] Port=0 WR @05=E5740F9BE7195CE4E4 + 44134.50ns INFO [00044136] Port=0 RD @03 + 44135.50ns INFO [00044137] Port=1 RD @03 + 44136.50ns INFO [00044138] * RD COMPARE * port=0 adr=03 act=9721EED62AF38C99AF exp=9721EED62AF38C99AF + 44136.50ns INFO [00044138] Port=1 RD @01 + 44137.50ns INFO [00044139] * RD COMPARE * port=1 adr=03 act=9721EED62AF38C99AF exp=9721EED62AF38C99AF + 44137.50ns INFO [00044139] Port=1 RD @04 + 44138.50ns INFO [00044140] * RD COMPARE * port=1 adr=01 act=2B04B7042C511C5193 exp=2B04B7042C511C5193 + 44139.50ns INFO [00044141] * RD COMPARE * port=1 adr=04 act=8531F82393757C8090 exp=8531F82393757C8090 + 44139.50ns INFO [00044141] Port=0 WR @00=DF41A9A88506521FBD + 44139.50ns INFO [00044141] Port=0 RD @04 + 44139.50ns INFO [00044141] Port=1 RD @05 + 44141.50ns INFO [00044143] * RD COMPARE * port=0 adr=04 act=8531F82393757C8090 exp=8531F82393757C8090 + 44141.50ns INFO [00044143] * RD COMPARE * port=1 adr=05 act=E5740F9BE7195CE4E4 exp=E5740F9BE7195CE4E4 + 44142.50ns INFO [00044144] Port=0 WR @06=1D29C889DE933F17D9 + 44143.50ns INFO [00044145] Port=0 RD @02 + 44144.50ns INFO [00044146] Port=1 RD @07 + 44145.50ns INFO [00044147] * RD COMPARE * port=0 adr=02 act=4C338B96513A2F37AF exp=4C338B96513A2F37AF + 44146.50ns INFO [00044148] * RD COMPARE * port=1 adr=07 act=651522C6DB0AC517CB exp=651522C6DB0AC517CB + 44146.50ns INFO [00044148] Port=0 RD @03 + 44146.50ns INFO [00044148] Port=1 RD @01 + 44148.50ns INFO [00044150] * RD COMPARE * port=0 adr=03 act=9721EED62AF38C99AF exp=9721EED62AF38C99AF + 44148.50ns INFO [00044150] * RD COMPARE * port=1 adr=01 act=2B04B7042C511C5193 exp=2B04B7042C511C5193 + 44148.50ns INFO [00044150] Port=0 WR @07=D064F8DF639774EF04 + 44148.50ns INFO [00044150] Port=0 RD @03 + 44149.50ns INFO [00044151] Port=1 RD @06 + 44150.50ns INFO [00044152] * RD COMPARE * port=0 adr=03 act=9721EED62AF38C99AF exp=9721EED62AF38C99AF + 44150.50ns INFO [00044152] Port=0 WR @00=664C128BC1267FCF38 + 44150.50ns INFO [00044152] Port=0 RD @07 + 44151.50ns INFO [00044153] * RD COMPARE * port=1 adr=06 act=1D29C889DE933F17D9 exp=1D29C889DE933F17D9 + 44152.50ns INFO [00044154] * RD COMPARE * port=0 adr=07 act=D064F8DF639774EF04 exp=D064F8DF639774EF04 + 44152.50ns INFO [00044154] Port=1 RD @07 + 44153.50ns INFO [00044155] Port=1 RD @06 + 44154.50ns INFO [00044156] * RD COMPARE * port=1 adr=07 act=D064F8DF639774EF04 exp=D064F8DF639774EF04 + 44155.50ns INFO [00044157] * RD COMPARE * port=1 adr=06 act=1D29C889DE933F17D9 exp=1D29C889DE933F17D9 + 44156.50ns INFO [00044158] Port=0 RD @07 + 44156.50ns INFO [00044158] Port=1 RD @05 + 44157.50ns INFO [00044159] Port=0 WR @02=41FBF7D7F58130DED1 + 44158.50ns INFO [00044160] * RD COMPARE * port=0 adr=07 act=D064F8DF639774EF04 exp=D064F8DF639774EF04 + 44158.50ns INFO [00044160] * RD COMPARE * port=1 adr=05 act=E5740F9BE7195CE4E4 exp=E5740F9BE7195CE4E4 + 44158.50ns INFO [00044160] Port=0 WR @07=62DE3380462EEE9B42 + 44159.50ns INFO [00044161] Port=1 RD @04 + 44160.50ns INFO [00044162] Port=0 RD @00 + 44160.50ns INFO [00044162] Port=1 RD @00 + 44161.50ns INFO [00044163] * RD COMPARE * port=1 adr=04 act=8531F82393757C8090 exp=8531F82393757C8090 + 44161.50ns INFO [00044163] Port=0 WR @05=B163798FA111942D62 + 44161.50ns INFO [00044163] Port=0 RD @03 + 44162.50ns INFO [00044164] * RD COMPARE * port=0 adr=00 act=664C128BC1267FCF38 exp=664C128BC1267FCF38 + 44162.50ns INFO [00044164] * RD COMPARE * port=1 adr=00 act=664C128BC1267FCF38 exp=664C128BC1267FCF38 + 44162.50ns INFO [00044164] Port=0 WR @00=E0E11915CD73DED282 + 44163.50ns INFO [00044165] * RD COMPARE * port=0 adr=03 act=9721EED62AF38C99AF exp=9721EED62AF38C99AF + 44163.50ns INFO [00044165] Port=0 RD @00 + 44164.50ns INFO [00044166] Port=0 RD @03 + 44165.50ns INFO [00044167] * RD COMPARE * port=0 adr=00 act=E0E11915CD73DED282 exp=E0E11915CD73DED282 + 44165.50ns INFO [00044167] Port=0 RD @05 + 44166.50ns INFO [00044168] * RD COMPARE * port=0 adr=03 act=9721EED62AF38C99AF exp=9721EED62AF38C99AF + 44166.50ns INFO [00044168] Port=1 RD @00 + 44167.50ns INFO [00044169] * RD COMPARE * port=0 adr=05 act=B163798FA111942D62 exp=B163798FA111942D62 + 44167.50ns INFO [00044169] Port=0 WR @00=97B936A3506E493AF6 + 44167.50ns INFO [00044169] Port=0 RD @04 + 44168.50ns INFO [00044170] * RD COMPARE * port=1 adr=00 act=E0E11915CD73DED282 exp=E0E11915CD73DED282 + 44168.50ns INFO [00044170] Port=0 RD @05 + 44168.50ns INFO [00044170] Port=1 RD @00 + 44169.50ns INFO [00044171] * RD COMPARE * port=0 adr=04 act=8531F82393757C8090 exp=8531F82393757C8090 + 44169.50ns INFO [00044171] Port=0 RD @01 + 44170.50ns INFO [00044172] * RD COMPARE * port=0 adr=05 act=B163798FA111942D62 exp=B163798FA111942D62 + 44170.50ns INFO [00044172] * RD COMPARE * port=1 adr=00 act=97B936A3506E493AF6 exp=97B936A3506E493AF6 + 44170.50ns INFO [00044172] Port=0 WR @03=9C005227C31C25B644 + 44170.50ns INFO [00044172] Port=0 RD @02 + 44170.50ns INFO [00044172] Port=1 RD @00 + 44171.50ns INFO [00044173] * RD COMPARE * port=0 adr=01 act=2B04B7042C511C5193 exp=2B04B7042C511C5193 + 44171.50ns INFO [00044173] Port=0 RD @07 + 44172.50ns INFO [00044174] * RD COMPARE * port=0 adr=02 act=41FBF7D7F58130DED1 exp=41FBF7D7F58130DED1 + 44172.50ns INFO [00044174] * RD COMPARE * port=1 adr=00 act=97B936A3506E493AF6 exp=97B936A3506E493AF6 + 44173.50ns INFO [00044175] * RD COMPARE * port=0 adr=07 act=62DE3380462EEE9B42 exp=62DE3380462EEE9B42 + 44174.50ns INFO [00044176] Port=0 RD @07 + 44176.50ns INFO [00044178] * RD COMPARE * port=0 adr=07 act=62DE3380462EEE9B42 exp=62DE3380462EEE9B42 + 44176.50ns INFO [00044178] Port=1 RD @03 + 44177.50ns INFO [00044179] Port=0 RD @03 + 44177.50ns INFO [00044179] Port=1 RD @07 + 44178.50ns INFO [00044180] * RD COMPARE * port=1 adr=03 act=9C005227C31C25B644 exp=9C005227C31C25B644 + 44178.50ns INFO [00044180] Port=0 WR @00=DAE2635E5EE8385707 + 44179.50ns INFO [00044181] * RD COMPARE * port=0 adr=03 act=9C005227C31C25B644 exp=9C005227C31C25B644 + 44179.50ns INFO [00044181] * RD COMPARE * port=1 adr=07 act=62DE3380462EEE9B42 exp=62DE3380462EEE9B42 + 44180.50ns INFO [00044182] Port=0 WR @02=9F89DDF42FF08763F2 + 44182.50ns INFO [00044184] Port=0 RD @02 + 44182.50ns INFO [00044184] Port=1 RD @03 + 44183.50ns INFO [00044185] Port=0 WR @00=A69229AEF9CA5BE682 + 44183.50ns INFO [00044185] Port=1 RD @05 + 44184.50ns INFO [00044186] * RD COMPARE * port=0 adr=02 act=9F89DDF42FF08763F2 exp=9F89DDF42FF08763F2 + 44184.50ns INFO [00044186] * RD COMPARE * port=1 adr=03 act=9C005227C31C25B644 exp=9C005227C31C25B644 + 44184.50ns INFO [00044186] Port=0 WR @04=2E012AAB02FB28AE07 + 44184.50ns INFO [00044186] Port=0 RD @07 + 44184.50ns INFO [00044186] Port=1 RD @07 + 44185.50ns INFO [00044187] * RD COMPARE * port=1 adr=05 act=B163798FA111942D62 exp=B163798FA111942D62 + 44185.50ns INFO [00044187] Port=0 RD @07 + 44186.50ns INFO [00044188] * RD COMPARE * port=0 adr=07 act=62DE3380462EEE9B42 exp=62DE3380462EEE9B42 + 44186.50ns INFO [00044188] * RD COMPARE * port=1 adr=07 act=62DE3380462EEE9B42 exp=62DE3380462EEE9B42 + 44187.50ns INFO [00044189] * RD COMPARE * port=0 adr=07 act=62DE3380462EEE9B42 exp=62DE3380462EEE9B42 + 44188.50ns INFO [00044190] Port=0 WR @04=343176D12302E74561 + 44189.50ns INFO [00044191] Port=0 WR @00=597FFA4A4F49DCEC89 + 44189.50ns INFO [00044191] Port=1 RD @02 + 44190.50ns INFO [00044192] Port=0 RD @02 + 44191.50ns INFO [00044193] * RD COMPARE * port=1 adr=02 act=9F89DDF42FF08763F2 exp=9F89DDF42FF08763F2 + 44191.50ns INFO [00044193] Port=0 WR @07=9CEB6FD29C4570F9D1 + 44191.50ns INFO [00044193] Port=0 RD @03 + 44192.50ns INFO [00044194] * RD COMPARE * port=0 adr=02 act=9F89DDF42FF08763F2 exp=9F89DDF42FF08763F2 + 44192.50ns INFO [00044194] Port=1 RD @07 + 44193.50ns INFO [00044195] * RD COMPARE * port=0 adr=03 act=9C005227C31C25B644 exp=9C005227C31C25B644 + 44194.50ns INFO [00044196] * RD COMPARE * port=1 adr=07 act=9CEB6FD29C4570F9D1 exp=9CEB6FD29C4570F9D1 + 44195.50ns INFO [00044197] Port=1 RD @07 + 44196.50ns INFO [00044198] Port=0 WR @02=1493C4A415B86A9258 + 44196.50ns INFO [00044198] Port=0 RD @00 + 44197.50ns INFO [00044199] * RD COMPARE * port=1 adr=07 act=9CEB6FD29C4570F9D1 exp=9CEB6FD29C4570F9D1 + 44197.50ns INFO [00044199] Port=1 RD @05 + 44198.00ns INFO [00044200] [00044200] ...tick... + 44198.50ns INFO [00044200] * RD COMPARE * port=0 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44198.50ns INFO [00044200] Port=0 WR @04=83957609DEBD2F766C + 44198.50ns INFO [00044200] Port=1 RD @02 + 44199.50ns INFO [00044201] * RD COMPARE * port=1 adr=05 act=B163798FA111942D62 exp=B163798FA111942D62 + 44199.50ns INFO [00044201] Port=0 WR @07=F95014A62CBEB75DDD + 44200.50ns INFO [00044202] * RD COMPARE * port=1 adr=02 act=1493C4A415B86A9258 exp=1493C4A415B86A9258 + 44200.50ns INFO [00044202] Port=0 WR @05=E66B64A6148A9262CE + 44200.50ns INFO [00044202] Port=0 RD @03 + 44200.50ns INFO [00044202] Port=1 RD @03 + 44201.50ns INFO [00044203] Port=0 WR @03=045358F0E7DC05A6DC + 44201.50ns INFO [00044203] Port=0 RD @00 + 44202.50ns INFO [00044204] * RD COMPARE * port=0 adr=03 act=9C005227C31C25B644 exp=9C005227C31C25B644 + 44202.50ns INFO [00044204] * RD COMPARE * port=1 adr=03 act=9C005227C31C25B644 exp=9C005227C31C25B644 + 44203.50ns INFO [00044205] * RD COMPARE * port=0 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44203.50ns INFO [00044205] Port=0 WR @06=C857D4C82BFE0011B4 + 44203.50ns INFO [00044205] Port=1 RD @07 + 44204.50ns INFO [00044206] Port=0 WR @05=A9DDC11A2CDD15D527 + 44205.50ns INFO [00044207] * RD COMPARE * port=1 adr=07 act=F95014A62CBEB75DDD exp=F95014A62CBEB75DDD + 44206.50ns INFO [00044208] Port=1 RD @01 + 44207.50ns INFO [00044209] Port=0 RD @06 + 44208.50ns INFO [00044210] * RD COMPARE * port=1 adr=01 act=2B04B7042C511C5193 exp=2B04B7042C511C5193 + 44208.50ns INFO [00044210] Port=0 WR @05=05BEBD1F2C34CA78F3 + 44209.50ns INFO [00044211] * RD COMPARE * port=0 adr=06 act=C857D4C82BFE0011B4 exp=C857D4C82BFE0011B4 + 44209.50ns INFO [00044211] Port=0 WR @05=7D0E7477B33FB866FB + 44210.50ns INFO [00044212] Port=0 RD @05 + 44212.50ns INFO [00044214] * RD COMPARE * port=0 adr=05 act=7D0E7477B33FB866FB exp=7D0E7477B33FB866FB + 44212.50ns INFO [00044214] Port=1 RD @00 + 44213.50ns INFO [00044215] Port=1 RD @05 + 44214.50ns INFO [00044216] * RD COMPARE * port=1 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44215.50ns INFO [00044217] * RD COMPARE * port=1 adr=05 act=7D0E7477B33FB866FB exp=7D0E7477B33FB866FB + 44215.50ns INFO [00044217] Port=0 WR @07=8E1C434846976AD62B + 44216.50ns INFO [00044218] Port=0 WR @02=EBBB3AB00C93C39ED9 + 44216.50ns INFO [00044218] Port=1 RD @00 + 44217.50ns INFO [00044219] Port=1 RD @02 + 44218.50ns INFO [00044220] * RD COMPARE * port=1 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44218.50ns INFO [00044220] Port=1 RD @05 + 44219.50ns INFO [00044221] * RD COMPARE * port=1 adr=02 act=EBBB3AB00C93C39ED9 exp=EBBB3AB00C93C39ED9 + 44219.50ns INFO [00044221] Port=0 RD @01 + 44220.50ns INFO [00044222] * RD COMPARE * port=1 adr=05 act=7D0E7477B33FB866FB exp=7D0E7477B33FB866FB + 44220.50ns INFO [00044222] Port=1 RD @00 + 44221.50ns INFO [00044223] * RD COMPARE * port=0 adr=01 act=2B04B7042C511C5193 exp=2B04B7042C511C5193 + 44221.50ns INFO [00044223] Port=1 RD @01 + 44222.50ns INFO [00044224] * RD COMPARE * port=1 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44222.50ns INFO [00044224] Port=0 WR @05=F99F0AF3ABC009AC88 + 44223.50ns INFO [00044225] * RD COMPARE * port=1 adr=01 act=2B04B7042C511C5193 exp=2B04B7042C511C5193 + 44223.50ns INFO [00044225] Port=0 RD @05 + 44223.50ns INFO [00044225] Port=1 RD @03 + 44224.50ns INFO [00044226] Port=1 RD @02 + 44225.50ns INFO [00044227] * RD COMPARE * port=0 adr=05 act=F99F0AF3ABC009AC88 exp=F99F0AF3ABC009AC88 + 44225.50ns INFO [00044227] * RD COMPARE * port=1 adr=03 act=045358F0E7DC05A6DC exp=045358F0E7DC05A6DC + 44225.50ns INFO [00044227] Port=0 RD @03 + 44225.50ns INFO [00044227] Port=1 RD @02 + 44226.50ns INFO [00044228] * RD COMPARE * port=1 adr=02 act=EBBB3AB00C93C39ED9 exp=EBBB3AB00C93C39ED9 + 44226.50ns INFO [00044228] Port=0 WR @07=4DDAED4CD589F6AD5C + 44226.50ns INFO [00044228] Port=1 RD @00 + 44227.50ns INFO [00044229] * RD COMPARE * port=0 adr=03 act=045358F0E7DC05A6DC exp=045358F0E7DC05A6DC + 44227.50ns INFO [00044229] * RD COMPARE * port=1 adr=02 act=EBBB3AB00C93C39ED9 exp=EBBB3AB00C93C39ED9 + 44227.50ns INFO [00044229] Port=0 RD @04 + 44228.50ns INFO [00044230] * RD COMPARE * port=1 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44228.50ns INFO [00044230] Port=1 RD @00 + 44229.50ns INFO [00044231] * RD COMPARE * port=0 adr=04 act=83957609DEBD2F766C exp=83957609DEBD2F766C + 44229.50ns INFO [00044231] Port=0 RD @06 + 44229.50ns INFO [00044231] Port=1 RD @06 + 44230.50ns INFO [00044232] * RD COMPARE * port=1 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44230.50ns INFO [00044232] Port=0 WR @04=0AD2460132304D038B + 44231.50ns INFO [00044233] * RD COMPARE * port=0 adr=06 act=C857D4C82BFE0011B4 exp=C857D4C82BFE0011B4 + 44231.50ns INFO [00044233] * RD COMPARE * port=1 adr=06 act=C857D4C82BFE0011B4 exp=C857D4C82BFE0011B4 + 44233.50ns INFO [00044235] Port=0 RD @00 + 44235.50ns INFO [00044237] * RD COMPARE * port=0 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44235.50ns INFO [00044237] Port=0 RD @07 + 44236.50ns INFO [00044238] Port=0 WR @04=EAFA301865FD76FD35 + 44236.50ns INFO [00044238] Port=0 RD @01 + 44236.50ns INFO [00044238] Port=1 RD @01 + 44237.50ns INFO [00044239] * RD COMPARE * port=0 adr=07 act=4DDAED4CD589F6AD5C exp=4DDAED4CD589F6AD5C + 44237.50ns INFO [00044239] Port=0 WR @05=C4E5D313543CDD73F4 + 44237.50ns INFO [00044239] Port=1 RD @04 + 44238.50ns INFO [00044240] * RD COMPARE * port=0 adr=01 act=2B04B7042C511C5193 exp=2B04B7042C511C5193 + 44238.50ns INFO [00044240] * RD COMPARE * port=1 adr=01 act=2B04B7042C511C5193 exp=2B04B7042C511C5193 + 44239.50ns INFO [00044241] * RD COMPARE * port=1 adr=04 act=EAFA301865FD76FD35 exp=EAFA301865FD76FD35 + 44239.50ns INFO [00044241] Port=0 RD @02 + 44239.50ns INFO [00044241] Port=1 RD @00 + 44241.50ns INFO [00044243] * RD COMPARE * port=0 adr=02 act=EBBB3AB00C93C39ED9 exp=EBBB3AB00C93C39ED9 + 44241.50ns INFO [00044243] * RD COMPARE * port=1 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44241.50ns INFO [00044243] Port=0 WR @03=8CBBA53F023EAB3192 + 44242.50ns INFO [00044244] Port=0 RD @00 + 44243.50ns INFO [00044245] Port=0 WR @07=AEC5E67A92F0B7FBC9 + 44244.50ns INFO [00044246] * RD COMPARE * port=0 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44245.50ns INFO [00044247] Port=0 WR @01=07871769AD3C11D60D + 44245.50ns INFO [00044247] Port=1 RD @07 + 44246.50ns INFO [00044248] Port=0 RD @00 + 44247.50ns INFO [00044249] * RD COMPARE * port=1 adr=07 act=AEC5E67A92F0B7FBC9 exp=AEC5E67A92F0B7FBC9 + 44248.50ns INFO [00044250] * RD COMPARE * port=0 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44249.50ns INFO [00044251] Port=0 WR @03=59BFBC79317F4142FA + 44249.50ns INFO [00044251] Port=1 RD @02 + 44250.50ns INFO [00044252] Port=1 RD @06 + 44251.50ns INFO [00044253] * RD COMPARE * port=1 adr=02 act=EBBB3AB00C93C39ED9 exp=EBBB3AB00C93C39ED9 + 44251.50ns INFO [00044253] Port=0 WR @01=B80425B1117CA52582 + 44251.50ns INFO [00044253] Port=0 RD @00 + 44252.50ns INFO [00044254] * RD COMPARE * port=1 adr=06 act=C857D4C82BFE0011B4 exp=C857D4C82BFE0011B4 + 44253.50ns INFO [00044255] * RD COMPARE * port=0 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44254.50ns INFO [00044256] Port=0 RD @04 + 44255.50ns INFO [00044257] Port=0 WR @01=7C5551260302D1F0B0 + 44256.50ns INFO [00044258] * RD COMPARE * port=0 adr=04 act=EAFA301865FD76FD35 exp=EAFA301865FD76FD35 + 44257.50ns INFO [00044259] Port=1 RD @00 + 44258.50ns INFO [00044260] Port=0 WR @03=211B0A632C252A32EC + 44258.50ns INFO [00044260] Port=0 RD @00 + 44259.50ns INFO [00044261] * RD COMPARE * port=1 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44259.50ns INFO [00044261] Port=0 RD @07 + 44259.50ns INFO [00044261] Port=1 RD @01 + 44260.50ns INFO [00044262] * RD COMPARE * port=0 adr=00 act=597FFA4A4F49DCEC89 exp=597FFA4A4F49DCEC89 + 44260.50ns INFO [00044262] Port=0 WR @05=30E282AB2285E6D126 + 44261.50ns INFO [00044263] * RD COMPARE * port=0 adr=07 act=AEC5E67A92F0B7FBC9 exp=AEC5E67A92F0B7FBC9 + 44261.50ns INFO [00044263] * RD COMPARE * port=1 adr=01 act=7C5551260302D1F0B0 exp=7C5551260302D1F0B0 + 44261.50ns INFO [00044263] Port=0 WR @06=144F509FA1256B00DF + 44261.50ns INFO [00044263] Port=0 RD @04 + 44262.50ns INFO [00044264] Port=0 WR @01=C9147950844323CBF1 + 44263.50ns INFO [00044265] * RD COMPARE * port=0 adr=04 act=EAFA301865FD76FD35 exp=EAFA301865FD76FD35 + 44263.50ns INFO [00044265] Port=0 WR @00=3AE4D643DCD27F67EA + 44263.50ns INFO [00044265] Port=0 RD @03 + 44264.50ns INFO [00044266] Port=0 RD @03 + 44265.50ns INFO [00044267] * RD COMPARE * port=0 adr=03 act=211B0A632C252A32EC exp=211B0A632C252A32EC + 44265.50ns INFO [00044267] Port=0 WR @06=777B8C697A3155B42F + 44266.50ns INFO [00044268] * RD COMPARE * port=0 adr=03 act=211B0A632C252A32EC exp=211B0A632C252A32EC + 44266.50ns INFO [00044268] Port=1 RD @01 + 44267.50ns INFO [00044269] Port=0 WR @07=683A1AC0C5BBF43DA7 + 44268.50ns INFO [00044270] * RD COMPARE * port=1 adr=01 act=C9147950844323CBF1 exp=C9147950844323CBF1 + 44269.50ns INFO [00044271] Port=0 WR @00=8CEB9BC157088A6357 + 44270.50ns INFO [00044272] Port=0 WR @02=F23C1FAF8B08A20C3B + 44270.50ns INFO [00044272] Port=0 RD @00 + 44271.50ns INFO [00044273] Port=0 RD @04 + 44271.50ns INFO [00044273] Port=1 RD @03 + 44272.50ns INFO [00044274] * RD COMPARE * port=0 adr=00 act=8CEB9BC157088A6357 exp=8CEB9BC157088A6357 + 44272.50ns INFO [00044274] Port=0 WR @03=B142049CDFD8A7E9CF + 44272.50ns INFO [00044274] Port=1 RD @01 + 44273.50ns INFO [00044275] * RD COMPARE * port=0 adr=04 act=EAFA301865FD76FD35 exp=EAFA301865FD76FD35 + 44273.50ns INFO [00044275] * RD COMPARE * port=1 adr=03 act=211B0A632C252A32EC exp=211B0A632C252A32EC + 44273.50ns INFO [00044275] Port=1 RD @00 + 44274.50ns INFO [00044276] * RD COMPARE * port=1 adr=01 act=C9147950844323CBF1 exp=C9147950844323CBF1 + 44274.50ns INFO [00044276] Port=0 WR @05=02A41EE4842F272396 + 44275.50ns INFO [00044277] * RD COMPARE * port=1 adr=00 act=8CEB9BC157088A6357 exp=8CEB9BC157088A6357 + 44275.50ns INFO [00044277] Port=0 WR @01=E65168D753116291B2 + 44276.50ns INFO [00044278] Port=0 RD @00 + 44277.50ns INFO [00044279] Port=0 RD @05 + 44278.50ns INFO [00044280] * RD COMPARE * port=0 adr=00 act=8CEB9BC157088A6357 exp=8CEB9BC157088A6357 + 44278.50ns INFO [00044280] Port=0 WR @02=708E64C1DE6399EB28 + 44279.50ns INFO [00044281] * RD COMPARE * port=0 adr=05 act=02A41EE4842F272396 exp=02A41EE4842F272396 + 44279.50ns INFO [00044281] Port=0 WR @04=29EBCC3D0CAD506D47 + 44280.50ns INFO [00044282] Port=0 WR @06=EA5205A324558DD4BF + 44280.50ns INFO [00044282] Port=0 RD @01 + 44280.50ns INFO [00044282] Port=1 RD @02 + 44282.50ns INFO [00044284] * RD COMPARE * port=0 adr=01 act=E65168D753116291B2 exp=E65168D753116291B2 + 44282.50ns INFO [00044284] * RD COMPARE * port=1 adr=02 act=708E64C1DE6399EB28 exp=708E64C1DE6399EB28 + 44282.50ns INFO [00044284] Port=1 RD @05 + 44283.50ns INFO [00044285] Port=0 WR @04=EE97B3D61027133801 + 44283.50ns INFO [00044285] Port=0 RD @06 + 44283.50ns INFO [00044285] Port=1 RD @00 + 44284.50ns INFO [00044286] * RD COMPARE * port=1 adr=05 act=02A41EE4842F272396 exp=02A41EE4842F272396 + 44284.50ns INFO [00044286] Port=1 RD @03 + 44285.50ns INFO [00044287] * RD COMPARE * port=0 adr=06 act=EA5205A324558DD4BF exp=EA5205A324558DD4BF + 44285.50ns INFO [00044287] * RD COMPARE * port=1 adr=00 act=8CEB9BC157088A6357 exp=8CEB9BC157088A6357 + 44285.50ns INFO [00044287] Port=1 RD @00 + 44286.50ns INFO [00044288] * RD COMPARE * port=1 adr=03 act=B142049CDFD8A7E9CF exp=B142049CDFD8A7E9CF + 44286.50ns INFO [00044288] Port=1 RD @07 + 44287.50ns INFO [00044289] * RD COMPARE * port=1 adr=00 act=8CEB9BC157088A6357 exp=8CEB9BC157088A6357 + 44287.50ns INFO [00044289] Port=0 WR @05=7DDC6E1FBAFBD84E57 + 44288.50ns INFO [00044290] * RD COMPARE * port=1 adr=07 act=683A1AC0C5BBF43DA7 exp=683A1AC0C5BBF43DA7 + 44288.50ns INFO [00044290] Port=0 WR @05=A65BE006A1A06F03F4 + 44288.50ns INFO [00044290] Port=1 RD @02 + 44289.50ns INFO [00044291] Port=0 WR @02=C98C0CDC9938A295C9 + 44289.50ns INFO [00044291] Port=1 RD @00 + 44290.50ns INFO [00044292] * RD COMPARE * port=1 adr=02 act=708E64C1DE6399EB28 exp=708E64C1DE6399EB28 + 44290.50ns INFO [00044292] Port=1 RD @04 + 44291.50ns INFO [00044293] * RD COMPARE * port=1 adr=00 act=8CEB9BC157088A6357 exp=8CEB9BC157088A6357 + 44291.50ns INFO [00044293] Port=0 RD @01 + 44291.50ns INFO [00044293] Port=1 RD @04 + 44292.50ns INFO [00044294] * RD COMPARE * port=1 adr=04 act=EE97B3D61027133801 exp=EE97B3D61027133801 + 44292.50ns INFO [00044294] Port=0 RD @02 + 44292.50ns INFO [00044294] Port=1 RD @04 + 44293.50ns INFO [00044295] * RD COMPARE * port=0 adr=01 act=E65168D753116291B2 exp=E65168D753116291B2 + 44293.50ns INFO [00044295] * RD COMPARE * port=1 adr=04 act=EE97B3D61027133801 exp=EE97B3D61027133801 + 44293.50ns INFO [00044295] Port=0 WR @00=CEF51DBFBE7AF0823F + 44293.50ns INFO [00044295] Port=0 RD @06 + 44293.50ns INFO [00044295] Port=1 RD @03 + 44294.50ns INFO [00044296] * RD COMPARE * port=0 adr=02 act=C98C0CDC9938A295C9 exp=C98C0CDC9938A295C9 + 44294.50ns INFO [00044296] * RD COMPARE * port=1 adr=04 act=EE97B3D61027133801 exp=EE97B3D61027133801 + 44295.50ns INFO [00044297] * RD COMPARE * port=0 adr=06 act=EA5205A324558DD4BF exp=EA5205A324558DD4BF + 44295.50ns INFO [00044297] * RD COMPARE * port=1 adr=03 act=B142049CDFD8A7E9CF exp=B142049CDFD8A7E9CF + 44295.50ns INFO [00044297] Port=0 WR @02=65A8782AB43CC0F115 + 44296.50ns INFO [00044298] Port=1 RD @07 + 44297.50ns INFO [00044299] Port=0 WR @04=825AD8249DF06AE5EF + 44297.50ns INFO [00044299] Port=1 RD @00 + 44298.00ns INFO [00044300] [00044300] ...tick... + 44298.50ns INFO [00044300] * RD COMPARE * port=1 adr=07 act=683A1AC0C5BBF43DA7 exp=683A1AC0C5BBF43DA7 + 44298.50ns INFO [00044300] Port=0 WR @02=3768E6072848DAAF0B + 44299.50ns INFO [00044301] * RD COMPARE * port=1 adr=00 act=CEF51DBFBE7AF0823F exp=CEF51DBFBE7AF0823F + 44299.50ns INFO [00044301] Port=0 WR @04=1E938ACC4A21CDD41A + 44299.50ns INFO [00044301] Port=0 RD @01 + 44300.50ns INFO [00044302] Port=0 RD @02 + 44301.50ns INFO [00044303] * RD COMPARE * port=0 adr=01 act=E65168D753116291B2 exp=E65168D753116291B2 + 44302.50ns INFO [00044304] * RD COMPARE * port=0 adr=02 act=3768E6072848DAAF0B exp=3768E6072848DAAF0B + 44302.50ns INFO [00044304] Port=0 RD @03 + 44303.50ns INFO [00044305] Port=0 WR @05=EE1A7DD6BD434C3F57 + 44304.50ns INFO [00044306] * RD COMPARE * port=0 adr=03 act=B142049CDFD8A7E9CF exp=B142049CDFD8A7E9CF + 44304.50ns INFO [00044306] Port=0 WR @05=7C8749BCFEBD5DE22F + 44304.50ns INFO [00044306] Port=0 RD @02 + 44305.50ns INFO [00044307] Port=0 WR @00=341F5E2B59253A3E3B + 44306.50ns INFO [00044308] * RD COMPARE * port=0 adr=02 act=3768E6072848DAAF0B exp=3768E6072848DAAF0B + 44306.50ns INFO [00044308] Port=0 WR @04=E747637A0792D6B08F + 44306.50ns INFO [00044308] Port=0 RD @06 + 44306.50ns INFO [00044308] Port=1 RD @00 + 44308.50ns INFO [00044310] * RD COMPARE * port=0 adr=06 act=EA5205A324558DD4BF exp=EA5205A324558DD4BF + 44308.50ns INFO [00044310] * RD COMPARE * port=1 adr=00 act=341F5E2B59253A3E3B exp=341F5E2B59253A3E3B + 44308.50ns INFO [00044310] Port=0 WR @00=AFDC7207C5144C31CE + 44309.50ns INFO [00044311] Port=0 RD @01 + 44310.50ns INFO [00044312] Port=0 WR @06=C5782B9F77B5B486A8 + 44310.50ns INFO [00044312] Port=0 RD @01 + 44310.50ns INFO [00044312] Port=1 RD @01 + 44311.50ns INFO [00044313] * RD COMPARE * port=0 adr=01 act=E65168D753116291B2 exp=E65168D753116291B2 + 44311.50ns INFO [00044313] Port=0 RD @04 + 44312.50ns INFO [00044314] * RD COMPARE * port=0 adr=01 act=E65168D753116291B2 exp=E65168D753116291B2 + 44312.50ns INFO [00044314] * RD COMPARE * port=1 adr=01 act=E65168D753116291B2 exp=E65168D753116291B2 + 44312.50ns INFO [00044314] Port=0 WR @02=CC6AD7636358B0DDC2 + 44313.50ns INFO [00044315] * RD COMPARE * port=0 adr=04 act=E747637A0792D6B08F exp=E747637A0792D6B08F + 44313.50ns INFO [00044315] Port=1 RD @05 + 44314.50ns INFO [00044316] Port=0 WR @06=6F2463CDAA4C57B929 + 44314.50ns INFO [00044316] Port=1 RD @01 + 44315.50ns INFO [00044317] * RD COMPARE * port=1 adr=05 act=7C8749BCFEBD5DE22F exp=7C8749BCFEBD5DE22F + 44315.50ns INFO [00044317] Port=1 RD @04 + 44316.50ns INFO [00044318] * RD COMPARE * port=1 adr=01 act=E65168D753116291B2 exp=E65168D753116291B2 + 44316.50ns INFO [00044318] Port=0 RD @05 + 44316.50ns INFO [00044318] Port=1 RD @06 + 44317.50ns INFO [00044319] * RD COMPARE * port=1 adr=04 act=E747637A0792D6B08F exp=E747637A0792D6B08F + 44317.50ns INFO [00044319] Port=0 WR @05=46EB082CEDECA7D820 + 44317.50ns INFO [00044319] Port=1 RD @06 + 44318.50ns INFO [00044320] * RD COMPARE * port=0 adr=05 act=7C8749BCFEBD5DE22F exp=7C8749BCFEBD5DE22F + 44318.50ns INFO [00044320] * RD COMPARE * port=1 adr=06 act=6F2463CDAA4C57B929 exp=6F2463CDAA4C57B929 + 44318.50ns INFO [00044320] Port=0 WR @05=12A3875BD9325C76B3 + 44319.50ns INFO [00044321] * RD COMPARE * port=1 adr=06 act=6F2463CDAA4C57B929 exp=6F2463CDAA4C57B929 + 44319.50ns INFO [00044321] Port=1 RD @06 + 44320.50ns INFO [00044322] Port=1 RD @01 + 44321.50ns INFO [00044323] * RD COMPARE * port=1 adr=06 act=6F2463CDAA4C57B929 exp=6F2463CDAA4C57B929 + 44321.50ns INFO [00044323] Port=0 RD @06 + 44322.50ns INFO [00044324] * RD COMPARE * port=1 adr=01 act=E65168D753116291B2 exp=E65168D753116291B2 + 44322.50ns INFO [00044324] Port=1 RD @03 + 44323.50ns INFO [00044325] * RD COMPARE * port=0 adr=06 act=6F2463CDAA4C57B929 exp=6F2463CDAA4C57B929 + 44323.50ns INFO [00044325] Port=0 WR @02=00A3DB08FB95160985 + 44323.50ns INFO [00044325] Port=1 RD @03 + 44324.50ns INFO [00044326] * RD COMPARE * port=1 adr=03 act=B142049CDFD8A7E9CF exp=B142049CDFD8A7E9CF + 44325.50ns INFO [00044327] * RD COMPARE * port=1 adr=03 act=B142049CDFD8A7E9CF exp=B142049CDFD8A7E9CF + 44325.50ns INFO [00044327] Port=0 WR @07=8123E731DA63C62520 + 44325.50ns INFO [00044327] Port=1 RD @02 + 44326.50ns INFO [00044328] Port=0 RD @07 + 44327.50ns INFO [00044329] * RD COMPARE * port=1 adr=02 act=00A3DB08FB95160985 exp=00A3DB08FB95160985 + 44327.50ns INFO [00044329] Port=0 WR @03=FA40EEBE819B247269 + 44327.50ns INFO [00044329] Port=0 RD @02 + 44327.50ns INFO [00044329] Port=1 RD @02 + 44328.50ns INFO [00044330] * RD COMPARE * port=0 adr=07 act=8123E731DA63C62520 exp=8123E731DA63C62520 + 44328.50ns INFO [00044330] Port=0 RD @00 + 44328.50ns INFO [00044330] Port=1 RD @07 + 44329.50ns INFO [00044331] * RD COMPARE * port=0 adr=02 act=00A3DB08FB95160985 exp=00A3DB08FB95160985 + 44329.50ns INFO [00044331] * RD COMPARE * port=1 adr=02 act=00A3DB08FB95160985 exp=00A3DB08FB95160985 + 44329.50ns INFO [00044331] Port=0 WR @04=B3F600CEDC6F564C81 + 44330.50ns INFO [00044332] * RD COMPARE * port=0 adr=00 act=AFDC7207C5144C31CE exp=AFDC7207C5144C31CE + 44330.50ns INFO [00044332] * RD COMPARE * port=1 adr=07 act=8123E731DA63C62520 exp=8123E731DA63C62520 + 44330.50ns INFO [00044332] Port=1 RD @01 + 44331.50ns INFO [00044333] Port=0 WR @07=274EB01D510B3B8AD2 + 44332.50ns INFO [00044334] * RD COMPARE * port=1 adr=01 act=E65168D753116291B2 exp=E65168D753116291B2 + 44332.50ns INFO [00044334] Port=0 RD @06 + 44332.50ns INFO [00044334] Port=1 RD @04 + 44333.50ns INFO [00044335] Port=0 WR @01=8D9A96B20F97F0DC02 + 44334.50ns INFO [00044336] * RD COMPARE * port=0 adr=06 act=6F2463CDAA4C57B929 exp=6F2463CDAA4C57B929 + 44334.50ns INFO [00044336] * RD COMPARE * port=1 adr=04 act=B3F600CEDC6F564C81 exp=B3F600CEDC6F564C81 + 44334.50ns INFO [00044336] Port=0 RD @04 + 44336.50ns INFO [00044338] * RD COMPARE * port=0 adr=04 act=B3F600CEDC6F564C81 exp=B3F600CEDC6F564C81 + 44336.50ns INFO [00044338] Port=1 RD @02 + 44337.50ns INFO [00044339] Port=0 WR @05=4A76C4C7B6E4C17ED7 + 44337.50ns INFO [00044339] Port=1 RD @01 + 44338.50ns INFO [00044340] * RD COMPARE * port=1 adr=02 act=00A3DB08FB95160985 exp=00A3DB08FB95160985 + 44338.50ns INFO [00044340] Port=0 WR @03=87C69353337696A31B + 44338.50ns INFO [00044340] Port=0 RD @01 + 44338.50ns INFO [00044340] Port=1 RD @07 + 44339.50ns INFO [00044341] * RD COMPARE * port=1 adr=01 act=8D9A96B20F97F0DC02 exp=8D9A96B20F97F0DC02 + 44339.50ns INFO [00044341] Port=0 WR @03=EDE52E1AC2C48DB44F + 44339.50ns INFO [00044341] Port=0 RD @00 + 44340.50ns INFO [00044342] * RD COMPARE * port=0 adr=01 act=8D9A96B20F97F0DC02 exp=8D9A96B20F97F0DC02 + 44340.50ns INFO [00044342] * RD COMPARE * port=1 adr=07 act=274EB01D510B3B8AD2 exp=274EB01D510B3B8AD2 + 44341.50ns INFO [00044343] * RD COMPARE * port=0 adr=00 act=AFDC7207C5144C31CE exp=AFDC7207C5144C31CE + 44341.50ns INFO [00044343] Port=0 WR @06=A8861179334AA04483 + 44341.50ns INFO [00044343] Port=0 RD @05 + 44341.50ns INFO [00044343] Port=1 RD @00 + 44342.50ns INFO [00044344] Port=0 WR @06=0CFEEBD40B555CD005 + 44342.50ns INFO [00044344] Port=0 RD @00 + 44342.50ns INFO [00044344] Port=1 RD @00 + 44343.50ns INFO [00044345] * RD COMPARE * port=0 adr=05 act=4A76C4C7B6E4C17ED7 exp=4A76C4C7B6E4C17ED7 + 44343.50ns INFO [00044345] * RD COMPARE * port=1 adr=00 act=AFDC7207C5144C31CE exp=AFDC7207C5144C31CE + 44344.50ns INFO [00044346] * RD COMPARE * port=0 adr=00 act=AFDC7207C5144C31CE exp=AFDC7207C5144C31CE + 44344.50ns INFO [00044346] * RD COMPARE * port=1 adr=00 act=AFDC7207C5144C31CE exp=AFDC7207C5144C31CE + 44344.50ns INFO [00044346] Port=1 RD @05 + 44345.50ns INFO [00044347] Port=0 RD @06 + 44346.50ns INFO [00044348] * RD COMPARE * port=1 adr=05 act=4A76C4C7B6E4C17ED7 exp=4A76C4C7B6E4C17ED7 + 44346.50ns INFO [00044348] Port=0 WR @06=ED1B8CAE34543167AD + 44346.50ns INFO [00044348] Port=0 RD @04 + 44347.50ns INFO [00044349] * RD COMPARE * port=0 adr=06 act=0CFEEBD40B555CD005 exp=0CFEEBD40B555CD005 + 44348.50ns INFO [00044350] * RD COMPARE * port=0 adr=04 act=B3F600CEDC6F564C81 exp=B3F600CEDC6F564C81 + 44348.50ns INFO [00044350] Port=0 RD @03 + 44348.50ns INFO [00044350] Port=1 RD @00 + 44350.50ns INFO [00044352] * RD COMPARE * port=0 adr=03 act=EDE52E1AC2C48DB44F exp=EDE52E1AC2C48DB44F + 44350.50ns INFO [00044352] * RD COMPARE * port=1 adr=00 act=AFDC7207C5144C31CE exp=AFDC7207C5144C31CE + 44350.50ns INFO [00044352] Port=0 WR @07=8E9731F7E1054FB8FB + 44351.50ns INFO [00044353] Port=0 WR @04=A776745598F6C8E786 + 44351.50ns INFO [00044353] Port=1 RD @02 + 44352.50ns INFO [00044354] Port=0 RD @03 + 44353.50ns INFO [00044355] * RD COMPARE * port=1 adr=02 act=00A3DB08FB95160985 exp=00A3DB08FB95160985 + 44354.50ns INFO [00044356] * RD COMPARE * port=0 adr=03 act=EDE52E1AC2C48DB44F exp=EDE52E1AC2C48DB44F + 44354.50ns INFO [00044356] Port=0 WR @00=2CA059FAAC6D2FB568 + 44354.50ns INFO [00044356] Port=1 RD @06 + 44355.50ns INFO [00044357] Port=0 RD @03 + 44356.50ns INFO [00044358] * RD COMPARE * port=1 adr=06 act=ED1B8CAE34543167AD exp=ED1B8CAE34543167AD + 44356.50ns INFO [00044358] Port=0 RD @02 + 44357.50ns INFO [00044359] * RD COMPARE * port=0 adr=03 act=EDE52E1AC2C48DB44F exp=EDE52E1AC2C48DB44F + 44357.50ns INFO [00044359] Port=0 WR @02=646E6AAD27AB883F16 + 44358.50ns INFO [00044360] * RD COMPARE * port=0 adr=02 act=00A3DB08FB95160985 exp=00A3DB08FB95160985 + 44358.50ns INFO [00044360] Port=1 RD @04 + 44359.50ns INFO [00044361] Port=0 WR @01=DFF0B43B9B2FF46CD6 + 44360.50ns INFO [00044362] * RD COMPARE * port=1 adr=04 act=A776745598F6C8E786 exp=A776745598F6C8E786 + 44360.50ns INFO [00044362] Port=0 WR @03=C46B213CD61C1E7BCB + 44362.50ns INFO [00044364] Port=0 RD @07 + 44362.50ns INFO [00044364] Port=1 RD @05 + 44363.50ns INFO [00044365] Port=1 RD @02 + 44364.50ns INFO [00044366] * RD COMPARE * port=0 adr=07 act=8E9731F7E1054FB8FB exp=8E9731F7E1054FB8FB + 44364.50ns INFO [00044366] * RD COMPARE * port=1 adr=05 act=4A76C4C7B6E4C17ED7 exp=4A76C4C7B6E4C17ED7 + 44364.50ns INFO [00044366] Port=0 RD @03 + 44364.50ns INFO [00044366] Port=1 RD @07 + 44365.50ns INFO [00044367] * RD COMPARE * port=1 adr=02 act=646E6AAD27AB883F16 exp=646E6AAD27AB883F16 + 44365.50ns INFO [00044367] Port=0 WR @07=A9C74E960CCAFA0F98 + 44365.50ns INFO [00044367] Port=0 RD @02 + 44366.50ns INFO [00044368] * RD COMPARE * port=0 adr=03 act=C46B213CD61C1E7BCB exp=C46B213CD61C1E7BCB + 44366.50ns INFO [00044368] * RD COMPARE * port=1 adr=07 act=8E9731F7E1054FB8FB exp=8E9731F7E1054FB8FB + 44367.50ns INFO [00044369] * RD COMPARE * port=0 adr=02 act=646E6AAD27AB883F16 exp=646E6AAD27AB883F16 + 44367.50ns INFO [00044369] Port=0 WR @04=0975F58D9F67925E26 + 44368.50ns INFO [00044370] Port=0 RD @06 + 44368.50ns INFO [00044370] Port=1 RD @05 + 44370.50ns INFO [00044372] * RD COMPARE * port=0 adr=06 act=ED1B8CAE34543167AD exp=ED1B8CAE34543167AD + 44370.50ns INFO [00044372] * RD COMPARE * port=1 adr=05 act=4A76C4C7B6E4C17ED7 exp=4A76C4C7B6E4C17ED7 + 44371.50ns INFO [00044373] Port=1 RD @04 + 44372.50ns INFO [00044374] Port=0 WR @03=04FA6503D0C5F122F7 + 44373.50ns INFO [00044375] * RD COMPARE * port=1 adr=04 act=0975F58D9F67925E26 exp=0975F58D9F67925E26 + 44373.50ns INFO [00044375] Port=0 WR @02=2F8238FEC5889977A4 + 44374.50ns INFO [00044376] Port=1 RD @02 + 44375.50ns INFO [00044377] Port=0 WR @07=EC2DA5E099292710EA + 44376.50ns INFO [00044378] * RD COMPARE * port=1 adr=02 act=2F8238FEC5889977A4 exp=2F8238FEC5889977A4 + 44376.50ns INFO [00044378] Port=0 WR @05=8FF233443238F42EB9 + 44376.50ns INFO [00044378] Port=0 RD @07 + 44376.50ns INFO [00044378] Port=1 RD @01 + 44377.50ns INFO [00044379] Port=0 RD @00 + 44378.50ns INFO [00044380] * RD COMPARE * port=0 adr=07 act=EC2DA5E099292710EA exp=EC2DA5E099292710EA + 44378.50ns INFO [00044380] * RD COMPARE * port=1 adr=01 act=DFF0B43B9B2FF46CD6 exp=DFF0B43B9B2FF46CD6 + 44379.50ns INFO [00044381] * RD COMPARE * port=0 adr=00 act=2CA059FAAC6D2FB568 exp=2CA059FAAC6D2FB568 + 44379.50ns INFO [00044381] Port=0 RD @03 + 44379.50ns INFO [00044381] Port=1 RD @00 + 44380.50ns INFO [00044382] Port=0 WR @00=A8BC6F0F83AC99A540 + 44380.50ns INFO [00044382] Port=1 RD @07 + 44381.50ns INFO [00044383] * RD COMPARE * port=0 adr=03 act=04FA6503D0C5F122F7 exp=04FA6503D0C5F122F7 + 44381.50ns INFO [00044383] * RD COMPARE * port=1 adr=00 act=2CA059FAAC6D2FB568 exp=2CA059FAAC6D2FB568 + 44381.50ns INFO [00044383] Port=0 WR @04=8CBAE78951C68614BA + 44382.50ns INFO [00044384] * RD COMPARE * port=1 adr=07 act=EC2DA5E099292710EA exp=EC2DA5E099292710EA + 44382.50ns INFO [00044384] Port=0 WR @05=38D98D34D63949776B + 44382.50ns INFO [00044384] Port=0 RD @02 + 44383.50ns INFO [00044385] Port=1 RD @03 + 44384.50ns INFO [00044386] * RD COMPARE * port=0 adr=02 act=2F8238FEC5889977A4 exp=2F8238FEC5889977A4 + 44385.50ns INFO [00044387] * RD COMPARE * port=1 adr=03 act=04FA6503D0C5F122F7 exp=04FA6503D0C5F122F7 + 44385.50ns INFO [00044387] Port=1 RD @00 + 44386.50ns INFO [00044388] Port=0 WR @04=C3477BABE20EE68F30 + 44386.50ns INFO [00044388] Port=0 RD @06 + 44386.50ns INFO [00044388] Port=1 RD @02 + 44387.50ns INFO [00044389] * RD COMPARE * port=1 adr=00 act=A8BC6F0F83AC99A540 exp=A8BC6F0F83AC99A540 + 44387.50ns INFO [00044389] Port=0 WR @01=2D46E010579744B6E9 + 44387.50ns INFO [00044389] Port=1 RD @02 + 44388.50ns INFO [00044390] * RD COMPARE * port=0 adr=06 act=ED1B8CAE34543167AD exp=ED1B8CAE34543167AD + 44388.50ns INFO [00044390] * RD COMPARE * port=1 adr=02 act=2F8238FEC5889977A4 exp=2F8238FEC5889977A4 + 44389.50ns INFO [00044391] * RD COMPARE * port=1 adr=02 act=2F8238FEC5889977A4 exp=2F8238FEC5889977A4 + 44389.50ns INFO [00044391] Port=0 WR @01=DB292F6058953CAEC8 + 44389.50ns INFO [00044391] Port=1 RD @04 + 44391.50ns INFO [00044393] * RD COMPARE * port=1 adr=04 act=C3477BABE20EE68F30 exp=C3477BABE20EE68F30 + 44392.50ns INFO [00044394] Port=0 WR @00=4664BA4117A73F0338 + 44394.50ns INFO [00044396] Port=0 RD @03 + 44394.50ns INFO [00044396] Port=1 RD @00 + 44395.50ns INFO [00044397] Port=1 RD @04 + 44396.50ns INFO [00044398] * RD COMPARE * port=0 adr=03 act=04FA6503D0C5F122F7 exp=04FA6503D0C5F122F7 + 44396.50ns INFO [00044398] * RD COMPARE * port=1 adr=00 act=4664BA4117A73F0338 exp=4664BA4117A73F0338 + 44397.50ns INFO [00044399] * RD COMPARE * port=1 adr=04 act=C3477BABE20EE68F30 exp=C3477BABE20EE68F30 + 44397.50ns INFO [00044399] Port=0 WR @03=1868ABFBA136455657 + 44398.00ns INFO [00044400] [00044400] ...tick... + 44398.50ns INFO [00044400] Port=0 WR @07=70EA3BEC5FE22F25DA + 44398.50ns INFO [00044400] Port=1 RD @02 + 44399.50ns INFO [00044401] Port=0 WR @05=95C9A149DA5C6975CF + 44400.50ns INFO [00044402] * RD COMPARE * port=1 adr=02 act=2F8238FEC5889977A4 exp=2F8238FEC5889977A4 + 44400.50ns INFO [00044402] Port=1 RD @06 + 44401.50ns INFO [00044403] Port=0 WR @00=FAC6A1B6887B0907A7 + 44401.50ns INFO [00044403] Port=1 RD @06 + 44402.50ns INFO [00044404] * RD COMPARE * port=1 adr=06 act=ED1B8CAE34543167AD exp=ED1B8CAE34543167AD + 44402.50ns INFO [00044404] Port=0 WR @01=8D3FDF5434DCD5F0E3 + 44402.50ns INFO [00044404] Port=1 RD @02 + 44403.50ns INFO [00044405] * RD COMPARE * port=1 adr=06 act=ED1B8CAE34543167AD exp=ED1B8CAE34543167AD + 44403.50ns INFO [00044405] Port=0 WR @07=8EEA73CD2800708F99 + 44404.50ns INFO [00044406] * RD COMPARE * port=1 adr=02 act=2F8238FEC5889977A4 exp=2F8238FEC5889977A4 + 44404.50ns INFO [00044406] Port=0 WR @02=DC86479248483C80D4 + 44404.50ns INFO [00044406] Port=0 RD @05 + 44405.50ns INFO [00044407] Port=0 WR @04=263BFD917905FA74DE + 44405.50ns INFO [00044407] Port=0 RD @06 + 44406.50ns INFO [00044408] * RD COMPARE * port=0 adr=05 act=95C9A149DA5C6975CF exp=95C9A149DA5C6975CF + 44406.50ns INFO [00044408] Port=0 WR @00=2B2F857841593FEED6 + 44406.50ns INFO [00044408] Port=0 RD @04 + 44406.50ns INFO [00044408] Port=1 RD @01 + 44407.50ns INFO [00044409] * RD COMPARE * port=0 adr=06 act=ED1B8CAE34543167AD exp=ED1B8CAE34543167AD + 44407.50ns INFO [00044409] Port=0 WR @05=5C4DFDA5A50D5B1C28 + 44407.50ns INFO [00044409] Port=0 RD @03 + 44408.50ns INFO [00044410] * RD COMPARE * port=0 adr=04 act=263BFD917905FA74DE exp=263BFD917905FA74DE + 44408.50ns INFO [00044410] * RD COMPARE * port=1 adr=01 act=8D3FDF5434DCD5F0E3 exp=8D3FDF5434DCD5F0E3 + 44409.50ns INFO [00044411] * RD COMPARE * port=0 adr=03 act=1868ABFBA136455657 exp=1868ABFBA136455657 + 44409.50ns INFO [00044411] Port=0 RD @05 + 44409.50ns INFO [00044411] Port=1 RD @06 + 44410.50ns INFO [00044412] Port=0 RD @02 + 44410.50ns INFO [00044412] Port=1 RD @03 + 44411.50ns INFO [00044413] * RD COMPARE * port=0 adr=05 act=5C4DFDA5A50D5B1C28 exp=5C4DFDA5A50D5B1C28 + 44411.50ns INFO [00044413] * RD COMPARE * port=1 adr=06 act=ED1B8CAE34543167AD exp=ED1B8CAE34543167AD + 44411.50ns INFO [00044413] Port=0 WR @05=AFF134362FB4E772E1 + 44412.50ns INFO [00044414] * RD COMPARE * port=0 adr=02 act=DC86479248483C80D4 exp=DC86479248483C80D4 + 44412.50ns INFO [00044414] * RD COMPARE * port=1 adr=03 act=1868ABFBA136455657 exp=1868ABFBA136455657 + 44412.50ns INFO [00044414] Port=1 RD @02 + 44414.50ns INFO [00044416] * RD COMPARE * port=1 adr=02 act=DC86479248483C80D4 exp=DC86479248483C80D4 + 44414.50ns INFO [00044416] Port=0 WR @05=75EA2DB61CE0BBA796 + 44414.50ns INFO [00044416] Port=1 RD @02 + 44416.50ns INFO [00044418] * RD COMPARE * port=1 adr=02 act=DC86479248483C80D4 exp=DC86479248483C80D4 + 44416.50ns INFO [00044418] Port=0 WR @04=A687544258698EA41C + 44417.50ns INFO [00044419] Port=0 RD @06 + 44418.50ns INFO [00044420] Port=0 RD @03 + 44419.50ns INFO [00044421] * RD COMPARE * port=0 adr=06 act=ED1B8CAE34543167AD exp=ED1B8CAE34543167AD + 44419.50ns INFO [00044421] Port=0 WR @03=2573C9D05EC990083F + 44419.50ns INFO [00044421] Port=0 RD @05 + 44420.50ns INFO [00044422] * RD COMPARE * port=0 adr=03 act=1868ABFBA136455657 exp=1868ABFBA136455657 + 44420.50ns INFO [00044422] Port=0 WR @02=11F792CD195B916FBC + 44420.50ns INFO [00044422] Port=1 RD @00 + 44421.50ns INFO [00044423] * RD COMPARE * port=0 adr=05 act=75EA2DB61CE0BBA796 exp=75EA2DB61CE0BBA796 + 44421.50ns INFO [00044423] Port=1 RD @00 + 44422.50ns INFO [00044424] * RD COMPARE * port=1 adr=00 act=2B2F857841593FEED6 exp=2B2F857841593FEED6 + 44422.50ns INFO [00044424] Port=0 RD @03 + 44423.50ns INFO [00044425] * RD COMPARE * port=1 adr=00 act=2B2F857841593FEED6 exp=2B2F857841593FEED6 + 44423.50ns INFO [00044425] Port=0 RD @00 + 44424.50ns INFO [00044426] * RD COMPARE * port=0 adr=03 act=2573C9D05EC990083F exp=2573C9D05EC990083F + 44424.50ns INFO [00044426] Port=0 RD @06 + 44425.50ns INFO [00044427] * RD COMPARE * port=0 adr=00 act=2B2F857841593FEED6 exp=2B2F857841593FEED6 + 44425.50ns INFO [00044427] Port=0 WR @03=325D1E6EE60A2DD518 + 44426.50ns INFO [00044428] * RD COMPARE * port=0 adr=06 act=ED1B8CAE34543167AD exp=ED1B8CAE34543167AD + 44427.50ns INFO [00044429] Port=0 RD @03 + 44427.50ns INFO [00044429] Port=1 RD @05 + 44428.50ns INFO [00044430] Port=0 WR @03=C2678B9C511BE6D36E + 44428.50ns INFO [00044430] Port=0 RD @05 + 44429.50ns INFO [00044431] * RD COMPARE * port=0 adr=03 act=325D1E6EE60A2DD518 exp=325D1E6EE60A2DD518 + 44429.50ns INFO [00044431] * RD COMPARE * port=1 adr=05 act=75EA2DB61CE0BBA796 exp=75EA2DB61CE0BBA796 + 44429.50ns INFO [00044431] Port=1 RD @05 + 44430.50ns INFO [00044432] * RD COMPARE * port=0 adr=05 act=75EA2DB61CE0BBA796 exp=75EA2DB61CE0BBA796 + 44430.50ns INFO [00044432] Port=0 WR @02=B97216D872DBFAF083 + 44430.50ns INFO [00044432] Port=0 RD @07 + 44430.50ns INFO [00044432] Port=1 RD @01 + 44431.50ns INFO [00044433] * RD COMPARE * port=1 adr=05 act=75EA2DB61CE0BBA796 exp=75EA2DB61CE0BBA796 + 44431.50ns INFO [00044433] Port=0 WR @06=74C72AE81F767CE714 + 44432.50ns INFO [00044434] * RD COMPARE * port=0 adr=07 act=8EEA73CD2800708F99 exp=8EEA73CD2800708F99 + 44432.50ns INFO [00044434] * RD COMPARE * port=1 adr=01 act=8D3FDF5434DCD5F0E3 exp=8D3FDF5434DCD5F0E3 + 44432.50ns INFO [00044434] Port=0 WR @03=2F58E99F398829AE71 + 44433.50ns INFO [00044435] Port=0 RD @07 + 44433.50ns INFO [00044435] Port=1 RD @04 + 44434.50ns INFO [00044436] Port=0 WR @07=32D835FEBF608DBBEF + 44435.50ns INFO [00044437] * RD COMPARE * port=0 adr=07 act=8EEA73CD2800708F99 exp=8EEA73CD2800708F99 + 44435.50ns INFO [00044437] * RD COMPARE * port=1 adr=04 act=A687544258698EA41C exp=A687544258698EA41C + 44435.50ns INFO [00044437] Port=0 RD @07 + 44436.50ns INFO [00044438] Port=0 WR @01=129FA482D0F64EE144 + 44437.50ns INFO [00044439] * RD COMPARE * port=0 adr=07 act=32D835FEBF608DBBEF exp=32D835FEBF608DBBEF + 44438.50ns INFO [00044440] Port=0 RD @00 + 44438.50ns INFO [00044440] Port=1 RD @01 + 44440.50ns INFO [00044442] * RD COMPARE * port=0 adr=00 act=2B2F857841593FEED6 exp=2B2F857841593FEED6 + 44440.50ns INFO [00044442] * RD COMPARE * port=1 adr=01 act=129FA482D0F64EE144 exp=129FA482D0F64EE144 + 44440.50ns INFO [00044442] Port=0 RD @06 + 44442.50ns INFO [00044444] * RD COMPARE * port=0 adr=06 act=74C72AE81F767CE714 exp=74C72AE81F767CE714 + 44442.50ns INFO [00044444] Port=0 WR @00=521331777E6F148572 + 44442.50ns INFO [00044444] Port=0 RD @01 + 44442.50ns INFO [00044444] Port=1 RD @07 + 44443.50ns INFO [00044445] Port=0 RD @02 + 44443.50ns INFO [00044445] Port=1 RD @04 + 44444.50ns INFO [00044446] * RD COMPARE * port=0 adr=01 act=129FA482D0F64EE144 exp=129FA482D0F64EE144 + 44444.50ns INFO [00044446] * RD COMPARE * port=1 adr=07 act=32D835FEBF608DBBEF exp=32D835FEBF608DBBEF + 44444.50ns INFO [00044446] Port=0 WR @06=7C4D864D6A65799867 + 44445.50ns INFO [00044447] * RD COMPARE * port=0 adr=02 act=B97216D872DBFAF083 exp=B97216D872DBFAF083 + 44445.50ns INFO [00044447] * RD COMPARE * port=1 adr=04 act=A687544258698EA41C exp=A687544258698EA41C + 44445.50ns INFO [00044447] Port=0 WR @05=0F6F9660846A89F383 + 44445.50ns INFO [00044447] Port=0 RD @07 + 44446.50ns INFO [00044448] Port=0 WR @04=A4225ED6C74888758A + 44447.50ns INFO [00044449] * RD COMPARE * port=0 adr=07 act=32D835FEBF608DBBEF exp=32D835FEBF608DBBEF + 44447.50ns INFO [00044449] Port=0 WR @05=59E2521C83DBD58AC7 + 44447.50ns INFO [00044449] Port=0 RD @04 + 44447.50ns INFO [00044449] Port=1 RD @07 + 44448.50ns INFO [00044450] Port=0 WR @06=0ECDCBA84F2273F4C4 + 44449.50ns INFO [00044451] * RD COMPARE * port=0 adr=04 act=A4225ED6C74888758A exp=A4225ED6C74888758A + 44449.50ns INFO [00044451] * RD COMPARE * port=1 adr=07 act=32D835FEBF608DBBEF exp=32D835FEBF608DBBEF + 44449.50ns INFO [00044451] Port=0 WR @01=41EB991BD0E38548B1 + 44454.50ns INFO [00044456] Port=1 RD @00 + 44455.50ns INFO [00044457] Port=0 RD @04 + 44455.50ns INFO [00044457] Port=1 RD @01 + 44456.50ns INFO [00044458] * RD COMPARE * port=1 adr=00 act=521331777E6F148572 exp=521331777E6F148572 + 44456.50ns INFO [00044458] Port=0 WR @01=8F68E66FF63E0387D5 + 44456.50ns INFO [00044458] Port=0 RD @07 + 44456.50ns INFO [00044458] Port=1 RD @00 + 44457.50ns INFO [00044459] * RD COMPARE * port=0 adr=04 act=A4225ED6C74888758A exp=A4225ED6C74888758A + 44457.50ns INFO [00044459] * RD COMPARE * port=1 adr=01 act=41EB991BD0E38548B1 exp=41EB991BD0E38548B1 + 44457.50ns INFO [00044459] Port=0 RD @04 + 44458.50ns INFO [00044460] * RD COMPARE * port=0 adr=07 act=32D835FEBF608DBBEF exp=32D835FEBF608DBBEF + 44458.50ns INFO [00044460] * RD COMPARE * port=1 adr=00 act=521331777E6F148572 exp=521331777E6F148572 + 44458.50ns INFO [00044460] Port=0 WR @02=B08AB77463ACCBD53B + 44458.50ns INFO [00044460] Port=0 RD @03 + 44459.50ns INFO [00044461] * RD COMPARE * port=0 adr=04 act=A4225ED6C74888758A exp=A4225ED6C74888758A + 44460.50ns INFO [00044462] * RD COMPARE * port=0 adr=03 act=2F58E99F398829AE71 exp=2F58E99F398829AE71 + 44461.50ns INFO [00044463] Port=0 WR @04=36F98D4E85FE8262FD + 44461.50ns INFO [00044463] Port=0 RD @00 + 44461.50ns INFO [00044463] Port=1 RD @03 + 44462.50ns INFO [00044464] Port=0 RD @01 + 44463.50ns INFO [00044465] * RD COMPARE * port=0 adr=00 act=521331777E6F148572 exp=521331777E6F148572 + 44463.50ns INFO [00044465] * RD COMPARE * port=1 adr=03 act=2F58E99F398829AE71 exp=2F58E99F398829AE71 + 44463.50ns INFO [00044465] Port=1 RD @03 + 44464.50ns INFO [00044466] * RD COMPARE * port=0 adr=01 act=8F68E66FF63E0387D5 exp=8F68E66FF63E0387D5 + 44464.50ns INFO [00044466] Port=0 WR @01=DAEBA836E7AC8611BE + 44465.50ns INFO [00044467] * RD COMPARE * port=1 adr=03 act=2F58E99F398829AE71 exp=2F58E99F398829AE71 + 44465.50ns INFO [00044467] Port=0 WR @00=DBC0F92C04F240A208 + 44465.50ns INFO [00044467] Port=1 RD @01 + 44466.50ns INFO [00044468] Port=0 WR @07=44D96F2E38F9C1FE66 + 44466.50ns INFO [00044468] Port=1 RD @06 + 44467.50ns INFO [00044469] * RD COMPARE * port=1 adr=01 act=DAEBA836E7AC8611BE exp=DAEBA836E7AC8611BE + 44467.50ns INFO [00044469] Port=0 RD @03 + 44468.50ns INFO [00044470] * RD COMPARE * port=1 adr=06 act=0ECDCBA84F2273F4C4 exp=0ECDCBA84F2273F4C4 + 44468.50ns INFO [00044470] Port=0 RD @04 + 44469.50ns INFO [00044471] * RD COMPARE * port=0 adr=03 act=2F58E99F398829AE71 exp=2F58E99F398829AE71 + 44470.50ns INFO [00044472] * RD COMPARE * port=0 adr=04 act=36F98D4E85FE8262FD exp=36F98D4E85FE8262FD + 44470.50ns INFO [00044472] Port=0 WR @07=35016803EB57E4BFEE + 44470.50ns INFO [00044472] Port=1 RD @01 + 44471.50ns INFO [00044473] Port=0 WR @01=3A3DB20BB5A00DC5EE + 44472.50ns INFO [00044474] * RD COMPARE * port=1 adr=01 act=DAEBA836E7AC8611BE exp=DAEBA836E7AC8611BE + 44472.50ns INFO [00044474] Port=0 WR @03=D22EA5F4CAA6E065D3 + 44472.50ns INFO [00044474] Port=0 RD @06 + 44473.50ns INFO [00044475] Port=1 RD @00 + 44474.50ns INFO [00044476] * RD COMPARE * port=0 adr=06 act=0ECDCBA84F2273F4C4 exp=0ECDCBA84F2273F4C4 + 44474.50ns INFO [00044476] Port=0 RD @01 + 44474.50ns INFO [00044476] Port=1 RD @02 + 44475.50ns INFO [00044477] * RD COMPARE * port=1 adr=00 act=DBC0F92C04F240A208 exp=DBC0F92C04F240A208 + 44476.50ns INFO [00044478] * RD COMPARE * port=0 adr=01 act=3A3DB20BB5A00DC5EE exp=3A3DB20BB5A00DC5EE + 44476.50ns INFO [00044478] * RD COMPARE * port=1 adr=02 act=B08AB77463ACCBD53B exp=B08AB77463ACCBD53B + 44476.50ns INFO [00044478] Port=1 RD @00 + 44478.50ns INFO [00044480] * RD COMPARE * port=1 adr=00 act=DBC0F92C04F240A208 exp=DBC0F92C04F240A208 + 44478.50ns INFO [00044480] Port=0 WR @05=A5A2C78676E66BBB24 + 44480.50ns INFO [00044482] Port=0 RD @05 + 44482.50ns INFO [00044484] * RD COMPARE * port=0 adr=05 act=A5A2C78676E66BBB24 exp=A5A2C78676E66BBB24 + 44482.50ns INFO [00044484] Port=0 WR @03=802150E3B4A8E85678 + 44482.50ns INFO [00044484] Port=0 RD @07 + 44483.50ns INFO [00044485] Port=0 WR @05=5B2CF993504CA12EE0 + 44483.50ns INFO [00044485] Port=0 RD @03 + 44484.50ns INFO [00044486] * RD COMPARE * port=0 adr=07 act=35016803EB57E4BFEE exp=35016803EB57E4BFEE + 44485.50ns INFO [00044487] * RD COMPARE * port=0 adr=03 act=802150E3B4A8E85678 exp=802150E3B4A8E85678 + 44485.50ns INFO [00044487] Port=1 RD @05 + 44486.50ns INFO [00044488] Port=1 RD @02 + 44487.50ns INFO [00044489] * RD COMPARE * port=1 adr=05 act=5B2CF993504CA12EE0 exp=5B2CF993504CA12EE0 + 44487.50ns INFO [00044489] Port=0 WR @01=C26313DF5C2168555A + 44488.50ns INFO [00044490] * RD COMPARE * port=1 adr=02 act=B08AB77463ACCBD53B exp=B08AB77463ACCBD53B + 44488.50ns INFO [00044490] Port=0 RD @06 + 44489.50ns INFO [00044491] Port=0 WR @03=7732631673FC5BD027 + 44490.50ns INFO [00044492] * RD COMPARE * port=0 adr=06 act=0ECDCBA84F2273F4C4 exp=0ECDCBA84F2273F4C4 + 44490.50ns INFO [00044492] Port=1 RD @03 + 44492.50ns INFO [00044494] * RD COMPARE * port=1 adr=03 act=7732631673FC5BD027 exp=7732631673FC5BD027 + 44492.50ns INFO [00044494] Port=0 WR @02=61462FF14977573B40 + 44493.50ns INFO [00044495] Port=0 WR @07=D6A83CC22E855D8E76 + 44493.50ns INFO [00044495] Port=0 RD @02 + 44494.50ns INFO [00044496] Port=1 RD @07 + 44495.50ns INFO [00044497] * RD COMPARE * port=0 adr=02 act=61462FF14977573B40 exp=61462FF14977573B40 + 44495.50ns INFO [00044497] Port=1 RD @01 + 44496.50ns INFO [00044498] * RD COMPARE * port=1 adr=07 act=D6A83CC22E855D8E76 exp=D6A83CC22E855D8E76 + 44496.50ns INFO [00044498] Port=1 RD @04 + 44497.50ns INFO [00044499] * RD COMPARE * port=1 adr=01 act=C26313DF5C2168555A exp=C26313DF5C2168555A + 44497.50ns INFO [00044499] Port=0 RD @00 + 44498.00ns INFO [00044500] [00044500] ...tick... + 44498.50ns INFO [00044500] * RD COMPARE * port=1 adr=04 act=36F98D4E85FE8262FD exp=36F98D4E85FE8262FD + 44498.50ns INFO [00044500] Port=0 RD @00 + 44499.50ns INFO [00044501] * RD COMPARE * port=0 adr=00 act=DBC0F92C04F240A208 exp=DBC0F92C04F240A208 + 44499.50ns INFO [00044501] Port=0 WR @02=250B28A84DB54835F8 + 44500.50ns INFO [00044502] * RD COMPARE * port=0 adr=00 act=DBC0F92C04F240A208 exp=DBC0F92C04F240A208 + 44500.50ns INFO [00044502] Port=0 WR @03=3A19C40486EEF45135 + 44500.50ns INFO [00044502] Port=0 RD @02 + 44501.50ns INFO [00044503] Port=0 RD @00 + 44501.50ns INFO [00044503] Port=1 RD @01 + 44502.50ns INFO [00044504] * RD COMPARE * port=0 adr=02 act=250B28A84DB54835F8 exp=250B28A84DB54835F8 + 44502.50ns INFO [00044504] Port=1 RD @00 + 44503.50ns INFO [00044505] * RD COMPARE * port=0 adr=00 act=DBC0F92C04F240A208 exp=DBC0F92C04F240A208 + 44503.50ns INFO [00044505] * RD COMPARE * port=1 adr=01 act=C26313DF5C2168555A exp=C26313DF5C2168555A + 44503.50ns INFO [00044505] Port=0 WR @00=5C8CDFE5805E3B63FE + 44503.50ns INFO [00044505] Port=0 RD @04 + 44504.50ns INFO [00044506] * RD COMPARE * port=1 adr=00 act=DBC0F92C04F240A208 exp=DBC0F92C04F240A208 + 44504.50ns INFO [00044506] Port=0 WR @07=8B3DBAAF273BEDA11A + 44505.50ns INFO [00044507] * RD COMPARE * port=0 adr=04 act=36F98D4E85FE8262FD exp=36F98D4E85FE8262FD + 44505.50ns INFO [00044507] Port=0 WR @04=14A7CE91CFA6092F70 + 44507.50ns INFO [00044509] Port=0 RD @06 + 44509.50ns INFO [00044511] * RD COMPARE * port=0 adr=06 act=0ECDCBA84F2273F4C4 exp=0ECDCBA84F2273F4C4 + 44510.50ns INFO [00044512] Port=1 RD @03 + 44511.50ns INFO [00044513] Port=0 WR @05=C80635DD0FE935F517 + 44512.50ns INFO [00044514] * RD COMPARE * port=1 adr=03 act=3A19C40486EEF45135 exp=3A19C40486EEF45135 + 44512.50ns INFO [00044514] Port=0 WR @00=93DDB8B4A9FD5DD6FF + 44512.50ns INFO [00044514] Port=0 RD @07 + 44513.50ns INFO [00044515] Port=0 WR @01=78C37467F85EDD5C0D + 44513.50ns INFO [00044515] Port=1 RD @00 + 44514.50ns INFO [00044516] * RD COMPARE * port=0 adr=07 act=8B3DBAAF273BEDA11A exp=8B3DBAAF273BEDA11A + 44514.50ns INFO [00044516] Port=1 RD @07 + 44515.50ns INFO [00044517] * RD COMPARE * port=1 adr=00 act=93DDB8B4A9FD5DD6FF exp=93DDB8B4A9FD5DD6FF + 44515.50ns INFO [00044517] Port=0 WR @00=72664227AFE526F6AA + 44515.50ns INFO [00044517] Port=1 RD @03 + 44516.50ns INFO [00044518] * RD COMPARE * port=1 adr=07 act=8B3DBAAF273BEDA11A exp=8B3DBAAF273BEDA11A + 44516.50ns INFO [00044518] Port=0 WR @07=6CB80E1813173B8BC5 + 44516.50ns INFO [00044518] Port=1 RD @03 + 44517.50ns INFO [00044519] * RD COMPARE * port=1 adr=03 act=3A19C40486EEF45135 exp=3A19C40486EEF45135 + 44517.50ns INFO [00044519] Port=0 WR @03=14F49AB28A68042518 + 44517.50ns INFO [00044519] Port=0 RD @06 + 44518.50ns INFO [00044520] * RD COMPARE * port=1 adr=03 act=3A19C40486EEF45135 exp=3A19C40486EEF45135 + 44518.50ns INFO [00044520] Port=0 WR @06=760CF859DFCFA7F258 + 44519.50ns INFO [00044521] * RD COMPARE * port=0 adr=06 act=0ECDCBA84F2273F4C4 exp=0ECDCBA84F2273F4C4 + 44519.50ns INFO [00044521] Port=0 WR @04=1B8319497F85D3AFE1 + 44519.50ns INFO [00044521] Port=1 RD @01 + 44520.50ns INFO [00044522] Port=1 RD @07 + 44521.50ns INFO [00044523] * RD COMPARE * port=1 adr=01 act=78C37467F85EDD5C0D exp=78C37467F85EDD5C0D + 44521.50ns INFO [00044523] Port=0 WR @04=1A2C9B3FB83DE1FC3F + 44521.50ns INFO [00044523] Port=1 RD @05 + 44522.50ns INFO [00044524] * RD COMPARE * port=1 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44522.50ns INFO [00044524] Port=0 WR @00=6C8F77ACE22A2AF82C + 44522.50ns INFO [00044524] Port=0 RD @05 + 44522.50ns INFO [00044524] Port=1 RD @03 + 44523.50ns INFO [00044525] * RD COMPARE * port=1 adr=05 act=C80635DD0FE935F517 exp=C80635DD0FE935F517 + 44523.50ns INFO [00044525] Port=0 WR @03=51E334A2D998E6AA31 + 44524.50ns INFO [00044526] * RD COMPARE * port=0 adr=05 act=C80635DD0FE935F517 exp=C80635DD0FE935F517 + 44524.50ns INFO [00044526] * RD COMPARE * port=1 adr=03 act=14F49AB28A68042518 exp=14F49AB28A68042518 + 44524.50ns INFO [00044526] Port=0 WR @03=CCAB6D09BDD0D71645 + 44524.50ns INFO [00044526] Port=0 RD @01 + 44524.50ns INFO [00044526] Port=1 RD @05 + 44525.50ns INFO [00044527] Port=0 WR @02=FC3AC79E99AC28C68A + 44525.50ns INFO [00044527] Port=0 RD @04 + 44525.50ns INFO [00044527] Port=1 RD @01 + 44526.50ns INFO [00044528] * RD COMPARE * port=0 adr=01 act=78C37467F85EDD5C0D exp=78C37467F85EDD5C0D + 44526.50ns INFO [00044528] * RD COMPARE * port=1 adr=05 act=C80635DD0FE935F517 exp=C80635DD0FE935F517 + 44526.50ns INFO [00044528] Port=0 RD @00 + 44526.50ns INFO [00044528] Port=1 RD @02 + 44527.50ns INFO [00044529] * RD COMPARE * port=0 adr=04 act=1A2C9B3FB83DE1FC3F exp=1A2C9B3FB83DE1FC3F + 44527.50ns INFO [00044529] * RD COMPARE * port=1 adr=01 act=78C37467F85EDD5C0D exp=78C37467F85EDD5C0D + 44527.50ns INFO [00044529] Port=0 RD @07 + 44528.50ns INFO [00044530] * RD COMPARE * port=0 adr=00 act=6C8F77ACE22A2AF82C exp=6C8F77ACE22A2AF82C + 44528.50ns INFO [00044530] * RD COMPARE * port=1 adr=02 act=FC3AC79E99AC28C68A exp=FC3AC79E99AC28C68A + 44528.50ns INFO [00044530] Port=0 WR @00=740CF065E198BC123F + 44528.50ns INFO [00044530] Port=1 RD @04 + 44529.50ns INFO [00044531] * RD COMPARE * port=0 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44529.50ns INFO [00044531] Port=0 WR @02=CD2CB20BAA9B89E0B1 + 44530.50ns INFO [00044532] * RD COMPARE * port=1 adr=04 act=1A2C9B3FB83DE1FC3F exp=1A2C9B3FB83DE1FC3F + 44530.50ns INFO [00044532] Port=0 WR @03=33957ECFA18DA18CB1 + 44530.50ns INFO [00044532] Port=0 RD @00 + 44531.50ns INFO [00044533] Port=0 RD @03 + 44531.50ns INFO [00044533] Port=1 RD @02 + 44532.50ns INFO [00044534] * RD COMPARE * port=0 adr=00 act=740CF065E198BC123F exp=740CF065E198BC123F + 44532.50ns INFO [00044534] Port=0 WR @05=E25AAE291EECAD04FF + 44533.50ns INFO [00044535] * RD COMPARE * port=0 adr=03 act=33957ECFA18DA18CB1 exp=33957ECFA18DA18CB1 + 44533.50ns INFO [00044535] * RD COMPARE * port=1 adr=02 act=CD2CB20BAA9B89E0B1 exp=CD2CB20BAA9B89E0B1 + 44533.50ns INFO [00044535] Port=0 WR @01=91480D4F9DD7E16AAF + 44533.50ns INFO [00044535] Port=1 RD @07 + 44534.50ns INFO [00044536] Port=0 WR @05=AC8048233D86217ACE + 44534.50ns INFO [00044536] Port=0 RD @07 + 44535.50ns INFO [00044537] * RD COMPARE * port=1 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44535.50ns INFO [00044537] Port=0 RD @00 + 44536.50ns INFO [00044538] * RD COMPARE * port=0 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44536.50ns INFO [00044538] Port=0 WR @01=30E9D4942E480E0400 + 44536.50ns INFO [00044538] Port=0 RD @07 + 44536.50ns INFO [00044538] Port=1 RD @04 + 44537.50ns INFO [00044539] * RD COMPARE * port=0 adr=00 act=740CF065E198BC123F exp=740CF065E198BC123F + 44538.50ns INFO [00044540] * RD COMPARE * port=0 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44538.50ns INFO [00044540] * RD COMPARE * port=1 adr=04 act=1A2C9B3FB83DE1FC3F exp=1A2C9B3FB83DE1FC3F + 44538.50ns INFO [00044540] Port=0 WR @01=64EB7B21A597AE0563 + 44538.50ns INFO [00044540] Port=0 RD @03 + 44539.50ns INFO [00044541] Port=0 WR @00=32405DF618203AB726 + 44539.50ns INFO [00044541] Port=0 RD @02 + 44540.50ns INFO [00044542] * RD COMPARE * port=0 adr=03 act=33957ECFA18DA18CB1 exp=33957ECFA18DA18CB1 + 44540.50ns INFO [00044542] Port=1 RD @02 + 44541.50ns INFO [00044543] * RD COMPARE * port=0 adr=02 act=CD2CB20BAA9B89E0B1 exp=CD2CB20BAA9B89E0B1 + 44541.50ns INFO [00044543] Port=0 WR @00=3243884721A2F061F6 + 44542.50ns INFO [00044544] * RD COMPARE * port=1 adr=02 act=CD2CB20BAA9B89E0B1 exp=CD2CB20BAA9B89E0B1 + 44542.50ns INFO [00044544] Port=0 WR @03=1F2C1F1664E3A39E13 + 44543.50ns INFO [00044545] Port=0 WR @01=8A9F7D009FE3A90B81 + 44546.50ns INFO [00044548] Port=0 RD @05 + 44546.50ns INFO [00044548] Port=1 RD @02 + 44547.50ns INFO [00044549] Port=0 WR @02=EC97C911ABB0F50DA6 + 44548.50ns INFO [00044550] * RD COMPARE * port=0 adr=05 act=AC8048233D86217ACE exp=AC8048233D86217ACE + 44548.50ns INFO [00044550] * RD COMPARE * port=1 adr=02 act=CD2CB20BAA9B89E0B1 exp=CD2CB20BAA9B89E0B1 + 44548.50ns INFO [00044550] Port=1 RD @04 + 44549.50ns INFO [00044551] Port=0 WR @00=0483BBFDDDEFF5C7D7 + 44549.50ns INFO [00044551] Port=1 RD @05 + 44550.50ns INFO [00044552] * RD COMPARE * port=1 adr=04 act=1A2C9B3FB83DE1FC3F exp=1A2C9B3FB83DE1FC3F + 44550.50ns INFO [00044552] Port=0 WR @03=B039F2EFE3B8098757 + 44550.50ns INFO [00044552] Port=1 RD @01 + 44551.50ns INFO [00044553] * RD COMPARE * port=1 adr=05 act=AC8048233D86217ACE exp=AC8048233D86217ACE + 44551.50ns INFO [00044553] Port=0 RD @01 + 44552.50ns INFO [00044554] * RD COMPARE * port=1 adr=01 act=8A9F7D009FE3A90B81 exp=8A9F7D009FE3A90B81 + 44552.50ns INFO [00044554] Port=0 RD @02 + 44553.50ns INFO [00044555] * RD COMPARE * port=0 adr=01 act=8A9F7D009FE3A90B81 exp=8A9F7D009FE3A90B81 + 44553.50ns INFO [00044555] Port=0 RD @07 + 44554.50ns INFO [00044556] * RD COMPARE * port=0 adr=02 act=EC97C911ABB0F50DA6 exp=EC97C911ABB0F50DA6 + 44554.50ns INFO [00044556] Port=0 WR @02=4EA2CC0BFA6758A1C2 + 44555.50ns INFO [00044557] * RD COMPARE * port=0 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44555.50ns INFO [00044557] Port=1 RD @07 + 44557.50ns INFO [00044559] * RD COMPARE * port=1 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44558.50ns INFO [00044560] Port=0 WR @05=D10347E06A0D0A722A + 44558.50ns INFO [00044560] Port=0 RD @07 + 44558.50ns INFO [00044560] Port=1 RD @01 + 44559.50ns INFO [00044561] Port=0 WR @03=50B1DEC6B64D6E02F0 + 44559.50ns INFO [00044561] Port=0 RD @02 + 44560.50ns INFO [00044562] * RD COMPARE * port=0 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44560.50ns INFO [00044562] * RD COMPARE * port=1 adr=01 act=8A9F7D009FE3A90B81 exp=8A9F7D009FE3A90B81 + 44560.50ns INFO [00044562] Port=0 WR @06=8775B291A0BF1B4992 + 44561.50ns INFO [00044563] * RD COMPARE * port=0 adr=02 act=4EA2CC0BFA6758A1C2 exp=4EA2CC0BFA6758A1C2 + 44561.50ns INFO [00044563] Port=0 RD @01 + 44562.50ns INFO [00044564] Port=0 WR @05=738856B544214B9EEF + 44562.50ns INFO [00044564] Port=0 RD @04 + 44562.50ns INFO [00044564] Port=1 RD @01 + 44563.50ns INFO [00044565] * RD COMPARE * port=0 adr=01 act=8A9F7D009FE3A90B81 exp=8A9F7D009FE3A90B81 + 44564.50ns INFO [00044566] * RD COMPARE * port=0 adr=04 act=1A2C9B3FB83DE1FC3F exp=1A2C9B3FB83DE1FC3F + 44564.50ns INFO [00044566] * RD COMPARE * port=1 adr=01 act=8A9F7D009FE3A90B81 exp=8A9F7D009FE3A90B81 + 44565.50ns INFO [00044567] Port=0 WR @05=8BB4D7412DF8CB44AC + 44566.50ns INFO [00044568] Port=0 RD @01 + 44566.50ns INFO [00044568] Port=1 RD @00 + 44568.50ns INFO [00044570] * RD COMPARE * port=0 adr=01 act=8A9F7D009FE3A90B81 exp=8A9F7D009FE3A90B81 + 44568.50ns INFO [00044570] * RD COMPARE * port=1 adr=00 act=0483BBFDDDEFF5C7D7 exp=0483BBFDDDEFF5C7D7 + 44569.50ns INFO [00044571] Port=0 RD @05 + 44570.50ns INFO [00044572] Port=0 RD @06 + 44570.50ns INFO [00044572] Port=1 RD @00 + 44571.50ns INFO [00044573] * RD COMPARE * port=0 adr=05 act=8BB4D7412DF8CB44AC exp=8BB4D7412DF8CB44AC + 44571.50ns INFO [00044573] Port=1 RD @00 + 44572.50ns INFO [00044574] * RD COMPARE * port=0 adr=06 act=8775B291A0BF1B4992 exp=8775B291A0BF1B4992 + 44572.50ns INFO [00044574] * RD COMPARE * port=1 adr=00 act=0483BBFDDDEFF5C7D7 exp=0483BBFDDDEFF5C7D7 + 44572.50ns INFO [00044574] Port=0 WR @03=7DBA5E4754864FE3F7 + 44572.50ns INFO [00044574] Port=0 RD @07 + 44572.50ns INFO [00044574] Port=1 RD @02 + 44573.50ns INFO [00044575] * RD COMPARE * port=1 adr=00 act=0483BBFDDDEFF5C7D7 exp=0483BBFDDDEFF5C7D7 + 44573.50ns INFO [00044575] Port=0 WR @02=39914E1C7E42DAFC2B + 44573.50ns INFO [00044575] Port=0 RD @06 + 44574.50ns INFO [00044576] * RD COMPARE * port=0 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44574.50ns INFO [00044576] * RD COMPARE * port=1 adr=02 act=4EA2CC0BFA6758A1C2 exp=4EA2CC0BFA6758A1C2 + 44574.50ns INFO [00044576] Port=0 WR @02=9BE328DB28503F1180 + 44575.50ns INFO [00044577] * RD COMPARE * port=0 adr=06 act=8775B291A0BF1B4992 exp=8775B291A0BF1B4992 + 44576.50ns INFO [00044578] Port=1 RD @01 + 44577.50ns INFO [00044579] Port=0 WR @03=A6B2D84119D4430AE9 + 44577.50ns INFO [00044579] Port=1 RD @06 + 44578.50ns INFO [00044580] * RD COMPARE * port=1 adr=01 act=8A9F7D009FE3A90B81 exp=8A9F7D009FE3A90B81 + 44579.50ns INFO [00044581] * RD COMPARE * port=1 adr=06 act=8775B291A0BF1B4992 exp=8775B291A0BF1B4992 + 44579.50ns INFO [00044581] Port=0 RD @06 + 44579.50ns INFO [00044581] Port=1 RD @03 + 44581.50ns INFO [00044583] * RD COMPARE * port=0 adr=06 act=8775B291A0BF1B4992 exp=8775B291A0BF1B4992 + 44581.50ns INFO [00044583] * RD COMPARE * port=1 adr=03 act=A6B2D84119D4430AE9 exp=A6B2D84119D4430AE9 + 44581.50ns INFO [00044583] Port=0 RD @06 + 44581.50ns INFO [00044583] Port=1 RD @01 + 44583.50ns INFO [00044585] * RD COMPARE * port=0 adr=06 act=8775B291A0BF1B4992 exp=8775B291A0BF1B4992 + 44583.50ns INFO [00044585] * RD COMPARE * port=1 adr=01 act=8A9F7D009FE3A90B81 exp=8A9F7D009FE3A90B81 + 44583.50ns INFO [00044585] Port=1 RD @04 + 44584.50ns INFO [00044586] Port=0 WR @06=20D38E2D547AFC092C + 44585.50ns INFO [00044587] * RD COMPARE * port=1 adr=04 act=1A2C9B3FB83DE1FC3F exp=1A2C9B3FB83DE1FC3F + 44585.50ns INFO [00044587] Port=0 WR @01=D91207BBC4B72F13A8 + 44586.50ns INFO [00044588] Port=1 RD @07 + 44587.50ns INFO [00044589] Port=1 RD @07 + 44588.50ns INFO [00044590] * RD COMPARE * port=1 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44588.50ns INFO [00044590] Port=1 RD @02 + 44589.50ns INFO [00044591] * RD COMPARE * port=1 adr=07 act=6CB80E1813173B8BC5 exp=6CB80E1813173B8BC5 + 44590.50ns INFO [00044592] * RD COMPARE * port=1 adr=02 act=9BE328DB28503F1180 exp=9BE328DB28503F1180 + 44590.50ns INFO [00044592] Port=0 WR @03=56CAEF3262B35CECE1 + 44591.50ns INFO [00044593] Port=0 WR @07=E2430FDF05DC2B3D02 + 44591.50ns INFO [00044593] Port=1 RD @02 + 44593.50ns INFO [00044595] * RD COMPARE * port=1 adr=02 act=9BE328DB28503F1180 exp=9BE328DB28503F1180 + 44593.50ns INFO [00044595] Port=0 WR @06=73562D0CECA56BC594 + 44593.50ns INFO [00044595] Port=0 RD @07 + 44593.50ns INFO [00044595] Port=1 RD @01 + 44594.50ns INFO [00044596] Port=0 WR @04=7C6A28C28353FB955C + 44594.50ns INFO [00044596] Port=0 RD @02 + 44595.50ns INFO [00044597] * RD COMPARE * port=0 adr=07 act=E2430FDF05DC2B3D02 exp=E2430FDF05DC2B3D02 + 44595.50ns INFO [00044597] * RD COMPARE * port=1 adr=01 act=D91207BBC4B72F13A8 exp=D91207BBC4B72F13A8 + 44595.50ns INFO [00044597] Port=0 RD @02 + 44596.50ns INFO [00044598] * RD COMPARE * port=0 adr=02 act=9BE328DB28503F1180 exp=9BE328DB28503F1180 + 44596.50ns INFO [00044598] Port=0 RD @03 + 44597.50ns INFO [00044599] * RD COMPARE * port=0 adr=02 act=9BE328DB28503F1180 exp=9BE328DB28503F1180 + 44597.50ns INFO [00044599] Port=0 WR @06=5B2372A3FA77F8CB43 + 44597.50ns INFO [00044599] Port=1 RD @03 + 44598.00ns INFO [00044600] [00044600] ...tick... + 44598.50ns INFO [00044600] * RD COMPARE * port=0 adr=03 act=56CAEF3262B35CECE1 exp=56CAEF3262B35CECE1 + 44598.50ns INFO [00044600] Port=0 WR @07=4CB96735E1F6EB9C20 + 44598.50ns INFO [00044600] Port=1 RD @00 + 44599.50ns INFO [00044601] * RD COMPARE * port=1 adr=03 act=56CAEF3262B35CECE1 exp=56CAEF3262B35CECE1 + 44599.50ns INFO [00044601] Port=0 WR @02=507C520D9607C19F09 + 44600.50ns INFO [00044602] * RD COMPARE * port=1 adr=00 act=0483BBFDDDEFF5C7D7 exp=0483BBFDDDEFF5C7D7 + 44601.50ns INFO [00044603] Port=0 WR @01=9E4F04429D4571A758 + 44602.50ns INFO [00044604] Port=0 RD @00 + 44602.50ns INFO [00044604] Port=1 RD @02 + 44604.50ns INFO [00044606] * RD COMPARE * port=0 adr=00 act=0483BBFDDDEFF5C7D7 exp=0483BBFDDDEFF5C7D7 + 44604.50ns INFO [00044606] * RD COMPARE * port=1 adr=02 act=507C520D9607C19F09 exp=507C520D9607C19F09 + 44604.50ns INFO [00044606] Port=0 WR @03=CC361FB939FB232CC3 + 44604.50ns INFO [00044606] Port=0 RD @04 + 44604.50ns INFO [00044606] Port=1 RD @05 + 44606.50ns INFO [00044608] * RD COMPARE * port=0 adr=04 act=7C6A28C28353FB955C exp=7C6A28C28353FB955C + 44606.50ns INFO [00044608] * RD COMPARE * port=1 adr=05 act=8BB4D7412DF8CB44AC exp=8BB4D7412DF8CB44AC + 44606.50ns INFO [00044608] Port=0 WR @06=94C47B1A4E005ECFF4 + 44607.50ns INFO [00044609] Port=1 RD @02 + 44608.50ns INFO [00044610] Port=0 WR @04=852FE590F9AAED11AB + 44609.50ns INFO [00044611] * RD COMPARE * port=1 adr=02 act=507C520D9607C19F09 exp=507C520D9607C19F09 + 44609.50ns INFO [00044611] Port=1 RD @00 + 44610.50ns INFO [00044612] Port=0 RD @06 + 44611.50ns INFO [00044613] * RD COMPARE * port=1 adr=00 act=0483BBFDDDEFF5C7D7 exp=0483BBFDDDEFF5C7D7 + 44611.50ns INFO [00044613] Port=0 RD @07 + 44611.50ns INFO [00044613] Port=1 RD @01 + 44612.50ns INFO [00044614] * RD COMPARE * port=0 adr=06 act=94C47B1A4E005ECFF4 exp=94C47B1A4E005ECFF4 + 44612.50ns INFO [00044614] Port=1 RD @00 + 44613.50ns INFO [00044615] * RD COMPARE * port=0 adr=07 act=4CB96735E1F6EB9C20 exp=4CB96735E1F6EB9C20 + 44613.50ns INFO [00044615] * RD COMPARE * port=1 adr=01 act=9E4F04429D4571A758 exp=9E4F04429D4571A758 + 44613.50ns INFO [00044615] Port=1 RD @01 + 44614.50ns INFO [00044616] * RD COMPARE * port=1 adr=00 act=0483BBFDDDEFF5C7D7 exp=0483BBFDDDEFF5C7D7 + 44614.50ns INFO [00044616] Port=0 WR @05=B9533CB6DB7481257B + 44615.50ns INFO [00044617] * RD COMPARE * port=1 adr=01 act=9E4F04429D4571A758 exp=9E4F04429D4571A758 + 44615.50ns INFO [00044617] Port=0 RD @01 + 44616.50ns INFO [00044618] Port=0 WR @06=38BD3860C84EDA9F25 + 44616.50ns INFO [00044618] Port=0 RD @07 + 44617.50ns INFO [00044619] * RD COMPARE * port=0 adr=01 act=9E4F04429D4571A758 exp=9E4F04429D4571A758 + 44617.50ns INFO [00044619] Port=0 RD @02 + 44617.50ns INFO [00044619] Port=1 RD @05 + 44618.50ns INFO [00044620] * RD COMPARE * port=0 adr=07 act=4CB96735E1F6EB9C20 exp=4CB96735E1F6EB9C20 + 44618.50ns INFO [00044620] Port=0 RD @07 + 44619.50ns INFO [00044621] * RD COMPARE * port=0 adr=02 act=507C520D9607C19F09 exp=507C520D9607C19F09 + 44619.50ns INFO [00044621] * RD COMPARE * port=1 adr=05 act=B9533CB6DB7481257B exp=B9533CB6DB7481257B + 44620.50ns INFO [00044622] * RD COMPARE * port=0 adr=07 act=4CB96735E1F6EB9C20 exp=4CB96735E1F6EB9C20 + 44620.50ns INFO [00044622] Port=0 RD @05 + 44621.50ns INFO [00044623] Port=0 RD @05 + 44621.50ns INFO [00044623] Port=1 RD @03 + 44622.50ns INFO [00044624] * RD COMPARE * port=0 adr=05 act=B9533CB6DB7481257B exp=B9533CB6DB7481257B + 44623.50ns INFO [00044625] * RD COMPARE * port=0 adr=05 act=B9533CB6DB7481257B exp=B9533CB6DB7481257B + 44623.50ns INFO [00044625] * RD COMPARE * port=1 adr=03 act=CC361FB939FB232CC3 exp=CC361FB939FB232CC3 + 44623.50ns INFO [00044625] Port=0 RD @07 + 44623.50ns INFO [00044625] Port=1 RD @00 + 44624.50ns INFO [00044626] Port=1 RD @07 + 44625.50ns INFO [00044627] * RD COMPARE * port=0 adr=07 act=4CB96735E1F6EB9C20 exp=4CB96735E1F6EB9C20 + 44625.50ns INFO [00044627] * RD COMPARE * port=1 adr=00 act=0483BBFDDDEFF5C7D7 exp=0483BBFDDDEFF5C7D7 + 44626.50ns INFO [00044628] * RD COMPARE * port=1 adr=07 act=4CB96735E1F6EB9C20 exp=4CB96735E1F6EB9C20 + 44626.50ns INFO [00044628] Port=0 RD @04 + 44627.50ns INFO [00044629] Port=0 WR @05=93DDF21AF8702AD895 + 44628.50ns INFO [00044630] * RD COMPARE * port=0 adr=04 act=852FE590F9AAED11AB exp=852FE590F9AAED11AB + 44628.50ns INFO [00044630] Port=0 WR @04=163BF7CEE6DB9406B9 + 44629.50ns INFO [00044631] Port=0 RD @03 + 44630.50ns INFO [00044632] Port=0 WR @01=CD9D002EC576CA67E9 + 44630.50ns INFO [00044632] Port=1 RD @05 + 44631.50ns INFO [00044633] * RD COMPARE * port=0 adr=03 act=CC361FB939FB232CC3 exp=CC361FB939FB232CC3 + 44632.50ns INFO [00044634] * RD COMPARE * port=1 adr=05 act=93DDF21AF8702AD895 exp=93DDF21AF8702AD895 + 44633.50ns INFO [00044635] Port=0 WR @03=B2A3F8007F57A4C703 + 44634.50ns INFO [00044636] Port=0 RD @03 + 44635.50ns INFO [00044637] Port=0 WR @01=39200DE66D87D3154F + 44636.50ns INFO [00044638] * RD COMPARE * port=0 adr=03 act=B2A3F8007F57A4C703 exp=B2A3F8007F57A4C703 + 44637.50ns INFO [00044639] Port=1 RD @01 + 44638.50ns INFO [00044640] Port=1 RD @01 + 44639.50ns INFO [00044641] * RD COMPARE * port=1 adr=01 act=39200DE66D87D3154F exp=39200DE66D87D3154F + 44639.50ns INFO [00044641] Port=0 RD @03 + 44640.50ns INFO [00044642] * RD COMPARE * port=1 adr=01 act=39200DE66D87D3154F exp=39200DE66D87D3154F + 44641.50ns INFO [00044643] * RD COMPARE * port=0 adr=03 act=B2A3F8007F57A4C703 exp=B2A3F8007F57A4C703 + 44641.50ns INFO [00044643] Port=0 WR @05=5D8AC71CC9E52D88C5 + 44641.50ns INFO [00044643] Port=0 RD @01 + 44641.50ns INFO [00044643] Port=1 RD @00 + 44643.50ns INFO [00044645] * RD COMPARE * port=0 adr=01 act=39200DE66D87D3154F exp=39200DE66D87D3154F + 44643.50ns INFO [00044645] * RD COMPARE * port=1 adr=00 act=0483BBFDDDEFF5C7D7 exp=0483BBFDDDEFF5C7D7 + 44644.50ns INFO [00044646] Port=0 WR @00=503EB9156FCA901042 + 44644.50ns INFO [00044646] Port=1 RD @01 + 44646.50ns INFO [00044648] * RD COMPARE * port=1 adr=01 act=39200DE66D87D3154F exp=39200DE66D87D3154F + 44646.50ns INFO [00044648] Port=0 WR @00=BADEFD87B936296A39 + 44646.50ns INFO [00044648] Port=0 RD @03 + 44646.50ns INFO [00044648] Port=1 RD @07 + 44648.50ns INFO [00044650] * RD COMPARE * port=0 adr=03 act=B2A3F8007F57A4C703 exp=B2A3F8007F57A4C703 + 44648.50ns INFO [00044650] * RD COMPARE * port=1 adr=07 act=4CB96735E1F6EB9C20 exp=4CB96735E1F6EB9C20 + 44648.50ns INFO [00044650] Port=0 WR @02=ACB50B3F46BB1803DD + 44648.50ns INFO [00044650] Port=1 RD @00 + 44649.50ns INFO [00044651] Port=0 WR @02=4184A4550B5946F0D7 + 44649.50ns INFO [00044651] Port=1 RD @04 + 44650.50ns INFO [00044652] * RD COMPARE * port=1 adr=00 act=BADEFD87B936296A39 exp=BADEFD87B936296A39 + 44650.50ns INFO [00044652] Port=0 RD @07 + 44651.50ns INFO [00044653] * RD COMPARE * port=1 adr=04 act=163BF7CEE6DB9406B9 exp=163BF7CEE6DB9406B9 + 44651.50ns INFO [00044653] Port=0 RD @07 + 44651.50ns INFO [00044653] Port=1 RD @06 + 44652.50ns INFO [00044654] * RD COMPARE * port=0 adr=07 act=4CB96735E1F6EB9C20 exp=4CB96735E1F6EB9C20 + 44652.50ns INFO [00044654] Port=0 WR @00=8A9A702792F00A835F + 44653.50ns INFO [00044655] * RD COMPARE * port=0 adr=07 act=4CB96735E1F6EB9C20 exp=4CB96735E1F6EB9C20 + 44653.50ns INFO [00044655] * RD COMPARE * port=1 adr=06 act=38BD3860C84EDA9F25 exp=38BD3860C84EDA9F25 + 44653.50ns INFO [00044655] Port=0 RD @07 + 44653.50ns INFO [00044655] Port=1 RD @00 + 44654.50ns INFO [00044656] Port=0 WR @03=A175BD9CB757D27514 + 44655.50ns INFO [00044657] * RD COMPARE * port=0 adr=07 act=4CB96735E1F6EB9C20 exp=4CB96735E1F6EB9C20 + 44655.50ns INFO [00044657] * RD COMPARE * port=1 adr=00 act=8A9A702792F00A835F exp=8A9A702792F00A835F + 44655.50ns INFO [00044657] Port=0 WR @05=93F84A9B822CCDAA55 + 44655.50ns INFO [00044657] Port=0 RD @02 + 44656.50ns INFO [00044658] Port=0 WR @01=FECA2BE73B177F9FCC + 44657.50ns INFO [00044659] * RD COMPARE * port=0 adr=02 act=4184A4550B5946F0D7 exp=4184A4550B5946F0D7 + 44657.50ns INFO [00044659] Port=0 WR @07=2EF4161E7F80D01F16 + 44658.50ns INFO [00044660] Port=0 RD @00 + 44659.50ns INFO [00044661] Port=1 RD @04 + 44660.50ns INFO [00044662] * RD COMPARE * port=0 adr=00 act=8A9A702792F00A835F exp=8A9A702792F00A835F + 44660.50ns INFO [00044662] Port=0 WR @03=DA69542E75312735ED + 44660.50ns INFO [00044662] Port=0 RD @05 + 44660.50ns INFO [00044662] Port=1 RD @06 + 44661.50ns INFO [00044663] * RD COMPARE * port=1 adr=04 act=163BF7CEE6DB9406B9 exp=163BF7CEE6DB9406B9 + 44661.50ns INFO [00044663] Port=0 WR @06=7085FB8FD37B9C14A2 + 44661.50ns INFO [00044663] Port=0 RD @02 + 44662.50ns INFO [00044664] * RD COMPARE * port=0 adr=05 act=93F84A9B822CCDAA55 exp=93F84A9B822CCDAA55 + 44662.50ns INFO [00044664] * RD COMPARE * port=1 adr=06 act=38BD3860C84EDA9F25 exp=38BD3860C84EDA9F25 + 44663.50ns INFO [00044665] * RD COMPARE * port=0 adr=02 act=4184A4550B5946F0D7 exp=4184A4550B5946F0D7 + 44663.50ns INFO [00044665] Port=0 WR @02=3B08A54F18132A00B0 + 44663.50ns INFO [00044665] Port=1 RD @00 + 44664.50ns INFO [00044666] Port=0 WR @05=558A68ACA55F174A31 + 44664.50ns INFO [00044666] Port=0 RD @03 + 44664.50ns INFO [00044666] Port=1 RD @00 + 44665.50ns INFO [00044667] * RD COMPARE * port=1 adr=00 act=8A9A702792F00A835F exp=8A9A702792F00A835F + 44666.50ns INFO [00044668] * RD COMPARE * port=0 adr=03 act=DA69542E75312735ED exp=DA69542E75312735ED + 44666.50ns INFO [00044668] * RD COMPARE * port=1 adr=00 act=8A9A702792F00A835F exp=8A9A702792F00A835F + 44667.50ns INFO [00044669] Port=0 RD @01 + 44667.50ns INFO [00044669] Port=1 RD @03 + 44668.50ns INFO [00044670] Port=1 RD @07 + 44669.50ns INFO [00044671] * RD COMPARE * port=0 adr=01 act=FECA2BE73B177F9FCC exp=FECA2BE73B177F9FCC + 44669.50ns INFO [00044671] * RD COMPARE * port=1 adr=03 act=DA69542E75312735ED exp=DA69542E75312735ED + 44670.50ns INFO [00044672] * RD COMPARE * port=1 adr=07 act=2EF4161E7F80D01F16 exp=2EF4161E7F80D01F16 + 44671.50ns INFO [00044673] Port=0 RD @07 + 44672.50ns INFO [00044674] Port=0 WR @03=A81578080D6143B0C0 + 44673.50ns INFO [00044675] * RD COMPARE * port=0 adr=07 act=2EF4161E7F80D01F16 exp=2EF4161E7F80D01F16 + 44673.50ns INFO [00044675] Port=0 WR @00=46F5BB63474A111A0E + 44673.50ns INFO [00044675] Port=0 RD @03 + 44673.50ns INFO [00044675] Port=1 RD @02 + 44674.50ns INFO [00044676] Port=0 WR @05=0D0965CF43D81493AA + 44674.50ns INFO [00044676] Port=0 RD @01 + 44675.50ns INFO [00044677] * RD COMPARE * port=0 adr=03 act=A81578080D6143B0C0 exp=A81578080D6143B0C0 + 44675.50ns INFO [00044677] * RD COMPARE * port=1 adr=02 act=3B08A54F18132A00B0 exp=3B08A54F18132A00B0 + 44675.50ns INFO [00044677] Port=0 WR @01=A3DF958306310CC869 + 44675.50ns INFO [00044677] Port=1 RD @05 + 44676.50ns INFO [00044678] * RD COMPARE * port=0 adr=01 act=FECA2BE73B177F9FCC exp=FECA2BE73B177F9FCC + 44676.50ns INFO [00044678] Port=0 WR @06=661FD5C80071D24C0B + 44676.50ns INFO [00044678] Port=0 RD @04 + 44676.50ns INFO [00044678] Port=1 RD @00 + 44677.50ns INFO [00044679] * RD COMPARE * port=1 adr=05 act=0D0965CF43D81493AA exp=0D0965CF43D81493AA + 44677.50ns INFO [00044679] Port=0 WR @04=2CEB10DB08A3A326FC + 44678.50ns INFO [00044680] * RD COMPARE * port=0 adr=04 act=163BF7CEE6DB9406B9 exp=163BF7CEE6DB9406B9 + 44678.50ns INFO [00044680] * RD COMPARE * port=1 adr=00 act=46F5BB63474A111A0E exp=46F5BB63474A111A0E + 44678.50ns INFO [00044680] Port=1 RD @06 + 44679.50ns INFO [00044681] Port=0 WR @00=2F09D6192E219B4446 + 44680.50ns INFO [00044682] * RD COMPARE * port=1 adr=06 act=661FD5C80071D24C0B exp=661FD5C80071D24C0B + 44680.50ns INFO [00044682] Port=0 WR @02=C1A4B23A05E1858336 + 44680.50ns INFO [00044682] Port=1 RD @05 + 44682.50ns INFO [00044684] * RD COMPARE * port=1 adr=05 act=0D0965CF43D81493AA exp=0D0965CF43D81493AA + 44682.50ns INFO [00044684] Port=0 RD @06 + 44683.50ns INFO [00044685] Port=0 RD @01 + 44683.50ns INFO [00044685] Port=1 RD @04 + 44684.50ns INFO [00044686] * RD COMPARE * port=0 adr=06 act=661FD5C80071D24C0B exp=661FD5C80071D24C0B + 44685.50ns INFO [00044687] * RD COMPARE * port=0 adr=01 act=A3DF958306310CC869 exp=A3DF958306310CC869 + 44685.50ns INFO [00044687] * RD COMPARE * port=1 adr=04 act=2CEB10DB08A3A326FC exp=2CEB10DB08A3A326FC + 44685.50ns INFO [00044687] Port=0 RD @01 + 44686.50ns INFO [00044688] Port=0 WR @01=C3806C584E5FD59941 + 44686.50ns INFO [00044688] Port=0 RD @07 + 44686.50ns INFO [00044688] Port=1 RD @07 + 44687.50ns INFO [00044689] * RD COMPARE * port=0 adr=01 act=A3DF958306310CC869 exp=A3DF958306310CC869 + 44688.50ns INFO [00044690] * RD COMPARE * port=0 adr=07 act=2EF4161E7F80D01F16 exp=2EF4161E7F80D01F16 + 44688.50ns INFO [00044690] * RD COMPARE * port=1 adr=07 act=2EF4161E7F80D01F16 exp=2EF4161E7F80D01F16 + 44688.50ns INFO [00044690] Port=0 WR @04=9C9A588C40C4B6A9FD + 44689.50ns INFO [00044691] Port=0 RD @06 + 44689.50ns INFO [00044691] Port=1 RD @06 + 44690.50ns INFO [00044692] Port=1 RD @07 + 44691.50ns INFO [00044693] * RD COMPARE * port=0 adr=06 act=661FD5C80071D24C0B exp=661FD5C80071D24C0B + 44691.50ns INFO [00044693] * RD COMPARE * port=1 adr=06 act=661FD5C80071D24C0B exp=661FD5C80071D24C0B + 44691.50ns INFO [00044693] Port=0 WR @03=F56D9F81DCC985D5B4 + 44692.50ns INFO [00044694] * RD COMPARE * port=1 adr=07 act=2EF4161E7F80D01F16 exp=2EF4161E7F80D01F16 + 44692.50ns INFO [00044694] Port=0 WR @00=B855E8BEB0826D1136 + 44692.50ns INFO [00044694] Port=0 RD @01 + 44693.50ns INFO [00044695] Port=0 WR @07=5C7D2786236A18E13C + 44694.50ns INFO [00044696] * RD COMPARE * port=0 adr=01 act=C3806C584E5FD59941 exp=C3806C584E5FD59941 + 44694.50ns INFO [00044696] Port=0 WR @05=A23AAD96766D0E8780 + 44694.50ns INFO [00044696] Port=0 RD @03 + 44694.50ns INFO [00044696] Port=1 RD @02 + 44696.50ns INFO [00044698] * RD COMPARE * port=0 adr=03 act=F56D9F81DCC985D5B4 exp=F56D9F81DCC985D5B4 + 44696.50ns INFO [00044698] * RD COMPARE * port=1 adr=02 act=C1A4B23A05E1858336 exp=C1A4B23A05E1858336 + 44696.50ns INFO [00044698] Port=0 WR @03=F5776460AEC3CBC1E6 + 44698.00ns INFO [00044700] [00044700] ...tick... + 44698.50ns INFO [00044700] Port=0 WR @07=F4A67DCB1F6D88D048 + 44698.50ns INFO [00044700] Port=1 RD @02 + 44699.50ns INFO [00044701] Port=0 RD @00 + 44700.50ns INFO [00044702] * RD COMPARE * port=1 adr=02 act=C1A4B23A05E1858336 exp=C1A4B23A05E1858336 + 44700.50ns INFO [00044702] Port=0 WR @06=D0BE8000E8356FE3AC + 44700.50ns INFO [00044702] Port=0 RD @01 + 44700.50ns INFO [00044702] Port=1 RD @07 + 44701.50ns INFO [00044703] * RD COMPARE * port=0 adr=00 act=B855E8BEB0826D1136 exp=B855E8BEB0826D1136 + 44701.50ns INFO [00044703] Port=0 RD @05 + 44701.50ns INFO [00044703] Port=1 RD @07 + 44702.50ns INFO [00044704] * RD COMPARE * port=0 adr=01 act=C3806C584E5FD59941 exp=C3806C584E5FD59941 + 44702.50ns INFO [00044704] * RD COMPARE * port=1 adr=07 act=F4A67DCB1F6D88D048 exp=F4A67DCB1F6D88D048 + 44702.50ns INFO [00044704] Port=0 WR @06=EACA70344A85128978 + 44703.50ns INFO [00044705] * RD COMPARE * port=0 adr=05 act=A23AAD96766D0E8780 exp=A23AAD96766D0E8780 + 44703.50ns INFO [00044705] * RD COMPARE * port=1 adr=07 act=F4A67DCB1F6D88D048 exp=F4A67DCB1F6D88D048 + 44703.50ns INFO [00044705] Port=0 WR @01=CE774BC678AAB5CC0E + 44703.50ns INFO [00044705] Port=0 RD @07 + 44704.50ns INFO [00044706] Port=0 WR @03=837BBA8AE9C5CDA2BB + 44705.50ns INFO [00044707] * RD COMPARE * port=0 adr=07 act=F4A67DCB1F6D88D048 exp=F4A67DCB1F6D88D048 + 44705.50ns INFO [00044707] Port=0 RD @06 + 44706.50ns INFO [00044708] Port=0 WR @03=2D3A1C2978D0EAE2BE + 44706.50ns INFO [00044708] Port=0 RD @07 + 44706.50ns INFO [00044708] Port=1 RD @06 + 44707.50ns INFO [00044709] * RD COMPARE * port=0 adr=06 act=EACA70344A85128978 exp=EACA70344A85128978 + 44707.50ns INFO [00044709] Port=0 RD @04 + 44707.50ns INFO [00044709] Port=1 RD @02 + 44708.50ns INFO [00044710] * RD COMPARE * port=0 adr=07 act=F4A67DCB1F6D88D048 exp=F4A67DCB1F6D88D048 + 44708.50ns INFO [00044710] * RD COMPARE * port=1 adr=06 act=EACA70344A85128978 exp=EACA70344A85128978 + 44708.50ns INFO [00044710] Port=0 WR @05=5EC3B426D319B4A485 + 44709.50ns INFO [00044711] * RD COMPARE * port=0 adr=04 act=9C9A588C40C4B6A9FD exp=9C9A588C40C4B6A9FD + 44709.50ns INFO [00044711] * RD COMPARE * port=1 adr=02 act=C1A4B23A05E1858336 exp=C1A4B23A05E1858336 + 44709.50ns INFO [00044711] Port=1 RD @02 + 44711.50ns INFO [00044713] * RD COMPARE * port=1 adr=02 act=C1A4B23A05E1858336 exp=C1A4B23A05E1858336 + 44711.50ns INFO [00044713] Port=0 WR @02=32DF4684158152E1BC + 44711.50ns INFO [00044713] Port=1 RD @01 + 44712.50ns INFO [00044714] Port=1 RD @03 + 44713.50ns INFO [00044715] * RD COMPARE * port=1 adr=01 act=CE774BC678AAB5CC0E exp=CE774BC678AAB5CC0E + 44713.50ns INFO [00044715] Port=0 WR @05=94F693D4CE6CA57A89 + 44713.50ns INFO [00044715] Port=0 RD @07 + 44714.50ns INFO [00044716] * RD COMPARE * port=1 adr=03 act=2D3A1C2978D0EAE2BE exp=2D3A1C2978D0EAE2BE + 44715.50ns INFO [00044717] * RD COMPARE * port=0 adr=07 act=F4A67DCB1F6D88D048 exp=F4A67DCB1F6D88D048 + 44715.50ns INFO [00044717] Port=0 WR @01=6A810A8FBDEF98F88E + 44716.50ns INFO [00044718] Port=0 WR @04=7D07FED8A6356D4BC9 + 44716.50ns INFO [00044718] Port=0 RD @00 + 44716.50ns INFO [00044718] Port=1 RD @00 + 44717.50ns INFO [00044719] Port=1 RD @04 + 44718.50ns INFO [00044720] * RD COMPARE * port=0 adr=00 act=B855E8BEB0826D1136 exp=B855E8BEB0826D1136 + 44718.50ns INFO [00044720] * RD COMPARE * port=1 adr=00 act=B855E8BEB0826D1136 exp=B855E8BEB0826D1136 + 44718.50ns INFO [00044720] Port=0 WR @01=5012B4FDFEF7128D9D + 44719.50ns INFO [00044721] * RD COMPARE * port=1 adr=04 act=7D07FED8A6356D4BC9 exp=7D07FED8A6356D4BC9 + 44719.50ns INFO [00044721] Port=0 WR @06=D11128B5019F1FCEF7 + 44720.50ns INFO [00044722] Port=0 WR @02=D56F5193403EFD49F4 + 44720.50ns INFO [00044722] Port=0 RD @06 + 44721.50ns INFO [00044723] Port=1 RD @05 + 44722.50ns INFO [00044724] * RD COMPARE * port=0 adr=06 act=D11128B5019F1FCEF7 exp=D11128B5019F1FCEF7 + 44722.50ns INFO [00044724] Port=0 WR @00=5BCFA63C81D9B836BC + 44722.50ns INFO [00044724] Port=0 RD @01 + 44723.50ns INFO [00044725] * RD COMPARE * port=1 adr=05 act=94F693D4CE6CA57A89 exp=94F693D4CE6CA57A89 + 44723.50ns INFO [00044725] Port=1 RD @05 + 44724.50ns INFO [00044726] * RD COMPARE * port=0 adr=01 act=5012B4FDFEF7128D9D exp=5012B4FDFEF7128D9D + 44724.50ns INFO [00044726] Port=0 WR @06=D4582648C5E4B249EC + 44724.50ns INFO [00044726] Port=0 RD @07 + 44725.50ns INFO [00044727] * RD COMPARE * port=1 adr=05 act=94F693D4CE6CA57A89 exp=94F693D4CE6CA57A89 + 44726.50ns INFO [00044728] * RD COMPARE * port=0 adr=07 act=F4A67DCB1F6D88D048 exp=F4A67DCB1F6D88D048 + 44726.50ns INFO [00044728] Port=0 RD @01 + 44727.50ns INFO [00044729] Port=0 WR @07=4D7B30F2A4166B8470 + 44727.50ns INFO [00044729] Port=0 RD @02 + 44727.50ns INFO [00044729] Port=1 RD @01 + 44728.50ns INFO [00044730] * RD COMPARE * port=0 adr=01 act=5012B4FDFEF7128D9D exp=5012B4FDFEF7128D9D + 44728.50ns INFO [00044730] Port=0 WR @06=84D35AA112B171A0C9 + 44728.50ns INFO [00044730] Port=1 RD @01 + 44729.50ns INFO [00044731] * RD COMPARE * port=0 adr=02 act=D56F5193403EFD49F4 exp=D56F5193403EFD49F4 + 44729.50ns INFO [00044731] * RD COMPARE * port=1 adr=01 act=5012B4FDFEF7128D9D exp=5012B4FDFEF7128D9D + 44729.50ns INFO [00044731] Port=0 WR @01=6DD7BD619ECB91EC05 + 44729.50ns INFO [00044731] Port=0 RD @04 + 44729.50ns INFO [00044731] Port=1 RD @04 + 44730.50ns INFO [00044732] * RD COMPARE * port=1 adr=01 act=5012B4FDFEF7128D9D exp=5012B4FDFEF7128D9D + 44730.50ns INFO [00044732] Port=0 RD @03 + 44730.50ns INFO [00044732] Port=1 RD @00 + 44731.50ns INFO [00044733] * RD COMPARE * port=0 adr=04 act=7D07FED8A6356D4BC9 exp=7D07FED8A6356D4BC9 + 44731.50ns INFO [00044733] * RD COMPARE * port=1 adr=04 act=7D07FED8A6356D4BC9 exp=7D07FED8A6356D4BC9 + 44731.50ns INFO [00044733] Port=0 WR @05=863C2593AB1C8BCA4D + 44731.50ns INFO [00044733] Port=0 RD @06 + 44732.50ns INFO [00044734] * RD COMPARE * port=0 adr=03 act=2D3A1C2978D0EAE2BE exp=2D3A1C2978D0EAE2BE + 44732.50ns INFO [00044734] * RD COMPARE * port=1 adr=00 act=5BCFA63C81D9B836BC exp=5BCFA63C81D9B836BC + 44732.50ns INFO [00044734] Port=0 RD @01 + 44732.50ns INFO [00044734] Port=1 RD @07 + 44733.50ns INFO [00044735] * RD COMPARE * port=0 adr=06 act=84D35AA112B171A0C9 exp=84D35AA112B171A0C9 + 44733.50ns INFO [00044735] Port=0 WR @00=202C76857B179D788B + 44733.50ns INFO [00044735] Port=0 RD @04 + 44734.50ns INFO [00044736] * RD COMPARE * port=0 adr=01 act=6DD7BD619ECB91EC05 exp=6DD7BD619ECB91EC05 + 44734.50ns INFO [00044736] * RD COMPARE * port=1 adr=07 act=4D7B30F2A4166B8470 exp=4D7B30F2A4166B8470 + 44734.50ns INFO [00044736] Port=0 WR @02=C0F2CE960BAB6D1EF5 + 44734.50ns INFO [00044736] Port=0 RD @00 + 44735.50ns INFO [00044737] * RD COMPARE * port=0 adr=04 act=7D07FED8A6356D4BC9 exp=7D07FED8A6356D4BC9 + 44735.50ns INFO [00044737] Port=0 WR @03=A7B8F9EF7F73C29730 + 44735.50ns INFO [00044737] Port=1 RD @04 + 44736.50ns INFO [00044738] * RD COMPARE * port=0 adr=00 act=202C76857B179D788B exp=202C76857B179D788B + 44736.50ns INFO [00044738] Port=0 WR @06=C7377F443864DF4F8F + 44736.50ns INFO [00044738] Port=0 RD @07 + 44737.50ns INFO [00044739] * RD COMPARE * port=1 adr=04 act=7D07FED8A6356D4BC9 exp=7D07FED8A6356D4BC9 + 44737.50ns INFO [00044739] Port=1 RD @03 + 44738.50ns INFO [00044740] * RD COMPARE * port=0 adr=07 act=4D7B30F2A4166B8470 exp=4D7B30F2A4166B8470 + 44738.50ns INFO [00044740] Port=0 WR @04=5CEABC4A38538B9743 + 44738.50ns INFO [00044740] Port=0 RD @07 + 44738.50ns INFO [00044740] Port=1 RD @05 + 44739.50ns INFO [00044741] * RD COMPARE * port=1 adr=03 act=A7B8F9EF7F73C29730 exp=A7B8F9EF7F73C29730 + 44739.50ns INFO [00044741] Port=0 RD @04 + 44739.50ns INFO [00044741] Port=1 RD @01 + 44740.50ns INFO [00044742] * RD COMPARE * port=0 adr=07 act=4D7B30F2A4166B8470 exp=4D7B30F2A4166B8470 + 44740.50ns INFO [00044742] * RD COMPARE * port=1 adr=05 act=863C2593AB1C8BCA4D exp=863C2593AB1C8BCA4D + 44740.50ns INFO [00044742] Port=0 WR @07=7094B614D2E87D302E + 44740.50ns INFO [00044742] Port=1 RD @02 + 44741.50ns INFO [00044743] * RD COMPARE * port=0 adr=04 act=5CEABC4A38538B9743 exp=5CEABC4A38538B9743 + 44741.50ns INFO [00044743] * RD COMPARE * port=1 adr=01 act=6DD7BD619ECB91EC05 exp=6DD7BD619ECB91EC05 + 44741.50ns INFO [00044743] Port=0 WR @01=4120F0CF288C4122CA + 44742.50ns INFO [00044744] * RD COMPARE * port=1 adr=02 act=C0F2CE960BAB6D1EF5 exp=C0F2CE960BAB6D1EF5 + 44742.50ns INFO [00044744] Port=0 RD @06 + 44742.50ns INFO [00044744] Port=1 RD @03 + 44743.50ns INFO [00044745] Port=0 RD @05 + 44744.50ns INFO [00044746] * RD COMPARE * port=0 adr=06 act=C7377F443864DF4F8F exp=C7377F443864DF4F8F + 44744.50ns INFO [00044746] * RD COMPARE * port=1 adr=03 act=A7B8F9EF7F73C29730 exp=A7B8F9EF7F73C29730 + 44744.50ns INFO [00044746] Port=0 RD @01 + 44745.50ns INFO [00044747] * RD COMPARE * port=0 adr=05 act=863C2593AB1C8BCA4D exp=863C2593AB1C8BCA4D + 44745.50ns INFO [00044747] Port=0 WR @05=D6D2491212C9ED8C40 + 44745.50ns INFO [00044747] Port=1 RD @04 + 44746.50ns INFO [00044748] * RD COMPARE * port=0 adr=01 act=4120F0CF288C4122CA exp=4120F0CF288C4122CA + 44746.50ns INFO [00044748] Port=1 RD @07 + 44747.50ns INFO [00044749] * RD COMPARE * port=1 adr=04 act=5CEABC4A38538B9743 exp=5CEABC4A38538B9743 + 44748.50ns INFO [00044750] * RD COMPARE * port=1 adr=07 act=7094B614D2E87D302E exp=7094B614D2E87D302E + 44748.50ns INFO [00044750] Port=1 RD @05 + 44749.50ns INFO [00044751] Port=0 WR @03=92E2F81B31ADFC7EED + 44750.50ns INFO [00044752] * RD COMPARE * port=1 adr=05 act=D6D2491212C9ED8C40 exp=D6D2491212C9ED8C40 + 44750.50ns INFO [00044752] Port=0 WR @04=C2DF866D25D39AD9FF + 44750.50ns INFO [00044752] Port=0 RD @05 + 44750.50ns INFO [00044752] Port=1 RD @07 + 44751.50ns INFO [00044753] Port=0 RD @00 + 44752.50ns INFO [00044754] * RD COMPARE * port=0 adr=05 act=D6D2491212C9ED8C40 exp=D6D2491212C9ED8C40 + 44752.50ns INFO [00044754] * RD COMPARE * port=1 adr=07 act=7094B614D2E87D302E exp=7094B614D2E87D302E + 44752.50ns INFO [00044754] Port=1 RD @03 + 44753.50ns INFO [00044755] * RD COMPARE * port=0 adr=00 act=202C76857B179D788B exp=202C76857B179D788B + 44753.50ns INFO [00044755] Port=0 WR @05=1B2B24BFF40FDFCBEA + 44753.50ns INFO [00044755] Port=1 RD @06 + 44754.50ns INFO [00044756] * RD COMPARE * port=1 adr=03 act=92E2F81B31ADFC7EED exp=92E2F81B31ADFC7EED + 44754.50ns INFO [00044756] Port=1 RD @02 + 44755.50ns INFO [00044757] * RD COMPARE * port=1 adr=06 act=C7377F443864DF4F8F exp=C7377F443864DF4F8F + 44755.50ns INFO [00044757] Port=0 WR @06=56DE20CEFA20B5939D + 44755.50ns INFO [00044757] Port=0 RD @04 + 44756.50ns INFO [00044758] * RD COMPARE * port=1 adr=02 act=C0F2CE960BAB6D1EF5 exp=C0F2CE960BAB6D1EF5 + 44756.50ns INFO [00044758] Port=0 RD @03 + 44757.50ns INFO [00044759] * RD COMPARE * port=0 adr=04 act=C2DF866D25D39AD9FF exp=C2DF866D25D39AD9FF + 44758.50ns INFO [00044760] * RD COMPARE * port=0 adr=03 act=92E2F81B31ADFC7EED exp=92E2F81B31ADFC7EED + 44758.50ns INFO [00044760] Port=0 WR @07=C4BB92A7A7681319C1 + 44759.50ns INFO [00044761] Port=0 RD @01 + 44759.50ns INFO [00044761] Port=1 RD @06 + 44760.50ns INFO [00044762] Port=1 RD @05 + 44761.50ns INFO [00044763] * RD COMPARE * port=0 adr=01 act=4120F0CF288C4122CA exp=4120F0CF288C4122CA + 44761.50ns INFO [00044763] * RD COMPARE * port=1 adr=06 act=56DE20CEFA20B5939D exp=56DE20CEFA20B5939D + 44761.50ns INFO [00044763] Port=0 RD @02 + 44762.50ns INFO [00044764] * RD COMPARE * port=1 adr=05 act=1B2B24BFF40FDFCBEA exp=1B2B24BFF40FDFCBEA + 44763.50ns INFO [00044765] * RD COMPARE * port=0 adr=02 act=C0F2CE960BAB6D1EF5 exp=C0F2CE960BAB6D1EF5 + 44763.50ns INFO [00044765] Port=0 WR @00=7808385B32827CED24 + 44763.50ns INFO [00044765] Port=1 RD @01 + 44765.50ns INFO [00044767] * RD COMPARE * port=1 adr=01 act=4120F0CF288C4122CA exp=4120F0CF288C4122CA + 44766.50ns INFO [00044768] Port=0 RD @01 + 44767.50ns INFO [00044769] Port=0 WR @03=A966392DA982441E3A + 44768.50ns INFO [00044770] * RD COMPARE * port=0 adr=01 act=4120F0CF288C4122CA exp=4120F0CF288C4122CA + 44768.50ns INFO [00044770] Port=0 RD @07 + 44769.50ns INFO [00044771] Port=0 WR @02=DE5A280FB0C5F29FF8 + 44769.50ns INFO [00044771] Port=0 RD @07 + 44770.50ns INFO [00044772] * RD COMPARE * port=0 adr=07 act=C4BB92A7A7681319C1 exp=C4BB92A7A7681319C1 + 44770.50ns INFO [00044772] Port=0 WR @02=89D02C3703DA69F9D7 + 44770.50ns INFO [00044772] Port=0 RD @07 + 44771.50ns INFO [00044773] * RD COMPARE * port=0 adr=07 act=C4BB92A7A7681319C1 exp=C4BB92A7A7681319C1 + 44772.50ns INFO [00044774] * RD COMPARE * port=0 adr=07 act=C4BB92A7A7681319C1 exp=C4BB92A7A7681319C1 + 44773.50ns INFO [00044775] Port=0 WR @00=A2F7BB5F6702A4E0BC + 44775.50ns INFO [00044777] Port=0 WR @07=B26337B6B8C4E7BC4F + 44775.50ns INFO [00044777] Port=0 RD @00 + 44777.50ns INFO [00044779] * RD COMPARE * port=0 adr=00 act=A2F7BB5F6702A4E0BC exp=A2F7BB5F6702A4E0BC + 44777.50ns INFO [00044779] Port=0 RD @03 + 44779.50ns INFO [00044781] * RD COMPARE * port=0 adr=03 act=A966392DA982441E3A exp=A966392DA982441E3A + 44779.50ns INFO [00044781] Port=0 RD @07 + 44779.50ns INFO [00044781] Port=1 RD @05 + 44780.50ns INFO [00044782] Port=0 WR @00=1FB7017ECA0C38C715 + 44781.50ns INFO [00044783] * RD COMPARE * port=0 adr=07 act=B26337B6B8C4E7BC4F exp=B26337B6B8C4E7BC4F + 44781.50ns INFO [00044783] * RD COMPARE * port=1 adr=05 act=1B2B24BFF40FDFCBEA exp=1B2B24BFF40FDFCBEA + 44781.50ns INFO [00044783] Port=0 RD @06 + 44782.50ns INFO [00044784] Port=0 WR @00=E709A1629157CA9DA7 + 44782.50ns INFO [00044784] Port=0 RD @04 + 44783.50ns INFO [00044785] * RD COMPARE * port=0 adr=06 act=56DE20CEFA20B5939D exp=56DE20CEFA20B5939D + 44783.50ns INFO [00044785] Port=0 RD @03 + 44784.50ns INFO [00044786] * RD COMPARE * port=0 adr=04 act=C2DF866D25D39AD9FF exp=C2DF866D25D39AD9FF + 44784.50ns INFO [00044786] Port=1 RD @01 + 44785.50ns INFO [00044787] * RD COMPARE * port=0 adr=03 act=A966392DA982441E3A exp=A966392DA982441E3A + 44785.50ns INFO [00044787] Port=0 WR @04=7586A7C983E4754A24 + 44785.50ns INFO [00044787] Port=1 RD @01 + 44786.50ns INFO [00044788] * RD COMPARE * port=1 adr=01 act=4120F0CF288C4122CA exp=4120F0CF288C4122CA + 44786.50ns INFO [00044788] Port=0 WR @02=BEE5C3BE5554E1DFFF + 44786.50ns INFO [00044788] Port=0 RD @05 + 44787.50ns INFO [00044789] * RD COMPARE * port=1 adr=01 act=4120F0CF288C4122CA exp=4120F0CF288C4122CA + 44788.50ns INFO [00044790] * RD COMPARE * port=0 adr=05 act=1B2B24BFF40FDFCBEA exp=1B2B24BFF40FDFCBEA + 44789.50ns INFO [00044791] Port=0 RD @02 + 44791.50ns INFO [00044793] * RD COMPARE * port=0 adr=02 act=BEE5C3BE5554E1DFFF exp=BEE5C3BE5554E1DFFF + 44791.50ns INFO [00044793] Port=0 WR @04=579790BCE88761F62A + 44792.50ns INFO [00044794] Port=1 RD @06 + 44794.50ns INFO [00044796] * RD COMPARE * port=1 adr=06 act=56DE20CEFA20B5939D exp=56DE20CEFA20B5939D + 44794.50ns INFO [00044796] Port=1 RD @06 + 44796.50ns INFO [00044798] * RD COMPARE * port=1 adr=06 act=56DE20CEFA20B5939D exp=56DE20CEFA20B5939D + 44796.50ns INFO [00044798] Port=1 RD @00 + 44797.50ns INFO [00044799] Port=0 WR @05=36CF931293C60DF212 + 44798.00ns INFO [00044800] [00044800] ...tick... + 44798.50ns INFO [00044800] * RD COMPARE * port=1 adr=00 act=E709A1629157CA9DA7 exp=E709A1629157CA9DA7 + 44798.50ns INFO [00044800] Port=0 WR @05=FA99EDD65B2AC48A3D + 44799.50ns INFO [00044801] Port=0 RD @05 + 44799.50ns INFO [00044801] Port=1 RD @05 + 44800.50ns INFO [00044802] Port=0 WR @05=72852DC963293C079C + 44800.50ns INFO [00044802] Port=1 RD @00 + 44801.50ns INFO [00044803] * RD COMPARE * port=0 adr=05 act=FA99EDD65B2AC48A3D exp=FA99EDD65B2AC48A3D + 44801.50ns INFO [00044803] * RD COMPARE * port=1 adr=05 act=FA99EDD65B2AC48A3D exp=FA99EDD65B2AC48A3D + 44801.50ns INFO [00044803] Port=0 RD @06 + 44802.50ns INFO [00044804] * RD COMPARE * port=1 adr=00 act=E709A1629157CA9DA7 exp=E709A1629157CA9DA7 + 44802.50ns INFO [00044804] Port=0 WR @02=8CC857734489EEDC72 + 44802.50ns INFO [00044804] Port=0 RD @07 + 44802.50ns INFO [00044804] Port=1 RD @01 + 44803.50ns INFO [00044805] * RD COMPARE * port=0 adr=06 act=56DE20CEFA20B5939D exp=56DE20CEFA20B5939D + 44804.50ns INFO [00044806] * RD COMPARE * port=0 adr=07 act=B26337B6B8C4E7BC4F exp=B26337B6B8C4E7BC4F + 44804.50ns INFO [00044806] * RD COMPARE * port=1 adr=01 act=4120F0CF288C4122CA exp=4120F0CF288C4122CA + 44804.50ns INFO [00044806] Port=0 WR @01=E1F6448202B398D2AD + 44804.50ns INFO [00044806] Port=0 RD @03 + 44805.50ns INFO [00044807] Port=0 WR @07=CBD3A72E653BA1F112 + 44806.50ns INFO [00044808] * RD COMPARE * port=0 adr=03 act=A966392DA982441E3A exp=A966392DA982441E3A + 44806.50ns INFO [00044808] Port=0 RD @05 + 44807.50ns INFO [00044809] Port=1 RD @00 + 44808.50ns INFO [00044810] * RD COMPARE * port=0 adr=05 act=72852DC963293C079C exp=72852DC963293C079C + 44808.50ns INFO [00044810] Port=0 WR @04=AD25E96B92168AD2BD + 44808.50ns INFO [00044810] Port=1 RD @07 + 44809.50ns INFO [00044811] * RD COMPARE * port=1 adr=00 act=E709A1629157CA9DA7 exp=E709A1629157CA9DA7 + 44809.50ns INFO [00044811] Port=0 WR @05=5329361CDBFC6A6192 + 44809.50ns INFO [00044811] Port=0 RD @02 + 44810.50ns INFO [00044812] * RD COMPARE * port=1 adr=07 act=CBD3A72E653BA1F112 exp=CBD3A72E653BA1F112 + 44810.50ns INFO [00044812] Port=0 WR @03=AE8DCAE9000A5315D4 + 44810.50ns INFO [00044812] Port=1 RD @07 + 44811.50ns INFO [00044813] * RD COMPARE * port=0 adr=02 act=8CC857734489EEDC72 exp=8CC857734489EEDC72 + 44811.50ns INFO [00044813] Port=0 WR @00=830C769D8ACA963529 + 44811.50ns INFO [00044813] Port=1 RD @01 + 44812.50ns INFO [00044814] * RD COMPARE * port=1 adr=07 act=CBD3A72E653BA1F112 exp=CBD3A72E653BA1F112 + 44812.50ns INFO [00044814] Port=0 WR @02=F0A562A5BA556586CF + 44812.50ns INFO [00044814] Port=0 RD @03 + 44813.50ns INFO [00044815] * RD COMPARE * port=1 adr=01 act=E1F6448202B398D2AD exp=E1F6448202B398D2AD + 44813.50ns INFO [00044815] Port=1 RD @02 + 44814.50ns INFO [00044816] * RD COMPARE * port=0 adr=03 act=AE8DCAE9000A5315D4 exp=AE8DCAE9000A5315D4 + 44814.50ns INFO [00044816] Port=0 RD @03 + 44815.50ns INFO [00044817] * RD COMPARE * port=1 adr=02 act=F0A562A5BA556586CF exp=F0A562A5BA556586CF + 44816.50ns INFO [00044818] * RD COMPARE * port=0 adr=03 act=AE8DCAE9000A5315D4 exp=AE8DCAE9000A5315D4 + 44816.50ns INFO [00044818] Port=0 WR @04=793968679466C0C890 + 44817.50ns INFO [00044819] Port=1 RD @05 + 44818.50ns INFO [00044820] Port=0 RD @04 + 44819.50ns INFO [00044821] * RD COMPARE * port=1 adr=05 act=5329361CDBFC6A6192 exp=5329361CDBFC6A6192 + 44820.50ns INFO [00044822] * RD COMPARE * port=0 adr=04 act=793968679466C0C890 exp=793968679466C0C890 + 44820.50ns INFO [00044822] Port=1 RD @04 + 44821.50ns INFO [00044823] Port=0 WR @04=B6380FF00F986DBEB3 + 44821.50ns INFO [00044823] Port=0 RD @00 + 44821.50ns INFO [00044823] Port=1 RD @07 + 44822.50ns INFO [00044824] * RD COMPARE * port=1 adr=04 act=793968679466C0C890 exp=793968679466C0C890 + 44822.50ns INFO [00044824] Port=1 RD @04 + 44823.50ns INFO [00044825] * RD COMPARE * port=0 adr=00 act=830C769D8ACA963529 exp=830C769D8ACA963529 + 44823.50ns INFO [00044825] * RD COMPARE * port=1 adr=07 act=CBD3A72E653BA1F112 exp=CBD3A72E653BA1F112 + 44823.50ns INFO [00044825] Port=0 WR @01=8198C99D286E054920 + 44824.50ns INFO [00044826] * RD COMPARE * port=1 adr=04 act=B6380FF00F986DBEB3 exp=B6380FF00F986DBEB3 + 44824.50ns INFO [00044826] Port=0 WR @03=47CA4E3751FC26E43B + 44824.50ns INFO [00044826] Port=0 RD @06 + 44825.50ns INFO [00044827] Port=1 RD @00 + 44826.50ns INFO [00044828] * RD COMPARE * port=0 adr=06 act=56DE20CEFA20B5939D exp=56DE20CEFA20B5939D + 44827.50ns INFO [00044829] * RD COMPARE * port=1 adr=00 act=830C769D8ACA963529 exp=830C769D8ACA963529 + 44828.50ns INFO [00044830] Port=1 RD @04 + 44830.50ns INFO [00044832] * RD COMPARE * port=1 adr=04 act=B6380FF00F986DBEB3 exp=B6380FF00F986DBEB3 + 44830.50ns INFO [00044832] Port=0 WR @06=26046AF05099032ED5 + 44830.50ns INFO [00044832] Port=0 RD @01 + 44831.50ns INFO [00044833] Port=0 RD @05 + 44831.50ns INFO [00044833] Port=1 RD @07 + 44832.50ns INFO [00044834] * RD COMPARE * port=0 adr=01 act=8198C99D286E054920 exp=8198C99D286E054920 + 44833.50ns INFO [00044835] * RD COMPARE * port=0 adr=05 act=5329361CDBFC6A6192 exp=5329361CDBFC6A6192 + 44833.50ns INFO [00044835] * RD COMPARE * port=1 adr=07 act=CBD3A72E653BA1F112 exp=CBD3A72E653BA1F112 + 44833.50ns INFO [00044835] Port=0 RD @03 + 44834.50ns INFO [00044836] Port=0 RD @04 + 44834.50ns INFO [00044836] Port=1 RD @01 + 44835.50ns INFO [00044837] * RD COMPARE * port=0 adr=03 act=47CA4E3751FC26E43B exp=47CA4E3751FC26E43B + 44835.50ns INFO [00044837] Port=0 WR @04=09805961410AC6E57C + 44836.50ns INFO [00044838] * RD COMPARE * port=0 adr=04 act=B6380FF00F986DBEB3 exp=B6380FF00F986DBEB3 + 44836.50ns INFO [00044838] * RD COMPARE * port=1 adr=01 act=8198C99D286E054920 exp=8198C99D286E054920 + 44836.50ns INFO [00044838] Port=0 WR @07=222E759CB15AB3C31F + 44837.50ns INFO [00044839] Port=0 WR @02=739DF0DFA54B71AD1E + 44837.50ns INFO [00044839] Port=0 RD @06 + 44837.50ns INFO [00044839] Port=1 RD @01 + 44839.50ns INFO [00044841] * RD COMPARE * port=0 adr=06 act=26046AF05099032ED5 exp=26046AF05099032ED5 + 44839.50ns INFO [00044841] * RD COMPARE * port=1 adr=01 act=8198C99D286E054920 exp=8198C99D286E054920 + 44839.50ns INFO [00044841] Port=0 RD @01 + 44840.50ns INFO [00044842] Port=0 WR @07=76F614C951333B0A66 + 44840.50ns INFO [00044842] Port=0 RD @05 + 44841.50ns INFO [00044843] * RD COMPARE * port=0 adr=01 act=8198C99D286E054920 exp=8198C99D286E054920 + 44841.50ns INFO [00044843] Port=0 RD @03 + 44842.50ns INFO [00044844] * RD COMPARE * port=0 adr=05 act=5329361CDBFC6A6192 exp=5329361CDBFC6A6192 + 44843.50ns INFO [00044845] * RD COMPARE * port=0 adr=03 act=47CA4E3751FC26E43B exp=47CA4E3751FC26E43B + 44843.50ns INFO [00044845] Port=0 WR @07=8D631772D990762494 + 44843.50ns INFO [00044845] Port=0 RD @06 + 44844.50ns INFO [00044846] Port=0 RD @02 + 44845.50ns INFO [00044847] * RD COMPARE * port=0 adr=06 act=26046AF05099032ED5 exp=26046AF05099032ED5 + 44846.50ns INFO [00044848] * RD COMPARE * port=0 adr=02 act=739DF0DFA54B71AD1E exp=739DF0DFA54B71AD1E + 44846.50ns INFO [00044848] Port=1 RD @04 + 44847.50ns INFO [00044849] Port=0 WR @07=718F0E701F336FEB2F + 44847.50ns INFO [00044849] Port=0 RD @04 + 44847.50ns INFO [00044849] Port=1 RD @01 + 44848.50ns INFO [00044850] * RD COMPARE * port=1 adr=04 act=09805961410AC6E57C exp=09805961410AC6E57C + 44848.50ns INFO [00044850] Port=0 RD @01 + 44848.50ns INFO [00044850] Port=1 RD @02 + 44849.50ns INFO [00044851] * RD COMPARE * port=0 adr=04 act=09805961410AC6E57C exp=09805961410AC6E57C + 44849.50ns INFO [00044851] * RD COMPARE * port=1 adr=01 act=8198C99D286E054920 exp=8198C99D286E054920 + 44849.50ns INFO [00044851] Port=0 WR @03=D934ED5F4BE87ECBD3 + 44849.50ns INFO [00044851] Port=1 RD @05 + 44850.50ns INFO [00044852] * RD COMPARE * port=0 adr=01 act=8198C99D286E054920 exp=8198C99D286E054920 + 44850.50ns INFO [00044852] * RD COMPARE * port=1 adr=02 act=739DF0DFA54B71AD1E exp=739DF0DFA54B71AD1E + 44850.50ns INFO [00044852] Port=1 RD @02 + 44851.50ns INFO [00044853] * RD COMPARE * port=1 adr=05 act=5329361CDBFC6A6192 exp=5329361CDBFC6A6192 + 44851.50ns INFO [00044853] Port=1 RD @05 + 44852.50ns INFO [00044854] * RD COMPARE * port=1 adr=02 act=739DF0DFA54B71AD1E exp=739DF0DFA54B71AD1E + 44852.50ns INFO [00044854] Port=1 RD @06 + 44853.50ns INFO [00044855] * RD COMPARE * port=1 adr=05 act=5329361CDBFC6A6192 exp=5329361CDBFC6A6192 + 44853.50ns INFO [00044855] Port=0 RD @00 + 44853.50ns INFO [00044855] Port=1 RD @07 + 44854.50ns INFO [00044856] * RD COMPARE * port=1 adr=06 act=26046AF05099032ED5 exp=26046AF05099032ED5 + 44855.50ns INFO [00044857] * RD COMPARE * port=0 adr=00 act=830C769D8ACA963529 exp=830C769D8ACA963529 + 44855.50ns INFO [00044857] * RD COMPARE * port=1 adr=07 act=718F0E701F336FEB2F exp=718F0E701F336FEB2F + 44855.50ns INFO [00044857] Port=0 WR @05=8C747856B8611E96DA + 44855.50ns INFO [00044857] Port=1 RD @01 + 44856.50ns INFO [00044858] Port=0 WR @05=FCB9580B73171F31F4 + 44856.50ns INFO [00044858] Port=1 RD @03 + 44857.50ns INFO [00044859] * RD COMPARE * port=1 adr=01 act=8198C99D286E054920 exp=8198C99D286E054920 + 44858.50ns INFO [00044860] * RD COMPARE * port=1 adr=03 act=D934ED5F4BE87ECBD3 exp=D934ED5F4BE87ECBD3 + 44858.50ns INFO [00044860] Port=1 RD @04 + 44859.50ns INFO [00044861] Port=1 RD @04 + 44860.50ns INFO [00044862] * RD COMPARE * port=1 adr=04 act=09805961410AC6E57C exp=09805961410AC6E57C + 44860.50ns INFO [00044862] Port=0 WR @00=CEC818FC8654B2A7EC + 44860.50ns INFO [00044862] Port=0 RD @07 + 44861.50ns INFO [00044863] * RD COMPARE * port=1 adr=04 act=09805961410AC6E57C exp=09805961410AC6E57C + 44861.50ns INFO [00044863] Port=0 RD @00 + 44862.50ns INFO [00044864] * RD COMPARE * port=0 adr=07 act=718F0E701F336FEB2F exp=718F0E701F336FEB2F + 44863.50ns INFO [00044865] * RD COMPARE * port=0 adr=00 act=CEC818FC8654B2A7EC exp=CEC818FC8654B2A7EC + 44863.50ns INFO [00044865] Port=0 WR @01=0E6D997CB051E791E5 + 44863.50ns INFO [00044865] Port=0 RD @06 + 44864.50ns INFO [00044866] Port=0 WR @07=ABAAD9EAA2E7C99BA3 + 44864.50ns INFO [00044866] Port=0 RD @06 + 44864.50ns INFO [00044866] Port=1 RD @06 + 44865.50ns INFO [00044867] * RD COMPARE * port=0 adr=06 act=26046AF05099032ED5 exp=26046AF05099032ED5 + 44865.50ns INFO [00044867] Port=0 WR @03=2987BE41F4CA18ACB0 + 44865.50ns INFO [00044867] Port=0 RD @04 + 44866.50ns INFO [00044868] * RD COMPARE * port=0 adr=06 act=26046AF05099032ED5 exp=26046AF05099032ED5 + 44866.50ns INFO [00044868] * RD COMPARE * port=1 adr=06 act=26046AF05099032ED5 exp=26046AF05099032ED5 + 44866.50ns INFO [00044868] Port=0 RD @04 + 44867.50ns INFO [00044869] * RD COMPARE * port=0 adr=04 act=09805961410AC6E57C exp=09805961410AC6E57C + 44867.50ns INFO [00044869] Port=0 WR @07=5997BDEBABC1BB6041 + 44868.50ns INFO [00044870] * RD COMPARE * port=0 adr=04 act=09805961410AC6E57C exp=09805961410AC6E57C + 44868.50ns INFO [00044870] Port=0 RD @05 + 44869.50ns INFO [00044871] Port=0 WR @06=56BE97CEED7EE99F14 + 44869.50ns INFO [00044871] Port=0 RD @01 + 44869.50ns INFO [00044871] Port=1 RD @05 + 44870.50ns INFO [00044872] * RD COMPARE * port=0 adr=05 act=FCB9580B73171F31F4 exp=FCB9580B73171F31F4 + 44870.50ns INFO [00044872] Port=1 RD @03 + 44871.50ns INFO [00044873] * RD COMPARE * port=0 adr=01 act=0E6D997CB051E791E5 exp=0E6D997CB051E791E5 + 44871.50ns INFO [00044873] * RD COMPARE * port=1 adr=05 act=FCB9580B73171F31F4 exp=FCB9580B73171F31F4 + 44871.50ns INFO [00044873] Port=0 WR @03=45D393C721C22A9BFB + 44872.50ns INFO [00044874] * RD COMPARE * port=1 adr=03 act=2987BE41F4CA18ACB0 exp=2987BE41F4CA18ACB0 + 44874.50ns INFO [00044876] Port=0 WR @06=BA0CBB821FA835DBA3 + 44874.50ns INFO [00044876] Port=1 RD @01 + 44875.50ns INFO [00044877] Port=0 WR @06=5E7035243C99C81FFE + 44875.50ns INFO [00044877] Port=1 RD @03 + 44876.50ns INFO [00044878] * RD COMPARE * port=1 adr=01 act=0E6D997CB051E791E5 exp=0E6D997CB051E791E5 + 44876.50ns INFO [00044878] Port=1 RD @00 + 44877.50ns INFO [00044879] * RD COMPARE * port=1 adr=03 act=45D393C721C22A9BFB exp=45D393C721C22A9BFB + 44877.50ns INFO [00044879] Port=0 WR @04=3605E1D8237DFF845A + 44877.50ns INFO [00044879] Port=0 RD @07 + 44878.50ns INFO [00044880] * RD COMPARE * port=1 adr=00 act=CEC818FC8654B2A7EC exp=CEC818FC8654B2A7EC + 44879.50ns INFO [00044881] * RD COMPARE * port=0 adr=07 act=5997BDEBABC1BB6041 exp=5997BDEBABC1BB6041 + 44879.50ns INFO [00044881] Port=0 RD @07 + 44881.50ns INFO [00044883] * RD COMPARE * port=0 adr=07 act=5997BDEBABC1BB6041 exp=5997BDEBABC1BB6041 + 44881.50ns INFO [00044883] Port=0 WR @00=83A7302F6FE3088BD7 + 44881.50ns INFO [00044883] Port=0 RD @07 + 44882.50ns INFO [00044884] Port=0 RD @05 + 44883.50ns INFO [00044885] * RD COMPARE * port=0 adr=07 act=5997BDEBABC1BB6041 exp=5997BDEBABC1BB6041 + 44883.50ns INFO [00044885] Port=0 WR @00=D99085FD38FB0A5C67 + 44883.50ns INFO [00044885] Port=0 RD @07 + 44884.50ns INFO [00044886] * RD COMPARE * port=0 adr=05 act=FCB9580B73171F31F4 exp=FCB9580B73171F31F4 + 44884.50ns INFO [00044886] Port=0 RD @05 + 44885.50ns INFO [00044887] * RD COMPARE * port=0 adr=07 act=5997BDEBABC1BB6041 exp=5997BDEBABC1BB6041 + 44886.50ns INFO [00044888] * RD COMPARE * port=0 adr=05 act=FCB9580B73171F31F4 exp=FCB9580B73171F31F4 + 44886.50ns INFO [00044888] Port=0 RD @05 + 44886.50ns INFO [00044888] Port=1 RD @05 + 44887.50ns INFO [00044889] Port=1 RD @03 + 44888.50ns INFO [00044890] * RD COMPARE * port=0 adr=05 act=FCB9580B73171F31F4 exp=FCB9580B73171F31F4 + 44888.50ns INFO [00044890] * RD COMPARE * port=1 adr=05 act=FCB9580B73171F31F4 exp=FCB9580B73171F31F4 + 44888.50ns INFO [00044890] Port=0 RD @00 + 44888.50ns INFO [00044890] Port=1 RD @06 + 44889.50ns INFO [00044891] * RD COMPARE * port=1 adr=03 act=45D393C721C22A9BFB exp=45D393C721C22A9BFB + 44890.50ns INFO [00044892] * RD COMPARE * port=0 adr=00 act=D99085FD38FB0A5C67 exp=D99085FD38FB0A5C67 + 44890.50ns INFO [00044892] * RD COMPARE * port=1 adr=06 act=5E7035243C99C81FFE exp=5E7035243C99C81FFE + 44890.50ns INFO [00044892] Port=1 RD @03 + 44891.50ns INFO [00044893] Port=0 WR @07=2669E6606E33BF1C30 + 44891.50ns INFO [00044893] Port=0 RD @04 + 44892.50ns INFO [00044894] * RD COMPARE * port=1 adr=03 act=45D393C721C22A9BFB exp=45D393C721C22A9BFB + 44892.50ns INFO [00044894] Port=0 WR @00=68D06BEC52AA27E731 + 44893.50ns INFO [00044895] * RD COMPARE * port=0 adr=04 act=3605E1D8237DFF845A exp=3605E1D8237DFF845A + 44894.50ns INFO [00044896] Port=0 RD @03 + 44894.50ns INFO [00044896] Port=1 RD @07 + 44896.50ns INFO [00044898] * RD COMPARE * port=0 adr=03 act=45D393C721C22A9BFB exp=45D393C721C22A9BFB + 44896.50ns INFO [00044898] * RD COMPARE * port=1 adr=07 act=2669E6606E33BF1C30 exp=2669E6606E33BF1C30 + 44896.50ns INFO [00044898] Port=0 RD @07 + 44896.50ns INFO [00044898] Port=1 RD @02 + 44897.50ns INFO [00044899] Port=0 WR @06=B1D6049990F760D99C + 44897.50ns INFO [00044899] Port=1 RD @07 + 44898.00ns INFO [00044900] [00044900] ...tick... + 44898.50ns INFO [00044900] * RD COMPARE * port=0 adr=07 act=2669E6606E33BF1C30 exp=2669E6606E33BF1C30 + 44898.50ns INFO [00044900] * RD COMPARE * port=1 adr=02 act=739DF0DFA54B71AD1E exp=739DF0DFA54B71AD1E + 44898.50ns INFO [00044900] Port=0 WR @01=E530DF92B318BCF8BC + 44898.50ns INFO [00044900] Port=0 RD @04 + 44899.50ns INFO [00044901] * RD COMPARE * port=1 adr=07 act=2669E6606E33BF1C30 exp=2669E6606E33BF1C30 + 44899.50ns INFO [00044901] Port=0 WR @01=3A6641054C3C046061 + 44899.50ns INFO [00044901] Port=0 RD @03 + 44900.50ns INFO [00044902] * RD COMPARE * port=0 adr=04 act=3605E1D8237DFF845A exp=3605E1D8237DFF845A + 44900.50ns INFO [00044902] Port=0 RD @03 + 44901.50ns INFO [00044903] * RD COMPARE * port=0 adr=03 act=45D393C721C22A9BFB exp=45D393C721C22A9BFB + 44901.50ns INFO [00044903] Port=0 WR @06=3058512C9FCD9B5605 + 44902.50ns INFO [00044904] * RD COMPARE * port=0 adr=03 act=45D393C721C22A9BFB exp=45D393C721C22A9BFB + 44903.50ns INFO [00044905] Port=0 RD @00 + 44903.50ns INFO [00044905] Port=1 RD @00 + 44904.50ns INFO [00044906] Port=0 WR @00=C9BEC17809A53B938C + 44904.50ns INFO [00044906] Port=0 RD @01 + 44905.50ns INFO [00044907] * RD COMPARE * port=0 adr=00 act=68D06BEC52AA27E731 exp=68D06BEC52AA27E731 + 44905.50ns INFO [00044907] * RD COMPARE * port=1 adr=00 act=68D06BEC52AA27E731 exp=68D06BEC52AA27E731 + 44906.50ns INFO [00044908] * RD COMPARE * port=0 adr=01 act=3A6641054C3C046061 exp=3A6641054C3C046061 + 44906.50ns INFO [00044908] Port=0 WR @06=28FBBCE20AE1212F66 + 44907.50ns INFO [00044909] Port=0 WR @01=E4002E54623BACCD67 + 44907.50ns INFO [00044909] Port=1 RD @05 + 44908.50ns INFO [00044910] Port=0 WR @07=886EA02283B90DEF45 + 44908.50ns INFO [00044910] Port=0 RD @01 + 44908.50ns INFO [00044910] Port=1 RD @03 + 44909.50ns INFO [00044911] * RD COMPARE * port=1 adr=05 act=FCB9580B73171F31F4 exp=FCB9580B73171F31F4 + 44910.50ns INFO [00044912] * RD COMPARE * port=0 adr=01 act=E4002E54623BACCD67 exp=E4002E54623BACCD67 + 44910.50ns INFO [00044912] * RD COMPARE * port=1 adr=03 act=45D393C721C22A9BFB exp=45D393C721C22A9BFB + 44910.50ns INFO [00044912] Port=0 RD @05 + 44910.50ns INFO [00044912] Port=1 RD @01 + 44911.50ns INFO [00044913] Port=0 RD @06 + 44911.50ns INFO [00044913] Port=1 RD @07 + 44912.50ns INFO [00044914] * RD COMPARE * port=0 adr=05 act=FCB9580B73171F31F4 exp=FCB9580B73171F31F4 + 44912.50ns INFO [00044914] * RD COMPARE * port=1 adr=01 act=E4002E54623BACCD67 exp=E4002E54623BACCD67 + 44912.50ns INFO [00044914] Port=0 WR @07=204226D2B8C7A83721 + 44913.50ns INFO [00044915] * RD COMPARE * port=0 adr=06 act=28FBBCE20AE1212F66 exp=28FBBCE20AE1212F66 + 44913.50ns INFO [00044915] * RD COMPARE * port=1 adr=07 act=886EA02283B90DEF45 exp=886EA02283B90DEF45 + 44914.50ns INFO [00044916] Port=0 RD @06 + 44915.50ns INFO [00044917] Port=0 WR @05=7544E1B0C4CFC0AF4D + 44916.50ns INFO [00044918] * RD COMPARE * port=0 adr=06 act=28FBBCE20AE1212F66 exp=28FBBCE20AE1212F66 + 44916.50ns INFO [00044918] Port=0 RD @05 + 44918.50ns INFO [00044920] * RD COMPARE * port=0 adr=05 act=7544E1B0C4CFC0AF4D exp=7544E1B0C4CFC0AF4D + 44919.50ns INFO [00044921] Port=0 WR @03=274EE4F732F6515E6E + 44919.50ns INFO [00044921] Port=0 RD @00 + 44919.50ns INFO [00044921] Port=1 RD @04 + 44920.50ns INFO [00044922] Port=1 RD @06 + 44921.50ns INFO [00044923] * RD COMPARE * port=0 adr=00 act=C9BEC17809A53B938C exp=C9BEC17809A53B938C + 44921.50ns INFO [00044923] * RD COMPARE * port=1 adr=04 act=3605E1D8237DFF845A exp=3605E1D8237DFF845A + 44921.50ns INFO [00044923] Port=0 WR @01=A77E2208536E4187B9 + 44921.50ns INFO [00044923] Port=0 RD @07 + 44922.50ns INFO [00044924] * RD COMPARE * port=1 adr=06 act=28FBBCE20AE1212F66 exp=28FBBCE20AE1212F66 + 44922.50ns INFO [00044924] Port=0 WR @05=351BF7C3BF2197FEF8 + 44922.50ns INFO [00044924] Port=0 RD @01 + 44922.50ns INFO [00044924] Port=1 RD @04 + 44923.50ns INFO [00044925] * RD COMPARE * port=0 adr=07 act=204226D2B8C7A83721 exp=204226D2B8C7A83721 + 44923.50ns INFO [00044925] Port=0 RD @06 + 44924.50ns INFO [00044926] * RD COMPARE * port=0 adr=01 act=A77E2208536E4187B9 exp=A77E2208536E4187B9 + 44924.50ns INFO [00044926] * RD COMPARE * port=1 adr=04 act=3605E1D8237DFF845A exp=3605E1D8237DFF845A + 44924.50ns INFO [00044926] Port=0 WR @07=9EB874A49C5E493412 + 44925.50ns INFO [00044927] * RD COMPARE * port=0 adr=06 act=28FBBCE20AE1212F66 exp=28FBBCE20AE1212F66 + 44925.50ns INFO [00044927] Port=0 WR @04=647F229D4E7FEDBA94 + 44925.50ns INFO [00044927] Port=0 RD @02 + 44925.50ns INFO [00044927] Port=1 RD @07 + 44926.50ns INFO [00044928] Port=0 RD @03 + 44926.50ns INFO [00044928] Port=1 RD @01 + 44927.50ns INFO [00044929] * RD COMPARE * port=0 adr=02 act=739DF0DFA54B71AD1E exp=739DF0DFA54B71AD1E + 44927.50ns INFO [00044929] * RD COMPARE * port=1 adr=07 act=9EB874A49C5E493412 exp=9EB874A49C5E493412 + 44927.50ns INFO [00044929] Port=1 RD @07 + 44928.50ns INFO [00044930] * RD COMPARE * port=0 adr=03 act=274EE4F732F6515E6E exp=274EE4F732F6515E6E + 44928.50ns INFO [00044930] * RD COMPARE * port=1 adr=01 act=A77E2208536E4187B9 exp=A77E2208536E4187B9 + 44928.50ns INFO [00044930] Port=0 RD @04 + 44928.50ns INFO [00044930] Port=1 RD @02 + 44929.50ns INFO [00044931] * RD COMPARE * port=1 adr=07 act=9EB874A49C5E493412 exp=9EB874A49C5E493412 + 44929.50ns INFO [00044931] Port=0 RD @00 + 44930.50ns INFO [00044932] * RD COMPARE * port=0 adr=04 act=647F229D4E7FEDBA94 exp=647F229D4E7FEDBA94 + 44930.50ns INFO [00044932] * RD COMPARE * port=1 adr=02 act=739DF0DFA54B71AD1E exp=739DF0DFA54B71AD1E + 44930.50ns INFO [00044932] Port=0 RD @02 + 44931.50ns INFO [00044933] * RD COMPARE * port=0 adr=00 act=C9BEC17809A53B938C exp=C9BEC17809A53B938C + 44932.50ns INFO [00044934] * RD COMPARE * port=0 adr=02 act=739DF0DFA54B71AD1E exp=739DF0DFA54B71AD1E + 44932.50ns INFO [00044934] Port=0 WR @00=75F0373682695F9373 + 44932.50ns INFO [00044934] Port=0 RD @01 + 44934.50ns INFO [00044936] * RD COMPARE * port=0 adr=01 act=A77E2208536E4187B9 exp=A77E2208536E4187B9 + 44934.50ns INFO [00044936] Port=0 RD @03 + 44935.50ns INFO [00044937] Port=0 WR @04=B7A0DA18D026AD53D0 + 44935.50ns INFO [00044937] Port=1 RD @03 + 44936.50ns INFO [00044938] * RD COMPARE * port=0 adr=03 act=274EE4F732F6515E6E exp=274EE4F732F6515E6E + 44936.50ns INFO [00044938] Port=0 RD @04 + 44937.50ns INFO [00044939] * RD COMPARE * port=1 adr=03 act=274EE4F732F6515E6E exp=274EE4F732F6515E6E + 44937.50ns INFO [00044939] Port=0 WR @07=BFED69D5036EACB05B + 44937.50ns INFO [00044939] Port=1 RD @01 + 44938.50ns INFO [00044940] * RD COMPARE * port=0 adr=04 act=B7A0DA18D026AD53D0 exp=B7A0DA18D026AD53D0 + 44938.50ns INFO [00044940] Port=0 WR @01=12769EF7D23844EFA7 + 44938.50ns INFO [00044940] Port=1 RD @07 + 44939.50ns INFO [00044941] * RD COMPARE * port=1 adr=01 act=A77E2208536E4187B9 exp=A77E2208536E4187B9 + 44940.50ns INFO [00044942] * RD COMPARE * port=1 adr=07 act=BFED69D5036EACB05B exp=BFED69D5036EACB05B + 44940.50ns INFO [00044942] Port=0 WR @07=4DB08F13DAC92B1FD5 + 44940.50ns INFO [00044942] Port=0 RD @05 + 44941.50ns INFO [00044943] Port=0 WR @00=6685AA942F341D0CB5 + 44941.50ns INFO [00044943] Port=1 RD @04 + 44942.50ns INFO [00044944] * RD COMPARE * port=0 adr=05 act=351BF7C3BF2197FEF8 exp=351BF7C3BF2197FEF8 + 44942.50ns INFO [00044944] Port=1 RD @05 + 44943.50ns INFO [00044945] * RD COMPARE * port=1 adr=04 act=B7A0DA18D026AD53D0 exp=B7A0DA18D026AD53D0 + 44943.50ns INFO [00044945] Port=1 RD @07 + 44944.50ns INFO [00044946] * RD COMPARE * port=1 adr=05 act=351BF7C3BF2197FEF8 exp=351BF7C3BF2197FEF8 + 44945.50ns INFO [00044947] * RD COMPARE * port=1 adr=07 act=4DB08F13DAC92B1FD5 exp=4DB08F13DAC92B1FD5 + 44945.50ns INFO [00044947] Port=0 WR @07=8929EFCF581F5E832C + 44945.50ns INFO [00044947] Port=1 RD @01 + 44946.50ns INFO [00044948] Port=0 RD @01 + 44947.50ns INFO [00044949] * RD COMPARE * port=1 adr=01 act=12769EF7D23844EFA7 exp=12769EF7D23844EFA7 + 44948.50ns INFO [00044950] * RD COMPARE * port=0 adr=01 act=12769EF7D23844EFA7 exp=12769EF7D23844EFA7 + 44948.50ns INFO [00044950] Port=0 WR @04=A5AAA171524F8269CE + 44948.50ns INFO [00044950] Port=1 RD @01 + 44949.50ns INFO [00044951] Port=0 WR @00=A6EEAC29102F8AAAFE + 44949.50ns INFO [00044951] Port=0 RD @06 + 44949.50ns INFO [00044951] Port=1 RD @06 + 44950.50ns INFO [00044952] * RD COMPARE * port=1 adr=01 act=12769EF7D23844EFA7 exp=12769EF7D23844EFA7 + 44950.50ns INFO [00044952] Port=0 RD @03 + 44951.50ns INFO [00044953] * RD COMPARE * port=0 adr=06 act=28FBBCE20AE1212F66 exp=28FBBCE20AE1212F66 + 44951.50ns INFO [00044953] * RD COMPARE * port=1 adr=06 act=28FBBCE20AE1212F66 exp=28FBBCE20AE1212F66 + 44951.50ns INFO [00044953] Port=0 WR @00=43093A67D8F8AAD1D9 + 44951.50ns INFO [00044953] Port=0 RD @02 + 44952.50ns INFO [00044954] * RD COMPARE * port=0 adr=03 act=274EE4F732F6515E6E exp=274EE4F732F6515E6E + 44952.50ns INFO [00044954] Port=0 WR @04=4B172A9F08AE003ED0 + 44952.50ns INFO [00044954] Port=1 RD @00 + 44953.50ns INFO [00044955] * RD COMPARE * port=0 adr=02 act=739DF0DFA54B71AD1E exp=739DF0DFA54B71AD1E + 44953.50ns INFO [00044955] Port=0 WR @05=AA8F09AAE4D0BAF40D + 44953.50ns INFO [00044955] Port=0 RD @06 + 44954.50ns INFO [00044956] * RD COMPARE * port=1 adr=00 act=43093A67D8F8AAD1D9 exp=43093A67D8F8AAD1D9 + 44954.50ns INFO [00044956] Port=0 RD @00 + 44955.50ns INFO [00044957] * RD COMPARE * port=0 adr=06 act=28FBBCE20AE1212F66 exp=28FBBCE20AE1212F66 + 44956.50ns INFO [00044958] * RD COMPARE * port=0 adr=00 act=43093A67D8F8AAD1D9 exp=43093A67D8F8AAD1D9 + 44956.50ns INFO [00044958] Port=0 WR @04=DD01FA8479E96A8E1D + 44957.50ns INFO [00044959] Port=0 WR @03=99CAFF33F905768701 + 44959.50ns INFO [00044961] Port=0 RD @05 + 44959.50ns INFO [00044961] Port=1 RD @04 + 44960.50ns INFO [00044962] Port=0 RD @04 + 44960.50ns INFO [00044962] Port=1 RD @02 + 44961.50ns INFO [00044963] * RD COMPARE * port=0 adr=05 act=AA8F09AAE4D0BAF40D exp=AA8F09AAE4D0BAF40D + 44961.50ns INFO [00044963] * RD COMPARE * port=1 adr=04 act=DD01FA8479E96A8E1D exp=DD01FA8479E96A8E1D + 44961.50ns INFO [00044963] Port=0 WR @05=5B61DE08CA3AC27A55 + 44961.50ns INFO [00044963] Port=0 RD @01 + 44961.50ns INFO [00044963] Port=1 RD @03 + 44962.50ns INFO [00044964] * RD COMPARE * port=0 adr=04 act=DD01FA8479E96A8E1D exp=DD01FA8479E96A8E1D + 44962.50ns INFO [00044964] * RD COMPARE * port=1 adr=02 act=739DF0DFA54B71AD1E exp=739DF0DFA54B71AD1E + 44962.50ns INFO [00044964] Port=0 RD @02 + 44963.50ns INFO [00044965] * RD COMPARE * port=0 adr=01 act=12769EF7D23844EFA7 exp=12769EF7D23844EFA7 + 44963.50ns INFO [00044965] * RD COMPARE * port=1 adr=03 act=99CAFF33F905768701 exp=99CAFF33F905768701 + 44963.50ns INFO [00044965] Port=0 WR @06=029841FCB3404065A4 + 44963.50ns INFO [00044965] Port=0 RD @07 + 44964.50ns INFO [00044966] * RD COMPARE * port=0 adr=02 act=739DF0DFA54B71AD1E exp=739DF0DFA54B71AD1E + 44965.50ns INFO [00044967] * RD COMPARE * port=0 adr=07 act=8929EFCF581F5E832C exp=8929EFCF581F5E832C + 44965.50ns INFO [00044967] Port=0 WR @02=621DD61DA44131670E + 44965.50ns INFO [00044967] Port=0 RD @04 + 44965.50ns INFO [00044967] Port=1 RD @04 + 44966.50ns INFO [00044968] Port=0 RD @06 + 44967.50ns INFO [00044969] * RD COMPARE * port=0 adr=04 act=DD01FA8479E96A8E1D exp=DD01FA8479E96A8E1D + 44967.50ns INFO [00044969] * RD COMPARE * port=1 adr=04 act=DD01FA8479E96A8E1D exp=DD01FA8479E96A8E1D + 44968.50ns INFO [00044970] * RD COMPARE * port=0 adr=06 act=029841FCB3404065A4 exp=029841FCB3404065A4 + 44968.50ns INFO [00044970] Port=0 WR @03=4E66317ED5214AB38D + 44969.50ns INFO [00044971] Port=0 RD @05 + 44970.50ns INFO [00044972] Port=0 WR @00=E3C284EC1BD9EA1169 + 44971.50ns INFO [00044973] * RD COMPARE * port=0 adr=05 act=5B61DE08CA3AC27A55 exp=5B61DE08CA3AC27A55 + 44971.50ns INFO [00044973] Port=0 RD @01 + 44971.50ns INFO [00044973] Port=1 RD @06 + 44972.50ns INFO [00044974] Port=0 RD @00 + 44973.50ns INFO [00044975] * RD COMPARE * port=0 adr=01 act=12769EF7D23844EFA7 exp=12769EF7D23844EFA7 + 44973.50ns INFO [00044975] * RD COMPARE * port=1 adr=06 act=029841FCB3404065A4 exp=029841FCB3404065A4 + 44974.50ns INFO [00044976] * RD COMPARE * port=0 adr=00 act=E3C284EC1BD9EA1169 exp=E3C284EC1BD9EA1169 + 44974.50ns INFO [00044976] Port=0 WR @02=10FA0E15E011E99EDF + 44975.50ns INFO [00044977] Port=0 RD @04 + 44975.50ns INFO [00044977] Port=1 RD @00 + 44976.50ns INFO [00044978] Port=0 WR @07=6930078D68D381B306 + 44976.50ns INFO [00044978] Port=0 RD @02 + 44977.50ns INFO [00044979] * RD COMPARE * port=0 adr=04 act=DD01FA8479E96A8E1D exp=DD01FA8479E96A8E1D + 44977.50ns INFO [00044979] * RD COMPARE * port=1 adr=00 act=E3C284EC1BD9EA1169 exp=E3C284EC1BD9EA1169 + 44977.50ns INFO [00044979] Port=0 WR @07=790E4A504D1F0B7A8F + 44978.50ns INFO [00044980] * RD COMPARE * port=0 adr=02 act=10FA0E15E011E99EDF exp=10FA0E15E011E99EDF + 44978.50ns INFO [00044980] Port=0 WR @01=4CEC26DA214DD10424 + 44979.50ns INFO [00044981] Port=1 RD @05 + 44980.50ns INFO [00044982] Port=0 WR @04=8D05D91422A3E21918 + 44980.50ns INFO [00044982] Port=0 RD @01 + 44981.50ns INFO [00044983] * RD COMPARE * port=1 adr=05 act=5B61DE08CA3AC27A55 exp=5B61DE08CA3AC27A55 + 44981.50ns INFO [00044983] Port=0 RD @01 + 44982.50ns INFO [00044984] * RD COMPARE * port=0 adr=01 act=4CEC26DA214DD10424 exp=4CEC26DA214DD10424 + 44982.50ns INFO [00044984] Port=0 WR @05=4E7273BCDC416548A0 + 44983.50ns INFO [00044985] * RD COMPARE * port=0 adr=01 act=4CEC26DA214DD10424 exp=4CEC26DA214DD10424 + 44983.50ns INFO [00044985] Port=0 RD @03 + 44984.50ns INFO [00044986] Port=0 WR @01=6531E4F9600578FEEE + 44985.50ns INFO [00044987] * RD COMPARE * port=0 adr=03 act=4E66317ED5214AB38D exp=4E66317ED5214AB38D + 44987.50ns INFO [00044989] Port=1 RD @03 + 44989.50ns INFO [00044991] * RD COMPARE * port=1 adr=03 act=4E66317ED5214AB38D exp=4E66317ED5214AB38D + 44989.50ns INFO [00044991] Port=1 RD @03 + 44991.50ns INFO [00044993] * RD COMPARE * port=1 adr=03 act=4E66317ED5214AB38D exp=4E66317ED5214AB38D + 44991.50ns INFO [00044993] Port=0 RD @03 + 44991.50ns INFO [00044993] Port=1 RD @03 + 44992.50ns INFO [00044994] Port=0 WR @00=49CCF7F17CB5005237 + 44992.50ns INFO [00044994] Port=0 RD @06 + 44993.50ns INFO [00044995] * RD COMPARE * port=0 adr=03 act=4E66317ED5214AB38D exp=4E66317ED5214AB38D + 44993.50ns INFO [00044995] * RD COMPARE * port=1 adr=03 act=4E66317ED5214AB38D exp=4E66317ED5214AB38D + 44993.50ns INFO [00044995] Port=1 RD @03 + 44994.50ns INFO [00044996] * RD COMPARE * port=0 adr=06 act=029841FCB3404065A4 exp=029841FCB3404065A4 + 44994.50ns INFO [00044996] Port=0 WR @02=054700A25AAEB9D511 + 44994.50ns INFO [00044996] Port=0 RD @00 + 44995.50ns INFO [00044997] * RD COMPARE * port=1 adr=03 act=4E66317ED5214AB38D exp=4E66317ED5214AB38D + 44996.50ns INFO [00044998] * RD COMPARE * port=0 adr=00 act=49CCF7F17CB5005237 exp=49CCF7F17CB5005237 + 44996.50ns INFO [00044998] Port=0 WR @04=9A6EC4D562B694E148 + 44996.50ns INFO [00044998] Port=1 RD @00 + 44997.50ns INFO [00044999] Port=0 WR @06=0D9A8E475AFB5BEFE6 + 44997.50ns INFO [00044999] Port=0 RD @00 + 44998.00ns INFO [00045000] [00045000] ...tick... + 44998.50ns INFO [00045000] * RD COMPARE * port=1 adr=00 act=49CCF7F17CB5005237 exp=49CCF7F17CB5005237 + 44998.50ns INFO [00045000] Port=0 WR @00=9782DCAABF74869129 + 44999.50ns INFO [00045001] * RD COMPARE * port=0 adr=00 act=49CCF7F17CB5005237 exp=49CCF7F17CB5005237 + 44999.50ns INFO [00045001] Port=0 RD @02 + 44999.50ns INFO [00045001] Port=1 RD @02 + 45000.50ns INFO [00045002] Port=0 WR @02=5C242B380878C52CF8 + 45001.50ns INFO [00045003] * RD COMPARE * port=0 adr=02 act=054700A25AAEB9D511 exp=054700A25AAEB9D511 + 45001.50ns INFO [00045003] * RD COMPARE * port=1 adr=02 act=054700A25AAEB9D511 exp=054700A25AAEB9D511 + 45001.50ns INFO [00045003] Port=0 WR @06=796D4F11082624EDD0 + 45001.50ns INFO [00045003] Port=1 RD @03 + 45002.50ns INFO [00045004] Port=0 WR @00=93E6171592915FB4BD + 45002.50ns INFO [00045004] Port=0 RD @04 + 45002.50ns INFO [00045004] Port=1 RD @06 + 45003.50ns INFO [00045005] * RD COMPARE * port=1 adr=03 act=4E66317ED5214AB38D exp=4E66317ED5214AB38D + 45004.50ns INFO [00045006] * RD COMPARE * port=0 adr=04 act=9A6EC4D562B694E148 exp=9A6EC4D562B694E148 + 45004.50ns INFO [00045006] * RD COMPARE * port=1 adr=06 act=796D4F11082624EDD0 exp=796D4F11082624EDD0 + 45004.50ns INFO [00045006] Port=0 WR @00=066BD32EE26262F70A + 45004.50ns INFO [00045006] Port=1 RD @07 + 45005.50ns INFO [00045007] Port=0 WR @06=680B398426A482620A + 45005.50ns INFO [00045007] Port=0 RD @02 + 45006.50ns INFO [00045008] * RD COMPARE * port=1 adr=07 act=790E4A504D1F0B7A8F exp=790E4A504D1F0B7A8F + 45007.50ns INFO [00045009] * RD COMPARE * port=0 adr=02 act=5C242B380878C52CF8 exp=5C242B380878C52CF8 + 45008.50ns INFO [00045010] Port=0 RD @03 + 45008.50ns INFO [00045010] Port=1 RD @00 + 45009.50ns INFO [00045011] Port=0 RD @03 + 45009.50ns INFO [00045011] Port=1 RD @02 + 45010.50ns INFO [00045012] * RD COMPARE * port=0 adr=03 act=4E66317ED5214AB38D exp=4E66317ED5214AB38D + 45010.50ns INFO [00045012] * RD COMPARE * port=1 adr=00 act=066BD32EE26262F70A exp=066BD32EE26262F70A + 45010.50ns INFO [00045012] Port=0 WR @02=93B99DA8B1AFEC6DE2 + 45010.50ns INFO [00045012] Port=1 RD @06 + 45011.50ns INFO [00045013] * RD COMPARE * port=0 adr=03 act=4E66317ED5214AB38D exp=4E66317ED5214AB38D + 45011.50ns INFO [00045013] * RD COMPARE * port=1 adr=02 act=5C242B380878C52CF8 exp=5C242B380878C52CF8 + 45011.50ns INFO [00045013] Port=0 RD @02 + 45011.50ns INFO [00045013] Port=1 RD @07 + 45012.50ns INFO [00045014] * RD COMPARE * port=1 adr=06 act=680B398426A482620A exp=680B398426A482620A + 45012.50ns INFO [00045014] Port=0 WR @03=98D313065712109C05 + 45013.50ns INFO [00045015] * RD COMPARE * port=0 adr=02 act=93B99DA8B1AFEC6DE2 exp=93B99DA8B1AFEC6DE2 + 45013.50ns INFO [00045015] * RD COMPARE * port=1 adr=07 act=790E4A504D1F0B7A8F exp=790E4A504D1F0B7A8F + 45013.50ns INFO [00045015] Port=0 RD @00 + 45013.50ns INFO [00045015] Port=1 RD @02 + 45014.50ns INFO [00045016] Port=0 WR @01=8FEF763BE6A2254B18 + 45014.50ns INFO [00045016] Port=1 RD @03 + 45015.50ns INFO [00045017] * RD COMPARE * port=0 adr=00 act=066BD32EE26262F70A exp=066BD32EE26262F70A + 45015.50ns INFO [00045017] * RD COMPARE * port=1 adr=02 act=93B99DA8B1AFEC6DE2 exp=93B99DA8B1AFEC6DE2 + 45015.50ns INFO [00045017] Port=0 WR @01=17F23B02443C9B7D19 + 45015.50ns INFO [00045017] Port=0 RD @05 + 45015.50ns INFO [00045017] Port=1 RD @00 + 45016.50ns INFO [00045018] * RD COMPARE * port=1 adr=03 act=98D313065712109C05 exp=98D313065712109C05 + 45017.50ns INFO [00045019] * RD COMPARE * port=0 adr=05 act=4E7273BCDC416548A0 exp=4E7273BCDC416548A0 + 45017.50ns INFO [00045019] * RD COMPARE * port=1 adr=00 act=066BD32EE26262F70A exp=066BD32EE26262F70A + 45017.50ns INFO [00045019] Port=1 RD @01 + 45018.50ns INFO [00045020] Port=0 WR @05=55E8789C9C79EB0C87 + 45018.50ns INFO [00045020] Port=0 RD @02 + 45019.50ns INFO [00045021] * RD COMPARE * port=1 adr=01 act=17F23B02443C9B7D19 exp=17F23B02443C9B7D19 + 45019.50ns INFO [00045021] Port=0 WR @01=9C6DE80910E143E665 + 45020.50ns INFO [00045022] * RD COMPARE * port=0 adr=02 act=93B99DA8B1AFEC6DE2 exp=93B99DA8B1AFEC6DE2 + 45020.50ns INFO [00045022] Port=0 WR @07=486FC189C0A0AFAA81 + 45020.50ns INFO [00045022] Port=0 RD @04 + 45021.50ns INFO [00045023] Port=0 WR @00=5BECA929D2D09BC3CF + 45022.50ns INFO [00045024] * RD COMPARE * port=0 adr=04 act=9A6EC4D562B694E148 exp=9A6EC4D562B694E148 + 45022.50ns INFO [00045024] Port=0 WR @04=D1BCA03F5F15D36F2A + 45022.50ns INFO [00045024] Port=1 RD @03 + 45023.50ns INFO [00045025] Port=0 WR @07=FDBE5F3CFDFEA1A0D9 + 45023.50ns INFO [00045025] Port=1 RD @04 + 45024.50ns INFO [00045026] * RD COMPARE * port=1 adr=03 act=98D313065712109C05 exp=98D313065712109C05 + 45025.50ns INFO [00045027] * RD COMPARE * port=1 adr=04 act=D1BCA03F5F15D36F2A exp=D1BCA03F5F15D36F2A + 45025.50ns INFO [00045027] Port=0 WR @05=50F67C743A1DF25C2D + 45026.50ns INFO [00045028] Port=0 WR @03=B58A6C2C5C3935D7A2 + 45026.50ns INFO [00045028] Port=0 RD @02 + 45028.50ns INFO [00045030] * RD COMPARE * port=0 adr=02 act=93B99DA8B1AFEC6DE2 exp=93B99DA8B1AFEC6DE2 + 45028.50ns INFO [00045030] Port=0 WR @00=6D3C65A9DB582212F5 + 45029.50ns INFO [00045031] Port=0 WR @03=19491EB3FF783E67E3 + 45031.50ns INFO [00045033] Port=0 RD @06 + 45032.50ns INFO [00045034] Port=0 WR @05=5C282EBE595C47D26F + 45032.50ns INFO [00045034] Port=1 RD @01 + 45033.50ns INFO [00045035] * RD COMPARE * port=0 adr=06 act=680B398426A482620A exp=680B398426A482620A + 45033.50ns INFO [00045035] Port=1 RD @03 + 45034.50ns INFO [00045036] * RD COMPARE * port=1 adr=01 act=9C6DE80910E143E665 exp=9C6DE80910E143E665 + 45034.50ns INFO [00045036] Port=0 WR @02=C950CA1F43F8CCD8F3 + 45034.50ns INFO [00045036] Port=0 RD @01 + 45035.50ns INFO [00045037] * RD COMPARE * port=1 adr=03 act=19491EB3FF783E67E3 exp=19491EB3FF783E67E3 + 45035.50ns INFO [00045037] Port=0 RD @06 + 45035.50ns INFO [00045037] Port=1 RD @02 + 45036.50ns INFO [00045038] * RD COMPARE * port=0 adr=01 act=9C6DE80910E143E665 exp=9C6DE80910E143E665 + 45036.50ns INFO [00045038] Port=0 RD @03 + 45036.50ns INFO [00045038] Port=1 RD @01 + 45037.50ns INFO [00045039] * RD COMPARE * port=0 adr=06 act=680B398426A482620A exp=680B398426A482620A + 45037.50ns INFO [00045039] * RD COMPARE * port=1 adr=02 act=C950CA1F43F8CCD8F3 exp=C950CA1F43F8CCD8F3 + 45037.50ns INFO [00045039] Port=1 RD @07 + 45038.50ns INFO [00045040] * RD COMPARE * port=0 adr=03 act=19491EB3FF783E67E3 exp=19491EB3FF783E67E3 + 45038.50ns INFO [00045040] * RD COMPARE * port=1 adr=01 act=9C6DE80910E143E665 exp=9C6DE80910E143E665 + 45038.50ns INFO [00045040] Port=0 WR @00=457E440E2F144A7CDA + 45038.50ns INFO [00045040] Port=0 RD @05 + 45039.50ns INFO [00045041] * RD COMPARE * port=1 adr=07 act=FDBE5F3CFDFEA1A0D9 exp=FDBE5F3CFDFEA1A0D9 + 45039.50ns INFO [00045041] Port=1 RD @06 + 45040.50ns INFO [00045042] * RD COMPARE * port=0 adr=05 act=5C282EBE595C47D26F exp=5C282EBE595C47D26F + 45040.50ns INFO [00045042] Port=1 RD @05 + 45041.50ns INFO [00045043] * RD COMPARE * port=1 adr=06 act=680B398426A482620A exp=680B398426A482620A + 45041.50ns INFO [00045043] Port=0 RD @06 + 45042.50ns INFO [00045044] * RD COMPARE * port=1 adr=05 act=5C282EBE595C47D26F exp=5C282EBE595C47D26F + 45042.50ns INFO [00045044] Port=0 WR @05=58A81CAEFE5CAEAAB3 + 45042.50ns INFO [00045044] Port=0 RD @02 + 45042.50ns INFO [00045044] Port=1 RD @04 + 45043.50ns INFO [00045045] * RD COMPARE * port=0 adr=06 act=680B398426A482620A exp=680B398426A482620A + 45043.50ns INFO [00045045] Port=1 RD @06 + 45044.50ns INFO [00045046] * RD COMPARE * port=0 adr=02 act=C950CA1F43F8CCD8F3 exp=C950CA1F43F8CCD8F3 + 45044.50ns INFO [00045046] * RD COMPARE * port=1 adr=04 act=D1BCA03F5F15D36F2A exp=D1BCA03F5F15D36F2A + 45044.50ns INFO [00045046] Port=0 RD @05 + 45045.50ns INFO [00045047] * RD COMPARE * port=1 adr=06 act=680B398426A482620A exp=680B398426A482620A + 45045.50ns INFO [00045047] Port=1 RD @06 + 45046.50ns INFO [00045048] * RD COMPARE * port=0 adr=05 act=58A81CAEFE5CAEAAB3 exp=58A81CAEFE5CAEAAB3 + 45047.50ns INFO [00045049] * RD COMPARE * port=1 adr=06 act=680B398426A482620A exp=680B398426A482620A + 45049.50ns INFO [00045051] Port=1 RD @00 + 45050.50ns INFO [00045052] Port=0 WR @03=A68ED37F2C61C98744 + 45050.50ns INFO [00045052] Port=1 RD @01 + 45051.50ns INFO [00045053] * RD COMPARE * port=1 adr=00 act=457E440E2F144A7CDA exp=457E440E2F144A7CDA + 45052.50ns INFO [00045054] * RD COMPARE * port=1 adr=01 act=9C6DE80910E143E665 exp=9C6DE80910E143E665 + 45052.50ns INFO [00045054] Port=1 RD @03 + 45053.50ns INFO [00045055] Port=1 RD @01 + 45054.50ns INFO [00045056] * RD COMPARE * port=1 adr=03 act=A68ED37F2C61C98744 exp=A68ED37F2C61C98744 + 45054.50ns INFO [00045056] Port=0 RD @07 + 45054.50ns INFO [00045056] Port=1 RD @06 + 45055.50ns INFO [00045057] * RD COMPARE * port=1 adr=01 act=9C6DE80910E143E665 exp=9C6DE80910E143E665 + 45055.50ns INFO [00045057] Port=0 WR @06=62397263B943D3582A + 45055.50ns INFO [00045057] Port=0 RD @01 + 45056.50ns INFO [00045058] * RD COMPARE * port=0 adr=07 act=FDBE5F3CFDFEA1A0D9 exp=FDBE5F3CFDFEA1A0D9 + 45056.50ns INFO [00045058] * RD COMPARE * port=1 adr=06 act=680B398426A482620A exp=680B398426A482620A + 45056.50ns INFO [00045058] Port=0 RD @03 + 45057.50ns INFO [00045059] * RD COMPARE * port=0 adr=01 act=9C6DE80910E143E665 exp=9C6DE80910E143E665 + 45058.50ns INFO [00045060] * RD COMPARE * port=0 adr=03 act=A68ED37F2C61C98744 exp=A68ED37F2C61C98744 + 45059.50ns INFO [00045061] Port=0 WR @06=1E97DF9D06ABD8C423 + 45059.50ns INFO [00045061] Port=1 RD @01 + 45060.50ns INFO [00045062] Port=0 RD @07 + 45060.50ns INFO [00045062] Port=1 RD @04 + 45061.50ns INFO [00045063] * RD COMPARE * port=1 adr=01 act=9C6DE80910E143E665 exp=9C6DE80910E143E665 + 45062.50ns INFO [00045064] * RD COMPARE * port=0 adr=07 act=FDBE5F3CFDFEA1A0D9 exp=FDBE5F3CFDFEA1A0D9 + 45062.50ns INFO [00045064] * RD COMPARE * port=1 adr=04 act=D1BCA03F5F15D36F2A exp=D1BCA03F5F15D36F2A + 45062.50ns INFO [00045064] Port=0 RD @06 + 45062.50ns INFO [00045064] Port=1 RD @04 + 45064.50ns INFO [00045066] * RD COMPARE * port=0 adr=06 act=1E97DF9D06ABD8C423 exp=1E97DF9D06ABD8C423 + 45064.50ns INFO [00045066] * RD COMPARE * port=1 adr=04 act=D1BCA03F5F15D36F2A exp=D1BCA03F5F15D36F2A + 45065.50ns INFO [00045067] Port=0 RD @04 + 45065.50ns INFO [00045067] Port=1 RD @05 + 45067.50ns INFO [00045069] * RD COMPARE * port=0 adr=04 act=D1BCA03F5F15D36F2A exp=D1BCA03F5F15D36F2A + 45067.50ns INFO [00045069] * RD COMPARE * port=1 adr=05 act=58A81CAEFE5CAEAAB3 exp=58A81CAEFE5CAEAAB3 + 45067.50ns INFO [00045069] Port=1 RD @00 + 45068.50ns INFO [00045070] Port=0 WR @03=C11D14A646B1BEB1E2 + 45068.50ns INFO [00045070] Port=0 RD @07 + 45069.50ns INFO [00045071] * RD COMPARE * port=1 adr=00 act=457E440E2F144A7CDA exp=457E440E2F144A7CDA + 45070.50ns INFO [00045072] * RD COMPARE * port=0 adr=07 act=FDBE5F3CFDFEA1A0D9 exp=FDBE5F3CFDFEA1A0D9 + 45070.50ns INFO [00045072] Port=0 RD @03 + 45071.50ns INFO [00045073] Port=0 WR @05=035B76B2F23E8AAE67 + 45072.50ns INFO [00045074] * RD COMPARE * port=0 adr=03 act=C11D14A646B1BEB1E2 exp=C11D14A646B1BEB1E2 + 45072.50ns INFO [00045074] Port=0 RD @06 + 45074.50ns INFO [00045076] * RD COMPARE * port=0 adr=06 act=1E97DF9D06ABD8C423 exp=1E97DF9D06ABD8C423 + 45074.50ns INFO [00045076] Port=0 WR @01=4314BAEBB2A63BB62D + 45074.50ns INFO [00045076] Port=1 RD @07 + 45075.50ns INFO [00045077] Port=0 RD @04 + 45075.50ns INFO [00045077] Port=1 RD @04 + 45076.50ns INFO [00045078] * RD COMPARE * port=1 adr=07 act=FDBE5F3CFDFEA1A0D9 exp=FDBE5F3CFDFEA1A0D9 + 45076.50ns INFO [00045078] Port=0 WR @02=F87CD897C56E6F9200 + 45076.50ns INFO [00045078] Port=0 RD @06 + 45077.50ns INFO [00045079] * RD COMPARE * port=0 adr=04 act=D1BCA03F5F15D36F2A exp=D1BCA03F5F15D36F2A + 45077.50ns INFO [00045079] * RD COMPARE * port=1 adr=04 act=D1BCA03F5F15D36F2A exp=D1BCA03F5F15D36F2A + 45077.50ns INFO [00045079] Port=0 RD @00 + 45077.50ns INFO [00045079] Port=1 RD @07 + 45078.50ns INFO [00045080] * RD COMPARE * port=0 adr=06 act=1E97DF9D06ABD8C423 exp=1E97DF9D06ABD8C423 + 45078.50ns INFO [00045080] Port=1 RD @05 + 45079.50ns INFO [00045081] * RD COMPARE * port=0 adr=00 act=457E440E2F144A7CDA exp=457E440E2F144A7CDA + 45079.50ns INFO [00045081] * RD COMPARE * port=1 adr=07 act=FDBE5F3CFDFEA1A0D9 exp=FDBE5F3CFDFEA1A0D9 + 45079.50ns INFO [00045081] Port=0 WR @06=BF725E446DAE6A138A + 45080.50ns INFO [00045082] * RD COMPARE * port=1 adr=05 act=035B76B2F23E8AAE67 exp=035B76B2F23E8AAE67 + 45083.50ns INFO [00045085] Port=0 WR @03=52FA10B81B5090D4D6 + 45083.50ns INFO [00045085] Port=0 RD @05 + 45084.50ns INFO [00045086] Port=0 WR @05=64AB44C8DC43028661 + 45085.50ns INFO [00045087] * RD COMPARE * port=0 adr=05 act=035B76B2F23E8AAE67 exp=035B76B2F23E8AAE67 + 45085.50ns INFO [00045087] Port=0 RD @04 + 45085.50ns INFO [00045087] Port=1 RD @01 + 45086.50ns INFO [00045088] Port=0 WR @01=1B22282B9216F14245 + 45086.50ns INFO [00045088] Port=0 RD @03 + 45087.50ns INFO [00045089] * RD COMPARE * port=0 adr=04 act=D1BCA03F5F15D36F2A exp=D1BCA03F5F15D36F2A + 45087.50ns INFO [00045089] * RD COMPARE * port=1 adr=01 act=4314BAEBB2A63BB62D exp=4314BAEBB2A63BB62D + 45087.50ns INFO [00045089] Port=0 WR @07=D38DB18CBA5084112D + 45087.50ns INFO [00045089] Port=0 RD @01 + 45087.50ns INFO [00045089] Port=1 RD @01 + 45088.50ns INFO [00045090] * RD COMPARE * port=0 adr=03 act=52FA10B81B5090D4D6 exp=52FA10B81B5090D4D6 + 45088.50ns INFO [00045090] Port=0 RD @07 + 45089.50ns INFO [00045091] * RD COMPARE * port=0 adr=01 act=1B22282B9216F14245 exp=1B22282B9216F14245 + 45089.50ns INFO [00045091] * RD COMPARE * port=1 adr=01 act=1B22282B9216F14245 exp=1B22282B9216F14245 + 45090.50ns INFO [00045092] * RD COMPARE * port=0 adr=07 act=D38DB18CBA5084112D exp=D38DB18CBA5084112D + 45090.50ns INFO [00045092] Port=0 WR @06=880B0C08C58047712B + 45090.50ns INFO [00045092] Port=0 RD @07 + 45090.50ns INFO [00045092] Port=1 RD @00 + 45091.50ns INFO [00045093] Port=0 RD @06 + 45092.50ns INFO [00045094] * RD COMPARE * port=0 adr=07 act=D38DB18CBA5084112D exp=D38DB18CBA5084112D + 45092.50ns INFO [00045094] * RD COMPARE * port=1 adr=00 act=457E440E2F144A7CDA exp=457E440E2F144A7CDA + 45092.50ns INFO [00045094] Port=0 WR @05=5599D8C4D68EFC429F + 45093.50ns INFO [00045095] * RD COMPARE * port=0 adr=06 act=880B0C08C58047712B exp=880B0C08C58047712B + 45093.50ns INFO [00045095] Port=0 WR @02=3EFA79F96DEDAC4276 + 45093.50ns INFO [00045095] Port=1 RD @07 + 45094.50ns INFO [00045096] Port=0 RD @06 + 45095.50ns INFO [00045097] * RD COMPARE * port=1 adr=07 act=D38DB18CBA5084112D exp=D38DB18CBA5084112D + 45095.50ns INFO [00045097] Port=0 WR @06=C9D11AE6A8202232A8 + 45095.50ns INFO [00045097] Port=0 RD @04 + 45096.50ns INFO [00045098] * RD COMPARE * port=0 adr=06 act=880B0C08C58047712B exp=880B0C08C58047712B + 45096.50ns INFO [00045098] Port=0 RD @04 + 45097.50ns INFO [00045099] * RD COMPARE * port=0 adr=04 act=D1BCA03F5F15D36F2A exp=D1BCA03F5F15D36F2A + 45097.50ns INFO [00045099] Port=0 RD @03 + 45098.00ns INFO [00045100] [00045100] ...tick... + 45098.50ns INFO [00045100] * RD COMPARE * port=0 adr=04 act=D1BCA03F5F15D36F2A exp=D1BCA03F5F15D36F2A + 45098.50ns INFO [00045100] Port=0 RD @06 + 45098.50ns INFO [00045100] Port=1 RD @06 + 45099.50ns INFO [00045101] * RD COMPARE * port=0 adr=03 act=52FA10B81B5090D4D6 exp=52FA10B81B5090D4D6 + 45099.50ns INFO [00045101] Port=0 WR @03=4889C279AB988AAA43 + 45099.50ns INFO [00045101] Port=1 RD @00 + 45100.50ns INFO [00045102] * RD COMPARE * port=0 adr=06 act=C9D11AE6A8202232A8 exp=C9D11AE6A8202232A8 + 45100.50ns INFO [00045102] * RD COMPARE * port=1 adr=06 act=C9D11AE6A8202232A8 exp=C9D11AE6A8202232A8 + 45100.50ns INFO [00045102] Port=0 WR @05=2FCCE4FA11826A3E5B + 45100.50ns INFO [00045102] Port=0 RD @00 + 45101.50ns INFO [00045103] * RD COMPARE * port=1 adr=00 act=457E440E2F144A7CDA exp=457E440E2F144A7CDA + 45101.50ns INFO [00045103] Port=0 WR @06=91A5E6638B27495AC2 + 45101.50ns INFO [00045103] Port=0 RD @03 + 45101.50ns INFO [00045103] Port=1 RD @00 + 45102.50ns INFO [00045104] * RD COMPARE * port=0 adr=00 act=457E440E2F144A7CDA exp=457E440E2F144A7CDA + 45103.50ns INFO [00045105] * RD COMPARE * port=0 adr=03 act=4889C279AB988AAA43 exp=4889C279AB988AAA43 + 45103.50ns INFO [00045105] * RD COMPARE * port=1 adr=00 act=457E440E2F144A7CDA exp=457E440E2F144A7CDA + 45103.50ns INFO [00045105] Port=0 WR @04=85DA84692AA15E8E55 + 45103.50ns INFO [00045105] Port=0 RD @02 + 45103.50ns INFO [00045105] Port=1 RD @01 + 45104.50ns INFO [00045106] Port=0 WR @04=57B491BC08FF70645C + 45105.50ns INFO [00045107] * RD COMPARE * port=0 adr=02 act=3EFA79F96DEDAC4276 exp=3EFA79F96DEDAC4276 + 45105.50ns INFO [00045107] * RD COMPARE * port=1 adr=01 act=1B22282B9216F14245 exp=1B22282B9216F14245 + 45105.50ns INFO [00045107] Port=0 RD @05 + 45107.50ns INFO [00045109] * RD COMPARE * port=0 adr=05 act=2FCCE4FA11826A3E5B exp=2FCCE4FA11826A3E5B + 45107.50ns INFO [00045109] Port=0 RD @02 + 45107.50ns INFO [00045109] Port=1 RD @07 + 45108.50ns INFO [00045110] Port=1 RD @02 + 45109.50ns INFO [00045111] * RD COMPARE * port=0 adr=02 act=3EFA79F96DEDAC4276 exp=3EFA79F96DEDAC4276 + 45109.50ns INFO [00045111] * RD COMPARE * port=1 adr=07 act=D38DB18CBA5084112D exp=D38DB18CBA5084112D + 45109.50ns INFO [00045111] Port=0 WR @00=9359142A8DF31C7BE5 + 45110.50ns INFO [00045112] * RD COMPARE * port=1 adr=02 act=3EFA79F96DEDAC4276 exp=3EFA79F96DEDAC4276 + 45112.50ns INFO [00045114] Port=0 WR @01=47A074F3FE083F746E + 45113.50ns INFO [00045115] Port=0 WR @02=054395E19ED937FDEF + 45113.50ns INFO [00045115] Port=0 RD @03 + 45114.50ns INFO [00045116] Port=0 RD @07 + 45114.50ns INFO [00045116] Port=1 RD @01 + 45115.50ns INFO [00045117] * RD COMPARE * port=0 adr=03 act=4889C279AB988AAA43 exp=4889C279AB988AAA43 + 45115.50ns INFO [00045117] Port=0 WR @05=751A92BECF215D071C + 45115.50ns INFO [00045117] Port=0 RD @01 + 45116.50ns INFO [00045118] * RD COMPARE * port=0 adr=07 act=D38DB18CBA5084112D exp=D38DB18CBA5084112D + 45116.50ns INFO [00045118] * RD COMPARE * port=1 adr=01 act=47A074F3FE083F746E exp=47A074F3FE083F746E + 45116.50ns INFO [00045118] Port=0 WR @02=CEE10D0FCC66481DBF + 45116.50ns INFO [00045118] Port=0 RD @07 + 45116.50ns INFO [00045118] Port=1 RD @07 + 45117.50ns INFO [00045119] * RD COMPARE * port=0 adr=01 act=47A074F3FE083F746E exp=47A074F3FE083F746E + 45118.50ns INFO [00045120] * RD COMPARE * port=0 adr=07 act=D38DB18CBA5084112D exp=D38DB18CBA5084112D + 45118.50ns INFO [00045120] * RD COMPARE * port=1 adr=07 act=D38DB18CBA5084112D exp=D38DB18CBA5084112D + 45118.50ns INFO [00045120] Port=1 RD @00 + 45119.50ns INFO [00045121] Port=0 WR @03=3032B361D329BD44A0 + 45120.50ns INFO [00045122] * RD COMPARE * port=1 adr=00 act=9359142A8DF31C7BE5 exp=9359142A8DF31C7BE5 + 45122.50ns INFO [00045124] Port=1 RD @06 + 45123.50ns INFO [00045125] Port=0 WR @01=A0F25E31CB83408787 + 45123.50ns INFO [00045125] Port=0 RD @03 + 45124.50ns INFO [00045126] * RD COMPARE * port=1 adr=06 act=91A5E6638B27495AC2 exp=91A5E6638B27495AC2 + 45124.50ns INFO [00045126] Port=0 RD @07 + 45125.50ns INFO [00045127] * RD COMPARE * port=0 adr=03 act=3032B361D329BD44A0 exp=3032B361D329BD44A0 + 45125.50ns INFO [00045127] Port=1 RD @05 + 45126.50ns INFO [00045128] * RD COMPARE * port=0 adr=07 act=D38DB18CBA5084112D exp=D38DB18CBA5084112D + 45127.50ns INFO [00045129] * RD COMPARE * port=1 adr=05 act=751A92BECF215D071C exp=751A92BECF215D071C + 45127.50ns INFO [00045129] Port=0 WR @00=8F223DD0C33057AFC3 + 45127.50ns INFO [00045129] Port=1 RD @03 + 45128.50ns INFO [00045130] Port=0 RD @06 + 45128.50ns INFO [00045130] Port=1 RD @07 + 45129.50ns INFO [00045131] * RD COMPARE * port=1 adr=03 act=3032B361D329BD44A0 exp=3032B361D329BD44A0 + 45129.50ns INFO [00045131] Port=0 WR @06=C8F89CEDE32FC6AEAF + 45129.50ns INFO [00045131] Port=0 RD @03 + 45130.50ns INFO [00045132] * RD COMPARE * port=0 adr=06 act=91A5E6638B27495AC2 exp=91A5E6638B27495AC2 + 45130.50ns INFO [00045132] * RD COMPARE * port=1 adr=07 act=D38DB18CBA5084112D exp=D38DB18CBA5084112D + 45131.50ns INFO [00045133] * RD COMPARE * port=0 adr=03 act=3032B361D329BD44A0 exp=3032B361D329BD44A0 + 45131.50ns INFO [00045133] Port=0 RD @01 + 45131.50ns INFO [00045133] Port=1 RD @03 + 45132.50ns INFO [00045134] Port=0 WR @04=E031E7484F25DF6059 + 45133.50ns INFO [00045135] * RD COMPARE * port=0 adr=01 act=A0F25E31CB83408787 exp=A0F25E31CB83408787 + 45133.50ns INFO [00045135] * RD COMPARE * port=1 adr=03 act=3032B361D329BD44A0 exp=3032B361D329BD44A0 + 45134.50ns INFO [00045136] Port=0 WR @02=080B23F2722C3920CE + 45134.50ns INFO [00045136] Port=0 RD @01 + 45135.50ns INFO [00045137] Port=0 WR @00=73A4E85462B74024BB + 45135.50ns INFO [00045137] Port=1 RD @07 + 45136.50ns INFO [00045138] * RD COMPARE * port=0 adr=01 act=A0F25E31CB83408787 exp=A0F25E31CB83408787 + 45136.50ns INFO [00045138] Port=0 WR @07=ABE62EA6A705273D34 + 45136.50ns INFO [00045138] Port=1 RD @05 + 45137.50ns INFO [00045139] * RD COMPARE * port=1 adr=07 act=D38DB18CBA5084112D exp=D38DB18CBA5084112D + 45137.50ns INFO [00045139] Port=0 WR @00=C00477D129400D441E + 45137.50ns INFO [00045139] Port=1 RD @06 + 45138.50ns INFO [00045140] * RD COMPARE * port=1 adr=05 act=751A92BECF215D071C exp=751A92BECF215D071C + 45138.50ns INFO [00045140] Port=0 WR @06=0DAC567705685F2C7A + 45138.50ns INFO [00045140] Port=0 RD @05 + 45138.50ns INFO [00045140] Port=1 RD @07 + 45139.50ns INFO [00045141] * RD COMPARE * port=1 adr=06 act=C8F89CEDE32FC6AEAF exp=C8F89CEDE32FC6AEAF + 45139.50ns INFO [00045141] Port=0 RD @04 + 45139.50ns INFO [00045141] Port=1 RD @02 + 45140.50ns INFO [00045142] * RD COMPARE * port=0 adr=05 act=751A92BECF215D071C exp=751A92BECF215D071C + 45140.50ns INFO [00045142] * RD COMPARE * port=1 adr=07 act=ABE62EA6A705273D34 exp=ABE62EA6A705273D34 + 45140.50ns INFO [00045142] Port=0 WR @04=5DB0EBCBCB33E6A1CD + 45141.50ns INFO [00045143] * RD COMPARE * port=0 adr=04 act=E031E7484F25DF6059 exp=E031E7484F25DF6059 + 45141.50ns INFO [00045143] * RD COMPARE * port=1 adr=02 act=080B23F2722C3920CE exp=080B23F2722C3920CE + 45142.50ns INFO [00045144] Port=0 WR @04=8507883A339DE1DBE5 + 45142.50ns INFO [00045144] Port=1 RD @06 + 45143.50ns INFO [00045145] Port=0 RD @00 + 45143.50ns INFO [00045145] Port=1 RD @07 + 45144.50ns INFO [00045146] * RD COMPARE * port=1 adr=06 act=0DAC567705685F2C7A exp=0DAC567705685F2C7A + 45144.50ns INFO [00045146] Port=0 WR @04=158B64456FC017D6C9 + 45145.50ns INFO [00045147] * RD COMPARE * port=0 adr=00 act=C00477D129400D441E exp=C00477D129400D441E + 45145.50ns INFO [00045147] * RD COMPARE * port=1 adr=07 act=ABE62EA6A705273D34 exp=ABE62EA6A705273D34 + 45145.50ns INFO [00045147] Port=0 WR @05=7C537B7B29C2A5910E + 45145.50ns INFO [00045147] Port=0 RD @02 + 45146.50ns INFO [00045148] Port=0 WR @03=6D0252D40A6121A457 + 45147.50ns INFO [00045149] * RD COMPARE * port=0 adr=02 act=080B23F2722C3920CE exp=080B23F2722C3920CE + 45147.50ns INFO [00045149] Port=0 WR @02=892085250E2B71EA2C + 45148.50ns INFO [00045150] Port=0 WR @03=A654201021329BB173 + 45149.50ns INFO [00045151] Port=1 RD @02 + 45150.50ns INFO [00045152] Port=0 WR @07=BA70CA5BCAFECDE0D7 + 45151.50ns INFO [00045153] * RD COMPARE * port=1 adr=02 act=892085250E2B71EA2C exp=892085250E2B71EA2C + 45151.50ns INFO [00045153] Port=0 RD @01 + 45152.50ns INFO [00045154] Port=0 RD @03 + 45152.50ns INFO [00045154] Port=1 RD @04 + 45153.50ns INFO [00045155] * RD COMPARE * port=0 adr=01 act=A0F25E31CB83408787 exp=A0F25E31CB83408787 + 45153.50ns INFO [00045155] Port=0 RD @06 + 45153.50ns INFO [00045155] Port=1 RD @06 + 45154.50ns INFO [00045156] * RD COMPARE * port=0 adr=03 act=A654201021329BB173 exp=A654201021329BB173 + 45154.50ns INFO [00045156] * RD COMPARE * port=1 adr=04 act=158B64456FC017D6C9 exp=158B64456FC017D6C9 + 45154.50ns INFO [00045156] Port=0 RD @00 + 45155.50ns INFO [00045157] * RD COMPARE * port=0 adr=06 act=0DAC567705685F2C7A exp=0DAC567705685F2C7A + 45155.50ns INFO [00045157] * RD COMPARE * port=1 adr=06 act=0DAC567705685F2C7A exp=0DAC567705685F2C7A + 45155.50ns INFO [00045157] Port=0 WR @00=68806C27E8DE84A630 + 45155.50ns INFO [00045157] Port=0 RD @01 + 45155.50ns INFO [00045157] Port=1 RD @07 + 45156.50ns INFO [00045158] * RD COMPARE * port=0 adr=00 act=C00477D129400D441E exp=C00477D129400D441E + 45156.50ns INFO [00045158] Port=1 RD @00 + 45157.50ns INFO [00045159] * RD COMPARE * port=0 adr=01 act=A0F25E31CB83408787 exp=A0F25E31CB83408787 + 45157.50ns INFO [00045159] * RD COMPARE * port=1 adr=07 act=BA70CA5BCAFECDE0D7 exp=BA70CA5BCAFECDE0D7 + 45157.50ns INFO [00045159] Port=0 RD @06 + 45158.50ns INFO [00045160] * RD COMPARE * port=1 adr=00 act=68806C27E8DE84A630 exp=68806C27E8DE84A630 + 45159.50ns INFO [00045161] * RD COMPARE * port=0 adr=06 act=0DAC567705685F2C7A exp=0DAC567705685F2C7A + 45159.50ns INFO [00045161] Port=1 RD @01 + 45160.50ns INFO [00045162] Port=0 RD @07 + 45161.50ns INFO [00045163] * RD COMPARE * port=1 adr=01 act=A0F25E31CB83408787 exp=A0F25E31CB83408787 + 45161.50ns INFO [00045163] Port=0 WR @03=6F46547A63C79C220D + 45161.50ns INFO [00045163] Port=0 RD @06 + 45161.50ns INFO [00045163] Port=1 RD @06 + 45162.50ns INFO [00045164] * RD COMPARE * port=0 adr=07 act=BA70CA5BCAFECDE0D7 exp=BA70CA5BCAFECDE0D7 + 45162.50ns INFO [00045164] Port=0 RD @07 + 45163.50ns INFO [00045165] * RD COMPARE * port=0 adr=06 act=0DAC567705685F2C7A exp=0DAC567705685F2C7A + 45163.50ns INFO [00045165] * RD COMPARE * port=1 adr=06 act=0DAC567705685F2C7A exp=0DAC567705685F2C7A + 45164.50ns INFO [00045166] * RD COMPARE * port=0 adr=07 act=BA70CA5BCAFECDE0D7 exp=BA70CA5BCAFECDE0D7 + 45164.50ns INFO [00045166] Port=0 WR @05=2FC01516B97C461F43 + 45164.50ns INFO [00045166] Port=0 RD @00 + 45164.50ns INFO [00045166] Port=1 RD @07 + 45165.50ns INFO [00045167] Port=0 WR @01=258F65379537D36CCA + 45166.50ns INFO [00045168] * RD COMPARE * port=0 adr=00 act=68806C27E8DE84A630 exp=68806C27E8DE84A630 + 45166.50ns INFO [00045168] * RD COMPARE * port=1 adr=07 act=BA70CA5BCAFECDE0D7 exp=BA70CA5BCAFECDE0D7 + 45166.50ns INFO [00045168] Port=0 WR @05=727DB3BA8EAAAE9961 + 45167.50ns INFO [00045169] Port=0 WR @00=00273DFC6F33F66C1A + 45167.50ns INFO [00045169] Port=0 RD @01 + 45167.50ns INFO [00045169] Port=1 RD @03 + 45168.50ns INFO [00045170] Port=0 WR @06=C0398F532EAF238CC1 + 45168.50ns INFO [00045170] Port=0 RD @05 + 45169.50ns INFO [00045171] * RD COMPARE * port=0 adr=01 act=258F65379537D36CCA exp=258F65379537D36CCA + 45169.50ns INFO [00045171] * RD COMPARE * port=1 adr=03 act=6F46547A63C79C220D exp=6F46547A63C79C220D + 45169.50ns INFO [00045171] Port=0 WR @00=B4959EA0D8F59EB8D1 + 45169.50ns INFO [00045171] Port=0 RD @05 + 45169.50ns INFO [00045171] Port=1 RD @02 + 45170.50ns INFO [00045172] * RD COMPARE * port=0 adr=05 act=727DB3BA8EAAAE9961 exp=727DB3BA8EAAAE9961 + 45170.50ns INFO [00045172] Port=0 RD @01 + 45170.50ns INFO [00045172] Port=1 RD @02 + 45171.50ns INFO [00045173] * RD COMPARE * port=0 adr=05 act=727DB3BA8EAAAE9961 exp=727DB3BA8EAAAE9961 + 45171.50ns INFO [00045173] * RD COMPARE * port=1 adr=02 act=892085250E2B71EA2C exp=892085250E2B71EA2C + 45171.50ns INFO [00045173] Port=0 WR @03=3F17AB6A096EA3450C + 45171.50ns INFO [00045173] Port=0 RD @00 + 45172.50ns INFO [00045174] * RD COMPARE * port=0 adr=01 act=258F65379537D36CCA exp=258F65379537D36CCA + 45172.50ns INFO [00045174] * RD COMPARE * port=1 adr=02 act=892085250E2B71EA2C exp=892085250E2B71EA2C + 45172.50ns INFO [00045174] Port=0 RD @04 + 45173.50ns INFO [00045175] * RD COMPARE * port=0 adr=00 act=B4959EA0D8F59EB8D1 exp=B4959EA0D8F59EB8D1 + 45173.50ns INFO [00045175] Port=0 RD @07 + 45174.50ns INFO [00045176] * RD COMPARE * port=0 adr=04 act=158B64456FC017D6C9 exp=158B64456FC017D6C9 + 45174.50ns INFO [00045176] Port=1 RD @00 + 45175.50ns INFO [00045177] * RD COMPARE * port=0 adr=07 act=BA70CA5BCAFECDE0D7 exp=BA70CA5BCAFECDE0D7 + 45176.50ns INFO [00045178] * RD COMPARE * port=1 adr=00 act=B4959EA0D8F59EB8D1 exp=B4959EA0D8F59EB8D1 + 45177.50ns INFO [00045179] Port=0 RD @07 + 45178.50ns INFO [00045180] Port=0 WR @03=9A2FF81BF43F995EDF + 45178.50ns INFO [00045180] Port=0 RD @00 + 45179.50ns INFO [00045181] * RD COMPARE * port=0 adr=07 act=BA70CA5BCAFECDE0D7 exp=BA70CA5BCAFECDE0D7 + 45179.50ns INFO [00045181] Port=1 RD @00 + 45180.50ns INFO [00045182] * RD COMPARE * port=0 adr=00 act=B4959EA0D8F59EB8D1 exp=B4959EA0D8F59EB8D1 + 45180.50ns INFO [00045182] Port=0 RD @00 + 45180.50ns INFO [00045182] Port=1 RD @07 + 45181.50ns INFO [00045183] * RD COMPARE * port=1 adr=00 act=B4959EA0D8F59EB8D1 exp=B4959EA0D8F59EB8D1 + 45181.50ns INFO [00045183] Port=0 WR @01=DD74373AD6BC47AA4B + 45182.50ns INFO [00045184] * RD COMPARE * port=0 adr=00 act=B4959EA0D8F59EB8D1 exp=B4959EA0D8F59EB8D1 + 45182.50ns INFO [00045184] * RD COMPARE * port=1 adr=07 act=BA70CA5BCAFECDE0D7 exp=BA70CA5BCAFECDE0D7 + 45182.50ns INFO [00045184] Port=1 RD @01 + 45184.50ns INFO [00045186] * RD COMPARE * port=1 adr=01 act=DD74373AD6BC47AA4B exp=DD74373AD6BC47AA4B + 45184.50ns INFO [00045186] Port=1 RD @02 + 45185.50ns INFO [00045187] Port=0 WR @05=062181DB7C5CFB8E17 + 45185.50ns INFO [00045187] Port=0 RD @02 + 45185.50ns INFO [00045187] Port=1 RD @03 + 45186.50ns INFO [00045188] * RD COMPARE * port=1 adr=02 act=892085250E2B71EA2C exp=892085250E2B71EA2C + 45186.50ns INFO [00045188] Port=0 RD @02 + 45186.50ns INFO [00045188] Port=1 RD @05 + 45187.50ns INFO [00045189] * RD COMPARE * port=0 adr=02 act=892085250E2B71EA2C exp=892085250E2B71EA2C + 45187.50ns INFO [00045189] * RD COMPARE * port=1 adr=03 act=9A2FF81BF43F995EDF exp=9A2FF81BF43F995EDF + 45187.50ns INFO [00045189] Port=0 RD @07 + 45187.50ns INFO [00045189] Port=1 RD @00 + 45188.50ns INFO [00045190] * RD COMPARE * port=0 adr=02 act=892085250E2B71EA2C exp=892085250E2B71EA2C + 45188.50ns INFO [00045190] * RD COMPARE * port=1 adr=05 act=062181DB7C5CFB8E17 exp=062181DB7C5CFB8E17 + 45188.50ns INFO [00045190] Port=0 WR @05=568825BCA71A2897EE + 45188.50ns INFO [00045190] Port=1 RD @04 + 45189.50ns INFO [00045191] * RD COMPARE * port=0 adr=07 act=BA70CA5BCAFECDE0D7 exp=BA70CA5BCAFECDE0D7 + 45189.50ns INFO [00045191] * RD COMPARE * port=1 adr=00 act=B4959EA0D8F59EB8D1 exp=B4959EA0D8F59EB8D1 + 45189.50ns INFO [00045191] Port=0 WR @07=7173D139759C0937EC + 45189.50ns INFO [00045191] Port=0 RD @03 + 45190.50ns INFO [00045192] * RD COMPARE * port=1 adr=04 act=158B64456FC017D6C9 exp=158B64456FC017D6C9 + 45190.50ns INFO [00045192] Port=0 WR @04=D8CBAF908CD991F932 + 45190.50ns INFO [00045192] Port=0 RD @03 + 45191.50ns INFO [00045193] * RD COMPARE * port=0 adr=03 act=9A2FF81BF43F995EDF exp=9A2FF81BF43F995EDF + 45191.50ns INFO [00045193] Port=0 RD @06 + 45192.50ns INFO [00045194] * RD COMPARE * port=0 adr=03 act=9A2FF81BF43F995EDF exp=9A2FF81BF43F995EDF + 45192.50ns INFO [00045194] Port=0 WR @05=066C8365241B592090 + 45192.50ns INFO [00045194] Port=0 RD @07 + 45192.50ns INFO [00045194] Port=1 RD @07 + 45193.50ns INFO [00045195] * RD COMPARE * port=0 adr=06 act=C0398F532EAF238CC1 exp=C0398F532EAF238CC1 + 45193.50ns INFO [00045195] Port=0 RD @03 + 45193.50ns INFO [00045195] Port=1 RD @04 + 45194.50ns INFO [00045196] * RD COMPARE * port=0 adr=07 act=7173D139759C0937EC exp=7173D139759C0937EC + 45194.50ns INFO [00045196] * RD COMPARE * port=1 adr=07 act=7173D139759C0937EC exp=7173D139759C0937EC + 45194.50ns INFO [00045196] Port=0 RD @01 + 45195.50ns INFO [00045197] * RD COMPARE * port=0 adr=03 act=9A2FF81BF43F995EDF exp=9A2FF81BF43F995EDF + 45195.50ns INFO [00045197] * RD COMPARE * port=1 adr=04 act=D8CBAF908CD991F932 exp=D8CBAF908CD991F932 + 45196.50ns INFO [00045198] * RD COMPARE * port=0 adr=01 act=DD74373AD6BC47AA4B exp=DD74373AD6BC47AA4B + 45197.50ns INFO [00045199] Port=0 WR @04=80F0E141EB025C1854 + 45197.50ns INFO [00045199] Port=0 RD @02 + 45198.00ns INFO [00045200] [00045200] ...tick... + 45198.50ns INFO [00045200] Port=0 WR @04=85A57A7E0EC5400CF7 + 45199.50ns INFO [00045201] * RD COMPARE * port=0 adr=02 act=892085250E2B71EA2C exp=892085250E2B71EA2C + 45200.50ns INFO [00045202] Port=0 WR @03=09413C750033B7ABDB + 45200.50ns INFO [00045202] Port=1 RD @04 + 45201.50ns INFO [00045203] Port=0 RD @03 + 45202.50ns INFO [00045204] * RD COMPARE * port=1 adr=04 act=85A57A7E0EC5400CF7 exp=85A57A7E0EC5400CF7 + 45203.50ns INFO [00045205] * RD COMPARE * port=0 adr=03 act=09413C750033B7ABDB exp=09413C750033B7ABDB + 45203.50ns INFO [00045205] Port=0 WR @06=FF1788ADB8FF6D3DA9 + 45203.50ns INFO [00045205] Port=1 RD @07 + 45204.50ns INFO [00045206] Port=0 RD @02 + 45205.50ns INFO [00045207] * RD COMPARE * port=1 adr=07 act=7173D139759C0937EC exp=7173D139759C0937EC + 45205.50ns INFO [00045207] Port=0 WR @05=C068977FAD126465D2 + 45206.50ns INFO [00045208] * RD COMPARE * port=0 adr=02 act=892085250E2B71EA2C exp=892085250E2B71EA2C + 45207.50ns INFO [00045209] Port=0 RD @04 + 45209.50ns INFO [00045211] * RD COMPARE * port=0 adr=04 act=85A57A7E0EC5400CF7 exp=85A57A7E0EC5400CF7 + 45210.50ns INFO [00045212] Port=0 WR @03=C9A3E1C024154D3AA5 + 45211.50ns INFO [00045213] Port=0 RD @02 + 45211.50ns INFO [00045213] Port=1 RD @00 + 45212.50ns INFO [00045214] Port=0 WR @01=C95774ED748C2CA685 + 45212.50ns INFO [00045214] Port=1 RD @03 + 45213.50ns INFO [00045215] * RD COMPARE * port=0 adr=02 act=892085250E2B71EA2C exp=892085250E2B71EA2C + 45213.50ns INFO [00045215] * RD COMPARE * port=1 adr=00 act=B4959EA0D8F59EB8D1 exp=B4959EA0D8F59EB8D1 + 45213.50ns INFO [00045215] Port=0 WR @01=7981FEA0A6408CD8F4 + 45213.50ns INFO [00045215] Port=0 RD @06 + 45214.50ns INFO [00045216] * RD COMPARE * port=1 adr=03 act=C9A3E1C024154D3AA5 exp=C9A3E1C024154D3AA5 + 45214.50ns INFO [00045216] Port=0 WR @03=ED0992821C77750D57 + 45215.50ns INFO [00045217] * RD COMPARE * port=0 adr=06 act=FF1788ADB8FF6D3DA9 exp=FF1788ADB8FF6D3DA9 + 45215.50ns INFO [00045217] Port=0 RD @04 + 45216.50ns INFO [00045218] Port=0 WR @01=1ABB2334C1CF804E11 + 45216.50ns INFO [00045218] Port=0 RD @04 + 45216.50ns INFO [00045218] Port=1 RD @05 + 45217.50ns INFO [00045219] * RD COMPARE * port=0 adr=04 act=85A57A7E0EC5400CF7 exp=85A57A7E0EC5400CF7 + 45217.50ns INFO [00045219] Port=1 RD @02 + 45218.50ns INFO [00045220] * RD COMPARE * port=0 adr=04 act=85A57A7E0EC5400CF7 exp=85A57A7E0EC5400CF7 + 45218.50ns INFO [00045220] * RD COMPARE * port=1 adr=05 act=C068977FAD126465D2 exp=C068977FAD126465D2 + 45219.50ns INFO [00045221] * RD COMPARE * port=1 adr=02 act=892085250E2B71EA2C exp=892085250E2B71EA2C + 45219.50ns INFO [00045221] Port=0 RD @04 + 45220.50ns INFO [00045222] Port=0 WR @03=5FAD786C344359AC31 + 45220.50ns INFO [00045222] Port=1 RD @06 + 45221.50ns INFO [00045223] * RD COMPARE * port=0 adr=04 act=85A57A7E0EC5400CF7 exp=85A57A7E0EC5400CF7 + 45221.50ns INFO [00045223] Port=0 RD @01 + 45222.50ns INFO [00045224] * RD COMPARE * port=1 adr=06 act=FF1788ADB8FF6D3DA9 exp=FF1788ADB8FF6D3DA9 + 45222.50ns INFO [00045224] Port=1 RD @00 + 45223.50ns INFO [00045225] * RD COMPARE * port=0 adr=01 act=1ABB2334C1CF804E11 exp=1ABB2334C1CF804E11 + 45223.50ns INFO [00045225] Port=0 WR @02=17EE3E57B8EC77777F + 45224.50ns INFO [00045226] * RD COMPARE * port=1 adr=00 act=B4959EA0D8F59EB8D1 exp=B4959EA0D8F59EB8D1 + 45224.50ns INFO [00045226] Port=1 RD @02 + 45226.50ns INFO [00045228] * RD COMPARE * port=1 adr=02 act=17EE3E57B8EC77777F exp=17EE3E57B8EC77777F + 45226.50ns INFO [00045228] Port=0 WR @02=E9F44CEA83244A297C + 45226.50ns INFO [00045228] Port=0 RD @01 + 45227.50ns INFO [00045229] Port=0 WR @03=8865293D86E3A40184 + 45227.50ns INFO [00045229] Port=0 RD @05 + 45228.50ns INFO [00045230] * RD COMPARE * port=0 adr=01 act=1ABB2334C1CF804E11 exp=1ABB2334C1CF804E11 + 45228.50ns INFO [00045230] Port=0 WR @05=25ADC9B74A81D200AF + 45228.50ns INFO [00045230] Port=0 RD @00 + 45229.50ns INFO [00045231] * RD COMPARE * port=0 adr=05 act=C068977FAD126465D2 exp=C068977FAD126465D2 + 45230.50ns INFO [00045232] * RD COMPARE * port=0 adr=00 act=B4959EA0D8F59EB8D1 exp=B4959EA0D8F59EB8D1 + 45231.50ns INFO [00045233] Port=0 RD @05 + 45233.50ns INFO [00045235] * RD COMPARE * port=0 adr=05 act=25ADC9B74A81D200AF exp=25ADC9B74A81D200AF + 45233.50ns INFO [00045235] Port=0 RD @04 + 45234.50ns INFO [00045236] Port=0 WR @03=9744523F4E67D2DF9A + 45234.50ns INFO [00045236] Port=1 RD @05 + 45235.50ns INFO [00045237] * RD COMPARE * port=0 adr=04 act=85A57A7E0EC5400CF7 exp=85A57A7E0EC5400CF7 + 45235.50ns INFO [00045237] Port=1 RD @02 + 45236.50ns INFO [00045238] * RD COMPARE * port=1 adr=05 act=25ADC9B74A81D200AF exp=25ADC9B74A81D200AF + 45236.50ns INFO [00045238] Port=0 WR @00=EE22EE2972F282B329 + 45237.50ns INFO [00045239] * RD COMPARE * port=1 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45237.50ns INFO [00045239] Port=0 WR @00=0205166CD9AA9218FE + 45237.50ns INFO [00045239] Port=1 RD @06 + 45238.50ns INFO [00045240] Port=0 WR @06=8B67427338EC812809 + 45238.50ns INFO [00045240] Port=1 RD @03 + 45239.50ns INFO [00045241] * RD COMPARE * port=1 adr=06 act=FF1788ADB8FF6D3DA9 exp=FF1788ADB8FF6D3DA9 + 45240.50ns INFO [00045242] * RD COMPARE * port=1 adr=03 act=9744523F4E67D2DF9A exp=9744523F4E67D2DF9A + 45240.50ns INFO [00045242] Port=1 RD @01 + 45241.50ns INFO [00045243] Port=0 RD @04 + 45242.50ns INFO [00045244] * RD COMPARE * port=1 adr=01 act=1ABB2334C1CF804E11 exp=1ABB2334C1CF804E11 + 45242.50ns INFO [00045244] Port=0 WR @01=46164FC4B0FE9B9FCB + 45242.50ns INFO [00045244] Port=0 RD @02 + 45242.50ns INFO [00045244] Port=1 RD @06 + 45243.50ns INFO [00045245] * RD COMPARE * port=0 adr=04 act=85A57A7E0EC5400CF7 exp=85A57A7E0EC5400CF7 + 45243.50ns INFO [00045245] Port=0 RD @03 + 45244.50ns INFO [00045246] * RD COMPARE * port=0 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45244.50ns INFO [00045246] * RD COMPARE * port=1 adr=06 act=8B67427338EC812809 exp=8B67427338EC812809 + 45244.50ns INFO [00045246] Port=0 RD @04 + 45244.50ns INFO [00045246] Port=1 RD @02 + 45245.50ns INFO [00045247] * RD COMPARE * port=0 adr=03 act=9744523F4E67D2DF9A exp=9744523F4E67D2DF9A + 45245.50ns INFO [00045247] Port=0 WR @06=2F304300189C7979F7 + 45245.50ns INFO [00045247] Port=1 RD @01 + 45246.50ns INFO [00045248] * RD COMPARE * port=0 adr=04 act=85A57A7E0EC5400CF7 exp=85A57A7E0EC5400CF7 + 45246.50ns INFO [00045248] * RD COMPARE * port=1 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45246.50ns INFO [00045248] Port=0 WR @04=362050DB6B89B32784 + 45246.50ns INFO [00045248] Port=1 RD @00 + 45247.50ns INFO [00045249] * RD COMPARE * port=1 adr=01 act=46164FC4B0FE9B9FCB exp=46164FC4B0FE9B9FCB + 45248.50ns INFO [00045250] * RD COMPARE * port=1 adr=00 act=0205166CD9AA9218FE exp=0205166CD9AA9218FE + 45248.50ns INFO [00045250] Port=0 WR @04=C9F147965B9FEBCA6B + 45248.50ns INFO [00045250] Port=0 RD @01 + 45249.50ns INFO [00045251] Port=0 WR @07=28B423D344D0A9CC18 + 45250.50ns INFO [00045252] * RD COMPARE * port=0 adr=01 act=46164FC4B0FE9B9FCB exp=46164FC4B0FE9B9FCB + 45250.50ns INFO [00045252] Port=0 WR @07=E49C028234E882D890 + 45250.50ns INFO [00045252] Port=1 RD @03 + 45251.50ns INFO [00045253] Port=1 RD @05 + 45252.50ns INFO [00045254] * RD COMPARE * port=1 adr=03 act=9744523F4E67D2DF9A exp=9744523F4E67D2DF9A + 45252.50ns INFO [00045254] Port=0 RD @05 + 45253.50ns INFO [00045255] * RD COMPARE * port=1 adr=05 act=25ADC9B74A81D200AF exp=25ADC9B74A81D200AF + 45254.50ns INFO [00045256] * RD COMPARE * port=0 adr=05 act=25ADC9B74A81D200AF exp=25ADC9B74A81D200AF + 45254.50ns INFO [00045256] Port=0 WR @04=98E50974706EE0EB7A + 45255.50ns INFO [00045257] Port=0 RD @06 + 45256.50ns INFO [00045258] Port=1 RD @03 + 45257.50ns INFO [00045259] * RD COMPARE * port=0 adr=06 act=2F304300189C7979F7 exp=2F304300189C7979F7 + 45257.50ns INFO [00045259] Port=0 RD @00 + 45257.50ns INFO [00045259] Port=1 RD @03 + 45258.50ns INFO [00045260] * RD COMPARE * port=1 adr=03 act=9744523F4E67D2DF9A exp=9744523F4E67D2DF9A + 45258.50ns INFO [00045260] Port=0 WR @06=7119823923D4B87110 + 45259.50ns INFO [00045261] * RD COMPARE * port=0 adr=00 act=0205166CD9AA9218FE exp=0205166CD9AA9218FE + 45259.50ns INFO [00045261] * RD COMPARE * port=1 adr=03 act=9744523F4E67D2DF9A exp=9744523F4E67D2DF9A + 45260.50ns INFO [00045262] Port=0 RD @05 + 45260.50ns INFO [00045262] Port=1 RD @01 + 45261.50ns INFO [00045263] Port=0 WR @04=42AF6C3850FCEC443F + 45262.50ns INFO [00045264] * RD COMPARE * port=0 adr=05 act=25ADC9B74A81D200AF exp=25ADC9B74A81D200AF + 45262.50ns INFO [00045264] * RD COMPARE * port=1 adr=01 act=46164FC4B0FE9B9FCB exp=46164FC4B0FE9B9FCB + 45262.50ns INFO [00045264] Port=0 WR @05=1FAF69BFE61A3A6B5C + 45262.50ns INFO [00045264] Port=0 RD @06 + 45263.50ns INFO [00045265] Port=0 RD @06 + 45264.50ns INFO [00045266] * RD COMPARE * port=0 adr=06 act=7119823923D4B87110 exp=7119823923D4B87110 + 45264.50ns INFO [00045266] Port=0 WR @05=005D778EB59BBC20EE + 45265.50ns INFO [00045267] * RD COMPARE * port=0 adr=06 act=7119823923D4B87110 exp=7119823923D4B87110 + 45265.50ns INFO [00045267] Port=1 RD @04 + 45266.50ns INFO [00045268] Port=0 WR @07=4A00273BEEE9DFE70F + 45267.50ns INFO [00045269] * RD COMPARE * port=1 adr=04 act=42AF6C3850FCEC443F exp=42AF6C3850FCEC443F + 45267.50ns INFO [00045269] Port=0 WR @05=C2D135E8EA4447DFF9 + 45267.50ns INFO [00045269] Port=0 RD @01 + 45268.50ns INFO [00045270] Port=0 WR @00=FE3DFC66BB4BD0B95A + 45268.50ns INFO [00045270] Port=0 RD @01 + 45269.50ns INFO [00045271] * RD COMPARE * port=0 adr=01 act=46164FC4B0FE9B9FCB exp=46164FC4B0FE9B9FCB + 45269.50ns INFO [00045271] Port=0 RD @04 + 45270.50ns INFO [00045272] * RD COMPARE * port=0 adr=01 act=46164FC4B0FE9B9FCB exp=46164FC4B0FE9B9FCB + 45271.50ns INFO [00045273] * RD COMPARE * port=0 adr=04 act=42AF6C3850FCEC443F exp=42AF6C3850FCEC443F + 45271.50ns INFO [00045273] Port=0 RD @04 + 45272.50ns INFO [00045274] Port=0 RD @01 + 45273.50ns INFO [00045275] * RD COMPARE * port=0 adr=04 act=42AF6C3850FCEC443F exp=42AF6C3850FCEC443F + 45273.50ns INFO [00045275] Port=1 RD @07 + 45274.50ns INFO [00045276] * RD COMPARE * port=0 adr=01 act=46164FC4B0FE9B9FCB exp=46164FC4B0FE9B9FCB + 45274.50ns INFO [00045276] Port=0 WR @03=75372061F8E04CB2DB + 45274.50ns INFO [00045276] Port=0 RD @07 + 45275.50ns INFO [00045277] * RD COMPARE * port=1 adr=07 act=4A00273BEEE9DFE70F exp=4A00273BEEE9DFE70F + 45275.50ns INFO [00045277] Port=0 RD @05 + 45276.50ns INFO [00045278] * RD COMPARE * port=0 adr=07 act=4A00273BEEE9DFE70F exp=4A00273BEEE9DFE70F + 45277.50ns INFO [00045279] * RD COMPARE * port=0 adr=05 act=C2D135E8EA4447DFF9 exp=C2D135E8EA4447DFF9 + 45277.50ns INFO [00045279] Port=0 RD @06 + 45278.50ns INFO [00045280] Port=0 RD @06 + 45279.50ns INFO [00045281] * RD COMPARE * port=0 adr=06 act=7119823923D4B87110 exp=7119823923D4B87110 + 45280.50ns INFO [00045282] * RD COMPARE * port=0 adr=06 act=7119823923D4B87110 exp=7119823923D4B87110 + 45280.50ns INFO [00045282] Port=1 RD @05 + 45281.50ns INFO [00045283] Port=0 WR @00=150A919E7BBE6C4882 + 45281.50ns INFO [00045283] Port=0 RD @06 + 45282.50ns INFO [00045284] * RD COMPARE * port=1 adr=05 act=C2D135E8EA4447DFF9 exp=C2D135E8EA4447DFF9 + 45282.50ns INFO [00045284] Port=0 RD @01 + 45282.50ns INFO [00045284] Port=1 RD @05 + 45283.50ns INFO [00045285] * RD COMPARE * port=0 adr=06 act=7119823923D4B87110 exp=7119823923D4B87110 + 45283.50ns INFO [00045285] Port=0 WR @06=4169F3A49C4584212A + 45283.50ns INFO [00045285] Port=0 RD @07 + 45284.50ns INFO [00045286] * RD COMPARE * port=0 adr=01 act=46164FC4B0FE9B9FCB exp=46164FC4B0FE9B9FCB + 45284.50ns INFO [00045286] * RD COMPARE * port=1 adr=05 act=C2D135E8EA4447DFF9 exp=C2D135E8EA4447DFF9 + 45284.50ns INFO [00045286] Port=0 WR @04=5AEEBC5661AF3DF616 + 45284.50ns INFO [00045286] Port=0 RD @05 + 45284.50ns INFO [00045286] Port=1 RD @02 + 45285.50ns INFO [00045287] * RD COMPARE * port=0 adr=07 act=4A00273BEEE9DFE70F exp=4A00273BEEE9DFE70F + 45285.50ns INFO [00045287] Port=0 RD @06 + 45285.50ns INFO [00045287] Port=1 RD @01 + 45286.50ns INFO [00045288] * RD COMPARE * port=0 adr=05 act=C2D135E8EA4447DFF9 exp=C2D135E8EA4447DFF9 + 45286.50ns INFO [00045288] * RD COMPARE * port=1 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45286.50ns INFO [00045288] Port=0 RD @00 + 45286.50ns INFO [00045288] Port=1 RD @00 + 45287.50ns INFO [00045289] * RD COMPARE * port=0 adr=06 act=4169F3A49C4584212A exp=4169F3A49C4584212A + 45287.50ns INFO [00045289] * RD COMPARE * port=1 adr=01 act=46164FC4B0FE9B9FCB exp=46164FC4B0FE9B9FCB + 45287.50ns INFO [00045289] Port=0 WR @06=B41461FC596A383C8B + 45287.50ns INFO [00045289] Port=0 RD @00 + 45287.50ns INFO [00045289] Port=1 RD @00 + 45288.50ns INFO [00045290] * RD COMPARE * port=0 adr=00 act=150A919E7BBE6C4882 exp=150A919E7BBE6C4882 + 45288.50ns INFO [00045290] * RD COMPARE * port=1 adr=00 act=150A919E7BBE6C4882 exp=150A919E7BBE6C4882 + 45289.50ns INFO [00045291] * RD COMPARE * port=0 adr=00 act=150A919E7BBE6C4882 exp=150A919E7BBE6C4882 + 45289.50ns INFO [00045291] * RD COMPARE * port=1 adr=00 act=150A919E7BBE6C4882 exp=150A919E7BBE6C4882 + 45289.50ns INFO [00045291] Port=0 RD @04 + 45289.50ns INFO [00045291] Port=1 RD @06 + 45290.50ns INFO [00045292] Port=1 RD @00 + 45291.50ns INFO [00045293] * RD COMPARE * port=0 adr=04 act=5AEEBC5661AF3DF616 exp=5AEEBC5661AF3DF616 + 45291.50ns INFO [00045293] * RD COMPARE * port=1 adr=06 act=B41461FC596A383C8B exp=B41461FC596A383C8B + 45291.50ns INFO [00045293] Port=0 WR @01=4CBEDC5B2B45637C5E + 45291.50ns INFO [00045293] Port=0 RD @00 + 45292.50ns INFO [00045294] * RD COMPARE * port=1 adr=00 act=150A919E7BBE6C4882 exp=150A919E7BBE6C4882 + 45292.50ns INFO [00045294] Port=0 RD @01 + 45292.50ns INFO [00045294] Port=1 RD @05 + 45293.50ns INFO [00045295] * RD COMPARE * port=0 adr=00 act=150A919E7BBE6C4882 exp=150A919E7BBE6C4882 + 45293.50ns INFO [00045295] Port=1 RD @07 + 45294.50ns INFO [00045296] * RD COMPARE * port=0 adr=01 act=4CBEDC5B2B45637C5E exp=4CBEDC5B2B45637C5E + 45294.50ns INFO [00045296] * RD COMPARE * port=1 adr=05 act=C2D135E8EA4447DFF9 exp=C2D135E8EA4447DFF9 + 45294.50ns INFO [00045296] Port=0 WR @03=63F7DC5E22634C739E + 45294.50ns INFO [00045296] Port=0 RD @06 + 45295.50ns INFO [00045297] * RD COMPARE * port=1 adr=07 act=4A00273BEEE9DFE70F exp=4A00273BEEE9DFE70F + 45295.50ns INFO [00045297] Port=0 RD @03 + 45295.50ns INFO [00045297] Port=1 RD @04 + 45296.50ns INFO [00045298] * RD COMPARE * port=0 adr=06 act=B41461FC596A383C8B exp=B41461FC596A383C8B + 45296.50ns INFO [00045298] Port=0 WR @04=E0172B16A6E155F36D + 45296.50ns INFO [00045298] Port=0 RD @03 + 45297.50ns INFO [00045299] * RD COMPARE * port=0 adr=03 act=63F7DC5E22634C739E exp=63F7DC5E22634C739E + 45297.50ns INFO [00045299] * RD COMPARE * port=1 adr=04 act=5AEEBC5661AF3DF616 exp=5AEEBC5661AF3DF616 + 45297.50ns INFO [00045299] Port=0 RD @02 + 45298.00ns INFO [00045300] [00045300] ...tick... + 45298.50ns INFO [00045300] * RD COMPARE * port=0 adr=03 act=63F7DC5E22634C739E exp=63F7DC5E22634C739E + 45298.50ns INFO [00045300] Port=0 WR @05=0013292BA5FD8C2E56 + 45299.50ns INFO [00045301] * RD COMPARE * port=0 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45299.50ns INFO [00045301] Port=0 WR @06=FC3D9127045CDE0E5E + 45300.50ns INFO [00045302] Port=0 WR @06=576D643D6CAC94291F + 45300.50ns INFO [00045302] Port=0 RD @00 + 45301.50ns INFO [00045303] Port=0 WR @04=6BDFDDB4D163425488 + 45302.50ns INFO [00045304] * RD COMPARE * port=0 adr=00 act=150A919E7BBE6C4882 exp=150A919E7BBE6C4882 + 45303.50ns INFO [00045305] Port=0 RD @01 + 45304.50ns INFO [00045306] Port=0 WR @06=B5AA9E13625A058C89 + 45304.50ns INFO [00045306] Port=0 RD @04 + 45305.50ns INFO [00045307] * RD COMPARE * port=0 adr=01 act=4CBEDC5B2B45637C5E exp=4CBEDC5B2B45637C5E + 45305.50ns INFO [00045307] Port=0 WR @03=111B40027C313647D7 + 45306.50ns INFO [00045308] * RD COMPARE * port=0 adr=04 act=6BDFDDB4D163425488 exp=6BDFDDB4D163425488 + 45307.50ns INFO [00045309] Port=0 RD @02 + 45308.50ns INFO [00045310] Port=1 RD @03 + 45309.50ns INFO [00045311] * RD COMPARE * port=0 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45310.50ns INFO [00045312] * RD COMPARE * port=1 adr=03 act=111B40027C313647D7 exp=111B40027C313647D7 + 45311.50ns INFO [00045313] Port=0 RD @03 + 45311.50ns INFO [00045313] Port=1 RD @07 + 45312.50ns INFO [00045314] Port=0 WR @04=B40C34CAEBD6244CBD + 45313.50ns INFO [00045315] * RD COMPARE * port=0 adr=03 act=111B40027C313647D7 exp=111B40027C313647D7 + 45313.50ns INFO [00045315] * RD COMPARE * port=1 adr=07 act=4A00273BEEE9DFE70F exp=4A00273BEEE9DFE70F + 45315.50ns INFO [00045317] Port=0 RD @01 + 45317.50ns INFO [00045319] * RD COMPARE * port=0 adr=01 act=4CBEDC5B2B45637C5E exp=4CBEDC5B2B45637C5E + 45317.50ns INFO [00045319] Port=0 RD @02 + 45319.50ns INFO [00045321] * RD COMPARE * port=0 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45319.50ns INFO [00045321] Port=1 RD @03 + 45320.50ns INFO [00045322] Port=0 RD @05 + 45320.50ns INFO [00045322] Port=1 RD @04 + 45321.50ns INFO [00045323] * RD COMPARE * port=1 adr=03 act=111B40027C313647D7 exp=111B40027C313647D7 + 45322.50ns INFO [00045324] * RD COMPARE * port=0 adr=05 act=0013292BA5FD8C2E56 exp=0013292BA5FD8C2E56 + 45322.50ns INFO [00045324] * RD COMPARE * port=1 adr=04 act=B40C34CAEBD6244CBD exp=B40C34CAEBD6244CBD + 45322.50ns INFO [00045324] Port=1 RD @06 + 45323.50ns INFO [00045325] Port=0 WR @05=0740F88C671349BE9D + 45323.50ns INFO [00045325] Port=1 RD @03 + 45324.50ns INFO [00045326] * RD COMPARE * port=1 adr=06 act=B5AA9E13625A058C89 exp=B5AA9E13625A058C89 + 45324.50ns INFO [00045326] Port=0 WR @05=1A013C8CE6ECE78E44 + 45325.50ns INFO [00045327] * RD COMPARE * port=1 adr=03 act=111B40027C313647D7 exp=111B40027C313647D7 + 45325.50ns INFO [00045327] Port=0 WR @00=D549240255E86BEB9C + 45325.50ns INFO [00045327] Port=0 RD @02 + 45326.50ns INFO [00045328] Port=0 WR @00=5539CE010871D1C4AF + 45326.50ns INFO [00045328] Port=1 RD @05 + 45327.50ns INFO [00045329] * RD COMPARE * port=0 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45327.50ns INFO [00045329] Port=1 RD @02 + 45328.50ns INFO [00045330] * RD COMPARE * port=1 adr=05 act=1A013C8CE6ECE78E44 exp=1A013C8CE6ECE78E44 + 45328.50ns INFO [00045330] Port=1 RD @06 + 45329.50ns INFO [00045331] * RD COMPARE * port=1 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45329.50ns INFO [00045331] Port=0 RD @05 + 45330.50ns INFO [00045332] * RD COMPARE * port=1 adr=06 act=B5AA9E13625A058C89 exp=B5AA9E13625A058C89 + 45330.50ns INFO [00045332] Port=1 RD @03 + 45331.50ns INFO [00045333] * RD COMPARE * port=0 adr=05 act=1A013C8CE6ECE78E44 exp=1A013C8CE6ECE78E44 + 45332.50ns INFO [00045334] * RD COMPARE * port=1 adr=03 act=111B40027C313647D7 exp=111B40027C313647D7 + 45334.50ns INFO [00045336] Port=0 RD @04 + 45334.50ns INFO [00045336] Port=1 RD @04 + 45336.50ns INFO [00045338] * RD COMPARE * port=0 adr=04 act=B40C34CAEBD6244CBD exp=B40C34CAEBD6244CBD + 45336.50ns INFO [00045338] * RD COMPARE * port=1 adr=04 act=B40C34CAEBD6244CBD exp=B40C34CAEBD6244CBD + 45336.50ns INFO [00045338] Port=0 WR @03=A8FEBE5F391B012587 + 45336.50ns INFO [00045338] Port=0 RD @05 + 45337.50ns INFO [00045339] Port=0 RD @06 + 45338.50ns INFO [00045340] * RD COMPARE * port=0 adr=05 act=1A013C8CE6ECE78E44 exp=1A013C8CE6ECE78E44 + 45338.50ns INFO [00045340] Port=0 WR @04=C5387E6F888B2F024F + 45338.50ns INFO [00045340] Port=0 RD @02 + 45338.50ns INFO [00045340] Port=1 RD @02 + 45339.50ns INFO [00045341] * RD COMPARE * port=0 adr=06 act=B5AA9E13625A058C89 exp=B5AA9E13625A058C89 + 45340.50ns INFO [00045342] * RD COMPARE * port=0 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45340.50ns INFO [00045342] * RD COMPARE * port=1 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45340.50ns INFO [00045342] Port=0 WR @00=83BB812D217C84181C + 45340.50ns INFO [00045342] Port=0 RD @01 + 45341.50ns INFO [00045343] Port=0 RD @03 + 45341.50ns INFO [00045343] Port=1 RD @02 + 45342.50ns INFO [00045344] * RD COMPARE * port=0 adr=01 act=4CBEDC5B2B45637C5E exp=4CBEDC5B2B45637C5E + 45342.50ns INFO [00045344] Port=0 RD @05 + 45342.50ns INFO [00045344] Port=1 RD @04 + 45343.50ns INFO [00045345] * RD COMPARE * port=0 adr=03 act=A8FEBE5F391B012587 exp=A8FEBE5F391B012587 + 45343.50ns INFO [00045345] * RD COMPARE * port=1 adr=02 act=E9F44CEA83244A297C exp=E9F44CEA83244A297C + 45343.50ns INFO [00045345] Port=0 WR @02=7DD65D1F92D1825D03 + 45344.50ns INFO [00045346] * RD COMPARE * port=0 adr=05 act=1A013C8CE6ECE78E44 exp=1A013C8CE6ECE78E44 + 45344.50ns INFO [00045346] * RD COMPARE * port=1 adr=04 act=C5387E6F888B2F024F exp=C5387E6F888B2F024F + 45344.50ns INFO [00045346] Port=0 WR @01=D6C04981BEF2575034 + 45344.50ns INFO [00045346] Port=0 RD @00 + 45345.50ns INFO [00045347] Port=0 RD @07 + 45346.50ns INFO [00045348] * RD COMPARE * port=0 adr=00 act=83BB812D217C84181C exp=83BB812D217C84181C + 45346.50ns INFO [00045348] Port=0 WR @05=7368D0AFB8F34356E2 + 45346.50ns INFO [00045348] Port=1 RD @07 + 45347.50ns INFO [00045349] * RD COMPARE * port=0 adr=07 act=4A00273BEEE9DFE70F exp=4A00273BEEE9DFE70F + 45348.50ns INFO [00045350] * RD COMPARE * port=1 adr=07 act=4A00273BEEE9DFE70F exp=4A00273BEEE9DFE70F + 45348.50ns INFO [00045350] Port=0 WR @03=FBA8F4BCCCB93B2514 + 45350.50ns INFO [00045352] Port=0 WR @02=37E8CA206EB157C19A + 45350.50ns INFO [00045352] Port=1 RD @01 + 45351.50ns INFO [00045353] Port=0 WR @00=F98BA52785459786EC + 45351.50ns INFO [00045353] Port=1 RD @06 + 45352.50ns INFO [00045354] * RD COMPARE * port=1 adr=01 act=D6C04981BEF2575034 exp=D6C04981BEF2575034 + 45352.50ns INFO [00045354] Port=0 RD @05 + 45353.50ns INFO [00045355] * RD COMPARE * port=1 adr=06 act=B5AA9E13625A058C89 exp=B5AA9E13625A058C89 + 45353.50ns INFO [00045355] Port=0 WR @07=9A35CD0008460EBAB6 + 45354.50ns INFO [00045356] * RD COMPARE * port=0 adr=05 act=7368D0AFB8F34356E2 exp=7368D0AFB8F34356E2 + 45354.50ns INFO [00045356] Port=1 RD @04 + 45355.50ns INFO [00045357] Port=0 WR @02=B0458D97C3CF6D3412 + 45355.50ns INFO [00045357] Port=0 RD @00 + 45355.50ns INFO [00045357] Port=1 RD @06 + 45356.50ns INFO [00045358] * RD COMPARE * port=1 adr=04 act=C5387E6F888B2F024F exp=C5387E6F888B2F024F + 45356.50ns INFO [00045358] Port=0 RD @01 + 45356.50ns INFO [00045358] Port=1 RD @02 + 45357.50ns INFO [00045359] * RD COMPARE * port=0 adr=00 act=F98BA52785459786EC exp=F98BA52785459786EC + 45357.50ns INFO [00045359] * RD COMPARE * port=1 adr=06 act=B5AA9E13625A058C89 exp=B5AA9E13625A058C89 + 45357.50ns INFO [00045359] Port=1 RD @00 + 45358.50ns INFO [00045360] * RD COMPARE * port=0 adr=01 act=D6C04981BEF2575034 exp=D6C04981BEF2575034 + 45358.50ns INFO [00045360] * RD COMPARE * port=1 adr=02 act=B0458D97C3CF6D3412 exp=B0458D97C3CF6D3412 + 45359.50ns INFO [00045361] * RD COMPARE * port=1 adr=00 act=F98BA52785459786EC exp=F98BA52785459786EC + 45360.50ns INFO [00045362] Port=1 RD @00 + 45361.50ns INFO [00045363] Port=0 RD @01 + 45361.50ns INFO [00045363] Port=1 RD @03 + 45362.50ns INFO [00045364] * RD COMPARE * port=1 adr=00 act=F98BA52785459786EC exp=F98BA52785459786EC + 45362.50ns INFO [00045364] Port=1 RD @00 + 45363.50ns INFO [00045365] * RD COMPARE * port=0 adr=01 act=D6C04981BEF2575034 exp=D6C04981BEF2575034 + 45363.50ns INFO [00045365] * RD COMPARE * port=1 adr=03 act=FBA8F4BCCCB93B2514 exp=FBA8F4BCCCB93B2514 + 45363.50ns INFO [00045365] Port=0 WR @06=D66716443D19740FB3 + 45364.50ns INFO [00045366] * RD COMPARE * port=1 adr=00 act=F98BA52785459786EC exp=F98BA52785459786EC + 45364.50ns INFO [00045366] Port=0 WR @03=F64B75DC0F92EDE2CD + 45364.50ns INFO [00045366] Port=1 RD @02 + 45365.50ns INFO [00045367] Port=0 WR @05=2FAF96EBD2A2248A7D + 45365.50ns INFO [00045367] Port=1 RD @04 + 45366.50ns INFO [00045368] * RD COMPARE * port=1 adr=02 act=B0458D97C3CF6D3412 exp=B0458D97C3CF6D3412 + 45366.50ns INFO [00045368] Port=1 RD @05 + 45367.50ns INFO [00045369] * RD COMPARE * port=1 adr=04 act=C5387E6F888B2F024F exp=C5387E6F888B2F024F + 45367.50ns INFO [00045369] Port=0 WR @06=97DB1B1EC5CDB5B05B + 45367.50ns INFO [00045369] Port=0 RD @07 + 45367.50ns INFO [00045369] Port=1 RD @07 + 45368.50ns INFO [00045370] * RD COMPARE * port=1 adr=05 act=2FAF96EBD2A2248A7D exp=2FAF96EBD2A2248A7D + 45369.50ns INFO [00045371] * RD COMPARE * port=0 adr=07 act=9A35CD0008460EBAB6 exp=9A35CD0008460EBAB6 + 45369.50ns INFO [00045371] * RD COMPARE * port=1 adr=07 act=9A35CD0008460EBAB6 exp=9A35CD0008460EBAB6 + 45369.50ns INFO [00045371] Port=0 WR @07=ED7B727E20ABDD2349 + 45369.50ns INFO [00045371] Port=0 RD @00 + 45370.50ns INFO [00045372] Port=0 WR @05=022C1F72BD8891F9EA + 45371.50ns INFO [00045373] * RD COMPARE * port=0 adr=00 act=F98BA52785459786EC exp=F98BA52785459786EC + 45371.50ns INFO [00045373] Port=0 WR @01=0FDDAB0E0A3CF7B2BC + 45371.50ns INFO [00045373] Port=0 RD @06 + 45373.50ns INFO [00045375] * RD COMPARE * port=0 adr=06 act=97DB1B1EC5CDB5B05B exp=97DB1B1EC5CDB5B05B + 45374.50ns INFO [00045376] Port=0 WR @04=3B91EF52A275BC9FDB + 45374.50ns INFO [00045376] Port=1 RD @02 + 45375.50ns INFO [00045377] Port=0 WR @02=6F0059E0FFF2FBB367 + 45375.50ns INFO [00045377] Port=0 RD @03 + 45375.50ns INFO [00045377] Port=1 RD @03 + 45376.50ns INFO [00045378] * RD COMPARE * port=1 adr=02 act=B0458D97C3CF6D3412 exp=B0458D97C3CF6D3412 + 45376.50ns INFO [00045378] Port=0 WR @07=89B1FEACBEAF96AEBB + 45376.50ns INFO [00045378] Port=0 RD @03 + 45377.50ns INFO [00045379] * RD COMPARE * port=0 adr=03 act=F64B75DC0F92EDE2CD exp=F64B75DC0F92EDE2CD + 45377.50ns INFO [00045379] * RD COMPARE * port=1 adr=03 act=F64B75DC0F92EDE2CD exp=F64B75DC0F92EDE2CD + 45377.50ns INFO [00045379] Port=0 WR @06=CC41F63600FB6D140A + 45378.50ns INFO [00045380] * RD COMPARE * port=0 adr=03 act=F64B75DC0F92EDE2CD exp=F64B75DC0F92EDE2CD + 45380.50ns INFO [00045382] Port=0 RD @01 + 45380.50ns INFO [00045382] Port=1 RD @05 + 45381.50ns INFO [00045383] Port=0 WR @03=58D2E1CFE797401192 + 45382.50ns INFO [00045384] * RD COMPARE * port=0 adr=01 act=0FDDAB0E0A3CF7B2BC exp=0FDDAB0E0A3CF7B2BC + 45382.50ns INFO [00045384] * RD COMPARE * port=1 adr=05 act=022C1F72BD8891F9EA exp=022C1F72BD8891F9EA + 45383.50ns INFO [00045385] Port=0 WR @00=FC53243C182F0B3413 + 45383.50ns INFO [00045385] Port=0 RD @05 + 45383.50ns INFO [00045385] Port=1 RD @01 + 45384.50ns INFO [00045386] Port=0 RD @03 + 45384.50ns INFO [00045386] Port=1 RD @02 + 45385.50ns INFO [00045387] * RD COMPARE * port=0 adr=05 act=022C1F72BD8891F9EA exp=022C1F72BD8891F9EA + 45385.50ns INFO [00045387] * RD COMPARE * port=1 adr=01 act=0FDDAB0E0A3CF7B2BC exp=0FDDAB0E0A3CF7B2BC + 45385.50ns INFO [00045387] Port=0 WR @02=CE6FD22E196F8C212B + 45385.50ns INFO [00045387] Port=0 RD @05 + 45386.50ns INFO [00045388] * RD COMPARE * port=0 adr=03 act=58D2E1CFE797401192 exp=58D2E1CFE797401192 + 45386.50ns INFO [00045388] * RD COMPARE * port=1 adr=02 act=6F0059E0FFF2FBB367 exp=6F0059E0FFF2FBB367 + 45387.50ns INFO [00045389] * RD COMPARE * port=0 adr=05 act=022C1F72BD8891F9EA exp=022C1F72BD8891F9EA + 45387.50ns INFO [00045389] Port=0 WR @03=1DECE212B16C551F82 + 45387.50ns INFO [00045389] Port=0 RD @05 + 45388.50ns INFO [00045390] Port=0 RD @00 + 45388.50ns INFO [00045390] Port=1 RD @07 + 45389.50ns INFO [00045391] * RD COMPARE * port=0 adr=05 act=022C1F72BD8891F9EA exp=022C1F72BD8891F9EA + 45389.50ns INFO [00045391] Port=0 RD @06 + 45389.50ns INFO [00045391] Port=1 RD @01 + 45390.50ns INFO [00045392] * RD COMPARE * port=0 adr=00 act=FC53243C182F0B3413 exp=FC53243C182F0B3413 + 45390.50ns INFO [00045392] * RD COMPARE * port=1 adr=07 act=89B1FEACBEAF96AEBB exp=89B1FEACBEAF96AEBB + 45390.50ns INFO [00045392] Port=0 WR @05=0DC67A46CA7E6DB514 + 45390.50ns INFO [00045392] Port=0 RD @00 + 45391.50ns INFO [00045393] * RD COMPARE * port=0 adr=06 act=CC41F63600FB6D140A exp=CC41F63600FB6D140A + 45391.50ns INFO [00045393] * RD COMPARE * port=1 adr=01 act=0FDDAB0E0A3CF7B2BC exp=0FDDAB0E0A3CF7B2BC + 45391.50ns INFO [00045393] Port=0 WR @06=9DEA3584FEB5F31168 + 45392.50ns INFO [00045394] * RD COMPARE * port=0 adr=00 act=FC53243C182F0B3413 exp=FC53243C182F0B3413 + 45394.50ns INFO [00045396] Port=0 WR @03=2FFEDD443C0E8928F9 + 45395.50ns INFO [00045397] Port=0 WR @03=792EBDF46C2F42FA72 + 45395.50ns INFO [00045397] Port=0 RD @01 + 45395.50ns INFO [00045397] Port=1 RD @01 + 45396.50ns INFO [00045398] Port=0 WR @07=F74D1A5DB81CABF661 + 45396.50ns INFO [00045398] Port=0 RD @00 + 45396.50ns INFO [00045398] Port=1 RD @04 + 45397.50ns INFO [00045399] * RD COMPARE * port=0 adr=01 act=0FDDAB0E0A3CF7B2BC exp=0FDDAB0E0A3CF7B2BC + 45397.50ns INFO [00045399] * RD COMPARE * port=1 adr=01 act=0FDDAB0E0A3CF7B2BC exp=0FDDAB0E0A3CF7B2BC + 45397.50ns INFO [00045399] Port=0 RD @05 + 45398.00ns INFO [00045400] [00045400] ...tick... + 45398.50ns INFO [00045400] * RD COMPARE * port=0 adr=00 act=FC53243C182F0B3413 exp=FC53243C182F0B3413 + 45398.50ns INFO [00045400] * RD COMPARE * port=1 adr=04 act=3B91EF52A275BC9FDB exp=3B91EF52A275BC9FDB + 45398.50ns INFO [00045400] Port=0 RD @06 + 45399.50ns INFO [00045401] * RD COMPARE * port=0 adr=05 act=0DC67A46CA7E6DB514 exp=0DC67A46CA7E6DB514 + 45399.50ns INFO [00045401] Port=0 RD @06 + 45399.50ns INFO [00045401] Port=1 RD @03 + 45400.50ns INFO [00045402] * RD COMPARE * port=0 adr=06 act=9DEA3584FEB5F31168 exp=9DEA3584FEB5F31168 + 45400.50ns INFO [00045402] Port=0 RD @07 + 45400.50ns INFO [00045402] Port=1 RD @07 + 45401.50ns INFO [00045403] * RD COMPARE * port=0 adr=06 act=9DEA3584FEB5F31168 exp=9DEA3584FEB5F31168 + 45401.50ns INFO [00045403] * RD COMPARE * port=1 adr=03 act=792EBDF46C2F42FA72 exp=792EBDF46C2F42FA72 + 45401.50ns INFO [00045403] Port=1 RD @01 + 45402.50ns INFO [00045404] * RD COMPARE * port=0 adr=07 act=F74D1A5DB81CABF661 exp=F74D1A5DB81CABF661 + 45402.50ns INFO [00045404] * RD COMPARE * port=1 adr=07 act=F74D1A5DB81CABF661 exp=F74D1A5DB81CABF661 + 45402.50ns INFO [00045404] Port=1 RD @07 + 45403.50ns INFO [00045405] * RD COMPARE * port=1 adr=01 act=0FDDAB0E0A3CF7B2BC exp=0FDDAB0E0A3CF7B2BC + 45403.50ns INFO [00045405] Port=1 RD @01 + 45404.50ns INFO [00045406] * RD COMPARE * port=1 adr=07 act=F74D1A5DB81CABF661 exp=F74D1A5DB81CABF661 + 45404.50ns INFO [00045406] Port=0 WR @05=55B9FB159CCC50C571 + 45404.50ns INFO [00045406] Port=1 RD @06 + 45405.50ns INFO [00045407] * RD COMPARE * port=1 adr=01 act=0FDDAB0E0A3CF7B2BC exp=0FDDAB0E0A3CF7B2BC + 45405.50ns INFO [00045407] Port=0 WR @06=C364C5EC8A06FED7C7 + 45405.50ns INFO [00045407] Port=1 RD @07 + 45406.50ns INFO [00045408] * RD COMPARE * port=1 adr=06 act=9DEA3584FEB5F31168 exp=9DEA3584FEB5F31168 + 45406.50ns INFO [00045408] Port=0 WR @05=0A77E9419553193A06 + 45406.50ns INFO [00045408] Port=0 RD @03 + 45407.50ns INFO [00045409] * RD COMPARE * port=1 adr=07 act=F74D1A5DB81CABF661 exp=F74D1A5DB81CABF661 + 45407.50ns INFO [00045409] Port=0 RD @05 + 45408.50ns INFO [00045410] * RD COMPARE * port=0 adr=03 act=792EBDF46C2F42FA72 exp=792EBDF46C2F42FA72 + 45408.50ns INFO [00045410] Port=0 WR @02=963D649A596096C51F + 45408.50ns INFO [00045410] Port=0 RD @05 + 45408.50ns INFO [00045410] Port=1 RD @05 + 45409.50ns INFO [00045411] * RD COMPARE * port=0 adr=05 act=0A77E9419553193A06 exp=0A77E9419553193A06 + 45410.50ns INFO [00045412] * RD COMPARE * port=0 adr=05 act=0A77E9419553193A06 exp=0A77E9419553193A06 + 45410.50ns INFO [00045412] * RD COMPARE * port=1 adr=05 act=0A77E9419553193A06 exp=0A77E9419553193A06 + 45410.50ns INFO [00045412] Port=0 RD @06 + 45410.50ns INFO [00045412] Port=1 RD @06 + 45412.50ns INFO [00045414] * RD COMPARE * port=0 adr=06 act=C364C5EC8A06FED7C7 exp=C364C5EC8A06FED7C7 + 45412.50ns INFO [00045414] * RD COMPARE * port=1 adr=06 act=C364C5EC8A06FED7C7 exp=C364C5EC8A06FED7C7 + 45412.50ns INFO [00045414] Port=0 RD @02 + 45412.50ns INFO [00045414] Port=1 RD @00 + 45413.50ns INFO [00045415] Port=0 WR @07=DDDFD23A4B2C4DC29D + 45413.50ns INFO [00045415] Port=1 RD @05 + 45414.50ns INFO [00045416] * RD COMPARE * port=0 adr=02 act=963D649A596096C51F exp=963D649A596096C51F + 45414.50ns INFO [00045416] * RD COMPARE * port=1 adr=00 act=FC53243C182F0B3413 exp=FC53243C182F0B3413 + 45414.50ns INFO [00045416] Port=0 WR @07=454BD3B44964567399 + 45415.50ns INFO [00045417] * RD COMPARE * port=1 adr=05 act=0A77E9419553193A06 exp=0A77E9419553193A06 + 45416.50ns INFO [00045418] Port=1 RD @04 + 45418.50ns INFO [00045420] * RD COMPARE * port=1 adr=04 act=3B91EF52A275BC9FDB exp=3B91EF52A275BC9FDB + 45418.50ns INFO [00045420] Port=0 WR @02=7242A4F63F21247BF0 + 45418.50ns INFO [00045420] Port=1 RD @05 + 45420.50ns INFO [00045422] * RD COMPARE * port=1 adr=05 act=0A77E9419553193A06 exp=0A77E9419553193A06 + 45420.50ns INFO [00045422] Port=0 RD @04 + 45420.50ns INFO [00045422] Port=1 RD @06 + 45421.50ns INFO [00045423] Port=0 WR @05=5C9DB2B94725E5CF86 + 45421.50ns INFO [00045423] Port=0 RD @04 + 45422.50ns INFO [00045424] * RD COMPARE * port=0 adr=04 act=3B91EF52A275BC9FDB exp=3B91EF52A275BC9FDB + 45422.50ns INFO [00045424] * RD COMPARE * port=1 adr=06 act=C364C5EC8A06FED7C7 exp=C364C5EC8A06FED7C7 + 45422.50ns INFO [00045424] Port=1 RD @02 + 45423.50ns INFO [00045425] * RD COMPARE * port=0 adr=04 act=3B91EF52A275BC9FDB exp=3B91EF52A275BC9FDB + 45423.50ns INFO [00045425] Port=0 WR @02=33E9578B0CBED49E9D + 45424.50ns INFO [00045426] * RD COMPARE * port=1 adr=02 act=7242A4F63F21247BF0 exp=7242A4F63F21247BF0 + 45424.50ns INFO [00045426] Port=0 WR @02=2367F52C68EF481541 + 45425.50ns INFO [00045427] Port=0 RD @04 + 45425.50ns INFO [00045427] Port=1 RD @05 + 45427.50ns INFO [00045429] * RD COMPARE * port=0 adr=04 act=3B91EF52A275BC9FDB exp=3B91EF52A275BC9FDB + 45427.50ns INFO [00045429] * RD COMPARE * port=1 adr=05 act=5C9DB2B94725E5CF86 exp=5C9DB2B94725E5CF86 + 45427.50ns INFO [00045429] Port=0 RD @04 + 45428.50ns INFO [00045430] Port=0 RD @02 + 45428.50ns INFO [00045430] Port=1 RD @02 + 45429.50ns INFO [00045431] * RD COMPARE * port=0 adr=04 act=3B91EF52A275BC9FDB exp=3B91EF52A275BC9FDB + 45429.50ns INFO [00045431] Port=0 RD @06 + 45429.50ns INFO [00045431] Port=1 RD @01 + 45430.50ns INFO [00045432] * RD COMPARE * port=0 adr=02 act=2367F52C68EF481541 exp=2367F52C68EF481541 + 45430.50ns INFO [00045432] * RD COMPARE * port=1 adr=02 act=2367F52C68EF481541 exp=2367F52C68EF481541 + 45430.50ns INFO [00045432] Port=0 RD @04 + 45431.50ns INFO [00045433] * RD COMPARE * port=0 adr=06 act=C364C5EC8A06FED7C7 exp=C364C5EC8A06FED7C7 + 45431.50ns INFO [00045433] * RD COMPARE * port=1 adr=01 act=0FDDAB0E0A3CF7B2BC exp=0FDDAB0E0A3CF7B2BC + 45431.50ns INFO [00045433] Port=0 WR @02=B4F40D2FADAC876414 + 45432.50ns INFO [00045434] * RD COMPARE * port=0 adr=04 act=3B91EF52A275BC9FDB exp=3B91EF52A275BC9FDB + 45432.50ns INFO [00045434] Port=1 RD @03 + 45433.50ns INFO [00045435] Port=1 RD @05 + 45434.50ns INFO [00045436] * RD COMPARE * port=1 adr=03 act=792EBDF46C2F42FA72 exp=792EBDF46C2F42FA72 + 45434.50ns INFO [00045436] Port=0 WR @02=22BE6904E70B36A5B6 + 45434.50ns INFO [00045436] Port=0 RD @05 + 45434.50ns INFO [00045436] Port=1 RD @04 + 45435.50ns INFO [00045437] * RD COMPARE * port=1 adr=05 act=5C9DB2B94725E5CF86 exp=5C9DB2B94725E5CF86 + 45435.50ns INFO [00045437] Port=1 RD @00 + 45436.50ns INFO [00045438] * RD COMPARE * port=0 adr=05 act=5C9DB2B94725E5CF86 exp=5C9DB2B94725E5CF86 + 45436.50ns INFO [00045438] * RD COMPARE * port=1 adr=04 act=3B91EF52A275BC9FDB exp=3B91EF52A275BC9FDB + 45436.50ns INFO [00045438] Port=0 WR @00=CF1688246FE4D23D3C + 45436.50ns INFO [00045438] Port=1 RD @02 + 45437.50ns INFO [00045439] * RD COMPARE * port=1 adr=00 act=FC53243C182F0B3413 exp=FC53243C182F0B3413 + 45438.50ns INFO [00045440] * RD COMPARE * port=1 adr=02 act=22BE6904E70B36A5B6 exp=22BE6904E70B36A5B6 + 45438.50ns INFO [00045440] Port=0 WR @02=99A761F7D8433A9DB9 + 45439.50ns INFO [00045441] Port=0 WR @06=2D1FDABDF5B7A65966 + 45440.50ns INFO [00045442] Port=1 RD @04 + 45442.50ns INFO [00045444] * RD COMPARE * port=1 adr=04 act=3B91EF52A275BC9FDB exp=3B91EF52A275BC9FDB + 45442.50ns INFO [00045444] Port=0 WR @05=C745B67EE55F095BBF + 45442.50ns INFO [00045444] Port=1 RD @00 + 45443.50ns INFO [00045445] Port=0 WR @04=F662595FF5964ABCC3 + 45443.50ns INFO [00045445] Port=1 RD @03 + 45444.50ns INFO [00045446] * RD COMPARE * port=1 adr=00 act=CF1688246FE4D23D3C exp=CF1688246FE4D23D3C + 45444.50ns INFO [00045446] Port=0 WR @03=4DC0C5B8A545731557 + 45444.50ns INFO [00045446] Port=0 RD @00 + 45444.50ns INFO [00045446] Port=1 RD @02 + 45445.50ns INFO [00045447] * RD COMPARE * port=1 adr=03 act=792EBDF46C2F42FA72 exp=792EBDF46C2F42FA72 + 45445.50ns INFO [00045447] Port=0 WR @04=5F176028ED4FACCA62 + 45445.50ns INFO [00045447] Port=0 RD @00 + 45446.50ns INFO [00045448] * RD COMPARE * port=0 adr=00 act=CF1688246FE4D23D3C exp=CF1688246FE4D23D3C + 45446.50ns INFO [00045448] * RD COMPARE * port=1 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45447.50ns INFO [00045449] * RD COMPARE * port=0 adr=00 act=CF1688246FE4D23D3C exp=CF1688246FE4D23D3C + 45449.50ns INFO [00045451] Port=0 RD @02 + 45449.50ns INFO [00045451] Port=1 RD @01 + 45450.50ns INFO [00045452] Port=0 WR @01=8E6753D68C0CD1111E + 45450.50ns INFO [00045452] Port=1 RD @04 + 45451.50ns INFO [00045453] * RD COMPARE * port=0 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45451.50ns INFO [00045453] * RD COMPARE * port=1 adr=01 act=0FDDAB0E0A3CF7B2BC exp=0FDDAB0E0A3CF7B2BC + 45451.50ns INFO [00045453] Port=0 WR @00=8E068DA2A95AEBDB92 + 45451.50ns INFO [00045453] Port=0 RD @01 + 45452.50ns INFO [00045454] * RD COMPARE * port=1 adr=04 act=5F176028ED4FACCA62 exp=5F176028ED4FACCA62 + 45452.50ns INFO [00045454] Port=1 RD @00 + 45453.50ns INFO [00045455] * RD COMPARE * port=0 adr=01 act=8E6753D68C0CD1111E exp=8E6753D68C0CD1111E + 45453.50ns INFO [00045455] Port=0 RD @06 + 45454.50ns INFO [00045456] * RD COMPARE * port=1 adr=00 act=8E068DA2A95AEBDB92 exp=8E068DA2A95AEBDB92 + 45454.50ns INFO [00045456] Port=0 RD @05 + 45455.50ns INFO [00045457] * RD COMPARE * port=0 adr=06 act=2D1FDABDF5B7A65966 exp=2D1FDABDF5B7A65966 + 45456.50ns INFO [00045458] * RD COMPARE * port=0 adr=05 act=C745B67EE55F095BBF exp=C745B67EE55F095BBF + 45456.50ns INFO [00045458] Port=0 RD @01 + 45457.50ns INFO [00045459] Port=1 RD @05 + 45458.50ns INFO [00045460] * RD COMPARE * port=0 adr=01 act=8E6753D68C0CD1111E exp=8E6753D68C0CD1111E + 45459.50ns INFO [00045461] * RD COMPARE * port=1 adr=05 act=C745B67EE55F095BBF exp=C745B67EE55F095BBF + 45460.50ns INFO [00045462] Port=0 RD @01 + 45460.50ns INFO [00045462] Port=1 RD @01 + 45461.50ns INFO [00045463] Port=0 RD @05 + 45462.50ns INFO [00045464] * RD COMPARE * port=0 adr=01 act=8E6753D68C0CD1111E exp=8E6753D68C0CD1111E + 45462.50ns INFO [00045464] * RD COMPARE * port=1 adr=01 act=8E6753D68C0CD1111E exp=8E6753D68C0CD1111E + 45462.50ns INFO [00045464] Port=0 WR @04=BFE02E0ED0A4F29236 + 45463.50ns INFO [00045465] * RD COMPARE * port=0 adr=05 act=C745B67EE55F095BBF exp=C745B67EE55F095BBF + 45463.50ns INFO [00045465] Port=0 RD @06 + 45465.50ns INFO [00045467] * RD COMPARE * port=0 adr=06 act=2D1FDABDF5B7A65966 exp=2D1FDABDF5B7A65966 + 45465.50ns INFO [00045467] Port=0 WR @07=3ED2A7CAD2E594AC0B + 45465.50ns INFO [00045467] Port=1 RD @06 + 45466.50ns INFO [00045468] Port=0 WR @07=D9035145150EE15C86 + 45466.50ns INFO [00045468] Port=0 RD @01 + 45467.50ns INFO [00045469] * RD COMPARE * port=1 adr=06 act=2D1FDABDF5B7A65966 exp=2D1FDABDF5B7A65966 + 45467.50ns INFO [00045469] Port=0 WR @05=BA4D26FB9EE5C6C49F + 45468.50ns INFO [00045470] * RD COMPARE * port=0 adr=01 act=8E6753D68C0CD1111E exp=8E6753D68C0CD1111E + 45468.50ns INFO [00045470] Port=0 WR @06=A76B517379A2C4BD74 + 45468.50ns INFO [00045470] Port=0 RD @03 + 45468.50ns INFO [00045470] Port=1 RD @03 + 45469.50ns INFO [00045471] Port=0 RD @00 + 45469.50ns INFO [00045471] Port=1 RD @02 + 45470.50ns INFO [00045472] * RD COMPARE * port=0 adr=03 act=4DC0C5B8A545731557 exp=4DC0C5B8A545731557 + 45470.50ns INFO [00045472] * RD COMPARE * port=1 adr=03 act=4DC0C5B8A545731557 exp=4DC0C5B8A545731557 + 45470.50ns INFO [00045472] Port=0 WR @05=656E758ECA0240FC40 + 45470.50ns INFO [00045472] Port=0 RD @01 + 45470.50ns INFO [00045472] Port=1 RD @01 + 45471.50ns INFO [00045473] * RD COMPARE * port=0 adr=00 act=8E068DA2A95AEBDB92 exp=8E068DA2A95AEBDB92 + 45471.50ns INFO [00045473] * RD COMPARE * port=1 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45471.50ns INFO [00045473] Port=0 WR @00=85BD1EC5F69DF90D54 + 45471.50ns INFO [00045473] Port=0 RD @03 + 45471.50ns INFO [00045473] Port=1 RD @06 + 45472.50ns INFO [00045474] * RD COMPARE * port=0 adr=01 act=8E6753D68C0CD1111E exp=8E6753D68C0CD1111E + 45472.50ns INFO [00045474] * RD COMPARE * port=1 adr=01 act=8E6753D68C0CD1111E exp=8E6753D68C0CD1111E + 45472.50ns INFO [00045474] Port=0 WR @04=BDB4A307C3B8D5B1C7 + 45472.50ns INFO [00045474] Port=0 RD @03 + 45472.50ns INFO [00045474] Port=1 RD @03 + 45473.50ns INFO [00045475] * RD COMPARE * port=0 adr=03 act=4DC0C5B8A545731557 exp=4DC0C5B8A545731557 + 45473.50ns INFO [00045475] * RD COMPARE * port=1 adr=06 act=A76B517379A2C4BD74 exp=A76B517379A2C4BD74 + 45473.50ns INFO [00045475] Port=0 WR @03=B4D4FF2FB5F045FF1A + 45473.50ns INFO [00045475] Port=0 RD @06 + 45474.50ns INFO [00045476] * RD COMPARE * port=0 adr=03 act=4DC0C5B8A545731557 exp=4DC0C5B8A545731557 + 45474.50ns INFO [00045476] * RD COMPARE * port=1 adr=03 act=4DC0C5B8A545731557 exp=4DC0C5B8A545731557 + 45474.50ns INFO [00045476] Port=0 WR @01=536EC3A9F0A514D541 + 45475.50ns INFO [00045477] * RD COMPARE * port=0 adr=06 act=A76B517379A2C4BD74 exp=A76B517379A2C4BD74 + 45475.50ns INFO [00045477] Port=0 RD @02 + 45475.50ns INFO [00045477] Port=1 RD @04 + 45476.50ns INFO [00045478] Port=0 RD @01 + 45477.50ns INFO [00045479] * RD COMPARE * port=0 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45477.50ns INFO [00045479] * RD COMPARE * port=1 adr=04 act=BDB4A307C3B8D5B1C7 exp=BDB4A307C3B8D5B1C7 + 45478.50ns INFO [00045480] * RD COMPARE * port=0 adr=01 act=536EC3A9F0A514D541 exp=536EC3A9F0A514D541 + 45478.50ns INFO [00045480] Port=1 RD @06 + 45479.50ns INFO [00045481] Port=1 RD @07 + 45480.50ns INFO [00045482] * RD COMPARE * port=1 adr=06 act=A76B517379A2C4BD74 exp=A76B517379A2C4BD74 + 45480.50ns INFO [00045482] Port=1 RD @04 + 45481.50ns INFO [00045483] * RD COMPARE * port=1 adr=07 act=D9035145150EE15C86 exp=D9035145150EE15C86 + 45481.50ns INFO [00045483] Port=0 WR @03=85E6A561B80930EDB9 + 45481.50ns INFO [00045483] Port=0 RD @04 + 45482.50ns INFO [00045484] * RD COMPARE * port=1 adr=04 act=BDB4A307C3B8D5B1C7 exp=BDB4A307C3B8D5B1C7 + 45482.50ns INFO [00045484] Port=0 WR @07=E2E6440AAC8C0E45EA + 45483.50ns INFO [00045485] * RD COMPARE * port=0 adr=04 act=BDB4A307C3B8D5B1C7 exp=BDB4A307C3B8D5B1C7 + 45484.50ns INFO [00045486] Port=0 RD @07 + 45484.50ns INFO [00045486] Port=1 RD @02 + 45485.50ns INFO [00045487] Port=1 RD @05 + 45486.50ns INFO [00045488] * RD COMPARE * port=0 adr=07 act=E2E6440AAC8C0E45EA exp=E2E6440AAC8C0E45EA + 45486.50ns INFO [00045488] * RD COMPARE * port=1 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45487.50ns INFO [00045489] * RD COMPARE * port=1 adr=05 act=656E758ECA0240FC40 exp=656E758ECA0240FC40 + 45487.50ns INFO [00045489] Port=0 WR @07=014EA7B81F85FA438E + 45488.50ns INFO [00045490] Port=0 WR @00=4D2FD8AEC60D8FC5AC + 45488.50ns INFO [00045490] Port=1 RD @01 + 45489.50ns INFO [00045491] Port=0 WR @03=8FE4BE0D4F3093C98A + 45489.50ns INFO [00045491] Port=1 RD @06 + 45490.50ns INFO [00045492] * RD COMPARE * port=1 adr=01 act=536EC3A9F0A514D541 exp=536EC3A9F0A514D541 + 45491.50ns INFO [00045493] * RD COMPARE * port=1 adr=06 act=A76B517379A2C4BD74 exp=A76B517379A2C4BD74 + 45491.50ns INFO [00045493] Port=1 RD @04 + 45492.50ns INFO [00045494] Port=0 RD @02 + 45492.50ns INFO [00045494] Port=1 RD @01 + 45493.50ns INFO [00045495] * RD COMPARE * port=1 adr=04 act=BDB4A307C3B8D5B1C7 exp=BDB4A307C3B8D5B1C7 + 45494.50ns INFO [00045496] * RD COMPARE * port=0 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45494.50ns INFO [00045496] * RD COMPARE * port=1 adr=01 act=536EC3A9F0A514D541 exp=536EC3A9F0A514D541 + 45494.50ns INFO [00045496] Port=0 WR @04=BC05DCB2B773DCDC97 + 45494.50ns INFO [00045496] Port=1 RD @03 + 45495.50ns INFO [00045497] Port=0 RD @03 + 45496.50ns INFO [00045498] * RD COMPARE * port=1 adr=03 act=8FE4BE0D4F3093C98A exp=8FE4BE0D4F3093C98A + 45496.50ns INFO [00045498] Port=0 RD @02 + 45496.50ns INFO [00045498] Port=1 RD @02 + 45497.50ns INFO [00045499] * RD COMPARE * port=0 adr=03 act=8FE4BE0D4F3093C98A exp=8FE4BE0D4F3093C98A + 45497.50ns INFO [00045499] Port=0 WR @04=B9DEDC0C2025D2EF80 + 45498.00ns INFO [00045500] [00045500] ...tick... + 45498.50ns INFO [00045500] * RD COMPARE * port=0 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45498.50ns INFO [00045500] * RD COMPARE * port=1 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45499.50ns INFO [00045501] Port=0 WR @01=60CB09CD44D4A399B3 + 45500.50ns INFO [00045502] Port=1 RD @07 + 45501.50ns INFO [00045503] Port=0 WR @01=9BB47054DE71AB8CF9 + 45502.50ns INFO [00045504] * RD COMPARE * port=1 adr=07 act=014EA7B81F85FA438E exp=014EA7B81F85FA438E + 45502.50ns INFO [00045504] Port=0 RD @05 + 45503.50ns INFO [00045505] Port=1 RD @02 + 45504.50ns INFO [00045506] * RD COMPARE * port=0 adr=05 act=656E758ECA0240FC40 exp=656E758ECA0240FC40 + 45504.50ns INFO [00045506] Port=0 RD @00 + 45504.50ns INFO [00045506] Port=1 RD @02 + 45505.50ns INFO [00045507] * RD COMPARE * port=1 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45506.50ns INFO [00045508] * RD COMPARE * port=0 adr=00 act=4D2FD8AEC60D8FC5AC exp=4D2FD8AEC60D8FC5AC + 45506.50ns INFO [00045508] * RD COMPARE * port=1 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45506.50ns INFO [00045508] Port=0 RD @04 + 45507.50ns INFO [00045509] Port=0 RD @02 + 45508.50ns INFO [00045510] * RD COMPARE * port=0 adr=04 act=B9DEDC0C2025D2EF80 exp=B9DEDC0C2025D2EF80 + 45509.50ns INFO [00045511] * RD COMPARE * port=0 adr=02 act=99A761F7D8433A9DB9 exp=99A761F7D8433A9DB9 + 45510.50ns INFO [00045512] Port=0 WR @02=7681B7017EE8BE60C6 + 45511.50ns INFO [00045513] Port=0 RD @04 + 45512.50ns INFO [00045514] Port=0 WR @07=C34F68ACB950FA590D + 45513.50ns INFO [00045515] * RD COMPARE * port=0 adr=04 act=B9DEDC0C2025D2EF80 exp=B9DEDC0C2025D2EF80 + 45513.50ns INFO [00045515] Port=0 WR @06=0DE28925E36E9B1FD0 + 45513.50ns INFO [00045515] Port=0 RD @00 + 45514.50ns INFO [00045516] Port=0 WR @06=B22E0EEA9E53F9DF86 + 45515.50ns INFO [00045517] * RD COMPARE * port=0 adr=00 act=4D2FD8AEC60D8FC5AC exp=4D2FD8AEC60D8FC5AC + 45515.50ns INFO [00045517] Port=0 WR @02=BC0738154FAD8F11F0 + 45517.50ns INFO [00045519] Port=0 RD @05 + 45517.50ns INFO [00045519] Port=1 RD @00 + 45518.50ns INFO [00045520] Port=0 WR @02=871FC67DAE5753646F + 45518.50ns INFO [00045520] Port=0 RD @04 + 45519.50ns INFO [00045521] * RD COMPARE * port=0 adr=05 act=656E758ECA0240FC40 exp=656E758ECA0240FC40 + 45519.50ns INFO [00045521] * RD COMPARE * port=1 adr=00 act=4D2FD8AEC60D8FC5AC exp=4D2FD8AEC60D8FC5AC + 45519.50ns INFO [00045521] Port=0 WR @05=871FEE17E61494191E + 45519.50ns INFO [00045521] Port=1 RD @04 + 45520.50ns INFO [00045522] * RD COMPARE * port=0 adr=04 act=B9DEDC0C2025D2EF80 exp=B9DEDC0C2025D2EF80 + 45520.50ns INFO [00045522] Port=0 WR @01=3402238A085D63C7DB + 45520.50ns INFO [00045522] Port=0 RD @02 + 45521.50ns INFO [00045523] * RD COMPARE * port=1 adr=04 act=B9DEDC0C2025D2EF80 exp=B9DEDC0C2025D2EF80 + 45521.50ns INFO [00045523] Port=0 WR @07=55265D645CBCE4FCFB + 45522.50ns INFO [00045524] * RD COMPARE * port=0 adr=02 act=871FC67DAE5753646F exp=871FC67DAE5753646F + 45522.50ns INFO [00045524] Port=0 RD @01 + 45523.50ns INFO [00045525] Port=1 RD @00 + 45524.50ns INFO [00045526] * RD COMPARE * port=0 adr=01 act=3402238A085D63C7DB exp=3402238A085D63C7DB + 45524.50ns INFO [00045526] Port=0 WR @07=D93AAFB2F39FA026BE + 45524.50ns INFO [00045526] Port=0 RD @05 + 45525.50ns INFO [00045527] * RD COMPARE * port=1 adr=00 act=4D2FD8AEC60D8FC5AC exp=4D2FD8AEC60D8FC5AC + 45525.50ns INFO [00045527] Port=1 RD @02 + 45526.50ns INFO [00045528] * RD COMPARE * port=0 adr=05 act=871FEE17E61494191E exp=871FEE17E61494191E + 45526.50ns INFO [00045528] Port=0 WR @05=AEA6A3B1198E77FED2 + 45527.50ns INFO [00045529] * RD COMPARE * port=1 adr=02 act=871FC67DAE5753646F exp=871FC67DAE5753646F + 45528.50ns INFO [00045530] Port=0 WR @03=778C9AB1F431E8F828 + 45529.50ns INFO [00045531] Port=0 WR @00=892E40186C484250D8 + 45530.50ns INFO [00045532] Port=0 RD @05 + 45531.50ns INFO [00045533] Port=0 RD @05 + 45532.50ns INFO [00045534] * RD COMPARE * port=0 adr=05 act=AEA6A3B1198E77FED2 exp=AEA6A3B1198E77FED2 + 45532.50ns INFO [00045534] Port=0 WR @05=77491775949A8FF029 + 45533.50ns INFO [00045535] * RD COMPARE * port=0 adr=05 act=AEA6A3B1198E77FED2 exp=AEA6A3B1198E77FED2 + 45533.50ns INFO [00045535] Port=1 RD @03 + 45535.50ns INFO [00045537] * RD COMPARE * port=1 adr=03 act=778C9AB1F431E8F828 exp=778C9AB1F431E8F828 + 45535.50ns INFO [00045537] Port=0 RD @06 + 45535.50ns INFO [00045537] Port=1 RD @07 + 45537.50ns INFO [00045539] * RD COMPARE * port=0 adr=06 act=B22E0EEA9E53F9DF86 exp=B22E0EEA9E53F9DF86 + 45537.50ns INFO [00045539] * RD COMPARE * port=1 adr=07 act=D93AAFB2F39FA026BE exp=D93AAFB2F39FA026BE + 45537.50ns INFO [00045539] Port=0 RD @00 + 45538.50ns INFO [00045540] Port=1 RD @01 + 45539.50ns INFO [00045541] * RD COMPARE * port=0 adr=00 act=892E40186C484250D8 exp=892E40186C484250D8 + 45539.50ns INFO [00045541] Port=0 WR @02=497E6C1BE88648BBC6 + 45539.50ns INFO [00045541] Port=1 RD @03 + 45540.50ns INFO [00045542] * RD COMPARE * port=1 adr=01 act=3402238A085D63C7DB exp=3402238A085D63C7DB + 45540.50ns INFO [00045542] Port=0 RD @06 + 45541.50ns INFO [00045543] * RD COMPARE * port=1 adr=03 act=778C9AB1F431E8F828 exp=778C9AB1F431E8F828 + 45541.50ns INFO [00045543] Port=1 RD @06 + 45542.50ns INFO [00045544] * RD COMPARE * port=0 adr=06 act=B22E0EEA9E53F9DF86 exp=B22E0EEA9E53F9DF86 + 45542.50ns INFO [00045544] Port=0 WR @04=5B83750AB2F2A4B8BF + 45542.50ns INFO [00045544] Port=0 RD @00 + 45543.50ns INFO [00045545] * RD COMPARE * port=1 adr=06 act=B22E0EEA9E53F9DF86 exp=B22E0EEA9E53F9DF86 + 45543.50ns INFO [00045545] Port=1 RD @07 + 45544.50ns INFO [00045546] * RD COMPARE * port=0 adr=00 act=892E40186C484250D8 exp=892E40186C484250D8 + 45544.50ns INFO [00045546] Port=1 RD @05 + 45545.50ns INFO [00045547] * RD COMPARE * port=1 adr=07 act=D93AAFB2F39FA026BE exp=D93AAFB2F39FA026BE + 45546.50ns INFO [00045548] * RD COMPARE * port=1 adr=05 act=77491775949A8FF029 exp=77491775949A8FF029 + 45546.50ns INFO [00045548] Port=0 RD @07 + 45547.50ns INFO [00045549] Port=1 RD @03 + 45548.50ns INFO [00045550] * RD COMPARE * port=0 adr=07 act=D93AAFB2F39FA026BE exp=D93AAFB2F39FA026BE + 45548.50ns INFO [00045550] Port=0 WR @04=2D35FC1972BED0B102 + 45549.50ns INFO [00045551] * RD COMPARE * port=1 adr=03 act=778C9AB1F431E8F828 exp=778C9AB1F431E8F828 + 45549.50ns INFO [00045551] Port=0 WR @07=C7571889FD793A2357 + 45550.50ns INFO [00045552] Port=1 RD @00 + 45551.50ns INFO [00045553] Port=0 WR @06=9BDA2F16C576C8DBF8 + 45551.50ns INFO [00045553] Port=0 RD @04 + 45552.50ns INFO [00045554] * RD COMPARE * port=1 adr=00 act=892E40186C484250D8 exp=892E40186C484250D8 + 45552.50ns INFO [00045554] Port=0 RD @07 + 45553.50ns INFO [00045555] * RD COMPARE * port=0 adr=04 act=2D35FC1972BED0B102 exp=2D35FC1972BED0B102 + 45553.50ns INFO [00045555] Port=0 RD @03 + 45554.50ns INFO [00045556] * RD COMPARE * port=0 adr=07 act=C7571889FD793A2357 exp=C7571889FD793A2357 + 45554.50ns INFO [00045556] Port=0 WR @02=0516B98A49608D3564 + 45554.50ns INFO [00045556] Port=1 RD @01 + 45555.50ns INFO [00045557] * RD COMPARE * port=0 adr=03 act=778C9AB1F431E8F828 exp=778C9AB1F431E8F828 + 45555.50ns INFO [00045557] Port=0 RD @04 + 45556.50ns INFO [00045558] * RD COMPARE * port=1 adr=01 act=3402238A085D63C7DB exp=3402238A085D63C7DB + 45556.50ns INFO [00045558] Port=0 RD @00 + 45556.50ns INFO [00045558] Port=1 RD @04 + 45557.50ns INFO [00045559] * RD COMPARE * port=0 adr=04 act=2D35FC1972BED0B102 exp=2D35FC1972BED0B102 + 45558.50ns INFO [00045560] * RD COMPARE * port=0 adr=00 act=892E40186C484250D8 exp=892E40186C484250D8 + 45558.50ns INFO [00045560] * RD COMPARE * port=1 adr=04 act=2D35FC1972BED0B102 exp=2D35FC1972BED0B102 + 45559.50ns INFO [00045561] Port=0 RD @04 + 45559.50ns INFO [00045561] Port=1 RD @01 + 45560.50ns INFO [00045562] Port=0 RD @06 + 45561.50ns INFO [00045563] * RD COMPARE * port=0 adr=04 act=2D35FC1972BED0B102 exp=2D35FC1972BED0B102 + 45561.50ns INFO [00045563] * RD COMPARE * port=1 adr=01 act=3402238A085D63C7DB exp=3402238A085D63C7DB + 45561.50ns INFO [00045563] Port=0 RD @04 + 45562.50ns INFO [00045564] * RD COMPARE * port=0 adr=06 act=9BDA2F16C576C8DBF8 exp=9BDA2F16C576C8DBF8 + 45562.50ns INFO [00045564] Port=0 WR @01=1E74C15C216A4E9A2C + 45562.50ns INFO [00045564] Port=1 RD @00 + 45563.50ns INFO [00045565] * RD COMPARE * port=0 adr=04 act=2D35FC1972BED0B102 exp=2D35FC1972BED0B102 + 45564.50ns INFO [00045566] * RD COMPARE * port=1 adr=00 act=892E40186C484250D8 exp=892E40186C484250D8 + 45565.50ns INFO [00045567] Port=1 RD @01 + 45566.50ns INFO [00045568] Port=0 WR @05=6484A54520C4A2516F + 45567.50ns INFO [00045569] * RD COMPARE * port=1 adr=01 act=1E74C15C216A4E9A2C exp=1E74C15C216A4E9A2C + 45568.50ns INFO [00045570] Port=0 WR @01=73A920AA5B5E06D32A + 45569.50ns INFO [00045571] Port=0 RD @02 + 45570.50ns INFO [00045572] Port=0 RD @04 + 45571.50ns INFO [00045573] * RD COMPARE * port=0 adr=02 act=0516B98A49608D3564 exp=0516B98A49608D3564 + 45572.50ns INFO [00045574] * RD COMPARE * port=0 adr=04 act=2D35FC1972BED0B102 exp=2D35FC1972BED0B102 + 45572.50ns INFO [00045574] Port=1 RD @02 + 45573.50ns INFO [00045575] Port=0 WR @06=64A6782B16C32E78AD + 45573.50ns INFO [00045575] Port=1 RD @04 + 45574.50ns INFO [00045576] * RD COMPARE * port=1 adr=02 act=0516B98A49608D3564 exp=0516B98A49608D3564 + 45574.50ns INFO [00045576] Port=1 RD @04 + 45575.50ns INFO [00045577] * RD COMPARE * port=1 adr=04 act=2D35FC1972BED0B102 exp=2D35FC1972BED0B102 + 45575.50ns INFO [00045577] Port=0 WR @02=0FBF5FC9924C8EAE3A + 45575.50ns INFO [00045577] Port=0 RD @03 + 45576.50ns INFO [00045578] * RD COMPARE * port=1 adr=04 act=2D35FC1972BED0B102 exp=2D35FC1972BED0B102 + 45577.50ns INFO [00045579] * RD COMPARE * port=0 adr=03 act=778C9AB1F431E8F828 exp=778C9AB1F431E8F828 + 45577.50ns INFO [00045579] Port=0 WR @02=F26A791B4817D340BF + 45578.50ns INFO [00045580] Port=0 WR @05=A278337B1EF8127528 + 45582.50ns INFO [00045584] Port=0 WR @07=7876FC7CB9E4EE612F + 45582.50ns INFO [00045584] Port=0 RD @05 + 45582.50ns INFO [00045584] Port=1 RD @00 + 45584.50ns INFO [00045586] * RD COMPARE * port=0 adr=05 act=A278337B1EF8127528 exp=A278337B1EF8127528 + 45584.50ns INFO [00045586] * RD COMPARE * port=1 adr=00 act=892E40186C484250D8 exp=892E40186C484250D8 + 45586.50ns INFO [00045588] Port=0 RD @05 + 45587.50ns INFO [00045589] Port=0 WR @06=49DBDC820F5DC18718 + 45587.50ns INFO [00045589] Port=0 RD @05 + 45588.50ns INFO [00045590] * RD COMPARE * port=0 adr=05 act=A278337B1EF8127528 exp=A278337B1EF8127528 + 45588.50ns INFO [00045590] Port=0 WR @06=E40291C378555C0164 + 45589.50ns INFO [00045591] * RD COMPARE * port=0 adr=05 act=A278337B1EF8127528 exp=A278337B1EF8127528 + 45589.50ns INFO [00045591] Port=1 RD @07 + 45590.50ns INFO [00045592] Port=1 RD @03 + 45591.50ns INFO [00045593] * RD COMPARE * port=1 adr=07 act=7876FC7CB9E4EE612F exp=7876FC7CB9E4EE612F + 45591.50ns INFO [00045593] Port=1 RD @04 + 45592.50ns INFO [00045594] * RD COMPARE * port=1 adr=03 act=778C9AB1F431E8F828 exp=778C9AB1F431E8F828 + 45592.50ns INFO [00045594] Port=0 WR @04=CDC63766075118190B + 45592.50ns INFO [00045594] Port=0 RD @05 + 45592.50ns INFO [00045594] Port=1 RD @01 + 45593.50ns INFO [00045595] * RD COMPARE * port=1 adr=04 act=2D35FC1972BED0B102 exp=2D35FC1972BED0B102 + 45593.50ns INFO [00045595] Port=0 RD @07 + 45594.50ns INFO [00045596] * RD COMPARE * port=0 adr=05 act=A278337B1EF8127528 exp=A278337B1EF8127528 + 45594.50ns INFO [00045596] * RD COMPARE * port=1 adr=01 act=73A920AA5B5E06D32A exp=73A920AA5B5E06D32A + 45594.50ns INFO [00045596] Port=0 RD @06 + 45594.50ns INFO [00045596] Port=1 RD @06 + 45595.50ns INFO [00045597] * RD COMPARE * port=0 adr=07 act=7876FC7CB9E4EE612F exp=7876FC7CB9E4EE612F + 45595.50ns INFO [00045597] Port=1 RD @01 + 45596.50ns INFO [00045598] * RD COMPARE * port=0 adr=06 act=E40291C378555C0164 exp=E40291C378555C0164 + 45596.50ns INFO [00045598] * RD COMPARE * port=1 adr=06 act=E40291C378555C0164 exp=E40291C378555C0164 + 45597.50ns INFO [00045599] * RD COMPARE * port=1 adr=01 act=73A920AA5B5E06D32A exp=73A920AA5B5E06D32A + 45597.50ns INFO [00045599] Port=0 WR @03=A20E0D5AA842063B13 + 45597.50ns INFO [00045599] Port=1 RD @06 + 45598.00ns INFO [00045600] [00045600] ...tick... + 45598.50ns INFO [00045600] Port=1 RD @00 + 45599.50ns INFO [00045601] * RD COMPARE * port=1 adr=06 act=E40291C378555C0164 exp=E40291C378555C0164 + 45599.50ns INFO [00045601] Port=0 WR @01=EF9EB46CAC140E7BD4 + 45599.50ns INFO [00045601] Port=0 RD @06 + 45599.50ns INFO [00045601] Port=1 RD @04 + 45600.50ns INFO [00045602] * RD COMPARE * port=1 adr=00 act=892E40186C484250D8 exp=892E40186C484250D8 + 45600.50ns INFO [00045602] Port=0 RD @07 + 45600.50ns INFO [00045602] Port=1 RD @04 + 45601.50ns INFO [00045603] * RD COMPARE * port=0 adr=06 act=E40291C378555C0164 exp=E40291C378555C0164 + 45601.50ns INFO [00045603] * RD COMPARE * port=1 adr=04 act=CDC63766075118190B exp=CDC63766075118190B + 45601.50ns INFO [00045603] Port=0 RD @01 + 45602.50ns INFO [00045604] * RD COMPARE * port=0 adr=07 act=7876FC7CB9E4EE612F exp=7876FC7CB9E4EE612F + 45602.50ns INFO [00045604] * RD COMPARE * port=1 adr=04 act=CDC63766075118190B exp=CDC63766075118190B + 45602.50ns INFO [00045604] Port=0 WR @00=45E13019491E03EA3F + 45603.50ns INFO [00045605] * RD COMPARE * port=0 adr=01 act=EF9EB46CAC140E7BD4 exp=EF9EB46CAC140E7BD4 + 45604.50ns INFO [00045606] Port=0 WR @02=D6D4DD44EA456B4E77 + 45604.50ns INFO [00045606] Port=0 RD @00 + 45604.50ns INFO [00045606] Port=1 RD @04 + 45605.50ns INFO [00045607] Port=0 RD @05 + 45605.50ns INFO [00045607] Port=1 RD @03 + 45606.50ns INFO [00045608] * RD COMPARE * port=0 adr=00 act=45E13019491E03EA3F exp=45E13019491E03EA3F + 45606.50ns INFO [00045608] * RD COMPARE * port=1 adr=04 act=CDC63766075118190B exp=CDC63766075118190B + 45606.50ns INFO [00045608] Port=0 RD @04 + 45606.50ns INFO [00045608] Port=1 RD @01 + 45607.50ns INFO [00045609] * RD COMPARE * port=0 adr=05 act=A278337B1EF8127528 exp=A278337B1EF8127528 + 45607.50ns INFO [00045609] * RD COMPARE * port=1 adr=03 act=A20E0D5AA842063B13 exp=A20E0D5AA842063B13 + 45607.50ns INFO [00045609] Port=1 RD @03 + 45608.50ns INFO [00045610] * RD COMPARE * port=0 adr=04 act=CDC63766075118190B exp=CDC63766075118190B + 45608.50ns INFO [00045610] * RD COMPARE * port=1 adr=01 act=EF9EB46CAC140E7BD4 exp=EF9EB46CAC140E7BD4 + 45608.50ns INFO [00045610] Port=0 WR @04=32B8823B103496F7AE + 45608.50ns INFO [00045610] Port=0 RD @07 + 45609.50ns INFO [00045611] * RD COMPARE * port=1 adr=03 act=A20E0D5AA842063B13 exp=A20E0D5AA842063B13 + 45609.50ns INFO [00045611] Port=0 WR @03=5B7A53D8453DE10B5B + 45609.50ns INFO [00045611] Port=0 RD @06 + 45610.50ns INFO [00045612] * RD COMPARE * port=0 adr=07 act=7876FC7CB9E4EE612F exp=7876FC7CB9E4EE612F + 45611.50ns INFO [00045613] * RD COMPARE * port=0 adr=06 act=E40291C378555C0164 exp=E40291C378555C0164 + 45612.50ns INFO [00045614] Port=0 WR @07=5B9601ED118709E33A + 45612.50ns INFO [00045614] Port=0 RD @02 + 45612.50ns INFO [00045614] Port=1 RD @06 + 45613.50ns INFO [00045615] Port=0 WR @06=532FC93C1C94DCDCD7 + 45614.50ns INFO [00045616] * RD COMPARE * port=0 adr=02 act=D6D4DD44EA456B4E77 exp=D6D4DD44EA456B4E77 + 45614.50ns INFO [00045616] * RD COMPARE * port=1 adr=06 act=E40291C378555C0164 exp=E40291C378555C0164 + 45614.50ns INFO [00045616] Port=0 WR @04=46DFC0A79B51AEBFC8 + 45614.50ns INFO [00045616] Port=1 RD @03 + 45615.50ns INFO [00045617] Port=0 WR @06=EEA864D86DDC326A86 + 45615.50ns INFO [00045617] Port=0 RD @01 + 45616.50ns INFO [00045618] * RD COMPARE * port=1 adr=03 act=5B7A53D8453DE10B5B exp=5B7A53D8453DE10B5B + 45616.50ns INFO [00045618] Port=0 WR @07=5C923C079BB5EB176E + 45616.50ns INFO [00045618] Port=0 RD @04 + 45616.50ns INFO [00045618] Port=1 RD @00 + 45617.50ns INFO [00045619] * RD COMPARE * port=0 adr=01 act=EF9EB46CAC140E7BD4 exp=EF9EB46CAC140E7BD4 + 45617.50ns INFO [00045619] Port=0 WR @06=75D5A2434B442AE387 + 45617.50ns INFO [00045619] Port=0 RD @05 + 45618.50ns INFO [00045620] * RD COMPARE * port=0 adr=04 act=46DFC0A79B51AEBFC8 exp=46DFC0A79B51AEBFC8 + 45618.50ns INFO [00045620] * RD COMPARE * port=1 adr=00 act=45E13019491E03EA3F exp=45E13019491E03EA3F + 45619.50ns INFO [00045621] * RD COMPARE * port=0 adr=05 act=A278337B1EF8127528 exp=A278337B1EF8127528 + 45619.50ns INFO [00045621] Port=0 WR @00=6982EB0463013A0E86 + 45619.50ns INFO [00045621] Port=0 RD @06 + 45621.50ns INFO [00045623] * RD COMPARE * port=0 adr=06 act=75D5A2434B442AE387 exp=75D5A2434B442AE387 + 45621.50ns INFO [00045623] Port=0 RD @01 + 45621.50ns INFO [00045623] Port=1 RD @07 + 45622.50ns INFO [00045624] Port=0 WR @03=EEBEDA134F1AAB7F23 + 45623.50ns INFO [00045625] * RD COMPARE * port=0 adr=01 act=EF9EB46CAC140E7BD4 exp=EF9EB46CAC140E7BD4 + 45623.50ns INFO [00045625] * RD COMPARE * port=1 adr=07 act=5C923C079BB5EB176E exp=5C923C079BB5EB176E + 45623.50ns INFO [00045625] Port=0 WR @00=EA1EC79C371B145D7E + 45623.50ns INFO [00045625] Port=1 RD @02 + 45625.50ns INFO [00045627] * RD COMPARE * port=1 adr=02 act=D6D4DD44EA456B4E77 exp=D6D4DD44EA456B4E77 + 45625.50ns INFO [00045627] Port=0 RD @00 + 45625.50ns INFO [00045627] Port=1 RD @03 + 45627.50ns INFO [00045629] * RD COMPARE * port=0 adr=00 act=EA1EC79C371B145D7E exp=EA1EC79C371B145D7E + 45627.50ns INFO [00045629] * RD COMPARE * port=1 adr=03 act=EEBEDA134F1AAB7F23 exp=EEBEDA134F1AAB7F23 + 45627.50ns INFO [00045629] Port=0 RD @02 + 45628.50ns INFO [00045630] Port=0 RD @05 + 45628.50ns INFO [00045630] Port=1 RD @05 + 45629.50ns INFO [00045631] * RD COMPARE * port=0 adr=02 act=D6D4DD44EA456B4E77 exp=D6D4DD44EA456B4E77 + 45629.50ns INFO [00045631] Port=0 WR @03=588A5CFB9BC27B66CB + 45629.50ns INFO [00045631] Port=1 RD @01 + 45630.50ns INFO [00045632] * RD COMPARE * port=0 adr=05 act=A278337B1EF8127528 exp=A278337B1EF8127528 + 45630.50ns INFO [00045632] * RD COMPARE * port=1 adr=05 act=A278337B1EF8127528 exp=A278337B1EF8127528 + 45630.50ns INFO [00045632] Port=1 RD @00 + 45631.50ns INFO [00045633] * RD COMPARE * port=1 adr=01 act=EF9EB46CAC140E7BD4 exp=EF9EB46CAC140E7BD4 + 45631.50ns INFO [00045633] Port=0 WR @02=C2C3EC2D022265859B + 45632.50ns INFO [00045634] * RD COMPARE * port=1 adr=00 act=EA1EC79C371B145D7E exp=EA1EC79C371B145D7E + 45632.50ns INFO [00045634] Port=0 RD @06 + 45633.50ns INFO [00045635] Port=0 WR @02=75D5BC2F7D3A77D8EC + 45634.50ns INFO [00045636] * RD COMPARE * port=0 adr=06 act=75D5A2434B442AE387 exp=75D5A2434B442AE387 + 45634.50ns INFO [00045636] Port=0 WR @05=DF5F58BFF7239A5D2B + 45634.50ns INFO [00045636] Port=1 RD @00 + 45635.50ns INFO [00045637] Port=0 WR @00=DFB5F80E64F8280695 + 45636.50ns INFO [00045638] * RD COMPARE * port=1 adr=00 act=EA1EC79C371B145D7E exp=EA1EC79C371B145D7E + 45637.50ns INFO [00045639] Port=0 RD @07 + 45638.50ns INFO [00045640] Port=0 RD @05 + 45638.50ns INFO [00045640] Port=1 RD @03 + 45639.50ns INFO [00045641] * RD COMPARE * port=0 adr=07 act=5C923C079BB5EB176E exp=5C923C079BB5EB176E + 45639.50ns INFO [00045641] Port=0 WR @07=AC1817115A9CAAA244 + 45639.50ns INFO [00045641] Port=0 RD @06 + 45639.50ns INFO [00045641] Port=1 RD @06 + 45640.50ns INFO [00045642] * RD COMPARE * port=0 adr=05 act=DF5F58BFF7239A5D2B exp=DF5F58BFF7239A5D2B + 45640.50ns INFO [00045642] * RD COMPARE * port=1 adr=03 act=588A5CFB9BC27B66CB exp=588A5CFB9BC27B66CB + 45640.50ns INFO [00045642] Port=0 WR @05=BECD1263596BC82E11 + 45640.50ns INFO [00045642] Port=1 RD @06 + 45641.50ns INFO [00045643] * RD COMPARE * port=0 adr=06 act=75D5A2434B442AE387 exp=75D5A2434B442AE387 + 45641.50ns INFO [00045643] * RD COMPARE * port=1 adr=06 act=75D5A2434B442AE387 exp=75D5A2434B442AE387 + 45641.50ns INFO [00045643] Port=0 RD @02 + 45641.50ns INFO [00045643] Port=1 RD @03 + 45642.50ns INFO [00045644] * RD COMPARE * port=1 adr=06 act=75D5A2434B442AE387 exp=75D5A2434B442AE387 + 45643.50ns INFO [00045645] * RD COMPARE * port=0 adr=02 act=75D5BC2F7D3A77D8EC exp=75D5BC2F7D3A77D8EC + 45643.50ns INFO [00045645] * RD COMPARE * port=1 adr=03 act=588A5CFB9BC27B66CB exp=588A5CFB9BC27B66CB + 45644.50ns INFO [00045646] Port=0 RD @05 + 45644.50ns INFO [00045646] Port=1 RD @06 + 45646.50ns INFO [00045648] * RD COMPARE * port=0 adr=05 act=BECD1263596BC82E11 exp=BECD1263596BC82E11 + 45646.50ns INFO [00045648] * RD COMPARE * port=1 adr=06 act=75D5A2434B442AE387 exp=75D5A2434B442AE387 + 45646.50ns INFO [00045648] Port=0 RD @03 + 45647.50ns INFO [00045649] Port=0 WR @01=3A413E1B18321BEDCA + 45647.50ns INFO [00045649] Port=0 RD @07 + 45648.50ns INFO [00045650] * RD COMPARE * port=0 adr=03 act=588A5CFB9BC27B66CB exp=588A5CFB9BC27B66CB + 45648.50ns INFO [00045650] Port=0 WR @05=76A3A1663AC56A8418 + 45649.50ns INFO [00045651] * RD COMPARE * port=0 adr=07 act=AC1817115A9CAAA244 exp=AC1817115A9CAAA244 + 45650.50ns INFO [00045652] Port=1 RD @07 + 45651.50ns INFO [00045653] Port=0 RD @04 + 45652.50ns INFO [00045654] * RD COMPARE * port=1 adr=07 act=AC1817115A9CAAA244 exp=AC1817115A9CAAA244 + 45652.50ns INFO [00045654] Port=0 WR @07=9594E29558071E9A40 + 45652.50ns INFO [00045654] Port=1 RD @00 + 45653.50ns INFO [00045655] * RD COMPARE * port=0 adr=04 act=46DFC0A79B51AEBFC8 exp=46DFC0A79B51AEBFC8 + 45653.50ns INFO [00045655] Port=0 RD @00 + 45653.50ns INFO [00045655] Port=1 RD @05 + 45654.50ns INFO [00045656] * RD COMPARE * port=1 adr=00 act=DFB5F80E64F8280695 exp=DFB5F80E64F8280695 + 45655.50ns INFO [00045657] * RD COMPARE * port=0 adr=00 act=DFB5F80E64F8280695 exp=DFB5F80E64F8280695 + 45655.50ns INFO [00045657] * RD COMPARE * port=1 adr=05 act=76A3A1663AC56A8418 exp=76A3A1663AC56A8418 + 45655.50ns INFO [00045657] Port=0 WR @03=725841568867229A80 + 45655.50ns INFO [00045657] Port=0 RD @05 + 45655.50ns INFO [00045657] Port=1 RD @05 + 45657.50ns INFO [00045659] * RD COMPARE * port=0 adr=05 act=76A3A1663AC56A8418 exp=76A3A1663AC56A8418 + 45657.50ns INFO [00045659] * RD COMPARE * port=1 adr=05 act=76A3A1663AC56A8418 exp=76A3A1663AC56A8418 + 45657.50ns INFO [00045659] Port=0 RD @02 + 45659.50ns INFO [00045661] * RD COMPARE * port=0 adr=02 act=75D5BC2F7D3A77D8EC exp=75D5BC2F7D3A77D8EC + 45659.50ns INFO [00045661] Port=0 WR @05=AABAC416588CD50A7D + 45660.50ns INFO [00045662] Port=0 RD @00 + 45661.50ns INFO [00045663] Port=0 WR @05=BF2253E619B42CAF1F + 45661.50ns INFO [00045663] Port=1 RD @04 + 45662.50ns INFO [00045664] * RD COMPARE * port=0 adr=00 act=DFB5F80E64F8280695 exp=DFB5F80E64F8280695 + 45662.50ns INFO [00045664] Port=0 WR @01=0324F415465E50A704 + 45662.50ns INFO [00045664] Port=0 RD @07 + 45662.50ns INFO [00045664] Port=1 RD @03 + 45663.50ns INFO [00045665] * RD COMPARE * port=1 adr=04 act=46DFC0A79B51AEBFC8 exp=46DFC0A79B51AEBFC8 + 45663.50ns INFO [00045665] Port=0 WR @07=B67A01BF2B2FD8781C + 45663.50ns INFO [00045665] Port=0 RD @06 + 45663.50ns INFO [00045665] Port=1 RD @02 + 45664.50ns INFO [00045666] * RD COMPARE * port=0 adr=07 act=9594E29558071E9A40 exp=9594E29558071E9A40 + 45664.50ns INFO [00045666] * RD COMPARE * port=1 adr=03 act=725841568867229A80 exp=725841568867229A80 + 45664.50ns INFO [00045666] Port=1 RD @01 + 45665.50ns INFO [00045667] * RD COMPARE * port=0 adr=06 act=75D5A2434B442AE387 exp=75D5A2434B442AE387 + 45665.50ns INFO [00045667] * RD COMPARE * port=1 adr=02 act=75D5BC2F7D3A77D8EC exp=75D5BC2F7D3A77D8EC + 45666.50ns INFO [00045668] * RD COMPARE * port=1 adr=01 act=0324F415465E50A704 exp=0324F415465E50A704 + 45666.50ns INFO [00045668] Port=0 RD @05 + 45666.50ns INFO [00045668] Port=1 RD @01 + 45667.50ns INFO [00045669] Port=0 WR @01=C1FB0D623059010F35 + 45668.50ns INFO [00045670] * RD COMPARE * port=0 adr=05 act=BF2253E619B42CAF1F exp=BF2253E619B42CAF1F + 45668.50ns INFO [00045670] * RD COMPARE * port=1 adr=01 act=0324F415465E50A704 exp=0324F415465E50A704 + 45668.50ns INFO [00045670] Port=0 WR @07=A6D414AF9F02D7DAB0 + 45668.50ns INFO [00045670] Port=1 RD @06 + 45669.50ns INFO [00045671] Port=0 WR @06=E83BAB5761E1D21CF4 + 45669.50ns INFO [00045671] Port=1 RD @05 + 45670.50ns INFO [00045672] * RD COMPARE * port=1 adr=06 act=75D5A2434B442AE387 exp=75D5A2434B442AE387 + 45671.50ns INFO [00045673] * RD COMPARE * port=1 adr=05 act=BF2253E619B42CAF1F exp=BF2253E619B42CAF1F + 45671.50ns INFO [00045673] Port=0 WR @07=A008ABCF7BBA5CE586 + 45671.50ns INFO [00045673] Port=1 RD @01 + 45672.50ns INFO [00045674] Port=0 WR @03=5EB0249203F8D2C42E + 45673.50ns INFO [00045675] * RD COMPARE * port=1 adr=01 act=C1FB0D623059010F35 exp=C1FB0D623059010F35 + 45673.50ns INFO [00045675] Port=0 WR @02=44543C02F68E94989C + 45673.50ns INFO [00045675] Port=0 RD @06 + 45674.50ns INFO [00045676] Port=0 WR @02=DC679E5A9BF26E8F53 + 45675.50ns INFO [00045677] * RD COMPARE * port=0 adr=06 act=E83BAB5761E1D21CF4 exp=E83BAB5761E1D21CF4 + 45675.50ns INFO [00045677] Port=0 WR @05=51A5CD2F6DF808B277 + 45676.50ns INFO [00045678] Port=0 WR @03=7FE07624006A313E94 + 45676.50ns INFO [00045678] Port=0 RD @05 + 45676.50ns INFO [00045678] Port=1 RD @01 + 45677.50ns INFO [00045679] Port=0 RD @04 + 45677.50ns INFO [00045679] Port=1 RD @01 + 45678.50ns INFO [00045680] * RD COMPARE * port=0 adr=05 act=51A5CD2F6DF808B277 exp=51A5CD2F6DF808B277 + 45678.50ns INFO [00045680] * RD COMPARE * port=1 adr=01 act=C1FB0D623059010F35 exp=C1FB0D623059010F35 + 45678.50ns INFO [00045680] Port=1 RD @06 + 45679.50ns INFO [00045681] * RD COMPARE * port=0 adr=04 act=46DFC0A79B51AEBFC8 exp=46DFC0A79B51AEBFC8 + 45679.50ns INFO [00045681] * RD COMPARE * port=1 adr=01 act=C1FB0D623059010F35 exp=C1FB0D623059010F35 + 45679.50ns INFO [00045681] Port=0 WR @00=93B4339F7A562C5CC8 + 45680.50ns INFO [00045682] * RD COMPARE * port=1 adr=06 act=E83BAB5761E1D21CF4 exp=E83BAB5761E1D21CF4 + 45680.50ns INFO [00045682] Port=0 RD @02 + 45680.50ns INFO [00045682] Port=1 RD @05 + 45681.50ns INFO [00045683] Port=0 WR @06=56DC7997F8298742B1 + 45681.50ns INFO [00045683] Port=0 RD @02 + 45682.50ns INFO [00045684] * RD COMPARE * port=0 adr=02 act=DC679E5A9BF26E8F53 exp=DC679E5A9BF26E8F53 + 45682.50ns INFO [00045684] * RD COMPARE * port=1 adr=05 act=51A5CD2F6DF808B277 exp=51A5CD2F6DF808B277 + 45682.50ns INFO [00045684] Port=0 WR @03=8BC4446DEE757F0B32 + 45682.50ns INFO [00045684] Port=0 RD @07 + 45683.50ns INFO [00045685] * RD COMPARE * port=0 adr=02 act=DC679E5A9BF26E8F53 exp=DC679E5A9BF26E8F53 + 45683.50ns INFO [00045685] Port=0 RD @00 + 45684.50ns INFO [00045686] * RD COMPARE * port=0 adr=07 act=A008ABCF7BBA5CE586 exp=A008ABCF7BBA5CE586 + 45684.50ns INFO [00045686] Port=1 RD @03 + 45685.50ns INFO [00045687] * RD COMPARE * port=0 adr=00 act=93B4339F7A562C5CC8 exp=93B4339F7A562C5CC8 + 45685.50ns INFO [00045687] Port=0 RD @07 + 45685.50ns INFO [00045687] Port=1 RD @05 + 45686.50ns INFO [00045688] * RD COMPARE * port=1 adr=03 act=8BC4446DEE757F0B32 exp=8BC4446DEE757F0B32 + 45687.50ns INFO [00045689] * RD COMPARE * port=0 adr=07 act=A008ABCF7BBA5CE586 exp=A008ABCF7BBA5CE586 + 45687.50ns INFO [00045689] * RD COMPARE * port=1 adr=05 act=51A5CD2F6DF808B277 exp=51A5CD2F6DF808B277 + 45687.50ns INFO [00045689] Port=0 RD @06 + 45688.50ns INFO [00045690] Port=0 WR @01=882A97ADC82C4E3CB6 + 45688.50ns INFO [00045690] Port=0 RD @00 + 45688.50ns INFO [00045690] Port=1 RD @06 + 45689.50ns INFO [00045691] * RD COMPARE * port=0 adr=06 act=56DC7997F8298742B1 exp=56DC7997F8298742B1 + 45689.50ns INFO [00045691] Port=0 RD @00 + 45689.50ns INFO [00045691] Port=1 RD @05 + 45690.50ns INFO [00045692] * RD COMPARE * port=0 adr=00 act=93B4339F7A562C5CC8 exp=93B4339F7A562C5CC8 + 45690.50ns INFO [00045692] * RD COMPARE * port=1 adr=06 act=56DC7997F8298742B1 exp=56DC7997F8298742B1 + 45690.50ns INFO [00045692] Port=0 RD @01 + 45691.50ns INFO [00045693] * RD COMPARE * port=0 adr=00 act=93B4339F7A562C5CC8 exp=93B4339F7A562C5CC8 + 45691.50ns INFO [00045693] * RD COMPARE * port=1 adr=05 act=51A5CD2F6DF808B277 exp=51A5CD2F6DF808B277 + 45691.50ns INFO [00045693] Port=1 RD @00 + 45692.50ns INFO [00045694] * RD COMPARE * port=0 adr=01 act=882A97ADC82C4E3CB6 exp=882A97ADC82C4E3CB6 + 45692.50ns INFO [00045694] Port=0 WR @05=25E8749D6F31CCCAE0 + 45692.50ns INFO [00045694] Port=0 RD @02 + 45692.50ns INFO [00045694] Port=1 RD @01 + 45693.50ns INFO [00045695] * RD COMPARE * port=1 adr=00 act=93B4339F7A562C5CC8 exp=93B4339F7A562C5CC8 + 45694.50ns INFO [00045696] * RD COMPARE * port=0 adr=02 act=DC679E5A9BF26E8F53 exp=DC679E5A9BF26E8F53 + 45694.50ns INFO [00045696] * RD COMPARE * port=1 adr=01 act=882A97ADC82C4E3CB6 exp=882A97ADC82C4E3CB6 + 45694.50ns INFO [00045696] Port=0 RD @00 + 45696.50ns INFO [00045698] * RD COMPARE * port=0 adr=00 act=93B4339F7A562C5CC8 exp=93B4339F7A562C5CC8 + 45697.50ns INFO [00045699] Port=0 WR @05=BAD10F5AB7F1671A59 + 45698.00ns INFO [00045700] [00045700] ...tick... + 45698.50ns INFO [00045700] Port=0 WR @03=F7FC4C6F9D99CF55D5 + 45698.50ns INFO [00045700] Port=0 RD @07 + 45698.50ns INFO [00045700] Port=1 RD @05 + 45700.50ns INFO [00045702] * RD COMPARE * port=0 adr=07 act=A008ABCF7BBA5CE586 exp=A008ABCF7BBA5CE586 + 45700.50ns INFO [00045702] * RD COMPARE * port=1 adr=05 act=BAD10F5AB7F1671A59 exp=BAD10F5AB7F1671A59 + 45700.50ns INFO [00045702] Port=0 WR @05=4A024E7A12FE75A5AC + 45700.50ns INFO [00045702] Port=0 RD @07 + 45701.50ns INFO [00045703] Port=1 RD @03 + 45702.50ns INFO [00045704] * RD COMPARE * port=0 adr=07 act=A008ABCF7BBA5CE586 exp=A008ABCF7BBA5CE586 + 45703.50ns INFO [00045705] * RD COMPARE * port=1 adr=03 act=F7FC4C6F9D99CF55D5 exp=F7FC4C6F9D99CF55D5 + 45703.50ns INFO [00045705] Port=0 WR @00=3124165A226F8CA774 + 45703.50ns INFO [00045705] Port=1 RD @02 + 45705.50ns INFO [00045707] * RD COMPARE * port=1 adr=02 act=DC679E5A9BF26E8F53 exp=DC679E5A9BF26E8F53 + 45706.50ns INFO [00045708] Port=0 WR @01=296F8C2C6E0CDD359F + 45707.50ns INFO [00045709] Port=0 WR @05=DCE6FC0681F441BE51 + 45708.50ns INFO [00045710] Port=1 RD @00 + 45709.50ns INFO [00045711] Port=0 WR @01=82F7F58F569F394270 + 45709.50ns INFO [00045711] Port=1 RD @04 + 45710.50ns INFO [00045712] * RD COMPARE * port=1 adr=00 act=3124165A226F8CA774 exp=3124165A226F8CA774 + 45711.50ns INFO [00045713] * RD COMPARE * port=1 adr=04 act=46DFC0A79B51AEBFC8 exp=46DFC0A79B51AEBFC8 + 45711.50ns INFO [00045713] Port=0 RD @00 + 45712.50ns INFO [00045714] Port=0 WR @03=7A420840EC27E1A2F9 + 45713.50ns INFO [00045715] * RD COMPARE * port=0 adr=00 act=3124165A226F8CA774 exp=3124165A226F8CA774 + 45714.50ns INFO [00045716] Port=0 RD @02 + 45714.50ns INFO [00045716] Port=1 RD @04 + 45716.50ns INFO [00045718] * RD COMPARE * port=0 adr=02 act=DC679E5A9BF26E8F53 exp=DC679E5A9BF26E8F53 + 45716.50ns INFO [00045718] * RD COMPARE * port=1 adr=04 act=46DFC0A79B51AEBFC8 exp=46DFC0A79B51AEBFC8 + 45716.50ns INFO [00045718] Port=0 WR @04=3100E7A184B88031AB + 45716.50ns INFO [00045718] Port=1 RD @05 + 45718.50ns INFO [00045720] * RD COMPARE * port=1 adr=05 act=DCE6FC0681F441BE51 exp=DCE6FC0681F441BE51 + 45718.50ns INFO [00045720] Port=0 RD @06 + 45718.50ns INFO [00045720] Port=1 RD @07 + 45719.50ns INFO [00045721] Port=1 RD @02 + 45720.50ns INFO [00045722] * RD COMPARE * port=0 adr=06 act=56DC7997F8298742B1 exp=56DC7997F8298742B1 + 45720.50ns INFO [00045722] * RD COMPARE * port=1 adr=07 act=A008ABCF7BBA5CE586 exp=A008ABCF7BBA5CE586 + 45721.50ns INFO [00045723] * RD COMPARE * port=1 adr=02 act=DC679E5A9BF26E8F53 exp=DC679E5A9BF26E8F53 + 45721.50ns INFO [00045723] Port=0 RD @03 + 45722.50ns INFO [00045724] Port=0 WR @04=13EC13F9EE5A0918B0 + 45722.50ns INFO [00045724] Port=0 RD @00 + 45723.50ns INFO [00045725] * RD COMPARE * port=0 adr=03 act=7A420840EC27E1A2F9 exp=7A420840EC27E1A2F9 + 45723.50ns INFO [00045725] Port=0 RD @00 + 45724.50ns INFO [00045726] * RD COMPARE * port=0 adr=00 act=3124165A226F8CA774 exp=3124165A226F8CA774 + 45725.50ns INFO [00045727] * RD COMPARE * port=0 adr=00 act=3124165A226F8CA774 exp=3124165A226F8CA774 + 45725.50ns INFO [00045727] Port=0 WR @02=B0609924F423B33095 + 45725.50ns INFO [00045727] Port=1 RD @03 + 45727.50ns INFO [00045729] * RD COMPARE * port=1 adr=03 act=7A420840EC27E1A2F9 exp=7A420840EC27E1A2F9 + 45728.50ns INFO [00045730] Port=0 WR @03=1D5B45615057AAE2F6 + 45728.50ns INFO [00045730] Port=1 RD @01 + 45729.50ns INFO [00045731] Port=0 WR @02=0B7EA46BB05E080E3D + 45730.50ns INFO [00045732] * RD COMPARE * port=1 adr=01 act=82F7F58F569F394270 exp=82F7F58F569F394270 + 45733.50ns INFO [00045735] Port=0 RD @03 + 45734.50ns INFO [00045736] Port=0 RD @05 + 45735.50ns INFO [00045737] * RD COMPARE * port=0 adr=03 act=1D5B45615057AAE2F6 exp=1D5B45615057AAE2F6 + 45735.50ns INFO [00045737] Port=0 RD @06 + 45736.50ns INFO [00045738] * RD COMPARE * port=0 adr=05 act=DCE6FC0681F441BE51 exp=DCE6FC0681F441BE51 + 45737.50ns INFO [00045739] * RD COMPARE * port=0 adr=06 act=56DC7997F8298742B1 exp=56DC7997F8298742B1 + 45737.50ns INFO [00045739] Port=1 RD @00 + 45738.50ns INFO [00045740] Port=0 WR @05=8CB87CD5BA9547FDDB + 45738.50ns INFO [00045740] Port=0 RD @03 + 45738.50ns INFO [00045740] Port=1 RD @07 + 45739.50ns INFO [00045741] * RD COMPARE * port=1 adr=00 act=3124165A226F8CA774 exp=3124165A226F8CA774 + 45739.50ns INFO [00045741] Port=0 WR @00=142C385E2F02106535 + 45739.50ns INFO [00045741] Port=0 RD @07 + 45739.50ns INFO [00045741] Port=1 RD @07 + 45740.50ns INFO [00045742] * RD COMPARE * port=0 adr=03 act=1D5B45615057AAE2F6 exp=1D5B45615057AAE2F6 + 45740.50ns INFO [00045742] * RD COMPARE * port=1 adr=07 act=A008ABCF7BBA5CE586 exp=A008ABCF7BBA5CE586 + 45740.50ns INFO [00045742] Port=0 WR @06=0B5C157C1968888236 + 45741.50ns INFO [00045743] * RD COMPARE * port=0 adr=07 act=A008ABCF7BBA5CE586 exp=A008ABCF7BBA5CE586 + 45741.50ns INFO [00045743] * RD COMPARE * port=1 adr=07 act=A008ABCF7BBA5CE586 exp=A008ABCF7BBA5CE586 + 45741.50ns INFO [00045743] Port=0 WR @04=380A0BF0AA52AF5FBD + 45742.50ns INFO [00045744] Port=0 WR @07=D5D08B8DE7424B7C15 + 45742.50ns INFO [00045744] Port=0 RD @00 + 45744.50ns INFO [00045746] * RD COMPARE * port=0 adr=00 act=142C385E2F02106535 exp=142C385E2F02106535 + 45744.50ns INFO [00045746] Port=1 RD @02 + 45746.50ns INFO [00045748] * RD COMPARE * port=1 adr=02 act=0B7EA46BB05E080E3D exp=0B7EA46BB05E080E3D + 45746.50ns INFO [00045748] Port=1 RD @00 + 45747.50ns INFO [00045749] Port=1 RD @07 + 45748.50ns INFO [00045750] * RD COMPARE * port=1 adr=00 act=142C385E2F02106535 exp=142C385E2F02106535 + 45748.50ns INFO [00045750] Port=1 RD @06 + 45749.50ns INFO [00045751] * RD COMPARE * port=1 adr=07 act=D5D08B8DE7424B7C15 exp=D5D08B8DE7424B7C15 + 45749.50ns INFO [00045751] Port=0 WR @07=0397B63120B8881D0B + 45750.50ns INFO [00045752] * RD COMPARE * port=1 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45750.50ns INFO [00045752] Port=0 WR @02=136C5AD3FF51817BE2 + 45751.50ns INFO [00045753] Port=0 RD @04 + 45751.50ns INFO [00045753] Port=1 RD @06 + 45752.50ns INFO [00045754] Port=0 RD @05 + 45753.50ns INFO [00045755] * RD COMPARE * port=0 adr=04 act=380A0BF0AA52AF5FBD exp=380A0BF0AA52AF5FBD + 45753.50ns INFO [00045755] * RD COMPARE * port=1 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45753.50ns INFO [00045755] Port=0 RD @07 + 45754.50ns INFO [00045756] * RD COMPARE * port=0 adr=05 act=8CB87CD5BA9547FDDB exp=8CB87CD5BA9547FDDB + 45754.50ns INFO [00045756] Port=0 RD @06 + 45754.50ns INFO [00045756] Port=1 RD @03 + 45755.50ns INFO [00045757] * RD COMPARE * port=0 adr=07 act=0397B63120B8881D0B exp=0397B63120B8881D0B + 45755.50ns INFO [00045757] Port=0 WR @04=7906F4DCB9F2A4ECDC + 45755.50ns INFO [00045757] Port=0 RD @06 + 45755.50ns INFO [00045757] Port=1 RD @01 + 45756.50ns INFO [00045758] * RD COMPARE * port=0 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45756.50ns INFO [00045758] * RD COMPARE * port=1 adr=03 act=1D5B45615057AAE2F6 exp=1D5B45615057AAE2F6 + 45756.50ns INFO [00045758] Port=0 WR @01=80F6F12E267F821D8F + 45756.50ns INFO [00045758] Port=0 RD @00 + 45757.50ns INFO [00045759] * RD COMPARE * port=0 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45757.50ns INFO [00045759] * RD COMPARE * port=1 adr=01 act=82F7F58F569F394270 exp=82F7F58F569F394270 + 45757.50ns INFO [00045759] Port=0 WR @00=D65E80AF6B33195C3B + 45757.50ns INFO [00045759] Port=0 RD @04 + 45757.50ns INFO [00045759] Port=1 RD @05 + 45758.50ns INFO [00045760] * RD COMPARE * port=0 adr=00 act=142C385E2F02106535 exp=142C385E2F02106535 + 45758.50ns INFO [00045760] Port=1 RD @01 + 45759.50ns INFO [00045761] * RD COMPARE * port=0 adr=04 act=7906F4DCB9F2A4ECDC exp=7906F4DCB9F2A4ECDC + 45759.50ns INFO [00045761] * RD COMPARE * port=1 adr=05 act=8CB87CD5BA9547FDDB exp=8CB87CD5BA9547FDDB + 45759.50ns INFO [00045761] Port=0 RD @04 + 45760.50ns INFO [00045762] * RD COMPARE * port=1 adr=01 act=80F6F12E267F821D8F exp=80F6F12E267F821D8F + 45760.50ns INFO [00045762] Port=0 WR @07=B7BE41F3F9CE0049F6 + 45760.50ns INFO [00045762] Port=1 RD @06 + 45761.50ns INFO [00045763] * RD COMPARE * port=0 adr=04 act=7906F4DCB9F2A4ECDC exp=7906F4DCB9F2A4ECDC + 45761.50ns INFO [00045763] Port=0 RD @02 + 45761.50ns INFO [00045763] Port=1 RD @06 + 45762.50ns INFO [00045764] * RD COMPARE * port=1 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45762.50ns INFO [00045764] Port=0 WR @07=16677B5A69D4E9B8A2 + 45762.50ns INFO [00045764] Port=1 RD @00 + 45763.50ns INFO [00045765] * RD COMPARE * port=0 adr=02 act=136C5AD3FF51817BE2 exp=136C5AD3FF51817BE2 + 45763.50ns INFO [00045765] * RD COMPARE * port=1 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45763.50ns INFO [00045765] Port=1 RD @04 + 45764.50ns INFO [00045766] * RD COMPARE * port=1 adr=00 act=D65E80AF6B33195C3B exp=D65E80AF6B33195C3B + 45764.50ns INFO [00045766] Port=0 WR @01=25067241EEC8757382 + 45764.50ns INFO [00045766] Port=0 RD @06 + 45765.50ns INFO [00045767] * RD COMPARE * port=1 adr=04 act=7906F4DCB9F2A4ECDC exp=7906F4DCB9F2A4ECDC + 45765.50ns INFO [00045767] Port=0 RD @04 + 45766.50ns INFO [00045768] * RD COMPARE * port=0 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45766.50ns INFO [00045768] Port=0 RD @02 + 45766.50ns INFO [00045768] Port=1 RD @06 + 45767.50ns INFO [00045769] * RD COMPARE * port=0 adr=04 act=7906F4DCB9F2A4ECDC exp=7906F4DCB9F2A4ECDC + 45767.50ns INFO [00045769] Port=0 WR @02=952076B21277DBBE30 + 45767.50ns INFO [00045769] Port=1 RD @01 + 45768.50ns INFO [00045770] * RD COMPARE * port=0 adr=02 act=136C5AD3FF51817BE2 exp=136C5AD3FF51817BE2 + 45768.50ns INFO [00045770] * RD COMPARE * port=1 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45769.50ns INFO [00045771] * RD COMPARE * port=1 adr=01 act=25067241EEC8757382 exp=25067241EEC8757382 + 45769.50ns INFO [00045771] Port=0 WR @03=A315F01B4B02C11029 + 45769.50ns INFO [00045771] Port=1 RD @01 + 45770.50ns INFO [00045772] Port=0 RD @01 + 45771.50ns INFO [00045773] * RD COMPARE * port=1 adr=01 act=25067241EEC8757382 exp=25067241EEC8757382 + 45772.50ns INFO [00045774] * RD COMPARE * port=0 adr=01 act=25067241EEC8757382 exp=25067241EEC8757382 + 45772.50ns INFO [00045774] Port=0 WR @01=B1D5BF09C4711FC030 + 45772.50ns INFO [00045774] Port=1 RD @06 + 45773.50ns INFO [00045775] Port=0 RD @01 + 45773.50ns INFO [00045775] Port=1 RD @03 + 45774.50ns INFO [00045776] * RD COMPARE * port=1 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45775.50ns INFO [00045777] * RD COMPARE * port=0 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45775.50ns INFO [00045777] * RD COMPARE * port=1 adr=03 act=A315F01B4B02C11029 exp=A315F01B4B02C11029 + 45775.50ns INFO [00045777] Port=0 WR @02=EF5FA4C0333438FB08 + 45776.50ns INFO [00045778] Port=0 RD @06 + 45777.50ns INFO [00045779] Port=0 WR @03=8F693EA0B416FC9986 + 45777.50ns INFO [00045779] Port=1 RD @07 + 45778.50ns INFO [00045780] * RD COMPARE * port=0 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45778.50ns INFO [00045780] Port=0 WR @04=80AE8E4B5AD4161C33 + 45779.50ns INFO [00045781] * RD COMPARE * port=1 adr=07 act=16677B5A69D4E9B8A2 exp=16677B5A69D4E9B8A2 + 45779.50ns INFO [00045781] Port=0 WR @05=9A2E3B2096D2C78B19 + 45779.50ns INFO [00045781] Port=0 RD @01 + 45780.50ns INFO [00045782] Port=0 WR @00=FDA6F3F6B6A800BADA + 45780.50ns INFO [00045782] Port=0 RD @03 + 45781.50ns INFO [00045783] * RD COMPARE * port=0 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45782.50ns INFO [00045784] * RD COMPARE * port=0 adr=03 act=8F693EA0B416FC9986 exp=8F693EA0B416FC9986 + 45782.50ns INFO [00045784] Port=0 RD @03 + 45783.50ns INFO [00045785] Port=0 WR @04=155A8808C29FD416A3 + 45784.50ns INFO [00045786] * RD COMPARE * port=0 adr=03 act=8F693EA0B416FC9986 exp=8F693EA0B416FC9986 + 45784.50ns INFO [00045786] Port=1 RD @00 + 45785.50ns INFO [00045787] Port=1 RD @04 + 45786.50ns INFO [00045788] * RD COMPARE * port=1 adr=00 act=FDA6F3F6B6A800BADA exp=FDA6F3F6B6A800BADA + 45787.50ns INFO [00045789] * RD COMPARE * port=1 adr=04 act=155A8808C29FD416A3 exp=155A8808C29FD416A3 + 45787.50ns INFO [00045789] Port=0 RD @04 + 45787.50ns INFO [00045789] Port=1 RD @07 + 45788.50ns INFO [00045790] Port=0 WR @04=C33B3C6AAB2AC80683 + 45788.50ns INFO [00045790] Port=0 RD @02 + 45789.50ns INFO [00045791] * RD COMPARE * port=0 adr=04 act=155A8808C29FD416A3 exp=155A8808C29FD416A3 + 45789.50ns INFO [00045791] * RD COMPARE * port=1 adr=07 act=16677B5A69D4E9B8A2 exp=16677B5A69D4E9B8A2 + 45790.50ns INFO [00045792] * RD COMPARE * port=0 adr=02 act=EF5FA4C0333438FB08 exp=EF5FA4C0333438FB08 + 45790.50ns INFO [00045792] Port=0 WR @04=7EB6A0EE326CBA884B + 45790.50ns INFO [00045792] Port=0 RD @07 + 45790.50ns INFO [00045792] Port=1 RD @01 + 45792.50ns INFO [00045794] * RD COMPARE * port=0 adr=07 act=16677B5A69D4E9B8A2 exp=16677B5A69D4E9B8A2 + 45792.50ns INFO [00045794] * RD COMPARE * port=1 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45792.50ns INFO [00045794] Port=0 RD @03 + 45792.50ns INFO [00045794] Port=1 RD @03 + 45794.50ns INFO [00045796] * RD COMPARE * port=0 adr=03 act=8F693EA0B416FC9986 exp=8F693EA0B416FC9986 + 45794.50ns INFO [00045796] * RD COMPARE * port=1 adr=03 act=8F693EA0B416FC9986 exp=8F693EA0B416FC9986 + 45796.50ns INFO [00045798] Port=0 RD @02 + 45798.00ns INFO [00045800] [00045800] ...tick... + 45798.50ns INFO [00045800] * RD COMPARE * port=0 adr=02 act=EF5FA4C0333438FB08 exp=EF5FA4C0333438FB08 + 45798.50ns INFO [00045800] Port=0 RD @05 + 45799.50ns INFO [00045801] Port=0 WR @07=B3C47CCB0DB24268BF + 45799.50ns INFO [00045801] Port=0 RD @06 + 45800.50ns INFO [00045802] * RD COMPARE * port=0 adr=05 act=9A2E3B2096D2C78B19 exp=9A2E3B2096D2C78B19 + 45800.50ns INFO [00045802] Port=0 RD @02 + 45801.50ns INFO [00045803] * RD COMPARE * port=0 adr=06 act=0B5C157C1968888236 exp=0B5C157C1968888236 + 45802.50ns INFO [00045804] * RD COMPARE * port=0 adr=02 act=EF5FA4C0333438FB08 exp=EF5FA4C0333438FB08 + 45802.50ns INFO [00045804] Port=0 WR @03=2D22D474D61223341C + 45802.50ns INFO [00045804] Port=0 RD @04 + 45802.50ns INFO [00045804] Port=1 RD @01 + 45804.50ns INFO [00045806] * RD COMPARE * port=0 adr=04 act=7EB6A0EE326CBA884B exp=7EB6A0EE326CBA884B + 45804.50ns INFO [00045806] * RD COMPARE * port=1 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45805.50ns INFO [00045807] Port=1 RD @07 + 45807.50ns INFO [00045809] * RD COMPARE * port=1 adr=07 act=B3C47CCB0DB24268BF exp=B3C47CCB0DB24268BF + 45807.50ns INFO [00045809] Port=0 RD @05 + 45809.50ns INFO [00045811] * RD COMPARE * port=0 adr=05 act=9A2E3B2096D2C78B19 exp=9A2E3B2096D2C78B19 + 45809.50ns INFO [00045811] Port=0 WR @02=3A0C5689C969F5A61A + 45810.50ns INFO [00045812] Port=0 WR @04=E697C9EFDD011B93D7 + 45810.50ns INFO [00045812] Port=0 RD @07 + 45810.50ns INFO [00045812] Port=1 RD @00 + 45811.50ns INFO [00045813] Port=1 RD @02 + 45812.50ns INFO [00045814] * RD COMPARE * port=0 adr=07 act=B3C47CCB0DB24268BF exp=B3C47CCB0DB24268BF + 45812.50ns INFO [00045814] * RD COMPARE * port=1 adr=00 act=FDA6F3F6B6A800BADA exp=FDA6F3F6B6A800BADA + 45812.50ns INFO [00045814] Port=0 RD @05 + 45812.50ns INFO [00045814] Port=1 RD @00 + 45813.50ns INFO [00045815] * RD COMPARE * port=1 adr=02 act=3A0C5689C969F5A61A exp=3A0C5689C969F5A61A + 45813.50ns INFO [00045815] Port=0 WR @07=153FE888A1C5AD40B1 + 45813.50ns INFO [00045815] Port=0 RD @02 + 45813.50ns INFO [00045815] Port=1 RD @03 + 45814.50ns INFO [00045816] * RD COMPARE * port=0 adr=05 act=9A2E3B2096D2C78B19 exp=9A2E3B2096D2C78B19 + 45814.50ns INFO [00045816] * RD COMPARE * port=1 adr=00 act=FDA6F3F6B6A800BADA exp=FDA6F3F6B6A800BADA + 45815.50ns INFO [00045817] * RD COMPARE * port=0 adr=02 act=3A0C5689C969F5A61A exp=3A0C5689C969F5A61A + 45815.50ns INFO [00045817] * RD COMPARE * port=1 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45815.50ns INFO [00045817] Port=1 RD @05 + 45816.50ns INFO [00045818] Port=0 WR @05=76B11FA811DB8A4639 + 45817.50ns INFO [00045819] * RD COMPARE * port=1 adr=05 act=9A2E3B2096D2C78B19 exp=9A2E3B2096D2C78B19 + 45817.50ns INFO [00045819] Port=0 WR @06=A0F934C45A7C8D23B8 + 45818.50ns INFO [00045820] Port=0 WR @02=1450FFE2FE6CAE2687 + 45818.50ns INFO [00045820] Port=0 RD @03 + 45819.50ns INFO [00045821] Port=0 RD @07 + 45820.50ns INFO [00045822] * RD COMPARE * port=0 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45820.50ns INFO [00045822] Port=0 RD @01 + 45820.50ns INFO [00045822] Port=1 RD @02 + 45821.50ns INFO [00045823] * RD COMPARE * port=0 adr=07 act=153FE888A1C5AD40B1 exp=153FE888A1C5AD40B1 + 45822.50ns INFO [00045824] * RD COMPARE * port=0 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45822.50ns INFO [00045824] * RD COMPARE * port=1 adr=02 act=1450FFE2FE6CAE2687 exp=1450FFE2FE6CAE2687 + 45824.50ns INFO [00045826] Port=0 WR @04=6B893E09F9261462A3 + 45826.50ns INFO [00045828] Port=0 WR @00=A1627254B88AD0C0C8 + 45826.50ns INFO [00045828] Port=0 RD @07 + 45827.50ns INFO [00045829] Port=0 WR @07=898B9B73DFF506B6F9 + 45827.50ns INFO [00045829] Port=0 RD @04 + 45827.50ns INFO [00045829] Port=1 RD @03 + 45828.50ns INFO [00045830] * RD COMPARE * port=0 adr=07 act=153FE888A1C5AD40B1 exp=153FE888A1C5AD40B1 + 45828.50ns INFO [00045830] Port=1 RD @04 + 45829.50ns INFO [00045831] * RD COMPARE * port=0 adr=04 act=6B893E09F9261462A3 exp=6B893E09F9261462A3 + 45829.50ns INFO [00045831] * RD COMPARE * port=1 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45829.50ns INFO [00045831] Port=1 RD @02 + 45830.50ns INFO [00045832] * RD COMPARE * port=1 adr=04 act=6B893E09F9261462A3 exp=6B893E09F9261462A3 + 45830.50ns INFO [00045832] Port=0 WR @02=2ABEC8505139B9FD15 + 45831.50ns INFO [00045833] * RD COMPARE * port=1 adr=02 act=1450FFE2FE6CAE2687 exp=1450FFE2FE6CAE2687 + 45831.50ns INFO [00045833] Port=0 WR @05=2DFDFF030FE87685F9 + 45831.50ns INFO [00045833] Port=1 RD @06 + 45832.50ns INFO [00045834] Port=0 RD @05 + 45833.50ns INFO [00045835] * RD COMPARE * port=1 adr=06 act=A0F934C45A7C8D23B8 exp=A0F934C45A7C8D23B8 + 45833.50ns INFO [00045835] Port=0 WR @02=CB233CF6A3B7C8F9F7 + 45833.50ns INFO [00045835] Port=0 RD @01 + 45834.50ns INFO [00045836] * RD COMPARE * port=0 adr=05 act=2DFDFF030FE87685F9 exp=2DFDFF030FE87685F9 + 45834.50ns INFO [00045836] Port=0 WR @06=94096DBCCF1391B7EB + 45834.50ns INFO [00045836] Port=0 RD @00 + 45835.50ns INFO [00045837] * RD COMPARE * port=0 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45835.50ns INFO [00045837] Port=0 WR @02=577E5901E3AE4F3379 + 45835.50ns INFO [00045837] Port=1 RD @05 + 45836.50ns INFO [00045838] * RD COMPARE * port=0 adr=00 act=A1627254B88AD0C0C8 exp=A1627254B88AD0C0C8 + 45836.50ns INFO [00045838] Port=0 WR @07=5E88C324B1EDFF3A57 + 45837.50ns INFO [00045839] * RD COMPARE * port=1 adr=05 act=2DFDFF030FE87685F9 exp=2DFDFF030FE87685F9 + 45838.50ns INFO [00045840] Port=0 WR @02=9F2772C05C814DFA7B + 45838.50ns INFO [00045840] Port=1 RD @00 + 45839.50ns INFO [00045841] Port=0 RD @06 + 45840.50ns INFO [00045842] * RD COMPARE * port=1 adr=00 act=A1627254B88AD0C0C8 exp=A1627254B88AD0C0C8 + 45840.50ns INFO [00045842] Port=0 WR @02=194467AA2116D66F84 + 45840.50ns INFO [00045842] Port=1 RD @01 + 45841.50ns INFO [00045843] * RD COMPARE * port=0 adr=06 act=94096DBCCF1391B7EB exp=94096DBCCF1391B7EB + 45841.50ns INFO [00045843] Port=0 RD @07 + 45841.50ns INFO [00045843] Port=1 RD @03 + 45842.50ns INFO [00045844] * RD COMPARE * port=1 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45843.50ns INFO [00045845] * RD COMPARE * port=0 adr=07 act=5E88C324B1EDFF3A57 exp=5E88C324B1EDFF3A57 + 45843.50ns INFO [00045845] * RD COMPARE * port=1 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45845.50ns INFO [00045847] Port=0 WR @05=54E2E0F3250BA9673C + 45846.50ns INFO [00045848] Port=0 WR @02=1508449839273AE79D + 45846.50ns INFO [00045848] Port=0 RD @00 + 45848.50ns INFO [00045850] * RD COMPARE * port=0 adr=00 act=A1627254B88AD0C0C8 exp=A1627254B88AD0C0C8 + 45848.50ns INFO [00045850] Port=0 RD @05 + 45849.50ns INFO [00045851] Port=1 RD @02 + 45850.50ns INFO [00045852] * RD COMPARE * port=0 adr=05 act=54E2E0F3250BA9673C exp=54E2E0F3250BA9673C + 45850.50ns INFO [00045852] Port=0 WR @07=F873785187E78DBCB6 + 45850.50ns INFO [00045852] Port=0 RD @03 + 45851.50ns INFO [00045853] * RD COMPARE * port=1 adr=02 act=1508449839273AE79D exp=1508449839273AE79D + 45852.50ns INFO [00045854] * RD COMPARE * port=0 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45852.50ns INFO [00045854] Port=0 RD @03 + 45853.50ns INFO [00045855] Port=0 RD @00 + 45854.50ns INFO [00045856] * RD COMPARE * port=0 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45855.50ns INFO [00045857] * RD COMPARE * port=0 adr=00 act=A1627254B88AD0C0C8 exp=A1627254B88AD0C0C8 + 45855.50ns INFO [00045857] Port=1 RD @04 + 45856.50ns INFO [00045858] Port=0 RD @00 + 45857.50ns INFO [00045859] * RD COMPARE * port=1 adr=04 act=6B893E09F9261462A3 exp=6B893E09F9261462A3 + 45858.50ns INFO [00045860] * RD COMPARE * port=0 adr=00 act=A1627254B88AD0C0C8 exp=A1627254B88AD0C0C8 + 45858.50ns INFO [00045860] Port=0 WR @05=C6F054E464260022FC + 45858.50ns INFO [00045860] Port=1 RD @03 + 45860.50ns INFO [00045862] * RD COMPARE * port=1 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45860.50ns INFO [00045862] Port=0 WR @05=BF29148B431A204928 + 45860.50ns INFO [00045862] Port=0 RD @01 + 45861.50ns INFO [00045863] Port=0 WR @05=92F8B322C82DBFA630 + 45862.50ns INFO [00045864] * RD COMPARE * port=0 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45862.50ns INFO [00045864] Port=0 WR @00=293B79E85ADFA1BADF + 45862.50ns INFO [00045864] Port=1 RD @07 + 45863.50ns INFO [00045865] Port=0 WR @04=4926FBE7C6A2B18D33 + 45863.50ns INFO [00045865] Port=1 RD @05 + 45864.50ns INFO [00045866] * RD COMPARE * port=1 adr=07 act=F873785187E78DBCB6 exp=F873785187E78DBCB6 + 45864.50ns INFO [00045866] Port=1 RD @07 + 45865.50ns INFO [00045867] * RD COMPARE * port=1 adr=05 act=92F8B322C82DBFA630 exp=92F8B322C82DBFA630 + 45865.50ns INFO [00045867] Port=0 RD @05 + 45865.50ns INFO [00045867] Port=1 RD @01 + 45866.50ns INFO [00045868] * RD COMPARE * port=1 adr=07 act=F873785187E78DBCB6 exp=F873785187E78DBCB6 + 45866.50ns INFO [00045868] Port=0 WR @05=9F1CF2F1972CD96EAC + 45867.50ns INFO [00045869] * RD COMPARE * port=0 adr=05 act=92F8B322C82DBFA630 exp=92F8B322C82DBFA630 + 45867.50ns INFO [00045869] * RD COMPARE * port=1 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45867.50ns INFO [00045869] Port=0 WR @06=B9F7107CF4D90A2B74 + 45868.50ns INFO [00045870] Port=0 WR @02=D27914A71FE0793CC3 + 45868.50ns INFO [00045870] Port=0 RD @07 + 45869.50ns INFO [00045871] Port=0 RD @03 + 45869.50ns INFO [00045871] Port=1 RD @04 + 45870.50ns INFO [00045872] * RD COMPARE * port=0 adr=07 act=F873785187E78DBCB6 exp=F873785187E78DBCB6 + 45870.50ns INFO [00045872] Port=0 RD @04 + 45871.50ns INFO [00045873] * RD COMPARE * port=0 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45871.50ns INFO [00045873] * RD COMPARE * port=1 adr=04 act=4926FBE7C6A2B18D33 exp=4926FBE7C6A2B18D33 + 45872.50ns INFO [00045874] * RD COMPARE * port=0 adr=04 act=4926FBE7C6A2B18D33 exp=4926FBE7C6A2B18D33 + 45872.50ns INFO [00045874] Port=0 WR @04=11517D0143B2394BD4 + 45873.50ns INFO [00045875] Port=1 RD @03 + 45874.50ns INFO [00045876] Port=1 RD @06 + 45875.50ns INFO [00045877] * RD COMPARE * port=1 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45875.50ns INFO [00045877] Port=0 RD @06 + 45876.50ns INFO [00045878] * RD COMPARE * port=1 adr=06 act=B9F7107CF4D90A2B74 exp=B9F7107CF4D90A2B74 + 45876.50ns INFO [00045878] Port=0 RD @04 + 45877.50ns INFO [00045879] * RD COMPARE * port=0 adr=06 act=B9F7107CF4D90A2B74 exp=B9F7107CF4D90A2B74 + 45877.50ns INFO [00045879] Port=0 WR @00=D1A17C7C73ABE4FDD1 + 45877.50ns INFO [00045879] Port=0 RD @02 + 45878.50ns INFO [00045880] * RD COMPARE * port=0 adr=04 act=11517D0143B2394BD4 exp=11517D0143B2394BD4 + 45878.50ns INFO [00045880] Port=0 WR @04=147D9AB610AEE9CCFD + 45878.50ns INFO [00045880] Port=0 RD @06 + 45878.50ns INFO [00045880] Port=1 RD @06 + 45879.50ns INFO [00045881] * RD COMPARE * port=0 adr=02 act=D27914A71FE0793CC3 exp=D27914A71FE0793CC3 + 45879.50ns INFO [00045881] Port=0 WR @06=0173ACF2DDEDDEE346 + 45879.50ns INFO [00045881] Port=0 RD @02 + 45880.50ns INFO [00045882] * RD COMPARE * port=0 adr=06 act=B9F7107CF4D90A2B74 exp=B9F7107CF4D90A2B74 + 45880.50ns INFO [00045882] * RD COMPARE * port=1 adr=06 act=B9F7107CF4D90A2B74 exp=B9F7107CF4D90A2B74 + 45880.50ns INFO [00045882] Port=1 RD @01 + 45881.50ns INFO [00045883] * RD COMPARE * port=0 adr=02 act=D27914A71FE0793CC3 exp=D27914A71FE0793CC3 + 45881.50ns INFO [00045883] Port=0 RD @03 + 45881.50ns INFO [00045883] Port=1 RD @00 + 45882.50ns INFO [00045884] * RD COMPARE * port=1 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45882.50ns INFO [00045884] Port=0 WR @06=87E048B3DE19E4425F + 45882.50ns INFO [00045884] Port=0 RD @00 + 45882.50ns INFO [00045884] Port=1 RD @07 + 45883.50ns INFO [00045885] * RD COMPARE * port=0 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45883.50ns INFO [00045885] * RD COMPARE * port=1 adr=00 act=D1A17C7C73ABE4FDD1 exp=D1A17C7C73ABE4FDD1 + 45884.50ns INFO [00045886] * RD COMPARE * port=0 adr=00 act=D1A17C7C73ABE4FDD1 exp=D1A17C7C73ABE4FDD1 + 45884.50ns INFO [00045886] * RD COMPARE * port=1 adr=07 act=F873785187E78DBCB6 exp=F873785187E78DBCB6 + 45884.50ns INFO [00045886] Port=0 RD @02 + 45886.50ns INFO [00045888] * RD COMPARE * port=0 adr=02 act=D27914A71FE0793CC3 exp=D27914A71FE0793CC3 + 45887.50ns INFO [00045889] Port=0 RD @05 + 45888.50ns INFO [00045890] Port=0 WR @04=47FA39FF05ECE17AEC + 45889.50ns INFO [00045891] * RD COMPARE * port=0 adr=05 act=9F1CF2F1972CD96EAC exp=9F1CF2F1972CD96EAC + 45890.50ns INFO [00045892] Port=0 WR @04=743DAC307A4AB60D88 + 45891.50ns INFO [00045893] Port=0 WR @05=29E1D49A37698DA726 + 45892.50ns INFO [00045894] Port=1 RD @02 + 45893.50ns INFO [00045895] Port=0 WR @04=E7FF3D0C8A57417C32 + 45893.50ns INFO [00045895] Port=1 RD @07 + 45894.50ns INFO [00045896] * RD COMPARE * port=1 adr=02 act=D27914A71FE0793CC3 exp=D27914A71FE0793CC3 + 45895.50ns INFO [00045897] * RD COMPARE * port=1 adr=07 act=F873785187E78DBCB6 exp=F873785187E78DBCB6 + 45895.50ns INFO [00045897] Port=1 RD @05 + 45897.50ns INFO [00045899] * RD COMPARE * port=1 adr=05 act=29E1D49A37698DA726 exp=29E1D49A37698DA726 + 45897.50ns INFO [00045899] Port=0 WR @07=2B554D884B61F6A617 + 45897.50ns INFO [00045899] Port=1 RD @01 + 45898.00ns INFO [00045900] [00045900] ...tick... + 45898.50ns INFO [00045900] Port=0 WR @07=927B79F35663DDDB16 + 45898.50ns INFO [00045900] Port=1 RD @05 + 45899.50ns INFO [00045901] * RD COMPARE * port=1 adr=01 act=B1D5BF09C4711FC030 exp=B1D5BF09C4711FC030 + 45900.50ns INFO [00045902] * RD COMPARE * port=1 adr=05 act=29E1D49A37698DA726 exp=29E1D49A37698DA726 + 45901.50ns INFO [00045903] Port=1 RD @02 + 45902.50ns INFO [00045904] Port=0 WR @07=CD53A6EFE8516D2307 + 45902.50ns INFO [00045904] Port=0 RD @03 + 45903.50ns INFO [00045905] * RD COMPARE * port=1 adr=02 act=D27914A71FE0793CC3 exp=D27914A71FE0793CC3 + 45903.50ns INFO [00045905] Port=0 RD @07 + 45904.50ns INFO [00045906] * RD COMPARE * port=0 adr=03 act=2D22D474D61223341C exp=2D22D474D61223341C + 45904.50ns INFO [00045906] Port=0 WR @03=46308930F72792ECEF + 45904.50ns INFO [00045906] Port=0 RD @02 + 45904.50ns INFO [00045906] Port=1 RD @00 + 45905.50ns INFO [00045907] * RD COMPARE * port=0 adr=07 act=CD53A6EFE8516D2307 exp=CD53A6EFE8516D2307 + 45905.50ns INFO [00045907] Port=0 WR @01=B37B638B362CFEA69F + 45906.50ns INFO [00045908] * RD COMPARE * port=0 adr=02 act=D27914A71FE0793CC3 exp=D27914A71FE0793CC3 + 45906.50ns INFO [00045908] * RD COMPARE * port=1 adr=00 act=D1A17C7C73ABE4FDD1 exp=D1A17C7C73ABE4FDD1 + 45906.50ns INFO [00045908] Port=0 WR @02=1CD3AA754342C6223A + 45906.50ns INFO [00045908] Port=0 RD @00 + 45906.50ns INFO [00045908] Port=1 RD @00 + 45907.50ns INFO [00045909] Port=0 RD @00 + 45908.50ns INFO [00045910] * RD COMPARE * port=0 adr=00 act=D1A17C7C73ABE4FDD1 exp=D1A17C7C73ABE4FDD1 + 45908.50ns INFO [00045910] * RD COMPARE * port=1 adr=00 act=D1A17C7C73ABE4FDD1 exp=D1A17C7C73ABE4FDD1 + 45908.50ns INFO [00045910] Port=0 WR @00=5B361C74EE5127B3D2 + 45908.50ns INFO [00045910] Port=0 RD @01 + 45909.50ns INFO [00045911] * RD COMPARE * port=0 adr=00 act=D1A17C7C73ABE4FDD1 exp=D1A17C7C73ABE4FDD1 + 45909.50ns INFO [00045911] Port=0 RD @02 + 45909.50ns INFO [00045911] Port=1 RD @03 + 45910.50ns INFO [00045912] * RD COMPARE * port=0 adr=01 act=B37B638B362CFEA69F exp=B37B638B362CFEA69F + 45910.50ns INFO [00045912] Port=0 RD @02 + 45910.50ns INFO [00045912] Port=1 RD @07 + 45911.50ns INFO [00045913] * RD COMPARE * port=0 adr=02 act=1CD3AA754342C6223A exp=1CD3AA754342C6223A + 45911.50ns INFO [00045913] * RD COMPARE * port=1 adr=03 act=46308930F72792ECEF exp=46308930F72792ECEF + 45911.50ns INFO [00045913] Port=0 RD @00 + 45911.50ns INFO [00045913] Port=1 RD @04 + 45912.50ns INFO [00045914] * RD COMPARE * port=0 adr=02 act=1CD3AA754342C6223A exp=1CD3AA754342C6223A + 45912.50ns INFO [00045914] * RD COMPARE * port=1 adr=07 act=CD53A6EFE8516D2307 exp=CD53A6EFE8516D2307 + 45912.50ns INFO [00045914] Port=0 WR @05=E35510982C8F199BD6 + 45912.50ns INFO [00045914] Port=0 RD @04 + 45913.50ns INFO [00045915] * RD COMPARE * port=0 adr=00 act=5B361C74EE5127B3D2 exp=5B361C74EE5127B3D2 + 45913.50ns INFO [00045915] * RD COMPARE * port=1 adr=04 act=E7FF3D0C8A57417C32 exp=E7FF3D0C8A57417C32 + 45913.50ns INFO [00045915] Port=0 RD @01 + 45914.50ns INFO [00045916] * RD COMPARE * port=0 adr=04 act=E7FF3D0C8A57417C32 exp=E7FF3D0C8A57417C32 + 45914.50ns INFO [00045916] Port=0 WR @07=1C42F7717565A337B9 + 45914.50ns INFO [00045916] Port=0 RD @01 + 45915.50ns INFO [00045917] * RD COMPARE * port=0 adr=01 act=B37B638B362CFEA69F exp=B37B638B362CFEA69F + 45915.50ns INFO [00045917] Port=0 WR @05=96BEA481E3A4582BBB + 45915.50ns INFO [00045917] Port=0 RD @01 + 45916.50ns INFO [00045918] * RD COMPARE * port=0 adr=01 act=B37B638B362CFEA69F exp=B37B638B362CFEA69F + 45916.50ns INFO [00045918] Port=0 RD @06 + 45917.50ns INFO [00045919] * RD COMPARE * port=0 adr=01 act=B37B638B362CFEA69F exp=B37B638B362CFEA69F + 45917.50ns INFO [00045919] Port=0 WR @05=5EDC7B18321C88DFE0 + 45918.50ns INFO [00045920] * RD COMPARE * port=0 adr=06 act=87E048B3DE19E4425F exp=87E048B3DE19E4425F + 45918.50ns INFO [00045920] Port=0 WR @01=0873DF2E9395DABB73 + 45918.50ns INFO [00045920] Port=0 RD @05 + 45920.50ns INFO [00045922] * RD COMPARE * port=0 adr=05 act=5EDC7B18321C88DFE0 exp=5EDC7B18321C88DFE0 + 45920.50ns INFO [00045922] Port=0 WR @05=EFADC5303B607E93B4 + 45920.50ns INFO [00045922] Port=1 RD @02 + 45921.50ns INFO [00045923] Port=0 RD @07 + 45921.50ns INFO [00045923] Port=1 RD @07 + 45922.50ns INFO [00045924] * RD COMPARE * port=1 adr=02 act=1CD3AA754342C6223A exp=1CD3AA754342C6223A + 45922.50ns INFO [00045924] Port=0 WR @06=14F110CE5A446A87BB + 45922.50ns INFO [00045924] Port=0 RD @04 + 45922.50ns INFO [00045924] Port=1 RD @04 + 45923.50ns INFO [00045925] * RD COMPARE * port=0 adr=07 act=1C42F7717565A337B9 exp=1C42F7717565A337B9 + 45923.50ns INFO [00045925] * RD COMPARE * port=1 adr=07 act=1C42F7717565A337B9 exp=1C42F7717565A337B9 + 45923.50ns INFO [00045925] Port=0 WR @04=BF81F62664EE1CFE73 + 45923.50ns INFO [00045925] Port=0 RD @01 + 45923.50ns INFO [00045925] Port=1 RD @06 + 45924.50ns INFO [00045926] * RD COMPARE * port=0 adr=04 act=E7FF3D0C8A57417C32 exp=E7FF3D0C8A57417C32 + 45924.50ns INFO [00045926] * RD COMPARE * port=1 adr=04 act=E7FF3D0C8A57417C32 exp=E7FF3D0C8A57417C32 + 45925.50ns INFO [00045927] * RD COMPARE * port=0 adr=01 act=0873DF2E9395DABB73 exp=0873DF2E9395DABB73 + 45925.50ns INFO [00045927] * RD COMPARE * port=1 adr=06 act=14F110CE5A446A87BB exp=14F110CE5A446A87BB + 45925.50ns INFO [00045927] Port=0 WR @00=F9F641B239B54E54C3 + 45925.50ns INFO [00045927] Port=1 RD @01 + 45926.50ns INFO [00045928] Port=0 RD @05 + 45927.50ns INFO [00045929] * RD COMPARE * port=1 adr=01 act=0873DF2E9395DABB73 exp=0873DF2E9395DABB73 + 45927.50ns INFO [00045929] Port=0 RD @05 + 45928.50ns INFO [00045930] * RD COMPARE * port=0 adr=05 act=EFADC5303B607E93B4 exp=EFADC5303B607E93B4 + 45928.50ns INFO [00045930] Port=0 RD @00 + 45929.50ns INFO [00045931] * RD COMPARE * port=0 adr=05 act=EFADC5303B607E93B4 exp=EFADC5303B607E93B4 + 45929.50ns INFO [00045931] Port=0 WR @06=5423EE478354A18A9F + 45929.50ns INFO [00045931] Port=1 RD @04 + 45930.50ns INFO [00045932] * RD COMPARE * port=0 adr=00 act=F9F641B239B54E54C3 exp=F9F641B239B54E54C3 + 45931.50ns INFO [00045933] * RD COMPARE * port=1 adr=04 act=BF81F62664EE1CFE73 exp=BF81F62664EE1CFE73 + 45931.50ns INFO [00045933] Port=0 WR @04=2A79AE3BFE1B9504A5 + 45932.50ns INFO [00045934] Port=0 WR @02=D70DC7C2EDFDED8D35 + 45936.50ns INFO [00045938] Port=1 RD @04 + 45937.50ns INFO [00045939] Port=0 RD @03 + 45937.50ns INFO [00045939] Port=1 RD @02 + 45938.50ns INFO [00045940] * RD COMPARE * port=1 adr=04 act=2A79AE3BFE1B9504A5 exp=2A79AE3BFE1B9504A5 + 45939.50ns INFO [00045941] * RD COMPARE * port=0 adr=03 act=46308930F72792ECEF exp=46308930F72792ECEF + 45939.50ns INFO [00045941] * RD COMPARE * port=1 adr=02 act=D70DC7C2EDFDED8D35 exp=D70DC7C2EDFDED8D35 + 45939.50ns INFO [00045941] Port=0 RD @00 + 45940.50ns INFO [00045942] Port=0 RD @03 + 45941.50ns INFO [00045943] * RD COMPARE * port=0 adr=00 act=F9F641B239B54E54C3 exp=F9F641B239B54E54C3 + 45941.50ns INFO [00045943] Port=0 RD @05 + 45942.50ns INFO [00045944] * RD COMPARE * port=0 adr=03 act=46308930F72792ECEF exp=46308930F72792ECEF + 45942.50ns INFO [00045944] Port=0 WR @03=223D5FF7567BE18510 + 45942.50ns INFO [00045944] Port=1 RD @00 + 45943.50ns INFO [00045945] * RD COMPARE * port=0 adr=05 act=EFADC5303B607E93B4 exp=EFADC5303B607E93B4 + 45943.50ns INFO [00045945] Port=1 RD @00 + 45944.50ns INFO [00045946] * RD COMPARE * port=1 adr=00 act=F9F641B239B54E54C3 exp=F9F641B239B54E54C3 + 45944.50ns INFO [00045946] Port=0 WR @00=BB97D278AD356DF5CB + 45944.50ns INFO [00045946] Port=1 RD @01 + 45945.50ns INFO [00045947] * RD COMPARE * port=1 adr=00 act=F9F641B239B54E54C3 exp=F9F641B239B54E54C3 + 45945.50ns INFO [00045947] Port=0 RD @00 + 45945.50ns INFO [00045947] Port=1 RD @04 + 45946.50ns INFO [00045948] * RD COMPARE * port=1 adr=01 act=0873DF2E9395DABB73 exp=0873DF2E9395DABB73 + 45947.50ns INFO [00045949] * RD COMPARE * port=0 adr=00 act=BB97D278AD356DF5CB exp=BB97D278AD356DF5CB + 45947.50ns INFO [00045949] * RD COMPARE * port=1 adr=04 act=2A79AE3BFE1B9504A5 exp=2A79AE3BFE1B9504A5 + 45947.50ns INFO [00045949] Port=0 WR @07=912F6E427B3F508A0B + 45947.50ns INFO [00045949] Port=1 RD @02 + 45949.50ns INFO [00045951] * RD COMPARE * port=1 adr=02 act=D70DC7C2EDFDED8D35 exp=D70DC7C2EDFDED8D35 + 45949.50ns INFO [00045951] Port=1 RD @05 + 45950.50ns INFO [00045952] Port=0 RD @06 + 45950.50ns INFO [00045952] Port=1 RD @07 + 45951.50ns INFO [00045953] * RD COMPARE * port=1 adr=05 act=EFADC5303B607E93B4 exp=EFADC5303B607E93B4 + 45951.50ns INFO [00045953] Port=0 WR @00=181725D6A88A61C08F + 45952.50ns INFO [00045954] * RD COMPARE * port=0 adr=06 act=5423EE478354A18A9F exp=5423EE478354A18A9F + 45952.50ns INFO [00045954] * RD COMPARE * port=1 adr=07 act=912F6E427B3F508A0B exp=912F6E427B3F508A0B + 45952.50ns INFO [00045954] Port=0 WR @02=B32CA85155D349E54D + 45953.50ns INFO [00045955] Port=0 WR @07=0E6C26921BC6AAC0DE + 45953.50ns INFO [00045955] Port=0 RD @05 + 45954.50ns INFO [00045956] Port=0 RD @01 + 45954.50ns INFO [00045956] Port=1 RD @01 + 45955.50ns INFO [00045957] * RD COMPARE * port=0 adr=05 act=EFADC5303B607E93B4 exp=EFADC5303B607E93B4 + 45955.50ns INFO [00045957] Port=0 WR @01=C1AB7CFC61749F4E57 + 45955.50ns INFO [00045957] Port=0 RD @07 + 45956.50ns INFO [00045958] * RD COMPARE * port=0 adr=01 act=0873DF2E9395DABB73 exp=0873DF2E9395DABB73 + 45956.50ns INFO [00045958] * RD COMPARE * port=1 adr=01 act=0873DF2E9395DABB73 exp=0873DF2E9395DABB73 + 45956.50ns INFO [00045958] Port=0 WR @00=6C5CE02FA5B105DFE4 + 45956.50ns INFO [00045958] Port=0 RD @01 + 45957.50ns INFO [00045959] * RD COMPARE * port=0 adr=07 act=0E6C26921BC6AAC0DE exp=0E6C26921BC6AAC0DE + 45957.50ns INFO [00045959] Port=0 WR @03=4C3F8303220A0F977B + 45958.50ns INFO [00045960] * RD COMPARE * port=0 adr=01 act=C1AB7CFC61749F4E57 exp=C1AB7CFC61749F4E57 + 45958.50ns INFO [00045960] Port=0 WR @05=8AD638919B1465920E + 45958.50ns INFO [00045960] Port=0 RD @07 + 45959.50ns INFO [00045961] Port=0 RD @04 + 45959.50ns INFO [00045961] Port=1 RD @04 + 45960.50ns INFO [00045962] * RD COMPARE * port=0 adr=07 act=0E6C26921BC6AAC0DE exp=0E6C26921BC6AAC0DE + 45961.50ns INFO [00045963] * RD COMPARE * port=0 adr=04 act=2A79AE3BFE1B9504A5 exp=2A79AE3BFE1B9504A5 + 45961.50ns INFO [00045963] * RD COMPARE * port=1 adr=04 act=2A79AE3BFE1B9504A5 exp=2A79AE3BFE1B9504A5 + 45962.50ns INFO [00045964] Port=0 WR @04=D6F23299C68B4FDD36 + 45962.50ns INFO [00045964] Port=1 RD @06 + 45964.50ns INFO [00045966] * RD COMPARE * port=1 adr=06 act=5423EE478354A18A9F exp=5423EE478354A18A9F + 45964.50ns INFO [00045966] Port=0 RD @04 + 45964.50ns INFO [00045966] Port=1 RD @00 + 45965.50ns INFO [00045967] Port=1 RD @07 + 45966.50ns INFO [00045968] * RD COMPARE * port=0 adr=04 act=D6F23299C68B4FDD36 exp=D6F23299C68B4FDD36 + 45966.50ns INFO [00045968] * RD COMPARE * port=1 adr=00 act=6C5CE02FA5B105DFE4 exp=6C5CE02FA5B105DFE4 + 45966.50ns INFO [00045968] Port=0 WR @01=6FAD582668636CB9FB + 45966.50ns INFO [00045968] Port=1 RD @04 + 45967.50ns INFO [00045969] * RD COMPARE * port=1 adr=07 act=0E6C26921BC6AAC0DE exp=0E6C26921BC6AAC0DE + 45967.50ns INFO [00045969] Port=0 WR @06=5D1227BCE7A874009A + 45968.50ns INFO [00045970] * RD COMPARE * port=1 adr=04 act=D6F23299C68B4FDD36 exp=D6F23299C68B4FDD36 + 45968.50ns INFO [00045970] Port=0 WR @02=9439591542B5FB7AC8 + 45968.50ns INFO [00045970] Port=0 RD @03 + 45968.50ns INFO [00045970] Port=1 RD @06 + 45969.50ns INFO [00045971] Port=0 WR @05=2AD71A35F405B64217 + 45969.50ns INFO [00045971] Port=0 RD @01 + 45970.50ns INFO [00045972] * RD COMPARE * port=0 adr=03 act=4C3F8303220A0F977B exp=4C3F8303220A0F977B + 45970.50ns INFO [00045972] * RD COMPARE * port=1 adr=06 act=5D1227BCE7A874009A exp=5D1227BCE7A874009A + 45970.50ns INFO [00045972] Port=0 WR @06=E57D395F21D5816579 + 45970.50ns INFO [00045972] Port=0 RD @05 + 45971.50ns INFO [00045973] * RD COMPARE * port=0 adr=01 act=6FAD582668636CB9FB exp=6FAD582668636CB9FB + 45972.50ns INFO [00045974] * RD COMPARE * port=0 adr=05 act=2AD71A35F405B64217 exp=2AD71A35F405B64217 + 45972.50ns INFO [00045974] Port=1 RD @01 + 45973.50ns INFO [00045975] Port=0 WR @07=67A52A55A1354D88DA + 45973.50ns INFO [00045975] Port=1 RD @02 + 45974.50ns INFO [00045976] * RD COMPARE * port=1 adr=01 act=6FAD582668636CB9FB exp=6FAD582668636CB9FB + 45975.50ns INFO [00045977] * RD COMPARE * port=1 adr=02 act=9439591542B5FB7AC8 exp=9439591542B5FB7AC8 + 45977.50ns INFO [00045979] Port=0 WR @01=C55DADF7485C11E924 + 45977.50ns INFO [00045979] Port=1 RD @06 + 45978.50ns INFO [00045980] Port=1 RD @07 + 45979.50ns INFO [00045981] * RD COMPARE * port=1 adr=06 act=E57D395F21D5816579 exp=E57D395F21D5816579 + 45980.50ns INFO [00045982] * RD COMPARE * port=1 adr=07 act=67A52A55A1354D88DA exp=67A52A55A1354D88DA + 45980.50ns INFO [00045982] Port=0 WR @07=4335106857948322A2 + 45980.50ns INFO [00045982] Port=0 RD @00 + 45982.50ns INFO [00045984] * RD COMPARE * port=0 adr=00 act=6C5CE02FA5B105DFE4 exp=6C5CE02FA5B105DFE4 + 45982.50ns INFO [00045984] Port=0 RD @04 + 45983.50ns INFO [00045985] Port=0 WR @03=B4DDB744AEA1A8B1C1 + 45983.50ns INFO [00045985] Port=0 RD @05 + 45983.50ns INFO [00045985] Port=1 RD @06 + 45984.50ns INFO [00045986] * RD COMPARE * port=0 adr=04 act=D6F23299C68B4FDD36 exp=D6F23299C68B4FDD36 + 45984.50ns INFO [00045986] Port=1 RD @04 + 45985.50ns INFO [00045987] * RD COMPARE * port=0 adr=05 act=2AD71A35F405B64217 exp=2AD71A35F405B64217 + 45985.50ns INFO [00045987] * RD COMPARE * port=1 adr=06 act=E57D395F21D5816579 exp=E57D395F21D5816579 + 45985.50ns INFO [00045987] Port=0 RD @05 + 45986.50ns INFO [00045988] * RD COMPARE * port=1 adr=04 act=D6F23299C68B4FDD36 exp=D6F23299C68B4FDD36 + 45986.50ns INFO [00045988] Port=1 RD @07 + 45987.50ns INFO [00045989] * RD COMPARE * port=0 adr=05 act=2AD71A35F405B64217 exp=2AD71A35F405B64217 + 45987.50ns INFO [00045989] Port=1 RD @06 + 45988.50ns INFO [00045990] * RD COMPARE * port=1 adr=07 act=4335106857948322A2 exp=4335106857948322A2 + 45988.50ns INFO [00045990] Port=0 RD @00 + 45989.50ns INFO [00045991] * RD COMPARE * port=1 adr=06 act=E57D395F21D5816579 exp=E57D395F21D5816579 + 45989.50ns INFO [00045991] Port=0 WR @05=32187200752918FE20 + 45990.50ns INFO [00045992] * RD COMPARE * port=0 adr=00 act=6C5CE02FA5B105DFE4 exp=6C5CE02FA5B105DFE4 + 45990.50ns INFO [00045992] Port=0 WR @05=4D7E7DA67F8305C696 + 45990.50ns INFO [00045992] Port=0 RD @03 + 45990.50ns INFO [00045992] Port=1 RD @04 + 45991.50ns INFO [00045993] Port=0 WR @06=C1044C8D0991DA4ECF + 45991.50ns INFO [00045993] Port=0 RD @07 + 45991.50ns INFO [00045993] Port=1 RD @05 + 45992.50ns INFO [00045994] * RD COMPARE * port=0 adr=03 act=B4DDB744AEA1A8B1C1 exp=B4DDB744AEA1A8B1C1 + 45992.50ns INFO [00045994] * RD COMPARE * port=1 adr=04 act=D6F23299C68B4FDD36 exp=D6F23299C68B4FDD36 + 45993.50ns INFO [00045995] * RD COMPARE * port=0 adr=07 act=4335106857948322A2 exp=4335106857948322A2 + 45993.50ns INFO [00045995] * RD COMPARE * port=1 adr=05 act=4D7E7DA67F8305C696 exp=4D7E7DA67F8305C696 + 45993.50ns INFO [00045995] Port=0 WR @00=328082EE268D0A42A3 + 45994.50ns INFO [00045996] Port=1 RD @04 + 45995.50ns INFO [00045997] Port=0 WR @04=409DDBC27B2723AF26 + 45995.50ns INFO [00045997] Port=0 RD @03 + 45995.50ns INFO [00045997] Port=1 RD @00 + 45996.50ns INFO [00045998] * RD COMPARE * port=1 adr=04 act=D6F23299C68B4FDD36 exp=D6F23299C68B4FDD36 + 45996.50ns INFO [00045998] Port=1 RD @07 + 45997.50ns INFO [00045999] * RD COMPARE * port=0 adr=03 act=B4DDB744AEA1A8B1C1 exp=B4DDB744AEA1A8B1C1 + 45997.50ns INFO [00045999] * RD COMPARE * port=1 adr=00 act=328082EE268D0A42A3 exp=328082EE268D0A42A3 + 45997.50ns INFO [00045999] Port=0 WR @07=FD3B08BD4ED3334E9A + 45997.50ns INFO [00045999] Port=0 RD @05 + 45998.00ns INFO [00046000] [00046000] ...tick... + 45998.50ns INFO [00046000] * RD COMPARE * port=1 adr=07 act=4335106857948322A2 exp=4335106857948322A2 + 45999.50ns INFO [00046001] * RD COMPARE * port=0 adr=05 act=4D7E7DA67F8305C696 exp=4D7E7DA67F8305C696 + 46002.50ns INFO [00046004] Port=0 WR @04=5C625C49D0CDCDAAF3 + 46003.50ns INFO [00046005] Port=1 RD @06 + 46004.50ns INFO [00046006] Port=0 WR @03=A83B5DA109270B4AD9 + 46005.50ns INFO [00046007] * RD COMPARE * port=1 adr=06 act=C1044C8D0991DA4ECF exp=C1044C8D0991DA4ECF + 46006.50ns INFO [00046008] Port=0 RD @06 + 46007.50ns INFO [00046009] Port=0 RD @04 + 46008.50ns INFO [00046010] * RD COMPARE * port=0 adr=06 act=C1044C8D0991DA4ECF exp=C1044C8D0991DA4ECF + 46008.50ns INFO [00046010] Port=0 WR @05=1F58BCA4EB17CF02A7 + 46008.50ns INFO [00046010] Port=1 RD @03 + 46009.50ns INFO [00046011] * RD COMPARE * port=0 adr=04 act=5C625C49D0CDCDAAF3 exp=5C625C49D0CDCDAAF3 + 46009.50ns INFO [00046011] Port=1 RD @02 + 46010.50ns INFO [00046012] * RD COMPARE * port=1 adr=03 act=A83B5DA109270B4AD9 exp=A83B5DA109270B4AD9 + 46010.50ns INFO [00046012] Port=0 RD @00 + 46011.50ns INFO [00046013] * RD COMPARE * port=1 adr=02 act=9439591542B5FB7AC8 exp=9439591542B5FB7AC8 + 46011.50ns INFO [00046013] Port=0 RD @04 + 46012.50ns INFO [00046014] * RD COMPARE * port=0 adr=00 act=328082EE268D0A42A3 exp=328082EE268D0A42A3 + 46012.50ns INFO [00046014] Port=1 RD @06 + 46013.50ns INFO [00046015] * RD COMPARE * port=0 adr=04 act=5C625C49D0CDCDAAF3 exp=5C625C49D0CDCDAAF3 + 46014.50ns INFO [00046016] * RD COMPARE * port=1 adr=06 act=C1044C8D0991DA4ECF exp=C1044C8D0991DA4ECF + 46014.50ns INFO [00046016] Port=0 WR @02=5AC294BAD8DB36E617 + 46014.50ns INFO [00046016] Port=0 RD @00 + 46014.50ns INFO [00046016] Port=1 RD @01 + 46015.50ns INFO [00046017] Port=1 RD @06 + 46016.50ns INFO [00046018] * RD COMPARE * port=0 adr=00 act=328082EE268D0A42A3 exp=328082EE268D0A42A3 + 46016.50ns INFO [00046018] * RD COMPARE * port=1 adr=01 act=C55DADF7485C11E924 exp=C55DADF7485C11E924 + 46016.50ns INFO [00046018] Port=0 WR @01=2F9EFF7637C24EB45D + 46016.50ns INFO [00046018] Port=1 RD @05 + 46017.50ns INFO [00046019] * RD COMPARE * port=1 adr=06 act=C1044C8D0991DA4ECF exp=C1044C8D0991DA4ECF + 46017.50ns INFO [00046019] Port=0 WR @04=33E4E38D83CAF01B4F + 46017.50ns INFO [00046019] Port=0 RD @05 + 46018.50ns INFO [00046020] * RD COMPARE * port=1 adr=05 act=1F58BCA4EB17CF02A7 exp=1F58BCA4EB17CF02A7 + 46018.50ns INFO [00046020] Port=1 RD @01 + 46019.50ns INFO [00046021] * RD COMPARE * port=0 adr=05 act=1F58BCA4EB17CF02A7 exp=1F58BCA4EB17CF02A7 + 46019.50ns INFO [00046021] Port=0 RD @00 + 46019.50ns INFO [00046021] Port=1 RD @03 + 46020.50ns INFO [00046022] * RD COMPARE * port=1 adr=01 act=2F9EFF7637C24EB45D exp=2F9EFF7637C24EB45D + 46020.50ns INFO [00046022] Port=1 RD @02 + 46021.50ns INFO [00046023] * RD COMPARE * port=0 adr=00 act=328082EE268D0A42A3 exp=328082EE268D0A42A3 + 46021.50ns INFO [00046023] * RD COMPARE * port=1 adr=03 act=A83B5DA109270B4AD9 exp=A83B5DA109270B4AD9 + 46021.50ns INFO [00046023] Port=1 RD @06 + 46022.50ns INFO [00046024] * RD COMPARE * port=1 adr=02 act=5AC294BAD8DB36E617 exp=5AC294BAD8DB36E617 + 46022.50ns INFO [00046024] Port=0 RD @04 + 46023.50ns INFO [00046025] * RD COMPARE * port=1 adr=06 act=C1044C8D0991DA4ECF exp=C1044C8D0991DA4ECF + 46024.50ns INFO [00046026] * RD COMPARE * port=0 adr=04 act=33E4E38D83CAF01B4F exp=33E4E38D83CAF01B4F + 46025.50ns INFO [00046027] Port=0 RD @04 + 46027.50ns INFO [00046029] * RD COMPARE * port=0 adr=04 act=33E4E38D83CAF01B4F exp=33E4E38D83CAF01B4F + 46027.50ns INFO [00046029] Port=0 WR @01=0EF1126B1F88207426 + 46027.50ns INFO [00046029] Port=1 RD @00 + 46028.50ns INFO [00046030] Port=0 RD @01 + 46029.50ns INFO [00046031] * RD COMPARE * port=1 adr=00 act=328082EE268D0A42A3 exp=328082EE268D0A42A3 + 46029.50ns INFO [00046031] Port=1 RD @02 + 46030.50ns INFO [00046032] * RD COMPARE * port=0 adr=01 act=0EF1126B1F88207426 exp=0EF1126B1F88207426 + 46030.50ns INFO [00046032] Port=0 WR @05=1AAE0BEA651F934C8E + 46031.50ns INFO [00046033] * RD COMPARE * port=1 adr=02 act=5AC294BAD8DB36E617 exp=5AC294BAD8DB36E617 + 46031.50ns INFO [00046033] Port=0 WR @03=17B3D6D4267B3A4985 + 46032.50ns INFO [00046034] Port=0 WR @05=9BF94342B185C25F15 + 46033.50ns INFO [00046035] Port=0 RD @01 + 46033.50ns INFO [00046035] Port=1 RD @03 + 46034.50ns INFO [00046036] Port=0 WR @04=E6A710FDB8A7BA874E + 46034.50ns INFO [00046036] Port=1 RD @03 + 46035.50ns INFO [00046037] * RD COMPARE * port=0 adr=01 act=0EF1126B1F88207426 exp=0EF1126B1F88207426 + 46035.50ns INFO [00046037] * RD COMPARE * port=1 adr=03 act=17B3D6D4267B3A4985 exp=17B3D6D4267B3A4985 + 46035.50ns INFO [00046037] Port=0 WR @04=57662E9C6F5746EFA6 + 46035.50ns INFO [00046037] Port=0 RD @02 + 46036.50ns INFO [00046038] * RD COMPARE * port=1 adr=03 act=17B3D6D4267B3A4985 exp=17B3D6D4267B3A4985 + 46036.50ns INFO [00046038] Port=0 WR @01=62210B1C132AE19F4F + 46037.50ns INFO [00046039] * RD COMPARE * port=0 adr=02 act=5AC294BAD8DB36E617 exp=5AC294BAD8DB36E617 + 46037.50ns INFO [00046039] Port=0 RD @06 + 46039.50ns INFO [00046041] * RD COMPARE * port=0 adr=06 act=C1044C8D0991DA4ECF exp=C1044C8D0991DA4ECF + 46039.50ns INFO [00046041] Port=0 WR @03=E51A82532469B26A25 + 46039.50ns INFO [00046041] Port=1 RD @01 + 46041.50ns INFO [00046043] * RD COMPARE * port=1 adr=01 act=62210B1C132AE19F4F exp=62210B1C132AE19F4F + 46042.50ns INFO [00046044] Port=0 WR @01=DD0A0AB9CA6D4D72D9 + 46042.50ns INFO [00046044] Port=1 RD @02 + 46043.50ns INFO [00046045] Port=0 RD @06 + 46044.50ns INFO [00046046] * RD COMPARE * port=1 adr=02 act=5AC294BAD8DB36E617 exp=5AC294BAD8DB36E617 + 46044.50ns INFO [00046046] Port=0 WR @03=DB6B49626241C28606 + 46044.50ns INFO [00046046] Port=1 RD @02 + 46045.50ns INFO [00046047] * RD COMPARE * port=0 adr=06 act=C1044C8D0991DA4ECF exp=C1044C8D0991DA4ECF + 46045.50ns INFO [00046047] Port=0 WR @04=6E7A14CD0B6D6AFBB0 + 46046.50ns INFO [00046048] * RD COMPARE * port=1 adr=02 act=5AC294BAD8DB36E617 exp=5AC294BAD8DB36E617 + 46047.50ns INFO [00046049] Port=0 WR @02=74D3C31072FC202D7C + 46048.50ns INFO [00046050] Port=1 RD @05 + 46049.50ns INFO [00046051] Port=0 RD @05 + 46050.50ns INFO [00046052] * RD COMPARE * port=1 adr=05 act=9BF94342B185C25F15 exp=9BF94342B185C25F15 + 46050.50ns INFO [00046052] Port=0 WR @00=874E6A313B1D53F540 + 46050.50ns INFO [00046052] Port=1 RD @03 + 46051.50ns INFO [00046053] * RD COMPARE * port=0 adr=05 act=9BF94342B185C25F15 exp=9BF94342B185C25F15 + 46052.50ns INFO [00046054] * RD COMPARE * port=1 adr=03 act=DB6B49626241C28606 exp=DB6B49626241C28606 + 46052.50ns INFO [00046054] Port=1 RD @07 + 46053.50ns INFO [00046055] Port=0 WR @01=BD66B3BC9BCD5634B3 + 46053.50ns INFO [00046055] Port=0 RD @06 + 46053.50ns INFO [00046055] Port=1 RD @05 + 46054.50ns INFO [00046056] * RD COMPARE * port=1 adr=07 act=FD3B08BD4ED3334E9A exp=FD3B08BD4ED3334E9A + 46054.50ns INFO [00046056] Port=0 RD @06 + 46055.50ns INFO [00046057] * RD COMPARE * port=0 adr=06 act=C1044C8D0991DA4ECF exp=C1044C8D0991DA4ECF + 46055.50ns INFO [00046057] * RD COMPARE * port=1 adr=05 act=9BF94342B185C25F15 exp=9BF94342B185C25F15 + 46056.50ns INFO [00046058] * RD COMPARE * port=0 adr=06 act=C1044C8D0991DA4ECF exp=C1044C8D0991DA4ECF + 46057.50ns INFO [00046059] Port=0 WR @06=EE8A8B05755D054D17 + 46057.50ns INFO [00046059] Port=0 RD @03 + 46058.50ns INFO [00046060] Port=0 WR @02=757A10B4A3688ACE09 + 46058.50ns INFO [00046060] Port=0 RD @00 + 46058.50ns INFO [00046060] Port=1 RD @05 + 46059.50ns INFO [00046061] * RD COMPARE * port=0 adr=03 act=DB6B49626241C28606 exp=DB6B49626241C28606 + 46060.50ns INFO [00046062] * RD COMPARE * port=0 adr=00 act=874E6A313B1D53F540 exp=874E6A313B1D53F540 + 46060.50ns INFO [00046062] * RD COMPARE * port=1 adr=05 act=9BF94342B185C25F15 exp=9BF94342B185C25F15 + 46061.50ns INFO [00046063] Port=0 WR @02=DAC7093C65935D2FCC + 46061.50ns INFO [00046063] Port=0 RD @00 + 46063.50ns INFO [00046065] * RD COMPARE * port=0 adr=00 act=874E6A313B1D53F540 exp=874E6A313B1D53F540 + 46064.50ns INFO [00046066] Port=1 RD @02 + 46065.50ns INFO [00046067] Port=0 RD @06 + 46066.50ns INFO [00046068] * RD COMPARE * port=1 adr=02 act=DAC7093C65935D2FCC exp=DAC7093C65935D2FCC + 46066.50ns INFO [00046068] Port=0 WR @03=B4A43BC082942F48F7 + 46067.50ns INFO [00046069] * RD COMPARE * port=0 adr=06 act=EE8A8B05755D054D17 exp=EE8A8B05755D054D17 + 46070.50ns INFO [00046072] Port=0 RD @03 + 46070.50ns INFO [00046072] Port=1 RD @05 + 46071.50ns INFO [00046073] Port=0 WR @01=60217527D4FCC68E26 + 46072.50ns INFO [00046074] * RD COMPARE * port=0 adr=03 act=B4A43BC082942F48F7 exp=B4A43BC082942F48F7 + 46072.50ns INFO [00046074] * RD COMPARE * port=1 adr=05 act=9BF94342B185C25F15 exp=9BF94342B185C25F15 + 46073.50ns INFO [00046075] Port=0 WR @02=A240071E9FD5DCE09B + 46073.50ns INFO [00046075] Port=0 RD @03 + 46074.50ns INFO [00046076] Port=0 WR @06=F041788DDFFBBB5D83 + 46074.50ns INFO [00046076] Port=1 RD @04 + 46075.50ns INFO [00046077] * RD COMPARE * port=0 adr=03 act=B4A43BC082942F48F7 exp=B4A43BC082942F48F7 + 46075.50ns INFO [00046077] Port=0 RD @01 + 46076.50ns INFO [00046078] * RD COMPARE * port=1 adr=04 act=6E7A14CD0B6D6AFBB0 exp=6E7A14CD0B6D6AFBB0 + 46076.50ns INFO [00046078] Port=0 WR @05=87961217F79ED89DF1 + 46076.50ns INFO [00046078] Port=0 RD @00 + 46076.50ns INFO [00046078] Port=1 RD @03 + 46077.50ns INFO [00046079] * RD COMPARE * port=0 adr=01 act=60217527D4FCC68E26 exp=60217527D4FCC68E26 + 46077.50ns INFO [00046079] Port=0 WR @06=01410058AF336BBF92 + 46078.50ns INFO [00046080] * RD COMPARE * port=0 adr=00 act=874E6A313B1D53F540 exp=874E6A313B1D53F540 + 46078.50ns INFO [00046080] * RD COMPARE * port=1 adr=03 act=B4A43BC082942F48F7 exp=B4A43BC082942F48F7 + 46078.50ns INFO [00046080] Port=1 RD @05 + 46079.50ns INFO [00046081] Port=0 RD @01 + 46079.50ns INFO [00046081] Port=1 RD @07 + 46080.50ns INFO [00046082] * RD COMPARE * port=1 adr=05 act=87961217F79ED89DF1 exp=87961217F79ED89DF1 + 46080.50ns INFO [00046082] Port=0 WR @00=143EB432394336733D + 46080.50ns INFO [00046082] Port=1 RD @06 + 46081.50ns INFO [00046083] * RD COMPARE * port=0 adr=01 act=60217527D4FCC68E26 exp=60217527D4FCC68E26 + 46081.50ns INFO [00046083] * RD COMPARE * port=1 adr=07 act=FD3B08BD4ED3334E9A exp=FD3B08BD4ED3334E9A + 46081.50ns INFO [00046083] Port=0 WR @05=2B7B4771739FBFA3D2 + 46082.50ns INFO [00046084] * RD COMPARE * port=1 adr=06 act=01410058AF336BBF92 exp=01410058AF336BBF92 + 46082.50ns INFO [00046084] Port=0 WR @01=2E00E6759FFA70FAE4 + 46082.50ns INFO [00046084] Port=0 RD @02 + 46082.50ns INFO [00046084] Port=1 RD @00 + 46083.50ns INFO [00046085] Port=0 RD @03 + 46084.50ns INFO [00046086] * RD COMPARE * port=0 adr=02 act=A240071E9FD5DCE09B exp=A240071E9FD5DCE09B + 46084.50ns INFO [00046086] * RD COMPARE * port=1 adr=00 act=143EB432394336733D exp=143EB432394336733D + 46084.50ns INFO [00046086] Port=0 RD @06 + 46084.50ns INFO [00046086] Port=1 RD @00 + 46085.50ns INFO [00046087] * RD COMPARE * port=0 adr=03 act=B4A43BC082942F48F7 exp=B4A43BC082942F48F7 + 46086.50ns INFO [00046088] * RD COMPARE * port=0 adr=06 act=01410058AF336BBF92 exp=01410058AF336BBF92 + 46086.50ns INFO [00046088] * RD COMPARE * port=1 adr=00 act=143EB432394336733D exp=143EB432394336733D + 46086.50ns INFO [00046088] Port=0 WR @02=119A928591FD8FD197 + 46087.50ns INFO [00046089] Port=0 RD @00 + 46087.50ns INFO [00046089] Port=1 RD @05 + 46089.50ns INFO [00046091] * RD COMPARE * port=0 adr=00 act=143EB432394336733D exp=143EB432394336733D + 46089.50ns INFO [00046091] * RD COMPARE * port=1 adr=05 act=2B7B4771739FBFA3D2 exp=2B7B4771739FBFA3D2 + 46089.50ns INFO [00046091] Port=0 RD @07 + 46090.50ns INFO [00046092] Port=1 RD @02 + 46091.50ns INFO [00046093] * RD COMPARE * port=0 adr=07 act=FD3B08BD4ED3334E9A exp=FD3B08BD4ED3334E9A + 46091.50ns INFO [00046093] Port=0 WR @07=756D38F744993198B2 + 46091.50ns INFO [00046093] Port=1 RD @05 + 46092.50ns INFO [00046094] * RD COMPARE * port=1 adr=02 act=119A928591FD8FD197 exp=119A928591FD8FD197 + 46092.50ns INFO [00046094] Port=0 WR @01=83E34977A2F0620CED + 46092.50ns INFO [00046094] Port=0 RD @00 + 46092.50ns INFO [00046094] Port=1 RD @03 + 46093.50ns INFO [00046095] * RD COMPARE * port=1 adr=05 act=2B7B4771739FBFA3D2 exp=2B7B4771739FBFA3D2 + 46093.50ns INFO [00046095] Port=0 WR @02=3AF29A7CD70BF7CAF8 + 46093.50ns INFO [00046095] Port=1 RD @01 + 46094.50ns INFO [00046096] * RD COMPARE * port=0 adr=00 act=143EB432394336733D exp=143EB432394336733D + 46094.50ns INFO [00046096] * RD COMPARE * port=1 adr=03 act=B4A43BC082942F48F7 exp=B4A43BC082942F48F7 + 46095.50ns INFO [00046097] * RD COMPARE * port=1 adr=01 act=83E34977A2F0620CED exp=83E34977A2F0620CED + 46095.50ns INFO [00046097] Port=0 WR @05=C58B6CE5291A20AAD0 + 46095.50ns INFO [00046097] Port=1 RD @03 + 46096.50ns INFO [00046098] Port=0 WR @04=6EAEB488214BE88454 + 46096.50ns INFO [00046098] Port=1 RD @05 + 46097.50ns INFO [00046099] * RD COMPARE * port=1 adr=03 act=B4A43BC082942F48F7 exp=B4A43BC082942F48F7 + 46097.50ns INFO [00046099] Port=0 WR @01=E66250826D9D14AE71 + 46097.50ns INFO [00046099] Port=1 RD @06 + 46098.00ns INFO [00046100] [00046100] ...tick... + 46098.50ns INFO [00046100] * RD COMPARE * port=1 adr=05 act=C58B6CE5291A20AAD0 exp=C58B6CE5291A20AAD0 + 46098.50ns INFO [00046100] Port=0 RD @03 + 46099.50ns INFO [00046101] * RD COMPARE * port=1 adr=06 act=01410058AF336BBF92 exp=01410058AF336BBF92 + 46099.50ns INFO [00046101] Port=0 WR @00=86B3FDF356D7BA2595 + 46099.50ns INFO [00046101] Port=0 RD @04 + 46099.50ns INFO [00046101] Port=1 RD @04 + 46100.50ns INFO [00046102] * RD COMPARE * port=0 adr=03 act=B4A43BC082942F48F7 exp=B4A43BC082942F48F7 + 46100.50ns INFO [00046102] Port=0 WR @06=759445852F01704DA4 + 46100.50ns INFO [00046102] Port=0 RD @05 + 46101.50ns INFO [00046103] * RD COMPARE * port=0 adr=04 act=6EAEB488214BE88454 exp=6EAEB488214BE88454 + 46101.50ns INFO [00046103] * RD COMPARE * port=1 adr=04 act=6EAEB488214BE88454 exp=6EAEB488214BE88454 + 46101.50ns INFO [00046103] Port=0 RD @05 + 46102.50ns INFO [00046104] * RD COMPARE * port=0 adr=05 act=C58B6CE5291A20AAD0 exp=C58B6CE5291A20AAD0 + 46102.50ns INFO [00046104] Port=0 WR @07=061E804F4BCCE4EFFB + 46103.50ns INFO [00046105] * RD COMPARE * port=0 adr=05 act=C58B6CE5291A20AAD0 exp=C58B6CE5291A20AAD0 + 46103.50ns INFO [00046105] Port=0 WR @05=55643B65847DF42163 + 46103.50ns INFO [00046105] Port=0 RD @03 + 46103.50ns INFO [00046105] Port=1 RD @01 + 46104.50ns INFO [00046106] Port=0 RD @07 + 46105.50ns INFO [00046107] * RD COMPARE * port=0 adr=03 act=B4A43BC082942F48F7 exp=B4A43BC082942F48F7 + 46105.50ns INFO [00046107] * RD COMPARE * port=1 adr=01 act=E66250826D9D14AE71 exp=E66250826D9D14AE71 + 46105.50ns INFO [00046107] Port=0 RD @01 + 46105.50ns INFO [00046107] Port=1 RD @05 + 46106.50ns INFO [00046108] * RD COMPARE * port=0 adr=07 act=061E804F4BCCE4EFFB exp=061E804F4BCCE4EFFB + 46106.50ns INFO [00046108] Port=0 WR @01=E9AD48FE70649FFE6C + 46106.50ns INFO [00046108] Port=1 RD @02 + 46107.50ns INFO [00046109] * RD COMPARE * port=0 adr=01 act=E66250826D9D14AE71 exp=E66250826D9D14AE71 + 46107.50ns INFO [00046109] * RD COMPARE * port=1 adr=05 act=55643B65847DF42163 exp=55643B65847DF42163 + 46107.50ns INFO [00046109] Port=0 RD @01 + 46107.50ns INFO [00046109] Port=1 RD @06 + 46108.50ns INFO [00046110] * RD COMPARE * port=1 adr=02 act=3AF29A7CD70BF7CAF8 exp=3AF29A7CD70BF7CAF8 + 46108.50ns INFO [00046110] Port=0 WR @02=9DA0BE3DE701B40E11 + 46108.50ns INFO [00046110] Port=0 RD @05 + 46108.50ns INFO [00046110] Port=1 RD @06 + 46109.50ns INFO [00046111] * RD COMPARE * port=0 adr=01 act=E9AD48FE70649FFE6C exp=E9AD48FE70649FFE6C + 46109.50ns INFO [00046111] * RD COMPARE * port=1 adr=06 act=759445852F01704DA4 exp=759445852F01704DA4 + 46109.50ns INFO [00046111] Port=0 RD @04 + 46110.50ns INFO [00046112] * RD COMPARE * port=0 adr=05 act=55643B65847DF42163 exp=55643B65847DF42163 + 46110.50ns INFO [00046112] * RD COMPARE * port=1 adr=06 act=759445852F01704DA4 exp=759445852F01704DA4 + 46111.50ns INFO [00046113] * RD COMPARE * port=0 adr=04 act=6EAEB488214BE88454 exp=6EAEB488214BE88454 + 46111.50ns INFO [00046113] Port=1 RD @05 + 46112.50ns INFO [00046114] Port=0 RD @01 + 46113.50ns INFO [00046115] * RD COMPARE * port=1 adr=05 act=55643B65847DF42163 exp=55643B65847DF42163 + 46113.50ns INFO [00046115] Port=0 RD @02 + 46113.50ns INFO [00046115] Port=1 RD @01 + 46114.50ns INFO [00046116] * RD COMPARE * port=0 adr=01 act=E9AD48FE70649FFE6C exp=E9AD48FE70649FFE6C + 46114.50ns INFO [00046116] Port=0 WR @03=723C46757D88B0E467 + 46114.50ns INFO [00046116] Port=1 RD @06 + 46115.50ns INFO [00046117] * RD COMPARE * port=0 adr=02 act=9DA0BE3DE701B40E11 exp=9DA0BE3DE701B40E11 + 46115.50ns INFO [00046117] * RD COMPARE * port=1 adr=01 act=E9AD48FE70649FFE6C exp=E9AD48FE70649FFE6C + 46115.50ns INFO [00046117] Port=1 RD @04 + 46116.50ns INFO [00046118] * RD COMPARE * port=1 adr=06 act=759445852F01704DA4 exp=759445852F01704DA4 + 46116.50ns INFO [00046118] Port=0 RD @06 + 46116.50ns INFO [00046118] Port=1 RD @01 + 46117.50ns INFO [00046119] * RD COMPARE * port=1 adr=04 act=6EAEB488214BE88454 exp=6EAEB488214BE88454 + 46117.50ns INFO [00046119] Port=0 RD @07 + 46118.50ns INFO [00046120] * RD COMPARE * port=0 adr=06 act=759445852F01704DA4 exp=759445852F01704DA4 + 46118.50ns INFO [00046120] * RD COMPARE * port=1 adr=01 act=E9AD48FE70649FFE6C exp=E9AD48FE70649FFE6C + 46119.50ns INFO [00046121] * RD COMPARE * port=0 adr=07 act=061E804F4BCCE4EFFB exp=061E804F4BCCE4EFFB + 46119.50ns INFO [00046121] Port=0 RD @04 + 46120.50ns INFO [00046122] Port=0 RD @05 + 46121.50ns INFO [00046123] * RD COMPARE * port=0 adr=04 act=6EAEB488214BE88454 exp=6EAEB488214BE88454 + 46121.50ns INFO [00046123] Port=0 RD @04 + 46122.50ns INFO [00046124] * RD COMPARE * port=0 adr=05 act=55643B65847DF42163 exp=55643B65847DF42163 + 46122.50ns INFO [00046124] Port=0 WR @01=A4022794BE5281EDB8 + 46122.50ns INFO [00046124] Port=0 RD @02 + 46122.50ns INFO [00046124] Port=1 RD @05 + 46123.50ns INFO [00046125] * RD COMPARE * port=0 adr=04 act=6EAEB488214BE88454 exp=6EAEB488214BE88454 + 46123.50ns INFO [00046125] Port=0 RD @01 + 46124.50ns INFO [00046126] * RD COMPARE * port=0 adr=02 act=9DA0BE3DE701B40E11 exp=9DA0BE3DE701B40E11 + 46124.50ns INFO [00046126] * RD COMPARE * port=1 adr=05 act=55643B65847DF42163 exp=55643B65847DF42163 + 46125.50ns INFO [00046127] * RD COMPARE * port=0 adr=01 act=A4022794BE5281EDB8 exp=A4022794BE5281EDB8 + 46125.50ns INFO [00046127] Port=0 WR @05=D7DCBD20F7CB7DD381 + 46125.50ns INFO [00046127] Port=0 RD @06 + 46125.50ns INFO [00046127] Port=1 RD @02 + 46127.50ns INFO [00046129] * RD COMPARE * port=0 adr=06 act=759445852F01704DA4 exp=759445852F01704DA4 + 46127.50ns INFO [00046129] * RD COMPARE * port=1 adr=02 act=9DA0BE3DE701B40E11 exp=9DA0BE3DE701B40E11 + 46127.50ns INFO [00046129] Port=0 WR @06=462B2CCCF364326CD2 + 46127.50ns INFO [00046129] Port=0 RD @07 + 46128.50ns INFO [00046130] Port=1 RD @06 + 46129.50ns INFO [00046131] * RD COMPARE * port=0 adr=07 act=061E804F4BCCE4EFFB exp=061E804F4BCCE4EFFB + 46130.50ns INFO [00046132] * RD COMPARE * port=1 adr=06 act=462B2CCCF364326CD2 exp=462B2CCCF364326CD2 + 46130.50ns INFO [00046132] Port=0 WR @04=571D3B504D052DCEF2 + 46131.50ns INFO [00046133] Port=0 RD @06 + 46132.50ns INFO [00046134] Port=0 RD @03 + 46132.50ns INFO [00046134] Port=1 RD @03 + 46133.50ns INFO [00046135] * RD COMPARE * port=0 adr=06 act=462B2CCCF364326CD2 exp=462B2CCCF364326CD2 + 46133.50ns INFO [00046135] Port=0 WR @01=0CBCB46C4C014C31EB + 46134.50ns INFO [00046136] * RD COMPARE * port=0 adr=03 act=723C46757D88B0E467 exp=723C46757D88B0E467 + 46134.50ns INFO [00046136] * RD COMPARE * port=1 adr=03 act=723C46757D88B0E467 exp=723C46757D88B0E467 + 46136.50ns INFO [00046138] Port=0 WR @03=A91A0FB8F5E109C3E5 + 46136.50ns INFO [00046138] Port=1 RD @05 + 46137.50ns INFO [00046139] Port=0 WR @07=1A44D634D99B2FA124 + 46137.50ns INFO [00046139] Port=1 RD @04 + 46138.50ns INFO [00046140] * RD COMPARE * port=1 adr=05 act=D7DCBD20F7CB7DD381 exp=D7DCBD20F7CB7DD381 + 46139.50ns INFO [00046141] * RD COMPARE * port=1 adr=04 act=571D3B504D052DCEF2 exp=571D3B504D052DCEF2 + 46139.50ns INFO [00046141] Port=0 WR @05=4B721D2F78ABB059C6 + 46139.50ns INFO [00046141] Port=0 RD @04 + 46139.50ns INFO [00046141] Port=1 RD @03 + 46141.50ns INFO [00046143] * RD COMPARE * port=0 adr=04 act=571D3B504D052DCEF2 exp=571D3B504D052DCEF2 + 46141.50ns INFO [00046143] * RD COMPARE * port=1 adr=03 act=A91A0FB8F5E109C3E5 exp=A91A0FB8F5E109C3E5 + 46141.50ns INFO [00046143] Port=1 RD @06 + 46142.50ns INFO [00046144] Port=1 RD @00 + 46143.50ns INFO [00046145] * RD COMPARE * port=1 adr=06 act=462B2CCCF364326CD2 exp=462B2CCCF364326CD2 + 46143.50ns INFO [00046145] Port=0 RD @02 + 46144.50ns INFO [00046146] * RD COMPARE * port=1 adr=00 act=86B3FDF356D7BA2595 exp=86B3FDF356D7BA2595 + 46144.50ns INFO [00046146] Port=0 RD @02 + 46145.50ns INFO [00046147] * RD COMPARE * port=0 adr=02 act=9DA0BE3DE701B40E11 exp=9DA0BE3DE701B40E11 + 46146.50ns INFO [00046148] * RD COMPARE * port=0 adr=02 act=9DA0BE3DE701B40E11 exp=9DA0BE3DE701B40E11 + 46147.50ns INFO [00046149] Port=0 WR @06=5FADA9234A5492878A + 46147.50ns INFO [00046149] Port=0 RD @02 + 46149.50ns INFO [00046151] * RD COMPARE * port=0 adr=02 act=9DA0BE3DE701B40E11 exp=9DA0BE3DE701B40E11 + 46149.50ns INFO [00046151] Port=0 WR @02=EE7524AEBDED6CFA15 + 46150.50ns INFO [00046152] Port=0 WR @06=D602AA7573F614AB78 + 46151.50ns INFO [00046153] Port=0 WR @02=2518076F334F8FD22E + 46151.50ns INFO [00046153] Port=0 RD @06 + 46152.50ns INFO [00046154] Port=0 WR @07=EF9B6CA8800986052A + 46152.50ns INFO [00046154] Port=0 RD @02 + 46153.50ns INFO [00046155] * RD COMPARE * port=0 adr=06 act=D602AA7573F614AB78 exp=D602AA7573F614AB78 + 46154.50ns INFO [00046156] * RD COMPARE * port=0 adr=02 act=2518076F334F8FD22E exp=2518076F334F8FD22E + 46154.50ns INFO [00046156] Port=1 RD @06 + 46156.50ns INFO [00046158] * RD COMPARE * port=1 adr=06 act=D602AA7573F614AB78 exp=D602AA7573F614AB78 + 46156.50ns INFO [00046158] Port=0 RD @04 + 46157.50ns INFO [00046159] Port=1 RD @01 + 46158.50ns INFO [00046160] * RD COMPARE * port=0 adr=04 act=571D3B504D052DCEF2 exp=571D3B504D052DCEF2 + 46158.50ns INFO [00046160] Port=1 RD @03 + 46159.50ns INFO [00046161] * RD COMPARE * port=1 adr=01 act=0CBCB46C4C014C31EB exp=0CBCB46C4C014C31EB + 46159.50ns INFO [00046161] Port=1 RD @06 + 46160.50ns INFO [00046162] * RD COMPARE * port=1 adr=03 act=A91A0FB8F5E109C3E5 exp=A91A0FB8F5E109C3E5 + 46160.50ns INFO [00046162] Port=0 RD @03 + 46161.50ns INFO [00046163] * RD COMPARE * port=1 adr=06 act=D602AA7573F614AB78 exp=D602AA7573F614AB78 + 46161.50ns INFO [00046163] Port=0 RD @04 + 46162.50ns INFO [00046164] * RD COMPARE * port=0 adr=03 act=A91A0FB8F5E109C3E5 exp=A91A0FB8F5E109C3E5 + 46163.50ns INFO [00046165] * RD COMPARE * port=0 adr=04 act=571D3B504D052DCEF2 exp=571D3B504D052DCEF2 + 46163.50ns INFO [00046165] Port=0 RD @04 + 46164.50ns INFO [00046166] Port=1 RD @01 + 46165.50ns INFO [00046167] * RD COMPARE * port=0 adr=04 act=571D3B504D052DCEF2 exp=571D3B504D052DCEF2 + 46166.50ns INFO [00046168] * RD COMPARE * port=1 adr=01 act=0CBCB46C4C014C31EB exp=0CBCB46C4C014C31EB + 46167.50ns INFO [00046169] Port=0 WR @01=064A5AF6EADE15C4B0 + 46167.50ns INFO [00046169] Port=0 RD @00 + 46167.50ns INFO [00046169] Port=1 RD @04 + 46169.50ns INFO [00046171] * RD COMPARE * port=0 adr=00 act=86B3FDF356D7BA2595 exp=86B3FDF356D7BA2595 + 46169.50ns INFO [00046171] * RD COMPARE * port=1 adr=04 act=571D3B504D052DCEF2 exp=571D3B504D052DCEF2 + 46169.50ns INFO [00046171] Port=0 RD @02 + 46169.50ns INFO [00046171] Port=1 RD @00 + 46170.50ns INFO [00046172] Port=0 WR @06=55FE38C30CAF5575BC + 46170.50ns INFO [00046172] Port=1 RD @05 + 46171.50ns INFO [00046173] * RD COMPARE * port=0 adr=02 act=2518076F334F8FD22E exp=2518076F334F8FD22E + 46171.50ns INFO [00046173] * RD COMPARE * port=1 adr=00 act=86B3FDF356D7BA2595 exp=86B3FDF356D7BA2595 + 46171.50ns INFO [00046173] Port=0 WR @02=44BDD8E67B4D16AE5B + 46171.50ns INFO [00046173] Port=0 RD @04 + 46172.50ns INFO [00046174] * RD COMPARE * port=1 adr=05 act=4B721D2F78ABB059C6 exp=4B721D2F78ABB059C6 + 46172.50ns INFO [00046174] Port=0 WR @07=0080F3D13BD8FC6A54 + 46172.50ns INFO [00046174] Port=0 RD @03 + 46173.50ns INFO [00046175] * RD COMPARE * port=0 adr=04 act=571D3B504D052DCEF2 exp=571D3B504D052DCEF2 + 46173.50ns INFO [00046175] Port=0 RD @06 + 46174.50ns INFO [00046176] * RD COMPARE * port=0 adr=03 act=A91A0FB8F5E109C3E5 exp=A91A0FB8F5E109C3E5 + 46174.50ns INFO [00046176] Port=0 WR @01=4E1AA72C45059D3920 + 46174.50ns INFO [00046176] Port=0 RD @07 + 46175.50ns INFO [00046177] * RD COMPARE * port=0 adr=06 act=55FE38C30CAF5575BC exp=55FE38C30CAF5575BC + 46175.50ns INFO [00046177] Port=0 WR @07=C0565625BB561A54FD + 46175.50ns INFO [00046177] Port=1 RD @06 + 46176.50ns INFO [00046178] * RD COMPARE * port=0 adr=07 act=0080F3D13BD8FC6A54 exp=0080F3D13BD8FC6A54 + 46176.50ns INFO [00046178] Port=0 WR @06=6A3431649C9A9986F4 + 46177.50ns INFO [00046179] * RD COMPARE * port=1 adr=06 act=55FE38C30CAF5575BC exp=55FE38C30CAF5575BC + 46177.50ns INFO [00046179] Port=0 RD @07 + 46178.50ns INFO [00046180] Port=0 WR @01=58A60E8BA459E30AA5 + 46178.50ns INFO [00046180] Port=0 RD @02 + 46179.50ns INFO [00046181] * RD COMPARE * port=0 adr=07 act=C0565625BB561A54FD exp=C0565625BB561A54FD + 46180.50ns INFO [00046182] * RD COMPARE * port=0 adr=02 act=44BDD8E67B4D16AE5B exp=44BDD8E67B4D16AE5B + 46180.50ns INFO [00046182] Port=0 WR @05=6712C65F6C866FEA3C + 46181.50ns INFO [00046183] Port=0 WR @02=FC96B6E9F74A54DFCC + 46181.50ns INFO [00046183] Port=1 RD @07 + 46182.50ns INFO [00046184] Port=0 WR @02=2DE6CE47013FA0052D + 46182.50ns INFO [00046184] Port=0 RD @04 + 46183.50ns INFO [00046185] * RD COMPARE * port=1 adr=07 act=C0565625BB561A54FD exp=C0565625BB561A54FD + 46183.50ns INFO [00046185] Port=0 WR @04=728ACA70B31D7B74C5 + 46184.50ns INFO [00046186] * RD COMPARE * port=0 adr=04 act=571D3B504D052DCEF2 exp=571D3B504D052DCEF2 + 46184.50ns INFO [00046186] Port=0 WR @04=95212D9645D647E78D + 46185.50ns INFO [00046187] Port=0 WR @06=FC7077B662A122B4BC + 46185.50ns INFO [00046187] Port=1 RD @03 + 46186.50ns INFO [00046188] Port=1 RD @04 + 46187.50ns INFO [00046189] * RD COMPARE * port=1 adr=03 act=A91A0FB8F5E109C3E5 exp=A91A0FB8F5E109C3E5 + 46187.50ns INFO [00046189] Port=0 RD @02 + 46188.50ns INFO [00046190] * RD COMPARE * port=1 adr=04 act=95212D9645D647E78D exp=95212D9645D647E78D + 46189.50ns INFO [00046191] * RD COMPARE * port=0 adr=02 act=2DE6CE47013FA0052D exp=2DE6CE47013FA0052D + 46189.50ns INFO [00046191] Port=0 RD @07 + 46190.50ns INFO [00046192] Port=0 WR @03=56E7B869571D707D9B + 46191.50ns INFO [00046193] * RD COMPARE * port=0 adr=07 act=C0565625BB561A54FD exp=C0565625BB561A54FD + 46191.50ns INFO [00046193] Port=0 WR @01=6A2B7AE28BE73D7CA6 + 46191.50ns INFO [00046193] Port=0 RD @05 + 46191.50ns INFO [00046193] Port=1 RD @02 + 46192.50ns INFO [00046194] Port=0 WR @05=1614939282F3D12C87 + 46193.50ns INFO [00046195] * RD COMPARE * port=0 adr=05 act=6712C65F6C866FEA3C exp=6712C65F6C866FEA3C + 46193.50ns INFO [00046195] * RD COMPARE * port=1 adr=02 act=2DE6CE47013FA0052D exp=2DE6CE47013FA0052D + 46194.50ns INFO [00046196] Port=0 WR @01=06B6710A7FF85544BE + 46194.50ns INFO [00046196] Port=1 RD @05 + 46195.50ns INFO [00046197] Port=0 WR @06=6A9439402DBE9416A2 + 46196.50ns INFO [00046198] * RD COMPARE * port=1 adr=05 act=1614939282F3D12C87 exp=1614939282F3D12C87 + 46196.50ns INFO [00046198] Port=0 RD @00 + 46197.50ns INFO [00046199] Port=1 RD @06 + 46198.00ns INFO [00046200] [00046200] ...tick... + 46198.50ns INFO [00046200] * RD COMPARE * port=0 adr=00 act=86B3FDF356D7BA2595 exp=86B3FDF356D7BA2595 + 46198.50ns INFO [00046200] Port=1 RD @04 + 46199.50ns INFO [00046201] * RD COMPARE * port=1 adr=06 act=6A9439402DBE9416A2 exp=6A9439402DBE9416A2 + 46199.50ns INFO [00046201] Port=0 RD @07 + 46200.50ns INFO [00046202] * RD COMPARE * port=1 adr=04 act=95212D9645D647E78D exp=95212D9645D647E78D + 46201.50ns INFO [00046203] * RD COMPARE * port=0 adr=07 act=C0565625BB561A54FD exp=C0565625BB561A54FD + 46201.50ns INFO [00046203] Port=0 RD @00 + 46202.50ns INFO [00046204] Port=0 WR @02=26F65E3E868C418F94 + 46203.50ns INFO [00046205] * RD COMPARE * port=0 adr=00 act=86B3FDF356D7BA2595 exp=86B3FDF356D7BA2595 + 46203.50ns INFO [00046205] Port=0 RD @03 + 46204.50ns INFO [00046206] Port=1 RD @07 + 46205.50ns INFO [00046207] * RD COMPARE * port=0 adr=03 act=56E7B869571D707D9B exp=56E7B869571D707D9B + 46205.50ns INFO [00046207] Port=0 RD @02 + 46205.50ns INFO [00046207] Port=1 RD @02 + 46206.50ns INFO [00046208] * RD COMPARE * port=1 adr=07 act=C0565625BB561A54FD exp=C0565625BB561A54FD + 46206.50ns INFO [00046208] Port=0 WR @07=1533F924E57F13D652 + 46206.50ns INFO [00046208] Port=1 RD @06 + 46207.50ns INFO [00046209] * RD COMPARE * port=0 adr=02 act=26F65E3E868C418F94 exp=26F65E3E868C418F94 + 46207.50ns INFO [00046209] * RD COMPARE * port=1 adr=02 act=26F65E3E868C418F94 exp=26F65E3E868C418F94 + 46208.50ns INFO [00046210] * RD COMPARE * port=1 adr=06 act=6A9439402DBE9416A2 exp=6A9439402DBE9416A2 + 46208.50ns INFO [00046210] Port=0 WR @02=00C53FD96C9B4B73A1 + 46208.50ns INFO [00046210] Port=0 RD @03 + 46209.50ns INFO [00046211] Port=0 RD @06 + 46210.50ns INFO [00046212] * RD COMPARE * port=0 adr=03 act=56E7B869571D707D9B exp=56E7B869571D707D9B + 46210.50ns INFO [00046212] Port=1 RD @04 + 46211.50ns INFO [00046213] * RD COMPARE * port=0 adr=06 act=6A9439402DBE9416A2 exp=6A9439402DBE9416A2 + 46211.50ns INFO [00046213] Port=1 RD @07 + 46212.50ns INFO [00046214] * RD COMPARE * port=1 adr=04 act=95212D9645D647E78D exp=95212D9645D647E78D + 46212.50ns INFO [00046214] Port=0 WR @03=7278E9967018E41E54 + 46213.50ns INFO [00046215] * RD COMPARE * port=1 adr=07 act=1533F924E57F13D652 exp=1533F924E57F13D652 + 46213.50ns INFO [00046215] Port=1 RD @01 + 46214.50ns INFO [00046216] Port=1 RD @00 + 46215.50ns INFO [00046217] * RD COMPARE * port=1 adr=01 act=06B6710A7FF85544BE exp=06B6710A7FF85544BE + 46215.50ns INFO [00046217] Port=0 WR @01=16C5031F41D9EE3E5E + 46216.50ns INFO [00046218] * RD COMPARE * port=1 adr=00 act=86B3FDF356D7BA2595 exp=86B3FDF356D7BA2595 + 46216.50ns INFO [00046218] Port=0 WR @00=357A62C39CD796B884 + 46217.50ns INFO [00046219] Port=0 WR @01=D0480505F9592B0BF1 + 46217.50ns INFO [00046219] Port=1 RD @03 + 46218.50ns INFO [00046220] Port=0 WR @05=F4332D52C78E0F8B61 + 46218.50ns INFO [00046220] Port=1 RD @00 + 46219.50ns INFO [00046221] * RD COMPARE * port=1 adr=03 act=7278E9967018E41E54 exp=7278E9967018E41E54 + 46220.50ns INFO [00046222] * RD COMPARE * port=1 adr=00 act=357A62C39CD796B884 exp=357A62C39CD796B884 + 46220.50ns INFO [00046222] Port=0 RD @00 + 46220.50ns INFO [00046222] Port=1 RD @01 + 46221.50ns INFO [00046223] Port=0 WR @07=201EA0BDD48F7DC1E4 + 46221.50ns INFO [00046223] Port=0 RD @02 + 46221.50ns INFO [00046223] Port=1 RD @02 + 46222.50ns INFO [00046224] * RD COMPARE * port=0 adr=00 act=357A62C39CD796B884 exp=357A62C39CD796B884 + 46222.50ns INFO [00046224] * RD COMPARE * port=1 adr=01 act=D0480505F9592B0BF1 exp=D0480505F9592B0BF1 + 46222.50ns INFO [00046224] Port=0 RD @04 + 46223.50ns INFO [00046225] * RD COMPARE * port=0 adr=02 act=00C53FD96C9B4B73A1 exp=00C53FD96C9B4B73A1 + 46223.50ns INFO [00046225] * RD COMPARE * port=1 adr=02 act=00C53FD96C9B4B73A1 exp=00C53FD96C9B4B73A1 + 46223.50ns INFO [00046225] Port=0 WR @04=5FDB9A7DB9825ADDF3 + 46223.50ns INFO [00046225] Port=0 RD @03 + 46224.50ns INFO [00046226] * RD COMPARE * port=0 adr=04 act=95212D9645D647E78D exp=95212D9645D647E78D + 46225.50ns INFO [00046227] * RD COMPARE * port=0 adr=03 act=7278E9967018E41E54 exp=7278E9967018E41E54 + 46225.50ns INFO [00046227] Port=0 WR @06=6C2D1A751E8EDF8155 + 46225.50ns INFO [00046227] Port=0 RD @04 + 46225.50ns INFO [00046227] Port=1 RD @04 + 46226.50ns INFO [00046228] Port=0 RD @04 + 46227.50ns INFO [00046229] * RD COMPARE * port=0 adr=04 act=5FDB9A7DB9825ADDF3 exp=5FDB9A7DB9825ADDF3 + 46227.50ns INFO [00046229] * RD COMPARE * port=1 adr=04 act=5FDB9A7DB9825ADDF3 exp=5FDB9A7DB9825ADDF3 + 46227.50ns INFO [00046229] Port=0 WR @01=5A9E83BDCEDB989FB0 + 46227.50ns INFO [00046229] Port=0 RD @02 + 46227.50ns INFO [00046229] Port=1 RD @02 + 46228.50ns INFO [00046230] * RD COMPARE * port=0 adr=04 act=5FDB9A7DB9825ADDF3 exp=5FDB9A7DB9825ADDF3 + 46229.50ns INFO [00046231] * RD COMPARE * port=0 adr=02 act=00C53FD96C9B4B73A1 exp=00C53FD96C9B4B73A1 + 46229.50ns INFO [00046231] * RD COMPARE * port=1 adr=02 act=00C53FD96C9B4B73A1 exp=00C53FD96C9B4B73A1 + 46229.50ns INFO [00046231] Port=0 WR @03=05E208243CBAE5FAA6 + 46229.50ns INFO [00046231] Port=1 RD @05 + 46230.50ns INFO [00046232] Port=0 WR @04=F9423271DB91CCBE8A + 46230.50ns INFO [00046232] Port=1 RD @01 + 46231.50ns INFO [00046233] * RD COMPARE * port=1 adr=05 act=F4332D52C78E0F8B61 exp=F4332D52C78E0F8B61 + 46232.50ns INFO [00046234] * RD COMPARE * port=1 adr=01 act=5A9E83BDCEDB989FB0 exp=5A9E83BDCEDB989FB0 + 46232.50ns INFO [00046234] Port=1 RD @03 + 46233.50ns INFO [00046235] Port=1 RD @01 + 46234.50ns INFO [00046236] * RD COMPARE * port=1 adr=03 act=05E208243CBAE5FAA6 exp=05E208243CBAE5FAA6 + 46234.50ns INFO [00046236] Port=0 WR @01=E37234DACBFDD5A0E5 + 46235.50ns INFO [00046237] * RD COMPARE * port=1 adr=01 act=5A9E83BDCEDB989FB0 exp=5A9E83BDCEDB989FB0 + 46235.50ns INFO [00046237] Port=0 WR @03=23C76DD1EBF3D5C9EF + 46235.50ns INFO [00046237] Port=0 RD @07 + 46236.50ns INFO [00046238] Port=1 RD @04 + 46237.50ns INFO [00046239] * RD COMPARE * port=0 adr=07 act=201EA0BDD48F7DC1E4 exp=201EA0BDD48F7DC1E4 + 46237.50ns INFO [00046239] Port=0 RD @01 + 46238.50ns INFO [00046240] * RD COMPARE * port=1 adr=04 act=F9423271DB91CCBE8A exp=F9423271DB91CCBE8A + 46238.50ns INFO [00046240] Port=0 RD @04 + 46239.50ns INFO [00046241] * RD COMPARE * port=0 adr=01 act=E37234DACBFDD5A0E5 exp=E37234DACBFDD5A0E5 + 46239.50ns INFO [00046241] Port=0 RD @06 + 46240.50ns INFO [00046242] * RD COMPARE * port=0 adr=04 act=F9423271DB91CCBE8A exp=F9423271DB91CCBE8A + 46241.50ns INFO [00046243] * RD COMPARE * port=0 adr=06 act=6C2D1A751E8EDF8155 exp=6C2D1A751E8EDF8155 + 46241.50ns INFO [00046243] Port=0 WR @04=670FBA090CE2398BD9 + 46241.50ns INFO [00046243] Port=0 RD @01 + 46241.50ns INFO [00046243] Port=1 RD @01 + 46242.50ns INFO [00046244] Port=0 WR @07=E5B14E4B2AD294667A + 46242.50ns INFO [00046244] Port=0 RD @03 + 46243.50ns INFO [00046245] * RD COMPARE * port=0 adr=01 act=E37234DACBFDD5A0E5 exp=E37234DACBFDD5A0E5 + 46243.50ns INFO [00046245] * RD COMPARE * port=1 adr=01 act=E37234DACBFDD5A0E5 exp=E37234DACBFDD5A0E5 + 46244.50ns INFO [00046246] * RD COMPARE * port=0 adr=03 act=23C76DD1EBF3D5C9EF exp=23C76DD1EBF3D5C9EF + 46244.50ns INFO [00046246] Port=0 WR @02=B8282006FA4A5DD5E0 + 46246.50ns INFO [00046248] Port=0 RD @06 + 46247.50ns INFO [00046249] Port=0 WR @05=1FEC3FEC561C1B505A + 46247.50ns INFO [00046249] Port=1 RD @04 + 46248.50ns INFO [00046250] * RD COMPARE * port=0 adr=06 act=6C2D1A751E8EDF8155 exp=6C2D1A751E8EDF8155 + 46248.50ns INFO [00046250] Port=0 WR @06=21286D6D872B37CAD9 + 46248.50ns INFO [00046250] Port=1 RD @02 + 46249.50ns INFO [00046251] * RD COMPARE * port=1 adr=04 act=670FBA090CE2398BD9 exp=670FBA090CE2398BD9 + 46249.50ns INFO [00046251] Port=0 RD @03 + 46250.50ns INFO [00046252] * RD COMPARE * port=1 adr=02 act=B8282006FA4A5DD5E0 exp=B8282006FA4A5DD5E0 + 46250.50ns INFO [00046252] Port=1 RD @07 + 46251.50ns INFO [00046253] * RD COMPARE * port=0 adr=03 act=23C76DD1EBF3D5C9EF exp=23C76DD1EBF3D5C9EF + 46251.50ns INFO [00046253] Port=0 WR @00=BA127031F8FFD73473 + 46252.50ns INFO [00046254] * RD COMPARE * port=1 adr=07 act=E5B14E4B2AD294667A exp=E5B14E4B2AD294667A + 46252.50ns INFO [00046254] Port=0 WR @02=2B3E09889387A70C42 + 46254.50ns INFO [00046256] Port=1 RD @03 + 46255.50ns INFO [00046257] Port=0 WR @01=2D2928FD2F21BDB870 + 46255.50ns INFO [00046257] Port=0 RD @07 + 46255.50ns INFO [00046257] Port=1 RD @04 + 46256.50ns INFO [00046258] * RD COMPARE * port=1 adr=03 act=23C76DD1EBF3D5C9EF exp=23C76DD1EBF3D5C9EF + 46256.50ns INFO [00046258] Port=1 RD @03 + 46257.50ns INFO [00046259] * RD COMPARE * port=0 adr=07 act=E5B14E4B2AD294667A exp=E5B14E4B2AD294667A + 46257.50ns INFO [00046259] * RD COMPARE * port=1 adr=04 act=670FBA090CE2398BD9 exp=670FBA090CE2398BD9 + 46258.50ns INFO [00046260] * RD COMPARE * port=1 adr=03 act=23C76DD1EBF3D5C9EF exp=23C76DD1EBF3D5C9EF + 46258.50ns INFO [00046260] Port=0 WR @01=BE55FDAC314A11B23C + 46259.50ns INFO [00046261] Port=0 WR @07=1D993B8C6363CD00E7 + 46260.50ns INFO [00046262] Port=0 WR @04=1A6089CD4D955EA7E7 + 46260.50ns INFO [00046262] Port=0 RD @01 + 46260.50ns INFO [00046262] Port=1 RD @00 + 46262.50ns INFO [00046264] * RD COMPARE * port=0 adr=01 act=BE55FDAC314A11B23C exp=BE55FDAC314A11B23C + 46262.50ns INFO [00046264] * RD COMPARE * port=1 adr=00 act=BA127031F8FFD73473 exp=BA127031F8FFD73473 + 46262.50ns INFO [00046264] Port=0 RD @01 + 46263.50ns INFO [00046265] Port=0 RD @07 + 46264.50ns INFO [00046266] * RD COMPARE * port=0 adr=01 act=BE55FDAC314A11B23C exp=BE55FDAC314A11B23C + 46264.50ns INFO [00046266] Port=0 WR @06=B3CA1215287CFC1E46 + 46265.50ns INFO [00046267] * RD COMPARE * port=0 adr=07 act=1D993B8C6363CD00E7 exp=1D993B8C6363CD00E7 + 46265.50ns INFO [00046267] Port=0 WR @04=20B40F00C690E67507 + 46265.50ns INFO [00046267] Port=0 RD @02 + 46266.50ns INFO [00046268] Port=1 RD @01 + 46267.50ns INFO [00046269] * RD COMPARE * port=0 adr=02 act=2B3E09889387A70C42 exp=2B3E09889387A70C42 + 46268.50ns INFO [00046270] * RD COMPARE * port=1 adr=01 act=BE55FDAC314A11B23C exp=BE55FDAC314A11B23C + 46268.50ns INFO [00046270] Port=0 RD @04 + 46269.50ns INFO [00046271] Port=0 WR @05=7395FDD1E1B66EB9A3 + 46269.50ns INFO [00046271] Port=0 RD @00 + 46270.50ns INFO [00046272] * RD COMPARE * port=0 adr=04 act=20B40F00C690E67507 exp=20B40F00C690E67507 + 46270.50ns INFO [00046272] Port=1 RD @00 + 46271.50ns INFO [00046273] * RD COMPARE * port=0 adr=00 act=BA127031F8FFD73473 exp=BA127031F8FFD73473 + 46271.50ns INFO [00046273] Port=1 RD @02 + 46272.50ns INFO [00046274] * RD COMPARE * port=1 adr=00 act=BA127031F8FFD73473 exp=BA127031F8FFD73473 + 46273.50ns INFO [00046275] * RD COMPARE * port=1 adr=02 act=2B3E09889387A70C42 exp=2B3E09889387A70C42 + 46273.50ns INFO [00046275] Port=0 WR @06=9532B036B2A78F4121 + 46274.50ns INFO [00046276] Port=0 RD @00 + 46274.50ns INFO [00046276] Port=1 RD @02 + 46275.50ns INFO [00046277] Port=0 RD @02 + 46275.50ns INFO [00046277] Port=1 RD @07 + 46276.50ns INFO [00046278] * RD COMPARE * port=0 adr=00 act=BA127031F8FFD73473 exp=BA127031F8FFD73473 + 46276.50ns INFO [00046278] * RD COMPARE * port=1 adr=02 act=2B3E09889387A70C42 exp=2B3E09889387A70C42 + 46277.50ns INFO [00046279] * RD COMPARE * port=0 adr=02 act=2B3E09889387A70C42 exp=2B3E09889387A70C42 + 46277.50ns INFO [00046279] * RD COMPARE * port=1 adr=07 act=1D993B8C6363CD00E7 exp=1D993B8C6363CD00E7 + 46277.50ns INFO [00046279] Port=1 RD @06 + 46278.50ns INFO [00046280] Port=0 WR @02=10A915109FE22806E0 + 46279.50ns INFO [00046281] * RD COMPARE * port=1 adr=06 act=9532B036B2A78F4121 exp=9532B036B2A78F4121 + 46279.50ns INFO [00046281] Port=0 WR @03=764DB4F82DBD82DA50 + 46280.50ns INFO [00046282] Port=0 WR @02=540A79387F0F0E06E6 + 46280.50ns INFO [00046282] Port=0 RD @07 + 46281.50ns INFO [00046283] Port=0 RD @00 + 46281.50ns INFO [00046283] Port=1 RD @07 + 46282.50ns INFO [00046284] * RD COMPARE * port=0 adr=07 act=1D993B8C6363CD00E7 exp=1D993B8C6363CD00E7 + 46282.50ns INFO [00046284] Port=1 RD @06 + 46283.50ns INFO [00046285] * RD COMPARE * port=0 adr=00 act=BA127031F8FFD73473 exp=BA127031F8FFD73473 + 46283.50ns INFO [00046285] * RD COMPARE * port=1 adr=07 act=1D993B8C6363CD00E7 exp=1D993B8C6363CD00E7 + 46283.50ns INFO [00046285] Port=1 RD @06 + 46284.50ns INFO [00046286] * RD COMPARE * port=1 adr=06 act=9532B036B2A78F4121 exp=9532B036B2A78F4121 + 46284.50ns INFO [00046286] Port=0 RD @03 + 46285.50ns INFO [00046287] * RD COMPARE * port=1 adr=06 act=9532B036B2A78F4121 exp=9532B036B2A78F4121 + 46285.50ns INFO [00046287] Port=0 RD @02 + 46285.50ns INFO [00046287] Port=1 RD @00 + 46286.50ns INFO [00046288] * RD COMPARE * port=0 adr=03 act=764DB4F82DBD82DA50 exp=764DB4F82DBD82DA50 + 46286.50ns INFO [00046288] Port=1 RD @00 + 46287.50ns INFO [00046289] * RD COMPARE * port=0 adr=02 act=540A79387F0F0E06E6 exp=540A79387F0F0E06E6 + 46287.50ns INFO [00046289] * RD COMPARE * port=1 adr=00 act=BA127031F8FFD73473 exp=BA127031F8FFD73473 + 46287.50ns INFO [00046289] Port=0 WR @01=2ADC7543B11C5CA275 + 46287.50ns INFO [00046289] Port=1 RD @06 + 46288.50ns INFO [00046290] * RD COMPARE * port=1 adr=00 act=BA127031F8FFD73473 exp=BA127031F8FFD73473 + 46288.50ns INFO [00046290] Port=0 RD @05 + 46288.50ns INFO [00046290] Port=1 RD @00 + 46289.50ns INFO [00046291] * RD COMPARE * port=1 adr=06 act=9532B036B2A78F4121 exp=9532B036B2A78F4121 + 46289.50ns INFO [00046291] Port=0 RD @07 + 46289.50ns INFO [00046291] Port=1 RD @03 + 46290.50ns INFO [00046292] * RD COMPARE * port=0 adr=05 act=7395FDD1E1B66EB9A3 exp=7395FDD1E1B66EB9A3 + 46290.50ns INFO [00046292] * RD COMPARE * port=1 adr=00 act=BA127031F8FFD73473 exp=BA127031F8FFD73473 + 46291.50ns INFO [00046293] * RD COMPARE * port=0 adr=07 act=1D993B8C6363CD00E7 exp=1D993B8C6363CD00E7 + 46291.50ns INFO [00046293] * RD COMPARE * port=1 adr=03 act=764DB4F82DBD82DA50 exp=764DB4F82DBD82DA50 + 46291.50ns INFO [00046293] Port=0 WR @03=F6DD18AE70D4A63A59 + 46291.50ns INFO [00046293] Port=1 RD @07 + 46292.50ns INFO [00046294] Port=1 RD @06 + 46293.50ns INFO [00046295] * RD COMPARE * port=1 adr=07 act=1D993B8C6363CD00E7 exp=1D993B8C6363CD00E7 + 46293.50ns INFO [00046295] Port=0 WR @00=8493CDE4DD949AAF5E + 46293.50ns INFO [00046295] Port=0 RD @04 + 46294.50ns INFO [00046296] * RD COMPARE * port=1 adr=06 act=9532B036B2A78F4121 exp=9532B036B2A78F4121 + 46294.50ns INFO [00046296] Port=0 WR @05=845C2F3E482AED7AFE + 46294.50ns INFO [00046296] Port=0 RD @01 + 46294.50ns INFO [00046296] Port=1 RD @02 + 46295.50ns INFO [00046297] * RD COMPARE * port=0 adr=04 act=20B40F00C690E67507 exp=20B40F00C690E67507 + 46296.50ns INFO [00046298] * RD COMPARE * port=0 adr=01 act=2ADC7543B11C5CA275 exp=2ADC7543B11C5CA275 + 46296.50ns INFO [00046298] * RD COMPARE * port=1 adr=02 act=540A79387F0F0E06E6 exp=540A79387F0F0E06E6 + 46296.50ns INFO [00046298] Port=0 WR @00=F6C6423C3C5091E36C + 46296.50ns INFO [00046298] Port=0 RD @07 + 46296.50ns INFO [00046298] Port=1 RD @03 + 46297.50ns INFO [00046299] Port=0 RD @02 + 46297.50ns INFO [00046299] Port=1 RD @04 + 46298.00ns INFO [00046300] [00046300] ...tick... + 46298.50ns INFO [00046300] * RD COMPARE * port=0 adr=07 act=1D993B8C6363CD00E7 exp=1D993B8C6363CD00E7 + 46298.50ns INFO [00046300] * RD COMPARE * port=1 adr=03 act=F6DD18AE70D4A63A59 exp=F6DD18AE70D4A63A59 + 46298.50ns INFO [00046300] Port=0 WR @05=E9D4F442A0FA9A0889 + 46298.50ns INFO [00046300] Port=1 RD @04 + 46299.50ns INFO [00046301] * RD COMPARE * port=0 adr=02 act=540A79387F0F0E06E6 exp=540A79387F0F0E06E6 + 46299.50ns INFO [00046301] * RD COMPARE * port=1 adr=04 act=20B40F00C690E67507 exp=20B40F00C690E67507 + 46299.50ns INFO [00046301] Port=0 WR @07=A31762F1A44277B569 + 46299.50ns INFO [00046301] Port=0 RD @02 + 46300.50ns INFO [00046302] * RD COMPARE * port=1 adr=04 act=20B40F00C690E67507 exp=20B40F00C690E67507 + 46300.50ns INFO [00046302] Port=0 WR @06=D8A86C97760FF69AAF + 46301.50ns INFO [00046303] * RD COMPARE * port=0 adr=02 act=540A79387F0F0E06E6 exp=540A79387F0F0E06E6 + 46302.50ns INFO [00046304] Port=0 WR @04=812CCF546B4C48F2A6 + 46302.50ns INFO [00046304] Port=1 RD @00 + 46303.50ns INFO [00046305] Port=0 WR @03=40296862ADE504D949 + 46303.50ns INFO [00046305] Port=1 RD @01 + 46304.50ns INFO [00046306] * RD COMPARE * port=1 adr=00 act=F6C6423C3C5091E36C exp=F6C6423C3C5091E36C + 46304.50ns INFO [00046306] Port=0 RD @04 + 46305.50ns INFO [00046307] * RD COMPARE * port=1 adr=01 act=2ADC7543B11C5CA275 exp=2ADC7543B11C5CA275 + 46305.50ns INFO [00046307] Port=0 WR @06=B54363381BB0228D59 + 46306.50ns INFO [00046308] * RD COMPARE * port=0 adr=04 act=812CCF546B4C48F2A6 exp=812CCF546B4C48F2A6 + 46306.50ns INFO [00046308] Port=0 WR @00=6C4FF27744762F3D9F + 46307.50ns INFO [00046309] Port=0 WR @03=8549976DB91CBC21CE + 46307.50ns INFO [00046309] Port=0 RD @02 + 46308.50ns INFO [00046310] Port=0 WR @07=61FFF70715B5391B7A + 46309.50ns INFO [00046311] * RD COMPARE * port=0 adr=02 act=540A79387F0F0E06E6 exp=540A79387F0F0E06E6 + 46309.50ns INFO [00046311] Port=1 RD @00 + 46311.50ns INFO [00046313] * RD COMPARE * port=1 adr=00 act=6C4FF27744762F3D9F exp=6C4FF27744762F3D9F + 46311.50ns INFO [00046313] Port=1 RD @06 + 46312.50ns INFO [00046314] Port=0 RD @02 + 46313.50ns INFO [00046315] * RD COMPARE * port=1 adr=06 act=B54363381BB0228D59 exp=B54363381BB0228D59 + 46314.50ns INFO [00046316] * RD COMPARE * port=0 adr=02 act=540A79387F0F0E06E6 exp=540A79387F0F0E06E6 + 46316.50ns INFO [00046318] Port=0 WR @02=4A11F33A71DE7C5D54 + 46316.50ns INFO [00046318] Port=0 RD @07 + 46316.50ns INFO [00046318] Port=1 RD @07 + 46317.50ns INFO [00046319] Port=0 RD @01 + 46318.50ns INFO [00046320] * RD COMPARE * port=0 adr=07 act=61FFF70715B5391B7A exp=61FFF70715B5391B7A + 46318.50ns INFO [00046320] * RD COMPARE * port=1 adr=07 act=61FFF70715B5391B7A exp=61FFF70715B5391B7A + 46318.50ns INFO [00046320] Port=0 WR @02=C6CD406ADA67C12780 + 46319.50ns INFO [00046321] * RD COMPARE * port=0 adr=01 act=2ADC7543B11C5CA275 exp=2ADC7543B11C5CA275 + 46320.50ns INFO [00046322] Port=0 WR @02=70590A9FAF08ADE3E6 + 46321.50ns INFO [00046323] Port=0 RD @01 + 46321.50ns INFO [00046323] Port=1 RD @04 + 46322.50ns INFO [00046324] Port=0 WR @05=091298605A67DE4C3B + 46322.50ns INFO [00046324] Port=1 RD @04 + 46323.50ns INFO [00046325] * RD COMPARE * port=0 adr=01 act=2ADC7543B11C5CA275 exp=2ADC7543B11C5CA275 + 46323.50ns INFO [00046325] * RD COMPARE * port=1 adr=04 act=812CCF546B4C48F2A6 exp=812CCF546B4C48F2A6 + 46323.50ns INFO [00046325] Port=0 RD @00 + 46324.50ns INFO [00046326] * RD COMPARE * port=1 adr=04 act=812CCF546B4C48F2A6 exp=812CCF546B4C48F2A6 + 46324.50ns INFO [00046326] Port=0 WR @06=4FABA1AC87D2F6454A + 46324.50ns INFO [00046326] Port=1 RD @04 + 46325.50ns INFO [00046327] * RD COMPARE * port=0 adr=00 act=6C4FF27744762F3D9F exp=6C4FF27744762F3D9F + 46325.50ns INFO [00046327] Port=0 WR @04=4035ABA1C781774317 + 46326.50ns INFO [00046328] * RD COMPARE * port=1 adr=04 act=812CCF546B4C48F2A6 exp=812CCF546B4C48F2A6 + 46326.50ns INFO [00046328] Port=0 WR @02=47F571AA9700AB5451 + 46327.50ns INFO [00046329] Port=0 WR @07=1590901799C9D7FBB6 + 46327.50ns INFO [00046329] Port=0 RD @02 + 46327.50ns INFO [00046329] Port=1 RD @00 + 46328.50ns INFO [00046330] Port=0 WR @00=EDA845DBD6B538A4D4 + 46329.50ns INFO [00046331] * RD COMPARE * port=0 adr=02 act=47F571AA9700AB5451 exp=47F571AA9700AB5451 + 46329.50ns INFO [00046331] * RD COMPARE * port=1 adr=00 act=6C4FF27744762F3D9F exp=6C4FF27744762F3D9F + 46329.50ns INFO [00046331] Port=0 RD @04 + 46330.50ns INFO [00046332] Port=0 RD @01 + 46330.50ns INFO [00046332] Port=1 RD @04 + 46331.50ns INFO [00046333] * RD COMPARE * port=0 adr=04 act=4035ABA1C781774317 exp=4035ABA1C781774317 + 46332.50ns INFO [00046334] * RD COMPARE * port=0 adr=01 act=2ADC7543B11C5CA275 exp=2ADC7543B11C5CA275 + 46332.50ns INFO [00046334] * RD COMPARE * port=1 adr=04 act=4035ABA1C781774317 exp=4035ABA1C781774317 + 46333.50ns INFO [00046335] Port=0 WR @06=77E7AEEE6939C1097C + 46333.50ns INFO [00046335] Port=1 RD @03 + 46334.50ns INFO [00046336] Port=0 WR @02=2D479E97368A381211 + 46335.50ns INFO [00046337] * RD COMPARE * port=1 adr=03 act=8549976DB91CBC21CE exp=8549976DB91CBC21CE + 46335.50ns INFO [00046337] Port=0 WR @07=0ADF329A513318EF5C + 46335.50ns INFO [00046337] Port=1 RD @00 + 46337.50ns INFO [00046339] * RD COMPARE * port=1 adr=00 act=EDA845DBD6B538A4D4 exp=EDA845DBD6B538A4D4 + 46337.50ns INFO [00046339] Port=0 WR @00=32F822D14718CB7C19 + 46337.50ns INFO [00046339] Port=0 RD @07 + 46339.50ns INFO [00046341] * RD COMPARE * port=0 adr=07 act=0ADF329A513318EF5C exp=0ADF329A513318EF5C + 46339.50ns INFO [00046341] Port=0 WR @04=353468DFBEEF153901 + 46339.50ns INFO [00046341] Port=0 RD @03 + 46339.50ns INFO [00046341] Port=1 RD @06 + 46340.50ns INFO [00046342] Port=0 WR @04=35509420A9FE3F1C55 + 46340.50ns INFO [00046342] Port=1 RD @03 + 46341.50ns INFO [00046343] * RD COMPARE * port=0 adr=03 act=8549976DB91CBC21CE exp=8549976DB91CBC21CE + 46341.50ns INFO [00046343] * RD COMPARE * port=1 adr=06 act=77E7AEEE6939C1097C exp=77E7AEEE6939C1097C + 46341.50ns INFO [00046343] Port=0 RD @06 + 46342.50ns INFO [00046344] * RD COMPARE * port=1 adr=03 act=8549976DB91CBC21CE exp=8549976DB91CBC21CE + 46342.50ns INFO [00046344] Port=0 WR @01=8B0340F793882DC260 + 46343.50ns INFO [00046345] * RD COMPARE * port=0 adr=06 act=77E7AEEE6939C1097C exp=77E7AEEE6939C1097C + 46343.50ns INFO [00046345] Port=0 WR @05=99293133C0C95709CB + 46344.50ns INFO [00046346] Port=0 RD @05 + 46344.50ns INFO [00046346] Port=1 RD @03 + 46345.50ns INFO [00046347] Port=1 RD @02 + 46346.50ns INFO [00046348] * RD COMPARE * port=0 adr=05 act=99293133C0C95709CB exp=99293133C0C95709CB + 46346.50ns INFO [00046348] * RD COMPARE * port=1 adr=03 act=8549976DB91CBC21CE exp=8549976DB91CBC21CE + 46346.50ns INFO [00046348] Port=0 WR @01=DA2A0806CE796B9687 + 46346.50ns INFO [00046348] Port=0 RD @05 + 46347.50ns INFO [00046349] * RD COMPARE * port=1 adr=02 act=2D479E97368A381211 exp=2D479E97368A381211 + 46347.50ns INFO [00046349] Port=0 RD @03 + 46348.50ns INFO [00046350] * RD COMPARE * port=0 adr=05 act=99293133C0C95709CB exp=99293133C0C95709CB + 46348.50ns INFO [00046350] Port=0 RD @03 + 46349.50ns INFO [00046351] * RD COMPARE * port=0 adr=03 act=8549976DB91CBC21CE exp=8549976DB91CBC21CE + 46350.50ns INFO [00046352] * RD COMPARE * port=0 adr=03 act=8549976DB91CBC21CE exp=8549976DB91CBC21CE + 46350.50ns INFO [00046352] Port=0 RD @05 + 46350.50ns INFO [00046352] Port=1 RD @07 + 46352.50ns INFO [00046354] * RD COMPARE * port=0 adr=05 act=99293133C0C95709CB exp=99293133C0C95709CB + 46352.50ns INFO [00046354] * RD COMPARE * port=1 adr=07 act=0ADF329A513318EF5C exp=0ADF329A513318EF5C + 46352.50ns INFO [00046354] Port=0 WR @07=B4D94D314AAA11FC93 + 46352.50ns INFO [00046354] Port=1 RD @06 + 46353.50ns INFO [00046355] Port=0 WR @01=0016B259526D320902 + 46353.50ns INFO [00046355] Port=0 RD @00 + 46353.50ns INFO [00046355] Port=1 RD @05 + 46354.50ns INFO [00046356] * RD COMPARE * port=1 adr=06 act=77E7AEEE6939C1097C exp=77E7AEEE6939C1097C + 46354.50ns INFO [00046356] Port=1 RD @03 + 46355.50ns INFO [00046357] * RD COMPARE * port=0 adr=00 act=32F822D14718CB7C19 exp=32F822D14718CB7C19 + 46355.50ns INFO [00046357] * RD COMPARE * port=1 adr=05 act=99293133C0C95709CB exp=99293133C0C95709CB + 46356.50ns INFO [00046358] * RD COMPARE * port=1 adr=03 act=8549976DB91CBC21CE exp=8549976DB91CBC21CE + 46356.50ns INFO [00046358] Port=0 WR @04=90AEC5CD6EEEE755DF + 46356.50ns INFO [00046358] Port=0 RD @05 + 46356.50ns INFO [00046358] Port=1 RD @03 + 46358.50ns INFO [00046360] * RD COMPARE * port=0 adr=05 act=99293133C0C95709CB exp=99293133C0C95709CB + 46358.50ns INFO [00046360] * RD COMPARE * port=1 adr=03 act=8549976DB91CBC21CE exp=8549976DB91CBC21CE + 46358.50ns INFO [00046360] Port=0 WR @03=7CF70450CD32041B3D + 46358.50ns INFO [00046360] Port=0 RD @06 + 46358.50ns INFO [00046360] Port=1 RD @07 + 46359.50ns INFO [00046361] Port=0 WR @03=FBE5916C8E3B06A55F + 46359.50ns INFO [00046361] Port=0 RD @01 + 46360.50ns INFO [00046362] * RD COMPARE * port=0 adr=06 act=77E7AEEE6939C1097C exp=77E7AEEE6939C1097C + 46360.50ns INFO [00046362] * RD COMPARE * port=1 adr=07 act=B4D94D314AAA11FC93 exp=B4D94D314AAA11FC93 + 46360.50ns INFO [00046362] Port=0 RD @03 + 46361.50ns INFO [00046363] * RD COMPARE * port=0 adr=01 act=0016B259526D320902 exp=0016B259526D320902 + 46361.50ns INFO [00046363] Port=0 RD @07 + 46362.50ns INFO [00046364] * RD COMPARE * port=0 adr=03 act=FBE5916C8E3B06A55F exp=FBE5916C8E3B06A55F + 46362.50ns INFO [00046364] Port=0 WR @02=4FB1AFCD44B8D7C8A9 + 46362.50ns INFO [00046364] Port=1 RD @01 + 46363.50ns INFO [00046365] * RD COMPARE * port=0 adr=07 act=B4D94D314AAA11FC93 exp=B4D94D314AAA11FC93 + 46363.50ns INFO [00046365] Port=0 WR @01=1B286F213D0E513B24 + 46364.50ns INFO [00046366] * RD COMPARE * port=1 adr=01 act=0016B259526D320902 exp=0016B259526D320902 + 46364.50ns INFO [00046366] Port=0 RD @01 + 46365.50ns INFO [00046367] Port=0 WR @00=9DC1D8AD99C547678D + 46366.50ns INFO [00046368] * RD COMPARE * port=0 adr=01 act=1B286F213D0E513B24 exp=1B286F213D0E513B24 + 46367.50ns INFO [00046369] Port=0 WR @00=2AE0444013A0D9A6D4 + 46367.50ns INFO [00046369] Port=1 RD @04 + 46368.50ns INFO [00046370] Port=0 RD @04 + 46369.50ns INFO [00046371] * RD COMPARE * port=1 adr=04 act=90AEC5CD6EEEE755DF exp=90AEC5CD6EEEE755DF + 46369.50ns INFO [00046371] Port=0 RD @03 + 46370.50ns INFO [00046372] * RD COMPARE * port=0 adr=04 act=90AEC5CD6EEEE755DF exp=90AEC5CD6EEEE755DF + 46370.50ns INFO [00046372] Port=0 RD @07 + 46370.50ns INFO [00046372] Port=1 RD @07 + 46371.50ns INFO [00046373] * RD COMPARE * port=0 adr=03 act=FBE5916C8E3B06A55F exp=FBE5916C8E3B06A55F + 46371.50ns INFO [00046373] Port=0 WR @07=1BC6DF4A3D7B08419F + 46371.50ns INFO [00046373] Port=0 RD @01 + 46372.50ns INFO [00046374] * RD COMPARE * port=0 adr=07 act=B4D94D314AAA11FC93 exp=B4D94D314AAA11FC93 + 46372.50ns INFO [00046374] * RD COMPARE * port=1 adr=07 act=B4D94D314AAA11FC93 exp=B4D94D314AAA11FC93 + 46372.50ns INFO [00046374] Port=0 RD @00 + 46372.50ns INFO [00046374] Port=1 RD @00 + 46373.50ns INFO [00046375] * RD COMPARE * port=0 adr=01 act=1B286F213D0E513B24 exp=1B286F213D0E513B24 + 46373.50ns INFO [00046375] Port=0 WR @05=B4B8AAAA800411F758 + 46373.50ns INFO [00046375] Port=0 RD @04 + 46373.50ns INFO [00046375] Port=1 RD @04 + 46374.50ns INFO [00046376] * RD COMPARE * port=0 adr=00 act=2AE0444013A0D9A6D4 exp=2AE0444013A0D9A6D4 + 46374.50ns INFO [00046376] * RD COMPARE * port=1 adr=00 act=2AE0444013A0D9A6D4 exp=2AE0444013A0D9A6D4 + 46374.50ns INFO [00046376] Port=0 WR @07=7512FA12F3DB23C056 + 46374.50ns INFO [00046376] Port=0 RD @02 + 46375.50ns INFO [00046377] * RD COMPARE * port=0 adr=04 act=90AEC5CD6EEEE755DF exp=90AEC5CD6EEEE755DF + 46375.50ns INFO [00046377] * RD COMPARE * port=1 adr=04 act=90AEC5CD6EEEE755DF exp=90AEC5CD6EEEE755DF + 46375.50ns INFO [00046377] Port=0 RD @05 + 46375.50ns INFO [00046377] Port=1 RD @05 + 46376.50ns INFO [00046378] * RD COMPARE * port=0 adr=02 act=4FB1AFCD44B8D7C8A9 exp=4FB1AFCD44B8D7C8A9 + 46377.50ns INFO [00046379] * RD COMPARE * port=0 adr=05 act=B4B8AAAA800411F758 exp=B4B8AAAA800411F758 + 46377.50ns INFO [00046379] * RD COMPARE * port=1 adr=05 act=B4B8AAAA800411F758 exp=B4B8AAAA800411F758 + 46377.50ns INFO [00046379] Port=0 WR @05=574BADA47AE67A7AF9 + 46379.50ns INFO [00046381] Port=0 WR @03=3F17A7B6CEAF7A0FDD + 46380.50ns INFO [00046382] Port=0 RD @00 + 46380.50ns INFO [00046382] Port=1 RD @06 + 46381.50ns INFO [00046383] Port=0 RD @03 + 46382.50ns INFO [00046384] * RD COMPARE * port=0 adr=00 act=2AE0444013A0D9A6D4 exp=2AE0444013A0D9A6D4 + 46382.50ns INFO [00046384] * RD COMPARE * port=1 adr=06 act=77E7AEEE6939C1097C exp=77E7AEEE6939C1097C + 46382.50ns INFO [00046384] Port=0 WR @07=99E5C277B7FA0A4249 + 46383.50ns INFO [00046385] * RD COMPARE * port=0 adr=03 act=3F17A7B6CEAF7A0FDD exp=3F17A7B6CEAF7A0FDD + 46383.50ns INFO [00046385] Port=1 RD @00 + 46385.50ns INFO [00046387] * RD COMPARE * port=1 adr=00 act=2AE0444013A0D9A6D4 exp=2AE0444013A0D9A6D4 + 46388.50ns INFO [00046390] Port=0 WR @05=36C1DF183A33457DDF + 46388.50ns INFO [00046390] Port=0 RD @02 + 46389.50ns INFO [00046391] Port=0 WR @00=9FCC2236013D527B24 + 46389.50ns INFO [00046391] Port=1 RD @02 + 46390.50ns INFO [00046392] * RD COMPARE * port=0 adr=02 act=4FB1AFCD44B8D7C8A9 exp=4FB1AFCD44B8D7C8A9 + 46390.50ns INFO [00046392] Port=0 WR @07=3793150A651BFE3B2C + 46390.50ns INFO [00046392] Port=1 RD @01 + 46391.50ns INFO [00046393] * RD COMPARE * port=1 adr=02 act=4FB1AFCD44B8D7C8A9 exp=4FB1AFCD44B8D7C8A9 + 46391.50ns INFO [00046393] Port=0 RD @06 + 46392.50ns INFO [00046394] * RD COMPARE * port=1 adr=01 act=1B286F213D0E513B24 exp=1B286F213D0E513B24 + 46392.50ns INFO [00046394] Port=0 WR @04=7E14C98F01ACB1CB09 + 46393.50ns INFO [00046395] * RD COMPARE * port=0 adr=06 act=77E7AEEE6939C1097C exp=77E7AEEE6939C1097C + 46393.50ns INFO [00046395] Port=0 WR @00=8EE621D0F3BB0F283F + 46393.50ns INFO [00046395] Port=0 RD @03 + 46395.50ns INFO [00046397] * RD COMPARE * port=0 adr=03 act=3F17A7B6CEAF7A0FDD exp=3F17A7B6CEAF7A0FDD + 46395.50ns INFO [00046397] Port=0 WR @04=097ECAAF3DCB74CE76 + 46395.50ns INFO [00046397] Port=0 RD @05 + 46395.50ns INFO [00046397] Port=1 RD @01 + 46396.50ns INFO [00046398] Port=0 WR @05=C17A78A05F1847F2B2 + 46397.50ns INFO [00046399] * RD COMPARE * port=0 adr=05 act=36C1DF183A33457DDF exp=36C1DF183A33457DDF + 46397.50ns INFO [00046399] * RD COMPARE * port=1 adr=01 act=1B286F213D0E513B24 exp=1B286F213D0E513B24 + 46397.50ns INFO [00046399] Port=0 RD @04 + 46398.00ns INFO [00046400] [00046400] ...tick... + 46398.50ns INFO [00046400] Port=1 RD @01 + 46399.50ns INFO [00046401] * RD COMPARE * port=0 adr=04 act=097ECAAF3DCB74CE76 exp=097ECAAF3DCB74CE76 + 46400.50ns INFO [00046402] * RD COMPARE * port=1 adr=01 act=1B286F213D0E513B24 exp=1B286F213D0E513B24 + 46400.50ns INFO [00046402] Port=0 WR @00=82AD43C94F0E121C4E + 46400.50ns INFO [00046402] Port=0 RD @02 + 46401.50ns INFO [00046403] Port=0 WR @03=FF79DE948865DD0492 + 46401.50ns INFO [00046403] Port=0 RD @00 + 46401.50ns INFO [00046403] Port=1 RD @07 + 46402.50ns INFO [00046404] * RD COMPARE * port=0 adr=02 act=4FB1AFCD44B8D7C8A9 exp=4FB1AFCD44B8D7C8A9 + 46402.50ns INFO [00046404] Port=0 RD @04 + 46402.50ns INFO [00046404] Port=1 RD @05 + 46403.50ns INFO [00046405] * RD COMPARE * port=0 adr=00 act=82AD43C94F0E121C4E exp=82AD43C94F0E121C4E + 46403.50ns INFO [00046405] * RD COMPARE * port=1 adr=07 act=3793150A651BFE3B2C exp=3793150A651BFE3B2C + 46404.50ns INFO [00046406] * RD COMPARE * port=0 adr=04 act=097ECAAF3DCB74CE76 exp=097ECAAF3DCB74CE76 + 46404.50ns INFO [00046406] * RD COMPARE * port=1 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46405.50ns INFO [00046407] Port=0 WR @06=5C2ABF2373B4492089 + 46405.50ns INFO [00046407] Port=1 RD @01 + 46406.50ns INFO [00046408] Port=0 WR @00=A9334643A172EDB444 + 46406.50ns INFO [00046408] Port=1 RD @04 + 46407.50ns INFO [00046409] * RD COMPARE * port=1 adr=01 act=1B286F213D0E513B24 exp=1B286F213D0E513B24 + 46408.50ns INFO [00046410] * RD COMPARE * port=1 adr=04 act=097ECAAF3DCB74CE76 exp=097ECAAF3DCB74CE76 + 46409.50ns INFO [00046411] Port=0 RD @00 + 46410.50ns INFO [00046412] Port=0 WR @07=B6ED5475E5D547678B + 46410.50ns INFO [00046412] Port=0 RD @04 + 46411.50ns INFO [00046413] * RD COMPARE * port=0 adr=00 act=A9334643A172EDB444 exp=A9334643A172EDB444 + 46412.50ns INFO [00046414] * RD COMPARE * port=0 adr=04 act=097ECAAF3DCB74CE76 exp=097ECAAF3DCB74CE76 + 46412.50ns INFO [00046414] Port=0 RD @05 + 46414.50ns INFO [00046416] * RD COMPARE * port=0 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46415.50ns INFO [00046417] Port=0 WR @04=0452F149F92FDADE01 + 46415.50ns INFO [00046417] Port=0 RD @03 + 46416.50ns INFO [00046418] Port=1 RD @00 + 46417.50ns INFO [00046419] * RD COMPARE * port=0 adr=03 act=FF79DE948865DD0492 exp=FF79DE948865DD0492 + 46417.50ns INFO [00046419] Port=0 RD @00 + 46417.50ns INFO [00046419] Port=1 RD @07 + 46418.50ns INFO [00046420] * RD COMPARE * port=1 adr=00 act=A9334643A172EDB444 exp=A9334643A172EDB444 + 46418.50ns INFO [00046420] Port=0 WR @01=65DEBDACDE195F3723 + 46418.50ns INFO [00046420] Port=0 RD @05 + 46419.50ns INFO [00046421] * RD COMPARE * port=0 adr=00 act=A9334643A172EDB444 exp=A9334643A172EDB444 + 46419.50ns INFO [00046421] * RD COMPARE * port=1 adr=07 act=B6ED5475E5D547678B exp=B6ED5475E5D547678B + 46419.50ns INFO [00046421] Port=0 WR @01=290A7EF2265137E2E9 + 46419.50ns INFO [00046421] Port=0 RD @06 + 46420.50ns INFO [00046422] * RD COMPARE * port=0 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46420.50ns INFO [00046422] Port=1 RD @02 + 46421.50ns INFO [00046423] * RD COMPARE * port=0 adr=06 act=5C2ABF2373B4492089 exp=5C2ABF2373B4492089 + 46421.50ns INFO [00046423] Port=0 WR @03=2699AEB4072AC9AFF3 + 46421.50ns INFO [00046423] Port=1 RD @05 + 46422.50ns INFO [00046424] * RD COMPARE * port=1 adr=02 act=4FB1AFCD44B8D7C8A9 exp=4FB1AFCD44B8D7C8A9 + 46422.50ns INFO [00046424] Port=0 WR @07=C7CDB10DD240AB4B3C + 46422.50ns INFO [00046424] Port=0 RD @00 + 46422.50ns INFO [00046424] Port=1 RD @04 + 46423.50ns INFO [00046425] * RD COMPARE * port=1 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46423.50ns INFO [00046425] Port=0 RD @07 + 46424.50ns INFO [00046426] * RD COMPARE * port=0 adr=00 act=A9334643A172EDB444 exp=A9334643A172EDB444 + 46424.50ns INFO [00046426] * RD COMPARE * port=1 adr=04 act=0452F149F92FDADE01 exp=0452F149F92FDADE01 + 46424.50ns INFO [00046426] Port=0 RD @02 + 46425.50ns INFO [00046427] * RD COMPARE * port=0 adr=07 act=C7CDB10DD240AB4B3C exp=C7CDB10DD240AB4B3C + 46425.50ns INFO [00046427] Port=0 WR @03=4F22795E83E1D0C956 + 46426.50ns INFO [00046428] * RD COMPARE * port=0 adr=02 act=4FB1AFCD44B8D7C8A9 exp=4FB1AFCD44B8D7C8A9 + 46426.50ns INFO [00046428] Port=0 WR @01=624ECE3255B3F1A281 + 46427.50ns INFO [00046429] Port=0 WR @04=9B05CE28881D4E854A + 46428.50ns INFO [00046430] Port=0 WR @02=D3F7042C93D6FCA6C2 + 46429.50ns INFO [00046431] Port=1 RD @07 + 46430.50ns INFO [00046432] Port=0 WR @07=E89AF3DCCAE57136DB + 46430.50ns INFO [00046432] Port=1 RD @06 + 46431.50ns INFO [00046433] * RD COMPARE * port=1 adr=07 act=C7CDB10DD240AB4B3C exp=C7CDB10DD240AB4B3C + 46432.50ns INFO [00046434] * RD COMPARE * port=1 adr=06 act=5C2ABF2373B4492089 exp=5C2ABF2373B4492089 + 46432.50ns INFO [00046434] Port=0 RD @01 + 46432.50ns INFO [00046434] Port=1 RD @01 + 46433.50ns INFO [00046435] Port=1 RD @01 + 46434.50ns INFO [00046436] * RD COMPARE * port=0 adr=01 act=624ECE3255B3F1A281 exp=624ECE3255B3F1A281 + 46434.50ns INFO [00046436] * RD COMPARE * port=1 adr=01 act=624ECE3255B3F1A281 exp=624ECE3255B3F1A281 + 46434.50ns INFO [00046436] Port=1 RD @07 + 46435.50ns INFO [00046437] * RD COMPARE * port=1 adr=01 act=624ECE3255B3F1A281 exp=624ECE3255B3F1A281 + 46436.50ns INFO [00046438] * RD COMPARE * port=1 adr=07 act=E89AF3DCCAE57136DB exp=E89AF3DCCAE57136DB + 46437.50ns INFO [00046439] Port=0 RD @05 + 46438.50ns INFO [00046440] Port=0 RD @04 + 46439.50ns INFO [00046441] * RD COMPARE * port=0 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46439.50ns INFO [00046441] Port=0 RD @05 + 46440.50ns INFO [00046442] * RD COMPARE * port=0 adr=04 act=9B05CE28881D4E854A exp=9B05CE28881D4E854A + 46440.50ns INFO [00046442] Port=0 WR @02=A9138DDDB3E941A52C + 46440.50ns INFO [00046442] Port=0 RD @06 + 46441.50ns INFO [00046443] * RD COMPARE * port=0 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46441.50ns INFO [00046443] Port=0 RD @05 + 46442.50ns INFO [00046444] * RD COMPARE * port=0 adr=06 act=5C2ABF2373B4492089 exp=5C2ABF2373B4492089 + 46442.50ns INFO [00046444] Port=1 RD @02 + 46443.50ns INFO [00046445] * RD COMPARE * port=0 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46444.50ns INFO [00046446] * RD COMPARE * port=1 adr=02 act=A9138DDDB3E941A52C exp=A9138DDDB3E941A52C + 46444.50ns INFO [00046446] Port=1 RD @04 + 46445.50ns INFO [00046447] Port=0 RD @02 + 46445.50ns INFO [00046447] Port=1 RD @01 + 46446.50ns INFO [00046448] * RD COMPARE * port=1 adr=04 act=9B05CE28881D4E854A exp=9B05CE28881D4E854A + 46446.50ns INFO [00046448] Port=1 RD @03 + 46447.50ns INFO [00046449] * RD COMPARE * port=0 adr=02 act=A9138DDDB3E941A52C exp=A9138DDDB3E941A52C + 46447.50ns INFO [00046449] * RD COMPARE * port=1 adr=01 act=624ECE3255B3F1A281 exp=624ECE3255B3F1A281 + 46447.50ns INFO [00046449] Port=0 WR @06=EEAAD869C9A9D7518B + 46447.50ns INFO [00046449] Port=0 RD @05 + 46448.50ns INFO [00046450] * RD COMPARE * port=1 adr=03 act=4F22795E83E1D0C956 exp=4F22795E83E1D0C956 + 46448.50ns INFO [00046450] Port=0 RD @00 + 46449.50ns INFO [00046451] * RD COMPARE * port=0 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46449.50ns INFO [00046451] Port=1 RD @07 + 46450.50ns INFO [00046452] * RD COMPARE * port=0 adr=00 act=A9334643A172EDB444 exp=A9334643A172EDB444 + 46450.50ns INFO [00046452] Port=0 RD @04 + 46450.50ns INFO [00046452] Port=1 RD @04 + 46451.50ns INFO [00046453] * RD COMPARE * port=1 adr=07 act=E89AF3DCCAE57136DB exp=E89AF3DCCAE57136DB + 46452.50ns INFO [00046454] * RD COMPARE * port=0 adr=04 act=9B05CE28881D4E854A exp=9B05CE28881D4E854A + 46452.50ns INFO [00046454] * RD COMPARE * port=1 adr=04 act=9B05CE28881D4E854A exp=9B05CE28881D4E854A + 46452.50ns INFO [00046454] Port=0 RD @03 + 46453.50ns INFO [00046455] Port=0 WR @06=A62EE605887EAD73CB + 46453.50ns INFO [00046455] Port=0 RD @02 + 46454.50ns INFO [00046456] * RD COMPARE * port=0 adr=03 act=4F22795E83E1D0C956 exp=4F22795E83E1D0C956 + 46454.50ns INFO [00046456] Port=0 RD @07 + 46454.50ns INFO [00046456] Port=1 RD @07 + 46455.50ns INFO [00046457] * RD COMPARE * port=0 adr=02 act=A9138DDDB3E941A52C exp=A9138DDDB3E941A52C + 46455.50ns INFO [00046457] Port=0 WR @02=017CCCF0A8DDBEAD85 + 46456.50ns INFO [00046458] * RD COMPARE * port=0 adr=07 act=E89AF3DCCAE57136DB exp=E89AF3DCCAE57136DB + 46456.50ns INFO [00046458] * RD COMPARE * port=1 adr=07 act=E89AF3DCCAE57136DB exp=E89AF3DCCAE57136DB + 46456.50ns INFO [00046458] Port=0 WR @00=46DB598186D254DFB0 + 46456.50ns INFO [00046458] Port=0 RD @06 + 46456.50ns INFO [00046458] Port=1 RD @01 + 46458.50ns INFO [00046460] * RD COMPARE * port=0 adr=06 act=A62EE605887EAD73CB exp=A62EE605887EAD73CB + 46458.50ns INFO [00046460] * RD COMPARE * port=1 adr=01 act=624ECE3255B3F1A281 exp=624ECE3255B3F1A281 + 46458.50ns INFO [00046460] Port=0 WR @07=F4C7C1995C740F084C + 46461.50ns INFO [00046463] Port=1 RD @04 + 46463.50ns INFO [00046465] * RD COMPARE * port=1 adr=04 act=9B05CE28881D4E854A exp=9B05CE28881D4E854A + 46463.50ns INFO [00046465] Port=0 RD @06 + 46464.50ns INFO [00046466] Port=0 WR @02=BCC054433D619DA276 + 46464.50ns INFO [00046466] Port=1 RD @05 + 46465.50ns INFO [00046467] * RD COMPARE * port=0 adr=06 act=A62EE605887EAD73CB exp=A62EE605887EAD73CB + 46465.50ns INFO [00046467] Port=0 WR @07=342A1927A2A119F740 + 46465.50ns INFO [00046467] Port=0 RD @00 + 46465.50ns INFO [00046467] Port=1 RD @03 + 46466.50ns INFO [00046468] * RD COMPARE * port=1 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46466.50ns INFO [00046468] Port=0 WR @01=11B8E71FC8261687A6 + 46466.50ns INFO [00046468] Port=0 RD @05 + 46467.50ns INFO [00046469] * RD COMPARE * port=0 adr=00 act=46DB598186D254DFB0 exp=46DB598186D254DFB0 + 46467.50ns INFO [00046469] * RD COMPARE * port=1 adr=03 act=4F22795E83E1D0C956 exp=4F22795E83E1D0C956 + 46467.50ns INFO [00046469] Port=1 RD @03 + 46468.50ns INFO [00046470] * RD COMPARE * port=0 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46468.50ns INFO [00046470] Port=0 WR @02=8F175B9B31320F6110 + 46468.50ns INFO [00046470] Port=0 RD @00 + 46469.50ns INFO [00046471] * RD COMPARE * port=1 adr=03 act=4F22795E83E1D0C956 exp=4F22795E83E1D0C956 + 46469.50ns INFO [00046471] Port=0 RD @00 + 46470.50ns INFO [00046472] * RD COMPARE * port=0 adr=00 act=46DB598186D254DFB0 exp=46DB598186D254DFB0 + 46470.50ns INFO [00046472] Port=0 RD @05 + 46471.50ns INFO [00046473] * RD COMPARE * port=0 adr=00 act=46DB598186D254DFB0 exp=46DB598186D254DFB0 + 46471.50ns INFO [00046473] Port=0 RD @04 + 46471.50ns INFO [00046473] Port=1 RD @07 + 46472.50ns INFO [00046474] * RD COMPARE * port=0 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46473.50ns INFO [00046475] * RD COMPARE * port=0 adr=04 act=9B05CE28881D4E854A exp=9B05CE28881D4E854A + 46473.50ns INFO [00046475] * RD COMPARE * port=1 adr=07 act=342A1927A2A119F740 exp=342A1927A2A119F740 + 46473.50ns INFO [00046475] Port=0 RD @07 + 46475.50ns INFO [00046477] * RD COMPARE * port=0 adr=07 act=342A1927A2A119F740 exp=342A1927A2A119F740 + 46475.50ns INFO [00046477] Port=0 WR @07=BA7E7F3CBCB63670AB + 46475.50ns INFO [00046477] Port=1 RD @01 + 46476.50ns INFO [00046478] Port=0 WR @01=327AB4F4FCB16478EC + 46476.50ns INFO [00046478] Port=0 RD @04 + 46477.50ns INFO [00046479] * RD COMPARE * port=1 adr=01 act=11B8E71FC8261687A6 exp=11B8E71FC8261687A6 + 46477.50ns INFO [00046479] Port=0 WR @00=E331EE25C112E1E9F2 + 46477.50ns INFO [00046479] Port=0 RD @05 + 46478.50ns INFO [00046480] * RD COMPARE * port=0 adr=04 act=9B05CE28881D4E854A exp=9B05CE28881D4E854A + 46478.50ns INFO [00046480] Port=0 WR @02=4E3EE8E4DA0F4881C9 + 46479.50ns INFO [00046481] * RD COMPARE * port=0 adr=05 act=C17A78A05F1847F2B2 exp=C17A78A05F1847F2B2 + 46479.50ns INFO [00046481] Port=0 WR @05=EDC46C648533C9BDEE + 46480.50ns INFO [00046482] Port=0 WR @01=A313ABF9A9799BF838 + 46482.50ns INFO [00046484] Port=0 WR @05=F7A0DF588D7DAC056D + 46482.50ns INFO [00046484] Port=1 RD @01 + 46483.50ns INFO [00046485] Port=0 WR @04=BEDDF4E97018043077 + 46484.50ns INFO [00046486] * RD COMPARE * port=1 adr=01 act=A313ABF9A9799BF838 exp=A313ABF9A9799BF838 + 46484.50ns INFO [00046486] Port=0 WR @03=D700C35DBD100542E6 + 46484.50ns INFO [00046486] Port=0 RD @05 + 46485.50ns INFO [00046487] Port=0 RD @04 + 46486.50ns INFO [00046488] * RD COMPARE * port=0 adr=05 act=F7A0DF588D7DAC056D exp=F7A0DF588D7DAC056D + 46486.50ns INFO [00046488] Port=1 RD @06 + 46487.50ns INFO [00046489] * RD COMPARE * port=0 adr=04 act=BEDDF4E97018043077 exp=BEDDF4E97018043077 + 46487.50ns INFO [00046489] Port=0 WR @00=9B715A71570B398B53 + 46487.50ns INFO [00046489] Port=1 RD @03 + 46488.50ns INFO [00046490] * RD COMPARE * port=1 adr=06 act=A62EE605887EAD73CB exp=A62EE605887EAD73CB + 46489.50ns INFO [00046491] * RD COMPARE * port=1 adr=03 act=D700C35DBD100542E6 exp=D700C35DBD100542E6 + 46489.50ns INFO [00046491] Port=0 RD @03 + 46490.50ns INFO [00046492] Port=1 RD @07 + 46491.50ns INFO [00046493] * RD COMPARE * port=0 adr=03 act=D700C35DBD100542E6 exp=D700C35DBD100542E6 + 46492.50ns INFO [00046494] * RD COMPARE * port=1 adr=07 act=BA7E7F3CBCB63670AB exp=BA7E7F3CBCB63670AB + 46492.50ns INFO [00046494] Port=0 WR @04=FDCAA519395CF4CB9B + 46492.50ns INFO [00046494] Port=1 RD @00 + 46493.50ns INFO [00046495] Port=0 WR @05=001C46BEAF16B08A76 + 46493.50ns INFO [00046495] Port=1 RD @02 + 46494.50ns INFO [00046496] * RD COMPARE * port=1 adr=00 act=9B715A71570B398B53 exp=9B715A71570B398B53 + 46494.50ns INFO [00046496] Port=0 WR @01=66E26540D4835D6900 + 46494.50ns INFO [00046496] Port=0 RD @00 + 46495.50ns INFO [00046497] * RD COMPARE * port=1 adr=02 act=4E3EE8E4DA0F4881C9 exp=4E3EE8E4DA0F4881C9 + 46496.50ns INFO [00046498] * RD COMPARE * port=0 adr=00 act=9B715A71570B398B53 exp=9B715A71570B398B53 + 46497.50ns INFO [00046499] Port=0 RD @04 + 46497.50ns INFO [00046499] Port=1 RD @05 + 46498.00ns INFO [00046500] [00046500] ...tick... + 46498.50ns INFO [00046500] Port=1 RD @00 + 46499.50ns INFO [00046501] * RD COMPARE * port=0 adr=04 act=FDCAA519395CF4CB9B exp=FDCAA519395CF4CB9B + 46499.50ns INFO [00046501] * RD COMPARE * port=1 adr=05 act=001C46BEAF16B08A76 exp=001C46BEAF16B08A76 + 46500.50ns INFO [00046502] * RD COMPARE * port=1 adr=00 act=9B715A71570B398B53 exp=9B715A71570B398B53 + 46501.50ns INFO [00046503] Port=0 RD @03 + 46503.50ns INFO [00046505] * RD COMPARE * port=0 adr=03 act=D700C35DBD100542E6 exp=D700C35DBD100542E6 + 46503.50ns INFO [00046505] Port=0 RD @06 + 46505.50ns INFO [00046507] * RD COMPARE * port=0 adr=06 act=A62EE605887EAD73CB exp=A62EE605887EAD73CB + 46506.50ns INFO [00046508] Port=0 WR @06=35BE5BD2BF645C8209 + 46507.50ns INFO [00046509] Port=0 WR @07=AB56D4354E868D2F50 + 46507.50ns INFO [00046509] Port=0 RD @00 + 46508.50ns INFO [00046510] Port=0 WR @06=23BD726967D0EF6EBD + 46508.50ns INFO [00046510] Port=0 RD @02 + 46509.50ns INFO [00046511] * RD COMPARE * port=0 adr=00 act=9B715A71570B398B53 exp=9B715A71570B398B53 + 46509.50ns INFO [00046511] Port=0 WR @02=A5D511B6DD4AF9BCAB + 46509.50ns INFO [00046511] Port=0 RD @00 + 46510.50ns INFO [00046512] * RD COMPARE * port=0 adr=02 act=4E3EE8E4DA0F4881C9 exp=4E3EE8E4DA0F4881C9 + 46511.50ns INFO [00046513] * RD COMPARE * port=0 adr=00 act=9B715A71570B398B53 exp=9B715A71570B398B53 + 46511.50ns INFO [00046513] Port=0 RD @06 + 46511.50ns INFO [00046513] Port=1 RD @04 + 46512.50ns INFO [00046514] Port=1 RD @05 + 46513.50ns INFO [00046515] * RD COMPARE * port=0 adr=06 act=23BD726967D0EF6EBD exp=23BD726967D0EF6EBD + 46513.50ns INFO [00046515] * RD COMPARE * port=1 adr=04 act=FDCAA519395CF4CB9B exp=FDCAA519395CF4CB9B + 46513.50ns INFO [00046515] Port=0 WR @01=8DF4FFCB5BE36A7FB7 + 46514.50ns INFO [00046516] * RD COMPARE * port=1 adr=05 act=001C46BEAF16B08A76 exp=001C46BEAF16B08A76 + 46514.50ns INFO [00046516] Port=0 WR @06=C6083744528295C4A1 + 46514.50ns INFO [00046516] Port=0 RD @01 + 46516.50ns INFO [00046518] * RD COMPARE * port=0 adr=01 act=8DF4FFCB5BE36A7FB7 exp=8DF4FFCB5BE36A7FB7 + 46516.50ns INFO [00046518] Port=0 RD @06 + 46517.50ns INFO [00046519] Port=0 WR @05=B9FFEC469364063040 + 46517.50ns INFO [00046519] Port=1 RD @00 + 46518.50ns INFO [00046520] * RD COMPARE * port=0 adr=06 act=C6083744528295C4A1 exp=C6083744528295C4A1 + 46518.50ns INFO [00046520] Port=0 WR @07=B7458F6E4070805853 + 46518.50ns INFO [00046520] Port=1 RD @06 + 46519.50ns INFO [00046521] * RD COMPARE * port=1 adr=00 act=9B715A71570B398B53 exp=9B715A71570B398B53 + 46519.50ns INFO [00046521] Port=0 WR @07=14F92510780308844E + 46519.50ns INFO [00046521] Port=0 RD @02 + 46520.50ns INFO [00046522] * RD COMPARE * port=1 adr=06 act=C6083744528295C4A1 exp=C6083744528295C4A1 + 46520.50ns INFO [00046522] Port=0 WR @03=3F3BDB1EE42E4A32CA + 46520.50ns INFO [00046522] Port=0 RD @00 + 46521.50ns INFO [00046523] * RD COMPARE * port=0 adr=02 act=A5D511B6DD4AF9BCAB exp=A5D511B6DD4AF9BCAB + 46521.50ns INFO [00046523] Port=0 RD @01 + 46522.50ns INFO [00046524] * RD COMPARE * port=0 adr=00 act=9B715A71570B398B53 exp=9B715A71570B398B53 + 46522.50ns INFO [00046524] Port=0 WR @01=35FB3AF6703463B974 + 46522.50ns INFO [00046524] Port=0 RD @03 + 46522.50ns INFO [00046524] Port=1 RD @07 + 46523.50ns INFO [00046525] * RD COMPARE * port=0 adr=01 act=8DF4FFCB5BE36A7FB7 exp=8DF4FFCB5BE36A7FB7 + 46523.50ns INFO [00046525] Port=0 WR @05=6225C455E734FFB821 + 46523.50ns INFO [00046525] Port=1 RD @03 + 46524.50ns INFO [00046526] * RD COMPARE * port=0 adr=03 act=3F3BDB1EE42E4A32CA exp=3F3BDB1EE42E4A32CA + 46524.50ns INFO [00046526] * RD COMPARE * port=1 adr=07 act=14F92510780308844E exp=14F92510780308844E + 46524.50ns INFO [00046526] Port=0 WR @00=D3608A78A758541DEE + 46524.50ns INFO [00046526] Port=0 RD @05 + 46525.50ns INFO [00046527] * RD COMPARE * port=1 adr=03 act=3F3BDB1EE42E4A32CA exp=3F3BDB1EE42E4A32CA + 46526.50ns INFO [00046528] * RD COMPARE * port=0 adr=05 act=6225C455E734FFB821 exp=6225C455E734FFB821 + 46526.50ns INFO [00046528] Port=0 WR @07=BBA038F73CA8E4C7EF + 46527.50ns INFO [00046529] Port=0 WR @05=526BA8A3E88404C5AE + 46528.50ns INFO [00046530] Port=0 WR @03=E8AD47D892767BB20C + 46529.50ns INFO [00046531] Port=1 RD @03 + 46530.50ns INFO [00046532] Port=0 WR @03=65A65DEBF604B4FAE6 + 46530.50ns INFO [00046532] Port=0 RD @02 + 46530.50ns INFO [00046532] Port=1 RD @06 + 46531.50ns INFO [00046533] * RD COMPARE * port=1 adr=03 act=E8AD47D892767BB20C exp=E8AD47D892767BB20C + 46531.50ns INFO [00046533] Port=0 WR @02=F218F126F767AD1AA8 + 46531.50ns INFO [00046533] Port=0 RD @04 + 46531.50ns INFO [00046533] Port=1 RD @07 + 46532.50ns INFO [00046534] * RD COMPARE * port=0 adr=02 act=A5D511B6DD4AF9BCAB exp=A5D511B6DD4AF9BCAB + 46532.50ns INFO [00046534] * RD COMPARE * port=1 adr=06 act=C6083744528295C4A1 exp=C6083744528295C4A1 + 46532.50ns INFO [00046534] Port=0 WR @05=6A50E73EDB3819C110 + 46533.50ns INFO [00046535] * RD COMPARE * port=0 adr=04 act=FDCAA519395CF4CB9B exp=FDCAA519395CF4CB9B + 46533.50ns INFO [00046535] * RD COMPARE * port=1 adr=07 act=BBA038F73CA8E4C7EF exp=BBA038F73CA8E4C7EF + 46533.50ns INFO [00046535] Port=0 WR @06=552907FB5B214C66F6 + 46534.50ns INFO [00046536] Port=0 WR @02=D13C7229CB93CFDA06 + 46535.50ns INFO [00046537] Port=0 RD @05 + 46535.50ns INFO [00046537] Port=1 RD @03 + 46536.50ns INFO [00046538] Port=1 RD @07 + 46537.50ns INFO [00046539] * RD COMPARE * port=0 adr=05 act=6A50E73EDB3819C110 exp=6A50E73EDB3819C110 + 46537.50ns INFO [00046539] * RD COMPARE * port=1 adr=03 act=65A65DEBF604B4FAE6 exp=65A65DEBF604B4FAE6 + 46538.50ns INFO [00046540] * RD COMPARE * port=1 adr=07 act=BBA038F73CA8E4C7EF exp=BBA038F73CA8E4C7EF + 46538.50ns INFO [00046540] Port=0 WR @01=3E7B3A483F2164C5B2 + 46538.50ns INFO [00046540] Port=0 RD @05 + 46539.50ns INFO [00046541] Port=0 WR @07=59A282F00C779D3B7A + 46539.50ns INFO [00046541] Port=0 RD @01 + 46539.50ns INFO [00046541] Port=1 RD @04 + 46540.50ns INFO [00046542] * RD COMPARE * port=0 adr=05 act=6A50E73EDB3819C110 exp=6A50E73EDB3819C110 + 46540.50ns INFO [00046542] Port=0 WR @03=803D646F9086EC2CDF + 46540.50ns INFO [00046542] Port=1 RD @07 + 46541.50ns INFO [00046543] * RD COMPARE * port=0 adr=01 act=3E7B3A483F2164C5B2 exp=3E7B3A483F2164C5B2 + 46541.50ns INFO [00046543] * RD COMPARE * port=1 adr=04 act=FDCAA519395CF4CB9B exp=FDCAA519395CF4CB9B + 46541.50ns INFO [00046543] Port=0 WR @05=E37A8ECC42B07FB4F8 + 46541.50ns INFO [00046543] Port=0 RD @03 + 46541.50ns INFO [00046543] Port=1 RD @01 + 46542.50ns INFO [00046544] * RD COMPARE * port=1 adr=07 act=59A282F00C779D3B7A exp=59A282F00C779D3B7A + 46542.50ns INFO [00046544] Port=0 WR @07=01A89BD0F1A7B77C23 + 46543.50ns INFO [00046545] * RD COMPARE * port=0 adr=03 act=803D646F9086EC2CDF exp=803D646F9086EC2CDF + 46543.50ns INFO [00046545] * RD COMPARE * port=1 adr=01 act=3E7B3A483F2164C5B2 exp=3E7B3A483F2164C5B2 + 46544.50ns INFO [00046546] Port=1 RD @05 + 46545.50ns INFO [00046547] Port=0 RD @02 + 46546.50ns INFO [00046548] * RD COMPARE * port=1 adr=05 act=E37A8ECC42B07FB4F8 exp=E37A8ECC42B07FB4F8 + 46546.50ns INFO [00046548] Port=0 WR @07=C6C3A27922321E92F8 + 46546.50ns INFO [00046548] Port=1 RD @01 + 46547.50ns INFO [00046549] * RD COMPARE * port=0 adr=02 act=D13C7229CB93CFDA06 exp=D13C7229CB93CFDA06 + 46547.50ns INFO [00046549] Port=0 RD @06 + 46547.50ns INFO [00046549] Port=1 RD @04 + 46548.50ns INFO [00046550] * RD COMPARE * port=1 adr=01 act=3E7B3A483F2164C5B2 exp=3E7B3A483F2164C5B2 + 46548.50ns INFO [00046550] Port=0 RD @06 + 46549.50ns INFO [00046551] * RD COMPARE * port=0 adr=06 act=552907FB5B214C66F6 exp=552907FB5B214C66F6 + 46549.50ns INFO [00046551] * RD COMPARE * port=1 adr=04 act=FDCAA519395CF4CB9B exp=FDCAA519395CF4CB9B + 46549.50ns INFO [00046551] Port=0 RD @04 + 46549.50ns INFO [00046551] Port=1 RD @07 + 46550.50ns INFO [00046552] * RD COMPARE * port=0 adr=06 act=552907FB5B214C66F6 exp=552907FB5B214C66F6 + 46551.50ns INFO [00046553] * RD COMPARE * port=0 adr=04 act=FDCAA519395CF4CB9B exp=FDCAA519395CF4CB9B + 46551.50ns INFO [00046553] * RD COMPARE * port=1 adr=07 act=C6C3A27922321E92F8 exp=C6C3A27922321E92F8 + 46551.50ns INFO [00046553] Port=1 RD @05 + 46552.50ns INFO [00046554] Port=0 RD @05 + 46552.50ns INFO [00046554] Port=1 RD @02 + 46553.50ns INFO [00046555] * RD COMPARE * port=1 adr=05 act=E37A8ECC42B07FB4F8 exp=E37A8ECC42B07FB4F8 + 46553.50ns INFO [00046555] Port=1 RD @07 + 46554.50ns INFO [00046556] * RD COMPARE * port=0 adr=05 act=E37A8ECC42B07FB4F8 exp=E37A8ECC42B07FB4F8 + 46554.50ns INFO [00046556] * RD COMPARE * port=1 adr=02 act=D13C7229CB93CFDA06 exp=D13C7229CB93CFDA06 + 46554.50ns INFO [00046556] Port=0 RD @07 + 46554.50ns INFO [00046556] Port=1 RD @06 + 46555.50ns INFO [00046557] * RD COMPARE * port=1 adr=07 act=C6C3A27922321E92F8 exp=C6C3A27922321E92F8 + 46555.50ns INFO [00046557] Port=0 WR @07=5CE5B8216D5BA5DB04 + 46555.50ns INFO [00046557] Port=1 RD @05 + 46556.50ns INFO [00046558] * RD COMPARE * port=0 adr=07 act=C6C3A27922321E92F8 exp=C6C3A27922321E92F8 + 46556.50ns INFO [00046558] * RD COMPARE * port=1 adr=06 act=552907FB5B214C66F6 exp=552907FB5B214C66F6 + 46556.50ns INFO [00046558] Port=0 RD @04 + 46557.50ns INFO [00046559] * RD COMPARE * port=1 adr=05 act=E37A8ECC42B07FB4F8 exp=E37A8ECC42B07FB4F8 + 46557.50ns INFO [00046559] Port=1 RD @07 + 46558.50ns INFO [00046560] * RD COMPARE * port=0 adr=04 act=FDCAA519395CF4CB9B exp=FDCAA519395CF4CB9B + 46558.50ns INFO [00046560] Port=0 WR @05=FF3A5F630AB06987B2 + 46558.50ns INFO [00046560] Port=0 RD @00 + 46558.50ns INFO [00046560] Port=1 RD @00 + 46559.50ns INFO [00046561] * RD COMPARE * port=1 adr=07 act=5CE5B8216D5BA5DB04 exp=5CE5B8216D5BA5DB04 + 46559.50ns INFO [00046561] Port=0 WR @03=C40BA17F92966FE229 + 46559.50ns INFO [00046561] Port=0 RD @07 + 46559.50ns INFO [00046561] Port=1 RD @04 + 46560.50ns INFO [00046562] * RD COMPARE * port=0 adr=00 act=D3608A78A758541DEE exp=D3608A78A758541DEE + 46560.50ns INFO [00046562] * RD COMPARE * port=1 adr=00 act=D3608A78A758541DEE exp=D3608A78A758541DEE + 46560.50ns INFO [00046562] Port=1 RD @05 + 46561.50ns INFO [00046563] * RD COMPARE * port=0 adr=07 act=5CE5B8216D5BA5DB04 exp=5CE5B8216D5BA5DB04 + 46561.50ns INFO [00046563] * RD COMPARE * port=1 adr=04 act=FDCAA519395CF4CB9B exp=FDCAA519395CF4CB9B + 46561.50ns INFO [00046563] Port=0 WR @07=BDB0F1C38CF9974175 + 46562.50ns INFO [00046564] * RD COMPARE * port=1 adr=05 act=FF3A5F630AB06987B2 exp=FF3A5F630AB06987B2 + 46562.50ns INFO [00046564] Port=0 WR @02=E8F613686787BE5D5C + 46562.50ns INFO [00046564] Port=0 RD @03 + 46563.50ns INFO [00046565] Port=0 WR @04=65C14DAAA559AAF469 + 46564.50ns INFO [00046566] * RD COMPARE * port=0 adr=03 act=C40BA17F92966FE229 exp=C40BA17F92966FE229 + 46564.50ns INFO [00046566] Port=0 WR @02=A7F3B6DDD79D04AFE6 + 46567.50ns INFO [00046569] Port=0 RD @03 + 46568.50ns INFO [00046570] Port=0 WR @03=F88E1FF2787E35CBC3 + 46568.50ns INFO [00046570] Port=0 RD @01 + 46568.50ns INFO [00046570] Port=1 RD @02 + 46569.50ns INFO [00046571] * RD COMPARE * port=0 adr=03 act=C40BA17F92966FE229 exp=C40BA17F92966FE229 + 46569.50ns INFO [00046571] Port=0 RD @04 + 46570.50ns INFO [00046572] * RD COMPARE * port=0 adr=01 act=3E7B3A483F2164C5B2 exp=3E7B3A483F2164C5B2 + 46570.50ns INFO [00046572] * RD COMPARE * port=1 adr=02 act=A7F3B6DDD79D04AFE6 exp=A7F3B6DDD79D04AFE6 + 46570.50ns INFO [00046572] Port=1 RD @03 + 46571.50ns INFO [00046573] * RD COMPARE * port=0 adr=04 act=65C14DAAA559AAF469 exp=65C14DAAA559AAF469 + 46571.50ns INFO [00046573] Port=0 WR @06=E32DB7CB15B663CD57 + 46572.50ns INFO [00046574] * RD COMPARE * port=1 adr=03 act=F88E1FF2787E35CBC3 exp=F88E1FF2787E35CBC3 + 46572.50ns INFO [00046574] Port=0 WR @03=5113887F5F2551F749 + 46573.50ns INFO [00046575] Port=0 WR @04=9068F4CCC12CE16F3C + 46573.50ns INFO [00046575] Port=1 RD @01 + 46574.50ns INFO [00046576] Port=0 RD @01 + 46575.50ns INFO [00046577] * RD COMPARE * port=1 adr=01 act=3E7B3A483F2164C5B2 exp=3E7B3A483F2164C5B2 + 46575.50ns INFO [00046577] Port=0 WR @01=49DA61AEE5A2E3B73D + 46576.50ns INFO [00046578] * RD COMPARE * port=0 adr=01 act=3E7B3A483F2164C5B2 exp=3E7B3A483F2164C5B2 + 46576.50ns INFO [00046578] Port=0 WR @01=7CD9D97C8D67E7C384 + 46576.50ns INFO [00046578] Port=1 RD @02 + 46577.50ns INFO [00046579] Port=0 RD @07 + 46578.50ns INFO [00046580] * RD COMPARE * port=1 adr=02 act=A7F3B6DDD79D04AFE6 exp=A7F3B6DDD79D04AFE6 + 46578.50ns INFO [00046580] Port=0 WR @04=B513D5AF0FE6C8D626 + 46578.50ns INFO [00046580] Port=1 RD @01 + 46579.50ns INFO [00046581] * RD COMPARE * port=0 adr=07 act=BDB0F1C38CF9974175 exp=BDB0F1C38CF9974175 + 46579.50ns INFO [00046581] Port=1 RD @02 + 46580.50ns INFO [00046582] * RD COMPARE * port=1 adr=01 act=7CD9D97C8D67E7C384 exp=7CD9D97C8D67E7C384 + 46580.50ns INFO [00046582] Port=0 WR @05=3A48AD56BECFA953A3 + 46581.50ns INFO [00046583] * RD COMPARE * port=1 adr=02 act=A7F3B6DDD79D04AFE6 exp=A7F3B6DDD79D04AFE6 + 46581.50ns INFO [00046583] Port=0 RD @07 + 46582.50ns INFO [00046584] Port=0 RD @02 + 46583.50ns INFO [00046585] * RD COMPARE * port=0 adr=07 act=BDB0F1C38CF9974175 exp=BDB0F1C38CF9974175 + 46584.50ns INFO [00046586] * RD COMPARE * port=0 adr=02 act=A7F3B6DDD79D04AFE6 exp=A7F3B6DDD79D04AFE6 + 46584.50ns INFO [00046586] Port=0 RD @02 + 46584.50ns INFO [00046586] Port=1 RD @07 + 46585.50ns INFO [00046587] Port=0 WR @06=791270E044B752822B + 46585.50ns INFO [00046587] Port=1 RD @03 + 46586.50ns INFO [00046588] * RD COMPARE * port=0 adr=02 act=A7F3B6DDD79D04AFE6 exp=A7F3B6DDD79D04AFE6 + 46586.50ns INFO [00046588] * RD COMPARE * port=1 adr=07 act=BDB0F1C38CF9974175 exp=BDB0F1C38CF9974175 + 46587.50ns INFO [00046589] * RD COMPARE * port=1 adr=03 act=5113887F5F2551F749 exp=5113887F5F2551F749 + 46587.50ns INFO [00046589] Port=0 WR @07=32E2C4C51A13BD2272 + 46587.50ns INFO [00046589] Port=0 RD @05 + 46589.50ns INFO [00046591] * RD COMPARE * port=0 adr=05 act=3A48AD56BECFA953A3 exp=3A48AD56BECFA953A3 + 46590.50ns INFO [00046592] Port=0 WR @02=2EE8A8D30391DD7F84 + 46590.50ns INFO [00046592] Port=1 RD @00 + 46591.50ns INFO [00046593] Port=0 RD @03 + 46592.50ns INFO [00046594] * RD COMPARE * port=1 adr=00 act=D3608A78A758541DEE exp=D3608A78A758541DEE + 46593.50ns INFO [00046595] * RD COMPARE * port=0 adr=03 act=5113887F5F2551F749 exp=5113887F5F2551F749 + 46593.50ns INFO [00046595] Port=0 RD @07 + 46593.50ns INFO [00046595] Port=1 RD @07 + 46595.50ns INFO [00046597] * RD COMPARE * port=0 adr=07 act=32E2C4C51A13BD2272 exp=32E2C4C51A13BD2272 + 46595.50ns INFO [00046597] * RD COMPARE * port=1 adr=07 act=32E2C4C51A13BD2272 exp=32E2C4C51A13BD2272 + 46595.50ns INFO [00046597] Port=0 WR @02=6659F702A0339C8CAE + 46595.50ns INFO [00046597] Port=1 RD @04 + 46597.50ns INFO [00046599] * RD COMPARE * port=1 adr=04 act=B513D5AF0FE6C8D626 exp=B513D5AF0FE6C8D626 + 46597.50ns INFO [00046599] Port=0 WR @01=C2F6D1121AA1BD7A81 + 46597.50ns INFO [00046599] Port=0 RD @05 + 46597.50ns INFO [00046599] Port=1 RD @02 + 46598.00ns INFO [00046600] [00046600] ...tick... + 46598.50ns INFO [00046600] Port=0 WR @05=7966ED15956AFB6D92 + 46599.50ns INFO [00046601] * RD COMPARE * port=0 adr=05 act=3A48AD56BECFA953A3 exp=3A48AD56BECFA953A3 + 46599.50ns INFO [00046601] * RD COMPARE * port=1 adr=02 act=6659F702A0339C8CAE exp=6659F702A0339C8CAE + 46600.50ns INFO [00046602] Port=0 RD @00 + 46600.50ns INFO [00046602] Port=1 RD @02 + 46601.50ns INFO [00046603] Port=0 WR @02=824D7D65308BE140DF + 46602.50ns INFO [00046604] * RD COMPARE * port=0 adr=00 act=D3608A78A758541DEE exp=D3608A78A758541DEE + 46602.50ns INFO [00046604] * RD COMPARE * port=1 adr=02 act=6659F702A0339C8CAE exp=6659F702A0339C8CAE + 46602.50ns INFO [00046604] Port=1 RD @01 + 46603.50ns INFO [00046605] Port=0 WR @01=7436C23D2F49132C91 + 46603.50ns INFO [00046605] Port=1 RD @06 + 46604.50ns INFO [00046606] * RD COMPARE * port=1 adr=01 act=C2F6D1121AA1BD7A81 exp=C2F6D1121AA1BD7A81 + 46604.50ns INFO [00046606] Port=0 WR @02=7D2B0E45F53B42E2F2 + 46604.50ns INFO [00046606] Port=0 RD @05 + 46605.50ns INFO [00046607] * RD COMPARE * port=1 adr=06 act=791270E044B752822B exp=791270E044B752822B + 46605.50ns INFO [00046607] Port=0 WR @07=A252CEED5EE7A33E01 + 46606.50ns INFO [00046608] * RD COMPARE * port=0 adr=05 act=7966ED15956AFB6D92 exp=7966ED15956AFB6D92 + 46606.50ns INFO [00046608] Port=0 WR @02=DD65FC284DE715D20D + 46606.50ns INFO [00046608] Port=0 RD @05 + 46607.50ns INFO [00046609] Port=0 RD @06 + 46607.50ns INFO [00046609] Port=1 RD @02 + 46608.50ns INFO [00046610] * RD COMPARE * port=0 adr=05 act=7966ED15956AFB6D92 exp=7966ED15956AFB6D92 + 46608.50ns INFO [00046610] Port=0 RD @03 + 46609.50ns INFO [00046611] * RD COMPARE * port=0 adr=06 act=791270E044B752822B exp=791270E044B752822B + 46609.50ns INFO [00046611] * RD COMPARE * port=1 adr=02 act=DD65FC284DE715D20D exp=DD65FC284DE715D20D + 46609.50ns INFO [00046611] Port=0 WR @04=A25D9DF77701E58911 + 46609.50ns INFO [00046611] Port=1 RD @07 + 46610.50ns INFO [00046612] * RD COMPARE * port=0 adr=03 act=5113887F5F2551F749 exp=5113887F5F2551F749 + 46610.50ns INFO [00046612] Port=0 RD @05 + 46611.50ns INFO [00046613] * RD COMPARE * port=1 adr=07 act=A252CEED5EE7A33E01 exp=A252CEED5EE7A33E01 + 46611.50ns INFO [00046613] Port=0 WR @07=DD5238FDDC17E0464A + 46611.50ns INFO [00046613] Port=1 RD @02 + 46612.50ns INFO [00046614] * RD COMPARE * port=0 adr=05 act=7966ED15956AFB6D92 exp=7966ED15956AFB6D92 + 46612.50ns INFO [00046614] Port=0 WR @00=5DA18D5288FCDB6AF1 + 46612.50ns INFO [00046614] Port=1 RD @01 + 46613.50ns INFO [00046615] * RD COMPARE * port=1 adr=02 act=DD65FC284DE715D20D exp=DD65FC284DE715D20D + 46613.50ns INFO [00046615] Port=0 RD @00 + 46614.50ns INFO [00046616] * RD COMPARE * port=1 adr=01 act=7436C23D2F49132C91 exp=7436C23D2F49132C91 + 46614.50ns INFO [00046616] Port=0 WR @05=6EE3061175802197C7 + 46614.50ns INFO [00046616] Port=0 RD @04 + 46615.50ns INFO [00046617] * RD COMPARE * port=0 adr=00 act=5DA18D5288FCDB6AF1 exp=5DA18D5288FCDB6AF1 + 46615.50ns INFO [00046617] Port=0 WR @04=965F1FD583884477F5 + 46615.50ns INFO [00046617] Port=1 RD @05 + 46616.50ns INFO [00046618] * RD COMPARE * port=0 adr=04 act=A25D9DF77701E58911 exp=A25D9DF77701E58911 + 46617.50ns INFO [00046619] * RD COMPARE * port=1 adr=05 act=6EE3061175802197C7 exp=6EE3061175802197C7 + 46617.50ns INFO [00046619] Port=1 RD @02 + 46618.50ns INFO [00046620] Port=0 WR @04=57E8F32E79979A0278 + 46618.50ns INFO [00046620] Port=1 RD @01 + 46619.50ns INFO [00046621] * RD COMPARE * port=1 adr=02 act=DD65FC284DE715D20D exp=DD65FC284DE715D20D + 46619.50ns INFO [00046621] Port=0 RD @01 + 46619.50ns INFO [00046621] Port=1 RD @07 + 46620.50ns INFO [00046622] * RD COMPARE * port=1 adr=01 act=7436C23D2F49132C91 exp=7436C23D2F49132C91 + 46620.50ns INFO [00046622] Port=0 WR @04=02C9D6F335F8E75B95 + 46620.50ns INFO [00046622] Port=0 RD @07 + 46621.50ns INFO [00046623] * RD COMPARE * port=0 adr=01 act=7436C23D2F49132C91 exp=7436C23D2F49132C91 + 46621.50ns INFO [00046623] * RD COMPARE * port=1 adr=07 act=DD5238FDDC17E0464A exp=DD5238FDDC17E0464A + 46621.50ns INFO [00046623] Port=0 WR @00=CD7CB662DEFA5DF00E + 46621.50ns INFO [00046623] Port=1 RD @01 + 46622.50ns INFO [00046624] * RD COMPARE * port=0 adr=07 act=DD5238FDDC17E0464A exp=DD5238FDDC17E0464A + 46622.50ns INFO [00046624] Port=0 WR @07=52C11BA7CC34104A23 + 46622.50ns INFO [00046624] Port=1 RD @06 + 46623.50ns INFO [00046625] * RD COMPARE * port=1 adr=01 act=7436C23D2F49132C91 exp=7436C23D2F49132C91 + 46623.50ns INFO [00046625] Port=0 RD @02 + 46623.50ns INFO [00046625] Port=1 RD @03 + 46624.50ns INFO [00046626] * RD COMPARE * port=1 adr=06 act=791270E044B752822B exp=791270E044B752822B + 46624.50ns INFO [00046626] Port=0 WR @00=40A7F2DDA6BACA204E + 46624.50ns INFO [00046626] Port=1 RD @06 + 46625.50ns INFO [00046627] * RD COMPARE * port=0 adr=02 act=DD65FC284DE715D20D exp=DD65FC284DE715D20D + 46625.50ns INFO [00046627] * RD COMPARE * port=1 adr=03 act=5113887F5F2551F749 exp=5113887F5F2551F749 + 46626.50ns INFO [00046628] * RD COMPARE * port=1 adr=06 act=791270E044B752822B exp=791270E044B752822B + 46626.50ns INFO [00046628] Port=0 WR @01=6C349D01CEAFF97B95 + 46628.50ns INFO [00046630] Port=0 RD @02 + 46629.50ns INFO [00046631] Port=0 RD @07 + 46630.50ns INFO [00046632] * RD COMPARE * port=0 adr=02 act=DD65FC284DE715D20D exp=DD65FC284DE715D20D + 46630.50ns INFO [00046632] Port=0 RD @07 + 46631.50ns INFO [00046633] * RD COMPARE * port=0 adr=07 act=52C11BA7CC34104A23 exp=52C11BA7CC34104A23 + 46631.50ns INFO [00046633] Port=0 WR @03=1AF9DF42B5BE600CDB + 46631.50ns INFO [00046633] Port=0 RD @04 + 46631.50ns INFO [00046633] Port=1 RD @02 + 46632.50ns INFO [00046634] * RD COMPARE * port=0 adr=07 act=52C11BA7CC34104A23 exp=52C11BA7CC34104A23 + 46632.50ns INFO [00046634] Port=0 WR @05=355D2460D96703B27B + 46633.50ns INFO [00046635] * RD COMPARE * port=0 adr=04 act=02C9D6F335F8E75B95 exp=02C9D6F335F8E75B95 + 46633.50ns INFO [00046635] * RD COMPARE * port=1 adr=02 act=DD65FC284DE715D20D exp=DD65FC284DE715D20D + 46635.50ns INFO [00046637] Port=1 RD @06 + 46636.50ns INFO [00046638] Port=0 RD @02 + 46637.50ns INFO [00046639] * RD COMPARE * port=1 adr=06 act=791270E044B752822B exp=791270E044B752822B + 46637.50ns INFO [00046639] Port=1 RD @02 + 46638.50ns INFO [00046640] * RD COMPARE * port=0 adr=02 act=DD65FC284DE715D20D exp=DD65FC284DE715D20D + 46638.50ns INFO [00046640] Port=0 RD @00 + 46639.50ns INFO [00046641] * RD COMPARE * port=1 adr=02 act=DD65FC284DE715D20D exp=DD65FC284DE715D20D + 46639.50ns INFO [00046641] Port=0 WR @03=7B5296E9786423D66D + 46639.50ns INFO [00046641] Port=0 RD @05 + 46639.50ns INFO [00046641] Port=1 RD @07 + 46640.50ns INFO [00046642] * RD COMPARE * port=0 adr=00 act=40A7F2DDA6BACA204E exp=40A7F2DDA6BACA204E + 46640.50ns INFO [00046642] Port=0 WR @04=AF229610DEE3A64E69 + 46641.50ns INFO [00046643] * RD COMPARE * port=0 adr=05 act=355D2460D96703B27B exp=355D2460D96703B27B + 46641.50ns INFO [00046643] * RD COMPARE * port=1 adr=07 act=52C11BA7CC34104A23 exp=52C11BA7CC34104A23 + 46641.50ns INFO [00046643] Port=1 RD @02 + 46642.50ns INFO [00046644] Port=0 RD @00 + 46642.50ns INFO [00046644] Port=1 RD @07 + 46643.50ns INFO [00046645] * RD COMPARE * port=1 adr=02 act=DD65FC284DE715D20D exp=DD65FC284DE715D20D + 46644.50ns INFO [00046646] * RD COMPARE * port=0 adr=00 act=40A7F2DDA6BACA204E exp=40A7F2DDA6BACA204E + 46644.50ns INFO [00046646] * RD COMPARE * port=1 adr=07 act=52C11BA7CC34104A23 exp=52C11BA7CC34104A23 + 46645.50ns INFO [00046647] Port=0 RD @04 + 46646.50ns INFO [00046648] Port=0 RD @02 + 46647.50ns INFO [00046649] * RD COMPARE * port=0 adr=04 act=AF229610DEE3A64E69 exp=AF229610DEE3A64E69 + 46648.50ns INFO [00046650] * RD COMPARE * port=0 adr=02 act=DD65FC284DE715D20D exp=DD65FC284DE715D20D + 46648.50ns INFO [00046650] Port=1 RD @07 + 46649.50ns INFO [00046651] Port=0 WR @05=CD0AA37CED4C1EDB73 + 46649.50ns INFO [00046651] Port=1 RD @07 + 46650.50ns INFO [00046652] * RD COMPARE * port=1 adr=07 act=52C11BA7CC34104A23 exp=52C11BA7CC34104A23 + 46650.50ns INFO [00046652] Port=0 WR @02=021FA8358CD2801158 + 46651.50ns INFO [00046653] * RD COMPARE * port=1 adr=07 act=52C11BA7CC34104A23 exp=52C11BA7CC34104A23 + 46651.50ns INFO [00046653] Port=1 RD @03 + 46652.50ns INFO [00046654] Port=0 WR @07=B3E83EC977D3200F39 + 46652.50ns INFO [00046654] Port=1 RD @02 + 46653.50ns INFO [00046655] * RD COMPARE * port=1 adr=03 act=7B5296E9786423D66D exp=7B5296E9786423D66D + 46653.50ns INFO [00046655] Port=0 WR @03=F81FFFF2C074BC991D + 46654.50ns INFO [00046656] * RD COMPARE * port=1 adr=02 act=021FA8358CD2801158 exp=021FA8358CD2801158 + 46654.50ns INFO [00046656] Port=0 RD @04 + 46655.50ns INFO [00046657] Port=0 WR @02=CB785B3E6962B7AED1 + 46655.50ns INFO [00046657] Port=1 RD @06 + 46656.50ns INFO [00046658] * RD COMPARE * port=0 adr=04 act=AF229610DEE3A64E69 exp=AF229610DEE3A64E69 + 46656.50ns INFO [00046658] Port=0 RD @04 + 46656.50ns INFO [00046658] Port=1 RD @02 + 46657.50ns INFO [00046659] * RD COMPARE * port=1 adr=06 act=791270E044B752822B exp=791270E044B752822B + 46657.50ns INFO [00046659] Port=0 WR @03=2674A76FD5AFADDD6F + 46658.50ns INFO [00046660] * RD COMPARE * port=0 adr=04 act=AF229610DEE3A64E69 exp=AF229610DEE3A64E69 + 46658.50ns INFO [00046660] * RD COMPARE * port=1 adr=02 act=CB785B3E6962B7AED1 exp=CB785B3E6962B7AED1 + 46658.50ns INFO [00046660] Port=0 WR @05=F54B9B9A4B0CB59154 + 46658.50ns INFO [00046660] Port=0 RD @06 + 46660.50ns INFO [00046662] * RD COMPARE * port=0 adr=06 act=791270E044B752822B exp=791270E044B752822B + 46660.50ns INFO [00046662] Port=0 WR @07=2CE83B203EF3267321 + 46660.50ns INFO [00046662] Port=1 RD @05 + 46661.50ns INFO [00046663] Port=0 WR @05=F8CAF748B269A35E10 + 46662.50ns INFO [00046664] * RD COMPARE * port=1 adr=05 act=F54B9B9A4B0CB59154 exp=F54B9B9A4B0CB59154 + 46663.50ns INFO [00046665] Port=1 RD @03 + 46665.50ns INFO [00046667] * RD COMPARE * port=1 adr=03 act=2674A76FD5AFADDD6F exp=2674A76FD5AFADDD6F + 46665.50ns INFO [00046667] Port=0 WR @01=D0FE9AE3928696AA0D + 46665.50ns INFO [00046667] Port=1 RD @04 + 46666.50ns INFO [00046668] Port=0 RD @05 + 46667.50ns INFO [00046669] * RD COMPARE * port=1 adr=04 act=AF229610DEE3A64E69 exp=AF229610DEE3A64E69 + 46667.50ns INFO [00046669] Port=0 RD @07 + 46667.50ns INFO [00046669] Port=1 RD @02 + 46668.50ns INFO [00046670] * RD COMPARE * port=0 adr=05 act=F8CAF748B269A35E10 exp=F8CAF748B269A35E10 + 46668.50ns INFO [00046670] Port=0 RD @06 + 46669.50ns INFO [00046671] * RD COMPARE * port=0 adr=07 act=2CE83B203EF3267321 exp=2CE83B203EF3267321 + 46669.50ns INFO [00046671] * RD COMPARE * port=1 adr=02 act=CB785B3E6962B7AED1 exp=CB785B3E6962B7AED1 + 46670.50ns INFO [00046672] * RD COMPARE * port=0 adr=06 act=791270E044B752822B exp=791270E044B752822B + 46670.50ns INFO [00046672] Port=0 WR @01=79C45A36CF7010FB85 + 46670.50ns INFO [00046672] Port=1 RD @00 + 46671.50ns INFO [00046673] Port=0 RD @01 + 46671.50ns INFO [00046673] Port=1 RD @07 + 46672.50ns INFO [00046674] * RD COMPARE * port=1 adr=00 act=40A7F2DDA6BACA204E exp=40A7F2DDA6BACA204E + 46672.50ns INFO [00046674] Port=0 WR @04=A461A900803F2B8D27 + 46672.50ns INFO [00046674] Port=0 RD @03 + 46673.50ns INFO [00046675] * RD COMPARE * port=0 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46673.50ns INFO [00046675] * RD COMPARE * port=1 adr=07 act=2CE83B203EF3267321 exp=2CE83B203EF3267321 + 46674.50ns INFO [00046676] * RD COMPARE * port=0 adr=03 act=2674A76FD5AFADDD6F exp=2674A76FD5AFADDD6F + 46675.50ns INFO [00046677] Port=0 WR @04=DFF9202231E5733941 + 46676.50ns INFO [00046678] Port=1 RD @03 + 46677.50ns INFO [00046679] Port=0 WR @05=325A6BE31236A06A22 + 46678.50ns INFO [00046680] * RD COMPARE * port=1 adr=03 act=2674A76FD5AFADDD6F exp=2674A76FD5AFADDD6F + 46678.50ns INFO [00046680] Port=0 WR @02=7E32BC170669EB6EDC + 46678.50ns INFO [00046680] Port=0 RD @04 + 46679.50ns INFO [00046681] Port=1 RD @06 + 46680.50ns INFO [00046682] * RD COMPARE * port=0 adr=04 act=DFF9202231E5733941 exp=DFF9202231E5733941 + 46680.50ns INFO [00046682] Port=0 WR @02=22F68803CB8F7936AD + 46681.50ns INFO [00046683] * RD COMPARE * port=1 adr=06 act=791270E044B752822B exp=791270E044B752822B + 46681.50ns INFO [00046683] Port=0 WR @07=C35E470FC644C07E13 + 46681.50ns INFO [00046683] Port=0 RD @00 + 46682.50ns INFO [00046684] Port=0 RD @00 + 46682.50ns INFO [00046684] Port=1 RD @01 + 46683.50ns INFO [00046685] * RD COMPARE * port=0 adr=00 act=40A7F2DDA6BACA204E exp=40A7F2DDA6BACA204E + 46683.50ns INFO [00046685] Port=0 WR @02=EB2ABB7D7B3D857140 + 46683.50ns INFO [00046685] Port=0 RD @06 + 46684.50ns INFO [00046686] * RD COMPARE * port=0 adr=00 act=40A7F2DDA6BACA204E exp=40A7F2DDA6BACA204E + 46684.50ns INFO [00046686] * RD COMPARE * port=1 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46684.50ns INFO [00046686] Port=1 RD @00 + 46685.50ns INFO [00046687] * RD COMPARE * port=0 adr=06 act=791270E044B752822B exp=791270E044B752822B + 46685.50ns INFO [00046687] Port=0 RD @03 + 46686.50ns INFO [00046688] * RD COMPARE * port=1 adr=00 act=40A7F2DDA6BACA204E exp=40A7F2DDA6BACA204E + 46686.50ns INFO [00046688] Port=0 RD @04 + 46687.50ns INFO [00046689] * RD COMPARE * port=0 adr=03 act=2674A76FD5AFADDD6F exp=2674A76FD5AFADDD6F + 46688.50ns INFO [00046690] * RD COMPARE * port=0 adr=04 act=DFF9202231E5733941 exp=DFF9202231E5733941 + 46689.50ns INFO [00046691] Port=0 WR @02=D59645AB85F8BEFE3E + 46690.50ns INFO [00046692] Port=0 RD @05 + 46690.50ns INFO [00046692] Port=1 RD @04 + 46692.50ns INFO [00046694] * RD COMPARE * port=0 adr=05 act=325A6BE31236A06A22 exp=325A6BE31236A06A22 + 46692.50ns INFO [00046694] * RD COMPARE * port=1 adr=04 act=DFF9202231E5733941 exp=DFF9202231E5733941 + 46692.50ns INFO [00046694] Port=0 RD @01 + 46693.50ns INFO [00046695] Port=1 RD @01 + 46694.50ns INFO [00046696] * RD COMPARE * port=0 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46694.50ns INFO [00046696] Port=0 WR @02=275F13A84455451862 + 46694.50ns INFO [00046696] Port=0 RD @01 + 46694.50ns INFO [00046696] Port=1 RD @01 + 46695.50ns INFO [00046697] * RD COMPARE * port=1 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46695.50ns INFO [00046697] Port=0 WR @00=77BF82E0491C4A49AD + 46696.50ns INFO [00046698] * RD COMPARE * port=0 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46696.50ns INFO [00046698] * RD COMPARE * port=1 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46696.50ns INFO [00046698] Port=0 RD @01 + 46697.50ns INFO [00046699] Port=0 WR @02=317AB51AF0908C0306 + 46697.50ns INFO [00046699] Port=1 RD @04 + 46698.00ns INFO [00046700] [00046700] ...tick... + 46698.50ns INFO [00046700] * RD COMPARE * port=0 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46698.50ns INFO [00046700] Port=1 RD @05 + 46699.50ns INFO [00046701] * RD COMPARE * port=1 adr=04 act=DFF9202231E5733941 exp=DFF9202231E5733941 + 46700.50ns INFO [00046702] * RD COMPARE * port=1 adr=05 act=325A6BE31236A06A22 exp=325A6BE31236A06A22 + 46700.50ns INFO [00046702] Port=0 RD @01 + 46700.50ns INFO [00046702] Port=1 RD @03 + 46701.50ns INFO [00046703] Port=0 WR @00=C6599E8FB16D9EE7B8 + 46702.50ns INFO [00046704] * RD COMPARE * port=0 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46702.50ns INFO [00046704] * RD COMPARE * port=1 adr=03 act=2674A76FD5AFADDD6F exp=2674A76FD5AFADDD6F + 46702.50ns INFO [00046704] Port=0 RD @01 + 46704.50ns INFO [00046706] * RD COMPARE * port=0 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46704.50ns INFO [00046706] Port=1 RD @01 + 46705.50ns INFO [00046707] Port=0 RD @02 + 46705.50ns INFO [00046707] Port=1 RD @00 + 46706.50ns INFO [00046708] * RD COMPARE * port=1 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46706.50ns INFO [00046708] Port=0 WR @04=D647D0AF97C9831010 + 46706.50ns INFO [00046708] Port=0 RD @03 + 46707.50ns INFO [00046709] * RD COMPARE * port=0 adr=02 act=317AB51AF0908C0306 exp=317AB51AF0908C0306 + 46707.50ns INFO [00046709] * RD COMPARE * port=1 adr=00 act=C6599E8FB16D9EE7B8 exp=C6599E8FB16D9EE7B8 + 46707.50ns INFO [00046709] Port=0 RD @03 + 46708.50ns INFO [00046710] * RD COMPARE * port=0 adr=03 act=2674A76FD5AFADDD6F exp=2674A76FD5AFADDD6F + 46709.50ns INFO [00046711] * RD COMPARE * port=0 adr=03 act=2674A76FD5AFADDD6F exp=2674A76FD5AFADDD6F + 46710.50ns INFO [00046712] Port=0 RD @01 + 46711.50ns INFO [00046713] Port=1 RD @04 + 46712.50ns INFO [00046714] * RD COMPARE * port=0 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46713.50ns INFO [00046715] * RD COMPARE * port=1 adr=04 act=D647D0AF97C9831010 exp=D647D0AF97C9831010 + 46713.50ns INFO [00046715] Port=0 WR @00=7708980316D44DB90B + 46714.50ns INFO [00046716] Port=0 RD @05 + 46714.50ns INFO [00046716] Port=1 RD @01 + 46715.50ns INFO [00046717] Port=1 RD @00 + 46716.50ns INFO [00046718] * RD COMPARE * port=0 adr=05 act=325A6BE31236A06A22 exp=325A6BE31236A06A22 + 46716.50ns INFO [00046718] * RD COMPARE * port=1 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46717.50ns INFO [00046719] * RD COMPARE * port=1 adr=00 act=7708980316D44DB90B exp=7708980316D44DB90B + 46718.50ns INFO [00046720] Port=1 RD @07 + 46719.50ns INFO [00046721] Port=0 RD @01 + 46720.50ns INFO [00046722] * RD COMPARE * port=1 adr=07 act=C35E470FC644C07E13 exp=C35E470FC644C07E13 + 46720.50ns INFO [00046722] Port=0 RD @07 + 46721.50ns INFO [00046723] * RD COMPARE * port=0 adr=01 act=79C45A36CF7010FB85 exp=79C45A36CF7010FB85 + 46721.50ns INFO [00046723] Port=0 WR @02=1FD5B6AF6014F7C592 + 46721.50ns INFO [00046723] Port=0 RD @04 + 46722.50ns INFO [00046724] * RD COMPARE * port=0 adr=07 act=C35E470FC644C07E13 exp=C35E470FC644C07E13 + 46723.50ns INFO [00046725] * RD COMPARE * port=0 adr=04 act=D647D0AF97C9831010 exp=D647D0AF97C9831010 + 46723.50ns INFO [00046725] Port=1 RD @03 + 46724.50ns INFO [00046726] Port=0 WR @04=3671711B49EB51ABD1 + 46725.50ns INFO [00046727] * RD COMPARE * port=1 adr=03 act=2674A76FD5AFADDD6F exp=2674A76FD5AFADDD6F + 46725.50ns INFO [00046727] Port=0 WR @05=14E561C7D2A3B88B93 + 46725.50ns INFO [00046727] Port=0 RD @07 + 46725.50ns INFO [00046727] Port=1 RD @07 + 46727.50ns INFO [00046729] * RD COMPARE * port=0 adr=07 act=C35E470FC644C07E13 exp=C35E470FC644C07E13 + 46727.50ns INFO [00046729] * RD COMPARE * port=1 adr=07 act=C35E470FC644C07E13 exp=C35E470FC644C07E13 + 46727.50ns INFO [00046729] Port=0 WR @06=D2C8F6E50BF890D93E + 46728.50ns INFO [00046730] Port=0 WR @01=9C3DAF2C97AC8D90AD + 46728.50ns INFO [00046730] Port=1 RD @06 + 46729.50ns INFO [00046731] Port=0 RD @03 + 46730.50ns INFO [00046732] * RD COMPARE * port=1 adr=06 act=D2C8F6E50BF890D93E exp=D2C8F6E50BF890D93E + 46731.50ns INFO [00046733] * RD COMPARE * port=0 adr=03 act=2674A76FD5AFADDD6F exp=2674A76FD5AFADDD6F + 46731.50ns INFO [00046733] Port=1 RD @02 + 46732.50ns INFO [00046734] Port=0 WR @06=F2995F6D9B9F6EFFD0 + 46732.50ns INFO [00046734] Port=0 RD @02 + 46733.50ns INFO [00046735] * RD COMPARE * port=1 adr=02 act=1FD5B6AF6014F7C592 exp=1FD5B6AF6014F7C592 + 46734.50ns INFO [00046736] * RD COMPARE * port=0 adr=02 act=1FD5B6AF6014F7C592 exp=1FD5B6AF6014F7C592 + 46734.50ns INFO [00046736] Port=0 WR @00=D20628D48184DCC598 + 46735.50ns INFO [00046737] Port=0 RD @00 + 46736.50ns INFO [00046738] Port=0 WR @07=7FDF14B63AA96C411C + 46736.50ns INFO [00046738] Port=1 RD @05 + 46737.50ns INFO [00046739] * RD COMPARE * port=0 adr=00 act=D20628D48184DCC598 exp=D20628D48184DCC598 + 46737.50ns INFO [00046739] Port=1 RD @05 + 46738.50ns INFO [00046740] * RD COMPARE * port=1 adr=05 act=14E561C7D2A3B88B93 exp=14E561C7D2A3B88B93 + 46738.50ns INFO [00046740] Port=1 RD @02 + 46739.50ns INFO [00046741] * RD COMPARE * port=1 adr=05 act=14E561C7D2A3B88B93 exp=14E561C7D2A3B88B93 + 46740.50ns INFO [00046742] * RD COMPARE * port=1 adr=02 act=1FD5B6AF6014F7C592 exp=1FD5B6AF6014F7C592 + 46740.50ns INFO [00046742] Port=0 WR @00=389959D9901F8A45F0 + 46740.50ns INFO [00046742] Port=0 RD @06 + 46741.50ns INFO [00046743] Port=0 WR @03=1C5504B6BE1CE13D1E + 46742.50ns INFO [00046744] * RD COMPARE * port=0 adr=06 act=F2995F6D9B9F6EFFD0 exp=F2995F6D9B9F6EFFD0 + 46742.50ns INFO [00046744] Port=0 WR @01=84218056EF7D365AE5 + 46742.50ns INFO [00046744] Port=0 RD @06 + 46743.50ns INFO [00046745] Port=0 WR @06=509443B9BE518D03BB + 46743.50ns INFO [00046745] Port=1 RD @01 + 46744.50ns INFO [00046746] * RD COMPARE * port=0 adr=06 act=F2995F6D9B9F6EFFD0 exp=F2995F6D9B9F6EFFD0 + 46744.50ns INFO [00046746] Port=0 RD @02 + 46745.50ns INFO [00046747] * RD COMPARE * port=1 adr=01 act=84218056EF7D365AE5 exp=84218056EF7D365AE5 + 46745.50ns INFO [00046747] Port=0 WR @03=E525B48DDB9602FFFB + 46745.50ns INFO [00046747] Port=0 RD @00 + 46745.50ns INFO [00046747] Port=1 RD @07 + 46746.50ns INFO [00046748] * RD COMPARE * port=0 adr=02 act=1FD5B6AF6014F7C592 exp=1FD5B6AF6014F7C592 + 46746.50ns INFO [00046748] Port=0 WR @03=9B4D7335BBB161DA91 + 46746.50ns INFO [00046748] Port=1 RD @04 + 46747.50ns INFO [00046749] * RD COMPARE * port=0 adr=00 act=389959D9901F8A45F0 exp=389959D9901F8A45F0 + 46747.50ns INFO [00046749] * RD COMPARE * port=1 adr=07 act=7FDF14B63AA96C411C exp=7FDF14B63AA96C411C + 46747.50ns INFO [00046749] Port=1 RD @02 + 46748.50ns INFO [00046750] * RD COMPARE * port=1 adr=04 act=3671711B49EB51ABD1 exp=3671711B49EB51ABD1 + 46748.50ns INFO [00046750] Port=0 WR @04=9073F930EBB35B7482 + 46748.50ns INFO [00046750] Port=0 RD @06 + 46749.50ns INFO [00046751] * RD COMPARE * port=1 adr=02 act=1FD5B6AF6014F7C592 exp=1FD5B6AF6014F7C592 + 46749.50ns INFO [00046751] Port=1 RD @05 + 46750.50ns INFO [00046752] * RD COMPARE * port=0 adr=06 act=509443B9BE518D03BB exp=509443B9BE518D03BB + 46750.50ns INFO [00046752] Port=1 RD @03 + 46751.50ns INFO [00046753] * RD COMPARE * port=1 adr=05 act=14E561C7D2A3B88B93 exp=14E561C7D2A3B88B93 + 46751.50ns INFO [00046753] Port=0 RD @06 + 46751.50ns INFO [00046753] Port=1 RD @05 + 46752.50ns INFO [00046754] * RD COMPARE * port=1 adr=03 act=9B4D7335BBB161DA91 exp=9B4D7335BBB161DA91 + 46752.50ns INFO [00046754] Port=0 RD @03 + 46753.50ns INFO [00046755] * RD COMPARE * port=0 adr=06 act=509443B9BE518D03BB exp=509443B9BE518D03BB + 46753.50ns INFO [00046755] * RD COMPARE * port=1 adr=05 act=14E561C7D2A3B88B93 exp=14E561C7D2A3B88B93 + 46753.50ns INFO [00046755] Port=0 RD @04 + 46754.50ns INFO [00046756] * RD COMPARE * port=0 adr=03 act=9B4D7335BBB161DA91 exp=9B4D7335BBB161DA91 + 46754.50ns INFO [00046756] Port=0 WR @02=8F6DD33835078E4282 + 46754.50ns INFO [00046756] Port=1 RD @01 + 46755.50ns INFO [00046757] * RD COMPARE * port=0 adr=04 act=9073F930EBB35B7482 exp=9073F930EBB35B7482 + 46756.50ns INFO [00046758] * RD COMPARE * port=1 adr=01 act=84218056EF7D365AE5 exp=84218056EF7D365AE5 + 46756.50ns INFO [00046758] Port=0 RD @02 + 46757.50ns INFO [00046759] Port=0 WR @06=3ACCA49454B8D5C39A + 46757.50ns INFO [00046759] Port=0 RD @04 + 46757.50ns INFO [00046759] Port=1 RD @00 + 46758.50ns INFO [00046760] * RD COMPARE * port=0 adr=02 act=8F6DD33835078E4282 exp=8F6DD33835078E4282 + 46758.50ns INFO [00046760] Port=0 WR @05=8EA0632CBC22B1F063 + 46758.50ns INFO [00046760] Port=0 RD @06 + 46758.50ns INFO [00046760] Port=1 RD @06 + 46759.50ns INFO [00046761] * RD COMPARE * port=0 adr=04 act=9073F930EBB35B7482 exp=9073F930EBB35B7482 + 46759.50ns INFO [00046761] * RD COMPARE * port=1 adr=00 act=389959D9901F8A45F0 exp=389959D9901F8A45F0 + 46759.50ns INFO [00046761] Port=0 RD @03 + 46760.50ns INFO [00046762] * RD COMPARE * port=0 adr=06 act=3ACCA49454B8D5C39A exp=3ACCA49454B8D5C39A + 46760.50ns INFO [00046762] * RD COMPARE * port=1 adr=06 act=3ACCA49454B8D5C39A exp=3ACCA49454B8D5C39A + 46761.50ns INFO [00046763] * RD COMPARE * port=0 adr=03 act=9B4D7335BBB161DA91 exp=9B4D7335BBB161DA91 + 46761.50ns INFO [00046763] Port=0 RD @06 + 46763.50ns INFO [00046765] * RD COMPARE * port=0 adr=06 act=3ACCA49454B8D5C39A exp=3ACCA49454B8D5C39A + 46763.50ns INFO [00046765] Port=0 RD @02 + 46764.50ns INFO [00046766] Port=1 RD @06 + 46765.50ns INFO [00046767] * RD COMPARE * port=0 adr=02 act=8F6DD33835078E4282 exp=8F6DD33835078E4282 + 46766.50ns INFO [00046768] * RD COMPARE * port=1 adr=06 act=3ACCA49454B8D5C39A exp=3ACCA49454B8D5C39A + 46766.50ns INFO [00046768] Port=0 WR @06=C56DE39F50C5E4C955 + 46766.50ns INFO [00046768] Port=1 RD @03 + 46767.50ns INFO [00046769] Port=0 RD @02 + 46767.50ns INFO [00046769] Port=1 RD @04 + 46768.50ns INFO [00046770] * RD COMPARE * port=1 adr=03 act=9B4D7335BBB161DA91 exp=9B4D7335BBB161DA91 + 46768.50ns INFO [00046770] Port=0 RD @03 + 46769.50ns INFO [00046771] * RD COMPARE * port=0 adr=02 act=8F6DD33835078E4282 exp=8F6DD33835078E4282 + 46769.50ns INFO [00046771] * RD COMPARE * port=1 adr=04 act=9073F930EBB35B7482 exp=9073F930EBB35B7482 + 46769.50ns INFO [00046771] Port=0 RD @01 + 46770.50ns INFO [00046772] * RD COMPARE * port=0 adr=03 act=9B4D7335BBB161DA91 exp=9B4D7335BBB161DA91 + 46770.50ns INFO [00046772] Port=0 RD @03 + 46771.50ns INFO [00046773] * RD COMPARE * port=0 adr=01 act=84218056EF7D365AE5 exp=84218056EF7D365AE5 + 46771.50ns INFO [00046773] Port=0 RD @01 + 46771.50ns INFO [00046773] Port=1 RD @04 + 46772.50ns INFO [00046774] * RD COMPARE * port=0 adr=03 act=9B4D7335BBB161DA91 exp=9B4D7335BBB161DA91 + 46772.50ns INFO [00046774] Port=0 WR @06=ECC75BB2544B669D72 + 46773.50ns INFO [00046775] * RD COMPARE * port=0 adr=01 act=84218056EF7D365AE5 exp=84218056EF7D365AE5 + 46773.50ns INFO [00046775] * RD COMPARE * port=1 adr=04 act=9073F930EBB35B7482 exp=9073F930EBB35B7482 + 46773.50ns INFO [00046775] Port=0 WR @00=888A1A4A9B6EFCD39D + 46774.50ns INFO [00046776] Port=0 RD @02 + 46775.50ns INFO [00046777] Port=0 RD @00 + 46775.50ns INFO [00046777] Port=1 RD @07 + 46776.50ns INFO [00046778] * RD COMPARE * port=0 adr=02 act=8F6DD33835078E4282 exp=8F6DD33835078E4282 + 46777.50ns INFO [00046779] * RD COMPARE * port=0 adr=00 act=888A1A4A9B6EFCD39D exp=888A1A4A9B6EFCD39D + 46777.50ns INFO [00046779] * RD COMPARE * port=1 adr=07 act=7FDF14B63AA96C411C exp=7FDF14B63AA96C411C + 46777.50ns INFO [00046779] Port=0 WR @04=1C6BF68823816E9F5C + 46778.50ns INFO [00046780] Port=0 WR @06=9610686DF682B13419 + 46778.50ns INFO [00046780] Port=1 RD @03 + 46779.50ns INFO [00046781] Port=1 RD @03 + 46780.50ns INFO [00046782] * RD COMPARE * port=1 adr=03 act=9B4D7335BBB161DA91 exp=9B4D7335BBB161DA91 + 46780.50ns INFO [00046782] Port=0 WR @04=B8A83D519CAD3E2521 + 46781.50ns INFO [00046783] * RD COMPARE * port=1 adr=03 act=9B4D7335BBB161DA91 exp=9B4D7335BBB161DA91 + 46781.50ns INFO [00046783] Port=1 RD @05 + 46783.50ns INFO [00046785] * RD COMPARE * port=1 adr=05 act=8EA0632CBC22B1F063 exp=8EA0632CBC22B1F063 + 46783.50ns INFO [00046785] Port=0 WR @07=3B8D565AF3E1D3DF1D + 46783.50ns INFO [00046785] Port=0 RD @00 + 46784.50ns INFO [00046786] Port=1 RD @01 + 46785.50ns INFO [00046787] * RD COMPARE * port=0 adr=00 act=888A1A4A9B6EFCD39D exp=888A1A4A9B6EFCD39D + 46786.50ns INFO [00046788] * RD COMPARE * port=1 adr=01 act=84218056EF7D365AE5 exp=84218056EF7D365AE5 + 46786.50ns INFO [00046788] Port=0 WR @00=A8CAC761735435ED63 + 46786.50ns INFO [00046788] Port=0 RD @02 + 46786.50ns INFO [00046788] Port=1 RD @05 + 46787.50ns INFO [00046789] Port=0 WR @01=019572C439BB8BDFFF + 46787.50ns INFO [00046789] Port=0 RD @02 + 46787.50ns INFO [00046789] Port=1 RD @07 + 46788.50ns INFO [00046790] * RD COMPARE * port=0 adr=02 act=8F6DD33835078E4282 exp=8F6DD33835078E4282 + 46788.50ns INFO [00046790] * RD COMPARE * port=1 adr=05 act=8EA0632CBC22B1F063 exp=8EA0632CBC22B1F063 + 46788.50ns INFO [00046790] Port=0 RD @07 + 46789.50ns INFO [00046791] * RD COMPARE * port=0 adr=02 act=8F6DD33835078E4282 exp=8F6DD33835078E4282 + 46789.50ns INFO [00046791] * RD COMPARE * port=1 adr=07 act=3B8D565AF3E1D3DF1D exp=3B8D565AF3E1D3DF1D + 46789.50ns INFO [00046791] Port=1 RD @07 + 46790.50ns INFO [00046792] * RD COMPARE * port=0 adr=07 act=3B8D565AF3E1D3DF1D exp=3B8D565AF3E1D3DF1D + 46790.50ns INFO [00046792] Port=0 WR @04=920D5B0EE2FB2315AD + 46791.50ns INFO [00046793] * RD COMPARE * port=1 adr=07 act=3B8D565AF3E1D3DF1D exp=3B8D565AF3E1D3DF1D + 46791.50ns INFO [00046793] Port=0 RD @05 + 46791.50ns INFO [00046793] Port=1 RD @05 + 46792.50ns INFO [00046794] Port=0 WR @01=6D204BE21F2188FEAE + 46793.50ns INFO [00046795] * RD COMPARE * port=0 adr=05 act=8EA0632CBC22B1F063 exp=8EA0632CBC22B1F063 + 46793.50ns INFO [00046795] * RD COMPARE * port=1 adr=05 act=8EA0632CBC22B1F063 exp=8EA0632CBC22B1F063 + 46794.50ns INFO [00046796] Port=0 WR @06=C55F1E675745656DE7 + 46794.50ns INFO [00046796] Port=0 RD @07 + 46796.50ns INFO [00046798] * RD COMPARE * port=0 adr=07 act=3B8D565AF3E1D3DF1D exp=3B8D565AF3E1D3DF1D + 46796.50ns INFO [00046798] Port=1 RD @04 + 46798.00ns INFO [00046800] [00046800] ...tick... + 46798.50ns INFO [00046800] * RD COMPARE * port=1 adr=04 act=920D5B0EE2FB2315AD exp=920D5B0EE2FB2315AD + 46798.50ns INFO [00046800] Port=0 WR @00=14C901979B6DCA7088 + 46799.50ns INFO [00046801] Port=0 WR @03=0CD1B7936B80EEB6AA + 46799.50ns INFO [00046801] Port=0 RD @01 + 46799.50ns INFO [00046801] Port=1 RD @06 + 46800.50ns INFO [00046802] Port=0 WR @00=534831CE2C533C2981 + 46801.50ns INFO [00046803] * RD COMPARE * port=0 adr=01 act=6D204BE21F2188FEAE exp=6D204BE21F2188FEAE + 46801.50ns INFO [00046803] * RD COMPARE * port=1 adr=06 act=C55F1E675745656DE7 exp=C55F1E675745656DE7 + 46801.50ns INFO [00046803] Port=0 WR @02=30D22CD278B78E7CBB + 46802.50ns INFO [00046804] Port=0 WR @00=E42C136B3B5EF77955 + 46802.50ns INFO [00046804] Port=0 RD @04 + 46802.50ns INFO [00046804] Port=1 RD @04 + 46803.50ns INFO [00046805] Port=0 WR @06=F7967CF1AD80936A52 + 46803.50ns INFO [00046805] Port=1 RD @05 + 46804.50ns INFO [00046806] * RD COMPARE * port=0 adr=04 act=920D5B0EE2FB2315AD exp=920D5B0EE2FB2315AD + 46804.50ns INFO [00046806] * RD COMPARE * port=1 adr=04 act=920D5B0EE2FB2315AD exp=920D5B0EE2FB2315AD + 46804.50ns INFO [00046806] Port=0 WR @03=FF3D07DF8607CB4D6F + 46805.50ns INFO [00046807] * RD COMPARE * port=1 adr=05 act=8EA0632CBC22B1F063 exp=8EA0632CBC22B1F063 + 46805.50ns INFO [00046807] Port=0 WR @00=41AEC8607E3B5C7C4B + 46805.50ns INFO [00046807] Port=0 RD @04 + 46806.50ns INFO [00046808] Port=0 WR @07=28FA56660F81376076 + 46806.50ns INFO [00046808] Port=0 RD @05 + 46807.50ns INFO [00046809] * RD COMPARE * port=0 adr=04 act=920D5B0EE2FB2315AD exp=920D5B0EE2FB2315AD + 46808.50ns INFO [00046810] * RD COMPARE * port=0 adr=05 act=8EA0632CBC22B1F063 exp=8EA0632CBC22B1F063 + 46809.50ns INFO [00046811] Port=0 RD @06 + 46809.50ns INFO [00046811] Port=1 RD @01 + 46810.50ns INFO [00046812] Port=0 RD @06 + 46811.50ns INFO [00046813] * RD COMPARE * port=0 adr=06 act=F7967CF1AD80936A52 exp=F7967CF1AD80936A52 + 46811.50ns INFO [00046813] * RD COMPARE * port=1 adr=01 act=6D204BE21F2188FEAE exp=6D204BE21F2188FEAE + 46811.50ns INFO [00046813] Port=0 WR @04=A4B8D23F45AF3B4850 + 46812.50ns INFO [00046814] * RD COMPARE * port=0 adr=06 act=F7967CF1AD80936A52 exp=F7967CF1AD80936A52 + 46812.50ns INFO [00046814] Port=0 RD @02 + 46814.50ns INFO [00046816] * RD COMPARE * port=0 adr=02 act=30D22CD278B78E7CBB exp=30D22CD278B78E7CBB + 46815.50ns INFO [00046817] Port=0 RD @03 + 46816.50ns INFO [00046818] Port=0 WR @06=3F801A5DEA708BEEDE + 46817.50ns INFO [00046819] * RD COMPARE * port=0 adr=03 act=FF3D07DF8607CB4D6F exp=FF3D07DF8607CB4D6F + 46818.50ns INFO [00046820] Port=0 RD @07 + 46818.50ns INFO [00046820] Port=1 RD @04 + 46820.50ns INFO [00046822] * RD COMPARE * port=0 adr=07 act=28FA56660F81376076 exp=28FA56660F81376076 + 46820.50ns INFO [00046822] * RD COMPARE * port=1 adr=04 act=A4B8D23F45AF3B4850 exp=A4B8D23F45AF3B4850 + 46820.50ns INFO [00046822] Port=1 RD @04 + 46822.50ns INFO [00046824] * RD COMPARE * port=1 adr=04 act=A4B8D23F45AF3B4850 exp=A4B8D23F45AF3B4850 + 46822.50ns INFO [00046824] Port=0 RD @06 + 46824.50ns INFO [00046826] * RD COMPARE * port=0 adr=06 act=3F801A5DEA708BEEDE exp=3F801A5DEA708BEEDE + 46824.50ns INFO [00046826] Port=0 WR @01=ACAC2B03F42010E1D9 + 46824.50ns INFO [00046826] Port=0 RD @06 + 46825.50ns INFO [00046827] Port=1 RD @07 + 46826.50ns INFO [00046828] * RD COMPARE * port=0 adr=06 act=3F801A5DEA708BEEDE exp=3F801A5DEA708BEEDE + 46826.50ns INFO [00046828] Port=1 RD @07 + 46827.50ns INFO [00046829] * RD COMPARE * port=1 adr=07 act=28FA56660F81376076 exp=28FA56660F81376076 + 46827.50ns INFO [00046829] Port=0 WR @01=C1DCB75EB5D37178A3 + 46827.50ns INFO [00046829] Port=0 RD @05 + 46828.50ns INFO [00046830] * RD COMPARE * port=1 adr=07 act=28FA56660F81376076 exp=28FA56660F81376076 + 46828.50ns INFO [00046830] Port=0 WR @04=36C7CDA9A0579ED185 + 46828.50ns INFO [00046830] Port=1 RD @06 + 46829.50ns INFO [00046831] * RD COMPARE * port=0 adr=05 act=8EA0632CBC22B1F063 exp=8EA0632CBC22B1F063 + 46829.50ns INFO [00046831] Port=0 WR @07=01FC42CBAAFCF436CC + 46830.50ns INFO [00046832] * RD COMPARE * port=1 adr=06 act=3F801A5DEA708BEEDE exp=3F801A5DEA708BEEDE + 46830.50ns INFO [00046832] Port=0 WR @05=1CC589662E4A05F075 + 46830.50ns INFO [00046832] Port=0 RD @06 + 46831.50ns INFO [00046833] Port=0 WR @02=EB49E496D9F0308349 + 46831.50ns INFO [00046833] Port=0 RD @04 + 46832.50ns INFO [00046834] * RD COMPARE * port=0 adr=06 act=3F801A5DEA708BEEDE exp=3F801A5DEA708BEEDE + 46832.50ns INFO [00046834] Port=0 RD @03 + 46833.50ns INFO [00046835] * RD COMPARE * port=0 adr=04 act=36C7CDA9A0579ED185 exp=36C7CDA9A0579ED185 + 46833.50ns INFO [00046835] Port=1 RD @07 + 46834.50ns INFO [00046836] * RD COMPARE * port=0 adr=03 act=FF3D07DF8607CB4D6F exp=FF3D07DF8607CB4D6F + 46835.50ns INFO [00046837] * RD COMPARE * port=1 adr=07 act=01FC42CBAAFCF436CC exp=01FC42CBAAFCF436CC + 46835.50ns INFO [00046837] Port=0 WR @04=9648D91C9E3AE17FFC + 46836.50ns INFO [00046838] Port=0 WR @06=7F2D3620D0C85CE6ED + 46837.50ns INFO [00046839] Port=0 WR @07=EDA14BC99D0F72E4AB + 46837.50ns INFO [00046839] Port=1 RD @00 + 46839.50ns INFO [00046841] * RD COMPARE * port=1 adr=00 act=41AEC8607E3B5C7C4B exp=41AEC8607E3B5C7C4B + 46839.50ns INFO [00046841] Port=0 RD @00 + 46840.50ns INFO [00046842] Port=0 RD @04 + 46841.50ns INFO [00046843] * RD COMPARE * port=0 adr=00 act=41AEC8607E3B5C7C4B exp=41AEC8607E3B5C7C4B + 46841.50ns INFO [00046843] Port=0 WR @07=2DC65BB983C80986A7 + 46841.50ns INFO [00046843] Port=1 RD @05 + 46842.50ns INFO [00046844] * RD COMPARE * port=0 adr=04 act=9648D91C9E3AE17FFC exp=9648D91C9E3AE17FFC + 46842.50ns INFO [00046844] Port=1 RD @06 + 46843.50ns INFO [00046845] * RD COMPARE * port=1 adr=05 act=1CC589662E4A05F075 exp=1CC589662E4A05F075 + 46843.50ns INFO [00046845] Port=1 RD @05 + 46844.50ns INFO [00046846] * RD COMPARE * port=1 adr=06 act=7F2D3620D0C85CE6ED exp=7F2D3620D0C85CE6ED + 46844.50ns INFO [00046846] Port=1 RD @03 + 46845.50ns INFO [00046847] * RD COMPARE * port=1 adr=05 act=1CC589662E4A05F075 exp=1CC589662E4A05F075 + 46846.50ns INFO [00046848] * RD COMPARE * port=1 adr=03 act=FF3D07DF8607CB4D6F exp=FF3D07DF8607CB4D6F + 46847.50ns INFO [00046849] Port=0 WR @04=9D952A192ECA8CB62B + 46848.50ns INFO [00046850] Port=0 RD @01 + 46849.50ns INFO [00046851] Port=0 RD @07 + 46849.50ns INFO [00046851] Port=1 RD @03 + 46850.50ns INFO [00046852] * RD COMPARE * port=0 adr=01 act=C1DCB75EB5D37178A3 exp=C1DCB75EB5D37178A3 + 46850.50ns INFO [00046852] Port=0 WR @01=A018C11A6FA80298DC + 46851.50ns INFO [00046853] * RD COMPARE * port=0 adr=07 act=2DC65BB983C80986A7 exp=2DC65BB983C80986A7 + 46851.50ns INFO [00046853] * RD COMPARE * port=1 adr=03 act=FF3D07DF8607CB4D6F exp=FF3D07DF8607CB4D6F + 46851.50ns INFO [00046853] Port=0 WR @07=84D747D7B3B1710530 + 46851.50ns INFO [00046853] Port=1 RD @00 + 46852.50ns INFO [00046854] Port=0 RD @03 + 46853.50ns INFO [00046855] * RD COMPARE * port=1 adr=00 act=41AEC8607E3B5C7C4B exp=41AEC8607E3B5C7C4B + 46853.50ns INFO [00046855] Port=0 WR @05=2024598633F2AC6CBB + 46853.50ns INFO [00046855] Port=1 RD @07 + 46854.50ns INFO [00046856] * RD COMPARE * port=0 adr=03 act=FF3D07DF8607CB4D6F exp=FF3D07DF8607CB4D6F + 46855.50ns INFO [00046857] * RD COMPARE * port=1 adr=07 act=84D747D7B3B1710530 exp=84D747D7B3B1710530 + 46855.50ns INFO [00046857] Port=0 RD @02 + 46856.50ns INFO [00046858] Port=1 RD @04 + 46857.50ns INFO [00046859] * RD COMPARE * port=0 adr=02 act=EB49E496D9F0308349 exp=EB49E496D9F0308349 + 46857.50ns INFO [00046859] Port=0 RD @07 + 46857.50ns INFO [00046859] Port=1 RD @05 + 46858.50ns INFO [00046860] * RD COMPARE * port=1 adr=04 act=9D952A192ECA8CB62B exp=9D952A192ECA8CB62B + 46858.50ns INFO [00046860] Port=0 WR @00=BC2DE24B402A80044B + 46858.50ns INFO [00046860] Port=0 RD @04 + 46859.50ns INFO [00046861] * RD COMPARE * port=0 adr=07 act=84D747D7B3B1710530 exp=84D747D7B3B1710530 + 46859.50ns INFO [00046861] * RD COMPARE * port=1 adr=05 act=2024598633F2AC6CBB exp=2024598633F2AC6CBB + 46859.50ns INFO [00046861] Port=1 RD @07 + 46860.50ns INFO [00046862] * RD COMPARE * port=0 adr=04 act=9D952A192ECA8CB62B exp=9D952A192ECA8CB62B + 46860.50ns INFO [00046862] Port=0 WR @06=867074A7A795EAF7F8 + 46860.50ns INFO [00046862] Port=0 RD @07 + 46860.50ns INFO [00046862] Port=1 RD @04 + 46861.50ns INFO [00046863] * RD COMPARE * port=1 adr=07 act=84D747D7B3B1710530 exp=84D747D7B3B1710530 + 46862.50ns INFO [00046864] * RD COMPARE * port=0 adr=07 act=84D747D7B3B1710530 exp=84D747D7B3B1710530 + 46862.50ns INFO [00046864] * RD COMPARE * port=1 adr=04 act=9D952A192ECA8CB62B exp=9D952A192ECA8CB62B + 46862.50ns INFO [00046864] Port=0 RD @00 + 46863.50ns INFO [00046865] Port=0 RD @02 + 46863.50ns INFO [00046865] Port=1 RD @02 + 46864.50ns INFO [00046866] * RD COMPARE * port=0 adr=00 act=BC2DE24B402A80044B exp=BC2DE24B402A80044B + 46864.50ns INFO [00046866] Port=0 WR @07=81FB5AF9900076E695 + 46864.50ns INFO [00046866] Port=0 RD @00 + 46864.50ns INFO [00046866] Port=1 RD @06 + 46865.50ns INFO [00046867] * RD COMPARE * port=0 adr=02 act=EB49E496D9F0308349 exp=EB49E496D9F0308349 + 46865.50ns INFO [00046867] * RD COMPARE * port=1 adr=02 act=EB49E496D9F0308349 exp=EB49E496D9F0308349 + 46865.50ns INFO [00046867] Port=0 WR @07=F334C5E699D475FB2B + 46865.50ns INFO [00046867] Port=0 RD @05 + 46866.50ns INFO [00046868] * RD COMPARE * port=0 adr=00 act=BC2DE24B402A80044B exp=BC2DE24B402A80044B + 46866.50ns INFO [00046868] * RD COMPARE * port=1 adr=06 act=867074A7A795EAF7F8 exp=867074A7A795EAF7F8 + 46867.50ns INFO [00046869] * RD COMPARE * port=0 adr=05 act=2024598633F2AC6CBB exp=2024598633F2AC6CBB + 46867.50ns INFO [00046869] Port=0 RD @06 + 46868.50ns INFO [00046870] Port=0 RD @05 + 46868.50ns INFO [00046870] Port=1 RD @07 + 46869.50ns INFO [00046871] * RD COMPARE * port=0 adr=06 act=867074A7A795EAF7F8 exp=867074A7A795EAF7F8 + 46869.50ns INFO [00046871] Port=0 WR @02=5C07C707EE216EC29D + 46869.50ns INFO [00046871] Port=1 RD @01 + 46870.50ns INFO [00046872] * RD COMPARE * port=0 adr=05 act=2024598633F2AC6CBB exp=2024598633F2AC6CBB + 46870.50ns INFO [00046872] * RD COMPARE * port=1 adr=07 act=F334C5E699D475FB2B exp=F334C5E699D475FB2B + 46870.50ns INFO [00046872] Port=0 WR @01=F73FB875BAEE996901 + 46871.50ns INFO [00046873] * RD COMPARE * port=1 adr=01 act=A018C11A6FA80298DC exp=A018C11A6FA80298DC + 46871.50ns INFO [00046873] Port=0 WR @02=F08755DF26015C3D00 + 46871.50ns INFO [00046873] Port=1 RD @05 + 46872.50ns INFO [00046874] Port=0 RD @05 + 46872.50ns INFO [00046874] Port=1 RD @01 + 46873.50ns INFO [00046875] * RD COMPARE * port=1 adr=05 act=2024598633F2AC6CBB exp=2024598633F2AC6CBB + 46873.50ns INFO [00046875] Port=0 WR @02=10B0AB98D3A3B826EA + 46873.50ns INFO [00046875] Port=1 RD @01 + 46874.50ns INFO [00046876] * RD COMPARE * port=0 adr=05 act=2024598633F2AC6CBB exp=2024598633F2AC6CBB + 46874.50ns INFO [00046876] * RD COMPARE * port=1 adr=01 act=F73FB875BAEE996901 exp=F73FB875BAEE996901 + 46874.50ns INFO [00046876] Port=0 WR @01=00BD528E8D435138E0 + 46875.50ns INFO [00046877] * RD COMPARE * port=1 adr=01 act=F73FB875BAEE996901 exp=F73FB875BAEE996901 + 46875.50ns INFO [00046877] Port=1 RD @01 + 46876.50ns INFO [00046878] Port=0 WR @07=45516B81902BEFCD0B + 46876.50ns INFO [00046878] Port=1 RD @03 + 46877.50ns INFO [00046879] * RD COMPARE * port=1 adr=01 act=00BD528E8D435138E0 exp=00BD528E8D435138E0 + 46877.50ns INFO [00046879] Port=0 WR @07=B166F30BEC8123FEEB + 46877.50ns INFO [00046879] Port=1 RD @03 + 46878.50ns INFO [00046880] * RD COMPARE * port=1 adr=03 act=FF3D07DF8607CB4D6F exp=FF3D07DF8607CB4D6F + 46879.50ns INFO [00046881] * RD COMPARE * port=1 adr=03 act=FF3D07DF8607CB4D6F exp=FF3D07DF8607CB4D6F + 46880.50ns INFO [00046882] Port=0 WR @07=A025162FA3309E30D6 + 46881.50ns INFO [00046883] Port=0 WR @00=9E9E2E436739397DBC + 46881.50ns INFO [00046883] Port=1 RD @01 + 46883.50ns INFO [00046885] * RD COMPARE * port=1 adr=01 act=00BD528E8D435138E0 exp=00BD528E8D435138E0 + 46883.50ns INFO [00046885] Port=0 WR @00=300A860C4AAE1BBE14 + 46883.50ns INFO [00046885] Port=0 RD @07 + 46884.50ns INFO [00046886] Port=1 RD @05 + 46885.50ns INFO [00046887] * RD COMPARE * port=0 adr=07 act=A025162FA3309E30D6 exp=A025162FA3309E30D6 + 46885.50ns INFO [00046887] Port=0 WR @00=F955D8616F8BC7CAC5 + 46885.50ns INFO [00046887] Port=0 RD @07 + 46886.50ns INFO [00046888] * RD COMPARE * port=1 adr=05 act=2024598633F2AC6CBB exp=2024598633F2AC6CBB + 46886.50ns INFO [00046888] Port=1 RD @05 + 46887.50ns INFO [00046889] * RD COMPARE * port=0 adr=07 act=A025162FA3309E30D6 exp=A025162FA3309E30D6 + 46887.50ns INFO [00046889] Port=0 RD @01 + 46888.50ns INFO [00046890] * RD COMPARE * port=1 adr=05 act=2024598633F2AC6CBB exp=2024598633F2AC6CBB + 46889.50ns INFO [00046891] * RD COMPARE * port=0 adr=01 act=00BD528E8D435138E0 exp=00BD528E8D435138E0 + 46889.50ns INFO [00046891] Port=1 RD @02 + 46890.50ns INFO [00046892] Port=0 RD @01 + 46891.50ns INFO [00046893] * RD COMPARE * port=1 adr=02 act=10B0AB98D3A3B826EA exp=10B0AB98D3A3B826EA + 46891.50ns INFO [00046893] Port=0 WR @03=4134EC612B98AA2D28 + 46891.50ns INFO [00046893] Port=0 RD @07 + 46891.50ns INFO [00046893] Port=1 RD @00 + 46892.50ns INFO [00046894] * RD COMPARE * port=0 adr=01 act=00BD528E8D435138E0 exp=00BD528E8D435138E0 + 46893.50ns INFO [00046895] * RD COMPARE * port=0 adr=07 act=A025162FA3309E30D6 exp=A025162FA3309E30D6 + 46893.50ns INFO [00046895] * RD COMPARE * port=1 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46893.50ns INFO [00046895] Port=0 WR @04=B7C6EFC05C2C37C7BF + 46894.50ns INFO [00046896] Port=1 RD @07 + 46895.50ns INFO [00046897] Port=1 RD @06 + 46896.50ns INFO [00046898] * RD COMPARE * port=1 adr=07 act=A025162FA3309E30D6 exp=A025162FA3309E30D6 + 46896.50ns INFO [00046898] Port=0 RD @01 + 46896.50ns INFO [00046898] Port=1 RD @07 + 46897.50ns INFO [00046899] * RD COMPARE * port=1 adr=06 act=867074A7A795EAF7F8 exp=867074A7A795EAF7F8 + 46897.50ns INFO [00046899] Port=0 WR @01=5C4DA85649BA4E85A1 + 46898.00ns INFO [00046900] [00046900] ...tick... + 46898.50ns INFO [00046900] * RD COMPARE * port=0 adr=01 act=00BD528E8D435138E0 exp=00BD528E8D435138E0 + 46898.50ns INFO [00046900] * RD COMPARE * port=1 adr=07 act=A025162FA3309E30D6 exp=A025162FA3309E30D6 + 46898.50ns INFO [00046900] Port=0 WR @02=854FE4458DBAA5199E + 46899.50ns INFO [00046901] Port=0 WR @07=CD3C549762263239FF + 46899.50ns INFO [00046901] Port=1 RD @00 + 46901.50ns INFO [00046903] * RD COMPARE * port=1 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46901.50ns INFO [00046903] Port=0 RD @06 + 46901.50ns INFO [00046903] Port=1 RD @07 + 46902.50ns INFO [00046904] Port=0 WR @05=D194A92620162A6D9A + 46902.50ns INFO [00046904] Port=0 RD @06 + 46902.50ns INFO [00046904] Port=1 RD @01 + 46903.50ns INFO [00046905] * RD COMPARE * port=0 adr=06 act=867074A7A795EAF7F8 exp=867074A7A795EAF7F8 + 46903.50ns INFO [00046905] * RD COMPARE * port=1 adr=07 act=CD3C549762263239FF exp=CD3C549762263239FF + 46903.50ns INFO [00046905] Port=0 WR @01=900102F1C8EE7E3C12 + 46903.50ns INFO [00046905] Port=0 RD @06 + 46903.50ns INFO [00046905] Port=1 RD @07 + 46904.50ns INFO [00046906] * RD COMPARE * port=0 adr=06 act=867074A7A795EAF7F8 exp=867074A7A795EAF7F8 + 46904.50ns INFO [00046906] * RD COMPARE * port=1 adr=01 act=5C4DA85649BA4E85A1 exp=5C4DA85649BA4E85A1 + 46904.50ns INFO [00046906] Port=0 WR @07=884842C466C6320BB1 + 46905.50ns INFO [00046907] * RD COMPARE * port=0 adr=06 act=867074A7A795EAF7F8 exp=867074A7A795EAF7F8 + 46905.50ns INFO [00046907] * RD COMPARE * port=1 adr=07 act=CD3C549762263239FF exp=CD3C549762263239FF + 46905.50ns INFO [00046907] Port=0 RD @04 + 46905.50ns INFO [00046907] Port=1 RD @00 + 46906.50ns INFO [00046908] Port=0 WR @06=BF1F8EBEC1445B6D48 + 46907.50ns INFO [00046909] * RD COMPARE * port=0 adr=04 act=B7C6EFC05C2C37C7BF exp=B7C6EFC05C2C37C7BF + 46907.50ns INFO [00046909] * RD COMPARE * port=1 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46907.50ns INFO [00046909] Port=0 WR @03=7B3A0217E6D68B966F + 46908.50ns INFO [00046910] Port=0 WR @04=69C53C571BF26B4FD2 + 46908.50ns INFO [00046910] Port=1 RD @03 + 46909.50ns INFO [00046911] Port=0 RD @04 + 46909.50ns INFO [00046911] Port=1 RD @00 + 46910.50ns INFO [00046912] * RD COMPARE * port=1 adr=03 act=7B3A0217E6D68B966F exp=7B3A0217E6D68B966F + 46910.50ns INFO [00046912] Port=0 RD @01 + 46911.50ns INFO [00046913] * RD COMPARE * port=0 adr=04 act=69C53C571BF26B4FD2 exp=69C53C571BF26B4FD2 + 46911.50ns INFO [00046913] * RD COMPARE * port=1 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46911.50ns INFO [00046913] Port=0 RD @02 + 46912.50ns INFO [00046914] * RD COMPARE * port=0 adr=01 act=900102F1C8EE7E3C12 exp=900102F1C8EE7E3C12 + 46912.50ns INFO [00046914] Port=1 RD @06 + 46913.50ns INFO [00046915] * RD COMPARE * port=0 adr=02 act=854FE4458DBAA5199E exp=854FE4458DBAA5199E + 46914.50ns INFO [00046916] * RD COMPARE * port=1 adr=06 act=BF1F8EBEC1445B6D48 exp=BF1F8EBEC1445B6D48 + 46916.50ns INFO [00046918] Port=0 RD @02 + 46917.50ns INFO [00046919] Port=0 WR @04=74D7E1F324E785ACA6 + 46918.50ns INFO [00046920] * RD COMPARE * port=0 adr=02 act=854FE4458DBAA5199E exp=854FE4458DBAA5199E + 46918.50ns INFO [00046920] Port=0 WR @05=C10E6A02C497EA76D3 + 46920.50ns INFO [00046922] Port=0 WR @03=3EF6519B8856DF1BB8 + 46921.50ns INFO [00046923] Port=0 RD @06 + 46921.50ns INFO [00046923] Port=1 RD @04 + 46922.50ns INFO [00046924] Port=1 RD @07 + 46923.50ns INFO [00046925] * RD COMPARE * port=0 adr=06 act=BF1F8EBEC1445B6D48 exp=BF1F8EBEC1445B6D48 + 46923.50ns INFO [00046925] * RD COMPARE * port=1 adr=04 act=74D7E1F324E785ACA6 exp=74D7E1F324E785ACA6 + 46923.50ns INFO [00046925] Port=0 WR @05=59351DCF9C9F6CDA00 + 46924.50ns INFO [00046926] * RD COMPARE * port=1 adr=07 act=884842C466C6320BB1 exp=884842C466C6320BB1 + 46924.50ns INFO [00046926] Port=0 RD @01 + 46924.50ns INFO [00046926] Port=1 RD @05 + 46925.50ns INFO [00046927] Port=0 WR @01=2FB33196842FBA3B2D + 46925.50ns INFO [00046927] Port=0 RD @04 + 46926.50ns INFO [00046928] * RD COMPARE * port=0 adr=01 act=900102F1C8EE7E3C12 exp=900102F1C8EE7E3C12 + 46926.50ns INFO [00046928] * RD COMPARE * port=1 adr=05 act=59351DCF9C9F6CDA00 exp=59351DCF9C9F6CDA00 + 46926.50ns INFO [00046928] Port=0 RD @06 + 46927.50ns INFO [00046929] * RD COMPARE * port=0 adr=04 act=74D7E1F324E785ACA6 exp=74D7E1F324E785ACA6 + 46927.50ns INFO [00046929] Port=0 RD @06 + 46927.50ns INFO [00046929] Port=1 RD @02 + 46928.50ns INFO [00046930] * RD COMPARE * port=0 adr=06 act=BF1F8EBEC1445B6D48 exp=BF1F8EBEC1445B6D48 + 46928.50ns INFO [00046930] Port=0 WR @01=996BEB581922FA9023 + 46928.50ns INFO [00046930] Port=0 RD @06 + 46928.50ns INFO [00046930] Port=1 RD @05 + 46929.50ns INFO [00046931] * RD COMPARE * port=0 adr=06 act=BF1F8EBEC1445B6D48 exp=BF1F8EBEC1445B6D48 + 46929.50ns INFO [00046931] * RD COMPARE * port=1 adr=02 act=854FE4458DBAA5199E exp=854FE4458DBAA5199E + 46929.50ns INFO [00046931] Port=0 RD @02 + 46930.50ns INFO [00046932] * RD COMPARE * port=0 adr=06 act=BF1F8EBEC1445B6D48 exp=BF1F8EBEC1445B6D48 + 46930.50ns INFO [00046932] * RD COMPARE * port=1 adr=05 act=59351DCF9C9F6CDA00 exp=59351DCF9C9F6CDA00 + 46930.50ns INFO [00046932] Port=1 RD @06 + 46931.50ns INFO [00046933] * RD COMPARE * port=0 adr=02 act=854FE4458DBAA5199E exp=854FE4458DBAA5199E + 46931.50ns INFO [00046933] Port=0 WR @06=0E98C1F6D72535BBA3 + 46931.50ns INFO [00046933] Port=1 RD @07 + 46932.50ns INFO [00046934] * RD COMPARE * port=1 adr=06 act=BF1F8EBEC1445B6D48 exp=BF1F8EBEC1445B6D48 + 46932.50ns INFO [00046934] Port=0 WR @06=716451D097B11BA9DE + 46932.50ns INFO [00046934] Port=0 RD @05 + 46933.50ns INFO [00046935] * RD COMPARE * port=1 adr=07 act=884842C466C6320BB1 exp=884842C466C6320BB1 + 46934.50ns INFO [00046936] * RD COMPARE * port=0 adr=05 act=59351DCF9C9F6CDA00 exp=59351DCF9C9F6CDA00 + 46934.50ns INFO [00046936] Port=0 WR @07=722735B7171C6B57C6 + 46935.50ns INFO [00046937] Port=0 WR @01=94C3EE5A7B4C78C882 + 46936.50ns INFO [00046938] Port=0 WR @04=D6D1EEFFDC3D271193 + 46936.50ns INFO [00046938] Port=1 RD @02 + 46937.50ns INFO [00046939] Port=0 WR @02=6E06EBCFB395129736 + 46937.50ns INFO [00046939] Port=1 RD @01 + 46938.50ns INFO [00046940] * RD COMPARE * port=1 adr=02 act=854FE4458DBAA5199E exp=854FE4458DBAA5199E + 46938.50ns INFO [00046940] Port=0 RD @01 + 46938.50ns INFO [00046940] Port=1 RD @01 + 46939.50ns INFO [00046941] * RD COMPARE * port=1 adr=01 act=94C3EE5A7B4C78C882 exp=94C3EE5A7B4C78C882 + 46939.50ns INFO [00046941] Port=0 WR @05=74D8DE087176471800 + 46939.50ns INFO [00046941] Port=0 RD @06 + 46939.50ns INFO [00046941] Port=1 RD @06 + 46940.50ns INFO [00046942] * RD COMPARE * port=0 adr=01 act=94C3EE5A7B4C78C882 exp=94C3EE5A7B4C78C882 + 46940.50ns INFO [00046942] * RD COMPARE * port=1 adr=01 act=94C3EE5A7B4C78C882 exp=94C3EE5A7B4C78C882 + 46940.50ns INFO [00046942] Port=0 WR @04=B2BFA5027A8F2B092D + 46941.50ns INFO [00046943] * RD COMPARE * port=0 adr=06 act=716451D097B11BA9DE exp=716451D097B11BA9DE + 46941.50ns INFO [00046943] * RD COMPARE * port=1 adr=06 act=716451D097B11BA9DE exp=716451D097B11BA9DE + 46941.50ns INFO [00046943] Port=0 RD @03 + 46943.50ns INFO [00046945] * RD COMPARE * port=0 adr=03 act=3EF6519B8856DF1BB8 exp=3EF6519B8856DF1BB8 + 46943.50ns INFO [00046945] Port=1 RD @03 + 46944.50ns INFO [00046946] Port=0 RD @05 + 46944.50ns INFO [00046946] Port=1 RD @03 + 46945.50ns INFO [00046947] * RD COMPARE * port=1 adr=03 act=3EF6519B8856DF1BB8 exp=3EF6519B8856DF1BB8 + 46945.50ns INFO [00046947] Port=0 RD @06 + 46945.50ns INFO [00046947] Port=1 RD @06 + 46946.50ns INFO [00046948] * RD COMPARE * port=0 adr=05 act=74D8DE087176471800 exp=74D8DE087176471800 + 46946.50ns INFO [00046948] * RD COMPARE * port=1 adr=03 act=3EF6519B8856DF1BB8 exp=3EF6519B8856DF1BB8 + 46946.50ns INFO [00046948] Port=0 RD @00 + 46947.50ns INFO [00046949] * RD COMPARE * port=0 adr=06 act=716451D097B11BA9DE exp=716451D097B11BA9DE + 46947.50ns INFO [00046949] * RD COMPARE * port=1 adr=06 act=716451D097B11BA9DE exp=716451D097B11BA9DE + 46947.50ns INFO [00046949] Port=0 RD @07 + 46947.50ns INFO [00046949] Port=1 RD @06 + 46948.50ns INFO [00046950] * RD COMPARE * port=0 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46948.50ns INFO [00046950] Port=1 RD @00 + 46949.50ns INFO [00046951] * RD COMPARE * port=0 adr=07 act=722735B7171C6B57C6 exp=722735B7171C6B57C6 + 46949.50ns INFO [00046951] * RD COMPARE * port=1 adr=06 act=716451D097B11BA9DE exp=716451D097B11BA9DE + 46949.50ns INFO [00046951] Port=0 WR @02=AB9FB49B3D40213608 + 46949.50ns INFO [00046951] Port=1 RD @04 + 46950.50ns INFO [00046952] * RD COMPARE * port=1 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46950.50ns INFO [00046952] Port=0 RD @03 + 46950.50ns INFO [00046952] Port=1 RD @03 + 46951.50ns INFO [00046953] * RD COMPARE * port=1 adr=04 act=B2BFA5027A8F2B092D exp=B2BFA5027A8F2B092D + 46951.50ns INFO [00046953] Port=0 WR @01=A788B3D601680DABD7 + 46951.50ns INFO [00046953] Port=0 RD @00 + 46951.50ns INFO [00046953] Port=1 RD @06 + 46952.50ns INFO [00046954] * RD COMPARE * port=0 adr=03 act=3EF6519B8856DF1BB8 exp=3EF6519B8856DF1BB8 + 46952.50ns INFO [00046954] * RD COMPARE * port=1 adr=03 act=3EF6519B8856DF1BB8 exp=3EF6519B8856DF1BB8 + 46953.50ns INFO [00046955] * RD COMPARE * port=0 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46953.50ns INFO [00046955] * RD COMPARE * port=1 adr=06 act=716451D097B11BA9DE exp=716451D097B11BA9DE + 46953.50ns INFO [00046955] Port=0 WR @06=52190C23DB1FFC7632 + 46953.50ns INFO [00046955] Port=1 RD @00 + 46954.50ns INFO [00046956] Port=0 WR @06=ADA50E509033B16E6D + 46954.50ns INFO [00046956] Port=1 RD @00 + 46955.50ns INFO [00046957] * RD COMPARE * port=1 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46955.50ns INFO [00046957] Port=0 WR @04=0D195FD57E0022F789 + 46955.50ns INFO [00046957] Port=1 RD @02 + 46956.50ns INFO [00046958] * RD COMPARE * port=1 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46956.50ns INFO [00046958] Port=0 WR @04=5CD0AB97A78B4CE74A + 46956.50ns INFO [00046958] Port=0 RD @01 + 46956.50ns INFO [00046958] Port=1 RD @05 + 46957.50ns INFO [00046959] * RD COMPARE * port=1 adr=02 act=AB9FB49B3D40213608 exp=AB9FB49B3D40213608 + 46957.50ns INFO [00046959] Port=0 WR @04=313162C56D6292B680 + 46957.50ns INFO [00046959] Port=1 RD @00 + 46958.50ns INFO [00046960] * RD COMPARE * port=0 adr=01 act=A788B3D601680DABD7 exp=A788B3D601680DABD7 + 46958.50ns INFO [00046960] * RD COMPARE * port=1 adr=05 act=74D8DE087176471800 exp=74D8DE087176471800 + 46958.50ns INFO [00046960] Port=0 WR @05=D381B479C6035D5333 + 46958.50ns INFO [00046960] Port=1 RD @03 + 46959.50ns INFO [00046961] * RD COMPARE * port=1 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46959.50ns INFO [00046961] Port=1 RD @07 + 46960.50ns INFO [00046962] * RD COMPARE * port=1 adr=03 act=3EF6519B8856DF1BB8 exp=3EF6519B8856DF1BB8 + 46960.50ns INFO [00046962] Port=1 RD @00 + 46961.50ns INFO [00046963] * RD COMPARE * port=1 adr=07 act=722735B7171C6B57C6 exp=722735B7171C6B57C6 + 46961.50ns INFO [00046963] Port=0 RD @04 + 46962.50ns INFO [00046964] * RD COMPARE * port=1 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46963.50ns INFO [00046965] * RD COMPARE * port=0 adr=04 act=313162C56D6292B680 exp=313162C56D6292B680 + 46963.50ns INFO [00046965] Port=0 RD @04 + 46964.50ns INFO [00046966] Port=1 RD @06 + 46965.50ns INFO [00046967] * RD COMPARE * port=0 adr=04 act=313162C56D6292B680 exp=313162C56D6292B680 + 46965.50ns INFO [00046967] Port=0 WR @07=3E729A53DEBFB2F556 + 46966.50ns INFO [00046968] * RD COMPARE * port=1 adr=06 act=ADA50E509033B16E6D exp=ADA50E509033B16E6D + 46966.50ns INFO [00046968] Port=1 RD @06 + 46967.50ns INFO [00046969] Port=1 RD @03 + 46968.50ns INFO [00046970] * RD COMPARE * port=1 adr=06 act=ADA50E509033B16E6D exp=ADA50E509033B16E6D + 46968.50ns INFO [00046970] Port=1 RD @00 + 46969.50ns INFO [00046971] * RD COMPARE * port=1 adr=03 act=3EF6519B8856DF1BB8 exp=3EF6519B8856DF1BB8 + 46970.50ns INFO [00046972] * RD COMPARE * port=1 adr=00 act=F955D8616F8BC7CAC5 exp=F955D8616F8BC7CAC5 + 46972.50ns INFO [00046974] Port=0 WR @00=AABC9157F7960502A8 + 46973.50ns INFO [00046975] Port=1 RD @05 + 46974.50ns INFO [00046976] Port=0 RD @01 + 46974.50ns INFO [00046976] Port=1 RD @04 + 46975.50ns INFO [00046977] * RD COMPARE * port=1 adr=05 act=D381B479C6035D5333 exp=D381B479C6035D5333 + 46975.50ns INFO [00046977] Port=0 RD @06 + 46975.50ns INFO [00046977] Port=1 RD @02 + 46976.50ns INFO [00046978] * RD COMPARE * port=0 adr=01 act=A788B3D601680DABD7 exp=A788B3D601680DABD7 + 46976.50ns INFO [00046978] * RD COMPARE * port=1 adr=04 act=313162C56D6292B680 exp=313162C56D6292B680 + 46976.50ns INFO [00046978] Port=0 WR @06=C7D2E778EDF0D8649A + 46976.50ns INFO [00046978] Port=1 RD @00 + 46977.50ns INFO [00046979] * RD COMPARE * port=0 adr=06 act=ADA50E509033B16E6D exp=ADA50E509033B16E6D + 46977.50ns INFO [00046979] * RD COMPARE * port=1 adr=02 act=AB9FB49B3D40213608 exp=AB9FB49B3D40213608 + 46977.50ns INFO [00046979] Port=1 RD @06 + 46978.50ns INFO [00046980] * RD COMPARE * port=1 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 46978.50ns INFO [00046980] Port=0 WR @07=8F2416E4A7FEA87FCA + 46979.50ns INFO [00046981] * RD COMPARE * port=1 adr=06 act=C7D2E778EDF0D8649A exp=C7D2E778EDF0D8649A + 46979.50ns INFO [00046981] Port=1 RD @01 + 46980.50ns INFO [00046982] Port=0 WR @04=B6819B1DF17A3F1114 + 46980.50ns INFO [00046982] Port=1 RD @05 + 46981.50ns INFO [00046983] * RD COMPARE * port=1 adr=01 act=A788B3D601680DABD7 exp=A788B3D601680DABD7 + 46982.50ns INFO [00046984] * RD COMPARE * port=1 adr=05 act=D381B479C6035D5333 exp=D381B479C6035D5333 + 46982.50ns INFO [00046984] Port=1 RD @00 + 46983.50ns INFO [00046985] Port=0 RD @01 + 46984.50ns INFO [00046986] * RD COMPARE * port=1 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 46984.50ns INFO [00046986] Port=0 WR @02=D5F8F9D18F9C8F389D + 46985.50ns INFO [00046987] * RD COMPARE * port=0 adr=01 act=A788B3D601680DABD7 exp=A788B3D601680DABD7 + 46985.50ns INFO [00046987] Port=1 RD @03 + 46986.50ns INFO [00046988] Port=0 WR @02=0A90E808DBAE0EAFA0 + 46986.50ns INFO [00046988] Port=0 RD @01 + 46986.50ns INFO [00046988] Port=1 RD @04 + 46987.50ns INFO [00046989] * RD COMPARE * port=1 adr=03 act=3EF6519B8856DF1BB8 exp=3EF6519B8856DF1BB8 + 46987.50ns INFO [00046989] Port=1 RD @01 + 46988.50ns INFO [00046990] * RD COMPARE * port=0 adr=01 act=A788B3D601680DABD7 exp=A788B3D601680DABD7 + 46988.50ns INFO [00046990] * RD COMPARE * port=1 adr=04 act=B6819B1DF17A3F1114 exp=B6819B1DF17A3F1114 + 46989.50ns INFO [00046991] * RD COMPARE * port=1 adr=01 act=A788B3D601680DABD7 exp=A788B3D601680DABD7 + 46989.50ns INFO [00046991] Port=1 RD @03 + 46991.50ns INFO [00046993] * RD COMPARE * port=1 adr=03 act=3EF6519B8856DF1BB8 exp=3EF6519B8856DF1BB8 + 46991.50ns INFO [00046993] Port=1 RD @05 + 46992.50ns INFO [00046994] Port=0 WR @05=DA7755F0B94056A611 + 46993.50ns INFO [00046995] * RD COMPARE * port=1 adr=05 act=D381B479C6035D5333 exp=D381B479C6035D5333 + 46993.50ns INFO [00046995] Port=0 WR @06=923AD77EB796116333 + 46993.50ns INFO [00046995] Port=0 RD @02 + 46993.50ns INFO [00046995] Port=1 RD @07 + 46994.50ns INFO [00046996] Port=0 RD @04 + 46994.50ns INFO [00046996] Port=1 RD @05 + 46995.50ns INFO [00046997] * RD COMPARE * port=0 adr=02 act=0A90E808DBAE0EAFA0 exp=0A90E808DBAE0EAFA0 + 46995.50ns INFO [00046997] * RD COMPARE * port=1 adr=07 act=8F2416E4A7FEA87FCA exp=8F2416E4A7FEA87FCA + 46995.50ns INFO [00046997] Port=0 RD @00 + 46995.50ns INFO [00046997] Port=1 RD @03 + 46996.50ns INFO [00046998] * RD COMPARE * port=0 adr=04 act=B6819B1DF17A3F1114 exp=B6819B1DF17A3F1114 + 46996.50ns INFO [00046998] * RD COMPARE * port=1 adr=05 act=DA7755F0B94056A611 exp=DA7755F0B94056A611 + 46996.50ns INFO [00046998] Port=0 WR @03=7E86CD5C8B5AB60E6D + 46996.50ns INFO [00046998] Port=0 RD @02 + 46996.50ns INFO [00046998] Port=1 RD @00 + 46997.50ns INFO [00046999] * RD COMPARE * port=0 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 46997.50ns INFO [00046999] * RD COMPARE * port=1 adr=03 act=3EF6519B8856DF1BB8 exp=3EF6519B8856DF1BB8 + 46997.50ns INFO [00046999] Port=0 WR @07=DBFBB8240B659E2A27 + 46997.50ns INFO [00046999] Port=1 RD @06 + 46998.00ns INFO [00047000] [00047000] ...tick... + 46998.50ns INFO [00047000] * RD COMPARE * port=0 adr=02 act=0A90E808DBAE0EAFA0 exp=0A90E808DBAE0EAFA0 + 46998.50ns INFO [00047000] * RD COMPARE * port=1 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 46999.50ns INFO [00047001] * RD COMPARE * port=1 adr=06 act=923AD77EB796116333 exp=923AD77EB796116333 + 46999.50ns INFO [00047001] Port=1 RD @04 + 47001.50ns INFO [00047003] * RD COMPARE * port=1 adr=04 act=B6819B1DF17A3F1114 exp=B6819B1DF17A3F1114 + 47001.50ns INFO [00047003] Port=0 WR @05=997630449CFB9F903F + 47003.50ns INFO [00047005] Port=1 RD @01 + 47004.50ns INFO [00047006] Port=0 WR @02=1370A768E11079F467 + 47004.50ns INFO [00047006] Port=1 RD @06 + 47005.50ns INFO [00047007] * RD COMPARE * port=1 adr=01 act=A788B3D601680DABD7 exp=A788B3D601680DABD7 + 47005.50ns INFO [00047007] Port=0 RD @05 + 47006.50ns INFO [00047008] * RD COMPARE * port=1 adr=06 act=923AD77EB796116333 exp=923AD77EB796116333 + 47006.50ns INFO [00047008] Port=0 WR @06=087DF7EC6A6CD288A3 + 47007.50ns INFO [00047009] * RD COMPARE * port=0 adr=05 act=997630449CFB9F903F exp=997630449CFB9F903F + 47007.50ns INFO [00047009] Port=1 RD @02 + 47008.50ns INFO [00047010] Port=0 WR @01=4854A595DB8F645109 + 47008.50ns INFO [00047010] Port=1 RD @00 + 47009.50ns INFO [00047011] * RD COMPARE * port=1 adr=02 act=1370A768E11079F467 exp=1370A768E11079F467 + 47009.50ns INFO [00047011] Port=0 WR @05=00386BFC540B0CA8E3 + 47009.50ns INFO [00047011] Port=1 RD @03 + 47010.50ns INFO [00047012] * RD COMPARE * port=1 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 47011.50ns INFO [00047013] * RD COMPARE * port=1 adr=03 act=7E86CD5C8B5AB60E6D exp=7E86CD5C8B5AB60E6D + 47011.50ns INFO [00047013] Port=0 RD @06 + 47011.50ns INFO [00047013] Port=1 RD @04 + 47013.50ns INFO [00047015] * RD COMPARE * port=0 adr=06 act=087DF7EC6A6CD288A3 exp=087DF7EC6A6CD288A3 + 47013.50ns INFO [00047015] * RD COMPARE * port=1 adr=04 act=B6819B1DF17A3F1114 exp=B6819B1DF17A3F1114 + 47013.50ns INFO [00047015] Port=0 RD @06 + 47015.50ns INFO [00047017] * RD COMPARE * port=0 adr=06 act=087DF7EC6A6CD288A3 exp=087DF7EC6A6CD288A3 + 47015.50ns INFO [00047017] Port=1 RD @00 + 47016.50ns INFO [00047018] Port=1 RD @01 + 47017.50ns INFO [00047019] * RD COMPARE * port=1 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 47017.50ns INFO [00047019] Port=0 WR @01=BE73BD50DE348D304B + 47018.50ns INFO [00047020] * RD COMPARE * port=1 adr=01 act=4854A595DB8F645109 exp=4854A595DB8F645109 + 47018.50ns INFO [00047020] Port=0 RD @01 + 47019.50ns INFO [00047021] Port=0 RD @03 + 47020.50ns INFO [00047022] * RD COMPARE * port=0 adr=01 act=BE73BD50DE348D304B exp=BE73BD50DE348D304B + 47020.50ns INFO [00047022] Port=0 WR @05=794FE05FDF0F5C34EE + 47020.50ns INFO [00047022] Port=0 RD @07 + 47021.50ns INFO [00047023] * RD COMPARE * port=0 adr=03 act=7E86CD5C8B5AB60E6D exp=7E86CD5C8B5AB60E6D + 47021.50ns INFO [00047023] Port=1 RD @07 + 47022.50ns INFO [00047024] * RD COMPARE * port=0 adr=07 act=DBFBB8240B659E2A27 exp=DBFBB8240B659E2A27 + 47022.50ns INFO [00047024] Port=0 RD @02 + 47023.50ns INFO [00047025] * RD COMPARE * port=1 adr=07 act=DBFBB8240B659E2A27 exp=DBFBB8240B659E2A27 + 47023.50ns INFO [00047025] Port=0 RD @05 + 47023.50ns INFO [00047025] Port=1 RD @02 + 47024.50ns INFO [00047026] * RD COMPARE * port=0 adr=02 act=1370A768E11079F467 exp=1370A768E11079F467 + 47024.50ns INFO [00047026] Port=1 RD @06 + 47025.50ns INFO [00047027] * RD COMPARE * port=0 adr=05 act=794FE05FDF0F5C34EE exp=794FE05FDF0F5C34EE + 47025.50ns INFO [00047027] * RD COMPARE * port=1 adr=02 act=1370A768E11079F467 exp=1370A768E11079F467 + 47026.50ns INFO [00047028] * RD COMPARE * port=1 adr=06 act=087DF7EC6A6CD288A3 exp=087DF7EC6A6CD288A3 + 47026.50ns INFO [00047028] Port=0 WR @07=CB9B3912102468A196 + 47027.50ns INFO [00047029] Port=1 RD @00 + 47028.50ns INFO [00047030] Port=1 RD @04 + 47029.50ns INFO [00047031] * RD COMPARE * port=1 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 47029.50ns INFO [00047031] Port=0 RD @02 + 47030.50ns INFO [00047032] * RD COMPARE * port=1 adr=04 act=B6819B1DF17A3F1114 exp=B6819B1DF17A3F1114 + 47030.50ns INFO [00047032] Port=0 RD @03 + 47030.50ns INFO [00047032] Port=1 RD @05 + 47031.50ns INFO [00047033] * RD COMPARE * port=0 adr=02 act=1370A768E11079F467 exp=1370A768E11079F467 + 47031.50ns INFO [00047033] Port=0 WR @07=8848691DCB05291B03 + 47032.50ns INFO [00047034] * RD COMPARE * port=0 adr=03 act=7E86CD5C8B5AB60E6D exp=7E86CD5C8B5AB60E6D + 47032.50ns INFO [00047034] * RD COMPARE * port=1 adr=05 act=794FE05FDF0F5C34EE exp=794FE05FDF0F5C34EE + 47033.50ns INFO [00047035] Port=0 RD @04 + 47034.50ns INFO [00047036] Port=1 RD @03 + 47035.50ns INFO [00047037] * RD COMPARE * port=0 adr=04 act=B6819B1DF17A3F1114 exp=B6819B1DF17A3F1114 + 47035.50ns INFO [00047037] Port=0 RD @07 + 47036.50ns INFO [00047038] * RD COMPARE * port=1 adr=03 act=7E86CD5C8B5AB60E6D exp=7E86CD5C8B5AB60E6D + 47036.50ns INFO [00047038] Port=0 RD @01 + 47036.50ns INFO [00047038] Port=1 RD @02 + 47037.50ns INFO [00047039] * RD COMPARE * port=0 adr=07 act=8848691DCB05291B03 exp=8848691DCB05291B03 + 47037.50ns INFO [00047039] Port=1 RD @02 + 47038.50ns INFO [00047040] * RD COMPARE * port=0 adr=01 act=BE73BD50DE348D304B exp=BE73BD50DE348D304B + 47038.50ns INFO [00047040] * RD COMPARE * port=1 adr=02 act=1370A768E11079F467 exp=1370A768E11079F467 + 47039.50ns INFO [00047041] * RD COMPARE * port=1 adr=02 act=1370A768E11079F467 exp=1370A768E11079F467 + 47039.50ns INFO [00047041] Port=0 WR @06=BE391D008A654E0354 + 47039.50ns INFO [00047041] Port=0 RD @01 + 47040.50ns INFO [00047042] Port=0 RD @07 + 47040.50ns INFO [00047042] Port=1 RD @00 + 47041.50ns INFO [00047043] * RD COMPARE * port=0 adr=01 act=BE73BD50DE348D304B exp=BE73BD50DE348D304B + 47041.50ns INFO [00047043] Port=0 RD @00 + 47042.50ns INFO [00047044] * RD COMPARE * port=0 adr=07 act=8848691DCB05291B03 exp=8848691DCB05291B03 + 47042.50ns INFO [00047044] * RD COMPARE * port=1 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 47043.50ns INFO [00047045] * RD COMPARE * port=0 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 47043.50ns INFO [00047045] Port=0 WR @06=637755F1C21633D6EE + 47043.50ns INFO [00047045] Port=0 RD @00 + 47044.50ns INFO [00047046] Port=0 WR @07=72B40C712FC4717426 + 47044.50ns INFO [00047046] Port=1 RD @02 + 47045.50ns INFO [00047047] * RD COMPARE * port=0 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 47045.50ns INFO [00047047] Port=0 RD @05 + 47045.50ns INFO [00047047] Port=1 RD @00 + 47046.50ns INFO [00047048] * RD COMPARE * port=1 adr=02 act=1370A768E11079F467 exp=1370A768E11079F467 + 47046.50ns INFO [00047048] Port=0 WR @02=4C0D6BB41D9FCDA1E6 + 47046.50ns INFO [00047048] Port=1 RD @01 + 47047.50ns INFO [00047049] * RD COMPARE * port=0 adr=05 act=794FE05FDF0F5C34EE exp=794FE05FDF0F5C34EE + 47047.50ns INFO [00047049] * RD COMPARE * port=1 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 47048.50ns INFO [00047050] * RD COMPARE * port=1 adr=01 act=BE73BD50DE348D304B exp=BE73BD50DE348D304B + 47048.50ns INFO [00047050] Port=0 WR @04=0C4C495DA186BBC15F + 47048.50ns INFO [00047050] Port=0 RD @00 + 47048.50ns INFO [00047050] Port=1 RD @01 + 47050.50ns INFO [00047052] * RD COMPARE * port=0 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 47050.50ns INFO [00047052] * RD COMPARE * port=1 adr=01 act=BE73BD50DE348D304B exp=BE73BD50DE348D304B + 47050.50ns INFO [00047052] Port=0 RD @03 + 47051.50ns INFO [00047053] Port=0 WR @07=C24B55783BC59A08E3 + 47052.50ns INFO [00047054] * RD COMPARE * port=0 adr=03 act=7E86CD5C8B5AB60E6D exp=7E86CD5C8B5AB60E6D + 47053.50ns INFO [00047055] Port=0 WR @04=577D53947FE57DA4BE + 47054.50ns INFO [00047056] Port=0 RD @05 + 47055.50ns INFO [00047057] Port=0 RD @05 + 47055.50ns INFO [00047057] Port=1 RD @00 + 47056.50ns INFO [00047058] * RD COMPARE * port=0 adr=05 act=794FE05FDF0F5C34EE exp=794FE05FDF0F5C34EE + 47056.50ns INFO [00047058] Port=0 RD @00 + 47057.50ns INFO [00047059] * RD COMPARE * port=0 adr=05 act=794FE05FDF0F5C34EE exp=794FE05FDF0F5C34EE + 47057.50ns INFO [00047059] * RD COMPARE * port=1 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 47057.50ns INFO [00047059] Port=0 WR @01=7D6314D8CA51351B25 + 47057.50ns INFO [00047059] Port=0 RD @06 + 47057.50ns INFO [00047059] Port=1 RD @02 + 47058.50ns INFO [00047060] * RD COMPARE * port=0 adr=00 act=AABC9157F7960502A8 exp=AABC9157F7960502A8 + 47059.50ns INFO [00047061] * RD COMPARE * port=0 adr=06 act=637755F1C21633D6EE exp=637755F1C21633D6EE + 47059.50ns INFO [00047061] * RD COMPARE * port=1 adr=02 act=4C0D6BB41D9FCDA1E6 exp=4C0D6BB41D9FCDA1E6 + 47059.50ns INFO [00047061] Port=0 WR @00=DEC9058EE93409770F + 47059.50ns INFO [00047061] Port=0 RD @05 + 47060.50ns INFO [00047062] Port=0 RD @07 + 47061.50ns INFO [00047063] * RD COMPARE * port=0 adr=05 act=794FE05FDF0F5C34EE exp=794FE05FDF0F5C34EE + 47061.50ns INFO [00047063] Port=0 WR @05=7C4B31CDA1388A88EC + 47061.50ns INFO [00047063] Port=0 RD @04 + 47062.50ns INFO [00047064] * RD COMPARE * port=0 adr=07 act=C24B55783BC59A08E3 exp=C24B55783BC59A08E3 + 47062.50ns INFO [00047064] Port=0 WR @02=3E4C18EF7E09F03C48 + 47063.50ns INFO [00047065] * RD COMPARE * port=0 adr=04 act=577D53947FE57DA4BE exp=577D53947FE57DA4BE + 47063.50ns INFO [00047065] Port=0 RD @01 + 47064.50ns INFO [00047066] Port=0 WR @04=F811134A579F9E7D53 + 47065.50ns INFO [00047067] * RD COMPARE * port=0 adr=01 act=7D6314D8CA51351B25 exp=7D6314D8CA51351B25 + 47065.50ns INFO [00047067] Port=0 WR @07=D1A4250466AF379292 + 47065.50ns INFO [00047067] Port=0 RD @06 + 47065.50ns INFO [00047067] Port=1 RD @04 + 47066.50ns INFO [00047068] Port=0 WR @01=3797307E1136E37D1F + 47066.50ns INFO [00047068] Port=0 RD @02 + 47067.50ns INFO [00047069] * RD COMPARE * port=0 adr=06 act=637755F1C21633D6EE exp=637755F1C21633D6EE + 47067.50ns INFO [00047069] * RD COMPARE * port=1 adr=04 act=F811134A579F9E7D53 exp=F811134A579F9E7D53 + 47067.50ns INFO [00047069] Port=0 WR @00=CC279CD01A23002E50 + 47067.50ns INFO [00047069] Port=1 RD @06 + 47068.50ns INFO [00047070] * RD COMPARE * port=0 adr=02 act=3E4C18EF7E09F03C48 exp=3E4C18EF7E09F03C48 + 47068.50ns INFO [00047070] Port=0 WR @04=916D3E545D0D5B6BE4 + 47068.50ns INFO [00047070] Port=1 RD @02 + 47069.50ns INFO [00047071] * RD COMPARE * port=1 adr=06 act=637755F1C21633D6EE exp=637755F1C21633D6EE + 47070.50ns INFO [00047072] * RD COMPARE * port=1 adr=02 act=3E4C18EF7E09F03C48 exp=3E4C18EF7E09F03C48 + 47071.50ns INFO [00047073] Port=0 RD @05 + 47071.50ns INFO [00047073] Port=1 RD @01 + 47072.50ns INFO [00047074] Port=0 WR @05=CC9469DC4B607B767E + 47073.50ns INFO [00047075] * RD COMPARE * port=0 adr=05 act=7C4B31CDA1388A88EC exp=7C4B31CDA1388A88EC + 47073.50ns INFO [00047075] * RD COMPARE * port=1 adr=01 act=3797307E1136E37D1F exp=3797307E1136E37D1F + 47073.50ns INFO [00047075] Port=0 WR @05=A55320AE9A5292D239 + 47073.50ns INFO [00047075] Port=0 RD @06 + 47073.50ns INFO [00047075] Port=1 RD @06 + 47074.50ns INFO [00047076] Port=1 RD @01 + 47075.50ns INFO [00047077] * RD COMPARE * port=0 adr=06 act=637755F1C21633D6EE exp=637755F1C21633D6EE + 47075.50ns INFO [00047077] * RD COMPARE * port=1 adr=06 act=637755F1C21633D6EE exp=637755F1C21633D6EE + 47075.50ns INFO [00047077] Port=0 RD @04 + 47076.50ns INFO [00047078] * RD COMPARE * port=1 adr=01 act=3797307E1136E37D1F exp=3797307E1136E37D1F + 47077.50ns INFO [00047079] * RD COMPARE * port=0 adr=04 act=916D3E545D0D5B6BE4 exp=916D3E545D0D5B6BE4 + 47078.50ns INFO [00047080] Port=0 WR @04=5981F99B899E7300B0 + 47078.50ns INFO [00047080] Port=1 RD @01 + 47079.50ns INFO [00047081] Port=1 RD @03 + 47080.50ns INFO [00047082] * RD COMPARE * port=1 adr=01 act=3797307E1136E37D1F exp=3797307E1136E37D1F + 47080.50ns INFO [00047082] Port=0 WR @03=786C9CC270731937A9 + 47080.50ns INFO [00047082] Port=0 RD @06 + 47081.50ns INFO [00047083] * RD COMPARE * port=1 adr=03 act=7E86CD5C8B5AB60E6D exp=7E86CD5C8B5AB60E6D + 47081.50ns INFO [00047083] Port=1 RD @01 + 47082.50ns INFO [00047084] * RD COMPARE * port=0 adr=06 act=637755F1C21633D6EE exp=637755F1C21633D6EE + 47082.50ns INFO [00047084] Port=1 RD @04 + 47083.50ns INFO [00047085] * RD COMPARE * port=1 adr=01 act=3797307E1136E37D1F exp=3797307E1136E37D1F + 47083.50ns INFO [00047085] Port=0 WR @00=2115C59B9EE559BBCA + 47084.50ns INFO [00047086] * RD COMPARE * port=1 adr=04 act=5981F99B899E7300B0 exp=5981F99B899E7300B0 + 47084.50ns INFO [00047086] Port=0 RD @05 + 47085.50ns INFO [00047087] Port=0 WR @07=1BF4A141D0D7F5C8E8 + 47085.50ns INFO [00047087] Port=1 RD @05 + 47086.50ns INFO [00047088] * RD COMPARE * port=0 adr=05 act=A55320AE9A5292D239 exp=A55320AE9A5292D239 + 47086.50ns INFO [00047088] Port=0 WR @04=FF5B5B9D1ECB781DDF + 47086.50ns INFO [00047088] Port=1 RD @06 + 47087.50ns INFO [00047089] * RD COMPARE * port=1 adr=05 act=A55320AE9A5292D239 exp=A55320AE9A5292D239 + 47087.50ns INFO [00047089] Port=0 WR @03=473C832BDA783E29C9 + 47087.50ns INFO [00047089] Port=0 RD @06 + 47087.50ns INFO [00047089] Port=1 RD @05 + 47088.50ns INFO [00047090] * RD COMPARE * port=1 adr=06 act=637755F1C21633D6EE exp=637755F1C21633D6EE + 47088.50ns INFO [00047090] Port=0 WR @00=499BC72874CF279617 + 47088.50ns INFO [00047090] Port=0 RD @07 + 47088.50ns INFO [00047090] Port=1 RD @01 + 47089.50ns INFO [00047091] * RD COMPARE * port=0 adr=06 act=637755F1C21633D6EE exp=637755F1C21633D6EE + 47089.50ns INFO [00047091] * RD COMPARE * port=1 adr=05 act=A55320AE9A5292D239 exp=A55320AE9A5292D239 + 47089.50ns INFO [00047091] Port=1 RD @03 + 47090.50ns INFO [00047092] * RD COMPARE * port=0 adr=07 act=1BF4A141D0D7F5C8E8 exp=1BF4A141D0D7F5C8E8 + 47090.50ns INFO [00047092] * RD COMPARE * port=1 adr=01 act=3797307E1136E37D1F exp=3797307E1136E37D1F + 47090.50ns INFO [00047092] Port=0 RD @00 + 47090.50ns INFO [00047092] Port=1 RD @02 + 47091.50ns INFO [00047093] * RD COMPARE * port=1 adr=03 act=473C832BDA783E29C9 exp=473C832BDA783E29C9 + 47091.50ns INFO [00047093] Port=0 WR @05=1E6557E6E91FF72D77 + 47092.50ns INFO [00047094] * RD COMPARE * port=0 adr=00 act=499BC72874CF279617 exp=499BC72874CF279617 + 47092.50ns INFO [00047094] * RD COMPARE * port=1 adr=02 act=3E4C18EF7E09F03C48 exp=3E4C18EF7E09F03C48 + 47092.50ns INFO [00047094] Port=1 RD @01 + 47094.50ns INFO [00047096] * RD COMPARE * port=1 adr=01 act=3797307E1136E37D1F exp=3797307E1136E37D1F + 47094.50ns INFO [00047096] Port=0 WR @05=0E436F2EE0C9F0B727 + 47095.50ns INFO [00047097] Port=1 RD @07 + 47096.50ns INFO [00047098] Port=0 RD @05 + 47097.50ns INFO [00047099] * RD COMPARE * port=1 adr=07 act=1BF4A141D0D7F5C8E8 exp=1BF4A141D0D7F5C8E8 + 47097.50ns INFO [00047099] Port=0 WR @01=CF619DC1A783EB04FC + 47097.50ns INFO [00047099] Port=0 RD @06 + 47098.00ns INFO [00047100] [00047100] ...tick... + 47098.50ns INFO [00047100] * RD COMPARE * port=0 adr=05 act=0E436F2EE0C9F0B727 exp=0E436F2EE0C9F0B727 + 47099.50ns INFO [00047101] * RD COMPARE * port=0 adr=06 act=637755F1C21633D6EE exp=637755F1C21633D6EE + 47099.50ns INFO [00047101] Port=0 WR @03=835D9D89FC981A2A3F + 47099.50ns INFO [00047101] Port=0 RD @04 + 47100.50ns INFO [00047102] Port=0 RD @00 + 47100.50ns INFO [00047102] Port=1 RD @05 + 47101.50ns INFO [00047103] * RD COMPARE * port=0 adr=04 act=FF5B5B9D1ECB781DDF exp=FF5B5B9D1ECB781DDF + 47101.50ns INFO [00047103] Port=0 WR @04=B154ABE9FF8AF0CFC4 + 47101.50ns INFO [00047103] Port=0 RD @01 + 47101.50ns INFO [00047103] Port=1 RD @02 + 47102.50ns INFO [00047104] * RD COMPARE * port=0 adr=00 act=499BC72874CF279617 exp=499BC72874CF279617 + 47102.50ns INFO [00047104] * RD COMPARE * port=1 adr=05 act=0E436F2EE0C9F0B727 exp=0E436F2EE0C9F0B727 + 47102.50ns INFO [00047104] Port=0 RD @05 + 47103.50ns INFO [00047105] * RD COMPARE * port=0 adr=01 act=CF619DC1A783EB04FC exp=CF619DC1A783EB04FC + 47103.50ns INFO [00047105] * RD COMPARE * port=1 adr=02 act=3E4C18EF7E09F03C48 exp=3E4C18EF7E09F03C48 + 47103.50ns INFO [00047105] Port=0 WR @00=58B725E22F75D76F49 + 47103.50ns INFO [00047105] Port=0 RD @04 + 47104.50ns INFO [00047106] * RD COMPARE * port=0 adr=05 act=0E436F2EE0C9F0B727 exp=0E436F2EE0C9F0B727 + 47104.50ns INFO [00047106] Port=0 RD @07 + 47105.50ns INFO [00047107] * RD COMPARE * port=0 adr=04 act=B154ABE9FF8AF0CFC4 exp=B154ABE9FF8AF0CFC4 + 47106.50ns INFO [00047108] * RD COMPARE * port=0 adr=07 act=1BF4A141D0D7F5C8E8 exp=1BF4A141D0D7F5C8E8 + 47106.50ns INFO [00047108] Port=0 WR @01=E248CB4665079BF60C + 47106.50ns INFO [00047108] Port=1 RD @00 + 47107.50ns INFO [00047109] Port=0 RD @03 + 47108.50ns INFO [00047110] * RD COMPARE * port=1 adr=00 act=58B725E22F75D76F49 exp=58B725E22F75D76F49 + 47108.50ns INFO [00047110] Port=1 RD @00 + 47109.50ns INFO [00047111] * RD COMPARE * port=0 adr=03 act=835D9D89FC981A2A3F exp=835D9D89FC981A2A3F + 47109.50ns INFO [00047111] Port=0 WR @06=721DE133C22E8D08DF + 47110.50ns INFO [00047112] * RD COMPARE * port=1 adr=00 act=58B725E22F75D76F49 exp=58B725E22F75D76F49 + 47110.50ns INFO [00047112] Port=0 RD @06 + 47111.50ns INFO [00047113] Port=1 RD @00 + 47112.50ns INFO [00047114] * RD COMPARE * port=0 adr=06 act=721DE133C22E8D08DF exp=721DE133C22E8D08DF + 47112.50ns INFO [00047114] Port=0 RD @05 + 47112.50ns INFO [00047114] Port=1 RD @07 + 47113.50ns INFO [00047115] * RD COMPARE * port=1 adr=00 act=58B725E22F75D76F49 exp=58B725E22F75D76F49 + 47113.50ns INFO [00047115] Port=0 WR @00=AF364D8BA020F6A609 + 47114.50ns INFO [00047116] * RD COMPARE * port=0 adr=05 act=0E436F2EE0C9F0B727 exp=0E436F2EE0C9F0B727 + 47114.50ns INFO [00047116] * RD COMPARE * port=1 adr=07 act=1BF4A141D0D7F5C8E8 exp=1BF4A141D0D7F5C8E8 + 47114.50ns INFO [00047116] Port=0 WR @03=DD3136CB7FD9FBFC82 + 47115.50ns INFO [00047117] Port=0 WR @07=89B0491AB1AEBFAF3F + 47115.50ns INFO [00047117] Port=0 RD @06 + 47115.50ns INFO [00047117] Port=1 RD @05 + 47117.50ns INFO [00047119] * RD COMPARE * port=0 adr=06 act=721DE133C22E8D08DF exp=721DE133C22E8D08DF + 47117.50ns INFO [00047119] * RD COMPARE * port=1 adr=05 act=0E436F2EE0C9F0B727 exp=0E436F2EE0C9F0B727 + 47117.50ns INFO [00047119] Port=0 WR @05=AF0C5FC7036533E0AC + 47117.50ns INFO [00047119] Port=0 RD @06 + 47118.50ns INFO [00047120] Port=0 WR @05=095732A1BF92F98E46 + 47118.50ns INFO [00047120] Port=1 RD @03 + 47119.50ns INFO [00047121] * RD COMPARE * port=0 adr=06 act=721DE133C22E8D08DF exp=721DE133C22E8D08DF + 47119.50ns INFO [00047121] Port=0 WR @01=BD9F8F4941B5DA9374 + 47120.50ns INFO [00047122] * RD COMPARE * port=1 adr=03 act=DD3136CB7FD9FBFC82 exp=DD3136CB7FD9FBFC82 + 47120.50ns INFO [00047122] Port=0 WR @05=923FC04D428A14F731 + 47121.50ns INFO [00047123] Port=0 RD @02 + 47122.50ns INFO [00047124] Port=0 WR @05=F5698959123974D2D4 + 47123.50ns INFO [00047125] * RD COMPARE * port=0 adr=02 act=3E4C18EF7E09F03C48 exp=3E4C18EF7E09F03C48 + 47123.50ns INFO [00047125] Port=1 RD @03 + 47124.50ns INFO [00047126] Port=1 RD @03 + 47125.50ns INFO [00047127] * RD COMPARE * port=1 adr=03 act=DD3136CB7FD9FBFC82 exp=DD3136CB7FD9FBFC82 + 47126.50ns INFO [00047128] * RD COMPARE * port=1 adr=03 act=DD3136CB7FD9FBFC82 exp=DD3136CB7FD9FBFC82 + 47127.50ns INFO [00047129] Port=1 RD @02 + 47128.50ns INFO [00047130] Port=0 RD @05 + 47129.50ns INFO [00047131] * RD COMPARE * port=1 adr=02 act=3E4C18EF7E09F03C48 exp=3E4C18EF7E09F03C48 + 47129.50ns INFO [00047131] Port=0 WR @07=8062EF1D972038532E + 47129.50ns INFO [00047131] Port=0 RD @02 + 47130.50ns INFO [00047132] * RD COMPARE * port=0 adr=05 act=F5698959123974D2D4 exp=F5698959123974D2D4 + 47130.50ns INFO [00047132] Port=0 WR @02=23CAFCD3C5F95DFDFE + 47131.50ns INFO [00047133] * RD COMPARE * port=0 adr=02 act=3E4C18EF7E09F03C48 exp=3E4C18EF7E09F03C48 + 47131.50ns INFO [00047133] Port=0 WR @07=DFD3A356F7CBE145A2 + 47131.50ns INFO [00047133] Port=0 RD @05 + 47131.50ns INFO [00047133] Port=1 RD @00 + 47132.50ns INFO [00047134] Port=1 RD @07 + 47133.50ns INFO [00047135] * RD COMPARE * port=0 adr=05 act=F5698959123974D2D4 exp=F5698959123974D2D4 + 47133.50ns INFO [00047135] * RD COMPARE * port=1 adr=00 act=AF364D8BA020F6A609 exp=AF364D8BA020F6A609 + 47133.50ns INFO [00047135] Port=0 WR @02=3F10D64BEA533D8259 + 47133.50ns INFO [00047135] Port=1 RD @03 + 47134.50ns INFO [00047136] * RD COMPARE * port=1 adr=07 act=DFD3A356F7CBE145A2 exp=DFD3A356F7CBE145A2 + 47135.50ns INFO [00047137] * RD COMPARE * port=1 adr=03 act=DD3136CB7FD9FBFC82 exp=DD3136CB7FD9FBFC82 + 47135.50ns INFO [00047137] Port=0 RD @05 + 47135.50ns INFO [00047137] Port=1 RD @06 + 47136.50ns INFO [00047138] Port=1 RD @05 + 47137.50ns INFO [00047139] * RD COMPARE * port=0 adr=05 act=F5698959123974D2D4 exp=F5698959123974D2D4 + 47137.50ns INFO [00047139] * RD COMPARE * port=1 adr=06 act=721DE133C22E8D08DF exp=721DE133C22E8D08DF + 47137.50ns INFO [00047139] Port=1 RD @01 + 47138.50ns INFO [00047140] * RD COMPARE * port=1 adr=05 act=F5698959123974D2D4 exp=F5698959123974D2D4 + 47138.50ns INFO [00047140] Port=0 WR @05=E032323C9A175D1D52 + 47139.50ns INFO [00047141] * RD COMPARE * port=1 adr=01 act=BD9F8F4941B5DA9374 exp=BD9F8F4941B5DA9374 + 47139.50ns INFO [00047141] Port=1 RD @04 + 47140.50ns INFO [00047142] Port=0 WR @01=267DFC39B9C5F9FDCF + 47140.50ns INFO [00047142] Port=0 RD @04 + 47141.50ns INFO [00047143] * RD COMPARE * port=1 adr=04 act=B154ABE9FF8AF0CFC4 exp=B154ABE9FF8AF0CFC4 + 47141.50ns INFO [00047143] Port=0 WR @06=14440740C229A13462 + 47141.50ns INFO [00047143] Port=1 RD @00 + 47142.50ns INFO [00047144] * RD COMPARE * port=0 adr=04 act=B154ABE9FF8AF0CFC4 exp=B154ABE9FF8AF0CFC4 + 47142.50ns INFO [00047144] Port=0 WR @01=B1097D3FFD3183C250 + 47142.50ns INFO [00047144] Port=1 RD @02 + 47143.50ns INFO [00047145] * RD COMPARE * port=1 adr=00 act=AF364D8BA020F6A609 exp=AF364D8BA020F6A609 + 47143.50ns INFO [00047145] Port=0 RD @06 + 47144.50ns INFO [00047146] * RD COMPARE * port=1 adr=02 act=3F10D64BEA533D8259 exp=3F10D64BEA533D8259 + 47144.50ns INFO [00047146] Port=1 RD @06 + 47145.50ns INFO [00047147] * RD COMPARE * port=0 adr=06 act=14440740C229A13462 exp=14440740C229A13462 + 47146.50ns INFO [00047148] * RD COMPARE * port=1 adr=06 act=14440740C229A13462 exp=14440740C229A13462 + 47146.50ns INFO [00047148] Port=0 WR @03=0FF3B6FFC35522EE76 + 47146.50ns INFO [00047148] Port=0 RD @04 + 47146.50ns INFO [00047148] Port=1 RD @06 + 47147.50ns INFO [00047149] Port=0 RD @00 + 47147.50ns INFO [00047149] Port=1 RD @03 + 47148.50ns INFO [00047150] * RD COMPARE * port=0 adr=04 act=B154ABE9FF8AF0CFC4 exp=B154ABE9FF8AF0CFC4 + 47148.50ns INFO [00047150] * RD COMPARE * port=1 adr=06 act=14440740C229A13462 exp=14440740C229A13462 + 47149.50ns INFO [00047151] * RD COMPARE * port=0 adr=00 act=AF364D8BA020F6A609 exp=AF364D8BA020F6A609 + 47149.50ns INFO [00047151] * RD COMPARE * port=1 adr=03 act=0FF3B6FFC35522EE76 exp=0FF3B6FFC35522EE76 + 47149.50ns INFO [00047151] Port=0 WR @02=623DCD3012054B75F6 + 47149.50ns INFO [00047151] Port=1 RD @05 + 47150.50ns INFO [00047152] Port=0 WR @04=FE499F0061D817245A + 47150.50ns INFO [00047152] Port=1 RD @02 + 47151.50ns INFO [00047153] * RD COMPARE * port=1 adr=05 act=E032323C9A175D1D52 exp=E032323C9A175D1D52 + 47151.50ns INFO [00047153] Port=0 WR @01=7FCF37F344A7367099 + 47151.50ns INFO [00047153] Port=0 RD @02 + 47151.50ns INFO [00047153] Port=1 RD @03 + 47152.50ns INFO [00047154] * RD COMPARE * port=1 adr=02 act=623DCD3012054B75F6 exp=623DCD3012054B75F6 + 47153.50ns INFO [00047155] * RD COMPARE * port=0 adr=02 act=623DCD3012054B75F6 exp=623DCD3012054B75F6 + 47153.50ns INFO [00047155] * RD COMPARE * port=1 adr=03 act=0FF3B6FFC35522EE76 exp=0FF3B6FFC35522EE76 + 47153.50ns INFO [00047155] Port=1 RD @07 + 47155.50ns INFO [00047157] * RD COMPARE * port=1 adr=07 act=DFD3A356F7CBE145A2 exp=DFD3A356F7CBE145A2 + 47155.50ns INFO [00047157] Port=0 RD @04 + 47155.50ns INFO [00047157] Port=1 RD @04 + 47156.50ns INFO [00047158] Port=0 WR @05=55E2FFA4A945B3BC30 + 47157.50ns INFO [00047159] * RD COMPARE * port=0 adr=04 act=FE499F0061D817245A exp=FE499F0061D817245A + 47157.50ns INFO [00047159] * RD COMPARE * port=1 adr=04 act=FE499F0061D817245A exp=FE499F0061D817245A + 47157.50ns INFO [00047159] Port=0 WR @05=C200B82DE9F002FF39 + 47157.50ns INFO [00047159] Port=1 RD @02 + 47159.50ns INFO [00047161] * RD COMPARE * port=1 adr=02 act=623DCD3012054B75F6 exp=623DCD3012054B75F6 + 47161.50ns INFO [00047163] Port=1 RD @07 + 47162.50ns INFO [00047164] Port=0 RD @06 + 47162.50ns INFO [00047164] Port=1 RD @04 + 47163.50ns INFO [00047165] * RD COMPARE * port=1 adr=07 act=DFD3A356F7CBE145A2 exp=DFD3A356F7CBE145A2 + 47164.50ns INFO [00047166] * RD COMPARE * port=0 adr=06 act=14440740C229A13462 exp=14440740C229A13462 + 47164.50ns INFO [00047166] * RD COMPARE * port=1 adr=04 act=FE499F0061D817245A exp=FE499F0061D817245A + 47164.50ns INFO [00047166] Port=0 RD @03 + 47164.50ns INFO [00047166] Port=1 RD @04 + 47165.50ns INFO [00047167] Port=1 RD @06 + 47166.50ns INFO [00047168] * RD COMPARE * port=0 adr=03 act=0FF3B6FFC35522EE76 exp=0FF3B6FFC35522EE76 + 47166.50ns INFO [00047168] * RD COMPARE * port=1 adr=04 act=FE499F0061D817245A exp=FE499F0061D817245A + 47166.50ns INFO [00047168] Port=0 WR @02=AA205B9EB0D04CFBCF + 47167.50ns INFO [00047169] * RD COMPARE * port=1 adr=06 act=14440740C229A13462 exp=14440740C229A13462 + 47167.50ns INFO [00047169] Port=1 RD @03 + 47168.50ns INFO [00047170] Port=1 RD @04 + 47169.50ns INFO [00047171] * RD COMPARE * port=1 adr=03 act=0FF3B6FFC35522EE76 exp=0FF3B6FFC35522EE76 + 47169.50ns INFO [00047171] Port=0 WR @03=EF0A7076337F03B7C1 + 47170.50ns INFO [00047172] * RD COMPARE * port=1 adr=04 act=FE499F0061D817245A exp=FE499F0061D817245A + 47170.50ns INFO [00047172] Port=1 RD @00 + 47171.50ns INFO [00047173] Port=0 WR @07=C6858C30E0F3DF77A1 + 47172.50ns INFO [00047174] * RD COMPARE * port=1 adr=00 act=AF364D8BA020F6A609 exp=AF364D8BA020F6A609 + 47172.50ns INFO [00047174] Port=0 RD @05 + 47172.50ns INFO [00047174] Port=1 RD @03 + 47173.50ns INFO [00047175] Port=0 RD @01 + 47174.50ns INFO [00047176] * RD COMPARE * port=0 adr=05 act=C200B82DE9F002FF39 exp=C200B82DE9F002FF39 + 47174.50ns INFO [00047176] * RD COMPARE * port=1 adr=03 act=EF0A7076337F03B7C1 exp=EF0A7076337F03B7C1 + 47175.50ns INFO [00047177] * RD COMPARE * port=0 adr=01 act=7FCF37F344A7367099 exp=7FCF37F344A7367099 + 47175.50ns INFO [00047177] Port=0 WR @02=DE484668856196F88A + 47175.50ns INFO [00047177] Port=0 RD @07 + 47176.50ns INFO [00047178] Port=0 RD @07 + 47176.50ns INFO [00047178] Port=1 RD @06 + 47177.50ns INFO [00047179] * RD COMPARE * port=0 adr=07 act=C6858C30E0F3DF77A1 exp=C6858C30E0F3DF77A1 + 47178.50ns INFO [00047180] * RD COMPARE * port=0 adr=07 act=C6858C30E0F3DF77A1 exp=C6858C30E0F3DF77A1 + 47178.50ns INFO [00047180] * RD COMPARE * port=1 adr=06 act=14440740C229A13462 exp=14440740C229A13462 + 47178.50ns INFO [00047180] Port=0 RD @04 + 47178.50ns INFO [00047180] Port=1 RD @02 + 47179.50ns INFO [00047181] Port=0 WR @06=23394B8EAB6FF1FC6F + 47180.50ns INFO [00047182] * RD COMPARE * port=0 adr=04 act=FE499F0061D817245A exp=FE499F0061D817245A + 47180.50ns INFO [00047182] * RD COMPARE * port=1 adr=02 act=DE484668856196F88A exp=DE484668856196F88A + 47180.50ns INFO [00047182] Port=0 RD @05 + 47181.50ns INFO [00047183] Port=1 RD @01 + 47182.50ns INFO [00047184] * RD COMPARE * port=0 adr=05 act=C200B82DE9F002FF39 exp=C200B82DE9F002FF39 + 47182.50ns INFO [00047184] Port=0 RD @01 + 47182.50ns INFO [00047184] Port=1 RD @06 + 47183.50ns INFO [00047185] * RD COMPARE * port=1 adr=01 act=7FCF37F344A7367099 exp=7FCF37F344A7367099 + 47183.50ns INFO [00047185] Port=1 RD @06 + 47184.50ns INFO [00047186] * RD COMPARE * port=0 adr=01 act=7FCF37F344A7367099 exp=7FCF37F344A7367099 + 47184.50ns INFO [00047186] * RD COMPARE * port=1 adr=06 act=23394B8EAB6FF1FC6F exp=23394B8EAB6FF1FC6F + 47184.50ns INFO [00047186] Port=0 WR @02=17355926F4E91BC2EA + 47185.50ns INFO [00047187] * RD COMPARE * port=1 adr=06 act=23394B8EAB6FF1FC6F exp=23394B8EAB6FF1FC6F + 47185.50ns INFO [00047187] Port=0 RD @00 + 47186.50ns INFO [00047188] Port=0 WR @05=2E2A218E4F3A2C61DB + 47187.50ns INFO [00047189] * RD COMPARE * port=0 adr=00 act=AF364D8BA020F6A609 exp=AF364D8BA020F6A609 + 47187.50ns INFO [00047189] Port=0 WR @02=7C167823C8F831FF8D + 47187.50ns INFO [00047189] Port=0 RD @05 + 47188.50ns INFO [00047190] Port=0 RD @03 + 47189.50ns INFO [00047191] * RD COMPARE * port=0 adr=05 act=2E2A218E4F3A2C61DB exp=2E2A218E4F3A2C61DB + 47189.50ns INFO [00047191] Port=0 WR @01=CC21FE012184CA8291 + 47189.50ns INFO [00047191] Port=0 RD @04 + 47189.50ns INFO [00047191] Port=1 RD @07 + 47190.50ns INFO [00047192] * RD COMPARE * port=0 adr=03 act=EF0A7076337F03B7C1 exp=EF0A7076337F03B7C1 + 47191.50ns INFO [00047193] * RD COMPARE * port=0 adr=04 act=FE499F0061D817245A exp=FE499F0061D817245A + 47191.50ns INFO [00047193] * RD COMPARE * port=1 adr=07 act=C6858C30E0F3DF77A1 exp=C6858C30E0F3DF77A1 + 47191.50ns INFO [00047193] Port=0 WR @00=F9DC2E509713FEEF1F + 47192.50ns INFO [00047194] Port=0 WR @03=F541D24151F5F0A991 + 47192.50ns INFO [00047194] Port=0 RD @02 + 47192.50ns INFO [00047194] Port=1 RD @07 + 47193.50ns INFO [00047195] Port=0 RD @00 + 47194.50ns INFO [00047196] * RD COMPARE * port=0 adr=02 act=7C167823C8F831FF8D exp=7C167823C8F831FF8D + 47194.50ns INFO [00047196] * RD COMPARE * port=1 adr=07 act=C6858C30E0F3DF77A1 exp=C6858C30E0F3DF77A1 + 47194.50ns INFO [00047196] Port=0 WR @05=2E8BFB01F5BE290F33 + 47194.50ns INFO [00047196] Port=0 RD @01 + 47194.50ns INFO [00047196] Port=1 RD @04 + 47195.50ns INFO [00047197] * RD COMPARE * port=0 adr=00 act=F9DC2E509713FEEF1F exp=F9DC2E509713FEEF1F + 47196.50ns INFO [00047198] * RD COMPARE * port=0 adr=01 act=CC21FE012184CA8291 exp=CC21FE012184CA8291 + 47196.50ns INFO [00047198] * RD COMPARE * port=1 adr=04 act=FE499F0061D817245A exp=FE499F0061D817245A + 47197.50ns INFO [00047199] Port=0 RD @07 + 47198.00ns INFO [00047200] [00047200] ...tick... + 47198.50ns INFO [00047200] Port=0 RD @00 + 47199.50ns INFO [00047201] * RD COMPARE * port=0 adr=07 act=C6858C30E0F3DF77A1 exp=C6858C30E0F3DF77A1 + 47199.50ns INFO [00047201] Port=0 RD @01 + 47200.50ns INFO [00047202] * RD COMPARE * port=0 adr=00 act=F9DC2E509713FEEF1F exp=F9DC2E509713FEEF1F + 47200.50ns INFO [00047202] Port=0 WR @07=7E97DFB21C9184B9F2 + 47201.50ns INFO [00047203] * RD COMPARE * port=0 adr=01 act=CC21FE012184CA8291 exp=CC21FE012184CA8291 + 47201.50ns INFO [00047203] Port=0 WR @02=202A547F37811F77A3 + 47201.50ns INFO [00047203] Port=0 RD @06 + 47201.50ns INFO [00047203] Port=1 RD @06 + 47203.50ns INFO [00047205] * RD COMPARE * port=0 adr=06 act=23394B8EAB6FF1FC6F exp=23394B8EAB6FF1FC6F + 47203.50ns INFO [00047205] * RD COMPARE * port=1 adr=06 act=23394B8EAB6FF1FC6F exp=23394B8EAB6FF1FC6F + 47204.50ns INFO [00047206] Port=0 RD @02 + 47205.50ns INFO [00047207] Port=0 RD @06 + 47206.50ns INFO [00047208] * RD COMPARE * port=0 adr=02 act=202A547F37811F77A3 exp=202A547F37811F77A3 + 47206.50ns INFO [00047208] Port=0 WR @02=BD6B97FA355E7D0B74 + 47206.50ns INFO [00047208] Port=0 RD @03 + 47206.50ns INFO [00047208] Port=1 RD @04 + 47207.50ns INFO [00047209] * RD COMPARE * port=0 adr=06 act=23394B8EAB6FF1FC6F exp=23394B8EAB6FF1FC6F + 47207.50ns INFO [00047209] Port=0 WR @05=1B54C3A60A049A00B6 + 47207.50ns INFO [00047209] Port=0 RD @06 + 47208.50ns INFO [00047210] * RD COMPARE * port=0 adr=03 act=F541D24151F5F0A991 exp=F541D24151F5F0A991 + 47208.50ns INFO [00047210] * RD COMPARE * port=1 adr=04 act=FE499F0061D817245A exp=FE499F0061D817245A + 47208.50ns INFO [00047210] Port=0 WR @04=4BE2A38FAB14EF2F4C + 47208.50ns INFO [00047210] Port=0 RD @07 + 47208.50ns INFO [00047210] Port=1 RD @02 + 47209.50ns INFO [00047211] * RD COMPARE * port=0 adr=06 act=23394B8EAB6FF1FC6F exp=23394B8EAB6FF1FC6F + 47210.50ns INFO [00047212] * RD COMPARE * port=0 adr=07 act=7E97DFB21C9184B9F2 exp=7E97DFB21C9184B9F2 + 47210.50ns INFO [00047212] * RD COMPARE * port=1 adr=02 act=BD6B97FA355E7D0B74 exp=BD6B97FA355E7D0B74 + 47210.50ns INFO [00047212] Port=0 RD @00 + 47210.50ns INFO [00047212] Port=1 RD @00 + 47211.50ns INFO [00047213] Port=0 WR @01=325CF5FC9F33F36758 + 47211.50ns INFO [00047213] Port=0 RD @00 + 47211.50ns INFO [00047213] Port=1 RD @00 + 47212.50ns INFO [00047214] * RD COMPARE * port=0 adr=00 act=F9DC2E509713FEEF1F exp=F9DC2E509713FEEF1F + 47212.50ns INFO [00047214] * RD COMPARE * port=1 adr=00 act=F9DC2E509713FEEF1F exp=F9DC2E509713FEEF1F + 47212.50ns INFO [00047214] Port=1 RD @06 + 47213.50ns INFO [00047215] * RD COMPARE * port=0 adr=00 act=F9DC2E509713FEEF1F exp=F9DC2E509713FEEF1F + 47213.50ns INFO [00047215] * RD COMPARE * port=1 adr=00 act=F9DC2E509713FEEF1F exp=F9DC2E509713FEEF1F + 47213.50ns INFO [00047215] Port=1 RD @04 + 47214.50ns INFO [00047216] * RD COMPARE * port=1 adr=06 act=23394B8EAB6FF1FC6F exp=23394B8EAB6FF1FC6F + 47214.50ns INFO [00047216] Port=0 WR @05=281BBC6826113DFDC9 + 47214.50ns INFO [00047216] Port=0 RD @01 + 47215.50ns INFO [00047217] * RD COMPARE * port=1 adr=04 act=4BE2A38FAB14EF2F4C exp=4BE2A38FAB14EF2F4C + 47215.50ns INFO [00047217] Port=0 WR @00=4D7D9A358B733C0BBA + 47215.50ns INFO [00047217] Port=0 RD @07 + 47216.50ns INFO [00047218] * RD COMPARE * port=0 adr=01 act=325CF5FC9F33F36758 exp=325CF5FC9F33F36758 + 47217.50ns INFO [00047219] * RD COMPARE * port=0 adr=07 act=7E97DFB21C9184B9F2 exp=7E97DFB21C9184B9F2 + 47218.50ns INFO [00047220] Port=0 RD @05 + 47219.50ns INFO [00047221] Port=0 WR @02=80B10085E00A8BC679 + 47219.50ns INFO [00047221] Port=1 RD @07 + 47220.50ns INFO [00047222] * RD COMPARE * port=0 adr=05 act=281BBC6826113DFDC9 exp=281BBC6826113DFDC9 + 47220.50ns INFO [00047222] Port=0 WR @02=4A591173382FEC8867 + 47221.50ns INFO [00047223] * RD COMPARE * port=1 adr=07 act=7E97DFB21C9184B9F2 exp=7E97DFB21C9184B9F2 + 47221.50ns INFO [00047223] Port=0 RD @00 + 47223.50ns INFO [00047225] * RD COMPARE * port=0 adr=00 act=4D7D9A358B733C0BBA exp=4D7D9A358B733C0BBA + 47224.50ns INFO [00047226] Port=1 RD @00 + 47226.50ns INFO [00047228] * RD COMPARE * port=1 adr=00 act=4D7D9A358B733C0BBA exp=4D7D9A358B733C0BBA + 47226.50ns INFO [00047228] Port=0 WR @04=9580AB181401D5D9DC + 47227.50ns INFO [00047229] Port=0 WR @05=AFD3E136BB11CDC0B1 + 47229.50ns INFO [00047231] Port=0 WR @03=899933373630FDE544 + 47230.50ns INFO [00047232] Port=0 WR @00=ADED8FCDF0621DF256 + 47230.50ns INFO [00047232] Port=1 RD @05 + 47231.50ns INFO [00047233] Port=1 RD @03 + 47232.50ns INFO [00047234] * RD COMPARE * port=1 adr=05 act=AFD3E136BB11CDC0B1 exp=AFD3E136BB11CDC0B1 + 47232.50ns INFO [00047234] Port=1 RD @07 + 47233.50ns INFO [00047235] * RD COMPARE * port=1 adr=03 act=899933373630FDE544 exp=899933373630FDE544 + 47233.50ns INFO [00047235] Port=1 RD @00 + 47234.50ns INFO [00047236] * RD COMPARE * port=1 adr=07 act=7E97DFB21C9184B9F2 exp=7E97DFB21C9184B9F2 + 47234.50ns INFO [00047236] Port=0 WR @00=F131FA4EEC2841B886 + 47234.50ns INFO [00047236] Port=0 RD @02 + 47234.50ns INFO [00047236] Port=1 RD @07 + 47235.50ns INFO [00047237] * RD COMPARE * port=1 adr=00 act=ADED8FCDF0621DF256 exp=ADED8FCDF0621DF256 + 47235.50ns INFO [00047237] Port=1 RD @06 + 47236.50ns INFO [00047238] * RD COMPARE * port=0 adr=02 act=4A591173382FEC8867 exp=4A591173382FEC8867 + 47236.50ns INFO [00047238] * RD COMPARE * port=1 adr=07 act=7E97DFB21C9184B9F2 exp=7E97DFB21C9184B9F2 + 47237.50ns INFO [00047239] * RD COMPARE * port=1 adr=06 act=23394B8EAB6FF1FC6F exp=23394B8EAB6FF1FC6F + 47237.50ns INFO [00047239] Port=0 WR @04=3AA972134CAABBC182 + 47238.50ns INFO [00047240] Port=1 RD @04 + 47239.50ns INFO [00047241] Port=0 RD @02 + 47240.50ns INFO [00047242] * RD COMPARE * port=1 adr=04 act=3AA972134CAABBC182 exp=3AA972134CAABBC182 + 47241.50ns INFO [00047243] * RD COMPARE * port=0 adr=02 act=4A591173382FEC8867 exp=4A591173382FEC8867 + 47242.50ns INFO [00047244] Port=0 WR @05=DDC9A6EF8D4BCE1D27 + 47243.50ns INFO [00047245] Port=0 WR @00=5D941A7A9B9DEBC782 + 47243.50ns INFO [00047245] Port=0 RD @03 + 47244.50ns INFO [00047246] Port=1 RD @03 + 47245.50ns INFO [00047247] * RD COMPARE * port=0 adr=03 act=899933373630FDE544 exp=899933373630FDE544 + 47246.50ns INFO [00047248] * RD COMPARE * port=1 adr=03 act=899933373630FDE544 exp=899933373630FDE544 + 47247.50ns INFO [00047249] Port=0 RD @07 + 47248.50ns INFO [00047250] Port=0 WR @04=823BDDB196F0A903B4 + 47249.50ns INFO [00047251] * RD COMPARE * port=0 adr=07 act=7E97DFB21C9184B9F2 exp=7E97DFB21C9184B9F2 + 47249.50ns INFO [00047251] Port=0 WR @06=89750BC5DCAEBACBC9 + 47250.50ns INFO [00047252] Port=0 WR @03=59D475569D4D2D38C5 + 47250.50ns INFO [00047252] Port=1 RD @06 + 47252.50ns INFO [00047254] * RD COMPARE * port=1 adr=06 act=89750BC5DCAEBACBC9 exp=89750BC5DCAEBACBC9 + 47253.50ns INFO [00047255] Port=0 RD @01 + 47254.50ns INFO [00047256] Port=0 WR @04=B88962D18B9E9D2937 + 47254.50ns INFO [00047256] Port=0 RD @02 + 47255.50ns INFO [00047257] * RD COMPARE * port=0 adr=01 act=325CF5FC9F33F36758 exp=325CF5FC9F33F36758 + 47255.50ns INFO [00047257] Port=0 WR @04=4C36372AA3C2CB3DBD + 47255.50ns INFO [00047257] Port=0 RD @06 + 47256.50ns INFO [00047258] * RD COMPARE * port=0 adr=02 act=4A591173382FEC8867 exp=4A591173382FEC8867 + 47256.50ns INFO [00047258] Port=0 WR @01=25B22A8110F795499C + 47257.50ns INFO [00047259] * RD COMPARE * port=0 adr=06 act=89750BC5DCAEBACBC9 exp=89750BC5DCAEBACBC9 + 47257.50ns INFO [00047259] Port=0 RD @01 + 47258.50ns INFO [00047260] Port=0 WR @00=785A4232FFEF01A4AC + 47258.50ns INFO [00047260] Port=1 RD @05 + 47259.50ns INFO [00047261] * RD COMPARE * port=0 adr=01 act=25B22A8110F795499C exp=25B22A8110F795499C + 47260.50ns INFO [00047262] * RD COMPARE * port=1 adr=05 act=DDC9A6EF8D4BCE1D27 exp=DDC9A6EF8D4BCE1D27 + 47260.50ns INFO [00047262] Port=0 WR @06=7F828E17851FF293E3 + 47261.50ns INFO [00047263] Port=0 WR @06=A8D40E8AFF3183777D + 47261.50ns INFO [00047263] Port=0 RD @07 + 47262.50ns INFO [00047264] Port=0 RD @02 + 47263.50ns INFO [00047265] * RD COMPARE * port=0 adr=07 act=7E97DFB21C9184B9F2 exp=7E97DFB21C9184B9F2 + 47263.50ns INFO [00047265] Port=1 RD @04 + 47264.50ns INFO [00047266] * RD COMPARE * port=0 adr=02 act=4A591173382FEC8867 exp=4A591173382FEC8867 + 47264.50ns INFO [00047266] Port=0 RD @05 + 47264.50ns INFO [00047266] Port=1 RD @05 + 47265.50ns INFO [00047267] * RD COMPARE * port=1 adr=04 act=4C36372AA3C2CB3DBD exp=4C36372AA3C2CB3DBD + 47265.50ns INFO [00047267] Port=0 WR @02=A777308870E1C7036B + 47265.50ns INFO [00047267] Port=1 RD @00 + 47266.50ns INFO [00047268] * RD COMPARE * port=0 adr=05 act=DDC9A6EF8D4BCE1D27 exp=DDC9A6EF8D4BCE1D27 + 47266.50ns INFO [00047268] * RD COMPARE * port=1 adr=05 act=DDC9A6EF8D4BCE1D27 exp=DDC9A6EF8D4BCE1D27 + 47266.50ns INFO [00047268] Port=0 RD @00 + 47267.50ns INFO [00047269] * RD COMPARE * port=1 adr=00 act=785A4232FFEF01A4AC exp=785A4232FFEF01A4AC + 47267.50ns INFO [00047269] Port=0 WR @05=8D52AB25C18CAF0390 + 47267.50ns INFO [00047269] Port=0 RD @00 + 47268.50ns INFO [00047270] * RD COMPARE * port=0 adr=00 act=785A4232FFEF01A4AC exp=785A4232FFEF01A4AC + 47268.50ns INFO [00047270] Port=0 WR @06=380D834A084A53A9BE + 47269.50ns INFO [00047271] * RD COMPARE * port=0 adr=00 act=785A4232FFEF01A4AC exp=785A4232FFEF01A4AC + 47269.50ns INFO [00047271] Port=0 RD @05 + 47270.50ns INFO [00047272] Port=1 RD @05 + 47271.50ns INFO [00047273] * RD COMPARE * port=0 adr=05 act=8D52AB25C18CAF0390 exp=8D52AB25C18CAF0390 + 47271.50ns INFO [00047273] Port=0 WR @01=5F1C8490AE89E22DE4 + 47272.50ns INFO [00047274] * RD COMPARE * port=1 adr=05 act=8D52AB25C18CAF0390 exp=8D52AB25C18CAF0390 + 47272.50ns INFO [00047274] Port=0 RD @06 + 47272.50ns INFO [00047274] Port=1 RD @07 + 47274.50ns INFO [00047276] * RD COMPARE * port=0 adr=06 act=380D834A084A53A9BE exp=380D834A084A53A9BE + 47274.50ns INFO [00047276] * RD COMPARE * port=1 adr=07 act=7E97DFB21C9184B9F2 exp=7E97DFB21C9184B9F2 + 47274.50ns INFO [00047276] Port=0 WR @05=2F6C977556EE826C02 + 47274.50ns INFO [00047276] Port=0 RD @07 + 47275.50ns INFO [00047277] Port=0 WR @01=F0446F3F74113613FB + 47276.50ns INFO [00047278] * RD COMPARE * port=0 adr=07 act=7E97DFB21C9184B9F2 exp=7E97DFB21C9184B9F2 + 47276.50ns INFO [00047278] Port=1 RD @05 + 47277.50ns INFO [00047279] Port=0 RD @05 + 47278.50ns INFO [00047280] * RD COMPARE * port=1 adr=05 act=2F6C977556EE826C02 exp=2F6C977556EE826C02 + 47278.50ns INFO [00047280] Port=0 WR @07=7053C952E2DB54065B + 47278.50ns INFO [00047280] Port=0 RD @00 + 47279.50ns INFO [00047281] * RD COMPARE * port=0 adr=05 act=2F6C977556EE826C02 exp=2F6C977556EE826C02 + 47279.50ns INFO [00047281] Port=1 RD @05 + 47280.50ns INFO [00047282] * RD COMPARE * port=0 adr=00 act=785A4232FFEF01A4AC exp=785A4232FFEF01A4AC + 47280.50ns INFO [00047282] Port=0 WR @05=9E98AB4CAF14E9696D + 47281.50ns INFO [00047283] * RD COMPARE * port=1 adr=05 act=2F6C977556EE826C02 exp=2F6C977556EE826C02 + 47281.50ns INFO [00047283] Port=0 WR @03=192BF79460B6BC6BA1 + 47281.50ns INFO [00047283] Port=1 RD @07 + 47282.50ns INFO [00047284] Port=0 WR @03=73417DDC750B50059E + 47282.50ns INFO [00047284] Port=0 RD @00 + 47283.50ns INFO [00047285] * RD COMPARE * port=1 adr=07 act=7053C952E2DB54065B exp=7053C952E2DB54065B + 47283.50ns INFO [00047285] Port=1 RD @04 + 47284.50ns INFO [00047286] * RD COMPARE * port=0 adr=00 act=785A4232FFEF01A4AC exp=785A4232FFEF01A4AC + 47284.50ns INFO [00047286] Port=0 WR @00=4B695389FE55E2853D + 47284.50ns INFO [00047286] Port=0 RD @05 + 47284.50ns INFO [00047286] Port=1 RD @04 + 47285.50ns INFO [00047287] * RD COMPARE * port=1 adr=04 act=4C36372AA3C2CB3DBD exp=4C36372AA3C2CB3DBD + 47285.50ns INFO [00047287] Port=0 WR @06=2079450986D178CD0C + 47285.50ns INFO [00047287] Port=1 RD @05 + 47286.50ns INFO [00047288] * RD COMPARE * port=0 adr=05 act=9E98AB4CAF14E9696D exp=9E98AB4CAF14E9696D + 47286.50ns INFO [00047288] * RD COMPARE * port=1 adr=04 act=4C36372AA3C2CB3DBD exp=4C36372AA3C2CB3DBD + 47286.50ns INFO [00047288] Port=0 WR @04=710856C499B10F6F6F + 47286.50ns INFO [00047288] Port=1 RD @06 + 47287.50ns INFO [00047289] * RD COMPARE * port=1 adr=05 act=9E98AB4CAF14E9696D exp=9E98AB4CAF14E9696D + 47287.50ns INFO [00047289] Port=0 WR @04=E71C07A662EE7E4A5D + 47287.50ns INFO [00047289] Port=1 RD @02 + 47288.50ns INFO [00047290] * RD COMPARE * port=1 adr=06 act=2079450986D178CD0C exp=2079450986D178CD0C + 47288.50ns INFO [00047290] Port=0 WR @06=16028BDAF832F845C5 + 47288.50ns INFO [00047290] Port=0 RD @04 + 47289.50ns INFO [00047291] * RD COMPARE * port=1 adr=02 act=A777308870E1C7036B exp=A777308870E1C7036B + 47289.50ns INFO [00047291] Port=0 WR @01=E31F893755851A9E83 + 47290.50ns INFO [00047292] * RD COMPARE * port=0 adr=04 act=E71C07A662EE7E4A5D exp=E71C07A662EE7E4A5D + 47292.50ns INFO [00047294] Port=1 RD @05 + 47293.50ns INFO [00047295] Port=0 WR @06=CF056D7D74ADC84A60 + 47293.50ns INFO [00047295] Port=0 RD @07 + 47294.50ns INFO [00047296] * RD COMPARE * port=1 adr=05 act=9E98AB4CAF14E9696D exp=9E98AB4CAF14E9696D + 47294.50ns INFO [00047296] Port=0 WR @07=78229943D23809D079 + 47294.50ns INFO [00047296] Port=0 RD @05 + 47294.50ns INFO [00047296] Port=1 RD @05 + 47295.50ns INFO [00047297] * RD COMPARE * port=0 adr=07 act=7053C952E2DB54065B exp=7053C952E2DB54065B + 47296.50ns INFO [00047298] * RD COMPARE * port=0 adr=05 act=9E98AB4CAF14E9696D exp=9E98AB4CAF14E9696D + 47296.50ns INFO [00047298] * RD COMPARE * port=1 adr=05 act=9E98AB4CAF14E9696D exp=9E98AB4CAF14E9696D + 47298.00ns INFO [00047300] [00047300] ...tick... + 47298.50ns INFO [00047300] Port=0 RD @02 + 47298.50ns INFO [00047300] Port=1 RD @03 + 47299.50ns INFO [00047301] Port=1 RD @03 + 47300.50ns INFO [00047302] * RD COMPARE * port=0 adr=02 act=A777308870E1C7036B exp=A777308870E1C7036B + 47300.50ns INFO [00047302] * RD COMPARE * port=1 adr=03 act=73417DDC750B50059E exp=73417DDC750B50059E + 47300.50ns INFO [00047302] Port=0 WR @07=78F92CF05F6FDB8442 + 47300.50ns INFO [00047302] Port=0 RD @01 + 47301.50ns INFO [00047303] * RD COMPARE * port=1 adr=03 act=73417DDC750B50059E exp=73417DDC750B50059E + 47301.50ns INFO [00047303] Port=0 RD @02 + 47301.50ns INFO [00047303] Port=1 RD @05 + 47302.50ns INFO [00047304] * RD COMPARE * port=0 adr=01 act=E31F893755851A9E83 exp=E31F893755851A9E83 + 47302.50ns INFO [00047304] Port=0 WR @07=B36F8A900285E130B2 + 47302.50ns INFO [00047304] Port=0 RD @01 + 47303.50ns INFO [00047305] * RD COMPARE * port=0 adr=02 act=A777308870E1C7036B exp=A777308870E1C7036B + 47303.50ns INFO [00047305] * RD COMPARE * port=1 adr=05 act=9E98AB4CAF14E9696D exp=9E98AB4CAF14E9696D + 47303.50ns INFO [00047305] Port=0 WR @00=1BA253A7B823CC4466 + 47303.50ns INFO [00047305] Port=0 RD @03 + 47304.50ns INFO [00047306] * RD COMPARE * port=0 adr=01 act=E31F893755851A9E83 exp=E31F893755851A9E83 + 47305.50ns INFO [00047307] * RD COMPARE * port=0 adr=03 act=73417DDC750B50059E exp=73417DDC750B50059E + 47306.50ns INFO [00047308] Port=0 RD @02 + 47308.50ns INFO [00047310] * RD COMPARE * port=0 adr=02 act=A777308870E1C7036B exp=A777308870E1C7036B + 47308.50ns INFO [00047310] Port=0 WR @01=9A81DEBC4293C5C514 + 47308.50ns INFO [00047310] Port=0 RD @03 + 47309.50ns INFO [00047311] Port=0 RD @05 + 47310.50ns INFO [00047312] * RD COMPARE * port=0 adr=03 act=73417DDC750B50059E exp=73417DDC750B50059E + 47311.50ns INFO [00047313] * RD COMPARE * port=0 adr=05 act=9E98AB4CAF14E9696D exp=9E98AB4CAF14E9696D + 47311.50ns INFO [00047313] Port=0 WR @06=890B536659A071A3D1 + 47311.50ns INFO [00047313] Port=1 RD @07 + 47313.50ns INFO [00047315] * RD COMPARE * port=1 adr=07 act=B36F8A900285E130B2 exp=B36F8A900285E130B2 + 47313.50ns INFO [00047315] Port=0 WR @04=9354B6D6F8CD0DFFC4 + 47313.50ns INFO [00047315] Port=1 RD @00 + 47314.50ns INFO [00047316] Port=0 RD @02 + 47314.50ns INFO [00047316] Port=1 RD @02 + 47315.50ns INFO [00047317] * RD COMPARE * port=1 adr=00 act=1BA253A7B823CC4466 exp=1BA253A7B823CC4466 + 47315.50ns INFO [00047317] Port=0 WR @00=3827F2CC510A2E1724 + 47316.50ns INFO [00047318] * RD COMPARE * port=0 adr=02 act=A777308870E1C7036B exp=A777308870E1C7036B + 47316.50ns INFO [00047318] * RD COMPARE * port=1 adr=02 act=A777308870E1C7036B exp=A777308870E1C7036B + 47316.50ns INFO [00047318] Port=1 RD @04 + 47317.50ns INFO [00047319] Port=0 RD @00 + 47317.50ns INFO [00047319] Port=1 RD @07 + 47318.50ns INFO [00047320] * RD COMPARE * port=1 adr=04 act=9354B6D6F8CD0DFFC4 exp=9354B6D6F8CD0DFFC4 + 47319.50ns INFO [00047321] * RD COMPARE * port=0 adr=00 act=3827F2CC510A2E1724 exp=3827F2CC510A2E1724 + 47319.50ns INFO [00047321] * RD COMPARE * port=1 adr=07 act=B36F8A900285E130B2 exp=B36F8A900285E130B2 + 47319.50ns INFO [00047321] Port=0 RD @03 + 47320.50ns INFO [00047322] Port=0 RD @05 + 47321.50ns INFO [00047323] * RD COMPARE * port=0 adr=03 act=73417DDC750B50059E exp=73417DDC750B50059E + 47321.50ns INFO [00047323] Port=0 WR @05=DAC58F87BC7309F3F3 + 47322.50ns INFO [00047324] * RD COMPARE * port=0 adr=05 act=9E98AB4CAF14E9696D exp=9E98AB4CAF14E9696D + 47322.50ns INFO [00047324] Port=0 RD @00 + 47322.50ns INFO [00047324] Port=1 RD @02 + 47323.50ns INFO [00047325] Port=0 WR @04=3542F06106AF469FFF + 47323.50ns INFO [00047325] Port=1 RD @03 + 47324.50ns INFO [00047326] * RD COMPARE * port=0 adr=00 act=3827F2CC510A2E1724 exp=3827F2CC510A2E1724 + 47324.50ns INFO [00047326] * RD COMPARE * port=1 adr=02 act=A777308870E1C7036B exp=A777308870E1C7036B + 47324.50ns INFO [00047326] Port=0 RD @04 + 47325.50ns INFO [00047327] * RD COMPARE * port=1 adr=03 act=73417DDC750B50059E exp=73417DDC750B50059E + 47325.50ns INFO [00047327] Port=0 WR @04=5780DED6ECDBED0492 + 47326.50ns INFO [00047328] * RD COMPARE * port=0 adr=04 act=3542F06106AF469FFF exp=3542F06106AF469FFF + 47326.50ns INFO [00047328] Port=0 WR @01=8E1B38F2657A3FE889 + 47327.50ns INFO [00047329] Port=0 WR @03=C8AE81F479DBF16ACA + 47327.50ns INFO [00047329] Port=0 RD @02 + 47329.50ns INFO [00047331] * RD COMPARE * port=0 adr=02 act=A777308870E1C7036B exp=A777308870E1C7036B + 47329.50ns INFO [00047331] Port=1 RD @04 + 47331.50ns INFO [00047333] * RD COMPARE * port=1 adr=04 act=5780DED6ECDBED0492 exp=5780DED6ECDBED0492 + 47331.50ns INFO [00047333] Port=0 WR @06=41B4ED1AD9C22D9A31 + 47331.50ns INFO [00047333] Port=0 RD @05 + 47332.50ns INFO [00047334] Port=0 WR @07=ACFDC239DCC9CCD0C4 + 47333.50ns INFO [00047335] * RD COMPARE * port=0 adr=05 act=DAC58F87BC7309F3F3 exp=DAC58F87BC7309F3F3 + 47333.50ns INFO [00047335] Port=0 WR @04=DC7216B0B33F90B0F3 + 47334.50ns INFO [00047336] Port=1 RD @01 + 47335.50ns INFO [00047337] Port=0 RD @00 + 47336.50ns INFO [00047338] * RD COMPARE * port=1 adr=01 act=8E1B38F2657A3FE889 exp=8E1B38F2657A3FE889 + 47336.50ns INFO [00047338] Port=0 WR @02=D4AEDE216BA2F91490 + 47336.50ns INFO [00047338] Port=0 RD @06 + 47337.50ns INFO [00047339] * RD COMPARE * port=0 adr=00 act=3827F2CC510A2E1724 exp=3827F2CC510A2E1724 + 47337.50ns INFO [00047339] Port=0 RD @02 + 47337.50ns INFO [00047339] Port=1 RD @01 + 47338.50ns INFO [00047340] * RD COMPARE * port=0 adr=06 act=41B4ED1AD9C22D9A31 exp=41B4ED1AD9C22D9A31 + 47339.50ns INFO [00047341] * RD COMPARE * port=0 adr=02 act=D4AEDE216BA2F91490 exp=D4AEDE216BA2F91490 + 47339.50ns INFO [00047341] * RD COMPARE * port=1 adr=01 act=8E1B38F2657A3FE889 exp=8E1B38F2657A3FE889 + 47340.50ns INFO [00047342] Port=0 RD @04 + 47341.50ns INFO [00047343] Port=1 RD @05 + 47342.50ns INFO [00047344] * RD COMPARE * port=0 adr=04 act=DC7216B0B33F90B0F3 exp=DC7216B0B33F90B0F3 + 47342.50ns INFO [00047344] Port=0 RD @07 + 47342.50ns INFO [00047344] Port=1 RD @05 + 47343.50ns INFO [00047345] * RD COMPARE * port=1 adr=05 act=DAC58F87BC7309F3F3 exp=DAC58F87BC7309F3F3 + 47344.50ns INFO [00047346] * RD COMPARE * port=0 adr=07 act=ACFDC239DCC9CCD0C4 exp=ACFDC239DCC9CCD0C4 + 47344.50ns INFO [00047346] * RD COMPARE * port=1 adr=05 act=DAC58F87BC7309F3F3 exp=DAC58F87BC7309F3F3 + 47347.50ns INFO [00047349] Port=0 WR @03=9EFDDCD88FBEE83C6B + 47347.50ns INFO [00047349] Port=1 RD @07 + 47348.50ns INFO [00047350] Port=0 WR @00=55DF6FE8E020928825 + 47348.50ns INFO [00047350] Port=0 RD @05 + 47349.50ns INFO [00047351] * RD COMPARE * port=1 adr=07 act=ACFDC239DCC9CCD0C4 exp=ACFDC239DCC9CCD0C4 + 47349.50ns INFO [00047351] Port=0 WR @01=D1C48317538EA4EA1D + 47349.50ns INFO [00047351] Port=0 RD @00 + 47350.50ns INFO [00047352] * RD COMPARE * port=0 adr=05 act=DAC58F87BC7309F3F3 exp=DAC58F87BC7309F3F3 + 47350.50ns INFO [00047352] Port=1 RD @03 + 47351.50ns INFO [00047353] * RD COMPARE * port=0 adr=00 act=55DF6FE8E020928825 exp=55DF6FE8E020928825 + 47351.50ns INFO [00047353] Port=0 RD @06 + 47352.50ns INFO [00047354] * RD COMPARE * port=1 adr=03 act=9EFDDCD88FBEE83C6B exp=9EFDDCD88FBEE83C6B + 47352.50ns INFO [00047354] Port=0 WR @06=F19CCA952254BE8B5C + 47353.50ns INFO [00047355] * RD COMPARE * port=0 adr=06 act=41B4ED1AD9C22D9A31 exp=41B4ED1AD9C22D9A31 + 47353.50ns INFO [00047355] Port=0 WR @06=F996D9408137C2221B + 47353.50ns INFO [00047355] Port=0 RD @00 + 47354.50ns INFO [00047356] Port=0 WR @01=539F74F76EEB3C4C80 + 47354.50ns INFO [00047356] Port=0 RD @05 + 47355.50ns INFO [00047357] * RD COMPARE * port=0 adr=00 act=55DF6FE8E020928825 exp=55DF6FE8E020928825 + 47355.50ns INFO [00047357] Port=0 WR @02=5D0544D2E250D1742E + 47356.50ns INFO [00047358] * RD COMPARE * port=0 adr=05 act=DAC58F87BC7309F3F3 exp=DAC58F87BC7309F3F3 + 47357.50ns INFO [00047359] Port=0 RD @02 + 47358.50ns INFO [00047360] Port=0 WR @00=EC74A9EFC5A45F6A86 + 47358.50ns INFO [00047360] Port=0 RD @01 + 47359.50ns INFO [00047361] * RD COMPARE * port=0 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47359.50ns INFO [00047361] Port=0 RD @03 + 47359.50ns INFO [00047361] Port=1 RD @00 + 47360.50ns INFO [00047362] * RD COMPARE * port=0 adr=01 act=539F74F76EEB3C4C80 exp=539F74F76EEB3C4C80 + 47360.50ns INFO [00047362] Port=0 RD @04 + 47360.50ns INFO [00047362] Port=1 RD @06 + 47361.50ns INFO [00047363] * RD COMPARE * port=0 adr=03 act=9EFDDCD88FBEE83C6B exp=9EFDDCD88FBEE83C6B + 47361.50ns INFO [00047363] * RD COMPARE * port=1 adr=00 act=EC74A9EFC5A45F6A86 exp=EC74A9EFC5A45F6A86 + 47361.50ns INFO [00047363] Port=1 RD @05 + 47362.50ns INFO [00047364] * RD COMPARE * port=0 adr=04 act=DC7216B0B33F90B0F3 exp=DC7216B0B33F90B0F3 + 47362.50ns INFO [00047364] * RD COMPARE * port=1 adr=06 act=F996D9408137C2221B exp=F996D9408137C2221B + 47362.50ns INFO [00047364] Port=1 RD @04 + 47363.50ns INFO [00047365] * RD COMPARE * port=1 adr=05 act=DAC58F87BC7309F3F3 exp=DAC58F87BC7309F3F3 + 47363.50ns INFO [00047365] Port=0 WR @04=72C21587AACDF82E2F + 47364.50ns INFO [00047366] * RD COMPARE * port=1 adr=04 act=DC7216B0B33F90B0F3 exp=DC7216B0B33F90B0F3 + 47364.50ns INFO [00047366] Port=0 RD @02 + 47365.50ns INFO [00047367] Port=1 RD @04 + 47366.50ns INFO [00047368] * RD COMPARE * port=0 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47366.50ns INFO [00047368] Port=0 WR @07=04FBED7AB32A892951 + 47366.50ns INFO [00047368] Port=1 RD @03 + 47367.50ns INFO [00047369] * RD COMPARE * port=1 adr=04 act=72C21587AACDF82E2F exp=72C21587AACDF82E2F + 47368.50ns INFO [00047370] * RD COMPARE * port=1 adr=03 act=9EFDDCD88FBEE83C6B exp=9EFDDCD88FBEE83C6B + 47369.50ns INFO [00047371] Port=0 WR @03=93CD64C0FAE34889ED + 47369.50ns INFO [00047371] Port=0 RD @05 + 47370.50ns INFO [00047372] Port=0 RD @00 + 47370.50ns INFO [00047372] Port=1 RD @01 + 47371.50ns INFO [00047373] * RD COMPARE * port=0 adr=05 act=DAC58F87BC7309F3F3 exp=DAC58F87BC7309F3F3 + 47371.50ns INFO [00047373] Port=0 RD @04 + 47372.50ns INFO [00047374] * RD COMPARE * port=0 adr=00 act=EC74A9EFC5A45F6A86 exp=EC74A9EFC5A45F6A86 + 47372.50ns INFO [00047374] * RD COMPARE * port=1 adr=01 act=539F74F76EEB3C4C80 exp=539F74F76EEB3C4C80 + 47372.50ns INFO [00047374] Port=0 WR @03=B456B48D0B96E699E1 + 47372.50ns INFO [00047374] Port=0 RD @05 + 47372.50ns INFO [00047374] Port=1 RD @02 + 47373.50ns INFO [00047375] * RD COMPARE * port=0 adr=04 act=72C21587AACDF82E2F exp=72C21587AACDF82E2F + 47373.50ns INFO [00047375] Port=0 RD @02 + 47373.50ns INFO [00047375] Port=1 RD @06 + 47374.50ns INFO [00047376] * RD COMPARE * port=0 adr=05 act=DAC58F87BC7309F3F3 exp=DAC58F87BC7309F3F3 + 47374.50ns INFO [00047376] * RD COMPARE * port=1 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47374.50ns INFO [00047376] Port=0 WR @04=4F830B28279A7AE9FF + 47374.50ns INFO [00047376] Port=0 RD @02 + 47375.50ns INFO [00047377] * RD COMPARE * port=0 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47375.50ns INFO [00047377] * RD COMPARE * port=1 adr=06 act=F996D9408137C2221B exp=F996D9408137C2221B + 47375.50ns INFO [00047377] Port=1 RD @05 + 47376.50ns INFO [00047378] * RD COMPARE * port=0 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47376.50ns INFO [00047378] Port=0 WR @03=1B0DBCDE4D91C30C04 + 47377.50ns INFO [00047379] * RD COMPARE * port=1 adr=05 act=DAC58F87BC7309F3F3 exp=DAC58F87BC7309F3F3 + 47377.50ns INFO [00047379] Port=0 WR @06=ABB0B6F47886EFE145 + 47379.50ns INFO [00047381] Port=0 WR @05=8230DB2E32B136A5D3 + 47380.50ns INFO [00047382] Port=0 RD @01 + 47381.50ns INFO [00047383] Port=0 WR @04=722726D74895EF0A0E + 47381.50ns INFO [00047383] Port=0 RD @02 + 47381.50ns INFO [00047383] Port=1 RD @03 + 47382.50ns INFO [00047384] * RD COMPARE * port=0 adr=01 act=539F74F76EEB3C4C80 exp=539F74F76EEB3C4C80 + 47382.50ns INFO [00047384] Port=0 RD @02 + 47383.50ns INFO [00047385] * RD COMPARE * port=0 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47383.50ns INFO [00047385] * RD COMPARE * port=1 adr=03 act=1B0DBCDE4D91C30C04 exp=1B0DBCDE4D91C30C04 + 47383.50ns INFO [00047385] Port=0 WR @00=6FD0BD28CEAEF748BE + 47384.50ns INFO [00047386] * RD COMPARE * port=0 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47384.50ns INFO [00047386] Port=0 RD @06 + 47386.50ns INFO [00047388] * RD COMPARE * port=0 adr=06 act=ABB0B6F47886EFE145 exp=ABB0B6F47886EFE145 + 47386.50ns INFO [00047388] Port=0 WR @06=62E447FF2830CBB1A3 + 47386.50ns INFO [00047388] Port=1 RD @01 + 47387.50ns INFO [00047389] Port=0 WR @05=170CC2A89243A58F87 + 47387.50ns INFO [00047389] Port=1 RD @04 + 47388.50ns INFO [00047390] * RD COMPARE * port=1 adr=01 act=539F74F76EEB3C4C80 exp=539F74F76EEB3C4C80 + 47389.50ns INFO [00047391] * RD COMPARE * port=1 adr=04 act=722726D74895EF0A0E exp=722726D74895EF0A0E + 47389.50ns INFO [00047391] Port=0 RD @03 + 47389.50ns INFO [00047391] Port=1 RD @07 + 47391.50ns INFO [00047393] * RD COMPARE * port=0 adr=03 act=1B0DBCDE4D91C30C04 exp=1B0DBCDE4D91C30C04 + 47391.50ns INFO [00047393] * RD COMPARE * port=1 adr=07 act=04FBED7AB32A892951 exp=04FBED7AB32A892951 + 47391.50ns INFO [00047393] Port=0 WR @00=1E2FE83E93418286C6 + 47391.50ns INFO [00047393] Port=0 RD @07 + 47391.50ns INFO [00047393] Port=1 RD @07 + 47392.50ns INFO [00047394] Port=0 WR @06=3746D4145DC121C937 + 47393.50ns INFO [00047395] * RD COMPARE * port=0 adr=07 act=04FBED7AB32A892951 exp=04FBED7AB32A892951 + 47393.50ns INFO [00047395] * RD COMPARE * port=1 adr=07 act=04FBED7AB32A892951 exp=04FBED7AB32A892951 + 47393.50ns INFO [00047395] Port=1 RD @01 + 47394.50ns INFO [00047396] Port=1 RD @02 + 47395.50ns INFO [00047397] * RD COMPARE * port=1 adr=01 act=539F74F76EEB3C4C80 exp=539F74F76EEB3C4C80 + 47395.50ns INFO [00047397] Port=0 WR @03=95B0744011529C84B2 + 47396.50ns INFO [00047398] * RD COMPARE * port=1 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47398.00ns INFO [00047400] [00047400] ...tick... + 47398.50ns INFO [00047400] Port=0 RD @02 + 47399.50ns INFO [00047401] Port=0 WR @05=CE6B932D81EBBF210F + 47399.50ns INFO [00047401] Port=0 RD @04 + 47400.50ns INFO [00047402] * RD COMPARE * port=0 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47400.50ns INFO [00047402] Port=0 RD @05 + 47401.50ns INFO [00047403] * RD COMPARE * port=0 adr=04 act=722726D74895EF0A0E exp=722726D74895EF0A0E + 47402.50ns INFO [00047404] * RD COMPARE * port=0 adr=05 act=CE6B932D81EBBF210F exp=CE6B932D81EBBF210F + 47403.50ns INFO [00047405] Port=1 RD @02 + 47404.50ns INFO [00047406] Port=0 RD @05 + 47404.50ns INFO [00047406] Port=1 RD @03 + 47405.50ns INFO [00047407] * RD COMPARE * port=1 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47405.50ns INFO [00047407] Port=0 WR @04=77A5A88A1049A4AFB3 + 47405.50ns INFO [00047407] Port=1 RD @02 + 47406.50ns INFO [00047408] * RD COMPARE * port=0 adr=05 act=CE6B932D81EBBF210F exp=CE6B932D81EBBF210F + 47406.50ns INFO [00047408] * RD COMPARE * port=1 adr=03 act=95B0744011529C84B2 exp=95B0744011529C84B2 + 47406.50ns INFO [00047408] Port=0 RD @04 + 47406.50ns INFO [00047408] Port=1 RD @06 + 47407.50ns INFO [00047409] * RD COMPARE * port=1 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47407.50ns INFO [00047409] Port=0 RD @02 + 47408.50ns INFO [00047410] * RD COMPARE * port=0 adr=04 act=77A5A88A1049A4AFB3 exp=77A5A88A1049A4AFB3 + 47408.50ns INFO [00047410] * RD COMPARE * port=1 adr=06 act=3746D4145DC121C937 exp=3746D4145DC121C937 + 47409.50ns INFO [00047411] * RD COMPARE * port=0 adr=02 act=5D0544D2E250D1742E exp=5D0544D2E250D1742E + 47409.50ns INFO [00047411] Port=1 RD @04 + 47410.50ns INFO [00047412] Port=0 RD @00 + 47410.50ns INFO [00047412] Port=1 RD @07 + 47411.50ns INFO [00047413] * RD COMPARE * port=1 adr=04 act=77A5A88A1049A4AFB3 exp=77A5A88A1049A4AFB3 + 47411.50ns INFO [00047413] Port=0 RD @06 + 47412.50ns INFO [00047414] * RD COMPARE * port=0 adr=00 act=1E2FE83E93418286C6 exp=1E2FE83E93418286C6 + 47412.50ns INFO [00047414] * RD COMPARE * port=1 adr=07 act=04FBED7AB32A892951 exp=04FBED7AB32A892951 + 47413.50ns INFO [00047415] * RD COMPARE * port=0 adr=06 act=3746D4145DC121C937 exp=3746D4145DC121C937 + 47413.50ns INFO [00047415] Port=0 WR @02=F0EF7C9E0BC48CB9B8 + 47413.50ns INFO [00047415] Port=1 RD @01 + 47414.50ns INFO [00047416] Port=0 WR @04=005FED273DD0E6AF69 + 47414.50ns INFO [00047416] Port=0 RD @06 + 47415.50ns INFO [00047417] * RD COMPARE * port=1 adr=01 act=539F74F76EEB3C4C80 exp=539F74F76EEB3C4C80 + 47415.50ns INFO [00047417] Port=0 WR @03=186293F3264D312AF1 + 47415.50ns INFO [00047417] Port=0 RD @04 + 47415.50ns INFO [00047417] Port=1 RD @04 + 47416.50ns INFO [00047418] * RD COMPARE * port=0 adr=06 act=3746D4145DC121C937 exp=3746D4145DC121C937 + 47416.50ns INFO [00047418] Port=0 WR @00=59C2B13A298A335CD6 + 47417.50ns INFO [00047419] * RD COMPARE * port=0 adr=04 act=005FED273DD0E6AF69 exp=005FED273DD0E6AF69 + 47417.50ns INFO [00047419] * RD COMPARE * port=1 adr=04 act=005FED273DD0E6AF69 exp=005FED273DD0E6AF69 + 47417.50ns INFO [00047419] Port=0 RD @05 + 47418.50ns INFO [00047420] Port=0 RD @05 + 47419.50ns INFO [00047421] * RD COMPARE * port=0 adr=05 act=CE6B932D81EBBF210F exp=CE6B932D81EBBF210F + 47419.50ns INFO [00047421] Port=0 WR @00=B4C1C946EB6D31FD7A + 47420.50ns INFO [00047422] * RD COMPARE * port=0 adr=05 act=CE6B932D81EBBF210F exp=CE6B932D81EBBF210F + 47421.50ns INFO [00047423] Port=0 RD @06 + 47421.50ns INFO [00047423] Port=1 RD @00 + 47422.50ns INFO [00047424] Port=1 RD @01 + 47423.50ns INFO [00047425] * RD COMPARE * port=0 adr=06 act=3746D4145DC121C937 exp=3746D4145DC121C937 + 47423.50ns INFO [00047425] * RD COMPARE * port=1 adr=00 act=B4C1C946EB6D31FD7A exp=B4C1C946EB6D31FD7A + 47423.50ns INFO [00047425] Port=0 WR @03=B7979651C9CBCDCA5F + 47423.50ns INFO [00047425] Port=0 RD @06 + 47424.50ns INFO [00047426] * RD COMPARE * port=1 adr=01 act=539F74F76EEB3C4C80 exp=539F74F76EEB3C4C80 + 47424.50ns INFO [00047426] Port=1 RD @04 + 47425.50ns INFO [00047427] * RD COMPARE * port=0 adr=06 act=3746D4145DC121C937 exp=3746D4145DC121C937 + 47425.50ns INFO [00047427] Port=0 WR @03=DC12FA625182A2CA87 + 47425.50ns INFO [00047427] Port=0 RD @01 + 47426.50ns INFO [00047428] * RD COMPARE * port=1 adr=04 act=005FED273DD0E6AF69 exp=005FED273DD0E6AF69 + 47426.50ns INFO [00047428] Port=0 WR @04=00B5F43240BD89FB4B + 47426.50ns INFO [00047428] Port=1 RD @02 + 47427.50ns INFO [00047429] * RD COMPARE * port=0 adr=01 act=539F74F76EEB3C4C80 exp=539F74F76EEB3C4C80 + 47427.50ns INFO [00047429] Port=1 RD @02 + 47428.50ns INFO [00047430] * RD COMPARE * port=1 adr=02 act=F0EF7C9E0BC48CB9B8 exp=F0EF7C9E0BC48CB9B8 + 47428.50ns INFO [00047430] Port=0 RD @06 + 47429.50ns INFO [00047431] * RD COMPARE * port=1 adr=02 act=F0EF7C9E0BC48CB9B8 exp=F0EF7C9E0BC48CB9B8 + 47429.50ns INFO [00047431] Port=0 RD @03 + 47429.50ns INFO [00047431] Port=1 RD @07 + 47430.50ns INFO [00047432] * RD COMPARE * port=0 adr=06 act=3746D4145DC121C937 exp=3746D4145DC121C937 + 47430.50ns INFO [00047432] Port=0 WR @00=861152D42C27E8D539 + 47431.50ns INFO [00047433] * RD COMPARE * port=0 adr=03 act=DC12FA625182A2CA87 exp=DC12FA625182A2CA87 + 47431.50ns INFO [00047433] * RD COMPARE * port=1 adr=07 act=04FBED7AB32A892951 exp=04FBED7AB32A892951 + 47432.50ns INFO [00047434] Port=1 RD @06 + 47434.50ns INFO [00047436] * RD COMPARE * port=1 adr=06 act=3746D4145DC121C937 exp=3746D4145DC121C937 + 47434.50ns INFO [00047436] Port=0 WR @04=CF6215FF9C6045FD57 + 47435.50ns INFO [00047437] Port=1 RD @01 + 47436.50ns INFO [00047438] Port=0 WR @06=BF90553EEB7A5CB1C7 + 47437.50ns INFO [00047439] * RD COMPARE * port=1 adr=01 act=539F74F76EEB3C4C80 exp=539F74F76EEB3C4C80 + 47437.50ns INFO [00047439] Port=0 WR @05=5F7CA59E238EBEEB46 + 47437.50ns INFO [00047439] Port=0 RD @03 + 47437.50ns INFO [00047439] Port=1 RD @03 + 47438.50ns INFO [00047440] Port=0 RD @02 + 47438.50ns INFO [00047440] Port=1 RD @02 + 47439.50ns INFO [00047441] * RD COMPARE * port=0 adr=03 act=DC12FA625182A2CA87 exp=DC12FA625182A2CA87 + 47439.50ns INFO [00047441] * RD COMPARE * port=1 adr=03 act=DC12FA625182A2CA87 exp=DC12FA625182A2CA87 + 47439.50ns INFO [00047441] Port=1 RD @01 + 47440.50ns INFO [00047442] * RD COMPARE * port=0 adr=02 act=F0EF7C9E0BC48CB9B8 exp=F0EF7C9E0BC48CB9B8 + 47440.50ns INFO [00047442] * RD COMPARE * port=1 adr=02 act=F0EF7C9E0BC48CB9B8 exp=F0EF7C9E0BC48CB9B8 + 47441.50ns INFO [00047443] * RD COMPARE * port=1 adr=01 act=539F74F76EEB3C4C80 exp=539F74F76EEB3C4C80 + 47441.50ns INFO [00047443] Port=0 WR @04=545868F16854A71639 + 47442.50ns INFO [00047444] Port=1 RD @02 + 47443.50ns INFO [00047445] Port=0 RD @07 + 47444.50ns INFO [00047446] * RD COMPARE * port=1 adr=02 act=F0EF7C9E0BC48CB9B8 exp=F0EF7C9E0BC48CB9B8 + 47444.50ns INFO [00047446] Port=0 WR @07=A15C8D8F462F2B873F + 47444.50ns INFO [00047446] Port=0 RD @06 + 47444.50ns INFO [00047446] Port=1 RD @05 + 47445.50ns INFO [00047447] * RD COMPARE * port=0 adr=07 act=04FBED7AB32A892951 exp=04FBED7AB32A892951 + 47446.50ns INFO [00047448] * RD COMPARE * port=0 adr=06 act=BF90553EEB7A5CB1C7 exp=BF90553EEB7A5CB1C7 + 47446.50ns INFO [00047448] * RD COMPARE * port=1 adr=05 act=5F7CA59E238EBEEB46 exp=5F7CA59E238EBEEB46 + 47446.50ns INFO [00047448] Port=0 WR @02=9C79FBE4F80C8AB88E + 47446.50ns INFO [00047448] Port=0 RD @04 + 47447.50ns INFO [00047449] Port=0 RD @00 + 47447.50ns INFO [00047449] Port=1 RD @05 + 47448.50ns INFO [00047450] * RD COMPARE * port=0 adr=04 act=545868F16854A71639 exp=545868F16854A71639 + 47448.50ns INFO [00047450] Port=0 WR @02=BFF560969E294271F2 + 47448.50ns INFO [00047450] Port=1 RD @04 + 47449.50ns INFO [00047451] * RD COMPARE * port=0 adr=00 act=861152D42C27E8D539 exp=861152D42C27E8D539 + 47449.50ns INFO [00047451] * RD COMPARE * port=1 adr=05 act=5F7CA59E238EBEEB46 exp=5F7CA59E238EBEEB46 + 47449.50ns INFO [00047451] Port=0 WR @04=EB1FC8021F08DEF370 + 47449.50ns INFO [00047451] Port=0 RD @05 + 47449.50ns INFO [00047451] Port=1 RD @05 + 47450.50ns INFO [00047452] * RD COMPARE * port=1 adr=04 act=545868F16854A71639 exp=545868F16854A71639 + 47450.50ns INFO [00047452] Port=0 RD @06 + 47451.50ns INFO [00047453] * RD COMPARE * port=0 adr=05 act=5F7CA59E238EBEEB46 exp=5F7CA59E238EBEEB46 + 47451.50ns INFO [00047453] * RD COMPARE * port=1 adr=05 act=5F7CA59E238EBEEB46 exp=5F7CA59E238EBEEB46 + 47452.50ns INFO [00047454] * RD COMPARE * port=0 adr=06 act=BF90553EEB7A5CB1C7 exp=BF90553EEB7A5CB1C7 + 47452.50ns INFO [00047454] Port=0 RD @04 + 47452.50ns INFO [00047454] Port=1 RD @03 + 47453.50ns INFO [00047455] Port=0 WR @04=43F63CD79A12D58ECB + 47454.50ns INFO [00047456] * RD COMPARE * port=0 adr=04 act=EB1FC8021F08DEF370 exp=EB1FC8021F08DEF370 + 47454.50ns INFO [00047456] * RD COMPARE * port=1 adr=03 act=DC12FA625182A2CA87 exp=DC12FA625182A2CA87 + 47455.50ns INFO [00047457] Port=0 WR @06=DE1EF00C4CD06A760E + 47455.50ns INFO [00047457] Port=0 RD @04 + 47455.50ns INFO [00047457] Port=1 RD @07 + 47457.50ns INFO [00047459] * RD COMPARE * port=0 adr=04 act=43F63CD79A12D58ECB exp=43F63CD79A12D58ECB + 47457.50ns INFO [00047459] * RD COMPARE * port=1 adr=07 act=A15C8D8F462F2B873F exp=A15C8D8F462F2B873F + 47459.50ns INFO [00047461] Port=0 RD @04 + 47459.50ns INFO [00047461] Port=1 RD @02 + 47460.50ns INFO [00047462] Port=1 RD @00 + 47461.50ns INFO [00047463] * RD COMPARE * port=0 adr=04 act=43F63CD79A12D58ECB exp=43F63CD79A12D58ECB + 47461.50ns INFO [00047463] * RD COMPARE * port=1 adr=02 act=BFF560969E294271F2 exp=BFF560969E294271F2 + 47461.50ns INFO [00047463] Port=0 WR @04=2723DB149A5F55B497 + 47462.50ns INFO [00047464] * RD COMPARE * port=1 adr=00 act=861152D42C27E8D539 exp=861152D42C27E8D539 + 47462.50ns INFO [00047464] Port=1 RD @00 + 47463.50ns INFO [00047465] Port=0 WR @05=3934A605CC8AF8E8EB + 47464.50ns INFO [00047466] * RD COMPARE * port=1 adr=00 act=861152D42C27E8D539 exp=861152D42C27E8D539 + 47464.50ns INFO [00047466] Port=0 WR @02=C83A23C9244F88742F + 47464.50ns INFO [00047466] Port=1 RD @04 + 47466.50ns INFO [00047468] * RD COMPARE * port=1 adr=04 act=2723DB149A5F55B497 exp=2723DB149A5F55B497 + 47466.50ns INFO [00047468] Port=0 WR @03=97FF7A65AA1D405618 + 47467.50ns INFO [00047469] Port=0 WR @01=C430FB4F9E8E0223A7 + 47468.50ns INFO [00047470] Port=0 RD @05 + 47470.50ns INFO [00047472] * RD COMPARE * port=0 adr=05 act=3934A605CC8AF8E8EB exp=3934A605CC8AF8E8EB + 47470.50ns INFO [00047472] Port=0 WR @07=17FEEE28A2C5A12E8D + 47470.50ns INFO [00047472] Port=1 RD @00 + 47472.50ns INFO [00047474] * RD COMPARE * port=1 adr=00 act=861152D42C27E8D539 exp=861152D42C27E8D539 + 47472.50ns INFO [00047474] Port=0 RD @01 + 47473.50ns INFO [00047475] Port=1 RD @03 + 47474.50ns INFO [00047476] * RD COMPARE * port=0 adr=01 act=C430FB4F9E8E0223A7 exp=C430FB4F9E8E0223A7 + 47475.50ns INFO [00047477] * RD COMPARE * port=1 adr=03 act=97FF7A65AA1D405618 exp=97FF7A65AA1D405618 + 47475.50ns INFO [00047477] Port=0 WR @02=27344FBEA0E843AB75 + 47475.50ns INFO [00047477] Port=1 RD @01 + 47476.50ns INFO [00047478] Port=1 RD @06 + 47477.50ns INFO [00047479] * RD COMPARE * port=1 adr=01 act=C430FB4F9E8E0223A7 exp=C430FB4F9E8E0223A7 + 47477.50ns INFO [00047479] Port=0 WR @04=34B5C2A772A475EA54 + 47477.50ns INFO [00047479] Port=0 RD @01 + 47478.50ns INFO [00047480] * RD COMPARE * port=1 adr=06 act=DE1EF00C4CD06A760E exp=DE1EF00C4CD06A760E + 47478.50ns INFO [00047480] Port=1 RD @00 + 47479.50ns INFO [00047481] * RD COMPARE * port=0 adr=01 act=C430FB4F9E8E0223A7 exp=C430FB4F9E8E0223A7 + 47479.50ns INFO [00047481] Port=0 RD @01 + 47480.50ns INFO [00047482] * RD COMPARE * port=1 adr=00 act=861152D42C27E8D539 exp=861152D42C27E8D539 + 47480.50ns INFO [00047482] Port=0 RD @03 + 47480.50ns INFO [00047482] Port=1 RD @00 + 47481.50ns INFO [00047483] * RD COMPARE * port=0 adr=01 act=C430FB4F9E8E0223A7 exp=C430FB4F9E8E0223A7 + 47481.50ns INFO [00047483] Port=0 WR @07=FB9646683E178409AC + 47481.50ns INFO [00047483] Port=0 RD @01 + 47482.50ns INFO [00047484] * RD COMPARE * port=0 adr=03 act=97FF7A65AA1D405618 exp=97FF7A65AA1D405618 + 47482.50ns INFO [00047484] * RD COMPARE * port=1 adr=00 act=861152D42C27E8D539 exp=861152D42C27E8D539 + 47482.50ns INFO [00047484] Port=0 RD @06 + 47483.50ns INFO [00047485] * RD COMPARE * port=0 adr=01 act=C430FB4F9E8E0223A7 exp=C430FB4F9E8E0223A7 + 47483.50ns INFO [00047485] Port=0 WR @06=F950EAD121B1BAF208 + 47484.50ns INFO [00047486] * RD COMPARE * port=0 adr=06 act=DE1EF00C4CD06A760E exp=DE1EF00C4CD06A760E + 47484.50ns INFO [00047486] Port=1 RD @07 + 47485.50ns INFO [00047487] Port=0 RD @07 + 47486.50ns INFO [00047488] * RD COMPARE * port=1 adr=07 act=FB9646683E178409AC exp=FB9646683E178409AC + 47487.50ns INFO [00047489] * RD COMPARE * port=0 adr=07 act=FB9646683E178409AC exp=FB9646683E178409AC + 47487.50ns INFO [00047489] Port=0 WR @00=89C3E2F7302336604F + 47489.50ns INFO [00047491] Port=0 WR @05=B425099ECD2EA9F785 + 47489.50ns INFO [00047491] Port=0 RD @07 + 47490.50ns INFO [00047492] Port=0 WR @05=2CA8D0E4B691046F7A + 47490.50ns INFO [00047492] Port=0 RD @04 + 47490.50ns INFO [00047492] Port=1 RD @06 + 47491.50ns INFO [00047493] * RD COMPARE * port=0 adr=07 act=FB9646683E178409AC exp=FB9646683E178409AC + 47491.50ns INFO [00047493] Port=0 WR @00=A08A8406B07267DA84 + 47491.50ns INFO [00047493] Port=0 RD @03 + 47492.50ns INFO [00047494] * RD COMPARE * port=0 adr=04 act=34B5C2A772A475EA54 exp=34B5C2A772A475EA54 + 47492.50ns INFO [00047494] * RD COMPARE * port=1 adr=06 act=F950EAD121B1BAF208 exp=F950EAD121B1BAF208 + 47493.50ns INFO [00047495] * RD COMPARE * port=0 adr=03 act=97FF7A65AA1D405618 exp=97FF7A65AA1D405618 + 47493.50ns INFO [00047495] Port=0 WR @03=13F42E88B057CC1491 + 47493.50ns INFO [00047495] Port=1 RD @00 + 47495.50ns INFO [00047497] * RD COMPARE * port=1 adr=00 act=A08A8406B07267DA84 exp=A08A8406B07267DA84 + 47495.50ns INFO [00047497] Port=0 WR @05=13CA474405D94360C8 + 47495.50ns INFO [00047497] Port=0 RD @06 + 47495.50ns INFO [00047497] Port=1 RD @06 + 47497.50ns INFO [00047499] * RD COMPARE * port=0 adr=06 act=F950EAD121B1BAF208 exp=F950EAD121B1BAF208 + 47497.50ns INFO [00047499] * RD COMPARE * port=1 adr=06 act=F950EAD121B1BAF208 exp=F950EAD121B1BAF208 + 47497.50ns INFO [00047499] Port=1 RD @02 + 47498.00ns INFO [00047500] [00047500] ...tick... + 47498.50ns INFO [00047500] Port=0 RD @04 + 47498.50ns INFO [00047500] Port=1 RD @00 + 47499.50ns INFO [00047501] * RD COMPARE * port=1 adr=02 act=27344FBEA0E843AB75 exp=27344FBEA0E843AB75 + 47500.50ns INFO [00047502] * RD COMPARE * port=0 adr=04 act=34B5C2A772A475EA54 exp=34B5C2A772A475EA54 + 47500.50ns INFO [00047502] * RD COMPARE * port=1 adr=00 act=A08A8406B07267DA84 exp=A08A8406B07267DA84 + 47500.50ns INFO [00047502] Port=0 WR @07=337781243FDEA0E628 + 47500.50ns INFO [00047502] Port=1 RD @06 + 47502.50ns INFO [00047504] * RD COMPARE * port=1 adr=06 act=F950EAD121B1BAF208 exp=F950EAD121B1BAF208 + 47502.50ns INFO [00047504] Port=0 WR @03=5DC5376ACCE5F6AEAF + 47502.50ns INFO [00047504] Port=0 RD @01 + 47502.50ns INFO [00047504] Port=1 RD @05 + 47503.50ns INFO [00047505] Port=1 RD @06 + 47504.50ns INFO [00047506] * RD COMPARE * port=0 adr=01 act=C430FB4F9E8E0223A7 exp=C430FB4F9E8E0223A7 + 47504.50ns INFO [00047506] * RD COMPARE * port=1 adr=05 act=13CA474405D94360C8 exp=13CA474405D94360C8 + 47504.50ns INFO [00047506] Port=0 WR @03=C7020B7B66B8E1A0C8 + 47504.50ns INFO [00047506] Port=0 RD @06 + 47505.50ns INFO [00047507] * RD COMPARE * port=1 adr=06 act=F950EAD121B1BAF208 exp=F950EAD121B1BAF208 + 47505.50ns INFO [00047507] Port=1 RD @02 + 47506.50ns INFO [00047508] * RD COMPARE * port=0 adr=06 act=F950EAD121B1BAF208 exp=F950EAD121B1BAF208 + 47506.50ns INFO [00047508] Port=0 WR @05=E02FD53510B5DDEEE2 + 47507.50ns INFO [00047509] * RD COMPARE * port=1 adr=02 act=27344FBEA0E843AB75 exp=27344FBEA0E843AB75 + 47508.50ns INFO [00047510] Port=0 RD @06 + 47510.50ns INFO [00047512] * RD COMPARE * port=0 adr=06 act=F950EAD121B1BAF208 exp=F950EAD121B1BAF208 + 47510.50ns INFO [00047512] Port=0 RD @03 + 47510.50ns INFO [00047512] Port=1 RD @00 + 47511.50ns INFO [00047513] Port=0 WR @06=A26BEE931005E58ABE + 47511.50ns INFO [00047513] Port=0 RD @01 + 47512.50ns INFO [00047514] * RD COMPARE * port=0 adr=03 act=C7020B7B66B8E1A0C8 exp=C7020B7B66B8E1A0C8 + 47512.50ns INFO [00047514] * RD COMPARE * port=1 adr=00 act=A08A8406B07267DA84 exp=A08A8406B07267DA84 + 47513.50ns INFO [00047515] * RD COMPARE * port=0 adr=01 act=C430FB4F9E8E0223A7 exp=C430FB4F9E8E0223A7 + 47515.50ns INFO [00047517] Port=0 RD @04 + 47516.50ns INFO [00047518] Port=0 WR @02=D5D3158ABCA74AC7F6 + 47516.50ns INFO [00047518] Port=1 RD @01 + 47517.50ns INFO [00047519] * RD COMPARE * port=0 adr=04 act=34B5C2A772A475EA54 exp=34B5C2A772A475EA54 + 47517.50ns INFO [00047519] Port=1 RD @00 + 47518.50ns INFO [00047520] * RD COMPARE * port=1 adr=01 act=C430FB4F9E8E0223A7 exp=C430FB4F9E8E0223A7 + 47519.50ns INFO [00047521] * RD COMPARE * port=1 adr=00 act=A08A8406B07267DA84 exp=A08A8406B07267DA84 + 47519.50ns INFO [00047521] Port=0 WR @05=BA859A8375AEA31F93 + 47519.50ns INFO [00047521] Port=0 RD @07 + 47520.50ns INFO [00047522] Port=0 RD @03 + 47520.50ns INFO [00047522] Port=1 RD @05 + 47521.50ns INFO [00047523] * RD COMPARE * port=0 adr=07 act=337781243FDEA0E628 exp=337781243FDEA0E628 + 47522.50ns INFO [00047524] * RD COMPARE * port=0 adr=03 act=C7020B7B66B8E1A0C8 exp=C7020B7B66B8E1A0C8 + 47522.50ns INFO [00047524] * RD COMPARE * port=1 adr=05 act=BA859A8375AEA31F93 exp=BA859A8375AEA31F93 + 47523.50ns INFO [00047525] Port=0 RD @03 + 47524.50ns INFO [00047526] Port=0 WR @03=568F11EC9380F3492E + 47525.50ns INFO [00047527] * RD COMPARE * port=0 adr=03 act=C7020B7B66B8E1A0C8 exp=C7020B7B66B8E1A0C8 + 47525.50ns INFO [00047527] Port=0 RD @00 + 47525.50ns INFO [00047527] Port=1 RD @07 + 47526.50ns INFO [00047528] Port=1 RD @05 + 47527.50ns INFO [00047529] * RD COMPARE * port=0 adr=00 act=A08A8406B07267DA84 exp=A08A8406B07267DA84 + 47527.50ns INFO [00047529] * RD COMPARE * port=1 adr=07 act=337781243FDEA0E628 exp=337781243FDEA0E628 + 47527.50ns INFO [00047529] Port=0 WR @00=D8B6B04EE617D29E10 + 47527.50ns INFO [00047529] Port=1 RD @01 + 47528.50ns INFO [00047530] * RD COMPARE * port=1 adr=05 act=BA859A8375AEA31F93 exp=BA859A8375AEA31F93 + 47528.50ns INFO [00047530] Port=0 RD @06 + 47529.50ns INFO [00047531] * RD COMPARE * port=1 adr=01 act=C430FB4F9E8E0223A7 exp=C430FB4F9E8E0223A7 + 47529.50ns INFO [00047531] Port=0 RD @06 + 47529.50ns INFO [00047531] Port=1 RD @06 + 47530.50ns INFO [00047532] * RD COMPARE * port=0 adr=06 act=A26BEE931005E58ABE exp=A26BEE931005E58ABE + 47530.50ns INFO [00047532] Port=0 WR @01=3B1E77FA6FAF7B4D73 + 47530.50ns INFO [00047532] Port=1 RD @06 + 47531.50ns INFO [00047533] * RD COMPARE * port=0 adr=06 act=A26BEE931005E58ABE exp=A26BEE931005E58ABE + 47531.50ns INFO [00047533] * RD COMPARE * port=1 adr=06 act=A26BEE931005E58ABE exp=A26BEE931005E58ABE + 47531.50ns INFO [00047533] Port=0 RD @06 + 47532.50ns INFO [00047534] * RD COMPARE * port=1 adr=06 act=A26BEE931005E58ABE exp=A26BEE931005E58ABE + 47532.50ns INFO [00047534] Port=0 WR @00=3FE581AFD2E4A0E1D5 + 47533.50ns INFO [00047535] * RD COMPARE * port=0 adr=06 act=A26BEE931005E58ABE exp=A26BEE931005E58ABE + 47533.50ns INFO [00047535] Port=1 RD @02 + 47534.50ns INFO [00047536] Port=0 WR @02=2D5E21878062BD0E57 + 47534.50ns INFO [00047536] Port=0 RD @05 + 47535.50ns INFO [00047537] * RD COMPARE * port=1 adr=02 act=D5D3158ABCA74AC7F6 exp=D5D3158ABCA74AC7F6 + 47535.50ns INFO [00047537] Port=0 WR @01=BB3BC59B237EBA68F4 + 47535.50ns INFO [00047537] Port=1 RD @07 + 47536.50ns INFO [00047538] * RD COMPARE * port=0 adr=05 act=BA859A8375AEA31F93 exp=BA859A8375AEA31F93 + 47536.50ns INFO [00047538] Port=0 WR @04=60E026BEF72E40B6B8 + 47536.50ns INFO [00047538] Port=0 RD @06 + 47536.50ns INFO [00047538] Port=1 RD @03 + 47537.50ns INFO [00047539] * RD COMPARE * port=1 adr=07 act=337781243FDEA0E628 exp=337781243FDEA0E628 + 47537.50ns INFO [00047539] Port=0 RD @02 + 47538.50ns INFO [00047540] * RD COMPARE * port=0 adr=06 act=A26BEE931005E58ABE exp=A26BEE931005E58ABE + 47538.50ns INFO [00047540] * RD COMPARE * port=1 adr=03 act=568F11EC9380F3492E exp=568F11EC9380F3492E + 47538.50ns INFO [00047540] Port=0 RD @06 + 47538.50ns INFO [00047540] Port=1 RD @05 + 47539.50ns INFO [00047541] * RD COMPARE * port=0 adr=02 act=2D5E21878062BD0E57 exp=2D5E21878062BD0E57 + 47539.50ns INFO [00047541] Port=0 RD @00 + 47540.50ns INFO [00047542] * RD COMPARE * port=0 adr=06 act=A26BEE931005E58ABE exp=A26BEE931005E58ABE + 47540.50ns INFO [00047542] * RD COMPARE * port=1 adr=05 act=BA859A8375AEA31F93 exp=BA859A8375AEA31F93 + 47540.50ns INFO [00047542] Port=0 WR @06=76D6AF43BBCCAF5DB8 + 47540.50ns INFO [00047542] Port=0 RD @03 + 47541.50ns INFO [00047543] * RD COMPARE * port=0 adr=00 act=3FE581AFD2E4A0E1D5 exp=3FE581AFD2E4A0E1D5 + 47542.50ns INFO [00047544] * RD COMPARE * port=0 adr=03 act=568F11EC9380F3492E exp=568F11EC9380F3492E + 47542.50ns INFO [00047544] Port=0 WR @01=B8FBD96F04098A9FCE + 47542.50ns INFO [00047544] Port=1 RD @00 + 47543.50ns INFO [00047545] Port=0 RD @05 + 47544.50ns INFO [00047546] * RD COMPARE * port=1 adr=00 act=3FE581AFD2E4A0E1D5 exp=3FE581AFD2E4A0E1D5 + 47544.50ns INFO [00047546] Port=0 WR @05=2F93C3F3BD747800F1 + 47545.50ns INFO [00047547] * RD COMPARE * port=0 adr=05 act=BA859A8375AEA31F93 exp=BA859A8375AEA31F93 + 47547.50ns INFO [00047549] Port=0 RD @00 + 47548.50ns INFO [00047550] Port=0 WR @03=5BEFC892E5A81D268D + 47548.50ns INFO [00047550] Port=0 RD @00 + 47548.50ns INFO [00047550] Port=1 RD @00 + 47549.50ns INFO [00047551] * RD COMPARE * port=0 adr=00 act=3FE581AFD2E4A0E1D5 exp=3FE581AFD2E4A0E1D5 + 47550.50ns INFO [00047552] * RD COMPARE * port=0 adr=00 act=3FE581AFD2E4A0E1D5 exp=3FE581AFD2E4A0E1D5 + 47550.50ns INFO [00047552] * RD COMPARE * port=1 adr=00 act=3FE581AFD2E4A0E1D5 exp=3FE581AFD2E4A0E1D5 + 47550.50ns INFO [00047552] Port=0 RD @03 + 47551.50ns INFO [00047553] Port=0 RD @05 + 47551.50ns INFO [00047553] Port=1 RD @07 + 47552.50ns INFO [00047554] * RD COMPARE * port=0 adr=03 act=5BEFC892E5A81D268D exp=5BEFC892E5A81D268D + 47552.50ns INFO [00047554] Port=0 WR @02=C871FC781162ED2027 + 47553.50ns INFO [00047555] * RD COMPARE * port=0 adr=05 act=2F93C3F3BD747800F1 exp=2F93C3F3BD747800F1 + 47553.50ns INFO [00047555] * RD COMPARE * port=1 adr=07 act=337781243FDEA0E628 exp=337781243FDEA0E628 + 47554.50ns INFO [00047556] Port=0 RD @04 + 47556.50ns INFO [00047558] * RD COMPARE * port=0 adr=04 act=60E026BEF72E40B6B8 exp=60E026BEF72E40B6B8 + 47556.50ns INFO [00047558] Port=0 WR @00=A38BDFE027E33759EF + 47556.50ns INFO [00047558] Port=1 RD @04 + 47557.50ns INFO [00047559] Port=0 WR @04=C94537ECB6759D96B1 + 47557.50ns INFO [00047559] Port=0 RD @00 + 47558.50ns INFO [00047560] * RD COMPARE * port=1 adr=04 act=60E026BEF72E40B6B8 exp=60E026BEF72E40B6B8 + 47558.50ns INFO [00047560] Port=0 WR @06=744C76D762EA2D403E + 47558.50ns INFO [00047560] Port=1 RD @01 + 47559.50ns INFO [00047561] * RD COMPARE * port=0 adr=00 act=A38BDFE027E33759EF exp=A38BDFE027E33759EF + 47559.50ns INFO [00047561] Port=0 WR @01=064AB480B514138028 + 47559.50ns INFO [00047561] Port=0 RD @07 + 47560.50ns INFO [00047562] * RD COMPARE * port=1 adr=01 act=B8FBD96F04098A9FCE exp=B8FBD96F04098A9FCE + 47561.50ns INFO [00047563] * RD COMPARE * port=0 adr=07 act=337781243FDEA0E628 exp=337781243FDEA0E628 + 47561.50ns INFO [00047563] Port=1 RD @04 + 47562.50ns INFO [00047564] Port=0 RD @05 + 47563.50ns INFO [00047565] * RD COMPARE * port=1 adr=04 act=C94537ECB6759D96B1 exp=C94537ECB6759D96B1 + 47563.50ns INFO [00047565] Port=0 RD @06 + 47563.50ns INFO [00047565] Port=1 RD @07 + 47564.50ns INFO [00047566] * RD COMPARE * port=0 adr=05 act=2F93C3F3BD747800F1 exp=2F93C3F3BD747800F1 + 47564.50ns INFO [00047566] Port=0 RD @07 + 47565.50ns INFO [00047567] * RD COMPARE * port=0 adr=06 act=744C76D762EA2D403E exp=744C76D762EA2D403E + 47565.50ns INFO [00047567] * RD COMPARE * port=1 adr=07 act=337781243FDEA0E628 exp=337781243FDEA0E628 + 47566.50ns INFO [00047568] * RD COMPARE * port=0 adr=07 act=337781243FDEA0E628 exp=337781243FDEA0E628 + 47566.50ns INFO [00047568] Port=0 WR @06=7F7E40054DE697A7E5 + 47566.50ns INFO [00047568] Port=1 RD @00 + 47568.50ns INFO [00047570] * RD COMPARE * port=1 adr=00 act=A38BDFE027E33759EF exp=A38BDFE027E33759EF + 47569.50ns INFO [00047571] Port=0 RD @07 + 47569.50ns INFO [00047571] Port=1 RD @02 + 47570.50ns INFO [00047572] Port=0 RD @02 + 47571.50ns INFO [00047573] * RD COMPARE * port=0 adr=07 act=337781243FDEA0E628 exp=337781243FDEA0E628 + 47571.50ns INFO [00047573] * RD COMPARE * port=1 adr=02 act=C871FC781162ED2027 exp=C871FC781162ED2027 + 47571.50ns INFO [00047573] Port=0 RD @03 + 47571.50ns INFO [00047573] Port=1 RD @02 + 47572.50ns INFO [00047574] * RD COMPARE * port=0 adr=02 act=C871FC781162ED2027 exp=C871FC781162ED2027 + 47572.50ns INFO [00047574] Port=0 RD @02 + 47573.50ns INFO [00047575] * RD COMPARE * port=0 adr=03 act=5BEFC892E5A81D268D exp=5BEFC892E5A81D268D + 47573.50ns INFO [00047575] * RD COMPARE * port=1 adr=02 act=C871FC781162ED2027 exp=C871FC781162ED2027 + 47573.50ns INFO [00047575] Port=1 RD @00 + 47574.50ns INFO [00047576] * RD COMPARE * port=0 adr=02 act=C871FC781162ED2027 exp=C871FC781162ED2027 + 47574.50ns INFO [00047576] Port=0 RD @06 + 47574.50ns INFO [00047576] Port=1 RD @00 + 47575.50ns INFO [00047577] * RD COMPARE * port=1 adr=00 act=A38BDFE027E33759EF exp=A38BDFE027E33759EF + 47576.50ns INFO [00047578] * RD COMPARE * port=0 adr=06 act=7F7E40054DE697A7E5 exp=7F7E40054DE697A7E5 + 47576.50ns INFO [00047578] * RD COMPARE * port=1 adr=00 act=A38BDFE027E33759EF exp=A38BDFE027E33759EF + 47576.50ns INFO [00047578] Port=0 WR @01=90FFBDBE623B94DD0B + 47576.50ns INFO [00047578] Port=1 RD @03 + 47577.50ns INFO [00047579] Port=0 RD @07 + 47577.50ns INFO [00047579] Port=1 RD @05 + 47578.50ns INFO [00047580] * RD COMPARE * port=1 adr=03 act=5BEFC892E5A81D268D exp=5BEFC892E5A81D268D + 47578.50ns INFO [00047580] Port=0 RD @05 + 47579.50ns INFO [00047581] * RD COMPARE * port=0 adr=07 act=337781243FDEA0E628 exp=337781243FDEA0E628 + 47579.50ns INFO [00047581] * RD COMPARE * port=1 adr=05 act=2F93C3F3BD747800F1 exp=2F93C3F3BD747800F1 + 47579.50ns INFO [00047581] Port=0 WR @05=4614F4D4288ADBC95C + 47580.50ns INFO [00047582] * RD COMPARE * port=0 adr=05 act=2F93C3F3BD747800F1 exp=2F93C3F3BD747800F1 + 47580.50ns INFO [00047582] Port=0 RD @00 + 47580.50ns INFO [00047582] Port=1 RD @04 + 47582.50ns INFO [00047584] * RD COMPARE * port=0 adr=00 act=A38BDFE027E33759EF exp=A38BDFE027E33759EF + 47582.50ns INFO [00047584] * RD COMPARE * port=1 adr=04 act=C94537ECB6759D96B1 exp=C94537ECB6759D96B1 + 47582.50ns INFO [00047584] Port=0 WR @02=3B90C99FF9B3B8664E + 47582.50ns INFO [00047584] Port=0 RD @03 + 47582.50ns INFO [00047584] Port=1 RD @03 + 47584.50ns INFO [00047586] * RD COMPARE * port=0 adr=03 act=5BEFC892E5A81D268D exp=5BEFC892E5A81D268D + 47584.50ns INFO [00047586] * RD COMPARE * port=1 adr=03 act=5BEFC892E5A81D268D exp=5BEFC892E5A81D268D + 47584.50ns INFO [00047586] Port=0 WR @07=0B81BC8D23D5EB079F + 47584.50ns INFO [00047586] Port=1 RD @00 + 47585.50ns INFO [00047587] Port=1 RD @02 + 47586.50ns INFO [00047588] * RD COMPARE * port=1 adr=00 act=A38BDFE027E33759EF exp=A38BDFE027E33759EF + 47586.50ns INFO [00047588] Port=1 RD @07 + 47587.50ns INFO [00047589] * RD COMPARE * port=1 adr=02 act=3B90C99FF9B3B8664E exp=3B90C99FF9B3B8664E + 47587.50ns INFO [00047589] Port=0 WR @00=C9A407213F9F018867 + 47587.50ns INFO [00047589] Port=0 RD @03 + 47587.50ns INFO [00047589] Port=1 RD @01 + 47588.50ns INFO [00047590] * RD COMPARE * port=1 adr=07 act=0B81BC8D23D5EB079F exp=0B81BC8D23D5EB079F + 47588.50ns INFO [00047590] Port=0 WR @02=65AB7EC3B3F104EC32 + 47589.50ns INFO [00047591] * RD COMPARE * port=0 adr=03 act=5BEFC892E5A81D268D exp=5BEFC892E5A81D268D + 47589.50ns INFO [00047591] * RD COMPARE * port=1 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47589.50ns INFO [00047591] Port=0 RD @06 + 47589.50ns INFO [00047591] Port=1 RD @04 + 47590.50ns INFO [00047592] Port=0 RD @01 + 47591.50ns INFO [00047593] * RD COMPARE * port=0 adr=06 act=7F7E40054DE697A7E5 exp=7F7E40054DE697A7E5 + 47591.50ns INFO [00047593] * RD COMPARE * port=1 adr=04 act=C94537ECB6759D96B1 exp=C94537ECB6759D96B1 + 47592.50ns INFO [00047594] * RD COMPARE * port=0 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47593.50ns INFO [00047595] Port=0 WR @04=AE43EFDF7D9B1E633A + 47594.50ns INFO [00047596] Port=1 RD @05 + 47595.50ns INFO [00047597] Port=0 WR @06=72AB5B36C9E4717298 + 47595.50ns INFO [00047597] Port=1 RD @02 + 47596.50ns INFO [00047598] * RD COMPARE * port=1 adr=05 act=4614F4D4288ADBC95C exp=4614F4D4288ADBC95C + 47596.50ns INFO [00047598] Port=0 WR @07=09A4F1C268D44B3B9B + 47596.50ns INFO [00047598] Port=1 RD @03 + 47597.50ns INFO [00047599] * RD COMPARE * port=1 adr=02 act=65AB7EC3B3F104EC32 exp=65AB7EC3B3F104EC32 + 47597.50ns INFO [00047599] Port=0 WR @03=755B21BAE007F6D733 + 47598.00ns INFO [00047600] [00047600] ...tick... + 47598.50ns INFO [00047600] * RD COMPARE * port=1 adr=03 act=5BEFC892E5A81D268D exp=5BEFC892E5A81D268D + 47598.50ns INFO [00047600] Port=0 RD @02 + 47600.50ns INFO [00047602] * RD COMPARE * port=0 adr=02 act=65AB7EC3B3F104EC32 exp=65AB7EC3B3F104EC32 + 47600.50ns INFO [00047602] Port=0 WR @00=FF9CC920E97953105D + 47600.50ns INFO [00047602] Port=1 RD @02 + 47602.50ns INFO [00047604] * RD COMPARE * port=1 adr=02 act=65AB7EC3B3F104EC32 exp=65AB7EC3B3F104EC32 + 47602.50ns INFO [00047604] Port=1 RD @01 + 47604.50ns INFO [00047606] * RD COMPARE * port=1 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47604.50ns INFO [00047606] Port=0 RD @07 + 47605.50ns INFO [00047607] Port=1 RD @06 + 47606.50ns INFO [00047608] * RD COMPARE * port=0 adr=07 act=09A4F1C268D44B3B9B exp=09A4F1C268D44B3B9B + 47606.50ns INFO [00047608] Port=0 RD @02 + 47606.50ns INFO [00047608] Port=1 RD @00 + 47607.50ns INFO [00047609] * RD COMPARE * port=1 adr=06 act=72AB5B36C9E4717298 exp=72AB5B36C9E4717298 + 47607.50ns INFO [00047609] Port=0 RD @07 + 47608.50ns INFO [00047610] * RD COMPARE * port=0 adr=02 act=65AB7EC3B3F104EC32 exp=65AB7EC3B3F104EC32 + 47608.50ns INFO [00047610] * RD COMPARE * port=1 adr=00 act=FF9CC920E97953105D exp=FF9CC920E97953105D + 47608.50ns INFO [00047610] Port=0 WR @06=41194321DB89C8D5A3 + 47609.50ns INFO [00047611] * RD COMPARE * port=0 adr=07 act=09A4F1C268D44B3B9B exp=09A4F1C268D44B3B9B + 47609.50ns INFO [00047611] Port=0 WR @00=57C16D6E480220A3CD + 47609.50ns INFO [00047611] Port=1 RD @07 + 47610.50ns INFO [00047612] Port=0 WR @06=A0984C8B68FE78BCDA + 47610.50ns INFO [00047612] Port=0 RD @01 + 47611.50ns INFO [00047613] * RD COMPARE * port=1 adr=07 act=09A4F1C268D44B3B9B exp=09A4F1C268D44B3B9B + 47611.50ns INFO [00047613] Port=0 WR @04=0BB5B78CF16BFEA88F + 47611.50ns INFO [00047613] Port=1 RD @05 + 47612.50ns INFO [00047614] * RD COMPARE * port=0 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47612.50ns INFO [00047614] Port=1 RD @05 + 47613.50ns INFO [00047615] * RD COMPARE * port=1 adr=05 act=4614F4D4288ADBC95C exp=4614F4D4288ADBC95C + 47613.50ns INFO [00047615] Port=0 WR @07=E778B68E932ED9970A + 47614.50ns INFO [00047616] * RD COMPARE * port=1 adr=05 act=4614F4D4288ADBC95C exp=4614F4D4288ADBC95C + 47614.50ns INFO [00047616] Port=0 RD @00 + 47614.50ns INFO [00047616] Port=1 RD @07 + 47615.50ns INFO [00047617] Port=0 WR @03=972D5240DA0B6EA56F + 47615.50ns INFO [00047617] Port=1 RD @00 + 47616.50ns INFO [00047618] * RD COMPARE * port=0 adr=00 act=57C16D6E480220A3CD exp=57C16D6E480220A3CD + 47616.50ns INFO [00047618] * RD COMPARE * port=1 adr=07 act=E778B68E932ED9970A exp=E778B68E932ED9970A + 47616.50ns INFO [00047618] Port=0 RD @02 + 47617.50ns INFO [00047619] * RD COMPARE * port=1 adr=00 act=57C16D6E480220A3CD exp=57C16D6E480220A3CD + 47617.50ns INFO [00047619] Port=0 WR @00=A2B0471FE97C7AF865 + 47618.50ns INFO [00047620] * RD COMPARE * port=0 adr=02 act=65AB7EC3B3F104EC32 exp=65AB7EC3B3F104EC32 + 47618.50ns INFO [00047620] Port=0 WR @07=36F71B9A123F3232AF + 47618.50ns INFO [00047620] Port=0 RD @05 + 47618.50ns INFO [00047620] Port=1 RD @00 + 47619.50ns INFO [00047621] Port=0 WR @02=A1CF1DDB3231A32BEB + 47619.50ns INFO [00047621] Port=0 RD @06 + 47620.50ns INFO [00047622] * RD COMPARE * port=0 adr=05 act=4614F4D4288ADBC95C exp=4614F4D4288ADBC95C + 47620.50ns INFO [00047622] * RD COMPARE * port=1 adr=00 act=A2B0471FE97C7AF865 exp=A2B0471FE97C7AF865 + 47620.50ns INFO [00047622] Port=1 RD @00 + 47621.50ns INFO [00047623] * RD COMPARE * port=0 adr=06 act=A0984C8B68FE78BCDA exp=A0984C8B68FE78BCDA + 47621.50ns INFO [00047623] Port=0 WR @07=CE81249CC1CBB0249E + 47622.50ns INFO [00047624] * RD COMPARE * port=1 adr=00 act=A2B0471FE97C7AF865 exp=A2B0471FE97C7AF865 + 47623.50ns INFO [00047625] Port=0 WR @05=00F4040C6E0BD394B4 + 47624.50ns INFO [00047626] Port=1 RD @01 + 47625.50ns INFO [00047627] Port=1 RD @05 + 47626.50ns INFO [00047628] * RD COMPARE * port=1 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47626.50ns INFO [00047628] Port=0 RD @00 + 47626.50ns INFO [00047628] Port=1 RD @06 + 47627.50ns INFO [00047629] * RD COMPARE * port=1 adr=05 act=00F4040C6E0BD394B4 exp=00F4040C6E0BD394B4 + 47627.50ns INFO [00047629] Port=1 RD @00 + 47628.50ns INFO [00047630] * RD COMPARE * port=0 adr=00 act=A2B0471FE97C7AF865 exp=A2B0471FE97C7AF865 + 47628.50ns INFO [00047630] * RD COMPARE * port=1 adr=06 act=A0984C8B68FE78BCDA exp=A0984C8B68FE78BCDA + 47628.50ns INFO [00047630] Port=0 RD @01 + 47628.50ns INFO [00047630] Port=1 RD @03 + 47629.50ns INFO [00047631] * RD COMPARE * port=1 adr=00 act=A2B0471FE97C7AF865 exp=A2B0471FE97C7AF865 + 47629.50ns INFO [00047631] Port=0 RD @05 + 47630.50ns INFO [00047632] * RD COMPARE * port=0 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47630.50ns INFO [00047632] * RD COMPARE * port=1 adr=03 act=972D5240DA0B6EA56F exp=972D5240DA0B6EA56F + 47631.50ns INFO [00047633] * RD COMPARE * port=0 adr=05 act=00F4040C6E0BD394B4 exp=00F4040C6E0BD394B4 + 47631.50ns INFO [00047633] Port=0 WR @06=875BC224FD4D76D966 + 47631.50ns INFO [00047633] Port=1 RD @01 + 47632.50ns INFO [00047634] Port=0 RD @06 + 47633.50ns INFO [00047635] * RD COMPARE * port=1 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47633.50ns INFO [00047635] Port=0 RD @05 + 47634.50ns INFO [00047636] * RD COMPARE * port=0 adr=06 act=875BC224FD4D76D966 exp=875BC224FD4D76D966 + 47635.50ns INFO [00047637] * RD COMPARE * port=0 adr=05 act=00F4040C6E0BD394B4 exp=00F4040C6E0BD394B4 + 47635.50ns INFO [00047637] Port=0 WR @03=7208A8055D7FEF5568 + 47636.50ns INFO [00047638] Port=1 RD @06 + 47637.50ns INFO [00047639] Port=1 RD @03 + 47638.50ns INFO [00047640] * RD COMPARE * port=1 adr=06 act=875BC224FD4D76D966 exp=875BC224FD4D76D966 + 47638.50ns INFO [00047640] Port=0 WR @03=FC255D3DC5695B4189 + 47639.50ns INFO [00047641] * RD COMPARE * port=1 adr=03 act=7208A8055D7FEF5568 exp=7208A8055D7FEF5568 + 47639.50ns INFO [00047641] Port=0 RD @02 + 47639.50ns INFO [00047641] Port=1 RD @06 + 47640.50ns INFO [00047642] Port=0 WR @00=036D465E7E98BD8F78 + 47640.50ns INFO [00047642] Port=0 RD @03 + 47641.50ns INFO [00047643] * RD COMPARE * port=0 adr=02 act=A1CF1DDB3231A32BEB exp=A1CF1DDB3231A32BEB + 47641.50ns INFO [00047643] * RD COMPARE * port=1 adr=06 act=875BC224FD4D76D966 exp=875BC224FD4D76D966 + 47641.50ns INFO [00047643] Port=1 RD @03 + 47642.50ns INFO [00047644] * RD COMPARE * port=0 adr=03 act=FC255D3DC5695B4189 exp=FC255D3DC5695B4189 + 47642.50ns INFO [00047644] Port=0 WR @06=D1E3560F030DAFA695 + 47642.50ns INFO [00047644] Port=1 RD @01 + 47643.50ns INFO [00047645] * RD COMPARE * port=1 adr=03 act=FC255D3DC5695B4189 exp=FC255D3DC5695B4189 + 47643.50ns INFO [00047645] Port=0 WR @07=9D8D2522F77787D943 + 47643.50ns INFO [00047645] Port=1 RD @00 + 47644.50ns INFO [00047646] * RD COMPARE * port=1 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47644.50ns INFO [00047646] Port=0 WR @03=F4C55E33DD889CE9C2 + 47644.50ns INFO [00047646] Port=0 RD @02 + 47645.50ns INFO [00047647] * RD COMPARE * port=1 adr=00 act=036D465E7E98BD8F78 exp=036D465E7E98BD8F78 + 47645.50ns INFO [00047647] Port=0 WR @05=6A9BE430DCBA630C5D + 47645.50ns INFO [00047647] Port=1 RD @06 + 47646.50ns INFO [00047648] * RD COMPARE * port=0 adr=02 act=A1CF1DDB3231A32BEB exp=A1CF1DDB3231A32BEB + 47646.50ns INFO [00047648] Port=1 RD @00 + 47647.50ns INFO [00047649] * RD COMPARE * port=1 adr=06 act=D1E3560F030DAFA695 exp=D1E3560F030DAFA695 + 47647.50ns INFO [00047649] Port=1 RD @03 + 47648.50ns INFO [00047650] * RD COMPARE * port=1 adr=00 act=036D465E7E98BD8F78 exp=036D465E7E98BD8F78 + 47648.50ns INFO [00047650] Port=0 WR @02=4CC4A5836BC8CA2B9A + 47648.50ns INFO [00047650] Port=0 RD @04 + 47648.50ns INFO [00047650] Port=1 RD @00 + 47649.50ns INFO [00047651] * RD COMPARE * port=1 adr=03 act=F4C55E33DD889CE9C2 exp=F4C55E33DD889CE9C2 + 47649.50ns INFO [00047651] Port=1 RD @02 + 47650.50ns INFO [00047652] * RD COMPARE * port=0 adr=04 act=0BB5B78CF16BFEA88F exp=0BB5B78CF16BFEA88F + 47650.50ns INFO [00047652] * RD COMPARE * port=1 adr=00 act=036D465E7E98BD8F78 exp=036D465E7E98BD8F78 + 47650.50ns INFO [00047652] Port=0 WR @03=C9EF849C155D701C4A + 47650.50ns INFO [00047652] Port=1 RD @01 + 47651.50ns INFO [00047653] * RD COMPARE * port=1 adr=02 act=4CC4A5836BC8CA2B9A exp=4CC4A5836BC8CA2B9A + 47651.50ns INFO [00047653] Port=1 RD @02 + 47652.50ns INFO [00047654] * RD COMPARE * port=1 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47652.50ns INFO [00047654] Port=0 WR @04=37D08B9F509EEFD852 + 47652.50ns INFO [00047654] Port=0 RD @05 + 47652.50ns INFO [00047654] Port=1 RD @00 + 47653.50ns INFO [00047655] * RD COMPARE * port=1 adr=02 act=4CC4A5836BC8CA2B9A exp=4CC4A5836BC8CA2B9A + 47653.50ns INFO [00047655] Port=0 RD @04 + 47654.50ns INFO [00047656] * RD COMPARE * port=0 adr=05 act=6A9BE430DCBA630C5D exp=6A9BE430DCBA630C5D + 47654.50ns INFO [00047656] * RD COMPARE * port=1 adr=00 act=036D465E7E98BD8F78 exp=036D465E7E98BD8F78 + 47654.50ns INFO [00047656] Port=1 RD @04 + 47655.50ns INFO [00047657] * RD COMPARE * port=0 adr=04 act=37D08B9F509EEFD852 exp=37D08B9F509EEFD852 + 47655.50ns INFO [00047657] Port=0 RD @07 + 47655.50ns INFO [00047657] Port=1 RD @06 + 47656.50ns INFO [00047658] * RD COMPARE * port=1 adr=04 act=37D08B9F509EEFD852 exp=37D08B9F509EEFD852 + 47656.50ns INFO [00047658] Port=0 WR @00=ABB271D8FCEB6F644A + 47656.50ns INFO [00047658] Port=0 RD @01 + 47656.50ns INFO [00047658] Port=1 RD @07 + 47657.50ns INFO [00047659] * RD COMPARE * port=0 adr=07 act=9D8D2522F77787D943 exp=9D8D2522F77787D943 + 47657.50ns INFO [00047659] * RD COMPARE * port=1 adr=06 act=D1E3560F030DAFA695 exp=D1E3560F030DAFA695 + 47657.50ns INFO [00047659] Port=0 RD @01 + 47657.50ns INFO [00047659] Port=1 RD @01 + 47658.50ns INFO [00047660] * RD COMPARE * port=0 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47658.50ns INFO [00047660] * RD COMPARE * port=1 adr=07 act=9D8D2522F77787D943 exp=9D8D2522F77787D943 + 47658.50ns INFO [00047660] Port=0 WR @06=DAC3C5647A80188D00 + 47658.50ns INFO [00047660] Port=1 RD @01 + 47659.50ns INFO [00047661] * RD COMPARE * port=0 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47659.50ns INFO [00047661] * RD COMPARE * port=1 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47660.50ns INFO [00047662] * RD COMPARE * port=1 adr=01 act=90FFBDBE623B94DD0B exp=90FFBDBE623B94DD0B + 47660.50ns INFO [00047662] Port=0 RD @00 + 47661.50ns INFO [00047663] Port=1 RD @05 + 47662.50ns INFO [00047664] * RD COMPARE * port=0 adr=00 act=ABB271D8FCEB6F644A exp=ABB271D8FCEB6F644A + 47662.50ns INFO [00047664] Port=0 WR @01=3FB826CCFA93C6A947 + 47662.50ns INFO [00047664] Port=0 RD @03 + 47663.50ns INFO [00047665] * RD COMPARE * port=1 adr=05 act=6A9BE430DCBA630C5D exp=6A9BE430DCBA630C5D + 47663.50ns INFO [00047665] Port=0 RD @02 + 47663.50ns INFO [00047665] Port=1 RD @06 + 47664.50ns INFO [00047666] * RD COMPARE * port=0 adr=03 act=C9EF849C155D701C4A exp=C9EF849C155D701C4A + 47664.50ns INFO [00047666] Port=0 WR @06=38200E18CF8FDF5B3E + 47664.50ns INFO [00047666] Port=0 RD @05 + 47665.50ns INFO [00047667] * RD COMPARE * port=0 adr=02 act=4CC4A5836BC8CA2B9A exp=4CC4A5836BC8CA2B9A + 47665.50ns INFO [00047667] * RD COMPARE * port=1 adr=06 act=DAC3C5647A80188D00 exp=DAC3C5647A80188D00 + 47665.50ns INFO [00047667] Port=0 WR @02=630ABFEDE44EFA8B70 + 47666.50ns INFO [00047668] * RD COMPARE * port=0 adr=05 act=6A9BE430DCBA630C5D exp=6A9BE430DCBA630C5D + 47666.50ns INFO [00047668] Port=0 RD @04 + 47666.50ns INFO [00047668] Port=1 RD @00 + 47667.50ns INFO [00047669] Port=0 RD @06 + 47668.50ns INFO [00047670] * RD COMPARE * port=0 adr=04 act=37D08B9F509EEFD852 exp=37D08B9F509EEFD852 + 47668.50ns INFO [00047670] * RD COMPARE * port=1 adr=00 act=ABB271D8FCEB6F644A exp=ABB271D8FCEB6F644A + 47668.50ns INFO [00047670] Port=0 RD @03 + 47668.50ns INFO [00047670] Port=1 RD @06 + 47669.50ns INFO [00047671] * RD COMPARE * port=0 adr=06 act=38200E18CF8FDF5B3E exp=38200E18CF8FDF5B3E + 47669.50ns INFO [00047671] Port=1 RD @06 + 47670.50ns INFO [00047672] * RD COMPARE * port=0 adr=03 act=C9EF849C155D701C4A exp=C9EF849C155D701C4A + 47670.50ns INFO [00047672] * RD COMPARE * port=1 adr=06 act=38200E18CF8FDF5B3E exp=38200E18CF8FDF5B3E + 47670.50ns INFO [00047672] Port=0 RD @03 + 47671.50ns INFO [00047673] * RD COMPARE * port=1 adr=06 act=38200E18CF8FDF5B3E exp=38200E18CF8FDF5B3E + 47671.50ns INFO [00047673] Port=1 RD @00 + 47672.50ns INFO [00047674] * RD COMPARE * port=0 adr=03 act=C9EF849C155D701C4A exp=C9EF849C155D701C4A + 47673.50ns INFO [00047675] * RD COMPARE * port=1 adr=00 act=ABB271D8FCEB6F644A exp=ABB271D8FCEB6F644A + 47673.50ns INFO [00047675] Port=1 RD @04 + 47675.50ns INFO [00047677] * RD COMPARE * port=1 adr=04 act=37D08B9F509EEFD852 exp=37D08B9F509EEFD852 + 47676.50ns INFO [00047678] Port=1 RD @01 + 47677.50ns INFO [00047679] Port=0 WR @04=08B3CC808E4C182A18 + 47677.50ns INFO [00047679] Port=0 RD @01 + 47678.50ns INFO [00047680] * RD COMPARE * port=1 adr=01 act=3FB826CCFA93C6A947 exp=3FB826CCFA93C6A947 + 47679.50ns INFO [00047681] * RD COMPARE * port=0 adr=01 act=3FB826CCFA93C6A947 exp=3FB826CCFA93C6A947 + 47679.50ns INFO [00047681] Port=0 WR @03=BBDBB1124A631C9AE9 + 47679.50ns INFO [00047681] Port=0 RD @00 + 47679.50ns INFO [00047681] Port=1 RD @04 + 47680.50ns INFO [00047682] Port=0 RD @03 + 47681.50ns INFO [00047683] * RD COMPARE * port=0 adr=00 act=ABB271D8FCEB6F644A exp=ABB271D8FCEB6F644A + 47681.50ns INFO [00047683] * RD COMPARE * port=1 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47681.50ns INFO [00047683] Port=0 RD @07 + 47682.50ns INFO [00047684] * RD COMPARE * port=0 adr=03 act=BBDBB1124A631C9AE9 exp=BBDBB1124A631C9AE9 + 47683.50ns INFO [00047685] * RD COMPARE * port=0 adr=07 act=9D8D2522F77787D943 exp=9D8D2522F77787D943 + 47683.50ns INFO [00047685] Port=0 WR @01=C50B11A283C5E86DD5 + 47683.50ns INFO [00047685] Port=0 RD @02 + 47683.50ns INFO [00047685] Port=1 RD @07 + 47684.50ns INFO [00047686] Port=0 WR @07=0E7F45C98D33FF8475 + 47684.50ns INFO [00047686] Port=0 RD @06 + 47685.50ns INFO [00047687] * RD COMPARE * port=0 adr=02 act=630ABFEDE44EFA8B70 exp=630ABFEDE44EFA8B70 + 47685.50ns INFO [00047687] * RD COMPARE * port=1 adr=07 act=9D8D2522F77787D943 exp=9D8D2522F77787D943 + 47685.50ns INFO [00047687] Port=0 WR @03=BF31D6E3729BB902F8 + 47685.50ns INFO [00047687] Port=0 RD @04 + 47686.50ns INFO [00047688] * RD COMPARE * port=0 adr=06 act=38200E18CF8FDF5B3E exp=38200E18CF8FDF5B3E + 47686.50ns INFO [00047688] Port=1 RD @02 + 47687.50ns INFO [00047689] * RD COMPARE * port=0 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47687.50ns INFO [00047689] Port=1 RD @04 + 47688.50ns INFO [00047690] * RD COMPARE * port=1 adr=02 act=630ABFEDE44EFA8B70 exp=630ABFEDE44EFA8B70 + 47688.50ns INFO [00047690] Port=0 WR @01=8E5F66C268553FA9B7 + 47688.50ns INFO [00047690] Port=0 RD @02 + 47688.50ns INFO [00047690] Port=1 RD @00 + 47689.50ns INFO [00047691] * RD COMPARE * port=1 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47689.50ns INFO [00047691] Port=0 WR @00=6AA6F0CD2D6445098A + 47689.50ns INFO [00047691] Port=1 RD @06 + 47690.50ns INFO [00047692] * RD COMPARE * port=0 adr=02 act=630ABFEDE44EFA8B70 exp=630ABFEDE44EFA8B70 + 47690.50ns INFO [00047692] * RD COMPARE * port=1 adr=00 act=ABB271D8FCEB6F644A exp=ABB271D8FCEB6F644A + 47691.50ns INFO [00047693] * RD COMPARE * port=1 adr=06 act=38200E18CF8FDF5B3E exp=38200E18CF8FDF5B3E + 47691.50ns INFO [00047693] Port=0 WR @02=DFD7969D350141DCB2 + 47693.50ns INFO [00047695] Port=0 RD @05 + 47693.50ns INFO [00047695] Port=1 RD @07 + 47694.50ns INFO [00047696] Port=0 RD @03 + 47695.50ns INFO [00047697] * RD COMPARE * port=0 adr=05 act=6A9BE430DCBA630C5D exp=6A9BE430DCBA630C5D + 47695.50ns INFO [00047697] * RD COMPARE * port=1 adr=07 act=0E7F45C98D33FF8475 exp=0E7F45C98D33FF8475 + 47695.50ns INFO [00047697] Port=0 RD @02 + 47695.50ns INFO [00047697] Port=1 RD @04 + 47696.50ns INFO [00047698] * RD COMPARE * port=0 adr=03 act=BF31D6E3729BB902F8 exp=BF31D6E3729BB902F8 + 47696.50ns INFO [00047698] Port=0 RD @03 + 47697.50ns INFO [00047699] * RD COMPARE * port=0 adr=02 act=DFD7969D350141DCB2 exp=DFD7969D350141DCB2 + 47697.50ns INFO [00047699] * RD COMPARE * port=1 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47697.50ns INFO [00047699] Port=0 RD @04 + 47697.50ns INFO [00047699] Port=1 RD @04 + 47698.00ns INFO [00047700] [00047700] ...tick... + 47698.50ns INFO [00047700] * RD COMPARE * port=0 adr=03 act=BF31D6E3729BB902F8 exp=BF31D6E3729BB902F8 + 47698.50ns INFO [00047700] Port=0 RD @05 + 47699.50ns INFO [00047701] * RD COMPARE * port=0 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47699.50ns INFO [00047701] * RD COMPARE * port=1 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47699.50ns INFO [00047701] Port=0 WR @07=A099139EA5C3F707F3 + 47700.50ns INFO [00047702] * RD COMPARE * port=0 adr=05 act=6A9BE430DCBA630C5D exp=6A9BE430DCBA630C5D + 47700.50ns INFO [00047702] Port=0 RD @04 + 47702.50ns INFO [00047704] * RD COMPARE * port=0 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47702.50ns INFO [00047704] Port=0 WR @01=7B657078DD0BBB5B3A + 47702.50ns INFO [00047704] Port=1 RD @02 + 47703.50ns INFO [00047705] Port=0 WR @03=8EF1E13906F9B76471 + 47703.50ns INFO [00047705] Port=0 RD @07 + 47704.50ns INFO [00047706] * RD COMPARE * port=1 adr=02 act=DFD7969D350141DCB2 exp=DFD7969D350141DCB2 + 47704.50ns INFO [00047706] Port=1 RD @03 + 47705.50ns INFO [00047707] * RD COMPARE * port=0 adr=07 act=A099139EA5C3F707F3 exp=A099139EA5C3F707F3 + 47705.50ns INFO [00047707] Port=0 RD @06 + 47706.50ns INFO [00047708] * RD COMPARE * port=1 adr=03 act=8EF1E13906F9B76471 exp=8EF1E13906F9B76471 + 47707.50ns INFO [00047709] * RD COMPARE * port=0 adr=06 act=38200E18CF8FDF5B3E exp=38200E18CF8FDF5B3E + 47707.50ns INFO [00047709] Port=0 WR @06=949352109FC47A8CED + 47707.50ns INFO [00047709] Port=1 RD @02 + 47709.50ns INFO [00047711] * RD COMPARE * port=1 adr=02 act=DFD7969D350141DCB2 exp=DFD7969D350141DCB2 + 47709.50ns INFO [00047711] Port=0 WR @07=8C6DA9106C2C2692FD + 47710.50ns INFO [00047712] Port=0 RD @02 + 47711.50ns INFO [00047713] Port=0 WR @01=3FD478CC3489DF615A + 47711.50ns INFO [00047713] Port=1 RD @00 + 47712.50ns INFO [00047714] * RD COMPARE * port=0 adr=02 act=DFD7969D350141DCB2 exp=DFD7969D350141DCB2 + 47712.50ns INFO [00047714] Port=1 RD @04 + 47713.50ns INFO [00047715] * RD COMPARE * port=1 adr=00 act=6AA6F0CD2D6445098A exp=6AA6F0CD2D6445098A + 47713.50ns INFO [00047715] Port=1 RD @05 + 47714.50ns INFO [00047716] * RD COMPARE * port=1 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47714.50ns INFO [00047716] Port=1 RD @00 + 47715.50ns INFO [00047717] * RD COMPARE * port=1 adr=05 act=6A9BE430DCBA630C5D exp=6A9BE430DCBA630C5D + 47716.50ns INFO [00047718] * RD COMPARE * port=1 adr=00 act=6AA6F0CD2D6445098A exp=6AA6F0CD2D6445098A + 47717.50ns INFO [00047719] Port=1 RD @00 + 47719.50ns INFO [00047721] * RD COMPARE * port=1 adr=00 act=6AA6F0CD2D6445098A exp=6AA6F0CD2D6445098A + 47719.50ns INFO [00047721] Port=0 WR @01=68E4A363E0DD3ADA2F + 47721.50ns INFO [00047723] Port=1 RD @04 + 47723.50ns INFO [00047725] * RD COMPARE * port=1 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47723.50ns INFO [00047725] Port=0 WR @03=60C42E0E61C283400F + 47723.50ns INFO [00047725] Port=1 RD @05 + 47725.50ns INFO [00047727] * RD COMPARE * port=1 adr=05 act=6A9BE430DCBA630C5D exp=6A9BE430DCBA630C5D + 47725.50ns INFO [00047727] Port=0 RD @06 + 47725.50ns INFO [00047727] Port=1 RD @05 + 47726.50ns INFO [00047728] Port=1 RD @07 + 47727.50ns INFO [00047729] * RD COMPARE * port=0 adr=06 act=949352109FC47A8CED exp=949352109FC47A8CED + 47727.50ns INFO [00047729] * RD COMPARE * port=1 adr=05 act=6A9BE430DCBA630C5D exp=6A9BE430DCBA630C5D + 47727.50ns INFO [00047729] Port=0 RD @04 + 47728.50ns INFO [00047730] * RD COMPARE * port=1 adr=07 act=8C6DA9106C2C2692FD exp=8C6DA9106C2C2692FD + 47729.50ns INFO [00047731] * RD COMPARE * port=0 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47729.50ns INFO [00047731] Port=0 WR @01=A1DC8C29B6020803D0 + 47730.50ns INFO [00047732] Port=0 RD @01 + 47731.50ns INFO [00047733] Port=0 WR @02=1894F6E833FF19007D + 47732.50ns INFO [00047734] * RD COMPARE * port=0 adr=01 act=A1DC8C29B6020803D0 exp=A1DC8C29B6020803D0 + 47732.50ns INFO [00047734] Port=0 WR @05=45B0DC309AC7C2C1D7 + 47732.50ns INFO [00047734] Port=1 RD @01 + 47734.50ns INFO [00047736] * RD COMPARE * port=1 adr=01 act=A1DC8C29B6020803D0 exp=A1DC8C29B6020803D0 + 47734.50ns INFO [00047736] Port=1 RD @00 + 47735.50ns INFO [00047737] Port=0 WR @03=613A2D55BFE056155D + 47736.50ns INFO [00047738] * RD COMPARE * port=1 adr=00 act=6AA6F0CD2D6445098A exp=6AA6F0CD2D6445098A + 47736.50ns INFO [00047738] Port=0 RD @07 + 47736.50ns INFO [00047738] Port=1 RD @06 + 47737.50ns INFO [00047739] Port=0 RD @03 + 47738.50ns INFO [00047740] * RD COMPARE * port=0 adr=07 act=8C6DA9106C2C2692FD exp=8C6DA9106C2C2692FD + 47738.50ns INFO [00047740] * RD COMPARE * port=1 adr=06 act=949352109FC47A8CED exp=949352109FC47A8CED + 47739.50ns INFO [00047741] * RD COMPARE * port=0 adr=03 act=613A2D55BFE056155D exp=613A2D55BFE056155D + 47739.50ns INFO [00047741] Port=0 RD @05 + 47740.50ns INFO [00047742] Port=0 WR @00=3A2F36708C0995E7BD + 47741.50ns INFO [00047743] * RD COMPARE * port=0 adr=05 act=45B0DC309AC7C2C1D7 exp=45B0DC309AC7C2C1D7 + 47741.50ns INFO [00047743] Port=1 RD @00 + 47742.50ns INFO [00047744] Port=0 WR @07=F15ACF59A7CF3A8DFE + 47742.50ns INFO [00047744] Port=0 RD @01 + 47743.50ns INFO [00047745] * RD COMPARE * port=1 adr=00 act=3A2F36708C0995E7BD exp=3A2F36708C0995E7BD + 47743.50ns INFO [00047745] Port=0 WR @06=338015F1C7D3DE33A1 + 47743.50ns INFO [00047745] Port=0 RD @05 + 47744.50ns INFO [00047746] * RD COMPARE * port=0 adr=01 act=A1DC8C29B6020803D0 exp=A1DC8C29B6020803D0 + 47744.50ns INFO [00047746] Port=0 RD @02 + 47745.50ns INFO [00047747] * RD COMPARE * port=0 adr=05 act=45B0DC309AC7C2C1D7 exp=45B0DC309AC7C2C1D7 + 47745.50ns INFO [00047747] Port=0 RD @05 + 47745.50ns INFO [00047747] Port=1 RD @07 + 47746.50ns INFO [00047748] * RD COMPARE * port=0 adr=02 act=1894F6E833FF19007D exp=1894F6E833FF19007D + 47746.50ns INFO [00047748] Port=0 WR @03=9A76CBE7762A6721E1 + 47747.50ns INFO [00047749] * RD COMPARE * port=0 adr=05 act=45B0DC309AC7C2C1D7 exp=45B0DC309AC7C2C1D7 + 47747.50ns INFO [00047749] * RD COMPARE * port=1 adr=07 act=F15ACF59A7CF3A8DFE exp=F15ACF59A7CF3A8DFE + 47747.50ns INFO [00047749] Port=0 WR @00=0B2DE05A4CFE2B0063 + 47748.50ns INFO [00047750] Port=0 WR @02=B3EF6546E60EE0BCFB + 47748.50ns INFO [00047750] Port=0 RD @07 + 47750.50ns INFO [00047752] * RD COMPARE * port=0 adr=07 act=F15ACF59A7CF3A8DFE exp=F15ACF59A7CF3A8DFE + 47750.50ns INFO [00047752] Port=0 WR @06=BEA647965A9BE35320 + 47750.50ns INFO [00047752] Port=1 RD @04 + 47751.50ns INFO [00047753] Port=0 WR @06=453AEF7956D009DD22 + 47751.50ns INFO [00047753] Port=1 RD @03 + 47752.50ns INFO [00047754] * RD COMPARE * port=1 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47752.50ns INFO [00047754] Port=0 WR @01=98A8CDFF204E268B8C + 47752.50ns INFO [00047754] Port=1 RD @04 + 47753.50ns INFO [00047755] * RD COMPARE * port=1 adr=03 act=9A76CBE7762A6721E1 exp=9A76CBE7762A6721E1 + 47753.50ns INFO [00047755] Port=1 RD @06 + 47754.50ns INFO [00047756] * RD COMPARE * port=1 adr=04 act=08B3CC808E4C182A18 exp=08B3CC808E4C182A18 + 47754.50ns INFO [00047756] Port=1 RD @06 + 47755.50ns INFO [00047757] * RD COMPARE * port=1 adr=06 act=453AEF7956D009DD22 exp=453AEF7956D009DD22 + 47756.50ns INFO [00047758] * RD COMPARE * port=1 adr=06 act=453AEF7956D009DD22 exp=453AEF7956D009DD22 + 47756.50ns INFO [00047758] Port=1 RD @05 + 47757.50ns INFO [00047759] Port=0 WR @04=AACB8C2CF27B3B671F + 47758.50ns INFO [00047760] * RD COMPARE * port=1 adr=05 act=45B0DC309AC7C2C1D7 exp=45B0DC309AC7C2C1D7 + 47760.50ns INFO [00047762] Port=0 WR @04=20D2A405C18E0C7A37 + 47760.50ns INFO [00047762] Port=0 RD @00 + 47761.50ns INFO [00047763] Port=1 RD @01 + 47762.50ns INFO [00047764] * RD COMPARE * port=0 adr=00 act=0B2DE05A4CFE2B0063 exp=0B2DE05A4CFE2B0063 + 47763.50ns INFO [00047765] * RD COMPARE * port=1 adr=01 act=98A8CDFF204E268B8C exp=98A8CDFF204E268B8C + 47763.50ns INFO [00047765] Port=0 RD @05 + 47763.50ns INFO [00047765] Port=1 RD @07 + 47764.50ns INFO [00047766] Port=0 WR @02=4B1B489771AD423FB3 + 47764.50ns INFO [00047766] Port=1 RD @05 + 47765.50ns INFO [00047767] * RD COMPARE * port=0 adr=05 act=45B0DC309AC7C2C1D7 exp=45B0DC309AC7C2C1D7 + 47765.50ns INFO [00047767] * RD COMPARE * port=1 adr=07 act=F15ACF59A7CF3A8DFE exp=F15ACF59A7CF3A8DFE + 47766.50ns INFO [00047768] * RD COMPARE * port=1 adr=05 act=45B0DC309AC7C2C1D7 exp=45B0DC309AC7C2C1D7 + 47766.50ns INFO [00047768] Port=0 WR @03=B42297717C0D8E8BF3 + 47766.50ns INFO [00047768] Port=1 RD @06 + 47767.50ns INFO [00047769] Port=0 WR @03=24A49A909EB50F8C42 + 47768.50ns INFO [00047770] * RD COMPARE * port=1 adr=06 act=453AEF7956D009DD22 exp=453AEF7956D009DD22 + 47770.50ns INFO [00047772] Port=0 RD @04 + 47770.50ns INFO [00047772] Port=1 RD @02 + 47771.50ns INFO [00047773] Port=0 WR @02=6148E4C9A11436094F + 47771.50ns INFO [00047773] Port=0 RD @04 + 47771.50ns INFO [00047773] Port=1 RD @03 + 47772.50ns INFO [00047774] * RD COMPARE * port=0 adr=04 act=20D2A405C18E0C7A37 exp=20D2A405C18E0C7A37 + 47772.50ns INFO [00047774] * RD COMPARE * port=1 adr=02 act=4B1B489771AD423FB3 exp=4B1B489771AD423FB3 + 47773.50ns INFO [00047775] * RD COMPARE * port=0 adr=04 act=20D2A405C18E0C7A37 exp=20D2A405C18E0C7A37 + 47773.50ns INFO [00047775] * RD COMPARE * port=1 adr=03 act=24A49A909EB50F8C42 exp=24A49A909EB50F8C42 + 47773.50ns INFO [00047775] Port=0 WR @01=CC62710C2062BEC9B4 + 47774.50ns INFO [00047776] Port=0 WR @05=FC2D1E060E58272BE6 + 47776.50ns INFO [00047778] Port=0 RD @03 + 47777.50ns INFO [00047779] Port=0 WR @00=CA7A6E5E9C45839CCD + 47777.50ns INFO [00047779] Port=0 RD @05 + 47778.50ns INFO [00047780] * RD COMPARE * port=0 adr=03 act=24A49A909EB50F8C42 exp=24A49A909EB50F8C42 + 47778.50ns INFO [00047780] Port=0 WR @06=7254F4541CAA176D4A + 47779.50ns INFO [00047781] * RD COMPARE * port=0 adr=05 act=FC2D1E060E58272BE6 exp=FC2D1E060E58272BE6 + 47781.50ns INFO [00047783] Port=0 WR @03=F58A593B294F069ED7 + 47781.50ns INFO [00047783] Port=1 RD @07 + 47782.50ns INFO [00047784] Port=0 RD @06 + 47783.50ns INFO [00047785] * RD COMPARE * port=1 adr=07 act=F15ACF59A7CF3A8DFE exp=F15ACF59A7CF3A8DFE + 47783.50ns INFO [00047785] Port=0 WR @07=7A09ED4FD08EACEA42 + 47783.50ns INFO [00047785] Port=0 RD @06 + 47784.50ns INFO [00047786] * RD COMPARE * port=0 adr=06 act=7254F4541CAA176D4A exp=7254F4541CAA176D4A + 47785.50ns INFO [00047787] * RD COMPARE * port=0 adr=06 act=7254F4541CAA176D4A exp=7254F4541CAA176D4A + 47785.50ns INFO [00047787] Port=0 RD @00 + 47787.50ns INFO [00047789] * RD COMPARE * port=0 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47788.50ns INFO [00047790] Port=0 RD @03 + 47788.50ns INFO [00047790] Port=1 RD @07 + 47789.50ns INFO [00047791] Port=0 WR @04=6A4577712B580EB3E0 + 47790.50ns INFO [00047792] * RD COMPARE * port=0 adr=03 act=F58A593B294F069ED7 exp=F58A593B294F069ED7 + 47790.50ns INFO [00047792] * RD COMPARE * port=1 adr=07 act=7A09ED4FD08EACEA42 exp=7A09ED4FD08EACEA42 + 47790.50ns INFO [00047792] Port=0 RD @00 + 47792.50ns INFO [00047794] * RD COMPARE * port=0 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47792.50ns INFO [00047794] Port=0 WR @07=A3984E2FEE940AF2FC + 47792.50ns INFO [00047794] Port=1 RD @05 + 47793.50ns INFO [00047795] Port=1 RD @06 + 47794.50ns INFO [00047796] * RD COMPARE * port=1 adr=05 act=FC2D1E060E58272BE6 exp=FC2D1E060E58272BE6 + 47794.50ns INFO [00047796] Port=0 WR @01=CE2794973242C0940A + 47795.50ns INFO [00047797] * RD COMPARE * port=1 adr=06 act=7254F4541CAA176D4A exp=7254F4541CAA176D4A + 47795.50ns INFO [00047797] Port=0 RD @03 + 47796.50ns INFO [00047798] Port=1 RD @02 + 47797.50ns INFO [00047799] * RD COMPARE * port=0 adr=03 act=F58A593B294F069ED7 exp=F58A593B294F069ED7 + 47797.50ns INFO [00047799] Port=1 RD @02 + 47798.00ns INFO [00047800] [00047800] ...tick... + 47798.50ns INFO [00047800] * RD COMPARE * port=1 adr=02 act=6148E4C9A11436094F exp=6148E4C9A11436094F + 47798.50ns INFO [00047800] Port=0 RD @06 + 47799.50ns INFO [00047801] * RD COMPARE * port=1 adr=02 act=6148E4C9A11436094F exp=6148E4C9A11436094F + 47799.50ns INFO [00047801] Port=0 RD @07 + 47800.50ns INFO [00047802] * RD COMPARE * port=0 adr=06 act=7254F4541CAA176D4A exp=7254F4541CAA176D4A + 47800.50ns INFO [00047802] Port=1 RD @03 + 47801.50ns INFO [00047803] * RD COMPARE * port=0 adr=07 act=A3984E2FEE940AF2FC exp=A3984E2FEE940AF2FC + 47801.50ns INFO [00047803] Port=0 RD @06 + 47802.50ns INFO [00047804] * RD COMPARE * port=1 adr=03 act=F58A593B294F069ED7 exp=F58A593B294F069ED7 + 47802.50ns INFO [00047804] Port=0 WR @04=34F10244AC714FE585 + 47803.50ns INFO [00047805] * RD COMPARE * port=0 adr=06 act=7254F4541CAA176D4A exp=7254F4541CAA176D4A + 47803.50ns INFO [00047805] Port=1 RD @02 + 47804.50ns INFO [00047806] Port=0 RD @03 + 47804.50ns INFO [00047806] Port=1 RD @01 + 47805.50ns INFO [00047807] * RD COMPARE * port=1 adr=02 act=6148E4C9A11436094F exp=6148E4C9A11436094F + 47805.50ns INFO [00047807] Port=1 RD @02 + 47806.50ns INFO [00047808] * RD COMPARE * port=0 adr=03 act=F58A593B294F069ED7 exp=F58A593B294F069ED7 + 47806.50ns INFO [00047808] * RD COMPARE * port=1 adr=01 act=CE2794973242C0940A exp=CE2794973242C0940A + 47806.50ns INFO [00047808] Port=0 WR @07=3704A090750D50B68F + 47806.50ns INFO [00047808] Port=1 RD @05 + 47807.50ns INFO [00047809] * RD COMPARE * port=1 adr=02 act=6148E4C9A11436094F exp=6148E4C9A11436094F + 47807.50ns INFO [00047809] Port=0 RD @05 + 47807.50ns INFO [00047809] Port=1 RD @01 + 47808.50ns INFO [00047810] * RD COMPARE * port=1 adr=05 act=FC2D1E060E58272BE6 exp=FC2D1E060E58272BE6 + 47809.50ns INFO [00047811] * RD COMPARE * port=0 adr=05 act=FC2D1E060E58272BE6 exp=FC2D1E060E58272BE6 + 47809.50ns INFO [00047811] * RD COMPARE * port=1 adr=01 act=CE2794973242C0940A exp=CE2794973242C0940A + 47809.50ns INFO [00047811] Port=0 RD @07 + 47809.50ns INFO [00047811] Port=1 RD @02 + 47810.50ns INFO [00047812] Port=0 WR @02=5308430EDD5E0D49EC + 47810.50ns INFO [00047812] Port=1 RD @01 + 47811.50ns INFO [00047813] * RD COMPARE * port=0 adr=07 act=3704A090750D50B68F exp=3704A090750D50B68F + 47811.50ns INFO [00047813] * RD COMPARE * port=1 adr=02 act=6148E4C9A11436094F exp=6148E4C9A11436094F + 47812.50ns INFO [00047814] * RD COMPARE * port=1 adr=01 act=CE2794973242C0940A exp=CE2794973242C0940A + 47812.50ns INFO [00047814] Port=0 WR @04=F4E2C271CB2CCA0A4E + 47812.50ns INFO [00047814] Port=1 RD @01 + 47813.50ns INFO [00047815] Port=0 WR @07=E2C40CF6E6548A0B14 + 47813.50ns INFO [00047815] Port=0 RD @05 + 47814.50ns INFO [00047816] * RD COMPARE * port=1 adr=01 act=CE2794973242C0940A exp=CE2794973242C0940A + 47814.50ns INFO [00047816] Port=0 WR @04=2F1C2062378410D548 + 47814.50ns INFO [00047816] Port=0 RD @07 + 47815.50ns INFO [00047817] * RD COMPARE * port=0 adr=05 act=FC2D1E060E58272BE6 exp=FC2D1E060E58272BE6 + 47815.50ns INFO [00047817] Port=1 RD @07 + 47816.50ns INFO [00047818] * RD COMPARE * port=0 adr=07 act=E2C40CF6E6548A0B14 exp=E2C40CF6E6548A0B14 + 47817.50ns INFO [00047819] * RD COMPARE * port=1 adr=07 act=E2C40CF6E6548A0B14 exp=E2C40CF6E6548A0B14 + 47818.50ns INFO [00047820] Port=0 WR @05=515E4CEB7FB6D26D1D + 47818.50ns INFO [00047820] Port=0 RD @00 + 47818.50ns INFO [00047820] Port=1 RD @04 + 47819.50ns INFO [00047821] Port=1 RD @00 + 47820.50ns INFO [00047822] * RD COMPARE * port=0 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47820.50ns INFO [00047822] * RD COMPARE * port=1 adr=04 act=2F1C2062378410D548 exp=2F1C2062378410D548 + 47820.50ns INFO [00047822] Port=0 WR @07=9646902D5DD9F2B999 + 47821.50ns INFO [00047823] * RD COMPARE * port=1 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47821.50ns INFO [00047823] Port=0 WR @04=848E43A33810DF4B75 + 47821.50ns INFO [00047823] Port=0 RD @01 + 47822.50ns INFO [00047824] Port=1 RD @00 + 47823.50ns INFO [00047825] * RD COMPARE * port=0 adr=01 act=CE2794973242C0940A exp=CE2794973242C0940A + 47823.50ns INFO [00047825] Port=1 RD @03 + 47824.50ns INFO [00047826] * RD COMPARE * port=1 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47824.50ns INFO [00047826] Port=1 RD @05 + 47825.50ns INFO [00047827] * RD COMPARE * port=1 adr=03 act=F58A593B294F069ED7 exp=F58A593B294F069ED7 + 47825.50ns INFO [00047827] Port=0 WR @05=1C5B693D0EBA992E6F + 47826.50ns INFO [00047828] * RD COMPARE * port=1 adr=05 act=515E4CEB7FB6D26D1D exp=515E4CEB7FB6D26D1D + 47826.50ns INFO [00047828] Port=0 WR @07=E9B3C4B8DD16D9C5D2 + 47827.50ns INFO [00047829] Port=0 RD @07 + 47828.50ns INFO [00047830] Port=0 RD @00 + 47829.50ns INFO [00047831] * RD COMPARE * port=0 adr=07 act=E9B3C4B8DD16D9C5D2 exp=E9B3C4B8DD16D9C5D2 + 47829.50ns INFO [00047831] Port=0 WR @01=94C5EA31A7FDD8161C + 47830.50ns INFO [00047832] * RD COMPARE * port=0 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47830.50ns INFO [00047832] Port=0 WR @05=DE1B8118BBA7EF5535 + 47832.50ns INFO [00047834] Port=1 RD @06 + 47833.50ns INFO [00047835] Port=0 WR @03=99A20C31FA451CEFF3 + 47833.50ns INFO [00047835] Port=0 RD @04 + 47834.50ns INFO [00047836] * RD COMPARE * port=1 adr=06 act=7254F4541CAA176D4A exp=7254F4541CAA176D4A + 47834.50ns INFO [00047836] Port=0 RD @06 + 47835.50ns INFO [00047837] * RD COMPARE * port=0 adr=04 act=848E43A33810DF4B75 exp=848E43A33810DF4B75 + 47836.50ns INFO [00047838] * RD COMPARE * port=0 adr=06 act=7254F4541CAA176D4A exp=7254F4541CAA176D4A + 47836.50ns INFO [00047838] Port=0 WR @07=C841AAAC57FA57ED42 + 47836.50ns INFO [00047838] Port=0 RD @03 + 47838.50ns INFO [00047840] * RD COMPARE * port=0 adr=03 act=99A20C31FA451CEFF3 exp=99A20C31FA451CEFF3 + 47838.50ns INFO [00047840] Port=0 WR @07=97568BD30DD1AB407F + 47838.50ns INFO [00047840] Port=0 RD @04 + 47839.50ns INFO [00047841] Port=0 RD @03 + 47840.50ns INFO [00047842] * RD COMPARE * port=0 adr=04 act=848E43A33810DF4B75 exp=848E43A33810DF4B75 + 47840.50ns INFO [00047842] Port=0 WR @06=84CC3E2FB3942FD423 + 47840.50ns INFO [00047842] Port=0 RD @05 + 47841.50ns INFO [00047843] * RD COMPARE * port=0 adr=03 act=99A20C31FA451CEFF3 exp=99A20C31FA451CEFF3 + 47841.50ns INFO [00047843] Port=0 RD @04 + 47842.50ns INFO [00047844] * RD COMPARE * port=0 adr=05 act=DE1B8118BBA7EF5535 exp=DE1B8118BBA7EF5535 + 47842.50ns INFO [00047844] Port=1 RD @04 + 47843.50ns INFO [00047845] * RD COMPARE * port=0 adr=04 act=848E43A33810DF4B75 exp=848E43A33810DF4B75 + 47844.50ns INFO [00047846] * RD COMPARE * port=1 adr=04 act=848E43A33810DF4B75 exp=848E43A33810DF4B75 + 47844.50ns INFO [00047846] Port=0 RD @06 + 47844.50ns INFO [00047846] Port=1 RD @01 + 47845.50ns INFO [00047847] Port=0 RD @00 + 47846.50ns INFO [00047848] * RD COMPARE * port=0 adr=06 act=84CC3E2FB3942FD423 exp=84CC3E2FB3942FD423 + 47846.50ns INFO [00047848] * RD COMPARE * port=1 adr=01 act=94C5EA31A7FDD8161C exp=94C5EA31A7FDD8161C + 47847.50ns INFO [00047849] * RD COMPARE * port=0 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47847.50ns INFO [00047849] Port=0 WR @02=94CE04D7CB78676A99 + 47847.50ns INFO [00047849] Port=0 RD @03 + 47848.50ns INFO [00047850] Port=1 RD @00 + 47849.50ns INFO [00047851] * RD COMPARE * port=0 adr=03 act=99A20C31FA451CEFF3 exp=99A20C31FA451CEFF3 + 47849.50ns INFO [00047851] Port=1 RD @04 + 47850.50ns INFO [00047852] * RD COMPARE * port=1 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47850.50ns INFO [00047852] Port=0 WR @06=B411E1315A0969EFED + 47850.50ns INFO [00047852] Port=1 RD @03 + 47851.50ns INFO [00047853] * RD COMPARE * port=1 adr=04 act=848E43A33810DF4B75 exp=848E43A33810DF4B75 + 47852.50ns INFO [00047854] * RD COMPARE * port=1 adr=03 act=99A20C31FA451CEFF3 exp=99A20C31FA451CEFF3 + 47852.50ns INFO [00047854] Port=0 WR @05=D448F0986709FDA7F6 + 47852.50ns INFO [00047854] Port=1 RD @01 + 47853.50ns INFO [00047855] Port=0 WR @07=075D484AA3513EC996 + 47854.50ns INFO [00047856] * RD COMPARE * port=1 adr=01 act=94C5EA31A7FDD8161C exp=94C5EA31A7FDD8161C + 47854.50ns INFO [00047856] Port=0 WR @01=E44D3B3F1286E789DE + 47854.50ns INFO [00047856] Port=0 RD @00 + 47856.50ns INFO [00047858] * RD COMPARE * port=0 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47856.50ns INFO [00047858] Port=1 RD @03 + 47857.50ns INFO [00047859] Port=0 WR @04=0517D3E30234FC0D01 + 47857.50ns INFO [00047859] Port=1 RD @05 + 47858.50ns INFO [00047860] * RD COMPARE * port=1 adr=03 act=99A20C31FA451CEFF3 exp=99A20C31FA451CEFF3 + 47859.50ns INFO [00047861] * RD COMPARE * port=1 adr=05 act=D448F0986709FDA7F6 exp=D448F0986709FDA7F6 + 47860.50ns INFO [00047862] Port=0 RD @00 + 47860.50ns INFO [00047862] Port=1 RD @05 + 47861.50ns INFO [00047863] Port=0 RD @05 + 47862.50ns INFO [00047864] * RD COMPARE * port=0 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47862.50ns INFO [00047864] * RD COMPARE * port=1 adr=05 act=D448F0986709FDA7F6 exp=D448F0986709FDA7F6 + 47862.50ns INFO [00047864] Port=0 WR @03=101F8496D94DDC6958 + 47863.50ns INFO [00047865] * RD COMPARE * port=0 adr=05 act=D448F0986709FDA7F6 exp=D448F0986709FDA7F6 + 47864.50ns INFO [00047866] Port=0 WR @06=605316F3CD5E9ED44C + 47864.50ns INFO [00047866] Port=1 RD @00 + 47865.50ns INFO [00047867] Port=0 WR @03=4A06E967A08B4981B5 + 47865.50ns INFO [00047867] Port=1 RD @00 + 47866.50ns INFO [00047868] * RD COMPARE * port=1 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47866.50ns INFO [00047868] Port=0 WR @05=B5EC11634AC6815F3D + 47866.50ns INFO [00047868] Port=0 RD @02 + 47867.50ns INFO [00047869] * RD COMPARE * port=1 adr=00 act=CA7A6E5E9C45839CCD exp=CA7A6E5E9C45839CCD + 47867.50ns INFO [00047869] Port=0 WR @03=F3D9264491F5960B72 + 47867.50ns INFO [00047869] Port=0 RD @04 + 47868.50ns INFO [00047870] * RD COMPARE * port=0 adr=02 act=94CE04D7CB78676A99 exp=94CE04D7CB78676A99 + 47868.50ns INFO [00047870] Port=1 RD @07 + 47869.50ns INFO [00047871] * RD COMPARE * port=0 adr=04 act=0517D3E30234FC0D01 exp=0517D3E30234FC0D01 + 47869.50ns INFO [00047871] Port=1 RD @04 + 47870.50ns INFO [00047872] * RD COMPARE * port=1 adr=07 act=075D484AA3513EC996 exp=075D484AA3513EC996 + 47870.50ns INFO [00047872] Port=0 WR @03=1237602AAFB8F93A87 + 47870.50ns INFO [00047872] Port=0 RD @02 + 47871.50ns INFO [00047873] * RD COMPARE * port=1 adr=04 act=0517D3E30234FC0D01 exp=0517D3E30234FC0D01 + 47871.50ns INFO [00047873] Port=0 WR @05=48E350761CC088D92F + 47871.50ns INFO [00047873] Port=0 RD @06 + 47872.50ns INFO [00047874] * RD COMPARE * port=0 adr=02 act=94CE04D7CB78676A99 exp=94CE04D7CB78676A99 + 47872.50ns INFO [00047874] Port=0 WR @00=E05410C8640879A263 + 47872.50ns INFO [00047874] Port=1 RD @01 + 47873.50ns INFO [00047875] * RD COMPARE * port=0 adr=06 act=605316F3CD5E9ED44C exp=605316F3CD5E9ED44C + 47873.50ns INFO [00047875] Port=0 WR @02=31BE31F4165C0D571C + 47873.50ns INFO [00047875] Port=0 RD @05 + 47874.50ns INFO [00047876] * RD COMPARE * port=1 adr=01 act=E44D3B3F1286E789DE exp=E44D3B3F1286E789DE + 47874.50ns INFO [00047876] Port=0 WR @01=16E687DBEA9482EC82 + 47874.50ns INFO [00047876] Port=0 RD @06 + 47874.50ns INFO [00047876] Port=1 RD @00 + 47875.50ns INFO [00047877] * RD COMPARE * port=0 adr=05 act=48E350761CC088D92F exp=48E350761CC088D92F + 47875.50ns INFO [00047877] Port=0 WR @07=05B159948F684C0FC8 + 47875.50ns INFO [00047877] Port=0 RD @02 + 47875.50ns INFO [00047877] Port=1 RD @05 + 47876.50ns INFO [00047878] * RD COMPARE * port=0 adr=06 act=605316F3CD5E9ED44C exp=605316F3CD5E9ED44C + 47876.50ns INFO [00047878] * RD COMPARE * port=1 adr=00 act=E05410C8640879A263 exp=E05410C8640879A263 + 47876.50ns INFO [00047878] Port=1 RD @01 + 47877.50ns INFO [00047879] * RD COMPARE * port=0 adr=02 act=31BE31F4165C0D571C exp=31BE31F4165C0D571C + 47877.50ns INFO [00047879] * RD COMPARE * port=1 adr=05 act=48E350761CC088D92F exp=48E350761CC088D92F + 47878.50ns INFO [00047880] * RD COMPARE * port=1 adr=01 act=16E687DBEA9482EC82 exp=16E687DBEA9482EC82 + 47878.50ns INFO [00047880] Port=0 RD @00 + 47879.50ns INFO [00047881] Port=0 WR @05=5DE7D7C76D70E277B7 + 47879.50ns INFO [00047881] Port=0 RD @04 + 47880.50ns INFO [00047882] * RD COMPARE * port=0 adr=00 act=E05410C8640879A263 exp=E05410C8640879A263 + 47880.50ns INFO [00047882] Port=1 RD @05 + 47881.50ns INFO [00047883] * RD COMPARE * port=0 adr=04 act=0517D3E30234FC0D01 exp=0517D3E30234FC0D01 + 47882.50ns INFO [00047884] * RD COMPARE * port=1 adr=05 act=5DE7D7C76D70E277B7 exp=5DE7D7C76D70E277B7 + 47882.50ns INFO [00047884] Port=0 WR @00=65D21A6F58A9859628 + 47882.50ns INFO [00047884] Port=0 RD @05 + 47883.50ns INFO [00047885] Port=0 WR @03=F5D4D3B134B5498F79 + 47884.50ns INFO [00047886] * RD COMPARE * port=0 adr=05 act=5DE7D7C76D70E277B7 exp=5DE7D7C76D70E277B7 + 47884.50ns INFO [00047886] Port=0 WR @05=CC70634FB7102720C3 + 47885.50ns INFO [00047887] Port=1 RD @04 + 47886.50ns INFO [00047888] Port=0 WR @03=FDE6604D735A440435 + 47886.50ns INFO [00047888] Port=0 RD @02 + 47886.50ns INFO [00047888] Port=1 RD @07 + 47887.50ns INFO [00047889] * RD COMPARE * port=1 adr=04 act=0517D3E30234FC0D01 exp=0517D3E30234FC0D01 + 47887.50ns INFO [00047889] Port=0 RD @07 + 47887.50ns INFO [00047889] Port=1 RD @07 + 47888.50ns INFO [00047890] * RD COMPARE * port=0 adr=02 act=31BE31F4165C0D571C exp=31BE31F4165C0D571C + 47888.50ns INFO [00047890] * RD COMPARE * port=1 adr=07 act=05B159948F684C0FC8 exp=05B159948F684C0FC8 + 47889.50ns INFO [00047891] * RD COMPARE * port=0 adr=07 act=05B159948F684C0FC8 exp=05B159948F684C0FC8 + 47889.50ns INFO [00047891] * RD COMPARE * port=1 adr=07 act=05B159948F684C0FC8 exp=05B159948F684C0FC8 + 47889.50ns INFO [00047891] Port=0 WR @02=12E290452D231EA869 + 47889.50ns INFO [00047891] Port=0 RD @07 + 47890.50ns INFO [00047892] Port=0 RD @02 + 47890.50ns INFO [00047892] Port=1 RD @06 + 47891.50ns INFO [00047893] * RD COMPARE * port=0 adr=07 act=05B159948F684C0FC8 exp=05B159948F684C0FC8 + 47891.50ns INFO [00047893] Port=0 WR @02=FABE6A3A3CCD987E2C + 47892.50ns INFO [00047894] * RD COMPARE * port=0 adr=02 act=12E290452D231EA869 exp=12E290452D231EA869 + 47892.50ns INFO [00047894] * RD COMPARE * port=1 adr=06 act=605316F3CD5E9ED44C exp=605316F3CD5E9ED44C + 47892.50ns INFO [00047894] Port=1 RD @03 + 47893.50ns INFO [00047895] Port=0 RD @05 + 47894.50ns INFO [00047896] * RD COMPARE * port=1 adr=03 act=FDE6604D735A440435 exp=FDE6604D735A440435 + 47895.50ns INFO [00047897] * RD COMPARE * port=0 adr=05 act=CC70634FB7102720C3 exp=CC70634FB7102720C3 + 47895.50ns INFO [00047897] Port=0 WR @04=472B86B11A842FF37E + 47895.50ns INFO [00047897] Port=1 RD @07 + 47896.50ns INFO [00047898] Port=0 RD @02 + 47897.50ns INFO [00047899] * RD COMPARE * port=1 adr=07 act=05B159948F684C0FC8 exp=05B159948F684C0FC8 + 47897.50ns INFO [00047899] Port=0 WR @05=6CA69D4DD6A07A548A + 47897.50ns INFO [00047899] Port=0 RD @07 + 47898.00ns INFO [00047900] [00047900] ...tick... + 47898.50ns INFO [00047900] * RD COMPARE * port=0 adr=02 act=FABE6A3A3CCD987E2C exp=FABE6A3A3CCD987E2C + 47898.50ns INFO [00047900] Port=0 WR @05=2C1D1187227D2F6C00 + 47898.50ns INFO [00047900] Port=0 RD @07 + 47898.50ns INFO [00047900] Port=1 RD @06 + 47899.50ns INFO [00047901] * RD COMPARE * port=0 adr=07 act=05B159948F684C0FC8 exp=05B159948F684C0FC8 + 47899.50ns INFO [00047901] Port=0 RD @06 + 47900.50ns INFO [00047902] * RD COMPARE * port=0 adr=07 act=05B159948F684C0FC8 exp=05B159948F684C0FC8 + 47900.50ns INFO [00047902] * RD COMPARE * port=1 adr=06 act=605316F3CD5E9ED44C exp=605316F3CD5E9ED44C + 47901.50ns INFO [00047903] * RD COMPARE * port=0 adr=06 act=605316F3CD5E9ED44C exp=605316F3CD5E9ED44C + 47901.50ns INFO [00047903] Port=0 RD @02 + 47901.50ns INFO [00047903] Port=1 RD @05 + 47902.50ns INFO [00047904] Port=0 RD @03 + 47902.50ns INFO [00047904] Port=1 RD @02 + 47903.50ns INFO [00047905] * RD COMPARE * port=0 adr=02 act=FABE6A3A3CCD987E2C exp=FABE6A3A3CCD987E2C + 47903.50ns INFO [00047905] * RD COMPARE * port=1 adr=05 act=2C1D1187227D2F6C00 exp=2C1D1187227D2F6C00 + 47903.50ns INFO [00047905] Port=1 RD @06 + 47904.50ns INFO [00047906] * RD COMPARE * port=0 adr=03 act=FDE6604D735A440435 exp=FDE6604D735A440435 + 47904.50ns INFO [00047906] * RD COMPARE * port=1 adr=02 act=FABE6A3A3CCD987E2C exp=FABE6A3A3CCD987E2C + 47905.50ns INFO [00047907] * RD COMPARE * port=1 adr=06 act=605316F3CD5E9ED44C exp=605316F3CD5E9ED44C + 47906.50ns INFO [00047908] Port=0 WR @00=A09F0C48C9BD2AB41C + 47906.50ns INFO [00047908] Port=0 RD @04 + 47907.50ns INFO [00047909] Port=0 WR @04=CF1BB804339C587E76 + 47908.50ns INFO [00047910] * RD COMPARE * port=0 adr=04 act=472B86B11A842FF37E exp=472B86B11A842FF37E + 47908.50ns INFO [00047910] Port=0 WR @01=4C6475F5FB9AED707F + 47908.50ns INFO [00047910] Port=1 RD @02 + 47909.50ns INFO [00047911] Port=0 WR @00=578589483D0717D070 + 47909.50ns INFO [00047911] Port=1 RD @05 + 47910.50ns INFO [00047912] * RD COMPARE * port=1 adr=02 act=FABE6A3A3CCD987E2C exp=FABE6A3A3CCD987E2C + 47910.50ns INFO [00047912] Port=0 RD @00 + 47911.50ns INFO [00047913] * RD COMPARE * port=1 adr=05 act=2C1D1187227D2F6C00 exp=2C1D1187227D2F6C00 + 47911.50ns INFO [00047913] Port=0 WR @02=F2F5BC00A1E23E77C4 + 47911.50ns INFO [00047913] Port=0 RD @04 + 47912.50ns INFO [00047914] * RD COMPARE * port=0 adr=00 act=578589483D0717D070 exp=578589483D0717D070 + 47912.50ns INFO [00047914] Port=1 RD @00 + 47913.50ns INFO [00047915] * RD COMPARE * port=0 adr=04 act=CF1BB804339C587E76 exp=CF1BB804339C587E76 + 47913.50ns INFO [00047915] Port=1 RD @03 + 47914.50ns INFO [00047916] * RD COMPARE * port=1 adr=00 act=578589483D0717D070 exp=578589483D0717D070 + 47915.50ns INFO [00047917] * RD COMPARE * port=1 adr=03 act=FDE6604D735A440435 exp=FDE6604D735A440435 + 47915.50ns INFO [00047917] Port=0 RD @01 + 47917.50ns INFO [00047919] * RD COMPARE * port=0 adr=01 act=4C6475F5FB9AED707F exp=4C6475F5FB9AED707F + 47918.50ns INFO [00047920] Port=0 RD @02 + 47918.50ns INFO [00047920] Port=1 RD @05 + 47919.50ns INFO [00047921] Port=0 WR @07=D61BB0AF38FD79EBDB + 47919.50ns INFO [00047921] Port=1 RD @04 + 47920.50ns INFO [00047922] * RD COMPARE * port=0 adr=02 act=F2F5BC00A1E23E77C4 exp=F2F5BC00A1E23E77C4 + 47920.50ns INFO [00047922] * RD COMPARE * port=1 adr=05 act=2C1D1187227D2F6C00 exp=2C1D1187227D2F6C00 + 47921.50ns INFO [00047923] * RD COMPARE * port=1 adr=04 act=CF1BB804339C587E76 exp=CF1BB804339C587E76 + 47921.50ns INFO [00047923] Port=0 WR @07=8A3CE61EA9EF5623DE + 47921.50ns INFO [00047923] Port=1 RD @03 + 47922.50ns INFO [00047924] Port=0 WR @03=D9B676604E0516AD70 + 47922.50ns INFO [00047924] Port=0 RD @02 + 47923.50ns INFO [00047925] * RD COMPARE * port=1 adr=03 act=FDE6604D735A440435 exp=FDE6604D735A440435 + 47923.50ns INFO [00047925] Port=0 WR @05=3942445A18AD7E12C3 + 47923.50ns INFO [00047925] Port=0 RD @07 + 47924.50ns INFO [00047926] * RD COMPARE * port=0 adr=02 act=F2F5BC00A1E23E77C4 exp=F2F5BC00A1E23E77C4 + 47925.50ns INFO [00047927] * RD COMPARE * port=0 adr=07 act=8A3CE61EA9EF5623DE exp=8A3CE61EA9EF5623DE + 47925.50ns INFO [00047927] Port=1 RD @05 + 47926.50ns INFO [00047928] Port=0 WR @07=CB16BD7B98C7F74E69 + 47926.50ns INFO [00047928] Port=1 RD @03 + 47927.50ns INFO [00047929] * RD COMPARE * port=1 adr=05 act=3942445A18AD7E12C3 exp=3942445A18AD7E12C3 + 47927.50ns INFO [00047929] Port=0 WR @06=1886A1F2584341E2BC + 47928.50ns INFO [00047930] * RD COMPARE * port=1 adr=03 act=D9B676604E0516AD70 exp=D9B676604E0516AD70 + 47928.50ns INFO [00047930] Port=0 WR @01=955B4126757E9BA225 + 47929.50ns INFO [00047931] Port=0 WR @00=01F34C1357784E8A03 + 47929.50ns INFO [00047931] Port=1 RD @01 + 47930.50ns INFO [00047932] Port=0 WR @07=8D7B018BDD40FF66DA + 47930.50ns INFO [00047932] Port=1 RD @06 + 47931.50ns INFO [00047933] * RD COMPARE * port=1 adr=01 act=955B4126757E9BA225 exp=955B4126757E9BA225 + 47932.50ns INFO [00047934] * RD COMPARE * port=1 adr=06 act=1886A1F2584341E2BC exp=1886A1F2584341E2BC + 47932.50ns INFO [00047934] Port=0 RD @03 + 47932.50ns INFO [00047934] Port=1 RD @00 + 47933.50ns INFO [00047935] Port=0 WR @02=DDF25E3AD6CF62AB26 + 47933.50ns INFO [00047935] Port=1 RD @05 + 47934.50ns INFO [00047936] * RD COMPARE * port=0 adr=03 act=D9B676604E0516AD70 exp=D9B676604E0516AD70 + 47934.50ns INFO [00047936] * RD COMPARE * port=1 adr=00 act=01F34C1357784E8A03 exp=01F34C1357784E8A03 + 47934.50ns INFO [00047936] Port=0 WR @05=D923E2DD2BE59ABCA4 + 47935.50ns INFO [00047937] * RD COMPARE * port=1 adr=05 act=3942445A18AD7E12C3 exp=3942445A18AD7E12C3 + 47937.50ns INFO [00047939] Port=0 WR @04=1CDE8D29ADEFF1E8EB + 47937.50ns INFO [00047939] Port=0 RD @02 + 47938.50ns INFO [00047940] Port=0 WR @06=77285A7FB00B81EA5A + 47938.50ns INFO [00047940] Port=0 RD @01 + 47938.50ns INFO [00047940] Port=1 RD @05 + 47939.50ns INFO [00047941] * RD COMPARE * port=0 adr=02 act=DDF25E3AD6CF62AB26 exp=DDF25E3AD6CF62AB26 + 47939.50ns INFO [00047941] Port=0 WR @04=76971762543A27C111 + 47940.50ns INFO [00047942] * RD COMPARE * port=0 adr=01 act=955B4126757E9BA225 exp=955B4126757E9BA225 + 47940.50ns INFO [00047942] * RD COMPARE * port=1 adr=05 act=D923E2DD2BE59ABCA4 exp=D923E2DD2BE59ABCA4 + 47940.50ns INFO [00047942] Port=0 WR @00=FD1129848C1272659E + 47940.50ns INFO [00047942] Port=1 RD @03 + 47941.50ns INFO [00047943] Port=1 RD @01 + 47942.50ns INFO [00047944] * RD COMPARE * port=1 adr=03 act=D9B676604E0516AD70 exp=D9B676604E0516AD70 + 47942.50ns INFO [00047944] Port=1 RD @00 + 47943.50ns INFO [00047945] * RD COMPARE * port=1 adr=01 act=955B4126757E9BA225 exp=955B4126757E9BA225 + 47943.50ns INFO [00047945] Port=0 RD @00 + 47943.50ns INFO [00047945] Port=1 RD @01 + 47944.50ns INFO [00047946] * RD COMPARE * port=1 adr=00 act=FD1129848C1272659E exp=FD1129848C1272659E + 47944.50ns INFO [00047946] Port=0 WR @06=4CE34669F9693472BD + 47944.50ns INFO [00047946] Port=1 RD @04 + 47945.50ns INFO [00047947] * RD COMPARE * port=0 adr=00 act=FD1129848C1272659E exp=FD1129848C1272659E + 47945.50ns INFO [00047947] * RD COMPARE * port=1 adr=01 act=955B4126757E9BA225 exp=955B4126757E9BA225 + 47946.50ns INFO [00047948] * RD COMPARE * port=1 adr=04 act=76971762543A27C111 exp=76971762543A27C111 + 47946.50ns INFO [00047948] Port=0 WR @07=667A265E4AFC7DFAE4 + 47947.50ns INFO [00047949] Port=0 WR @05=27A89EF4BBD7635743 + 47947.50ns INFO [00047949] Port=0 RD @01 + 47947.50ns INFO [00047949] Port=1 RD @03 + 47948.50ns INFO [00047950] Port=0 WR @03=9AEE0227CA247E6448 + 47948.50ns INFO [00047950] Port=1 RD @04 + 47949.50ns INFO [00047951] * RD COMPARE * port=0 adr=01 act=955B4126757E9BA225 exp=955B4126757E9BA225 + 47949.50ns INFO [00047951] * RD COMPARE * port=1 adr=03 act=D9B676604E0516AD70 exp=D9B676604E0516AD70 + 47949.50ns INFO [00047951] Port=0 WR @05=E35D10B661F22F9D4A + 47950.50ns INFO [00047952] * RD COMPARE * port=1 adr=04 act=76971762543A27C111 exp=76971762543A27C111 + 47950.50ns INFO [00047952] Port=0 WR @02=9939A6678234BE3886 + 47950.50ns INFO [00047952] Port=1 RD @07 + 47951.50ns INFO [00047953] Port=0 RD @04 + 47952.50ns INFO [00047954] * RD COMPARE * port=1 adr=07 act=667A265E4AFC7DFAE4 exp=667A265E4AFC7DFAE4 + 47952.50ns INFO [00047954] Port=0 WR @00=6383475C8B7D9BED81 + 47952.50ns INFO [00047954] Port=0 RD @01 + 47953.50ns INFO [00047955] * RD COMPARE * port=0 adr=04 act=76971762543A27C111 exp=76971762543A27C111 + 47953.50ns INFO [00047955] Port=0 WR @02=1C906ED73C264C43D1 + 47953.50ns INFO [00047955] Port=1 RD @04 + 47954.50ns INFO [00047956] * RD COMPARE * port=0 adr=01 act=955B4126757E9BA225 exp=955B4126757E9BA225 + 47955.50ns INFO [00047957] * RD COMPARE * port=1 adr=04 act=76971762543A27C111 exp=76971762543A27C111 + 47955.50ns INFO [00047957] Port=0 WR @05=94B81ACD6B80E54B32 + 47955.50ns INFO [00047957] Port=1 RD @00 + 47956.50ns INFO [00047958] Port=0 WR @01=A5F6FA76E30AED059D + 47956.50ns INFO [00047958] Port=0 RD @04 + 47956.50ns INFO [00047958] Port=1 RD @03 + 47957.50ns INFO [00047959] * RD COMPARE * port=1 adr=00 act=6383475C8B7D9BED81 exp=6383475C8B7D9BED81 + 47957.50ns INFO [00047959] Port=0 WR @07=EC847E232971F59B2C + 47958.50ns INFO [00047960] * RD COMPARE * port=0 adr=04 act=76971762543A27C111 exp=76971762543A27C111 + 47958.50ns INFO [00047960] * RD COMPARE * port=1 adr=03 act=9AEE0227CA247E6448 exp=9AEE0227CA247E6448 + 47960.50ns INFO [00047962] Port=1 RD @02 + 47962.50ns INFO [00047964] * RD COMPARE * port=1 adr=02 act=1C906ED73C264C43D1 exp=1C906ED73C264C43D1 + 47962.50ns INFO [00047964] Port=0 WR @01=94138378728E0DC803 + 47963.50ns INFO [00047965] Port=0 RD @01 + 47965.50ns INFO [00047967] * RD COMPARE * port=0 adr=01 act=94138378728E0DC803 exp=94138378728E0DC803 + 47966.50ns INFO [00047968] Port=1 RD @07 + 47968.50ns INFO [00047970] * RD COMPARE * port=1 adr=07 act=EC847E232971F59B2C exp=EC847E232971F59B2C + 47968.50ns INFO [00047970] Port=0 WR @04=DFACF6388EAEE07CDF + 47968.50ns INFO [00047970] Port=0 RD @06 + 47969.50ns INFO [00047971] Port=0 WR @04=175868F03FC8597B14 + 47969.50ns INFO [00047971] Port=0 RD @00 + 47970.50ns INFO [00047972] * RD COMPARE * port=0 adr=06 act=4CE34669F9693472BD exp=4CE34669F9693472BD + 47970.50ns INFO [00047972] Port=0 RD @01 + 47970.50ns INFO [00047972] Port=1 RD @07 + 47971.50ns INFO [00047973] * RD COMPARE * port=0 adr=00 act=6383475C8B7D9BED81 exp=6383475C8B7D9BED81 + 47971.50ns INFO [00047973] Port=0 WR @05=56BF5B7349E12BF35B + 47971.50ns INFO [00047973] Port=1 RD @04 + 47972.50ns INFO [00047974] * RD COMPARE * port=0 adr=01 act=94138378728E0DC803 exp=94138378728E0DC803 + 47972.50ns INFO [00047974] * RD COMPARE * port=1 adr=07 act=EC847E232971F59B2C exp=EC847E232971F59B2C + 47972.50ns INFO [00047974] Port=1 RD @07 + 47973.50ns INFO [00047975] * RD COMPARE * port=1 adr=04 act=175868F03FC8597B14 exp=175868F03FC8597B14 + 47974.50ns INFO [00047976] * RD COMPARE * port=1 adr=07 act=EC847E232971F59B2C exp=EC847E232971F59B2C + 47975.50ns INFO [00047977] Port=1 RD @06 + 47976.50ns INFO [00047978] Port=1 RD @06 + 47977.50ns INFO [00047979] * RD COMPARE * port=1 adr=06 act=4CE34669F9693472BD exp=4CE34669F9693472BD + 47978.50ns INFO [00047980] * RD COMPARE * port=1 adr=06 act=4CE34669F9693472BD exp=4CE34669F9693472BD + 47978.50ns INFO [00047980] Port=0 WR @02=30C64E960A09B9A108 + 47978.50ns INFO [00047980] Port=0 RD @05 + 47980.50ns INFO [00047982] * RD COMPARE * port=0 adr=05 act=56BF5B7349E12BF35B exp=56BF5B7349E12BF35B + 47980.50ns INFO [00047982] Port=0 RD @01 + 47982.50ns INFO [00047984] * RD COMPARE * port=0 adr=01 act=94138378728E0DC803 exp=94138378728E0DC803 + 47983.50ns INFO [00047985] Port=0 RD @06 + 47983.50ns INFO [00047985] Port=1 RD @03 + 47984.50ns INFO [00047986] Port=0 WR @06=19FC8C1FFF93F38736 + 47985.50ns INFO [00047987] * RD COMPARE * port=0 adr=06 act=4CE34669F9693472BD exp=4CE34669F9693472BD + 47985.50ns INFO [00047987] * RD COMPARE * port=1 adr=03 act=9AEE0227CA247E6448 exp=9AEE0227CA247E6448 + 47985.50ns INFO [00047987] Port=0 RD @00 + 47985.50ns INFO [00047987] Port=1 RD @05 + 47987.50ns INFO [00047989] * RD COMPARE * port=0 adr=00 act=6383475C8B7D9BED81 exp=6383475C8B7D9BED81 + 47987.50ns INFO [00047989] * RD COMPARE * port=1 adr=05 act=56BF5B7349E12BF35B exp=56BF5B7349E12BF35B + 47987.50ns INFO [00047989] Port=0 WR @06=1498C9D75F1E5CDAF5 + 47987.50ns INFO [00047989] Port=0 RD @03 + 47988.50ns INFO [00047990] Port=0 WR @00=97C7227AE0AF472C2F + 47989.50ns INFO [00047991] * RD COMPARE * port=0 adr=03 act=9AEE0227CA247E6448 exp=9AEE0227CA247E6448 + 47989.50ns INFO [00047991] Port=0 WR @06=8AD53C38460C88294D + 47990.50ns INFO [00047992] Port=0 WR @05=D7A6CA29FD453F6845 + 47990.50ns INFO [00047992] Port=0 RD @03 + 47992.50ns INFO [00047994] * RD COMPARE * port=0 adr=03 act=9AEE0227CA247E6448 exp=9AEE0227CA247E6448 + 47992.50ns INFO [00047994] Port=0 RD @00 + 47993.50ns INFO [00047995] Port=0 RD @07 + 47993.50ns INFO [00047995] Port=1 RD @02 + 47994.50ns INFO [00047996] * RD COMPARE * port=0 adr=00 act=97C7227AE0AF472C2F exp=97C7227AE0AF472C2F + 47994.50ns INFO [00047996] Port=0 WR @03=E34B98303986E15C44 + 47994.50ns INFO [00047996] Port=0 RD @05 + 47995.50ns INFO [00047997] * RD COMPARE * port=0 adr=07 act=EC847E232971F59B2C exp=EC847E232971F59B2C + 47995.50ns INFO [00047997] * RD COMPARE * port=1 adr=02 act=30C64E960A09B9A108 exp=30C64E960A09B9A108 + 47995.50ns INFO [00047997] Port=0 RD @04 + 47995.50ns INFO [00047997] Port=1 RD @02 + 47996.50ns INFO [00047998] * RD COMPARE * port=0 adr=05 act=D7A6CA29FD453F6845 exp=D7A6CA29FD453F6845 + 47996.50ns INFO [00047998] Port=0 WR @05=048DAF59E849A26713 + 47997.50ns INFO [00047999] * RD COMPARE * port=0 adr=04 act=175868F03FC8597B14 exp=175868F03FC8597B14 + 47997.50ns INFO [00047999] * RD COMPARE * port=1 adr=02 act=30C64E960A09B9A108 exp=30C64E960A09B9A108 + 47997.50ns INFO [00047999] Port=0 WR @02=F22B652BC9908446FD + 47998.00ns INFO [00048000] [00048000] ...tick... + 47999.50ns INFO [00048001] Port=0 WR @07=350001578EF4F1D4A9 + 47999.50ns INFO [00048001] Port=0 RD @05 + 48001.50ns INFO [00048003] * RD COMPARE * port=0 adr=05 act=048DAF59E849A26713 exp=048DAF59E849A26713 + 48001.50ns INFO [00048003] Port=0 WR @05=2482E93D99E668CFFC + 48001.50ns INFO [00048003] Port=0 RD @04 + 48002.50ns INFO [00048004] Port=0 WR @06=5D89EBB87892228237 + 48002.50ns INFO [00048004] Port=0 RD @03 + 48003.50ns INFO [00048005] * RD COMPARE * port=0 adr=04 act=175868F03FC8597B14 exp=175868F03FC8597B14 + 48004.50ns INFO [00048006] * RD COMPARE * port=0 adr=03 act=E34B98303986E15C44 exp=E34B98303986E15C44 + 48004.50ns INFO [00048006] Port=0 WR @01=76A6C7108B9A7D231D + 48004.50ns INFO [00048006] Port=1 RD @07 + 48005.50ns INFO [00048007] Port=1 RD @04 + 48006.50ns INFO [00048008] * RD COMPARE * port=1 adr=07 act=350001578EF4F1D4A9 exp=350001578EF4F1D4A9 + 48006.50ns INFO [00048008] Port=0 WR @01=36E940B351FD1843AB + 48006.50ns INFO [00048008] Port=0 RD @05 + 48006.50ns INFO [00048008] Port=1 RD @05 + 48007.50ns INFO [00048009] * RD COMPARE * port=1 adr=04 act=175868F03FC8597B14 exp=175868F03FC8597B14 + 48007.50ns INFO [00048009] Port=0 WR @05=15CE842437558989B4 + 48007.50ns INFO [00048009] Port=0 RD @01 + 48008.50ns INFO [00048010] * RD COMPARE * port=0 adr=05 act=2482E93D99E668CFFC exp=2482E93D99E668CFFC + 48008.50ns INFO [00048010] * RD COMPARE * port=1 adr=05 act=2482E93D99E668CFFC exp=2482E93D99E668CFFC + 48008.50ns INFO [00048010] Port=0 WR @06=6282BB2C614B6B5BF0 + 48008.50ns INFO [00048010] Port=0 RD @02 + 48009.50ns INFO [00048011] * RD COMPARE * port=0 adr=01 act=36E940B351FD1843AB exp=36E940B351FD1843AB + 48009.50ns INFO [00048011] Port=0 WR @07=D6A5213621D699920A + 48010.50ns INFO [00048012] * RD COMPARE * port=0 adr=02 act=F22B652BC9908446FD exp=F22B652BC9908446FD + 48010.50ns INFO [00048012] Port=0 RD @04 + 48011.50ns INFO [00048013] Port=0 RD @03 + 48011.50ns INFO [00048013] Port=1 RD @02 + 48012.50ns INFO [00048014] * RD COMPARE * port=0 adr=04 act=175868F03FC8597B14 exp=175868F03FC8597B14 + 48012.50ns INFO [00048014] Port=0 WR @00=2809250714A629F981 + 48013.50ns INFO [00048015] * RD COMPARE * port=0 adr=03 act=E34B98303986E15C44 exp=E34B98303986E15C44 + 48013.50ns INFO [00048015] * RD COMPARE * port=1 adr=02 act=F22B652BC9908446FD exp=F22B652BC9908446FD + 48013.50ns INFO [00048015] Port=0 WR @00=F0D1BD19D604AE2431 + 48013.50ns INFO [00048015] Port=0 RD @05 + 48015.50ns INFO [00048017] * RD COMPARE * port=0 adr=05 act=15CE842437558989B4 exp=15CE842437558989B4 + 48015.50ns INFO [00048017] Port=1 RD @00 + 48016.50ns INFO [00048018] Port=0 RD @05 + 48016.50ns INFO [00048018] Port=1 RD @03 + 48017.50ns INFO [00048019] * RD COMPARE * port=1 adr=00 act=F0D1BD19D604AE2431 exp=F0D1BD19D604AE2431 + 48017.50ns INFO [00048019] Port=0 RD @05 + 48017.50ns INFO [00048019] Port=1 RD @05 + 48018.50ns INFO [00048020] * RD COMPARE * port=0 adr=05 act=15CE842437558989B4 exp=15CE842437558989B4 + 48018.50ns INFO [00048020] * RD COMPARE * port=1 adr=03 act=E34B98303986E15C44 exp=E34B98303986E15C44 + 48019.50ns INFO [00048021] * RD COMPARE * port=0 adr=05 act=15CE842437558989B4 exp=15CE842437558989B4 + 48019.50ns INFO [00048021] * RD COMPARE * port=1 adr=05 act=15CE842437558989B4 exp=15CE842437558989B4 + 48019.50ns INFO [00048021] Port=0 WR @01=C871DEF4F0A6F2B6A9 + 48019.50ns INFO [00048021] Port=0 RD @05 + 48020.50ns INFO [00048022] Port=0 WR @01=5C9DF57CA182B1557A + 48020.50ns INFO [00048022] Port=0 RD @02 + 48020.50ns INFO [00048022] Port=1 RD @07 + 48021.50ns INFO [00048023] * RD COMPARE * port=0 adr=05 act=15CE842437558989B4 exp=15CE842437558989B4 + 48021.50ns INFO [00048023] Port=0 WR @05=DD2355FFB95475C02B + 48021.50ns INFO [00048023] Port=1 RD @06 + 48022.50ns INFO [00048024] * RD COMPARE * port=0 adr=02 act=F22B652BC9908446FD exp=F22B652BC9908446FD + 48022.50ns INFO [00048024] * RD COMPARE * port=1 adr=07 act=D6A5213621D699920A exp=D6A5213621D699920A + 48022.50ns INFO [00048024] Port=0 WR @01=B6EA07D5C630ABC33C + 48023.50ns INFO [00048025] * RD COMPARE * port=1 adr=06 act=6282BB2C614B6B5BF0 exp=6282BB2C614B6B5BF0 + 48023.50ns INFO [00048025] Port=0 RD @02 + 48024.50ns INFO [00048026] Port=0 RD @07 + 48025.50ns INFO [00048027] * RD COMPARE * port=0 adr=02 act=F22B652BC9908446FD exp=F22B652BC9908446FD + 48025.50ns INFO [00048027] Port=0 WR @07=C509EB7663BBB14F81 + 48025.50ns INFO [00048027] Port=1 RD @00 + 48026.50ns INFO [00048028] * RD COMPARE * port=0 adr=07 act=D6A5213621D699920A exp=D6A5213621D699920A + 48026.50ns INFO [00048028] Port=0 RD @01 + 48026.50ns INFO [00048028] Port=1 RD @02 + 48027.50ns INFO [00048029] * RD COMPARE * port=1 adr=00 act=F0D1BD19D604AE2431 exp=F0D1BD19D604AE2431 + 48027.50ns INFO [00048029] Port=0 RD @05 + 48028.50ns INFO [00048030] * RD COMPARE * port=0 adr=01 act=B6EA07D5C630ABC33C exp=B6EA07D5C630ABC33C + 48028.50ns INFO [00048030] * RD COMPARE * port=1 adr=02 act=F22B652BC9908446FD exp=F22B652BC9908446FD + 48028.50ns INFO [00048030] Port=0 WR @03=0DAA366C813B32AE49 + 48029.50ns INFO [00048031] * RD COMPARE * port=0 adr=05 act=DD2355FFB95475C02B exp=DD2355FFB95475C02B + 48029.50ns INFO [00048031] Port=0 WR @02=523D2D4AD081CED2A7 + 48030.50ns INFO [00048032] Port=0 WR @02=0E6BB2F777C34ACE87 + 48030.50ns INFO [00048032] Port=0 RD @04 + 48030.50ns INFO [00048032] Port=1 RD @07 + 48031.50ns INFO [00048033] Port=0 WR @04=C0B3B84F59ABA65E6D + 48032.50ns INFO [00048034] * RD COMPARE * port=0 adr=04 act=175868F03FC8597B14 exp=175868F03FC8597B14 + 48032.50ns INFO [00048034] * RD COMPARE * port=1 adr=07 act=C509EB7663BBB14F81 exp=C509EB7663BBB14F81 + 48032.50ns INFO [00048034] Port=1 RD @04 + 48033.50ns INFO [00048035] Port=1 RD @07 + 48034.50ns INFO [00048036] * RD COMPARE * port=1 adr=04 act=C0B3B84F59ABA65E6D exp=C0B3B84F59ABA65E6D + 48034.50ns INFO [00048036] Port=0 RD @04 + 48034.50ns INFO [00048036] Port=1 RD @02 + 48035.50ns INFO [00048037] * RD COMPARE * port=1 adr=07 act=C509EB7663BBB14F81 exp=C509EB7663BBB14F81 + 48035.50ns INFO [00048037] Port=0 RD @03 + 48035.50ns INFO [00048037] Port=1 RD @03 + 48036.50ns INFO [00048038] * RD COMPARE * port=0 adr=04 act=C0B3B84F59ABA65E6D exp=C0B3B84F59ABA65E6D + 48036.50ns INFO [00048038] * RD COMPARE * port=1 adr=02 act=0E6BB2F777C34ACE87 exp=0E6BB2F777C34ACE87 + 48037.50ns INFO [00048039] * RD COMPARE * port=0 adr=03 act=0DAA366C813B32AE49 exp=0DAA366C813B32AE49 + 48037.50ns INFO [00048039] * RD COMPARE * port=1 adr=03 act=0DAA366C813B32AE49 exp=0DAA366C813B32AE49 + 48038.50ns INFO [00048040] Port=0 WR @04=367174530EED28D135 + 48038.50ns INFO [00048040] Port=1 RD @06 + 48039.50ns INFO [00048041] Port=0 WR @02=C1779C4820BE1734D8 + 48039.50ns INFO [00048041] Port=0 RD @01 + 48040.50ns INFO [00048042] * RD COMPARE * port=1 adr=06 act=6282BB2C614B6B5BF0 exp=6282BB2C614B6B5BF0 + 48040.50ns INFO [00048042] Port=0 RD @00 + 48041.50ns INFO [00048043] * RD COMPARE * port=0 adr=01 act=B6EA07D5C630ABC33C exp=B6EA07D5C630ABC33C + 48041.50ns INFO [00048043] Port=0 WR @06=8D3227C774AAE04DA0 + 48041.50ns INFO [00048043] Port=0 RD @03 + 48042.50ns INFO [00048044] * RD COMPARE * port=0 adr=00 act=F0D1BD19D604AE2431 exp=F0D1BD19D604AE2431 + 48042.50ns INFO [00048044] Port=0 RD @02 + 48043.50ns INFO [00048045] * RD COMPARE * port=0 adr=03 act=0DAA366C813B32AE49 exp=0DAA366C813B32AE49 + 48043.50ns INFO [00048045] Port=0 RD @04 + 48043.50ns INFO [00048045] Port=1 RD @02 + 48044.50ns INFO [00048046] * RD COMPARE * port=0 adr=02 act=C1779C4820BE1734D8 exp=C1779C4820BE1734D8 + 48044.50ns INFO [00048046] Port=0 RD @02 + 48045.50ns INFO [00048047] * RD COMPARE * port=0 adr=04 act=367174530EED28D135 exp=367174530EED28D135 + 48045.50ns INFO [00048047] * RD COMPARE * port=1 adr=02 act=C1779C4820BE1734D8 exp=C1779C4820BE1734D8 + 48045.50ns INFO [00048047] Port=0 RD @07 + 48046.50ns INFO [00048048] * RD COMPARE * port=0 adr=02 act=C1779C4820BE1734D8 exp=C1779C4820BE1734D8 + 48047.50ns INFO [00048049] * RD COMPARE * port=0 adr=07 act=C509EB7663BBB14F81 exp=C509EB7663BBB14F81 + 48048.50ns INFO [00048050] Port=0 WR @07=0666B52C1A0264D286 + 48049.50ns INFO [00048051] Port=1 RD @05 + 48050.50ns INFO [00048052] Port=0 WR @05=58D94F80CAD6947AB7 + 48051.50ns INFO [00048053] * RD COMPARE * port=1 adr=05 act=DD2355FFB95475C02B exp=DD2355FFB95475C02B + 48051.50ns INFO [00048053] Port=0 RD @07 + 48051.50ns INFO [00048053] Port=1 RD @03 + 48053.50ns INFO [00048055] * RD COMPARE * port=0 adr=07 act=0666B52C1A0264D286 exp=0666B52C1A0264D286 + 48053.50ns INFO [00048055] * RD COMPARE * port=1 adr=03 act=0DAA366C813B32AE49 exp=0DAA366C813B32AE49 + 48053.50ns INFO [00048055] Port=0 RD @06 + 48054.50ns INFO [00048056] Port=0 WR @06=754A1B599C6E2CC892 + 48054.50ns INFO [00048056] Port=0 RD @04 + 48054.50ns INFO [00048056] Port=1 RD @02 + 48055.50ns INFO [00048057] * RD COMPARE * port=0 adr=06 act=8D3227C774AAE04DA0 exp=8D3227C774AAE04DA0 + 48055.50ns INFO [00048057] Port=0 WR @07=75A4FA2A57C7D71B44 + 48056.50ns INFO [00048058] * RD COMPARE * port=0 adr=04 act=367174530EED28D135 exp=367174530EED28D135 + 48056.50ns INFO [00048058] * RD COMPARE * port=1 adr=02 act=C1779C4820BE1734D8 exp=C1779C4820BE1734D8 + 48059.50ns INFO [00048061] Port=0 WR @00=56E46DD5F82D121DE8 + 48059.50ns INFO [00048061] Port=0 RD @01 + 48060.50ns INFO [00048062] Port=0 RD @04 + 48061.50ns INFO [00048063] * RD COMPARE * port=0 adr=01 act=B6EA07D5C630ABC33C exp=B6EA07D5C630ABC33C + 48061.50ns INFO [00048063] Port=0 RD @02 + 48062.50ns INFO [00048064] * RD COMPARE * port=0 adr=04 act=367174530EED28D135 exp=367174530EED28D135 + 48062.50ns INFO [00048064] Port=0 RD @02 + 48063.50ns INFO [00048065] * RD COMPARE * port=0 adr=02 act=C1779C4820BE1734D8 exp=C1779C4820BE1734D8 + 48063.50ns INFO [00048065] Port=0 RD @07 + 48064.50ns INFO [00048066] * RD COMPARE * port=0 adr=02 act=C1779C4820BE1734D8 exp=C1779C4820BE1734D8 + 48064.50ns INFO [00048066] Port=0 RD @06 + 48065.50ns INFO [00048067] * RD COMPARE * port=0 adr=07 act=75A4FA2A57C7D71B44 exp=75A4FA2A57C7D71B44 + 48065.50ns INFO [00048067] Port=1 RD @05 + 48066.50ns INFO [00048068] * RD COMPARE * port=0 adr=06 act=754A1B599C6E2CC892 exp=754A1B599C6E2CC892 + 48066.50ns INFO [00048068] Port=0 WR @03=A7539A1D7826D3E4EA + 48066.50ns INFO [00048068] Port=1 RD @07 + 48067.50ns INFO [00048069] * RD COMPARE * port=1 adr=05 act=58D94F80CAD6947AB7 exp=58D94F80CAD6947AB7 + 48067.50ns INFO [00048069] Port=0 WR @04=4338B0D567BA77CDDD + 48068.50ns INFO [00048070] * RD COMPARE * port=1 adr=07 act=75A4FA2A57C7D71B44 exp=75A4FA2A57C7D71B44 + 48069.50ns INFO [00048071] Port=1 RD @04 + 48071.50ns INFO [00048073] * RD COMPARE * port=1 adr=04 act=4338B0D567BA77CDDD exp=4338B0D567BA77CDDD + 48071.50ns INFO [00048073] Port=0 RD @03 + 48073.50ns INFO [00048075] * RD COMPARE * port=0 adr=03 act=A7539A1D7826D3E4EA exp=A7539A1D7826D3E4EA + 48075.50ns INFO [00048077] Port=0 WR @06=B3628DEF3C98D73C09 + 48075.50ns INFO [00048077] Port=0 RD @00 + 48075.50ns INFO [00048077] Port=1 RD @04 + 48076.50ns INFO [00048078] Port=0 WR @07=6211A77E17E68A5A61 + 48077.50ns INFO [00048079] * RD COMPARE * port=0 adr=00 act=56E46DD5F82D121DE8 exp=56E46DD5F82D121DE8 + 48077.50ns INFO [00048079] * RD COMPARE * port=1 adr=04 act=4338B0D567BA77CDDD exp=4338B0D567BA77CDDD + 48077.50ns INFO [00048079] Port=0 RD @05 + 48077.50ns INFO [00048079] Port=1 RD @05 + 48078.50ns INFO [00048080] Port=0 RD @00 + 48078.50ns INFO [00048080] Port=1 RD @01 + 48079.50ns INFO [00048081] * RD COMPARE * port=0 adr=05 act=58D94F80CAD6947AB7 exp=58D94F80CAD6947AB7 + 48079.50ns INFO [00048081] * RD COMPARE * port=1 adr=05 act=58D94F80CAD6947AB7 exp=58D94F80CAD6947AB7 + 48080.50ns INFO [00048082] * RD COMPARE * port=0 adr=00 act=56E46DD5F82D121DE8 exp=56E46DD5F82D121DE8 + 48080.50ns INFO [00048082] * RD COMPARE * port=1 adr=01 act=B6EA07D5C630ABC33C exp=B6EA07D5C630ABC33C + 48080.50ns INFO [00048082] Port=0 WR @06=BBEB612F410963AD34 + 48080.50ns INFO [00048082] Port=0 RD @01 + 48080.50ns INFO [00048082] Port=1 RD @04 + 48081.50ns INFO [00048083] Port=0 RD @05 + 48082.50ns INFO [00048084] * RD COMPARE * port=0 adr=01 act=B6EA07D5C630ABC33C exp=B6EA07D5C630ABC33C + 48082.50ns INFO [00048084] * RD COMPARE * port=1 adr=04 act=4338B0D567BA77CDDD exp=4338B0D567BA77CDDD + 48083.50ns INFO [00048085] * RD COMPARE * port=0 adr=05 act=58D94F80CAD6947AB7 exp=58D94F80CAD6947AB7 + 48083.50ns INFO [00048085] Port=1 RD @04 + 48084.50ns INFO [00048086] Port=0 WR @00=1DCAF0BF99F133DABE + 48084.50ns INFO [00048086] Port=1 RD @05 + 48085.50ns INFO [00048087] * RD COMPARE * port=1 adr=04 act=4338B0D567BA77CDDD exp=4338B0D567BA77CDDD + 48085.50ns INFO [00048087] Port=0 WR @07=4E2C8FA22D000530E4 + 48086.50ns INFO [00048088] * RD COMPARE * port=1 adr=05 act=58D94F80CAD6947AB7 exp=58D94F80CAD6947AB7 + 48087.50ns INFO [00048089] Port=0 RD @03 + 48087.50ns INFO [00048089] Port=1 RD @01 + 48088.50ns INFO [00048090] Port=0 WR @01=1F4F0601C2333D0B9C + 48089.50ns INFO [00048091] * RD COMPARE * port=0 adr=03 act=A7539A1D7826D3E4EA exp=A7539A1D7826D3E4EA + 48089.50ns INFO [00048091] * RD COMPARE * port=1 adr=01 act=B6EA07D5C630ABC33C exp=B6EA07D5C630ABC33C + 48091.50ns INFO [00048093] Port=0 WR @07=BFDD389CC3906544C2 + 48091.50ns INFO [00048093] Port=1 RD @02 + 48093.50ns INFO [00048095] * RD COMPARE * port=1 adr=02 act=C1779C4820BE1734D8 exp=C1779C4820BE1734D8 + 48093.50ns INFO [00048095] Port=0 WR @05=D914F13CEE83A84BF8 + 48096.50ns INFO [00048098] Port=0 RD @05 + 48097.50ns INFO [00048099] Port=0 WR @02=F55AC83B43F81ABA8C + 48098.00ns INFO [00048100] [00048100] ...tick... + 48098.50ns INFO [00048100] * RD COMPARE * port=0 adr=05 act=D914F13CEE83A84BF8 exp=D914F13CEE83A84BF8 + 48099.50ns INFO [00048101] Port=0 RD @05 + 48100.50ns INFO [00048102] Port=1 RD @02 + 48101.50ns INFO [00048103] * RD COMPARE * port=0 adr=05 act=D914F13CEE83A84BF8 exp=D914F13CEE83A84BF8 + 48101.50ns INFO [00048103] Port=0 WR @07=AAADBDD8656EF9A6C3 + 48101.50ns INFO [00048103] Port=0 RD @03 + 48102.50ns INFO [00048104] * RD COMPARE * port=1 adr=02 act=F55AC83B43F81ABA8C exp=F55AC83B43F81ABA8C + 48102.50ns INFO [00048104] Port=0 WR @07=5F9C211C4182F45892 + 48102.50ns INFO [00048104] Port=0 RD @00 + 48102.50ns INFO [00048104] Port=1 RD @04 + 48103.50ns INFO [00048105] * RD COMPARE * port=0 adr=03 act=A7539A1D7826D3E4EA exp=A7539A1D7826D3E4EA + 48104.50ns INFO [00048106] * RD COMPARE * port=0 adr=00 act=1DCAF0BF99F133DABE exp=1DCAF0BF99F133DABE + 48104.50ns INFO [00048106] * RD COMPARE * port=1 adr=04 act=4338B0D567BA77CDDD exp=4338B0D567BA77CDDD + 48104.50ns INFO [00048106] Port=0 RD @00 + 48104.50ns INFO [00048106] Port=1 RD @06 + 48105.50ns INFO [00048107] Port=0 WR @05=2B8BB86BA0D53776E0 + 48105.50ns INFO [00048107] Port=1 RD @01 + 48106.50ns INFO [00048108] * RD COMPARE * port=0 adr=00 act=1DCAF0BF99F133DABE exp=1DCAF0BF99F133DABE + 48106.50ns INFO [00048108] * RD COMPARE * port=1 adr=06 act=BBEB612F410963AD34 exp=BBEB612F410963AD34 + 48106.50ns INFO [00048108] Port=0 WR @02=B7FB0342E56F7D2EA3 + 48106.50ns INFO [00048108] Port=0 RD @01 + 48107.50ns INFO [00048109] * RD COMPARE * port=1 adr=01 act=1F4F0601C2333D0B9C exp=1F4F0601C2333D0B9C + 48107.50ns INFO [00048109] Port=0 WR @02=7F9D6BF2525222F1E8 + 48108.50ns INFO [00048110] * RD COMPARE * port=0 adr=01 act=1F4F0601C2333D0B9C exp=1F4F0601C2333D0B9C + 48108.50ns INFO [00048110] Port=0 RD @07 + 48109.50ns INFO [00048111] Port=0 RD @00 + 48110.50ns INFO [00048112] * RD COMPARE * port=0 adr=07 act=5F9C211C4182F45892 exp=5F9C211C4182F45892 + 48110.50ns INFO [00048112] Port=0 RD @05 + 48110.50ns INFO [00048112] Port=1 RD @01 + 48111.50ns INFO [00048113] * RD COMPARE * port=0 adr=00 act=1DCAF0BF99F133DABE exp=1DCAF0BF99F133DABE + 48111.50ns INFO [00048113] Port=0 WR @01=BA9BE8E64DAF934D75 + 48112.50ns INFO [00048114] * RD COMPARE * port=0 adr=05 act=2B8BB86BA0D53776E0 exp=2B8BB86BA0D53776E0 + 48112.50ns INFO [00048114] * RD COMPARE * port=1 adr=01 act=1F4F0601C2333D0B9C exp=1F4F0601C2333D0B9C + 48113.50ns INFO [00048115] Port=0 WR @03=EBBBBF1ABD06B6C1EC + 48114.50ns INFO [00048116] Port=0 WR @00=29DD856B4ECD1D2D9B + 48114.50ns INFO [00048116] Port=1 RD @05 + 48116.50ns INFO [00048118] * RD COMPARE * port=1 adr=05 act=2B8BB86BA0D53776E0 exp=2B8BB86BA0D53776E0 + 48116.50ns INFO [00048118] Port=0 WR @05=EA30D41726D1566449 + 48116.50ns INFO [00048118] Port=1 RD @06 + 48117.50ns INFO [00048119] Port=0 WR @01=04176930F608F62A43 + 48117.50ns INFO [00048119] Port=1 RD @05 + 48118.50ns INFO [00048120] * RD COMPARE * port=1 adr=06 act=BBEB612F410963AD34 exp=BBEB612F410963AD34 + 48118.50ns INFO [00048120] Port=1 RD @05 + 48119.50ns INFO [00048121] * RD COMPARE * port=1 adr=05 act=EA30D41726D1566449 exp=EA30D41726D1566449 + 48119.50ns INFO [00048121] Port=0 WR @00=C85774B46FC2465084 + 48119.50ns INFO [00048121] Port=0 RD @03 + 48120.50ns INFO [00048122] * RD COMPARE * port=1 adr=05 act=EA30D41726D1566449 exp=EA30D41726D1566449 + 48120.50ns INFO [00048122] Port=0 RD @05 + 48121.50ns INFO [00048123] * RD COMPARE * port=0 adr=03 act=EBBBBF1ABD06B6C1EC exp=EBBBBF1ABD06B6C1EC + 48121.50ns INFO [00048123] Port=1 RD @00 + 48122.50ns INFO [00048124] * RD COMPARE * port=0 adr=05 act=EA30D41726D1566449 exp=EA30D41726D1566449 + 48122.50ns INFO [00048124] Port=0 WR @04=B8ECD103A3ED2EB80A + 48123.50ns INFO [00048125] * RD COMPARE * port=1 adr=00 act=C85774B46FC2465084 exp=C85774B46FC2465084 + 48123.50ns INFO [00048125] Port=0 RD @01 + 48123.50ns INFO [00048125] Port=1 RD @00 + 48124.50ns INFO [00048126] Port=0 WR @01=E8C420DC781FEEFBF9 + 48125.50ns INFO [00048127] * RD COMPARE * port=0 adr=01 act=04176930F608F62A43 exp=04176930F608F62A43 + 48125.50ns INFO [00048127] * RD COMPARE * port=1 adr=00 act=C85774B46FC2465084 exp=C85774B46FC2465084 + 48125.50ns INFO [00048127] Port=0 RD @01 + 48126.50ns INFO [00048128] Port=0 RD @01 + 48127.50ns INFO [00048129] * RD COMPARE * port=0 adr=01 act=E8C420DC781FEEFBF9 exp=E8C420DC781FEEFBF9 + 48127.50ns INFO [00048129] Port=1 RD @06 + 48128.50ns INFO [00048130] * RD COMPARE * port=0 adr=01 act=E8C420DC781FEEFBF9 exp=E8C420DC781FEEFBF9 + 48128.50ns INFO [00048130] Port=0 WR @01=D64314B8021F3692EA + 48128.50ns INFO [00048130] Port=0 RD @02 + 48129.50ns INFO [00048131] * RD COMPARE * port=1 adr=06 act=BBEB612F410963AD34 exp=BBEB612F410963AD34 + 48129.50ns INFO [00048131] Port=0 RD @02 + 48130.50ns INFO [00048132] * RD COMPARE * port=0 adr=02 act=7F9D6BF2525222F1E8 exp=7F9D6BF2525222F1E8 + 48130.50ns INFO [00048132] Port=0 WR @07=3757EB5FED1B69E68B + 48130.50ns INFO [00048132] Port=0 RD @05 + 48131.50ns INFO [00048133] * RD COMPARE * port=0 adr=02 act=7F9D6BF2525222F1E8 exp=7F9D6BF2525222F1E8 + 48132.50ns INFO [00048134] * RD COMPARE * port=0 adr=05 act=EA30D41726D1566449 exp=EA30D41726D1566449 + 48132.50ns INFO [00048134] Port=1 RD @02 + 48134.50ns INFO [00048136] * RD COMPARE * port=1 adr=02 act=7F9D6BF2525222F1E8 exp=7F9D6BF2525222F1E8 + 48134.50ns INFO [00048136] Port=0 RD @04 + 48136.50ns INFO [00048138] * RD COMPARE * port=0 adr=04 act=B8ECD103A3ED2EB80A exp=B8ECD103A3ED2EB80A + 48136.50ns INFO [00048138] Port=0 WR @00=D7D69459617482ACD1 + 48136.50ns INFO [00048138] Port=1 RD @06 + 48137.50ns INFO [00048139] Port=0 RD @07 + 48137.50ns INFO [00048139] Port=1 RD @06 + 48138.50ns INFO [00048140] * RD COMPARE * port=1 adr=06 act=BBEB612F410963AD34 exp=BBEB612F410963AD34 + 48138.50ns INFO [00048140] Port=1 RD @00 + 48139.50ns INFO [00048141] * RD COMPARE * port=0 adr=07 act=3757EB5FED1B69E68B exp=3757EB5FED1B69E68B + 48139.50ns INFO [00048141] * RD COMPARE * port=1 adr=06 act=BBEB612F410963AD34 exp=BBEB612F410963AD34 + 48140.50ns INFO [00048142] * RD COMPARE * port=1 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48140.50ns INFO [00048142] Port=1 RD @02 + 48141.50ns INFO [00048143] Port=0 RD @02 + 48142.50ns INFO [00048144] * RD COMPARE * port=1 adr=02 act=7F9D6BF2525222F1E8 exp=7F9D6BF2525222F1E8 + 48143.50ns INFO [00048145] * RD COMPARE * port=0 adr=02 act=7F9D6BF2525222F1E8 exp=7F9D6BF2525222F1E8 + 48143.50ns INFO [00048145] Port=0 WR @06=152B71FAB1786587F1 + 48144.50ns INFO [00048146] Port=0 RD @04 + 48145.50ns INFO [00048147] Port=0 RD @06 + 48145.50ns INFO [00048147] Port=1 RD @03 + 48146.50ns INFO [00048148] * RD COMPARE * port=0 adr=04 act=B8ECD103A3ED2EB80A exp=B8ECD103A3ED2EB80A + 48146.50ns INFO [00048148] Port=0 WR @04=3727EAB9E8AF22E984 + 48147.50ns INFO [00048149] * RD COMPARE * port=0 adr=06 act=152B71FAB1786587F1 exp=152B71FAB1786587F1 + 48147.50ns INFO [00048149] * RD COMPARE * port=1 adr=03 act=EBBBBF1ABD06B6C1EC exp=EBBBBF1ABD06B6C1EC + 48147.50ns INFO [00048149] Port=0 WR @01=D8D0B4C4FD24AD525C + 48147.50ns INFO [00048149] Port=0 RD @02 + 48149.50ns INFO [00048151] * RD COMPARE * port=0 adr=02 act=7F9D6BF2525222F1E8 exp=7F9D6BF2525222F1E8 + 48149.50ns INFO [00048151] Port=0 WR @02=D96B76E323211D3F91 + 48149.50ns INFO [00048151] Port=1 RD @06 + 48150.50ns INFO [00048152] Port=0 RD @05 + 48151.50ns INFO [00048153] * RD COMPARE * port=1 adr=06 act=152B71FAB1786587F1 exp=152B71FAB1786587F1 + 48151.50ns INFO [00048153] Port=0 WR @04=D2555FC0545861DBAC + 48152.50ns INFO [00048154] * RD COMPARE * port=0 adr=05 act=EA30D41726D1566449 exp=EA30D41726D1566449 + 48152.50ns INFO [00048154] Port=0 RD @00 + 48152.50ns INFO [00048154] Port=1 RD @00 + 48153.50ns INFO [00048155] Port=0 WR @01=D72BC4B8AA2E2D221F + 48153.50ns INFO [00048155] Port=1 RD @00 + 48154.50ns INFO [00048156] * RD COMPARE * port=0 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48154.50ns INFO [00048156] * RD COMPARE * port=1 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48154.50ns INFO [00048156] Port=0 WR @07=04612AD2C786BE09D0 + 48154.50ns INFO [00048156] Port=1 RD @03 + 48155.50ns INFO [00048157] * RD COMPARE * port=1 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48155.50ns INFO [00048157] Port=1 RD @02 + 48156.50ns INFO [00048158] * RD COMPARE * port=1 adr=03 act=EBBBBF1ABD06B6C1EC exp=EBBBBF1ABD06B6C1EC + 48156.50ns INFO [00048158] Port=0 RD @00 + 48156.50ns INFO [00048158] Port=1 RD @05 + 48157.50ns INFO [00048159] * RD COMPARE * port=1 adr=02 act=D96B76E323211D3F91 exp=D96B76E323211D3F91 + 48157.50ns INFO [00048159] Port=0 RD @04 + 48157.50ns INFO [00048159] Port=1 RD @04 + 48158.50ns INFO [00048160] * RD COMPARE * port=0 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48158.50ns INFO [00048160] * RD COMPARE * port=1 adr=05 act=EA30D41726D1566449 exp=EA30D41726D1566449 + 48159.50ns INFO [00048161] * RD COMPARE * port=0 adr=04 act=D2555FC0545861DBAC exp=D2555FC0545861DBAC + 48159.50ns INFO [00048161] * RD COMPARE * port=1 adr=04 act=D2555FC0545861DBAC exp=D2555FC0545861DBAC + 48159.50ns INFO [00048161] Port=1 RD @06 + 48160.50ns INFO [00048162] Port=0 RD @04 + 48160.50ns INFO [00048162] Port=1 RD @00 + 48161.50ns INFO [00048163] * RD COMPARE * port=1 adr=06 act=152B71FAB1786587F1 exp=152B71FAB1786587F1 + 48161.50ns INFO [00048163] Port=0 WR @06=C80B1EC976A1EBBD1D + 48161.50ns INFO [00048163] Port=0 RD @01 + 48162.50ns INFO [00048164] * RD COMPARE * port=0 adr=04 act=D2555FC0545861DBAC exp=D2555FC0545861DBAC + 48162.50ns INFO [00048164] * RD COMPARE * port=1 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48162.50ns INFO [00048164] Port=0 WR @06=6208B9BD0817310F58 + 48162.50ns INFO [00048164] Port=1 RD @01 + 48163.50ns INFO [00048165] * RD COMPARE * port=0 adr=01 act=D72BC4B8AA2E2D221F exp=D72BC4B8AA2E2D221F + 48163.50ns INFO [00048165] Port=0 RD @06 + 48163.50ns INFO [00048165] Port=1 RD @02 + 48164.50ns INFO [00048166] * RD COMPARE * port=1 adr=01 act=D72BC4B8AA2E2D221F exp=D72BC4B8AA2E2D221F + 48165.50ns INFO [00048167] * RD COMPARE * port=0 adr=06 act=6208B9BD0817310F58 exp=6208B9BD0817310F58 + 48165.50ns INFO [00048167] * RD COMPARE * port=1 adr=02 act=D96B76E323211D3F91 exp=D96B76E323211D3F91 + 48165.50ns INFO [00048167] Port=0 RD @03 + 48165.50ns INFO [00048167] Port=1 RD @00 + 48166.50ns INFO [00048168] Port=0 RD @02 + 48167.50ns INFO [00048169] * RD COMPARE * port=0 adr=03 act=EBBBBF1ABD06B6C1EC exp=EBBBBF1ABD06B6C1EC + 48167.50ns INFO [00048169] * RD COMPARE * port=1 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48167.50ns INFO [00048169] Port=0 WR @02=3FF8F44E8A04B7303F + 48167.50ns INFO [00048169] Port=1 RD @07 + 48168.50ns INFO [00048170] * RD COMPARE * port=0 adr=02 act=D96B76E323211D3F91 exp=D96B76E323211D3F91 + 48168.50ns INFO [00048170] Port=0 RD @00 + 48168.50ns INFO [00048170] Port=1 RD @07 + 48169.50ns INFO [00048171] * RD COMPARE * port=1 adr=07 act=04612AD2C786BE09D0 exp=04612AD2C786BE09D0 + 48170.50ns INFO [00048172] * RD COMPARE * port=0 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48170.50ns INFO [00048172] * RD COMPARE * port=1 adr=07 act=04612AD2C786BE09D0 exp=04612AD2C786BE09D0 + 48170.50ns INFO [00048172] Port=0 WR @05=6DD537A4D66707084E + 48170.50ns INFO [00048172] Port=1 RD @02 + 48171.50ns INFO [00048173] Port=0 WR @01=A89D9335CD048AF7C4 + 48172.50ns INFO [00048174] * RD COMPARE * port=1 adr=02 act=3FF8F44E8A04B7303F exp=3FF8F44E8A04B7303F + 48172.50ns INFO [00048174] Port=0 WR @01=01B5CDA5906E32448F + 48172.50ns INFO [00048174] Port=0 RD @06 + 48172.50ns INFO [00048174] Port=1 RD @07 + 48173.50ns INFO [00048175] Port=1 RD @07 + 48174.50ns INFO [00048176] * RD COMPARE * port=0 adr=06 act=6208B9BD0817310F58 exp=6208B9BD0817310F58 + 48174.50ns INFO [00048176] * RD COMPARE * port=1 adr=07 act=04612AD2C786BE09D0 exp=04612AD2C786BE09D0 + 48174.50ns INFO [00048176] Port=0 WR @02=8870DE6F708AE85F67 + 48174.50ns INFO [00048176] Port=0 RD @06 + 48175.50ns INFO [00048177] * RD COMPARE * port=1 adr=07 act=04612AD2C786BE09D0 exp=04612AD2C786BE09D0 + 48175.50ns INFO [00048177] Port=0 RD @07 + 48176.50ns INFO [00048178] * RD COMPARE * port=0 adr=06 act=6208B9BD0817310F58 exp=6208B9BD0817310F58 + 48176.50ns INFO [00048178] Port=1 RD @05 + 48177.50ns INFO [00048179] * RD COMPARE * port=0 adr=07 act=04612AD2C786BE09D0 exp=04612AD2C786BE09D0 + 48177.50ns INFO [00048179] Port=0 RD @06 + 48178.50ns INFO [00048180] * RD COMPARE * port=1 adr=05 act=6DD537A4D66707084E exp=6DD537A4D66707084E + 48178.50ns INFO [00048180] Port=0 WR @03=071068AC04D1162A58 + 48178.50ns INFO [00048180] Port=1 RD @02 + 48179.50ns INFO [00048181] * RD COMPARE * port=0 adr=06 act=6208B9BD0817310F58 exp=6208B9BD0817310F58 + 48179.50ns INFO [00048181] Port=0 RD @02 + 48180.50ns INFO [00048182] * RD COMPARE * port=1 adr=02 act=8870DE6F708AE85F67 exp=8870DE6F708AE85F67 + 48180.50ns INFO [00048182] Port=0 WR @05=0893A3DF26894D381D + 48181.50ns INFO [00048183] * RD COMPARE * port=0 adr=02 act=8870DE6F708AE85F67 exp=8870DE6F708AE85F67 + 48181.50ns INFO [00048183] Port=0 WR @01=CC5719AE2F17968AE8 + 48181.50ns INFO [00048183] Port=0 RD @04 + 48182.50ns INFO [00048184] Port=0 RD @02 + 48183.50ns INFO [00048185] * RD COMPARE * port=0 adr=04 act=D2555FC0545861DBAC exp=D2555FC0545861DBAC + 48183.50ns INFO [00048185] Port=0 WR @04=F8709072FCE017EB1E + 48183.50ns INFO [00048185] Port=1 RD @03 + 48184.50ns INFO [00048186] * RD COMPARE * port=0 adr=02 act=8870DE6F708AE85F67 exp=8870DE6F708AE85F67 + 48185.50ns INFO [00048187] * RD COMPARE * port=1 adr=03 act=071068AC04D1162A58 exp=071068AC04D1162A58 + 48185.50ns INFO [00048187] Port=1 RD @01 + 48186.50ns INFO [00048188] Port=1 RD @00 + 48187.50ns INFO [00048189] * RD COMPARE * port=1 adr=01 act=CC5719AE2F17968AE8 exp=CC5719AE2F17968AE8 + 48188.50ns INFO [00048190] * RD COMPARE * port=1 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48188.50ns INFO [00048190] Port=1 RD @02 + 48189.50ns INFO [00048191] Port=0 WR @01=E6EDE7657A2C7EE046 + 48189.50ns INFO [00048191] Port=0 RD @02 + 48189.50ns INFO [00048191] Port=1 RD @03 + 48190.50ns INFO [00048192] * RD COMPARE * port=1 adr=02 act=8870DE6F708AE85F67 exp=8870DE6F708AE85F67 + 48191.50ns INFO [00048193] * RD COMPARE * port=0 adr=02 act=8870DE6F708AE85F67 exp=8870DE6F708AE85F67 + 48191.50ns INFO [00048193] * RD COMPARE * port=1 adr=03 act=071068AC04D1162A58 exp=071068AC04D1162A58 + 48192.50ns INFO [00048194] Port=0 RD @00 + 48194.50ns INFO [00048196] * RD COMPARE * port=0 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48194.50ns INFO [00048196] Port=0 RD @00 + 48194.50ns INFO [00048196] Port=1 RD @01 + 48195.50ns INFO [00048197] Port=0 WR @01=9C556C25A9A73CFE12 + 48196.50ns INFO [00048198] * RD COMPARE * port=0 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48196.50ns INFO [00048198] * RD COMPARE * port=1 adr=01 act=E6EDE7657A2C7EE046 exp=E6EDE7657A2C7EE046 + 48198.00ns INFO [00048200] [00048200] ...tick... + 48198.50ns INFO [00048200] Port=0 WR @03=E9D2AA78E8489E28D8 + 48198.50ns INFO [00048200] Port=0 RD @04 + 48199.50ns INFO [00048201] Port=0 WR @05=9989C4060A4B85237A + 48199.50ns INFO [00048201] Port=0 RD @02 + 48200.50ns INFO [00048202] * RD COMPARE * port=0 adr=04 act=F8709072FCE017EB1E exp=F8709072FCE017EB1E + 48200.50ns INFO [00048202] Port=0 WR @04=CA6B0EC145A2604F37 + 48200.50ns INFO [00048202] Port=0 RD @07 + 48201.50ns INFO [00048203] * RD COMPARE * port=0 adr=02 act=8870DE6F708AE85F67 exp=8870DE6F708AE85F67 + 48202.50ns INFO [00048204] * RD COMPARE * port=0 adr=07 act=04612AD2C786BE09D0 exp=04612AD2C786BE09D0 + 48203.50ns INFO [00048205] Port=0 WR @03=D3A8336A9FDAAE577C + 48204.50ns INFO [00048206] Port=1 RD @04 + 48205.50ns INFO [00048207] Port=0 WR @07=CB953A7FAFA7723B47 + 48205.50ns INFO [00048207] Port=1 RD @03 + 48206.50ns INFO [00048208] * RD COMPARE * port=1 adr=04 act=CA6B0EC145A2604F37 exp=CA6B0EC145A2604F37 + 48206.50ns INFO [00048208] Port=0 WR @02=DB27EFFAC3A570C43C + 48206.50ns INFO [00048208] Port=1 RD @07 + 48207.50ns INFO [00048209] * RD COMPARE * port=1 adr=03 act=D3A8336A9FDAAE577C exp=D3A8336A9FDAAE577C + 48207.50ns INFO [00048209] Port=0 RD @04 + 48208.50ns INFO [00048210] * RD COMPARE * port=1 adr=07 act=CB953A7FAFA7723B47 exp=CB953A7FAFA7723B47 + 48208.50ns INFO [00048210] Port=0 WR @06=80904E55F42FFE6334 + 48208.50ns INFO [00048210] Port=0 RD @03 + 48209.50ns INFO [00048211] * RD COMPARE * port=0 adr=04 act=CA6B0EC145A2604F37 exp=CA6B0EC145A2604F37 + 48210.50ns INFO [00048212] * RD COMPARE * port=0 adr=03 act=D3A8336A9FDAAE577C exp=D3A8336A9FDAAE577C + 48211.50ns INFO [00048213] Port=0 RD @07 + 48212.50ns INFO [00048214] Port=0 RD @01 + 48212.50ns INFO [00048214] Port=1 RD @00 + 48213.50ns INFO [00048215] * RD COMPARE * port=0 adr=07 act=CB953A7FAFA7723B47 exp=CB953A7FAFA7723B47 + 48213.50ns INFO [00048215] Port=0 WR @04=FC30AC8575BFD1C1F7 + 48213.50ns INFO [00048215] Port=0 RD @01 + 48213.50ns INFO [00048215] Port=1 RD @05 + 48214.50ns INFO [00048216] * RD COMPARE * port=0 adr=01 act=9C556C25A9A73CFE12 exp=9C556C25A9A73CFE12 + 48214.50ns INFO [00048216] * RD COMPARE * port=1 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48215.50ns INFO [00048217] * RD COMPARE * port=0 adr=01 act=9C556C25A9A73CFE12 exp=9C556C25A9A73CFE12 + 48215.50ns INFO [00048217] * RD COMPARE * port=1 adr=05 act=9989C4060A4B85237A exp=9989C4060A4B85237A + 48215.50ns INFO [00048217] Port=0 WR @01=7CD05C859AAECD0408 + 48216.50ns INFO [00048218] Port=0 RD @00 + 48216.50ns INFO [00048218] Port=1 RD @05 + 48217.50ns INFO [00048219] Port=0 WR @05=3A3FF0F9A0FCC129E0 + 48217.50ns INFO [00048219] Port=1 RD @03 + 48218.50ns INFO [00048220] * RD COMPARE * port=0 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48218.50ns INFO [00048220] * RD COMPARE * port=1 adr=05 act=9989C4060A4B85237A exp=9989C4060A4B85237A + 48218.50ns INFO [00048220] Port=0 WR @07=BD1E8A9C1FA8D5F602 + 48219.50ns INFO [00048221] * RD COMPARE * port=1 adr=03 act=D3A8336A9FDAAE577C exp=D3A8336A9FDAAE577C + 48219.50ns INFO [00048221] Port=0 WR @04=12155E8C70AFCFC3ED + 48219.50ns INFO [00048221] Port=1 RD @03 + 48221.50ns INFO [00048223] * RD COMPARE * port=1 adr=03 act=D3A8336A9FDAAE577C exp=D3A8336A9FDAAE577C + 48221.50ns INFO [00048223] Port=0 RD @05 + 48222.50ns INFO [00048224] Port=0 WR @01=3D279517E25C77D6F0 + 48223.50ns INFO [00048225] * RD COMPARE * port=0 adr=05 act=3A3FF0F9A0FCC129E0 exp=3A3FF0F9A0FCC129E0 + 48223.50ns INFO [00048225] Port=0 WR @03=3F4B2B414BF476C9DB + 48223.50ns INFO [00048225] Port=0 RD @07 + 48223.50ns INFO [00048225] Port=1 RD @05 + 48224.50ns INFO [00048226] Port=0 RD @02 + 48224.50ns INFO [00048226] Port=1 RD @00 + 48225.50ns INFO [00048227] * RD COMPARE * port=0 adr=07 act=BD1E8A9C1FA8D5F602 exp=BD1E8A9C1FA8D5F602 + 48225.50ns INFO [00048227] * RD COMPARE * port=1 adr=05 act=3A3FF0F9A0FCC129E0 exp=3A3FF0F9A0FCC129E0 + 48225.50ns INFO [00048227] Port=0 RD @07 + 48226.50ns INFO [00048228] * RD COMPARE * port=0 adr=02 act=DB27EFFAC3A570C43C exp=DB27EFFAC3A570C43C + 48226.50ns INFO [00048228] * RD COMPARE * port=1 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48226.50ns INFO [00048228] Port=0 RD @05 + 48226.50ns INFO [00048228] Port=1 RD @05 + 48227.50ns INFO [00048229] * RD COMPARE * port=0 adr=07 act=BD1E8A9C1FA8D5F602 exp=BD1E8A9C1FA8D5F602 + 48227.50ns INFO [00048229] Port=0 WR @02=0647281984FC1EF3CC + 48227.50ns INFO [00048229] Port=0 RD @05 + 48228.50ns INFO [00048230] * RD COMPARE * port=0 adr=05 act=3A3FF0F9A0FCC129E0 exp=3A3FF0F9A0FCC129E0 + 48228.50ns INFO [00048230] * RD COMPARE * port=1 adr=05 act=3A3FF0F9A0FCC129E0 exp=3A3FF0F9A0FCC129E0 + 48228.50ns INFO [00048230] Port=0 WR @03=1FD056C3AECB098CBC + 48229.50ns INFO [00048231] * RD COMPARE * port=0 adr=05 act=3A3FF0F9A0FCC129E0 exp=3A3FF0F9A0FCC129E0 + 48229.50ns INFO [00048231] Port=0 WR @05=4A0C61D42541EA4F45 + 48229.50ns INFO [00048231] Port=0 RD @04 + 48230.50ns INFO [00048232] Port=0 RD @07 + 48231.50ns INFO [00048233] * RD COMPARE * port=0 adr=04 act=12155E8C70AFCFC3ED exp=12155E8C70AFCFC3ED + 48231.50ns INFO [00048233] Port=0 WR @04=6684CE5E25569AA71D + 48232.50ns INFO [00048234] * RD COMPARE * port=0 adr=07 act=BD1E8A9C1FA8D5F602 exp=BD1E8A9C1FA8D5F602 + 48232.50ns INFO [00048234] Port=0 WR @02=0EEEF85DD946EBB054 + 48232.50ns INFO [00048234] Port=1 RD @01 + 48233.50ns INFO [00048235] Port=0 WR @01=FCFA86D087E9F13680 + 48233.50ns INFO [00048235] Port=0 RD @00 + 48233.50ns INFO [00048235] Port=1 RD @05 + 48234.50ns INFO [00048236] * RD COMPARE * port=1 adr=01 act=3D279517E25C77D6F0 exp=3D279517E25C77D6F0 + 48235.50ns INFO [00048237] * RD COMPARE * port=0 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48235.50ns INFO [00048237] * RD COMPARE * port=1 adr=05 act=4A0C61D42541EA4F45 exp=4A0C61D42541EA4F45 + 48235.50ns INFO [00048237] Port=0 WR @06=DEEA1315E3F5931A86 + 48236.50ns INFO [00048238] Port=1 RD @03 + 48238.50ns INFO [00048240] * RD COMPARE * port=1 adr=03 act=1FD056C3AECB098CBC exp=1FD056C3AECB098CBC + 48239.50ns INFO [00048241] Port=1 RD @01 + 48240.50ns INFO [00048242] Port=0 WR @07=E8C7832AFC02DDC2DA + 48240.50ns INFO [00048242] Port=0 RD @06 + 48241.50ns INFO [00048243] * RD COMPARE * port=1 adr=01 act=FCFA86D087E9F13680 exp=FCFA86D087E9F13680 + 48241.50ns INFO [00048243] Port=0 WR @07=C5DD085A209746EDF1 + 48241.50ns INFO [00048243] Port=0 RD @05 + 48242.50ns INFO [00048244] * RD COMPARE * port=0 adr=06 act=DEEA1315E3F5931A86 exp=DEEA1315E3F5931A86 + 48242.50ns INFO [00048244] Port=0 WR @02=088CE93A9513117F7E + 48242.50ns INFO [00048244] Port=0 RD @00 + 48242.50ns INFO [00048244] Port=1 RD @01 + 48243.50ns INFO [00048245] * RD COMPARE * port=0 adr=05 act=4A0C61D42541EA4F45 exp=4A0C61D42541EA4F45 + 48243.50ns INFO [00048245] Port=1 RD @05 + 48244.50ns INFO [00048246] * RD COMPARE * port=0 adr=00 act=D7D69459617482ACD1 exp=D7D69459617482ACD1 + 48244.50ns INFO [00048246] * RD COMPARE * port=1 adr=01 act=FCFA86D087E9F13680 exp=FCFA86D087E9F13680 + 48244.50ns INFO [00048246] Port=0 WR @07=B62FFA16980969639A + 48244.50ns INFO [00048246] Port=0 RD @01 + 48245.50ns INFO [00048247] * RD COMPARE * port=1 adr=05 act=4A0C61D42541EA4F45 exp=4A0C61D42541EA4F45 + 48245.50ns INFO [00048247] Port=0 RD @03 + 48246.50ns INFO [00048248] * RD COMPARE * port=0 adr=01 act=FCFA86D087E9F13680 exp=FCFA86D087E9F13680 + 48246.50ns INFO [00048248] Port=1 RD @07 + 48247.50ns INFO [00048249] * RD COMPARE * port=0 adr=03 act=1FD056C3AECB098CBC exp=1FD056C3AECB098CBC + 48247.50ns INFO [00048249] Port=0 WR @03=B86026E72915CF3F60 + 48248.50ns INFO [00048250] * RD COMPARE * port=1 adr=07 act=B62FFA16980969639A exp=B62FFA16980969639A + 48248.50ns INFO [00048250] Port=0 WR @00=2C5955F2C7C72BB254 + 48248.50ns INFO [00048250] Port=1 RD @05 + 48249.50ns INFO [00048251] Port=0 WR @04=2754A06B28B6BC964D + 48250.50ns INFO [00048252] * RD COMPARE * port=1 adr=05 act=4A0C61D42541EA4F45 exp=4A0C61D42541EA4F45 + 48250.50ns INFO [00048252] Port=0 WR @00=065307BC084169EA1D + 48251.50ns INFO [00048253] Port=0 WR @05=5B89164D456E447121 + 48251.50ns INFO [00048253] Port=0 RD @03 + 48252.50ns INFO [00048254] Port=1 RD @04 + 48253.50ns INFO [00048255] * RD COMPARE * port=0 adr=03 act=B86026E72915CF3F60 exp=B86026E72915CF3F60 + 48253.50ns INFO [00048255] Port=0 WR @05=39349BC4850AE3F1D9 + 48254.50ns INFO [00048256] * RD COMPARE * port=1 adr=04 act=2754A06B28B6BC964D exp=2754A06B28B6BC964D + 48254.50ns INFO [00048256] Port=0 WR @01=F0B5ED2D4A0F4A4EE9 + 48255.50ns INFO [00048257] Port=0 RD @00 + 48256.50ns INFO [00048258] Port=0 RD @06 + 48257.50ns INFO [00048259] * RD COMPARE * port=0 adr=00 act=065307BC084169EA1D exp=065307BC084169EA1D + 48257.50ns INFO [00048259] Port=0 WR @03=ED80965355478FFEBA + 48257.50ns INFO [00048259] Port=1 RD @00 + 48258.50ns INFO [00048260] * RD COMPARE * port=0 adr=06 act=DEEA1315E3F5931A86 exp=DEEA1315E3F5931A86 + 48258.50ns INFO [00048260] Port=0 RD @02 + 48259.50ns INFO [00048261] * RD COMPARE * port=1 adr=00 act=065307BC084169EA1D exp=065307BC084169EA1D + 48259.50ns INFO [00048261] Port=1 RD @05 + 48260.50ns INFO [00048262] * RD COMPARE * port=0 adr=02 act=088CE93A9513117F7E exp=088CE93A9513117F7E + 48260.50ns INFO [00048262] Port=0 WR @04=96C07AC9C832318FD1 + 48260.50ns INFO [00048262] Port=1 RD @07 + 48261.50ns INFO [00048263] * RD COMPARE * port=1 adr=05 act=39349BC4850AE3F1D9 exp=39349BC4850AE3F1D9 + 48261.50ns INFO [00048263] Port=0 WR @02=CD5B4D803563FB3916 + 48262.50ns INFO [00048264] * RD COMPARE * port=1 adr=07 act=B62FFA16980969639A exp=B62FFA16980969639A + 48262.50ns INFO [00048264] Port=0 RD @02 + 48263.50ns INFO [00048265] Port=1 RD @06 + 48264.50ns INFO [00048266] * RD COMPARE * port=0 adr=02 act=CD5B4D803563FB3916 exp=CD5B4D803563FB3916 + 48264.50ns INFO [00048266] Port=0 RD @01 + 48264.50ns INFO [00048266] Port=1 RD @03 + 48265.50ns INFO [00048267] * RD COMPARE * port=1 adr=06 act=DEEA1315E3F5931A86 exp=DEEA1315E3F5931A86 + 48265.50ns INFO [00048267] Port=0 WR @07=102DBC5FDD76349F32 + 48265.50ns INFO [00048267] Port=0 RD @02 + 48265.50ns INFO [00048267] Port=1 RD @01 + 48266.50ns INFO [00048268] * RD COMPARE * port=0 adr=01 act=F0B5ED2D4A0F4A4EE9 exp=F0B5ED2D4A0F4A4EE9 + 48266.50ns INFO [00048268] * RD COMPARE * port=1 adr=03 act=ED80965355478FFEBA exp=ED80965355478FFEBA + 48266.50ns INFO [00048268] Port=0 WR @01=9AF5ADC2918762661C + 48266.50ns INFO [00048268] Port=0 RD @05 + 48266.50ns INFO [00048268] Port=1 RD @06 + 48267.50ns INFO [00048269] * RD COMPARE * port=0 adr=02 act=CD5B4D803563FB3916 exp=CD5B4D803563FB3916 + 48267.50ns INFO [00048269] * RD COMPARE * port=1 adr=01 act=F0B5ED2D4A0F4A4EE9 exp=F0B5ED2D4A0F4A4EE9 + 48267.50ns INFO [00048269] Port=0 WR @03=B42A057806E9491EA4 + 48267.50ns INFO [00048269] Port=0 RD @06 + 48268.50ns INFO [00048270] * RD COMPARE * port=0 adr=05 act=39349BC4850AE3F1D9 exp=39349BC4850AE3F1D9 + 48268.50ns INFO [00048270] * RD COMPARE * port=1 adr=06 act=DEEA1315E3F5931A86 exp=DEEA1315E3F5931A86 + 48268.50ns INFO [00048270] Port=0 WR @07=015C45012D7D04D422 + 48268.50ns INFO [00048270] Port=0 RD @03 + 48269.50ns INFO [00048271] * RD COMPARE * port=0 adr=06 act=DEEA1315E3F5931A86 exp=DEEA1315E3F5931A86 + 48269.50ns INFO [00048271] Port=0 WR @02=60255197DB01A8101E + 48270.50ns INFO [00048272] * RD COMPARE * port=0 adr=03 act=B42A057806E9491EA4 exp=B42A057806E9491EA4 + 48270.50ns INFO [00048272] Port=1 RD @03 + 48271.50ns INFO [00048273] Port=1 RD @05 + 48272.50ns INFO [00048274] * RD COMPARE * port=1 adr=03 act=B42A057806E9491EA4 exp=B42A057806E9491EA4 + 48273.50ns INFO [00048275] * RD COMPARE * port=1 adr=05 act=39349BC4850AE3F1D9 exp=39349BC4850AE3F1D9 + 48273.50ns INFO [00048275] Port=0 RD @02 + 48273.50ns INFO [00048275] Port=1 RD @06 + 48274.50ns INFO [00048276] Port=0 WR @04=3584DC32BC5428329F + 48274.50ns INFO [00048276] Port=1 RD @03 + 48275.50ns INFO [00048277] * RD COMPARE * port=0 adr=02 act=60255197DB01A8101E exp=60255197DB01A8101E + 48275.50ns INFO [00048277] * RD COMPARE * port=1 adr=06 act=DEEA1315E3F5931A86 exp=DEEA1315E3F5931A86 + 48275.50ns INFO [00048277] Port=0 WR @00=79B8A84C913F6465CA + 48275.50ns INFO [00048277] Port=0 RD @02 + 48276.50ns INFO [00048278] * RD COMPARE * port=1 adr=03 act=B42A057806E9491EA4 exp=B42A057806E9491EA4 + 48277.50ns INFO [00048279] * RD COMPARE * port=0 adr=02 act=60255197DB01A8101E exp=60255197DB01A8101E + 48277.50ns INFO [00048279] Port=0 RD @06 + 48278.50ns INFO [00048280] Port=1 RD @05 + 48279.50ns INFO [00048281] * RD COMPARE * port=0 adr=06 act=DEEA1315E3F5931A86 exp=DEEA1315E3F5931A86 + 48280.50ns INFO [00048282] * RD COMPARE * port=1 adr=05 act=39349BC4850AE3F1D9 exp=39349BC4850AE3F1D9 + 48280.50ns INFO [00048282] Port=0 RD @00 + 48281.50ns INFO [00048283] Port=0 WR @07=FF33C86685272817F2 + 48282.50ns INFO [00048284] * RD COMPARE * port=0 adr=00 act=79B8A84C913F6465CA exp=79B8A84C913F6465CA + 48282.50ns INFO [00048284] Port=0 WR @02=87FECC9AA833900454 + 48284.50ns INFO [00048286] Port=0 WR @03=CBB58868F7B6AE7098 + 48284.50ns INFO [00048286] Port=1 RD @02 + 48286.50ns INFO [00048288] * RD COMPARE * port=1 adr=02 act=87FECC9AA833900454 exp=87FECC9AA833900454 + 48288.50ns INFO [00048290] Port=0 WR @02=DD1639A85A8204AB3A + 48288.50ns INFO [00048290] Port=0 RD @03 + 48288.50ns INFO [00048290] Port=1 RD @04 + 48289.50ns INFO [00048291] Port=0 WR @05=6930D6C5EC56E8ED51 + 48289.50ns INFO [00048291] Port=0 RD @01 + 48290.50ns INFO [00048292] * RD COMPARE * port=0 adr=03 act=CBB58868F7B6AE7098 exp=CBB58868F7B6AE7098 + 48290.50ns INFO [00048292] * RD COMPARE * port=1 adr=04 act=3584DC32BC5428329F exp=3584DC32BC5428329F + 48290.50ns INFO [00048292] Port=0 WR @07=773290BBBB0FF7157D + 48290.50ns INFO [00048292] Port=0 RD @02 + 48290.50ns INFO [00048292] Port=1 RD @00 + 48291.50ns INFO [00048293] * RD COMPARE * port=0 adr=01 act=9AF5ADC2918762661C exp=9AF5ADC2918762661C + 48291.50ns INFO [00048293] Port=0 RD @01 + 48292.50ns INFO [00048294] * RD COMPARE * port=0 adr=02 act=DD1639A85A8204AB3A exp=DD1639A85A8204AB3A + 48292.50ns INFO [00048294] * RD COMPARE * port=1 adr=00 act=79B8A84C913F6465CA exp=79B8A84C913F6465CA + 48293.50ns INFO [00048295] * RD COMPARE * port=0 adr=01 act=9AF5ADC2918762661C exp=9AF5ADC2918762661C + 48293.50ns INFO [00048295] Port=0 WR @07=2D7EEB9B44D27907DE + 48293.50ns INFO [00048295] Port=0 RD @06 + 48293.50ns INFO [00048295] Port=1 RD @02 + 48294.50ns INFO [00048296] Port=1 RD @06 + 48295.50ns INFO [00048297] * RD COMPARE * port=0 adr=06 act=DEEA1315E3F5931A86 exp=DEEA1315E3F5931A86 + 48295.50ns INFO [00048297] * RD COMPARE * port=1 adr=02 act=DD1639A85A8204AB3A exp=DD1639A85A8204AB3A + 48295.50ns INFO [00048297] Port=0 WR @00=F2D5AE6853D2B95234 + 48295.50ns INFO [00048297] Port=1 RD @03 + 48296.50ns INFO [00048298] * RD COMPARE * port=1 adr=06 act=DEEA1315E3F5931A86 exp=DEEA1315E3F5931A86 + 48296.50ns INFO [00048298] Port=1 RD @03 + 48297.50ns INFO [00048299] * RD COMPARE * port=1 adr=03 act=CBB58868F7B6AE7098 exp=CBB58868F7B6AE7098 + 48298.00ns INFO [00048300] [00048300] ...tick... + 48298.50ns INFO [00048300] * RD COMPARE * port=1 adr=03 act=CBB58868F7B6AE7098 exp=CBB58868F7B6AE7098 + 48298.50ns INFO [00048300] Port=0 RD @01 + 48299.50ns INFO [00048301] Port=0 RD @05 + 48300.50ns INFO [00048302] * RD COMPARE * port=0 adr=01 act=9AF5ADC2918762661C exp=9AF5ADC2918762661C + 48301.50ns INFO [00048303] * RD COMPARE * port=0 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48301.50ns INFO [00048303] Port=0 RD @00 + 48301.50ns INFO [00048303] Port=1 RD @02 + 48303.50ns INFO [00048305] * RD COMPARE * port=0 adr=00 act=F2D5AE6853D2B95234 exp=F2D5AE6853D2B95234 + 48303.50ns INFO [00048305] * RD COMPARE * port=1 adr=02 act=DD1639A85A8204AB3A exp=DD1639A85A8204AB3A + 48304.50ns INFO [00048306] Port=0 WR @00=229FC41B462F88D84D + 48304.50ns INFO [00048306] Port=1 RD @03 + 48306.50ns INFO [00048308] * RD COMPARE * port=1 adr=03 act=CBB58868F7B6AE7098 exp=CBB58868F7B6AE7098 + 48308.50ns INFO [00048310] Port=0 WR @04=B6DF9995592553AB46 + 48308.50ns INFO [00048310] Port=0 RD @02 + 48308.50ns INFO [00048310] Port=1 RD @03 + 48309.50ns INFO [00048311] Port=0 RD @06 + 48310.50ns INFO [00048312] * RD COMPARE * port=0 adr=02 act=DD1639A85A8204AB3A exp=DD1639A85A8204AB3A + 48310.50ns INFO [00048312] * RD COMPARE * port=1 adr=03 act=CBB58868F7B6AE7098 exp=CBB58868F7B6AE7098 + 48310.50ns INFO [00048312] Port=0 RD @04 + 48311.50ns INFO [00048313] * RD COMPARE * port=0 adr=06 act=DEEA1315E3F5931A86 exp=DEEA1315E3F5931A86 + 48312.50ns INFO [00048314] * RD COMPARE * port=0 adr=04 act=B6DF9995592553AB46 exp=B6DF9995592553AB46 + 48312.50ns INFO [00048314] Port=0 WR @00=A073BAAA6B8EDFB6FC + 48312.50ns INFO [00048314] Port=0 RD @07 + 48312.50ns INFO [00048314] Port=1 RD @01 + 48313.50ns INFO [00048315] Port=0 WR @07=776BD741EC56668151 + 48314.50ns INFO [00048316] * RD COMPARE * port=0 adr=07 act=2D7EEB9B44D27907DE exp=2D7EEB9B44D27907DE + 48314.50ns INFO [00048316] * RD COMPARE * port=1 adr=01 act=9AF5ADC2918762661C exp=9AF5ADC2918762661C + 48315.50ns INFO [00048317] Port=1 RD @01 + 48316.50ns INFO [00048318] Port=0 WR @00=708860F13DDA1E9971 + 48316.50ns INFO [00048318] Port=1 RD @01 + 48317.50ns INFO [00048319] * RD COMPARE * port=1 adr=01 act=9AF5ADC2918762661C exp=9AF5ADC2918762661C + 48317.50ns INFO [00048319] Port=0 RD @05 + 48318.50ns INFO [00048320] * RD COMPARE * port=1 adr=01 act=9AF5ADC2918762661C exp=9AF5ADC2918762661C + 48319.50ns INFO [00048321] * RD COMPARE * port=0 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48321.50ns INFO [00048323] Port=0 WR @07=F2A96B994188BBA186 + 48323.50ns INFO [00048325] Port=0 WR @00=3BE16309F530216FB7 + 48323.50ns INFO [00048325] Port=1 RD @02 + 48324.50ns INFO [00048326] Port=0 WR @03=D22A73AD14F276013A + 48325.50ns INFO [00048327] * RD COMPARE * port=1 adr=02 act=DD1639A85A8204AB3A exp=DD1639A85A8204AB3A + 48326.50ns INFO [00048328] Port=0 WR @00=2B18579EB6A56BFDA4 + 48326.50ns INFO [00048328] Port=0 RD @07 + 48327.50ns INFO [00048329] Port=1 RD @07 + 48328.50ns INFO [00048330] * RD COMPARE * port=0 adr=07 act=F2A96B994188BBA186 exp=F2A96B994188BBA186 + 48328.50ns INFO [00048330] Port=0 WR @00=498F4C03269C393429 + 48329.50ns INFO [00048331] * RD COMPARE * port=1 adr=07 act=F2A96B994188BBA186 exp=F2A96B994188BBA186 + 48329.50ns INFO [00048331] Port=0 WR @02=39139103ACC1B5EFC7 + 48329.50ns INFO [00048331] Port=1 RD @01 + 48330.50ns INFO [00048332] Port=0 WR @04=F310D439EEECFAE8D7 + 48331.50ns INFO [00048333] * RD COMPARE * port=1 adr=01 act=9AF5ADC2918762661C exp=9AF5ADC2918762661C + 48331.50ns INFO [00048333] Port=0 WR @06=99F1F086D57B1C098E + 48331.50ns INFO [00048333] Port=0 RD @04 + 48332.50ns INFO [00048334] Port=0 RD @01 + 48332.50ns INFO [00048334] Port=1 RD @05 + 48333.50ns INFO [00048335] * RD COMPARE * port=0 adr=04 act=F310D439EEECFAE8D7 exp=F310D439EEECFAE8D7 + 48333.50ns INFO [00048335] Port=0 WR @03=99C39D6898BCE32097 + 48333.50ns INFO [00048335] Port=1 RD @07 + 48334.50ns INFO [00048336] * RD COMPARE * port=0 adr=01 act=9AF5ADC2918762661C exp=9AF5ADC2918762661C + 48334.50ns INFO [00048336] * RD COMPARE * port=1 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48334.50ns INFO [00048336] Port=0 RD @04 + 48334.50ns INFO [00048336] Port=1 RD @07 + 48335.50ns INFO [00048337] * RD COMPARE * port=1 adr=07 act=F2A96B994188BBA186 exp=F2A96B994188BBA186 + 48335.50ns INFO [00048337] Port=0 WR @00=A06FD03EAB5AAE5B93 + 48335.50ns INFO [00048337] Port=1 RD @01 + 48336.50ns INFO [00048338] * RD COMPARE * port=0 adr=04 act=F310D439EEECFAE8D7 exp=F310D439EEECFAE8D7 + 48336.50ns INFO [00048338] * RD COMPARE * port=1 adr=07 act=F2A96B994188BBA186 exp=F2A96B994188BBA186 + 48337.50ns INFO [00048339] * RD COMPARE * port=1 adr=01 act=9AF5ADC2918762661C exp=9AF5ADC2918762661C + 48337.50ns INFO [00048339] Port=0 RD @06 + 48339.50ns INFO [00048341] * RD COMPARE * port=0 adr=06 act=99F1F086D57B1C098E exp=99F1F086D57B1C098E + 48339.50ns INFO [00048341] Port=1 RD @07 + 48340.50ns INFO [00048342] Port=0 WR @01=DB8497927D1109395A + 48340.50ns INFO [00048342] Port=0 RD @04 + 48341.50ns INFO [00048343] * RD COMPARE * port=1 adr=07 act=F2A96B994188BBA186 exp=F2A96B994188BBA186 + 48341.50ns INFO [00048343] Port=1 RD @05 + 48342.50ns INFO [00048344] * RD COMPARE * port=0 adr=04 act=F310D439EEECFAE8D7 exp=F310D439EEECFAE8D7 + 48342.50ns INFO [00048344] Port=0 WR @01=97D539158A5B7AA080 + 48343.50ns INFO [00048345] * RD COMPARE * port=1 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48344.50ns INFO [00048346] Port=0 RD @04 + 48344.50ns INFO [00048346] Port=1 RD @00 + 48346.50ns INFO [00048348] * RD COMPARE * port=0 adr=04 act=F310D439EEECFAE8D7 exp=F310D439EEECFAE8D7 + 48346.50ns INFO [00048348] * RD COMPARE * port=1 adr=00 act=A06FD03EAB5AAE5B93 exp=A06FD03EAB5AAE5B93 + 48346.50ns INFO [00048348] Port=0 RD @05 + 48347.50ns INFO [00048349] Port=1 RD @03 + 48348.50ns INFO [00048350] * RD COMPARE * port=0 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48349.50ns INFO [00048351] * RD COMPARE * port=1 adr=03 act=99C39D6898BCE32097 exp=99C39D6898BCE32097 + 48349.50ns INFO [00048351] Port=0 WR @00=3778ED4D8F7B86F580 + 48350.50ns INFO [00048352] Port=0 WR @00=82E1DFC87976B780E2 + 48351.50ns INFO [00048353] Port=0 WR @02=3BF0BE93512CF55E03 + 48351.50ns INFO [00048353] Port=1 RD @01 + 48353.50ns INFO [00048355] * RD COMPARE * port=1 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48353.50ns INFO [00048355] Port=0 WR @06=8473FF34EA39CA6A60 + 48353.50ns INFO [00048355] Port=0 RD @01 + 48353.50ns INFO [00048355] Port=1 RD @00 + 48354.50ns INFO [00048356] Port=0 RD @06 + 48354.50ns INFO [00048356] Port=1 RD @04 + 48355.50ns INFO [00048357] * RD COMPARE * port=0 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48355.50ns INFO [00048357] * RD COMPARE * port=1 adr=00 act=82E1DFC87976B780E2 exp=82E1DFC87976B780E2 + 48355.50ns INFO [00048357] Port=0 WR @00=36B415FAA74B97303E + 48355.50ns INFO [00048357] Port=1 RD @03 + 48356.50ns INFO [00048358] * RD COMPARE * port=0 adr=06 act=8473FF34EA39CA6A60 exp=8473FF34EA39CA6A60 + 48356.50ns INFO [00048358] * RD COMPARE * port=1 adr=04 act=F310D439EEECFAE8D7 exp=F310D439EEECFAE8D7 + 48356.50ns INFO [00048358] Port=0 RD @06 + 48356.50ns INFO [00048358] Port=1 RD @05 + 48357.50ns INFO [00048359] * RD COMPARE * port=1 adr=03 act=99C39D6898BCE32097 exp=99C39D6898BCE32097 + 48357.50ns INFO [00048359] Port=0 RD @00 + 48357.50ns INFO [00048359] Port=1 RD @04 + 48358.50ns INFO [00048360] * RD COMPARE * port=0 adr=06 act=8473FF34EA39CA6A60 exp=8473FF34EA39CA6A60 + 48358.50ns INFO [00048360] * RD COMPARE * port=1 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48358.50ns INFO [00048360] Port=0 WR @07=8896D2CF17BDD1458A + 48359.50ns INFO [00048361] * RD COMPARE * port=0 adr=00 act=36B415FAA74B97303E exp=36B415FAA74B97303E + 48359.50ns INFO [00048361] * RD COMPARE * port=1 adr=04 act=F310D439EEECFAE8D7 exp=F310D439EEECFAE8D7 + 48359.50ns INFO [00048361] Port=0 WR @07=C4802C03ACB921AD82 + 48359.50ns INFO [00048361] Port=0 RD @05 + 48360.50ns INFO [00048362] Port=0 RD @05 + 48361.50ns INFO [00048363] * RD COMPARE * port=0 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48362.50ns INFO [00048364] * RD COMPARE * port=0 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48362.50ns INFO [00048364] Port=0 RD @01 + 48363.50ns INFO [00048365] Port=1 RD @00 + 48364.50ns INFO [00048366] * RD COMPARE * port=0 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48364.50ns INFO [00048366] Port=0 WR @04=17157B39302D332C5A + 48364.50ns INFO [00048366] Port=1 RD @06 + 48365.50ns INFO [00048367] * RD COMPARE * port=1 adr=00 act=36B415FAA74B97303E exp=36B415FAA74B97303E + 48365.50ns INFO [00048367] Port=0 RD @00 + 48366.50ns INFO [00048368] * RD COMPARE * port=1 adr=06 act=8473FF34EA39CA6A60 exp=8473FF34EA39CA6A60 + 48366.50ns INFO [00048368] Port=0 RD @01 + 48366.50ns INFO [00048368] Port=1 RD @06 + 48367.50ns INFO [00048369] * RD COMPARE * port=0 adr=00 act=36B415FAA74B97303E exp=36B415FAA74B97303E + 48367.50ns INFO [00048369] Port=0 WR @03=93AC4DF488C96D4103 + 48368.50ns INFO [00048370] * RD COMPARE * port=0 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48368.50ns INFO [00048370] * RD COMPARE * port=1 adr=06 act=8473FF34EA39CA6A60 exp=8473FF34EA39CA6A60 + 48370.50ns INFO [00048372] Port=0 WR @02=E5DAE25FCA75D1B2EE + 48370.50ns INFO [00048372] Port=1 RD @03 + 48371.50ns INFO [00048373] Port=0 WR @07=1F8715531ECEFA589D + 48371.50ns INFO [00048373] Port=0 RD @02 + 48371.50ns INFO [00048373] Port=1 RD @05 + 48372.50ns INFO [00048374] * RD COMPARE * port=1 adr=03 act=93AC4DF488C96D4103 exp=93AC4DF488C96D4103 + 48373.50ns INFO [00048375] * RD COMPARE * port=0 adr=02 act=E5DAE25FCA75D1B2EE exp=E5DAE25FCA75D1B2EE + 48373.50ns INFO [00048375] * RD COMPARE * port=1 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48376.50ns INFO [00048378] Port=0 WR @06=90C8DFCF053FA65B8C + 48376.50ns INFO [00048378] Port=0 RD @03 + 48376.50ns INFO [00048378] Port=1 RD @05 + 48377.50ns INFO [00048379] Port=1 RD @00 + 48378.50ns INFO [00048380] * RD COMPARE * port=0 adr=03 act=93AC4DF488C96D4103 exp=93AC4DF488C96D4103 + 48378.50ns INFO [00048380] * RD COMPARE * port=1 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48378.50ns INFO [00048380] Port=1 RD @02 + 48379.50ns INFO [00048381] * RD COMPARE * port=1 adr=00 act=36B415FAA74B97303E exp=36B415FAA74B97303E + 48380.50ns INFO [00048382] * RD COMPARE * port=1 adr=02 act=E5DAE25FCA75D1B2EE exp=E5DAE25FCA75D1B2EE + 48380.50ns INFO [00048382] Port=1 RD @02 + 48381.50ns INFO [00048383] Port=1 RD @04 + 48382.50ns INFO [00048384] * RD COMPARE * port=1 adr=02 act=E5DAE25FCA75D1B2EE exp=E5DAE25FCA75D1B2EE + 48382.50ns INFO [00048384] Port=0 RD @05 + 48383.50ns INFO [00048385] * RD COMPARE * port=1 adr=04 act=17157B39302D332C5A exp=17157B39302D332C5A + 48384.50ns INFO [00048386] * RD COMPARE * port=0 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48384.50ns INFO [00048386] Port=0 WR @02=7AAC7FAB95CB685C87 + 48384.50ns INFO [00048386] Port=1 RD @07 + 48385.50ns INFO [00048387] Port=1 RD @00 + 48386.50ns INFO [00048388] * RD COMPARE * port=1 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48386.50ns INFO [00048388] Port=0 RD @02 + 48387.50ns INFO [00048389] * RD COMPARE * port=1 adr=00 act=36B415FAA74B97303E exp=36B415FAA74B97303E + 48387.50ns INFO [00048389] Port=0 WR @00=E0F0A06E451D9AEC25 + 48387.50ns INFO [00048389] Port=0 RD @03 + 48388.50ns INFO [00048390] * RD COMPARE * port=0 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48388.50ns INFO [00048390] Port=0 RD @03 + 48389.50ns INFO [00048391] * RD COMPARE * port=0 adr=03 act=93AC4DF488C96D4103 exp=93AC4DF488C96D4103 + 48389.50ns INFO [00048391] Port=0 RD @07 + 48390.50ns INFO [00048392] * RD COMPARE * port=0 adr=03 act=93AC4DF488C96D4103 exp=93AC4DF488C96D4103 + 48390.50ns INFO [00048392] Port=0 RD @05 + 48390.50ns INFO [00048392] Port=1 RD @04 + 48391.50ns INFO [00048393] * RD COMPARE * port=0 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48391.50ns INFO [00048393] Port=0 WR @04=FA7406B506C26D4A89 + 48391.50ns INFO [00048393] Port=1 RD @00 + 48392.50ns INFO [00048394] * RD COMPARE * port=0 adr=05 act=6930D6C5EC56E8ED51 exp=6930D6C5EC56E8ED51 + 48392.50ns INFO [00048394] * RD COMPARE * port=1 adr=04 act=17157B39302D332C5A exp=17157B39302D332C5A + 48392.50ns INFO [00048394] Port=0 WR @00=ECE58BF505B2DEB2F4 + 48392.50ns INFO [00048394] Port=0 RD @02 + 48393.50ns INFO [00048395] * RD COMPARE * port=1 adr=00 act=E0F0A06E451D9AEC25 exp=E0F0A06E451D9AEC25 + 48393.50ns INFO [00048395] Port=0 RD @00 + 48394.50ns INFO [00048396] * RD COMPARE * port=0 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48394.50ns INFO [00048396] Port=0 WR @05=007D29D1128B934A3D + 48394.50ns INFO [00048396] Port=0 RD @00 + 48394.50ns INFO [00048396] Port=1 RD @01 + 48395.50ns INFO [00048397] * RD COMPARE * port=0 adr=00 act=ECE58BF505B2DEB2F4 exp=ECE58BF505B2DEB2F4 + 48395.50ns INFO [00048397] Port=0 RD @02 + 48396.50ns INFO [00048398] * RD COMPARE * port=0 adr=00 act=ECE58BF505B2DEB2F4 exp=ECE58BF505B2DEB2F4 + 48396.50ns INFO [00048398] * RD COMPARE * port=1 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48396.50ns INFO [00048398] Port=0 WR @05=75EB6B28F3C653C0F4 + 48396.50ns INFO [00048398] Port=0 RD @01 + 48397.50ns INFO [00048399] * RD COMPARE * port=0 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48397.50ns INFO [00048399] Port=0 WR @05=0D92D5009F8B1B6104 + 48398.00ns INFO [00048400] [00048400] ...tick... + 48398.50ns INFO [00048400] * RD COMPARE * port=0 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48398.50ns INFO [00048400] Port=0 RD @07 + 48400.50ns INFO [00048402] * RD COMPARE * port=0 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48400.50ns INFO [00048402] Port=0 RD @01 + 48401.50ns INFO [00048403] Port=0 RD @07 + 48402.50ns INFO [00048404] * RD COMPARE * port=0 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48403.50ns INFO [00048405] * RD COMPARE * port=0 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48403.50ns INFO [00048405] Port=1 RD @05 + 48404.50ns INFO [00048406] Port=0 WR @03=39090CE296A2E85776 + 48404.50ns INFO [00048406] Port=0 RD @07 + 48404.50ns INFO [00048406] Port=1 RD @05 + 48405.50ns INFO [00048407] * RD COMPARE * port=1 adr=05 act=0D92D5009F8B1B6104 exp=0D92D5009F8B1B6104 + 48405.50ns INFO [00048407] Port=1 RD @07 + 48406.50ns INFO [00048408] * RD COMPARE * port=0 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48406.50ns INFO [00048408] * RD COMPARE * port=1 adr=05 act=0D92D5009F8B1B6104 exp=0D92D5009F8B1B6104 + 48406.50ns INFO [00048408] Port=1 RD @02 + 48407.50ns INFO [00048409] * RD COMPARE * port=1 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48407.50ns INFO [00048409] Port=0 RD @07 + 48408.50ns INFO [00048410] * RD COMPARE * port=1 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48409.50ns INFO [00048411] * RD COMPARE * port=0 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48409.50ns INFO [00048411] Port=0 WR @04=4C50C61AC141F65F3A + 48409.50ns INFO [00048411] Port=0 RD @03 + 48410.50ns INFO [00048412] Port=1 RD @01 + 48411.50ns INFO [00048413] * RD COMPARE * port=0 adr=03 act=39090CE296A2E85776 exp=39090CE296A2E85776 + 48412.50ns INFO [00048414] * RD COMPARE * port=1 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48415.50ns INFO [00048417] Port=0 RD @05 + 48416.50ns INFO [00048418] Port=0 RD @01 + 48416.50ns INFO [00048418] Port=1 RD @00 + 48417.50ns INFO [00048419] * RD COMPARE * port=0 adr=05 act=0D92D5009F8B1B6104 exp=0D92D5009F8B1B6104 + 48418.50ns INFO [00048420] * RD COMPARE * port=0 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48418.50ns INFO [00048420] * RD COMPARE * port=1 adr=00 act=ECE58BF505B2DEB2F4 exp=ECE58BF505B2DEB2F4 + 48418.50ns INFO [00048420] Port=1 RD @03 + 48419.50ns INFO [00048421] Port=0 WR @05=9698CB4CAB402664BB + 48420.50ns INFO [00048422] * RD COMPARE * port=1 adr=03 act=39090CE296A2E85776 exp=39090CE296A2E85776 + 48420.50ns INFO [00048422] Port=0 WR @05=76C1276A409698F2FC + 48420.50ns INFO [00048422] Port=1 RD @02 + 48421.50ns INFO [00048423] Port=0 RD @00 + 48422.50ns INFO [00048424] * RD COMPARE * port=1 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48422.50ns INFO [00048424] Port=1 RD @05 + 48423.50ns INFO [00048425] * RD COMPARE * port=0 adr=00 act=ECE58BF505B2DEB2F4 exp=ECE58BF505B2DEB2F4 + 48424.50ns INFO [00048426] * RD COMPARE * port=1 adr=05 act=76C1276A409698F2FC exp=76C1276A409698F2FC + 48424.50ns INFO [00048426] Port=0 RD @03 + 48425.50ns INFO [00048427] Port=1 RD @03 + 48426.50ns INFO [00048428] * RD COMPARE * port=0 adr=03 act=39090CE296A2E85776 exp=39090CE296A2E85776 + 48426.50ns INFO [00048428] Port=0 WR @05=0D2735E4FBD1DDF526 + 48426.50ns INFO [00048428] Port=0 RD @02 + 48426.50ns INFO [00048428] Port=1 RD @01 + 48427.50ns INFO [00048429] * RD COMPARE * port=1 adr=03 act=39090CE296A2E85776 exp=39090CE296A2E85776 + 48427.50ns INFO [00048429] Port=0 WR @00=7047D9887CEBE81AB9 + 48427.50ns INFO [00048429] Port=0 RD @02 + 48428.50ns INFO [00048430] * RD COMPARE * port=0 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48428.50ns INFO [00048430] * RD COMPARE * port=1 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48428.50ns INFO [00048430] Port=0 WR @06=D12DEA359DD6FB7BB9 + 48428.50ns INFO [00048430] Port=0 RD @05 + 48428.50ns INFO [00048430] Port=1 RD @02 + 48429.50ns INFO [00048431] * RD COMPARE * port=0 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48429.50ns INFO [00048431] Port=0 RD @03 + 48430.50ns INFO [00048432] * RD COMPARE * port=0 adr=05 act=0D2735E4FBD1DDF526 exp=0D2735E4FBD1DDF526 + 48430.50ns INFO [00048432] * RD COMPARE * port=1 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48430.50ns INFO [00048432] Port=0 WR @03=B05D1FF337616175EA + 48430.50ns INFO [00048432] Port=1 RD @02 + 48431.50ns INFO [00048433] * RD COMPARE * port=0 adr=03 act=39090CE296A2E85776 exp=39090CE296A2E85776 + 48432.50ns INFO [00048434] * RD COMPARE * port=1 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48432.50ns INFO [00048434] Port=0 WR @04=F958B28A438E31DF90 + 48432.50ns INFO [00048434] Port=0 RD @01 + 48432.50ns INFO [00048434] Port=1 RD @00 + 48433.50ns INFO [00048435] Port=0 RD @07 + 48434.50ns INFO [00048436] * RD COMPARE * port=0 adr=01 act=97D539158A5B7AA080 exp=97D539158A5B7AA080 + 48434.50ns INFO [00048436] * RD COMPARE * port=1 adr=00 act=7047D9887CEBE81AB9 exp=7047D9887CEBE81AB9 + 48435.50ns INFO [00048437] * RD COMPARE * port=0 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48436.50ns INFO [00048438] Port=0 RD @05 + 48437.50ns INFO [00048439] Port=0 WR @03=39769E626CBC29EE1B + 48437.50ns INFO [00048439] Port=1 RD @00 + 48438.50ns INFO [00048440] * RD COMPARE * port=0 adr=05 act=0D2735E4FBD1DDF526 exp=0D2735E4FBD1DDF526 + 48438.50ns INFO [00048440] Port=0 WR @04=6F6945066253291F50 + 48438.50ns INFO [00048440] Port=0 RD @02 + 48439.50ns INFO [00048441] * RD COMPARE * port=1 adr=00 act=7047D9887CEBE81AB9 exp=7047D9887CEBE81AB9 + 48439.50ns INFO [00048441] Port=1 RD @04 + 48440.50ns INFO [00048442] * RD COMPARE * port=0 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48440.50ns INFO [00048442] Port=0 WR @05=42D4730802380019EB + 48440.50ns INFO [00048442] Port=1 RD @03 + 48441.50ns INFO [00048443] * RD COMPARE * port=1 adr=04 act=6F6945066253291F50 exp=6F6945066253291F50 + 48441.50ns INFO [00048443] Port=0 WR @01=C4C2C350085A31E5D1 + 48441.50ns INFO [00048443] Port=1 RD @02 + 48442.50ns INFO [00048444] * RD COMPARE * port=1 adr=03 act=39769E626CBC29EE1B exp=39769E626CBC29EE1B + 48443.50ns INFO [00048445] * RD COMPARE * port=1 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48443.50ns INFO [00048445] Port=1 RD @06 + 48444.50ns INFO [00048446] Port=0 WR @01=91AE8709ECE8B1F631 + 48444.50ns INFO [00048446] Port=0 RD @05 + 48444.50ns INFO [00048446] Port=1 RD @07 + 48445.50ns INFO [00048447] * RD COMPARE * port=1 adr=06 act=D12DEA359DD6FB7BB9 exp=D12DEA359DD6FB7BB9 + 48445.50ns INFO [00048447] Port=0 RD @00 + 48445.50ns INFO [00048447] Port=1 RD @04 + 48446.50ns INFO [00048448] * RD COMPARE * port=0 adr=05 act=42D4730802380019EB exp=42D4730802380019EB + 48446.50ns INFO [00048448] * RD COMPARE * port=1 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48446.50ns INFO [00048448] Port=0 WR @04=A3E16447F42C743E21 + 48447.50ns INFO [00048449] * RD COMPARE * port=0 adr=00 act=7047D9887CEBE81AB9 exp=7047D9887CEBE81AB9 + 48447.50ns INFO [00048449] * RD COMPARE * port=1 adr=04 act=6F6945066253291F50 exp=6F6945066253291F50 + 48447.50ns INFO [00048449] Port=0 WR @03=758745E903DCEB6C27 + 48447.50ns INFO [00048449] Port=1 RD @06 + 48448.50ns INFO [00048450] Port=1 RD @04 + 48449.50ns INFO [00048451] * RD COMPARE * port=1 adr=06 act=D12DEA359DD6FB7BB9 exp=D12DEA359DD6FB7BB9 + 48450.50ns INFO [00048452] * RD COMPARE * port=1 adr=04 act=A3E16447F42C743E21 exp=A3E16447F42C743E21 + 48451.50ns INFO [00048453] Port=0 WR @01=86188802EC29E7B870 + 48453.50ns INFO [00048455] Port=0 WR @05=580431B2334BA840D5 + 48453.50ns INFO [00048455] Port=1 RD @00 + 48454.50ns INFO [00048456] Port=0 RD @07 + 48455.50ns INFO [00048457] * RD COMPARE * port=1 adr=00 act=7047D9887CEBE81AB9 exp=7047D9887CEBE81AB9 + 48456.50ns INFO [00048458] * RD COMPARE * port=0 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48456.50ns INFO [00048458] Port=0 RD @06 + 48456.50ns INFO [00048458] Port=1 RD @07 + 48458.50ns INFO [00048460] * RD COMPARE * port=0 adr=06 act=D12DEA359DD6FB7BB9 exp=D12DEA359DD6FB7BB9 + 48458.50ns INFO [00048460] * RD COMPARE * port=1 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48458.50ns INFO [00048460] Port=1 RD @04 + 48459.50ns INFO [00048461] Port=0 WR @06=B1243D37C5D1F25D47 + 48460.50ns INFO [00048462] * RD COMPARE * port=1 adr=04 act=A3E16447F42C743E21 exp=A3E16447F42C743E21 + 48460.50ns INFO [00048462] Port=0 WR @06=FAB56A417475216561 + 48461.50ns INFO [00048463] Port=0 RD @06 + 48462.50ns INFO [00048464] Port=0 RD @03 + 48463.50ns INFO [00048465] * RD COMPARE * port=0 adr=06 act=FAB56A417475216561 exp=FAB56A417475216561 + 48463.50ns INFO [00048465] Port=0 WR @01=EFE999E42B49E5906E + 48463.50ns INFO [00048465] Port=0 RD @05 + 48464.50ns INFO [00048466] * RD COMPARE * port=0 adr=03 act=758745E903DCEB6C27 exp=758745E903DCEB6C27 + 48464.50ns INFO [00048466] Port=0 RD @03 + 48464.50ns INFO [00048466] Port=1 RD @05 + 48465.50ns INFO [00048467] * RD COMPARE * port=0 adr=05 act=580431B2334BA840D5 exp=580431B2334BA840D5 + 48466.50ns INFO [00048468] * RD COMPARE * port=0 adr=03 act=758745E903DCEB6C27 exp=758745E903DCEB6C27 + 48466.50ns INFO [00048468] * RD COMPARE * port=1 adr=05 act=580431B2334BA840D5 exp=580431B2334BA840D5 + 48466.50ns INFO [00048468] Port=0 WR @00=9DDCF4A53182226E5F + 48466.50ns INFO [00048468] Port=0 RD @07 + 48468.50ns INFO [00048470] * RD COMPARE * port=0 adr=07 act=1F8715531ECEFA589D exp=1F8715531ECEFA589D + 48469.50ns INFO [00048471] Port=0 RD @00 + 48469.50ns INFO [00048471] Port=1 RD @06 + 48470.50ns INFO [00048472] Port=0 WR @07=23D2B7219A2EF04779 + 48471.50ns INFO [00048473] * RD COMPARE * port=0 adr=00 act=9DDCF4A53182226E5F exp=9DDCF4A53182226E5F + 48471.50ns INFO [00048473] * RD COMPARE * port=1 adr=06 act=FAB56A417475216561 exp=FAB56A417475216561 + 48471.50ns INFO [00048473] Port=1 RD @03 + 48472.50ns INFO [00048474] Port=0 RD @00 + 48473.50ns INFO [00048475] * RD COMPARE * port=1 adr=03 act=758745E903DCEB6C27 exp=758745E903DCEB6C27 + 48473.50ns INFO [00048475] Port=0 WR @06=31A2ADCE799A2ABEDC + 48473.50ns INFO [00048475] Port=0 RD @05 + 48474.50ns INFO [00048476] * RD COMPARE * port=0 adr=00 act=9DDCF4A53182226E5F exp=9DDCF4A53182226E5F + 48474.50ns INFO [00048476] Port=0 WR @01=72BA279C172D56A8AA + 48474.50ns INFO [00048476] Port=0 RD @04 + 48474.50ns INFO [00048476] Port=1 RD @05 + 48475.50ns INFO [00048477] * RD COMPARE * port=0 adr=05 act=580431B2334BA840D5 exp=580431B2334BA840D5 + 48476.50ns INFO [00048478] * RD COMPARE * port=0 adr=04 act=A3E16447F42C743E21 exp=A3E16447F42C743E21 + 48476.50ns INFO [00048478] * RD COMPARE * port=1 adr=05 act=580431B2334BA840D5 exp=580431B2334BA840D5 + 48477.50ns INFO [00048479] Port=0 WR @03=686D1D4FBB2C3BA469 + 48478.50ns INFO [00048480] Port=0 WR @03=FAB099B98939564E2F + 48479.50ns INFO [00048481] Port=0 RD @02 + 48480.50ns INFO [00048482] Port=0 RD @05 + 48481.50ns INFO [00048483] * RD COMPARE * port=0 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48481.50ns INFO [00048483] Port=1 RD @05 + 48482.50ns INFO [00048484] * RD COMPARE * port=0 adr=05 act=580431B2334BA840D5 exp=580431B2334BA840D5 + 48482.50ns INFO [00048484] Port=0 WR @05=A496ACE09AFB7B8DEA + 48483.50ns INFO [00048485] * RD COMPARE * port=1 adr=05 act=580431B2334BA840D5 exp=580431B2334BA840D5 + 48483.50ns INFO [00048485] Port=0 RD @02 + 48483.50ns INFO [00048485] Port=1 RD @02 + 48484.50ns INFO [00048486] Port=0 RD @04 + 48485.50ns INFO [00048487] * RD COMPARE * port=0 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48485.50ns INFO [00048487] * RD COMPARE * port=1 adr=02 act=7AAC7FAB95CB685C87 exp=7AAC7FAB95CB685C87 + 48486.50ns INFO [00048488] * RD COMPARE * port=0 adr=04 act=A3E16447F42C743E21 exp=A3E16447F42C743E21 + 48486.50ns INFO [00048488] Port=0 RD @04 + 48486.50ns INFO [00048488] Port=1 RD @06 + 48487.50ns INFO [00048489] Port=1 RD @01 + 48488.50ns INFO [00048490] * RD COMPARE * port=0 adr=04 act=A3E16447F42C743E21 exp=A3E16447F42C743E21 + 48488.50ns INFO [00048490] * RD COMPARE * port=1 adr=06 act=31A2ADCE799A2ABEDC exp=31A2ADCE799A2ABEDC + 48489.50ns INFO [00048491] * RD COMPARE * port=1 adr=01 act=72BA279C172D56A8AA exp=72BA279C172D56A8AA + 48489.50ns INFO [00048491] Port=0 WR @02=B5FEACAEFDFB71C13A + 48489.50ns INFO [00048491] Port=0 RD @00 + 48489.50ns INFO [00048491] Port=1 RD @05 + 48490.50ns INFO [00048492] Port=0 WR @07=2CC5D3E0761A00B562 + 48491.50ns INFO [00048493] * RD COMPARE * port=0 adr=00 act=9DDCF4A53182226E5F exp=9DDCF4A53182226E5F + 48491.50ns INFO [00048493] * RD COMPARE * port=1 adr=05 act=A496ACE09AFB7B8DEA exp=A496ACE09AFB7B8DEA + 48491.50ns INFO [00048493] Port=0 RD @02 + 48492.50ns INFO [00048494] Port=0 RD @06 + 48493.50ns INFO [00048495] * RD COMPARE * port=0 adr=02 act=B5FEACAEFDFB71C13A exp=B5FEACAEFDFB71C13A + 48493.50ns INFO [00048495] Port=0 WR @03=4DF15568CAB286558B + 48493.50ns INFO [00048495] Port=1 RD @01 + 48494.50ns INFO [00048496] * RD COMPARE * port=0 adr=06 act=31A2ADCE799A2ABEDC exp=31A2ADCE799A2ABEDC + 48494.50ns INFO [00048496] Port=0 RD @03 + 48494.50ns INFO [00048496] Port=1 RD @01 + 48495.50ns INFO [00048497] * RD COMPARE * port=1 adr=01 act=72BA279C172D56A8AA exp=72BA279C172D56A8AA + 48495.50ns INFO [00048497] Port=0 WR @06=2D2433BFB86A594954 + 48495.50ns INFO [00048497] Port=1 RD @05 + 48496.50ns INFO [00048498] * RD COMPARE * port=0 adr=03 act=4DF15568CAB286558B exp=4DF15568CAB286558B + 48496.50ns INFO [00048498] * RD COMPARE * port=1 adr=01 act=72BA279C172D56A8AA exp=72BA279C172D56A8AA + 48496.50ns INFO [00048498] Port=0 RD @00 + 48496.50ns INFO [00048498] Port=1 RD @00 + 48497.50ns INFO [00048499] * RD COMPARE * port=1 adr=05 act=A496ACE09AFB7B8DEA exp=A496ACE09AFB7B8DEA + 48497.50ns INFO [00048499] Port=0 WR @06=BCFD9E537E57187B2B + 48497.50ns INFO [00048499] Port=1 RD @04 + 48498.00ns INFO [00048500] [00048500] ...tick... + 48498.50ns INFO [00048500] * RD COMPARE * port=0 adr=00 act=9DDCF4A53182226E5F exp=9DDCF4A53182226E5F + 48498.50ns INFO [00048500] * RD COMPARE * port=1 adr=00 act=9DDCF4A53182226E5F exp=9DDCF4A53182226E5F + 48498.50ns INFO [00048500] Port=1 RD @06 + 48499.50ns INFO [00048501] * RD COMPARE * port=1 adr=04 act=A3E16447F42C743E21 exp=A3E16447F42C743E21 + 48499.50ns INFO [00048501] Port=0 WR @03=28B2E4202FA01E0EA7 + 48499.50ns INFO [00048501] Port=1 RD @07 + 48500.50ns INFO [00048502] * RD COMPARE * port=1 adr=06 act=BCFD9E537E57187B2B exp=BCFD9E537E57187B2B + 48500.50ns INFO [00048502] Port=0 WR @01=5C0C3B03187384289F + 48500.50ns INFO [00048502] Port=0 RD @06 + 48500.50ns INFO [00048502] Port=1 RD @04 + 48501.50ns INFO [00048503] * RD COMPARE * port=1 adr=07 act=2CC5D3E0761A00B562 exp=2CC5D3E0761A00B562 + 48501.50ns INFO [00048503] Port=0 WR @06=B500BB2C0160237801 + 48502.50ns INFO [00048504] * RD COMPARE * port=0 adr=06 act=BCFD9E537E57187B2B exp=BCFD9E537E57187B2B + 48502.50ns INFO [00048504] * RD COMPARE * port=1 adr=04 act=A3E16447F42C743E21 exp=A3E16447F42C743E21 + 48502.50ns INFO [00048504] Port=0 RD @07 + 48502.50ns INFO [00048504] Port=1 RD @04 + 48503.50ns INFO [00048505] Port=0 WR @07=D8DE765BB7AA73FF09 + 48504.50ns INFO [00048506] * RD COMPARE * port=0 adr=07 act=2CC5D3E0761A00B562 exp=2CC5D3E0761A00B562 + 48504.50ns INFO [00048506] * RD COMPARE * port=1 adr=04 act=A3E16447F42C743E21 exp=A3E16447F42C743E21 + 48507.50ns INFO [00048509] Port=0 WR @06=E9FE43D47677A83B39 + 48507.50ns INFO [00048509] Port=0 RD @05 + 48508.50ns INFO [00048510] Port=0 WR @04=52FE553113A5AA94B6 + 48508.50ns INFO [00048510] Port=0 RD @03 + 48509.50ns INFO [00048511] * RD COMPARE * port=0 adr=05 act=A496ACE09AFB7B8DEA exp=A496ACE09AFB7B8DEA + 48510.50ns INFO [00048512] * RD COMPARE * port=0 adr=03 act=28B2E4202FA01E0EA7 exp=28B2E4202FA01E0EA7 + 48510.50ns INFO [00048512] Port=0 WR @05=256FA0A68C93BA6AF2 + 48511.50ns INFO [00048513] Port=0 RD @06 + 48512.50ns INFO [00048514] Port=0 WR @06=0E1D4DCB58475110C9 + 48513.50ns INFO [00048515] * RD COMPARE * port=0 adr=06 act=E9FE43D47677A83B39 exp=E9FE43D47677A83B39 + 48513.50ns INFO [00048515] Port=1 RD @03 + 48514.50ns INFO [00048516] Port=1 RD @05 + 48515.50ns INFO [00048517] * RD COMPARE * port=1 adr=03 act=28B2E4202FA01E0EA7 exp=28B2E4202FA01E0EA7 + 48515.50ns INFO [00048517] Port=0 RD @00 + 48516.50ns INFO [00048518] * RD COMPARE * port=1 adr=05 act=256FA0A68C93BA6AF2 exp=256FA0A68C93BA6AF2 + 48517.50ns INFO [00048519] * RD COMPARE * port=0 adr=00 act=9DDCF4A53182226E5F exp=9DDCF4A53182226E5F + 48518.50ns INFO [00048520] Port=1 RD @05 + 48519.50ns INFO [00048521] Port=0 WR @02=ED38DBC96C30568E5B + 48520.50ns INFO [00048522] * RD COMPARE * port=1 adr=05 act=256FA0A68C93BA6AF2 exp=256FA0A68C93BA6AF2 + 48520.50ns INFO [00048522] Port=1 RD @02 + 48521.50ns INFO [00048523] Port=0 RD @02 + 48521.50ns INFO [00048523] Port=1 RD @02 + 48522.50ns INFO [00048524] * RD COMPARE * port=1 adr=02 act=ED38DBC96C30568E5B exp=ED38DBC96C30568E5B + 48523.50ns INFO [00048525] * RD COMPARE * port=0 adr=02 act=ED38DBC96C30568E5B exp=ED38DBC96C30568E5B + 48523.50ns INFO [00048525] * RD COMPARE * port=1 adr=02 act=ED38DBC96C30568E5B exp=ED38DBC96C30568E5B + 48523.50ns INFO [00048525] Port=0 RD @04 + 48525.50ns INFO [00048527] * RD COMPARE * port=0 adr=04 act=52FE553113A5AA94B6 exp=52FE553113A5AA94B6 + 48525.50ns INFO [00048527] Port=0 WR @02=B1E7F16C09403FD044 + 48525.50ns INFO [00048527] Port=0 RD @01 + 48525.50ns INFO [00048527] Port=1 RD @06 + 48527.50ns INFO [00048529] * RD COMPARE * port=0 adr=01 act=5C0C3B03187384289F exp=5C0C3B03187384289F + 48527.50ns INFO [00048529] * RD COMPARE * port=1 adr=06 act=0E1D4DCB58475110C9 exp=0E1D4DCB58475110C9 + 48527.50ns INFO [00048529] Port=0 RD @04 + 48527.50ns INFO [00048529] Port=1 RD @01 + 48528.50ns INFO [00048530] Port=0 WR @03=03677CA4F7520F1008 + 48528.50ns INFO [00048530] Port=0 RD @02 + 48529.50ns INFO [00048531] * RD COMPARE * port=0 adr=04 act=52FE553113A5AA94B6 exp=52FE553113A5AA94B6 + 48529.50ns INFO [00048531] * RD COMPARE * port=1 adr=01 act=5C0C3B03187384289F exp=5C0C3B03187384289F + 48529.50ns INFO [00048531] Port=0 WR @06=45537211C549EC8FB9 + 48529.50ns INFO [00048531] Port=1 RD @03 + 48530.50ns INFO [00048532] * RD COMPARE * port=0 adr=02 act=B1E7F16C09403FD044 exp=B1E7F16C09403FD044 + 48530.50ns INFO [00048532] Port=0 RD @04 + 48531.50ns INFO [00048533] * RD COMPARE * port=1 adr=03 act=03677CA4F7520F1008 exp=03677CA4F7520F1008 + 48531.50ns INFO [00048533] Port=0 RD @03 + 48532.50ns INFO [00048534] * RD COMPARE * port=0 adr=04 act=52FE553113A5AA94B6 exp=52FE553113A5AA94B6 + 48532.50ns INFO [00048534] Port=0 RD @02 + 48532.50ns INFO [00048534] Port=1 RD @00 + 48533.50ns INFO [00048535] * RD COMPARE * port=0 adr=03 act=03677CA4F7520F1008 exp=03677CA4F7520F1008 + 48533.50ns INFO [00048535] Port=0 WR @05=933F21858BD285418A + 48534.50ns INFO [00048536] * RD COMPARE * port=0 adr=02 act=B1E7F16C09403FD044 exp=B1E7F16C09403FD044 + 48534.50ns INFO [00048536] * RD COMPARE * port=1 adr=00 act=9DDCF4A53182226E5F exp=9DDCF4A53182226E5F + 48534.50ns INFO [00048536] Port=0 RD @06 + 48534.50ns INFO [00048536] Port=1 RD @06 + 48535.50ns INFO [00048537] Port=1 RD @03 + 48536.50ns INFO [00048538] * RD COMPARE * port=0 adr=06 act=45537211C549EC8FB9 exp=45537211C549EC8FB9 + 48536.50ns INFO [00048538] * RD COMPARE * port=1 adr=06 act=45537211C549EC8FB9 exp=45537211C549EC8FB9 + 48536.50ns INFO [00048538] Port=0 WR @00=898D2F3075D7CAF156 + 48536.50ns INFO [00048538] Port=1 RD @06 + 48537.50ns INFO [00048539] * RD COMPARE * port=1 adr=03 act=03677CA4F7520F1008 exp=03677CA4F7520F1008 + 48537.50ns INFO [00048539] Port=0 RD @03 + 48538.50ns INFO [00048540] * RD COMPARE * port=1 adr=06 act=45537211C549EC8FB9 exp=45537211C549EC8FB9 + 48539.50ns INFO [00048541] * RD COMPARE * port=0 adr=03 act=03677CA4F7520F1008 exp=03677CA4F7520F1008 + 48539.50ns INFO [00048541] Port=1 RD @02 + 48540.50ns INFO [00048542] Port=0 WR @06=EE30BCF4680B5D84C2 + 48540.50ns INFO [00048542] Port=0 RD @01 + 48540.50ns INFO [00048542] Port=1 RD @05 + 48541.50ns INFO [00048543] * RD COMPARE * port=1 adr=02 act=B1E7F16C09403FD044 exp=B1E7F16C09403FD044 + 48541.50ns INFO [00048543] Port=0 WR @03=CDA011E1CBD919D3CA + 48541.50ns INFO [00048543] Port=1 RD @04 + 48542.50ns INFO [00048544] * RD COMPARE * port=0 adr=01 act=5C0C3B03187384289F exp=5C0C3B03187384289F + 48542.50ns INFO [00048544] * RD COMPARE * port=1 adr=05 act=933F21858BD285418A exp=933F21858BD285418A + 48542.50ns INFO [00048544] Port=0 WR @02=9E30BA6BF7C90CCCA9 + 48543.50ns INFO [00048545] * RD COMPARE * port=1 adr=04 act=52FE553113A5AA94B6 exp=52FE553113A5AA94B6 + 48543.50ns INFO [00048545] Port=0 RD @04 + 48544.50ns INFO [00048546] Port=0 RD @05 + 48545.50ns INFO [00048547] * RD COMPARE * port=0 adr=04 act=52FE553113A5AA94B6 exp=52FE553113A5AA94B6 + 48546.50ns INFO [00048548] * RD COMPARE * port=0 adr=05 act=933F21858BD285418A exp=933F21858BD285418A + 48546.50ns INFO [00048548] Port=0 WR @01=B71E68CDAF0D057FF2 + 48546.50ns INFO [00048548] Port=0 RD @05 + 48546.50ns INFO [00048548] Port=1 RD @00 + 48547.50ns INFO [00048549] Port=0 WR @01=B0F14AFA41189984A7 + 48548.50ns INFO [00048550] * RD COMPARE * port=0 adr=05 act=933F21858BD285418A exp=933F21858BD285418A + 48548.50ns INFO [00048550] * RD COMPARE * port=1 adr=00 act=898D2F3075D7CAF156 exp=898D2F3075D7CAF156 + 48548.50ns INFO [00048550] Port=0 WR @03=64D216A53E0EE93715 + 48548.50ns INFO [00048550] Port=0 RD @05 + 48549.50ns INFO [00048551] Port=0 WR @07=866950E2BCD315FDD7 + 48549.50ns INFO [00048551] Port=0 RD @05 + 48550.50ns INFO [00048552] * RD COMPARE * port=0 adr=05 act=933F21858BD285418A exp=933F21858BD285418A + 48551.50ns INFO [00048553] * RD COMPARE * port=0 adr=05 act=933F21858BD285418A exp=933F21858BD285418A + 48551.50ns INFO [00048553] Port=0 RD @02 + 48551.50ns INFO [00048553] Port=1 RD @07 + 48552.50ns INFO [00048554] Port=1 RD @02 + 48553.50ns INFO [00048555] * RD COMPARE * port=0 adr=02 act=9E30BA6BF7C90CCCA9 exp=9E30BA6BF7C90CCCA9 + 48553.50ns INFO [00048555] * RD COMPARE * port=1 adr=07 act=866950E2BCD315FDD7 exp=866950E2BCD315FDD7 + 48553.50ns INFO [00048555] Port=0 WR @07=E113C7F6F7C591A118 + 48553.50ns INFO [00048555] Port=1 RD @05 + 48554.50ns INFO [00048556] * RD COMPARE * port=1 adr=02 act=9E30BA6BF7C90CCCA9 exp=9E30BA6BF7C90CCCA9 + 48554.50ns INFO [00048556] Port=0 WR @03=0472775E879182930E + 48554.50ns INFO [00048556] Port=0 RD @01 + 48555.50ns INFO [00048557] * RD COMPARE * port=1 adr=05 act=933F21858BD285418A exp=933F21858BD285418A + 48555.50ns INFO [00048557] Port=0 WR @01=65F8450AEB6AB824A0 + 48556.50ns INFO [00048558] * RD COMPARE * port=0 adr=01 act=B0F14AFA41189984A7 exp=B0F14AFA41189984A7 + 48558.50ns INFO [00048560] Port=1 RD @05 + 48559.50ns INFO [00048561] Port=0 WR @06=86B11508059505C500 + 48559.50ns INFO [00048561] Port=1 RD @04 + 48560.50ns INFO [00048562] * RD COMPARE * port=1 adr=05 act=933F21858BD285418A exp=933F21858BD285418A + 48561.50ns INFO [00048563] * RD COMPARE * port=1 adr=04 act=52FE553113A5AA94B6 exp=52FE553113A5AA94B6 + 48561.50ns INFO [00048563] Port=0 RD @01 + 48562.50ns INFO [00048564] Port=1 RD @02 + 48563.50ns INFO [00048565] * RD COMPARE * port=0 adr=01 act=65F8450AEB6AB824A0 exp=65F8450AEB6AB824A0 + 48564.50ns INFO [00048566] * RD COMPARE * port=1 adr=02 act=9E30BA6BF7C90CCCA9 exp=9E30BA6BF7C90CCCA9 + 48564.50ns INFO [00048566] Port=1 RD @00 + 48565.50ns INFO [00048567] Port=0 WR @04=7C7A4475D7C87C464E + 48565.50ns INFO [00048567] Port=0 RD @00 + 48566.50ns INFO [00048568] * RD COMPARE * port=1 adr=00 act=898D2F3075D7CAF156 exp=898D2F3075D7CAF156 + 48566.50ns INFO [00048568] Port=0 RD @03 + 48567.50ns INFO [00048569] * RD COMPARE * port=0 adr=00 act=898D2F3075D7CAF156 exp=898D2F3075D7CAF156 + 48567.50ns INFO [00048569] Port=1 RD @04 + 48568.50ns INFO [00048570] * RD COMPARE * port=0 adr=03 act=0472775E879182930E exp=0472775E879182930E + 48568.50ns INFO [00048570] Port=0 RD @00 + 48569.50ns INFO [00048571] * RD COMPARE * port=1 adr=04 act=7C7A4475D7C87C464E exp=7C7A4475D7C87C464E + 48569.50ns INFO [00048571] Port=0 WR @03=7C09CA5F0EB1A261F2 + 48570.50ns INFO [00048572] * RD COMPARE * port=0 adr=00 act=898D2F3075D7CAF156 exp=898D2F3075D7CAF156 + 48571.50ns INFO [00048573] Port=0 WR @03=13A5BC5A1720CDDD90 + 48573.50ns INFO [00048575] Port=0 RD @05 + 48573.50ns INFO [00048575] Port=1 RD @07 + 48574.50ns INFO [00048576] Port=0 RD @01 + 48575.50ns INFO [00048577] * RD COMPARE * port=0 adr=05 act=933F21858BD285418A exp=933F21858BD285418A + 48575.50ns INFO [00048577] * RD COMPARE * port=1 adr=07 act=E113C7F6F7C591A118 exp=E113C7F6F7C591A118 + 48576.50ns INFO [00048578] * RD COMPARE * port=0 adr=01 act=65F8450AEB6AB824A0 exp=65F8450AEB6AB824A0 + 48576.50ns INFO [00048578] Port=0 WR @02=8B09323407780075BB + 48576.50ns INFO [00048578] Port=0 RD @00 + 48577.50ns INFO [00048579] Port=1 RD @00 + 48578.50ns INFO [00048580] * RD COMPARE * port=0 adr=00 act=898D2F3075D7CAF156 exp=898D2F3075D7CAF156 + 48578.50ns INFO [00048580] Port=0 RD @02 + 48579.50ns INFO [00048581] * RD COMPARE * port=1 adr=00 act=898D2F3075D7CAF156 exp=898D2F3075D7CAF156 + 48580.50ns INFO [00048582] * RD COMPARE * port=0 adr=02 act=8B09323407780075BB exp=8B09323407780075BB + 48580.50ns INFO [00048582] Port=0 WR @02=003A8A7A036EECC8EC + 48581.50ns INFO [00048583] Port=1 RD @03 + 48582.50ns INFO [00048584] Port=1 RD @00 + 48583.50ns INFO [00048585] * RD COMPARE * port=1 adr=03 act=13A5BC5A1720CDDD90 exp=13A5BC5A1720CDDD90 + 48584.50ns INFO [00048586] * RD COMPARE * port=1 adr=00 act=898D2F3075D7CAF156 exp=898D2F3075D7CAF156 + 48584.50ns INFO [00048586] Port=0 RD @00 + 48586.50ns INFO [00048588] * RD COMPARE * port=0 adr=00 act=898D2F3075D7CAF156 exp=898D2F3075D7CAF156 + 48586.50ns INFO [00048588] Port=0 WR @05=CCDB5D69741B2CAE1E + 48587.50ns INFO [00048589] Port=1 RD @04 + 48588.50ns INFO [00048590] Port=0 WR @00=E20BF6C8A283518021 + 48589.50ns INFO [00048591] * RD COMPARE * port=1 adr=04 act=7C7A4475D7C87C464E exp=7C7A4475D7C87C464E + 48589.50ns INFO [00048591] Port=0 RD @03 + 48589.50ns INFO [00048591] Port=1 RD @02 + 48590.50ns INFO [00048592] Port=0 WR @01=950EE4E6F922CAD1C2 + 48590.50ns INFO [00048592] Port=1 RD @05 + 48591.50ns INFO [00048593] * RD COMPARE * port=0 adr=03 act=13A5BC5A1720CDDD90 exp=13A5BC5A1720CDDD90 + 48591.50ns INFO [00048593] * RD COMPARE * port=1 adr=02 act=003A8A7A036EECC8EC exp=003A8A7A036EECC8EC + 48592.50ns INFO [00048594] * RD COMPARE * port=1 adr=05 act=CCDB5D69741B2CAE1E exp=CCDB5D69741B2CAE1E + 48592.50ns INFO [00048594] Port=1 RD @00 + 48594.50ns INFO [00048596] * RD COMPARE * port=1 adr=00 act=E20BF6C8A283518021 exp=E20BF6C8A283518021 + 48594.50ns INFO [00048596] Port=1 RD @03 + 48595.50ns INFO [00048597] Port=0 WR @06=44635E384098374B9D + 48596.50ns INFO [00048598] * RD COMPARE * port=1 adr=03 act=13A5BC5A1720CDDD90 exp=13A5BC5A1720CDDD90 + 48596.50ns INFO [00048598] Port=0 WR @07=1F8976353AFE4E34FC + 48597.50ns INFO [00048599] Port=0 WR @05=D7A8891B9478F2789F + 48597.50ns INFO [00048599] Port=0 RD @07 + 48598.00ns INFO [00048600] [00048600] ...tick... + 48598.50ns INFO [00048600] Port=1 RD @05 + 48599.50ns INFO [00048601] * RD COMPARE * port=0 adr=07 act=1F8976353AFE4E34FC exp=1F8976353AFE4E34FC + 48599.50ns INFO [00048601] Port=0 WR @06=13767D5AC3B0AD990C + 48600.50ns INFO [00048602] * RD COMPARE * port=1 adr=05 act=D7A8891B9478F2789F exp=D7A8891B9478F2789F + 48603.50ns INFO [00048605] Port=0 WR @02=6C8460F87165822F1C + 48604.50ns INFO [00048606] Port=0 RD @07 + 48605.50ns INFO [00048607] Port=0 RD @07 + 48606.50ns INFO [00048608] * RD COMPARE * port=0 adr=07 act=1F8976353AFE4E34FC exp=1F8976353AFE4E34FC + 48606.50ns INFO [00048608] Port=0 WR @06=C7C6F704F5D560D680 + 48606.50ns INFO [00048608] Port=0 RD @02 + 48607.50ns INFO [00048609] * RD COMPARE * port=0 adr=07 act=1F8976353AFE4E34FC exp=1F8976353AFE4E34FC + 48607.50ns INFO [00048609] Port=0 RD @06 + 48608.50ns INFO [00048610] * RD COMPARE * port=0 adr=02 act=6C8460F87165822F1C exp=6C8460F87165822F1C + 48608.50ns INFO [00048610] Port=1 RD @04 + 48609.50ns INFO [00048611] * RD COMPARE * port=0 adr=06 act=C7C6F704F5D560D680 exp=C7C6F704F5D560D680 + 48609.50ns INFO [00048611] Port=0 WR @03=ABC9853FA44DBA7BAE + 48609.50ns INFO [00048611] Port=0 RD @02 + 48609.50ns INFO [00048611] Port=1 RD @07 + 48610.50ns INFO [00048612] * RD COMPARE * port=1 adr=04 act=7C7A4475D7C87C464E exp=7C7A4475D7C87C464E + 48611.50ns INFO [00048613] * RD COMPARE * port=0 adr=02 act=6C8460F87165822F1C exp=6C8460F87165822F1C + 48611.50ns INFO [00048613] * RD COMPARE * port=1 adr=07 act=1F8976353AFE4E34FC exp=1F8976353AFE4E34FC + 48611.50ns INFO [00048613] Port=0 RD @06 + 48612.50ns INFO [00048614] Port=0 RD @02 + 48613.50ns INFO [00048615] * RD COMPARE * port=0 adr=06 act=C7C6F704F5D560D680 exp=C7C6F704F5D560D680 + 48613.50ns INFO [00048615] Port=0 WR @06=B882BF175144C4B284 + 48613.50ns INFO [00048615] Port=0 RD @00 + 48614.50ns INFO [00048616] * RD COMPARE * port=0 adr=02 act=6C8460F87165822F1C exp=6C8460F87165822F1C + 48615.50ns INFO [00048617] * RD COMPARE * port=0 adr=00 act=E20BF6C8A283518021 exp=E20BF6C8A283518021 + 48615.50ns INFO [00048617] Port=0 RD @06 + 48616.50ns INFO [00048618] Port=0 WR @04=5FCFCA08812F91EBAB + 48616.50ns INFO [00048618] Port=0 RD @05 + 48616.50ns INFO [00048618] Port=1 RD @07 + 48617.50ns INFO [00048619] * RD COMPARE * port=0 adr=06 act=B882BF175144C4B284 exp=B882BF175144C4B284 + 48617.50ns INFO [00048619] Port=1 RD @02 + 48618.50ns INFO [00048620] * RD COMPARE * port=0 adr=05 act=D7A8891B9478F2789F exp=D7A8891B9478F2789F + 48618.50ns INFO [00048620] * RD COMPARE * port=1 adr=07 act=1F8976353AFE4E34FC exp=1F8976353AFE4E34FC + 48618.50ns INFO [00048620] Port=0 WR @06=2E899A787F9ACC6B85 + 48618.50ns INFO [00048620] Port=0 RD @03 + 48619.50ns INFO [00048621] * RD COMPARE * port=1 adr=02 act=6C8460F87165822F1C exp=6C8460F87165822F1C + 48619.50ns INFO [00048621] Port=0 WR @00=7C6D2CA54A0EEA3B31 + 48619.50ns INFO [00048621] Port=0 RD @01 + 48620.50ns INFO [00048622] * RD COMPARE * port=0 adr=03 act=ABC9853FA44DBA7BAE exp=ABC9853FA44DBA7BAE + 48620.50ns INFO [00048622] Port=0 RD @05 + 48621.50ns INFO [00048623] * RD COMPARE * port=0 adr=01 act=950EE4E6F922CAD1C2 exp=950EE4E6F922CAD1C2 + 48621.50ns INFO [00048623] Port=0 WR @05=005FFE71B24B9F4D80 + 48622.50ns INFO [00048624] * RD COMPARE * port=0 adr=05 act=D7A8891B9478F2789F exp=D7A8891B9478F2789F + 48622.50ns INFO [00048624] Port=0 WR @01=A03243214CCEB54C2E + 48622.50ns INFO [00048624] Port=0 RD @02 + 48623.50ns INFO [00048625] Port=0 RD @01 + 48623.50ns INFO [00048625] Port=1 RD @02 + 48624.50ns INFO [00048626] * RD COMPARE * port=0 adr=02 act=6C8460F87165822F1C exp=6C8460F87165822F1C + 48625.50ns INFO [00048627] * RD COMPARE * port=0 adr=01 act=A03243214CCEB54C2E exp=A03243214CCEB54C2E + 48625.50ns INFO [00048627] * RD COMPARE * port=1 adr=02 act=6C8460F87165822F1C exp=6C8460F87165822F1C + 48627.50ns INFO [00048629] Port=0 WR @06=1C9FEF73041B0568AE + 48627.50ns INFO [00048629] Port=1 RD @04 + 48628.50ns INFO [00048630] Port=0 WR @00=ACF08EE190A987300C + 48628.50ns INFO [00048630] Port=1 RD @04 + 48629.50ns INFO [00048631] * RD COMPARE * port=1 adr=04 act=5FCFCA08812F91EBAB exp=5FCFCA08812F91EBAB + 48630.50ns INFO [00048632] * RD COMPARE * port=1 adr=04 act=5FCFCA08812F91EBAB exp=5FCFCA08812F91EBAB + 48630.50ns INFO [00048632] Port=0 WR @00=5DA5F4CB3D702E93B5 + 48630.50ns INFO [00048632] Port=0 RD @02 + 48632.50ns INFO [00048634] * RD COMPARE * port=0 adr=02 act=6C8460F87165822F1C exp=6C8460F87165822F1C + 48632.50ns INFO [00048634] Port=0 WR @05=CF12B22D650129A4DA + 48633.50ns INFO [00048635] Port=0 WR @00=310F39915B98FA8CF1 + 48634.50ns INFO [00048636] Port=0 WR @01=7D50BFDB43DE3792E3 + 48634.50ns INFO [00048636] Port=0 RD @03 + 48635.50ns INFO [00048637] Port=0 RD @04 + 48635.50ns INFO [00048637] Port=1 RD @01 + 48636.50ns INFO [00048638] * RD COMPARE * port=0 adr=03 act=ABC9853FA44DBA7BAE exp=ABC9853FA44DBA7BAE + 48636.50ns INFO [00048638] Port=0 RD @02 + 48637.50ns INFO [00048639] * RD COMPARE * port=0 adr=04 act=5FCFCA08812F91EBAB exp=5FCFCA08812F91EBAB + 48637.50ns INFO [00048639] * RD COMPARE * port=1 adr=01 act=7D50BFDB43DE3792E3 exp=7D50BFDB43DE3792E3 + 48637.50ns INFO [00048639] Port=0 WR @00=7B86275A7813773729 + 48637.50ns INFO [00048639] Port=0 RD @03 + 48638.50ns INFO [00048640] * RD COMPARE * port=0 adr=02 act=6C8460F87165822F1C exp=6C8460F87165822F1C + 48638.50ns INFO [00048640] Port=0 RD @04 + 48639.50ns INFO [00048641] * RD COMPARE * port=0 adr=03 act=ABC9853FA44DBA7BAE exp=ABC9853FA44DBA7BAE + 48639.50ns INFO [00048641] Port=0 WR @02=DEB531CB869F88C35D + 48639.50ns INFO [00048641] Port=1 RD @04 + 48640.50ns INFO [00048642] * RD COMPARE * port=0 adr=04 act=5FCFCA08812F91EBAB exp=5FCFCA08812F91EBAB + 48641.50ns INFO [00048643] * RD COMPARE * port=1 adr=04 act=5FCFCA08812F91EBAB exp=5FCFCA08812F91EBAB + 48641.50ns INFO [00048643] Port=0 WR @00=B8053A57B894170570 + 48641.50ns INFO [00048643] Port=1 RD @05 + 48643.50ns INFO [00048645] * RD COMPARE * port=1 adr=05 act=CF12B22D650129A4DA exp=CF12B22D650129A4DA + 48643.50ns INFO [00048645] Port=0 RD @01 + 48643.50ns INFO [00048645] Port=1 RD @04 + 48644.50ns INFO [00048646] Port=0 RD @01 + 48644.50ns INFO [00048646] Port=1 RD @03 + 48645.50ns INFO [00048647] * RD COMPARE * port=0 adr=01 act=7D50BFDB43DE3792E3 exp=7D50BFDB43DE3792E3 + 48645.50ns INFO [00048647] * RD COMPARE * port=1 adr=04 act=5FCFCA08812F91EBAB exp=5FCFCA08812F91EBAB + 48645.50ns INFO [00048647] Port=0 WR @07=5D809C0296D13B1DD0 + 48645.50ns INFO [00048647] Port=0 RD @00 + 48646.50ns INFO [00048648] * RD COMPARE * port=0 adr=01 act=7D50BFDB43DE3792E3 exp=7D50BFDB43DE3792E3 + 48646.50ns INFO [00048648] * RD COMPARE * port=1 adr=03 act=ABC9853FA44DBA7BAE exp=ABC9853FA44DBA7BAE + 48646.50ns INFO [00048648] Port=0 WR @06=C9549F680A70914F77 + 48646.50ns INFO [00048648] Port=1 RD @01 + 48647.50ns INFO [00048649] * RD COMPARE * port=0 adr=00 act=B8053A57B894170570 exp=B8053A57B894170570 + 48647.50ns INFO [00048649] Port=0 WR @03=CFA2600FF9F3DEF601 + 48648.50ns INFO [00048650] * RD COMPARE * port=1 adr=01 act=7D50BFDB43DE3792E3 exp=7D50BFDB43DE3792E3 + 48649.50ns INFO [00048651] Port=0 WR @07=E519A273D63B33688D + 48650.50ns INFO [00048652] Port=0 WR @02=8F42ABBE24EEBDC68E + 48650.50ns INFO [00048652] Port=0 RD @00 + 48651.50ns INFO [00048653] Port=0 WR @05=5A90513FE898CD6736 + 48651.50ns INFO [00048653] Port=1 RD @03 + 48652.50ns INFO [00048654] * RD COMPARE * port=0 adr=00 act=B8053A57B894170570 exp=B8053A57B894170570 + 48652.50ns INFO [00048654] Port=0 WR @04=F4ADFA0453B4EE725F + 48652.50ns INFO [00048654] Port=0 RD @00 + 48653.50ns INFO [00048655] * RD COMPARE * port=1 adr=03 act=CFA2600FF9F3DEF601 exp=CFA2600FF9F3DEF601 + 48654.50ns INFO [00048656] * RD COMPARE * port=0 adr=00 act=B8053A57B894170570 exp=B8053A57B894170570 + 48655.50ns INFO [00048657] Port=0 WR @06=5B74DAA2ECC3C797F1 + 48655.50ns INFO [00048657] Port=1 RD @00 + 48656.50ns INFO [00048658] Port=1 RD @05 + 48657.50ns INFO [00048659] * RD COMPARE * port=1 adr=00 act=B8053A57B894170570 exp=B8053A57B894170570 + 48657.50ns INFO [00048659] Port=0 WR @02=9B5157ADCD27715B68 + 48657.50ns INFO [00048659] Port=1 RD @03 + 48658.50ns INFO [00048660] * RD COMPARE * port=1 adr=05 act=5A90513FE898CD6736 exp=5A90513FE898CD6736 + 48658.50ns INFO [00048660] Port=0 WR @07=EF9BA8C2246059E229 + 48658.50ns INFO [00048660] Port=0 RD @06 + 48658.50ns INFO [00048660] Port=1 RD @05 + 48659.50ns INFO [00048661] * RD COMPARE * port=1 adr=03 act=CFA2600FF9F3DEF601 exp=CFA2600FF9F3DEF601 + 48659.50ns INFO [00048661] Port=0 RD @01 + 48660.50ns INFO [00048662] * RD COMPARE * port=0 adr=06 act=5B74DAA2ECC3C797F1 exp=5B74DAA2ECC3C797F1 + 48660.50ns INFO [00048662] * RD COMPARE * port=1 adr=05 act=5A90513FE898CD6736 exp=5A90513FE898CD6736 + 48661.50ns INFO [00048663] * RD COMPARE * port=0 adr=01 act=7D50BFDB43DE3792E3 exp=7D50BFDB43DE3792E3 + 48661.50ns INFO [00048663] Port=0 WR @07=CED0D9FF338EBC0EED + 48661.50ns INFO [00048663] Port=0 RD @04 + 48662.50ns INFO [00048664] Port=0 WR @06=C53E0443BB78968B2A + 48662.50ns INFO [00048664] Port=1 RD @02 + 48663.50ns INFO [00048665] * RD COMPARE * port=0 adr=04 act=F4ADFA0453B4EE725F exp=F4ADFA0453B4EE725F + 48664.50ns INFO [00048666] * RD COMPARE * port=1 adr=02 act=9B5157ADCD27715B68 exp=9B5157ADCD27715B68 + 48665.50ns INFO [00048667] Port=0 RD @03 + 48667.50ns INFO [00048669] * RD COMPARE * port=0 adr=03 act=CFA2600FF9F3DEF601 exp=CFA2600FF9F3DEF601 + 48667.50ns INFO [00048669] Port=0 RD @02 + 48668.50ns INFO [00048670] Port=0 RD @01 + 48668.50ns INFO [00048670] Port=1 RD @06 + 48669.50ns INFO [00048671] * RD COMPARE * port=0 adr=02 act=9B5157ADCD27715B68 exp=9B5157ADCD27715B68 + 48669.50ns INFO [00048671] Port=1 RD @00 + 48670.50ns INFO [00048672] * RD COMPARE * port=0 adr=01 act=7D50BFDB43DE3792E3 exp=7D50BFDB43DE3792E3 + 48670.50ns INFO [00048672] * RD COMPARE * port=1 adr=06 act=C53E0443BB78968B2A exp=C53E0443BB78968B2A + 48671.50ns INFO [00048673] * RD COMPARE * port=1 adr=00 act=B8053A57B894170570 exp=B8053A57B894170570 + 48671.50ns INFO [00048673] Port=0 RD @07 + 48671.50ns INFO [00048673] Port=1 RD @02 + 48672.50ns INFO [00048674] Port=0 WR @00=EF241916B82CCD5F8B + 48672.50ns INFO [00048674] Port=1 RD @05 + 48673.50ns INFO [00048675] * RD COMPARE * port=0 adr=07 act=CED0D9FF338EBC0EED exp=CED0D9FF338EBC0EED + 48673.50ns INFO [00048675] * RD COMPARE * port=1 adr=02 act=9B5157ADCD27715B68 exp=9B5157ADCD27715B68 + 48673.50ns INFO [00048675] Port=1 RD @01 + 48674.50ns INFO [00048676] * RD COMPARE * port=1 adr=05 act=5A90513FE898CD6736 exp=5A90513FE898CD6736 + 48674.50ns INFO [00048676] Port=0 RD @01 + 48675.50ns INFO [00048677] * RD COMPARE * port=1 adr=01 act=7D50BFDB43DE3792E3 exp=7D50BFDB43DE3792E3 + 48675.50ns INFO [00048677] Port=0 WR @06=A21CCB3B6272C55B02 + 48675.50ns INFO [00048677] Port=1 RD @02 + 48676.50ns INFO [00048678] * RD COMPARE * port=0 adr=01 act=7D50BFDB43DE3792E3 exp=7D50BFDB43DE3792E3 + 48676.50ns INFO [00048678] Port=0 WR @06=BA2FE13AC0A1DD362E + 48677.50ns INFO [00048679] * RD COMPARE * port=1 adr=02 act=9B5157ADCD27715B68 exp=9B5157ADCD27715B68 + 48677.50ns INFO [00048679] Port=1 RD @06 + 48678.50ns INFO [00048680] Port=0 RD @07 + 48679.50ns INFO [00048681] * RD COMPARE * port=1 adr=06 act=BA2FE13AC0A1DD362E exp=BA2FE13AC0A1DD362E + 48679.50ns INFO [00048681] Port=0 WR @01=2FF71EBF6181D67390 + 48680.50ns INFO [00048682] * RD COMPARE * port=0 adr=07 act=CED0D9FF338EBC0EED exp=CED0D9FF338EBC0EED + 48680.50ns INFO [00048682] Port=0 RD @03 + 48680.50ns INFO [00048682] Port=1 RD @04 + 48681.50ns INFO [00048683] Port=0 WR @00=5C5BC6BAEDEE2A0133 + 48681.50ns INFO [00048683] Port=1 RD @01 + 48682.50ns INFO [00048684] * RD COMPARE * port=0 adr=03 act=CFA2600FF9F3DEF601 exp=CFA2600FF9F3DEF601 + 48682.50ns INFO [00048684] * RD COMPARE * port=1 adr=04 act=F4ADFA0453B4EE725F exp=F4ADFA0453B4EE725F + 48683.50ns INFO [00048685] * RD COMPARE * port=1 adr=01 act=2FF71EBF6181D67390 exp=2FF71EBF6181D67390 + 48683.50ns INFO [00048685] Port=0 WR @01=1B1140AB10A325C3E2 + 48683.50ns INFO [00048685] Port=1 RD @00 + 48684.50ns INFO [00048686] Port=0 WR @02=A4490E24A0D22B4F39 + 48684.50ns INFO [00048686] Port=1 RD @03 + 48685.50ns INFO [00048687] * RD COMPARE * port=1 adr=00 act=5C5BC6BAEDEE2A0133 exp=5C5BC6BAEDEE2A0133 + 48685.50ns INFO [00048687] Port=0 WR @00=AFCE103A0DE458AF22 + 48686.50ns INFO [00048688] * RD COMPARE * port=1 adr=03 act=CFA2600FF9F3DEF601 exp=CFA2600FF9F3DEF601 + 48686.50ns INFO [00048688] Port=0 WR @06=49B106900AE321D144 + 48687.50ns INFO [00048689] Port=0 RD @03 + 48687.50ns INFO [00048689] Port=1 RD @04 + 48688.50ns INFO [00048690] Port=1 RD @01 + 48689.50ns INFO [00048691] * RD COMPARE * port=0 adr=03 act=CFA2600FF9F3DEF601 exp=CFA2600FF9F3DEF601 + 48689.50ns INFO [00048691] * RD COMPARE * port=1 adr=04 act=F4ADFA0453B4EE725F exp=F4ADFA0453B4EE725F + 48689.50ns INFO [00048691] Port=0 WR @00=9A66D53F716FE4F2FA + 48689.50ns INFO [00048691] Port=0 RD @07 + 48690.50ns INFO [00048692] * RD COMPARE * port=1 adr=01 act=1B1140AB10A325C3E2 exp=1B1140AB10A325C3E2 + 48691.50ns INFO [00048693] * RD COMPARE * port=0 adr=07 act=CED0D9FF338EBC0EED exp=CED0D9FF338EBC0EED + 48692.50ns INFO [00048694] Port=1 RD @00 + 48693.50ns INFO [00048695] Port=0 WR @06=E483226EB778CD312B + 48693.50ns INFO [00048695] Port=0 RD @03 + 48694.50ns INFO [00048696] * RD COMPARE * port=1 adr=00 act=9A66D53F716FE4F2FA exp=9A66D53F716FE4F2FA + 48694.50ns INFO [00048696] Port=0 RD @01 + 48694.50ns INFO [00048696] Port=1 RD @03 + 48695.50ns INFO [00048697] * RD COMPARE * port=0 adr=03 act=CFA2600FF9F3DEF601 exp=CFA2600FF9F3DEF601 + 48696.50ns INFO [00048698] * RD COMPARE * port=0 adr=01 act=1B1140AB10A325C3E2 exp=1B1140AB10A325C3E2 + 48696.50ns INFO [00048698] * RD COMPARE * port=1 adr=03 act=CFA2600FF9F3DEF601 exp=CFA2600FF9F3DEF601 + 48697.50ns INFO [00048699] Port=1 RD @05 + 48698.00ns INFO [00048700] [00048700] ...tick... + 48698.50ns INFO [00048700] Port=0 WR @02=5B4499C6797CDF466F + 48698.50ns INFO [00048700] Port=1 RD @06 + 48699.50ns INFO [00048701] * RD COMPARE * port=1 adr=05 act=5A90513FE898CD6736 exp=5A90513FE898CD6736 + 48700.50ns INFO [00048702] * RD COMPARE * port=1 adr=06 act=E483226EB778CD312B exp=E483226EB778CD312B + 48700.50ns INFO [00048702] Port=0 RD @04 + 48700.50ns INFO [00048702] Port=1 RD @01 + 48701.50ns INFO [00048703] Port=0 WR @04=807B9DCEDF6B5AB67C + 48702.50ns INFO [00048704] * RD COMPARE * port=0 adr=04 act=F4ADFA0453B4EE725F exp=F4ADFA0453B4EE725F + 48702.50ns INFO [00048704] * RD COMPARE * port=1 adr=01 act=1B1140AB10A325C3E2 exp=1B1140AB10A325C3E2 + 48702.50ns INFO [00048704] Port=0 WR @03=14D5970CE594855900 + 48702.50ns INFO [00048704] Port=0 RD @04 + 48704.50ns INFO [00048706] * RD COMPARE * port=0 adr=04 act=807B9DCEDF6B5AB67C exp=807B9DCEDF6B5AB67C + 48704.50ns INFO [00048706] Port=1 RD @05 + 48705.50ns INFO [00048707] Port=0 WR @06=4301E7DF16C2C8B542 + 48706.50ns INFO [00048708] * RD COMPARE * port=1 adr=05 act=5A90513FE898CD6736 exp=5A90513FE898CD6736 + 48707.50ns INFO [00048709] Port=0 WR @01=DF182A3A40EE8EBF13 + 48707.50ns INFO [00048709] Port=0 RD @02 + 48708.50ns INFO [00048710] Port=0 RD @01 + 48709.50ns INFO [00048711] * RD COMPARE * port=0 adr=02 act=5B4499C6797CDF466F exp=5B4499C6797CDF466F + 48709.50ns INFO [00048711] Port=0 RD @06 + 48709.50ns INFO [00048711] Port=1 RD @06 + 48710.50ns INFO [00048712] * RD COMPARE * port=0 adr=01 act=DF182A3A40EE8EBF13 exp=DF182A3A40EE8EBF13 + 48710.50ns INFO [00048712] Port=0 WR @06=CA6D7E0A9000272DD5 + 48710.50ns INFO [00048712] Port=0 RD @04 + 48711.50ns INFO [00048713] * RD COMPARE * port=0 adr=06 act=4301E7DF16C2C8B542 exp=4301E7DF16C2C8B542 + 48711.50ns INFO [00048713] * RD COMPARE * port=1 adr=06 act=4301E7DF16C2C8B542 exp=4301E7DF16C2C8B542 + 48711.50ns INFO [00048713] Port=1 RD @00 + 48712.50ns INFO [00048714] * RD COMPARE * port=0 adr=04 act=807B9DCEDF6B5AB67C exp=807B9DCEDF6B5AB67C + 48712.50ns INFO [00048714] Port=0 WR @04=75D1F5B9B73153E295 + 48712.50ns INFO [00048714] Port=0 RD @05 + 48712.50ns INFO [00048714] Port=1 RD @07 + 48713.50ns INFO [00048715] * RD COMPARE * port=1 adr=00 act=9A66D53F716FE4F2FA exp=9A66D53F716FE4F2FA + 48713.50ns INFO [00048715] Port=0 RD @02 + 48714.50ns INFO [00048716] * RD COMPARE * port=0 adr=05 act=5A90513FE898CD6736 exp=5A90513FE898CD6736 + 48714.50ns INFO [00048716] * RD COMPARE * port=1 adr=07 act=CED0D9FF338EBC0EED exp=CED0D9FF338EBC0EED + 48714.50ns INFO [00048716] Port=0 WR @00=34A85C8C9E91677495 + 48714.50ns INFO [00048716] Port=0 RD @04 + 48715.50ns INFO [00048717] * RD COMPARE * port=0 adr=02 act=5B4499C6797CDF466F exp=5B4499C6797CDF466F + 48716.50ns INFO [00048718] * RD COMPARE * port=0 adr=04 act=75D1F5B9B73153E295 exp=75D1F5B9B73153E295 + 48716.50ns INFO [00048718] Port=0 WR @05=DEF5D5420D907F715B + 48716.50ns INFO [00048718] Port=0 RD @06 + 48718.50ns INFO [00048720] * RD COMPARE * port=0 adr=06 act=CA6D7E0A9000272DD5 exp=CA6D7E0A9000272DD5 + 48718.50ns INFO [00048720] Port=0 WR @04=CD2030FF4B3986B254 + 48718.50ns INFO [00048720] Port=0 RD @07 + 48718.50ns INFO [00048720] Port=1 RD @03 + 48719.50ns INFO [00048721] Port=0 RD @03 + 48720.50ns INFO [00048722] * RD COMPARE * port=0 adr=07 act=CED0D9FF338EBC0EED exp=CED0D9FF338EBC0EED + 48720.50ns INFO [00048722] * RD COMPARE * port=1 adr=03 act=14D5970CE594855900 exp=14D5970CE594855900 + 48721.50ns INFO [00048723] * RD COMPARE * port=0 adr=03 act=14D5970CE594855900 exp=14D5970CE594855900 + 48721.50ns INFO [00048723] Port=1 RD @06 + 48722.50ns INFO [00048724] Port=0 RD @00 + 48722.50ns INFO [00048724] Port=1 RD @04 + 48723.50ns INFO [00048725] * RD COMPARE * port=1 adr=06 act=CA6D7E0A9000272DD5 exp=CA6D7E0A9000272DD5 + 48723.50ns INFO [00048725] Port=0 RD @00 + 48723.50ns INFO [00048725] Port=1 RD @07 + 48724.50ns INFO [00048726] * RD COMPARE * port=0 adr=00 act=34A85C8C9E91677495 exp=34A85C8C9E91677495 + 48724.50ns INFO [00048726] * RD COMPARE * port=1 adr=04 act=CD2030FF4B3986B254 exp=CD2030FF4B3986B254 + 48725.50ns INFO [00048727] * RD COMPARE * port=0 adr=00 act=34A85C8C9E91677495 exp=34A85C8C9E91677495 + 48725.50ns INFO [00048727] * RD COMPARE * port=1 adr=07 act=CED0D9FF338EBC0EED exp=CED0D9FF338EBC0EED + 48725.50ns INFO [00048727] Port=0 RD @07 + 48725.50ns INFO [00048727] Port=1 RD @01 + 48726.50ns INFO [00048728] Port=1 RD @05 + 48727.50ns INFO [00048729] * RD COMPARE * port=0 adr=07 act=CED0D9FF338EBC0EED exp=CED0D9FF338EBC0EED + 48727.50ns INFO [00048729] * RD COMPARE * port=1 adr=01 act=DF182A3A40EE8EBF13 exp=DF182A3A40EE8EBF13 + 48727.50ns INFO [00048729] Port=0 WR @01=264C339CC51981C9E5 + 48728.50ns INFO [00048730] * RD COMPARE * port=1 adr=05 act=DEF5D5420D907F715B exp=DEF5D5420D907F715B + 48729.50ns INFO [00048731] Port=0 WR @01=006E1292D7615E3899 + 48729.50ns INFO [00048731] Port=0 RD @07 + 48731.50ns INFO [00048733] * RD COMPARE * port=0 adr=07 act=CED0D9FF338EBC0EED exp=CED0D9FF338EBC0EED + 48732.50ns INFO [00048734] Port=0 RD @01 + 48734.50ns INFO [00048736] * RD COMPARE * port=0 adr=01 act=006E1292D7615E3899 exp=006E1292D7615E3899 + 48734.50ns INFO [00048736] Port=0 RD @06 + 48735.50ns INFO [00048737] Port=0 RD @06 + 48735.50ns INFO [00048737] Port=1 RD @01 + 48736.50ns INFO [00048738] * RD COMPARE * port=0 adr=06 act=CA6D7E0A9000272DD5 exp=CA6D7E0A9000272DD5 + 48736.50ns INFO [00048738] Port=0 WR @04=B81959DA33228DD001 + 48736.50ns INFO [00048738] Port=1 RD @05 + 48737.50ns INFO [00048739] * RD COMPARE * port=0 adr=06 act=CA6D7E0A9000272DD5 exp=CA6D7E0A9000272DD5 + 48737.50ns INFO [00048739] * RD COMPARE * port=1 adr=01 act=006E1292D7615E3899 exp=006E1292D7615E3899 + 48737.50ns INFO [00048739] Port=0 WR @01=E163C4E8C719C82152 + 48737.50ns INFO [00048739] Port=1 RD @00 + 48738.50ns INFO [00048740] * RD COMPARE * port=1 adr=05 act=DEF5D5420D907F715B exp=DEF5D5420D907F715B + 48739.50ns INFO [00048741] * RD COMPARE * port=1 adr=00 act=34A85C8C9E91677495 exp=34A85C8C9E91677495 + 48740.50ns INFO [00048742] Port=0 RD @07 + 48741.50ns INFO [00048743] Port=0 RD @02 + 48741.50ns INFO [00048743] Port=1 RD @01 + 48742.50ns INFO [00048744] * RD COMPARE * port=0 adr=07 act=CED0D9FF338EBC0EED exp=CED0D9FF338EBC0EED + 48742.50ns INFO [00048744] Port=1 RD @06 + 48743.50ns INFO [00048745] * RD COMPARE * port=0 adr=02 act=5B4499C6797CDF466F exp=5B4499C6797CDF466F + 48743.50ns INFO [00048745] * RD COMPARE * port=1 adr=01 act=E163C4E8C719C82152 exp=E163C4E8C719C82152 + 48744.50ns INFO [00048746] * RD COMPARE * port=1 adr=06 act=CA6D7E0A9000272DD5 exp=CA6D7E0A9000272DD5 + 48744.50ns INFO [00048746] Port=0 RD @03 + 48744.50ns INFO [00048746] Port=1 RD @06 + 48745.50ns INFO [00048747] Port=0 WR @00=DEDE5C24415D8C1AB7 + 48745.50ns INFO [00048747] Port=0 RD @05 + 48746.50ns INFO [00048748] * RD COMPARE * port=0 adr=03 act=14D5970CE594855900 exp=14D5970CE594855900 + 48746.50ns INFO [00048748] * RD COMPARE * port=1 adr=06 act=CA6D7E0A9000272DD5 exp=CA6D7E0A9000272DD5 + 48746.50ns INFO [00048748] Port=0 WR @07=6A62A2396F6D1D6C20 + 48746.50ns INFO [00048748] Port=0 RD @03 + 48747.50ns INFO [00048749] * RD COMPARE * port=0 adr=05 act=DEF5D5420D907F715B exp=DEF5D5420D907F715B + 48748.50ns INFO [00048750] * RD COMPARE * port=0 adr=03 act=14D5970CE594855900 exp=14D5970CE594855900 + 48748.50ns INFO [00048750] Port=0 WR @03=50395FCADB16523421 + 48749.50ns INFO [00048751] Port=0 WR @00=E75E29F627E53F589E + 48749.50ns INFO [00048751] Port=0 RD @07 + 48750.50ns INFO [00048752] Port=0 WR @06=B8A29CD25C092A6CFD + 48750.50ns INFO [00048752] Port=0 RD @07 + 48751.50ns INFO [00048753] * RD COMPARE * port=0 adr=07 act=6A62A2396F6D1D6C20 exp=6A62A2396F6D1D6C20 + 48751.50ns INFO [00048753] Port=1 RD @04 + 48752.50ns INFO [00048754] * RD COMPARE * port=0 adr=07 act=6A62A2396F6D1D6C20 exp=6A62A2396F6D1D6C20 + 48752.50ns INFO [00048754] Port=0 WR @04=7925F52F808402AE04 + 48752.50ns INFO [00048754] Port=1 RD @03 + 48753.50ns INFO [00048755] * RD COMPARE * port=1 adr=04 act=B81959DA33228DD001 exp=B81959DA33228DD001 + 48753.50ns INFO [00048755] Port=1 RD @02 + 48754.50ns INFO [00048756] * RD COMPARE * port=1 adr=03 act=50395FCADB16523421 exp=50395FCADB16523421 + 48754.50ns INFO [00048756] Port=0 WR @05=D4D266870D6648F100 + 48754.50ns INFO [00048756] Port=0 RD @04 + 48754.50ns INFO [00048756] Port=1 RD @07 + 48755.50ns INFO [00048757] * RD COMPARE * port=1 adr=02 act=5B4499C6797CDF466F exp=5B4499C6797CDF466F + 48755.50ns INFO [00048757] Port=0 WR @05=0081B5751BF915E338 + 48756.50ns INFO [00048758] * RD COMPARE * port=0 adr=04 act=7925F52F808402AE04 exp=7925F52F808402AE04 + 48756.50ns INFO [00048758] * RD COMPARE * port=1 adr=07 act=6A62A2396F6D1D6C20 exp=6A62A2396F6D1D6C20 + 48756.50ns INFO [00048758] Port=0 WR @07=331C9E9D145C68CEEE + 48757.50ns INFO [00048759] Port=0 RD @02 + 48757.50ns INFO [00048759] Port=1 RD @06 + 48758.50ns INFO [00048760] Port=0 RD @06 + 48759.50ns INFO [00048761] * RD COMPARE * port=0 adr=02 act=5B4499C6797CDF466F exp=5B4499C6797CDF466F + 48759.50ns INFO [00048761] * RD COMPARE * port=1 adr=06 act=B8A29CD25C092A6CFD exp=B8A29CD25C092A6CFD + 48759.50ns INFO [00048761] Port=0 RD @06 + 48759.50ns INFO [00048761] Port=1 RD @06 + 48760.50ns INFO [00048762] * RD COMPARE * port=0 adr=06 act=B8A29CD25C092A6CFD exp=B8A29CD25C092A6CFD + 48760.50ns INFO [00048762] Port=0 WR @00=91AE20112FC46C72FD + 48761.50ns INFO [00048763] * RD COMPARE * port=0 adr=06 act=B8A29CD25C092A6CFD exp=B8A29CD25C092A6CFD + 48761.50ns INFO [00048763] * RD COMPARE * port=1 adr=06 act=B8A29CD25C092A6CFD exp=B8A29CD25C092A6CFD + 48762.50ns INFO [00048764] Port=0 WR @02=BDECFB2FCCE7FCA0F1 + 48762.50ns INFO [00048764] Port=1 RD @01 + 48764.50ns INFO [00048766] * RD COMPARE * port=1 adr=01 act=E163C4E8C719C82152 exp=E163C4E8C719C82152 + 48764.50ns INFO [00048766] Port=0 WR @03=FCC96E1B1A93805AE1 + 48765.50ns INFO [00048767] Port=0 RD @02 + 48767.50ns INFO [00048769] * RD COMPARE * port=0 adr=02 act=BDECFB2FCCE7FCA0F1 exp=BDECFB2FCCE7FCA0F1 + 48767.50ns INFO [00048769] Port=0 RD @06 + 48768.50ns INFO [00048770] Port=0 WR @05=C9A746F742711A1C58 + 48768.50ns INFO [00048770] Port=0 RD @07 + 48769.50ns INFO [00048771] * RD COMPARE * port=0 adr=06 act=B8A29CD25C092A6CFD exp=B8A29CD25C092A6CFD + 48770.50ns INFO [00048772] * RD COMPARE * port=0 adr=07 act=331C9E9D145C68CEEE exp=331C9E9D145C68CEEE + 48770.50ns INFO [00048772] Port=0 WR @06=388B646103F85473FD + 48770.50ns INFO [00048772] Port=0 RD @00 + 48771.50ns INFO [00048773] Port=0 WR @07=7D4618796185BF4E72 + 48771.50ns INFO [00048773] Port=0 RD @06 + 48771.50ns INFO [00048773] Port=1 RD @06 + 48772.50ns INFO [00048774] * RD COMPARE * port=0 adr=00 act=91AE20112FC46C72FD exp=91AE20112FC46C72FD + 48772.50ns INFO [00048774] Port=0 WR @05=32D7C9A4F5A8CA8D06 + 48773.50ns INFO [00048775] * RD COMPARE * port=0 adr=06 act=388B646103F85473FD exp=388B646103F85473FD + 48773.50ns INFO [00048775] * RD COMPARE * port=1 adr=06 act=388B646103F85473FD exp=388B646103F85473FD + 48773.50ns INFO [00048775] Port=0 RD @06 + 48774.50ns INFO [00048776] Port=0 RD @01 + 48774.50ns INFO [00048776] Port=1 RD @03 + 48775.50ns INFO [00048777] * RD COMPARE * port=0 adr=06 act=388B646103F85473FD exp=388B646103F85473FD + 48775.50ns INFO [00048777] Port=0 RD @05 + 48776.50ns INFO [00048778] * RD COMPARE * port=0 adr=01 act=E163C4E8C719C82152 exp=E163C4E8C719C82152 + 48776.50ns INFO [00048778] * RD COMPARE * port=1 adr=03 act=FCC96E1B1A93805AE1 exp=FCC96E1B1A93805AE1 + 48777.50ns INFO [00048779] * RD COMPARE * port=0 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48777.50ns INFO [00048779] Port=0 RD @07 + 48779.50ns INFO [00048781] * RD COMPARE * port=0 adr=07 act=7D4618796185BF4E72 exp=7D4618796185BF4E72 + 48779.50ns INFO [00048781] Port=0 WR @01=DB108E3B4D8D436091 + 48779.50ns INFO [00048781] Port=1 RD @06 + 48780.50ns INFO [00048782] Port=1 RD @07 + 48781.50ns INFO [00048783] * RD COMPARE * port=1 adr=06 act=388B646103F85473FD exp=388B646103F85473FD + 48781.50ns INFO [00048783] Port=0 RD @00 + 48782.50ns INFO [00048784] * RD COMPARE * port=1 adr=07 act=7D4618796185BF4E72 exp=7D4618796185BF4E72 + 48782.50ns INFO [00048784] Port=0 RD @00 + 48783.50ns INFO [00048785] * RD COMPARE * port=0 adr=00 act=91AE20112FC46C72FD exp=91AE20112FC46C72FD + 48783.50ns INFO [00048785] Port=0 WR @06=7FE1D878D43A38FDC4 + 48783.50ns INFO [00048785] Port=0 RD @03 + 48783.50ns INFO [00048785] Port=1 RD @00 + 48784.50ns INFO [00048786] * RD COMPARE * port=0 adr=00 act=91AE20112FC46C72FD exp=91AE20112FC46C72FD + 48785.50ns INFO [00048787] * RD COMPARE * port=0 adr=03 act=FCC96E1B1A93805AE1 exp=FCC96E1B1A93805AE1 + 48785.50ns INFO [00048787] * RD COMPARE * port=1 adr=00 act=91AE20112FC46C72FD exp=91AE20112FC46C72FD + 48788.50ns INFO [00048790] Port=0 WR @07=FD63BDABECECCF523A + 48789.50ns INFO [00048791] Port=0 WR @07=DD37BFB3F510420ED3 + 48790.50ns INFO [00048792] Port=0 WR @07=1A193C19980095C6D9 + 48791.50ns INFO [00048793] Port=0 RD @00 + 48791.50ns INFO [00048793] Port=1 RD @07 + 48792.50ns INFO [00048794] Port=1 RD @05 + 48793.50ns INFO [00048795] * RD COMPARE * port=0 adr=00 act=91AE20112FC46C72FD exp=91AE20112FC46C72FD + 48793.50ns INFO [00048795] * RD COMPARE * port=1 adr=07 act=1A193C19980095C6D9 exp=1A193C19980095C6D9 + 48793.50ns INFO [00048795] Port=0 WR @04=4D7AA6C953797C4034 + 48793.50ns INFO [00048795] Port=1 RD @02 + 48794.50ns INFO [00048796] * RD COMPARE * port=1 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48795.50ns INFO [00048797] * RD COMPARE * port=1 adr=02 act=BDECFB2FCCE7FCA0F1 exp=BDECFB2FCCE7FCA0F1 + 48795.50ns INFO [00048797] Port=0 RD @05 + 48796.50ns INFO [00048798] Port=0 RD @01 + 48796.50ns INFO [00048798] Port=1 RD @07 + 48797.50ns INFO [00048799] * RD COMPARE * port=0 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48797.50ns INFO [00048799] Port=0 RD @05 + 48798.00ns INFO [00048800] [00048800] ...tick... + 48798.50ns INFO [00048800] * RD COMPARE * port=0 adr=01 act=DB108E3B4D8D436091 exp=DB108E3B4D8D436091 + 48798.50ns INFO [00048800] * RD COMPARE * port=1 adr=07 act=1A193C19980095C6D9 exp=1A193C19980095C6D9 + 48798.50ns INFO [00048800] Port=0 WR @03=E7BD904C54E0128DCC + 48798.50ns INFO [00048800] Port=0 RD @04 + 48799.50ns INFO [00048801] * RD COMPARE * port=0 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48799.50ns INFO [00048801] Port=0 WR @07=353F271978B2E93523 + 48800.50ns INFO [00048802] * RD COMPARE * port=0 adr=04 act=4D7AA6C953797C4034 exp=4D7AA6C953797C4034 + 48800.50ns INFO [00048802] Port=1 RD @07 + 48801.50ns INFO [00048803] Port=1 RD @03 + 48802.50ns INFO [00048804] * RD COMPARE * port=1 adr=07 act=353F271978B2E93523 exp=353F271978B2E93523 + 48802.50ns INFO [00048804] Port=0 RD @00 + 48803.50ns INFO [00048805] * RD COMPARE * port=1 adr=03 act=E7BD904C54E0128DCC exp=E7BD904C54E0128DCC + 48803.50ns INFO [00048805] Port=0 WR @00=2D2EF9DCD3B310A1EB + 48803.50ns INFO [00048805] Port=1 RD @07 + 48804.50ns INFO [00048806] * RD COMPARE * port=0 adr=00 act=91AE20112FC46C72FD exp=91AE20112FC46C72FD + 48804.50ns INFO [00048806] Port=0 RD @01 + 48804.50ns INFO [00048806] Port=1 RD @01 + 48805.50ns INFO [00048807] * RD COMPARE * port=1 adr=07 act=353F271978B2E93523 exp=353F271978B2E93523 + 48805.50ns INFO [00048807] Port=0 WR @02=D77B7DEB9CE048F8E2 + 48805.50ns INFO [00048807] Port=1 RD @00 + 48806.50ns INFO [00048808] * RD COMPARE * port=0 adr=01 act=DB108E3B4D8D436091 exp=DB108E3B4D8D436091 + 48806.50ns INFO [00048808] * RD COMPARE * port=1 adr=01 act=DB108E3B4D8D436091 exp=DB108E3B4D8D436091 + 48806.50ns INFO [00048808] Port=0 WR @04=FB91AD01B6B0082302 + 48807.50ns INFO [00048809] * RD COMPARE * port=1 adr=00 act=2D2EF9DCD3B310A1EB exp=2D2EF9DCD3B310A1EB + 48807.50ns INFO [00048809] Port=0 RD @05 + 48807.50ns INFO [00048809] Port=1 RD @02 + 48808.50ns INFO [00048810] Port=1 RD @00 + 48809.50ns INFO [00048811] * RD COMPARE * port=0 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48809.50ns INFO [00048811] * RD COMPARE * port=1 adr=02 act=D77B7DEB9CE048F8E2 exp=D77B7DEB9CE048F8E2 + 48809.50ns INFO [00048811] Port=0 WR @07=540A493A2D4D44E4EC + 48809.50ns INFO [00048811] Port=1 RD @06 + 48810.50ns INFO [00048812] * RD COMPARE * port=1 adr=00 act=2D2EF9DCD3B310A1EB exp=2D2EF9DCD3B310A1EB + 48810.50ns INFO [00048812] Port=1 RD @07 + 48811.50ns INFO [00048813] * RD COMPARE * port=1 adr=06 act=7FE1D878D43A38FDC4 exp=7FE1D878D43A38FDC4 + 48811.50ns INFO [00048813] Port=0 RD @01 + 48811.50ns INFO [00048813] Port=1 RD @04 + 48812.50ns INFO [00048814] * RD COMPARE * port=1 adr=07 act=540A493A2D4D44E4EC exp=540A493A2D4D44E4EC + 48812.50ns INFO [00048814] Port=1 RD @00 + 48813.50ns INFO [00048815] * RD COMPARE * port=0 adr=01 act=DB108E3B4D8D436091 exp=DB108E3B4D8D436091 + 48813.50ns INFO [00048815] * RD COMPARE * port=1 adr=04 act=FB91AD01B6B0082302 exp=FB91AD01B6B0082302 + 48813.50ns INFO [00048815] Port=1 RD @06 + 48814.50ns INFO [00048816] * RD COMPARE * port=1 adr=00 act=2D2EF9DCD3B310A1EB exp=2D2EF9DCD3B310A1EB + 48815.50ns INFO [00048817] * RD COMPARE * port=1 adr=06 act=7FE1D878D43A38FDC4 exp=7FE1D878D43A38FDC4 + 48815.50ns INFO [00048817] Port=0 WR @04=AAF6440A9987FD1DB5 + 48815.50ns INFO [00048817] Port=0 RD @03 + 48816.50ns INFO [00048818] Port=0 RD @03 + 48817.50ns INFO [00048819] * RD COMPARE * port=0 adr=03 act=E7BD904C54E0128DCC exp=E7BD904C54E0128DCC + 48817.50ns INFO [00048819] Port=0 RD @02 + 48818.50ns INFO [00048820] * RD COMPARE * port=0 adr=03 act=E7BD904C54E0128DCC exp=E7BD904C54E0128DCC + 48819.50ns INFO [00048821] * RD COMPARE * port=0 adr=02 act=D77B7DEB9CE048F8E2 exp=D77B7DEB9CE048F8E2 + 48819.50ns INFO [00048821] Port=0 RD @05 + 48819.50ns INFO [00048821] Port=1 RD @04 + 48820.50ns INFO [00048822] Port=1 RD @02 + 48821.50ns INFO [00048823] * RD COMPARE * port=0 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48821.50ns INFO [00048823] * RD COMPARE * port=1 adr=04 act=AAF6440A9987FD1DB5 exp=AAF6440A9987FD1DB5 + 48821.50ns INFO [00048823] Port=0 WR @01=78CF233E210271A337 + 48821.50ns INFO [00048823] Port=0 RD @04 + 48821.50ns INFO [00048823] Port=1 RD @05 + 48822.50ns INFO [00048824] * RD COMPARE * port=1 adr=02 act=D77B7DEB9CE048F8E2 exp=D77B7DEB9CE048F8E2 + 48823.50ns INFO [00048825] * RD COMPARE * port=0 adr=04 act=AAF6440A9987FD1DB5 exp=AAF6440A9987FD1DB5 + 48823.50ns INFO [00048825] * RD COMPARE * port=1 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48823.50ns INFO [00048825] Port=0 WR @06=01CC1351DCC86FBCB8 + 48823.50ns INFO [00048825] Port=1 RD @05 + 48824.50ns INFO [00048826] Port=0 RD @06 + 48825.50ns INFO [00048827] * RD COMPARE * port=1 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48825.50ns INFO [00048827] Port=0 RD @03 + 48826.50ns INFO [00048828] * RD COMPARE * port=0 adr=06 act=01CC1351DCC86FBCB8 exp=01CC1351DCC86FBCB8 + 48826.50ns INFO [00048828] Port=0 WR @06=2F1B51B60F7DD271F3 + 48826.50ns INFO [00048828] Port=0 RD @00 + 48827.50ns INFO [00048829] * RD COMPARE * port=0 adr=03 act=E7BD904C54E0128DCC exp=E7BD904C54E0128DCC + 48828.50ns INFO [00048830] * RD COMPARE * port=0 adr=00 act=2D2EF9DCD3B310A1EB exp=2D2EF9DCD3B310A1EB + 48828.50ns INFO [00048830] Port=0 WR @02=59874522EF47FD3611 + 48828.50ns INFO [00048830] Port=1 RD @01 + 48829.50ns INFO [00048831] Port=0 RD @03 + 48830.50ns INFO [00048832] * RD COMPARE * port=1 adr=01 act=78CF233E210271A337 exp=78CF233E210271A337 + 48831.50ns INFO [00048833] * RD COMPARE * port=0 adr=03 act=E7BD904C54E0128DCC exp=E7BD904C54E0128DCC + 48831.50ns INFO [00048833] Port=0 RD @00 + 48832.50ns INFO [00048834] Port=0 RD @00 + 48833.50ns INFO [00048835] * RD COMPARE * port=0 adr=00 act=2D2EF9DCD3B310A1EB exp=2D2EF9DCD3B310A1EB + 48834.50ns INFO [00048836] * RD COMPARE * port=0 adr=00 act=2D2EF9DCD3B310A1EB exp=2D2EF9DCD3B310A1EB + 48834.50ns INFO [00048836] Port=1 RD @04 + 48835.50ns INFO [00048837] Port=0 WR @00=5E9B3DDBDE741C7FF2 + 48836.50ns INFO [00048838] * RD COMPARE * port=1 adr=04 act=AAF6440A9987FD1DB5 exp=AAF6440A9987FD1DB5 + 48836.50ns INFO [00048838] Port=0 WR @03=0B7AED0B79C84AE94B + 48836.50ns INFO [00048838] Port=0 RD @01 + 48837.50ns INFO [00048839] Port=1 RD @00 + 48838.50ns INFO [00048840] * RD COMPARE * port=0 adr=01 act=78CF233E210271A337 exp=78CF233E210271A337 + 48838.50ns INFO [00048840] Port=0 WR @06=08FBFA078B00F97D1D + 48839.50ns INFO [00048841] * RD COMPARE * port=1 adr=00 act=5E9B3DDBDE741C7FF2 exp=5E9B3DDBDE741C7FF2 + 48839.50ns INFO [00048841] Port=0 WR @06=F953C4713B43F213D0 + 48839.50ns INFO [00048841] Port=1 RD @05 + 48841.50ns INFO [00048843] * RD COMPARE * port=1 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48841.50ns INFO [00048843] Port=1 RD @02 + 48842.50ns INFO [00048844] Port=0 WR @07=C860C8456EE63DFC39 + 48842.50ns INFO [00048844] Port=1 RD @00 + 48843.50ns INFO [00048845] * RD COMPARE * port=1 adr=02 act=59874522EF47FD3611 exp=59874522EF47FD3611 + 48843.50ns INFO [00048845] Port=0 WR @04=2C81B781D9794C862B + 48843.50ns INFO [00048845] Port=0 RD @06 + 48843.50ns INFO [00048845] Port=1 RD @05 + 48844.50ns INFO [00048846] * RD COMPARE * port=1 adr=00 act=5E9B3DDBDE741C7FF2 exp=5E9B3DDBDE741C7FF2 + 48844.50ns INFO [00048846] Port=0 RD @03 + 48844.50ns INFO [00048846] Port=1 RD @05 + 48845.50ns INFO [00048847] * RD COMPARE * port=0 adr=06 act=F953C4713B43F213D0 exp=F953C4713B43F213D0 + 48845.50ns INFO [00048847] * RD COMPARE * port=1 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48845.50ns INFO [00048847] Port=0 RD @01 + 48845.50ns INFO [00048847] Port=1 RD @03 + 48846.50ns INFO [00048848] * RD COMPARE * port=0 adr=03 act=0B7AED0B79C84AE94B exp=0B7AED0B79C84AE94B + 48846.50ns INFO [00048848] * RD COMPARE * port=1 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48846.50ns INFO [00048848] Port=0 WR @07=0A26CA6FCB152E64AE + 48846.50ns INFO [00048848] Port=0 RD @05 + 48847.50ns INFO [00048849] * RD COMPARE * port=0 adr=01 act=78CF233E210271A337 exp=78CF233E210271A337 + 48847.50ns INFO [00048849] * RD COMPARE * port=1 adr=03 act=0B7AED0B79C84AE94B exp=0B7AED0B79C84AE94B + 48848.50ns INFO [00048850] * RD COMPARE * port=0 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48848.50ns INFO [00048850] Port=0 WR @04=2D168D88708240CAE6 + 48848.50ns INFO [00048850] Port=0 RD @06 + 48849.50ns INFO [00048851] Port=1 RD @01 + 48850.50ns INFO [00048852] * RD COMPARE * port=0 adr=06 act=F953C4713B43F213D0 exp=F953C4713B43F213D0 + 48850.50ns INFO [00048852] Port=0 RD @04 + 48851.50ns INFO [00048853] * RD COMPARE * port=1 adr=01 act=78CF233E210271A337 exp=78CF233E210271A337 + 48851.50ns INFO [00048853] Port=0 RD @05 + 48852.50ns INFO [00048854] * RD COMPARE * port=0 adr=04 act=2D168D88708240CAE6 exp=2D168D88708240CAE6 + 48852.50ns INFO [00048854] Port=0 WR @05=2FA4162B4AE3F50BEC + 48852.50ns INFO [00048854] Port=0 RD @00 + 48852.50ns INFO [00048854] Port=1 RD @04 + 48853.50ns INFO [00048855] * RD COMPARE * port=0 adr=05 act=32D7C9A4F5A8CA8D06 exp=32D7C9A4F5A8CA8D06 + 48853.50ns INFO [00048855] Port=0 WR @04=BA7195E87960C73365 + 48853.50ns INFO [00048855] Port=0 RD @05 + 48854.50ns INFO [00048856] * RD COMPARE * port=0 adr=00 act=5E9B3DDBDE741C7FF2 exp=5E9B3DDBDE741C7FF2 + 48854.50ns INFO [00048856] * RD COMPARE * port=1 adr=04 act=2D168D88708240CAE6 exp=2D168D88708240CAE6 + 48854.50ns INFO [00048856] Port=0 WR @01=39331310D26723666C + 48855.50ns INFO [00048857] * RD COMPARE * port=0 adr=05 act=2FA4162B4AE3F50BEC exp=2FA4162B4AE3F50BEC + 48855.50ns INFO [00048857] Port=0 WR @03=CA8D4AD1E3DA476040 + 48855.50ns INFO [00048857] Port=0 RD @01 + 48855.50ns INFO [00048857] Port=1 RD @02 + 48856.50ns INFO [00048858] Port=0 WR @06=643AA5F865B38E78DD + 48856.50ns INFO [00048858] Port=0 RD @03 + 48856.50ns INFO [00048858] Port=1 RD @05 + 48857.50ns INFO [00048859] * RD COMPARE * port=0 adr=01 act=39331310D26723666C exp=39331310D26723666C + 48857.50ns INFO [00048859] * RD COMPARE * port=1 adr=02 act=59874522EF47FD3611 exp=59874522EF47FD3611 + 48857.50ns INFO [00048859] Port=0 WR @01=A83C5AFC76D214B6E2 + 48858.50ns INFO [00048860] * RD COMPARE * port=0 adr=03 act=CA8D4AD1E3DA476040 exp=CA8D4AD1E3DA476040 + 48858.50ns INFO [00048860] * RD COMPARE * port=1 adr=05 act=2FA4162B4AE3F50BEC exp=2FA4162B4AE3F50BEC + 48858.50ns INFO [00048860] Port=0 WR @01=6A60318C984912C522 + 48858.50ns INFO [00048860] Port=1 RD @00 + 48859.50ns INFO [00048861] Port=0 WR @04=228B86628A837FCDEC + 48859.50ns INFO [00048861] Port=1 RD @00 + 48860.50ns INFO [00048862] * RD COMPARE * port=1 adr=00 act=5E9B3DDBDE741C7FF2 exp=5E9B3DDBDE741C7FF2 + 48860.50ns INFO [00048862] Port=0 RD @03 + 48861.50ns INFO [00048863] * RD COMPARE * port=1 adr=00 act=5E9B3DDBDE741C7FF2 exp=5E9B3DDBDE741C7FF2 + 48861.50ns INFO [00048863] Port=0 WR @02=C8D07CA8998120D40D + 48862.50ns INFO [00048864] * RD COMPARE * port=0 adr=03 act=CA8D4AD1E3DA476040 exp=CA8D4AD1E3DA476040 + 48862.50ns INFO [00048864] Port=0 RD @05 + 48862.50ns INFO [00048864] Port=1 RD @02 + 48863.50ns INFO [00048865] Port=0 WR @05=905DA3A2E1050D93E7 + 48864.50ns INFO [00048866] * RD COMPARE * port=0 adr=05 act=2FA4162B4AE3F50BEC exp=2FA4162B4AE3F50BEC + 48864.50ns INFO [00048866] * RD COMPARE * port=1 adr=02 act=C8D07CA8998120D40D exp=C8D07CA8998120D40D + 48864.50ns INFO [00048866] Port=0 WR @06=538A5AD9F5F43C01BF + 48865.50ns INFO [00048867] Port=0 WR @04=B69F5912E3BC261AFD + 48865.50ns INFO [00048867] Port=1 RD @06 + 48866.50ns INFO [00048868] Port=0 RD @05 + 48866.50ns INFO [00048868] Port=1 RD @05 + 48867.50ns INFO [00048869] * RD COMPARE * port=1 adr=06 act=538A5AD9F5F43C01BF exp=538A5AD9F5F43C01BF + 48867.50ns INFO [00048869] Port=0 WR @07=0CF89708D9C5B1C003 + 48867.50ns INFO [00048869] Port=0 RD @06 + 48868.50ns INFO [00048870] * RD COMPARE * port=0 adr=05 act=905DA3A2E1050D93E7 exp=905DA3A2E1050D93E7 + 48868.50ns INFO [00048870] * RD COMPARE * port=1 adr=05 act=905DA3A2E1050D93E7 exp=905DA3A2E1050D93E7 + 48868.50ns INFO [00048870] Port=1 RD @00 + 48869.50ns INFO [00048871] * RD COMPARE * port=0 adr=06 act=538A5AD9F5F43C01BF exp=538A5AD9F5F43C01BF + 48869.50ns INFO [00048871] Port=0 RD @00 + 48869.50ns INFO [00048871] Port=1 RD @06 + 48870.50ns INFO [00048872] * RD COMPARE * port=1 adr=00 act=5E9B3DDBDE741C7FF2 exp=5E9B3DDBDE741C7FF2 + 48870.50ns INFO [00048872] Port=0 WR @05=25D926C687B1D27EDD + 48871.50ns INFO [00048873] * RD COMPARE * port=0 adr=00 act=5E9B3DDBDE741C7FF2 exp=5E9B3DDBDE741C7FF2 + 48871.50ns INFO [00048873] * RD COMPARE * port=1 adr=06 act=538A5AD9F5F43C01BF exp=538A5AD9F5F43C01BF + 48871.50ns INFO [00048873] Port=0 WR @04=0E11035FE5214988C8 + 48871.50ns INFO [00048873] Port=0 RD @05 + 48871.50ns INFO [00048873] Port=1 RD @07 + 48872.50ns INFO [00048874] Port=0 WR @01=FFE4309266F7B2F25A + 48872.50ns INFO [00048874] Port=0 RD @06 + 48873.50ns INFO [00048875] * RD COMPARE * port=0 adr=05 act=25D926C687B1D27EDD exp=25D926C687B1D27EDD + 48873.50ns INFO [00048875] * RD COMPARE * port=1 adr=07 act=0CF89708D9C5B1C003 exp=0CF89708D9C5B1C003 + 48873.50ns INFO [00048875] Port=0 RD @00 + 48873.50ns INFO [00048875] Port=1 RD @00 + 48874.50ns INFO [00048876] * RD COMPARE * port=0 adr=06 act=538A5AD9F5F43C01BF exp=538A5AD9F5F43C01BF + 48874.50ns INFO [00048876] Port=0 RD @04 + 48874.50ns INFO [00048876] Port=1 RD @05 + 48875.50ns INFO [00048877] * RD COMPARE * port=0 adr=00 act=5E9B3DDBDE741C7FF2 exp=5E9B3DDBDE741C7FF2 + 48875.50ns INFO [00048877] * RD COMPARE * port=1 adr=00 act=5E9B3DDBDE741C7FF2 exp=5E9B3DDBDE741C7FF2 + 48875.50ns INFO [00048877] Port=0 RD @01 + 48875.50ns INFO [00048877] Port=1 RD @03 + 48876.50ns INFO [00048878] * RD COMPARE * port=0 adr=04 act=0E11035FE5214988C8 exp=0E11035FE5214988C8 + 48876.50ns INFO [00048878] * RD COMPARE * port=1 adr=05 act=25D926C687B1D27EDD exp=25D926C687B1D27EDD + 48876.50ns INFO [00048878] Port=1 RD @07 + 48877.50ns INFO [00048879] * RD COMPARE * port=0 adr=01 act=FFE4309266F7B2F25A exp=FFE4309266F7B2F25A + 48877.50ns INFO [00048879] * RD COMPARE * port=1 adr=03 act=CA8D4AD1E3DA476040 exp=CA8D4AD1E3DA476040 + 48877.50ns INFO [00048879] Port=0 RD @02 + 48878.50ns INFO [00048880] * RD COMPARE * port=1 adr=07 act=0CF89708D9C5B1C003 exp=0CF89708D9C5B1C003 + 48878.50ns INFO [00048880] Port=0 RD @03 + 48878.50ns INFO [00048880] Port=1 RD @07 + 48879.50ns INFO [00048881] * RD COMPARE * port=0 adr=02 act=C8D07CA8998120D40D exp=C8D07CA8998120D40D + 48879.50ns INFO [00048881] Port=0 WR @00=25C0997658365A17BA + 48879.50ns INFO [00048881] Port=0 RD @07 + 48880.50ns INFO [00048882] * RD COMPARE * port=0 adr=03 act=CA8D4AD1E3DA476040 exp=CA8D4AD1E3DA476040 + 48880.50ns INFO [00048882] * RD COMPARE * port=1 adr=07 act=0CF89708D9C5B1C003 exp=0CF89708D9C5B1C003 + 48880.50ns INFO [00048882] Port=0 WR @06=B88DC8E0BBDD442D72 + 48880.50ns INFO [00048882] Port=0 RD @01 + 48881.50ns INFO [00048883] * RD COMPARE * port=0 adr=07 act=0CF89708D9C5B1C003 exp=0CF89708D9C5B1C003 + 48881.50ns INFO [00048883] Port=0 RD @00 + 48882.50ns INFO [00048884] * RD COMPARE * port=0 adr=01 act=FFE4309266F7B2F25A exp=FFE4309266F7B2F25A + 48883.50ns INFO [00048885] * RD COMPARE * port=0 adr=00 act=25C0997658365A17BA exp=25C0997658365A17BA + 48884.50ns INFO [00048886] Port=0 WR @03=89DBF0DC6986437CCA + 48885.50ns INFO [00048887] Port=0 WR @02=3884233BBA2D959413 + 48885.50ns INFO [00048887] Port=1 RD @00 + 48886.50ns INFO [00048888] Port=0 WR @01=8678F3EA739DE2E057 + 48887.50ns INFO [00048889] * RD COMPARE * port=1 adr=00 act=25C0997658365A17BA exp=25C0997658365A17BA + 48887.50ns INFO [00048889] Port=0 RD @07 + 48887.50ns INFO [00048889] Port=1 RD @06 + 48889.50ns INFO [00048891] * RD COMPARE * port=0 adr=07 act=0CF89708D9C5B1C003 exp=0CF89708D9C5B1C003 + 48889.50ns INFO [00048891] * RD COMPARE * port=1 adr=06 act=B88DC8E0BBDD442D72 exp=B88DC8E0BBDD442D72 + 48889.50ns INFO [00048891] Port=0 WR @06=6C5AEA3D05A5D1FF73 + 48890.50ns INFO [00048892] Port=0 WR @00=6CADAADE580D857974 + 48890.50ns INFO [00048892] Port=0 RD @01 + 48890.50ns INFO [00048892] Port=1 RD @07 + 48892.50ns INFO [00048894] * RD COMPARE * port=0 adr=01 act=8678F3EA739DE2E057 exp=8678F3EA739DE2E057 + 48892.50ns INFO [00048894] * RD COMPARE * port=1 adr=07 act=0CF89708D9C5B1C003 exp=0CF89708D9C5B1C003 + 48892.50ns INFO [00048894] Port=0 WR @00=985A9635B5FF0FA4D0 + 48892.50ns INFO [00048894] Port=0 RD @07 + 48894.50ns INFO [00048896] * RD COMPARE * port=0 adr=07 act=0CF89708D9C5B1C003 exp=0CF89708D9C5B1C003 + 48894.50ns INFO [00048896] Port=0 RD @05 + 48895.50ns INFO [00048897] Port=0 WR @01=78AAB6F13EA2BC0058 + 48895.50ns INFO [00048897] Port=0 RD @07 + 48896.50ns INFO [00048898] * RD COMPARE * port=0 adr=05 act=25D926C687B1D27EDD exp=25D926C687B1D27EDD + 48896.50ns INFO [00048898] Port=0 WR @01=1703C8DE52FF6DF713 + 48896.50ns INFO [00048898] Port=0 RD @03 + 48897.50ns INFO [00048899] * RD COMPARE * port=0 adr=07 act=0CF89708D9C5B1C003 exp=0CF89708D9C5B1C003 + 48897.50ns INFO [00048899] Port=0 WR @01=360675D9CE6C3D2CC8 + 48897.50ns INFO [00048899] Port=1 RD @03 + 48898.00ns INFO [00048900] [00048900] ...tick... + 48898.50ns INFO [00048900] * RD COMPARE * port=0 adr=03 act=89DBF0DC6986437CCA exp=89DBF0DC6986437CCA + 48898.50ns INFO [00048900] Port=1 RD @02 + 48899.50ns INFO [00048901] * RD COMPARE * port=1 adr=03 act=89DBF0DC6986437CCA exp=89DBF0DC6986437CCA + 48899.50ns INFO [00048901] Port=1 RD @01 + 48900.50ns INFO [00048902] * RD COMPARE * port=1 adr=02 act=3884233BBA2D959413 exp=3884233BBA2D959413 + 48901.50ns INFO [00048903] * RD COMPARE * port=1 adr=01 act=360675D9CE6C3D2CC8 exp=360675D9CE6C3D2CC8 + 48901.50ns INFO [00048903] Port=0 RD @05 + 48903.50ns INFO [00048905] * RD COMPARE * port=0 adr=05 act=25D926C687B1D27EDD exp=25D926C687B1D27EDD + 48903.50ns INFO [00048905] Port=1 RD @03 + 48905.50ns INFO [00048907] * RD COMPARE * port=1 adr=03 act=89DBF0DC6986437CCA exp=89DBF0DC6986437CCA + 48905.50ns INFO [00048907] Port=0 RD @05 + 48906.50ns INFO [00048908] Port=0 WR @07=56E7BCEA2424E328CC + 48907.50ns INFO [00048909] * RD COMPARE * port=0 adr=05 act=25D926C687B1D27EDD exp=25D926C687B1D27EDD + 48907.50ns INFO [00048909] Port=0 WR @04=D1C118E01AC373388A + 48908.50ns INFO [00048910] Port=0 RD @04 + 48908.50ns INFO [00048910] Port=1 RD @03 + 48909.50ns INFO [00048911] Port=1 RD @02 + 48910.50ns INFO [00048912] * RD COMPARE * port=0 adr=04 act=D1C118E01AC373388A exp=D1C118E01AC373388A + 48910.50ns INFO [00048912] * RD COMPARE * port=1 adr=03 act=89DBF0DC6986437CCA exp=89DBF0DC6986437CCA + 48910.50ns INFO [00048912] Port=0 WR @06=0003BADD6B8E4D4C2E + 48910.50ns INFO [00048912] Port=0 RD @01 + 48910.50ns INFO [00048912] Port=1 RD @05 + 48911.50ns INFO [00048913] * RD COMPARE * port=1 adr=02 act=3884233BBA2D959413 exp=3884233BBA2D959413 + 48911.50ns INFO [00048913] Port=0 WR @05=F5B070594268B9FCA8 + 48911.50ns INFO [00048913] Port=1 RD @01 + 48912.50ns INFO [00048914] * RD COMPARE * port=0 adr=01 act=360675D9CE6C3D2CC8 exp=360675D9CE6C3D2CC8 + 48912.50ns INFO [00048914] * RD COMPARE * port=1 adr=05 act=25D926C687B1D27EDD exp=25D926C687B1D27EDD + 48912.50ns INFO [00048914] Port=0 RD @00 + 48913.50ns INFO [00048915] * RD COMPARE * port=1 adr=01 act=360675D9CE6C3D2CC8 exp=360675D9CE6C3D2CC8 + 48913.50ns INFO [00048915] Port=1 RD @02 + 48914.50ns INFO [00048916] * RD COMPARE * port=0 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48914.50ns INFO [00048916] Port=0 RD @01 + 48914.50ns INFO [00048916] Port=1 RD @04 + 48915.50ns INFO [00048917] * RD COMPARE * port=1 adr=02 act=3884233BBA2D959413 exp=3884233BBA2D959413 + 48916.50ns INFO [00048918] * RD COMPARE * port=0 adr=01 act=360675D9CE6C3D2CC8 exp=360675D9CE6C3D2CC8 + 48916.50ns INFO [00048918] * RD COMPARE * port=1 adr=04 act=D1C118E01AC373388A exp=D1C118E01AC373388A + 48917.50ns INFO [00048919] Port=0 WR @02=9FCF9B448637BBC95D + 48917.50ns INFO [00048919] Port=0 RD @07 + 48917.50ns INFO [00048919] Port=1 RD @01 + 48918.50ns INFO [00048920] Port=0 RD @00 + 48919.50ns INFO [00048921] * RD COMPARE * port=0 adr=07 act=56E7BCEA2424E328CC exp=56E7BCEA2424E328CC + 48919.50ns INFO [00048921] * RD COMPARE * port=1 adr=01 act=360675D9CE6C3D2CC8 exp=360675D9CE6C3D2CC8 + 48919.50ns INFO [00048921] Port=0 WR @06=142AF986F93E061433 + 48919.50ns INFO [00048921] Port=0 RD @00 + 48919.50ns INFO [00048921] Port=1 RD @01 + 48920.50ns INFO [00048922] * RD COMPARE * port=0 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48920.50ns INFO [00048922] Port=1 RD @07 + 48921.50ns INFO [00048923] * RD COMPARE * port=0 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48921.50ns INFO [00048923] * RD COMPARE * port=1 adr=01 act=360675D9CE6C3D2CC8 exp=360675D9CE6C3D2CC8 + 48921.50ns INFO [00048923] Port=0 RD @07 + 48922.50ns INFO [00048924] * RD COMPARE * port=1 adr=07 act=56E7BCEA2424E328CC exp=56E7BCEA2424E328CC + 48922.50ns INFO [00048924] Port=0 RD @07 + 48923.50ns INFO [00048925] * RD COMPARE * port=0 adr=07 act=56E7BCEA2424E328CC exp=56E7BCEA2424E328CC + 48923.50ns INFO [00048925] Port=1 RD @03 + 48924.50ns INFO [00048926] * RD COMPARE * port=0 adr=07 act=56E7BCEA2424E328CC exp=56E7BCEA2424E328CC + 48924.50ns INFO [00048926] Port=1 RD @03 + 48925.50ns INFO [00048927] * RD COMPARE * port=1 adr=03 act=89DBF0DC6986437CCA exp=89DBF0DC6986437CCA + 48925.50ns INFO [00048927] Port=1 RD @05 + 48926.50ns INFO [00048928] * RD COMPARE * port=1 adr=03 act=89DBF0DC6986437CCA exp=89DBF0DC6986437CCA + 48927.50ns INFO [00048929] * RD COMPARE * port=1 adr=05 act=F5B070594268B9FCA8 exp=F5B070594268B9FCA8 + 48928.50ns INFO [00048930] Port=0 RD @05 + 48930.50ns INFO [00048932] * RD COMPARE * port=0 adr=05 act=F5B070594268B9FCA8 exp=F5B070594268B9FCA8 + 48930.50ns INFO [00048932] Port=0 WR @07=7A8A2577C0E58E7918 + 48930.50ns INFO [00048932] Port=1 RD @00 + 48931.50ns INFO [00048933] Port=0 WR @03=DBC4E66FF027D0F86A + 48931.50ns INFO [00048933] Port=0 RD @00 + 48931.50ns INFO [00048933] Port=1 RD @01 + 48932.50ns INFO [00048934] * RD COMPARE * port=1 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48932.50ns INFO [00048934] Port=0 RD @02 + 48933.50ns INFO [00048935] * RD COMPARE * port=0 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48933.50ns INFO [00048935] * RD COMPARE * port=1 adr=01 act=360675D9CE6C3D2CC8 exp=360675D9CE6C3D2CC8 + 48933.50ns INFO [00048935] Port=0 WR @06=4CB5CC2418351DC8B4 + 48933.50ns INFO [00048935] Port=0 RD @00 + 48934.50ns INFO [00048936] * RD COMPARE * port=0 adr=02 act=9FCF9B448637BBC95D exp=9FCF9B448637BBC95D + 48934.50ns INFO [00048936] Port=0 WR @06=48A32019B38C895EF7 + 48934.50ns INFO [00048936] Port=0 RD @03 + 48935.50ns INFO [00048937] * RD COMPARE * port=0 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48935.50ns INFO [00048937] Port=0 WR @04=C7B8201F6E083167B8 + 48936.50ns INFO [00048938] * RD COMPARE * port=0 adr=03 act=DBC4E66FF027D0F86A exp=DBC4E66FF027D0F86A + 48936.50ns INFO [00048938] Port=0 RD @00 + 48936.50ns INFO [00048938] Port=1 RD @05 + 48937.50ns INFO [00048939] Port=0 WR @06=C9BFB9E93DF7AFD178 + 48937.50ns INFO [00048939] Port=1 RD @02 + 48938.50ns INFO [00048940] * RD COMPARE * port=0 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48938.50ns INFO [00048940] * RD COMPARE * port=1 adr=05 act=F5B070594268B9FCA8 exp=F5B070594268B9FCA8 + 48939.50ns INFO [00048941] * RD COMPARE * port=1 adr=02 act=9FCF9B448637BBC95D exp=9FCF9B448637BBC95D + 48939.50ns INFO [00048941] Port=0 RD @01 + 48940.50ns INFO [00048942] Port=0 RD @01 + 48941.50ns INFO [00048943] * RD COMPARE * port=0 adr=01 act=360675D9CE6C3D2CC8 exp=360675D9CE6C3D2CC8 + 48941.50ns INFO [00048943] Port=0 WR @07=270EEF0BC9A7ABA41B + 48941.50ns INFO [00048943] Port=0 RD @02 + 48941.50ns INFO [00048943] Port=1 RD @05 + 48942.50ns INFO [00048944] * RD COMPARE * port=0 adr=01 act=360675D9CE6C3D2CC8 exp=360675D9CE6C3D2CC8 + 48942.50ns INFO [00048944] Port=1 RD @00 + 48943.50ns INFO [00048945] * RD COMPARE * port=0 adr=02 act=9FCF9B448637BBC95D exp=9FCF9B448637BBC95D + 48943.50ns INFO [00048945] * RD COMPARE * port=1 adr=05 act=F5B070594268B9FCA8 exp=F5B070594268B9FCA8 + 48944.50ns INFO [00048946] * RD COMPARE * port=1 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48944.50ns INFO [00048946] Port=0 RD @05 + 48945.50ns INFO [00048947] Port=0 WR @01=718670FBD7D91A5B53 + 48945.50ns INFO [00048947] Port=0 RD @05 + 48946.50ns INFO [00048948] * RD COMPARE * port=0 adr=05 act=F5B070594268B9FCA8 exp=F5B070594268B9FCA8 + 48947.50ns INFO [00048949] * RD COMPARE * port=0 adr=05 act=F5B070594268B9FCA8 exp=F5B070594268B9FCA8 + 48949.50ns INFO [00048951] Port=0 WR @07=1A61E04CD292857FC1 + 48950.50ns INFO [00048952] Port=0 WR @02=6B27352CC5F05960A1 + 48951.50ns INFO [00048953] Port=0 WR @04=C422DD1508E88B6764 + 48951.50ns INFO [00048953] Port=0 RD @03 + 48952.50ns INFO [00048954] Port=0 RD @07 + 48953.50ns INFO [00048955] * RD COMPARE * port=0 adr=03 act=DBC4E66FF027D0F86A exp=DBC4E66FF027D0F86A + 48953.50ns INFO [00048955] Port=0 RD @05 + 48954.50ns INFO [00048956] * RD COMPARE * port=0 adr=07 act=1A61E04CD292857FC1 exp=1A61E04CD292857FC1 + 48954.50ns INFO [00048956] Port=0 RD @00 + 48955.50ns INFO [00048957] * RD COMPARE * port=0 adr=05 act=F5B070594268B9FCA8 exp=F5B070594268B9FCA8 + 48956.50ns INFO [00048958] * RD COMPARE * port=0 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48956.50ns INFO [00048958] Port=0 RD @02 + 48958.50ns INFO [00048960] * RD COMPARE * port=0 adr=02 act=6B27352CC5F05960A1 exp=6B27352CC5F05960A1 + 48958.50ns INFO [00048960] Port=0 WR @01=746F1EF6D0133691AB + 48958.50ns INFO [00048960] Port=0 RD @02 + 48958.50ns INFO [00048960] Port=1 RD @04 + 48960.50ns INFO [00048962] * RD COMPARE * port=0 adr=02 act=6B27352CC5F05960A1 exp=6B27352CC5F05960A1 + 48960.50ns INFO [00048962] * RD COMPARE * port=1 adr=04 act=C422DD1508E88B6764 exp=C422DD1508E88B6764 + 48961.50ns INFO [00048963] Port=1 RD @06 + 48962.50ns INFO [00048964] Port=1 RD @07 + 48963.50ns INFO [00048965] * RD COMPARE * port=1 adr=06 act=C9BFB9E93DF7AFD178 exp=C9BFB9E93DF7AFD178 + 48963.50ns INFO [00048965] Port=0 WR @01=AAA284A407112E88B4 + 48963.50ns INFO [00048965] Port=0 RD @07 + 48963.50ns INFO [00048965] Port=1 RD @00 + 48964.50ns INFO [00048966] * RD COMPARE * port=1 adr=07 act=1A61E04CD292857FC1 exp=1A61E04CD292857FC1 + 48965.50ns INFO [00048967] * RD COMPARE * port=0 adr=07 act=1A61E04CD292857FC1 exp=1A61E04CD292857FC1 + 48965.50ns INFO [00048967] * RD COMPARE * port=1 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48966.50ns INFO [00048968] Port=0 WR @06=1FDD22D2F8542875AB + 48966.50ns INFO [00048968] Port=1 RD @04 + 48967.50ns INFO [00048969] Port=0 WR @03=6E0D9CEA487C72C980 + 48967.50ns INFO [00048969] Port=1 RD @05 + 48968.50ns INFO [00048970] * RD COMPARE * port=1 adr=04 act=C422DD1508E88B6764 exp=C422DD1508E88B6764 + 48968.50ns INFO [00048970] Port=1 RD @00 + 48969.50ns INFO [00048971] * RD COMPARE * port=1 adr=05 act=F5B070594268B9FCA8 exp=F5B070594268B9FCA8 + 48969.50ns INFO [00048971] Port=1 RD @06 + 48970.50ns INFO [00048972] * RD COMPARE * port=1 adr=00 act=985A9635B5FF0FA4D0 exp=985A9635B5FF0FA4D0 + 48970.50ns INFO [00048972] Port=0 RD @07 + 48971.50ns INFO [00048973] * RD COMPARE * port=1 adr=06 act=1FDD22D2F8542875AB exp=1FDD22D2F8542875AB + 48972.50ns INFO [00048974] * RD COMPARE * port=0 adr=07 act=1A61E04CD292857FC1 exp=1A61E04CD292857FC1 + 48972.50ns INFO [00048974] Port=1 RD @06 + 48974.50ns INFO [00048976] * RD COMPARE * port=1 adr=06 act=1FDD22D2F8542875AB exp=1FDD22D2F8542875AB + 48974.50ns INFO [00048976] Port=0 RD @05 + 48975.50ns INFO [00048977] Port=0 WR @02=F048C080AA6FDBE73C + 48975.50ns INFO [00048977] Port=0 RD @04 + 48976.50ns INFO [00048978] * RD COMPARE * port=0 adr=05 act=F5B070594268B9FCA8 exp=F5B070594268B9FCA8 + 48976.50ns INFO [00048978] Port=0 RD @04 + 48977.50ns INFO [00048979] * RD COMPARE * port=0 adr=04 act=C422DD1508E88B6764 exp=C422DD1508E88B6764 + 48977.50ns INFO [00048979] Port=0 WR @00=DB4A38F32E1844538E + 48977.50ns INFO [00048979] Port=0 RD @03 + 48978.50ns INFO [00048980] * RD COMPARE * port=0 adr=04 act=C422DD1508E88B6764 exp=C422DD1508E88B6764 + 48978.50ns INFO [00048980] Port=0 WR @02=711BEBA835664BEBBC + 48979.50ns INFO [00048981] * RD COMPARE * port=0 adr=03 act=6E0D9CEA487C72C980 exp=6E0D9CEA487C72C980 + 48980.50ns INFO [00048982] Port=0 WR @07=09357A25414A09C763 + 48981.50ns INFO [00048983] Port=0 WR @05=E47AA9592140E1FF7D + 48981.50ns INFO [00048983] Port=0 RD @04 + 48981.50ns INFO [00048983] Port=1 RD @01 + 48982.50ns INFO [00048984] Port=0 WR @04=9E8EA35C36849E2FF6 + 48982.50ns INFO [00048984] Port=0 RD @02 + 48983.50ns INFO [00048985] * RD COMPARE * port=0 adr=04 act=C422DD1508E88B6764 exp=C422DD1508E88B6764 + 48983.50ns INFO [00048985] * RD COMPARE * port=1 adr=01 act=AAA284A407112E88B4 exp=AAA284A407112E88B4 + 48983.50ns INFO [00048985] Port=0 WR @00=8E74BBF8EE625F7DF2 + 48983.50ns INFO [00048985] Port=1 RD @04 + 48984.50ns INFO [00048986] * RD COMPARE * port=0 adr=02 act=711BEBA835664BEBBC exp=711BEBA835664BEBBC + 48985.50ns INFO [00048987] * RD COMPARE * port=1 adr=04 act=9E8EA35C36849E2FF6 exp=9E8EA35C36849E2FF6 + 48986.50ns INFO [00048988] Port=0 RD @02 + 48986.50ns INFO [00048988] Port=1 RD @07 + 48988.50ns INFO [00048990] * RD COMPARE * port=0 adr=02 act=711BEBA835664BEBBC exp=711BEBA835664BEBBC + 48988.50ns INFO [00048990] * RD COMPARE * port=1 adr=07 act=09357A25414A09C763 exp=09357A25414A09C763 + 48988.50ns INFO [00048990] Port=0 WR @00=2A73A043E76455CCBE + 48988.50ns INFO [00048990] Port=0 RD @02 + 48988.50ns INFO [00048990] Port=1 RD @02 + 48990.50ns INFO [00048992] * RD COMPARE * port=0 adr=02 act=711BEBA835664BEBBC exp=711BEBA835664BEBBC + 48990.50ns INFO [00048992] * RD COMPARE * port=1 adr=02 act=711BEBA835664BEBBC exp=711BEBA835664BEBBC + 48990.50ns INFO [00048992] Port=0 RD @07 + 48991.50ns INFO [00048993] Port=0 WR @00=BD3DECA2AB4938D6CE + 48992.50ns INFO [00048994] * RD COMPARE * port=0 adr=07 act=09357A25414A09C763 exp=09357A25414A09C763 + 48993.50ns INFO [00048995] Port=1 RD @03 + 48994.50ns INFO [00048996] Port=0 RD @02 + 48995.50ns INFO [00048997] * RD COMPARE * port=1 adr=03 act=6E0D9CEA487C72C980 exp=6E0D9CEA487C72C980 + 48995.50ns INFO [00048997] Port=0 RD @07 + 48996.50ns INFO [00048998] * RD COMPARE * port=0 adr=02 act=711BEBA835664BEBBC exp=711BEBA835664BEBBC + 48996.50ns INFO [00048998] Port=0 WR @06=7A9C61EA06031F3CAB + 48997.50ns INFO [00048999] * RD COMPARE * port=0 adr=07 act=09357A25414A09C763 exp=09357A25414A09C763 + 48997.50ns INFO [00048999] Port=1 RD @02 + 48998.00ns INFO [00049000] [00049000] ...tick... + 48999.50ns INFO [00049001] * RD COMPARE * port=1 adr=02 act=711BEBA835664BEBBC exp=711BEBA835664BEBBC + 49000.50ns INFO [00049002] Port=0 WR @07=88BE7947A63CC76B41 + 49000.50ns INFO [00049002] Port=1 RD @04 + 49002.50ns INFO [00049004] * RD COMPARE * port=1 adr=04 act=9E8EA35C36849E2FF6 exp=9E8EA35C36849E2FF6 + 49002.50ns INFO [00049004] Port=0 RD @04 + 49003.50ns INFO [00049005] Port=0 WR @00=F9C8DBC9A5457D0902 + 49003.50ns INFO [00049005] Port=0 RD @05 + 49004.50ns INFO [00049006] * RD COMPARE * port=0 adr=04 act=9E8EA35C36849E2FF6 exp=9E8EA35C36849E2FF6 + 49004.50ns INFO [00049006] Port=0 WR @02=705908971F87D350A9 + 49004.50ns INFO [00049006] Port=0 RD @04 + 49005.50ns INFO [00049007] * RD COMPARE * port=0 adr=05 act=E47AA9592140E1FF7D exp=E47AA9592140E1FF7D + 49006.50ns INFO [00049008] * RD COMPARE * port=0 adr=04 act=9E8EA35C36849E2FF6 exp=9E8EA35C36849E2FF6 + 49006.50ns INFO [00049008] Port=0 WR @03=9D47057ABAC2222487 + 49006.50ns INFO [00049008] Port=1 RD @05 + 49008.50ns INFO [00049010] * RD COMPARE * port=1 adr=05 act=E47AA9592140E1FF7D exp=E47AA9592140E1FF7D + 49008.50ns INFO [00049010] Port=0 RD @00 + 49009.50ns INFO [00049011] Port=0 WR @01=025FA0E5B6925769B5 + 49009.50ns INFO [00049011] Port=0 RD @05 + 49010.50ns INFO [00049012] * RD COMPARE * port=0 adr=00 act=F9C8DBC9A5457D0902 exp=F9C8DBC9A5457D0902 + 49010.50ns INFO [00049012] Port=0 WR @02=3E5EC79F56DCDEDC09 + 49010.50ns INFO [00049012] Port=0 RD @00 + 49011.50ns INFO [00049013] * RD COMPARE * port=0 adr=05 act=E47AA9592140E1FF7D exp=E47AA9592140E1FF7D + 49011.50ns INFO [00049013] Port=1 RD @02 + 49012.50ns INFO [00049014] * RD COMPARE * port=0 adr=00 act=F9C8DBC9A5457D0902 exp=F9C8DBC9A5457D0902 + 49012.50ns INFO [00049014] Port=1 RD @04 + 49013.50ns INFO [00049015] * RD COMPARE * port=1 adr=02 act=3E5EC79F56DCDEDC09 exp=3E5EC79F56DCDEDC09 + 49014.50ns INFO [00049016] * RD COMPARE * port=1 adr=04 act=9E8EA35C36849E2FF6 exp=9E8EA35C36849E2FF6 + 49015.50ns INFO [00049017] Port=0 RD @01 + 49015.50ns INFO [00049017] Port=1 RD @06 + 49016.50ns INFO [00049018] Port=0 WR @04=1A263A5CB18675D5F3 + 49017.50ns INFO [00049019] * RD COMPARE * port=0 adr=01 act=025FA0E5B6925769B5 exp=025FA0E5B6925769B5 + 49017.50ns INFO [00049019] * RD COMPARE * port=1 adr=06 act=7A9C61EA06031F3CAB exp=7A9C61EA06031F3CAB + 49017.50ns INFO [00049019] Port=0 RD @04 + 49017.50ns INFO [00049019] Port=1 RD @00 + 49018.50ns INFO [00049020] Port=1 RD @02 + 49019.50ns INFO [00049021] * RD COMPARE * port=0 adr=04 act=1A263A5CB18675D5F3 exp=1A263A5CB18675D5F3 + 49019.50ns INFO [00049021] * RD COMPARE * port=1 adr=00 act=F9C8DBC9A5457D0902 exp=F9C8DBC9A5457D0902 + 49019.50ns INFO [00049021] Port=0 WR @00=C82C0FE17311BF4FC5 + 49019.50ns INFO [00049021] Port=1 RD @07 + 49020.50ns INFO [00049022] * RD COMPARE * port=1 adr=02 act=3E5EC79F56DCDEDC09 exp=3E5EC79F56DCDEDC09 + 49021.50ns INFO [00049023] * RD COMPARE * port=1 adr=07 act=88BE7947A63CC76B41 exp=88BE7947A63CC76B41 + 49022.50ns INFO [00049024] Port=0 WR @02=7B5A405A7747C8AFE0 + 49022.50ns INFO [00049024] Port=0 RD @01 + 49023.50ns INFO [00049025] Port=0 RD @05 + 49024.50ns INFO [00049026] * RD COMPARE * port=0 adr=01 act=025FA0E5B6925769B5 exp=025FA0E5B6925769B5 + 49024.50ns INFO [00049026] Port=1 RD @02 + 49025.50ns INFO [00049027] * RD COMPARE * port=0 adr=05 act=E47AA9592140E1FF7D exp=E47AA9592140E1FF7D + 49025.50ns INFO [00049027] Port=1 RD @06 + 49026.50ns INFO [00049028] * RD COMPARE * port=1 adr=02 act=7B5A405A7747C8AFE0 exp=7B5A405A7747C8AFE0 + 49027.50ns INFO [00049029] * RD COMPARE * port=1 adr=06 act=7A9C61EA06031F3CAB exp=7A9C61EA06031F3CAB + 49029.50ns INFO [00049031] Port=0 RD @02 + 49030.50ns INFO [00049032] Port=0 RD @03 + 49030.50ns INFO [00049032] Port=1 RD @01 + 49031.50ns INFO [00049033] * RD COMPARE * port=0 adr=02 act=7B5A405A7747C8AFE0 exp=7B5A405A7747C8AFE0 + 49032.50ns INFO [00049034] * RD COMPARE * port=0 adr=03 act=9D47057ABAC2222487 exp=9D47057ABAC2222487 + 49032.50ns INFO [00049034] * RD COMPARE * port=1 adr=01 act=025FA0E5B6925769B5 exp=025FA0E5B6925769B5 + 49032.50ns INFO [00049034] Port=0 RD @04 + 49034.50ns INFO [00049036] * RD COMPARE * port=0 adr=04 act=1A263A5CB18675D5F3 exp=1A263A5CB18675D5F3 + 49035.50ns INFO [00049037] Port=0 WR @02=211B25CD8901AD5742 + 49035.50ns INFO [00049037] Port=0 RD @03 + 49035.50ns INFO [00049037] Port=1 RD @03 + 49036.50ns INFO [00049038] Port=0 RD @06 + 49037.50ns INFO [00049039] * RD COMPARE * port=0 adr=03 act=9D47057ABAC2222487 exp=9D47057ABAC2222487 + 49037.50ns INFO [00049039] * RD COMPARE * port=1 adr=03 act=9D47057ABAC2222487 exp=9D47057ABAC2222487 + 49038.50ns INFO [00049040] * RD COMPARE * port=0 adr=06 act=7A9C61EA06031F3CAB exp=7A9C61EA06031F3CAB + 49038.50ns INFO [00049040] Port=0 WR @05=482B9A6C075EAF395F + 49038.50ns INFO [00049040] Port=1 RD @04 + 49039.50ns INFO [00049041] Port=0 WR @06=1A35AEF4B8DCB67A53 + 49039.50ns INFO [00049041] Port=0 RD @07 + 49039.50ns INFO [00049041] Port=1 RD @04 + 49040.50ns INFO [00049042] * RD COMPARE * port=1 adr=04 act=1A263A5CB18675D5F3 exp=1A263A5CB18675D5F3 + 49040.50ns INFO [00049042] Port=0 WR @06=977C5CDE230C2C8340 + 49041.50ns INFO [00049043] * RD COMPARE * port=0 adr=07 act=88BE7947A63CC76B41 exp=88BE7947A63CC76B41 + 49041.50ns INFO [00049043] * RD COMPARE * port=1 adr=04 act=1A263A5CB18675D5F3 exp=1A263A5CB18675D5F3 + 49041.50ns INFO [00049043] Port=0 WR @01=27A5572DB0F575EEEA + 49041.50ns INFO [00049043] Port=1 RD @04 + 49042.50ns INFO [00049044] Port=0 WR @07=33F339C969EC612C49 + 49042.50ns INFO [00049044] Port=0 RD @02 + 49042.50ns INFO [00049044] Port=1 RD @03 + 49043.50ns INFO [00049045] * RD COMPARE * port=1 adr=04 act=1A263A5CB18675D5F3 exp=1A263A5CB18675D5F3 + 49043.50ns INFO [00049045] Port=0 RD @05 + 49044.50ns INFO [00049046] * RD COMPARE * port=0 adr=02 act=211B25CD8901AD5742 exp=211B25CD8901AD5742 + 49044.50ns INFO [00049046] * RD COMPARE * port=1 adr=03 act=9D47057ABAC2222487 exp=9D47057ABAC2222487 + 49045.50ns INFO [00049047] * RD COMPARE * port=0 adr=05 act=482B9A6C075EAF395F exp=482B9A6C075EAF395F + 49045.50ns INFO [00049047] Port=0 RD @05 + 49045.50ns INFO [00049047] Port=1 RD @02 + 49047.50ns INFO [00049049] * RD COMPARE * port=0 adr=05 act=482B9A6C075EAF395F exp=482B9A6C075EAF395F + 49047.50ns INFO [00049049] * RD COMPARE * port=1 adr=02 act=211B25CD8901AD5742 exp=211B25CD8901AD5742 + 49047.50ns INFO [00049049] Port=0 WR @03=F1910ADA1AD2E83CA8 + 49047.50ns INFO [00049049] Port=0 RD @00 + 49047.50ns INFO [00049049] Port=1 RD @05 + 49048.50ns INFO [00049050] Port=0 WR @07=0271367D8686CB3EDE + 49048.50ns INFO [00049050] Port=0 RD @01 + 49048.50ns INFO [00049050] Port=1 RD @00 + 49049.50ns INFO [00049051] * RD COMPARE * port=0 adr=00 act=C82C0FE17311BF4FC5 exp=C82C0FE17311BF4FC5 + 49049.50ns INFO [00049051] * RD COMPARE * port=1 adr=05 act=482B9A6C075EAF395F exp=482B9A6C075EAF395F + 49049.50ns INFO [00049051] Port=0 RD @05 + 49050.50ns INFO [00049052] * RD COMPARE * port=0 adr=01 act=27A5572DB0F575EEEA exp=27A5572DB0F575EEEA + 49050.50ns INFO [00049052] * RD COMPARE * port=1 adr=00 act=C82C0FE17311BF4FC5 exp=C82C0FE17311BF4FC5 + 49050.50ns INFO [00049052] Port=1 RD @00 + 49051.50ns INFO [00049053] * RD COMPARE * port=0 adr=05 act=482B9A6C075EAF395F exp=482B9A6C075EAF395F + 49051.50ns INFO [00049053] Port=0 WR @00=D9ACA8C1772A80BEB6 + 49051.50ns INFO [00049053] Port=0 RD @02 + 49051.50ns INFO [00049053] Port=1 RD @05 + 49052.50ns INFO [00049054] * RD COMPARE * port=1 adr=00 act=C82C0FE17311BF4FC5 exp=C82C0FE17311BF4FC5 + 49053.50ns INFO [00049055] * RD COMPARE * port=0 adr=02 act=211B25CD8901AD5742 exp=211B25CD8901AD5742 + 49053.50ns INFO [00049055] * RD COMPARE * port=1 adr=05 act=482B9A6C075EAF395F exp=482B9A6C075EAF395F + 49053.50ns INFO [00049055] Port=0 RD @07 + 49054.50ns INFO [00049056] Port=0 RD @05 + 49055.50ns INFO [00049057] * RD COMPARE * port=0 adr=07 act=0271367D8686CB3EDE exp=0271367D8686CB3EDE + 49056.50ns INFO [00049058] * RD COMPARE * port=0 adr=05 act=482B9A6C075EAF395F exp=482B9A6C075EAF395F + 49056.50ns INFO [00049058] Port=0 WR @04=D8E0FAAA3D17424816 + 49057.50ns INFO [00049059] Port=0 RD @05 + 49057.50ns INFO [00049059] Port=1 RD @03 + 49059.50ns INFO [00049061] * RD COMPARE * port=0 adr=05 act=482B9A6C075EAF395F exp=482B9A6C075EAF395F + 49059.50ns INFO [00049061] * RD COMPARE * port=1 adr=03 act=F1910ADA1AD2E83CA8 exp=F1910ADA1AD2E83CA8 + 49059.50ns INFO [00049061] Port=0 WR @02=F93DD5FFA099C768DA + 49060.50ns INFO [00049062] Port=0 WR @00=1B68C788019CE9935F + 49060.50ns INFO [00049062] Port=0 RD @06 + 49060.50ns INFO [00049062] Port=1 RD @01 + 49061.50ns INFO [00049063] Port=0 RD @04 + 49061.50ns INFO [00049063] Port=1 RD @03 + 49062.50ns INFO [00049064] * RD COMPARE * port=0 adr=06 act=977C5CDE230C2C8340 exp=977C5CDE230C2C8340 + 49062.50ns INFO [00049064] * RD COMPARE * port=1 adr=01 act=27A5572DB0F575EEEA exp=27A5572DB0F575EEEA + 49062.50ns INFO [00049064] Port=0 WR @07=5C5ECBDE4CBE1755CB + 49062.50ns INFO [00049064] Port=0 RD @00 + 49063.50ns INFO [00049065] * RD COMPARE * port=0 adr=04 act=D8E0FAAA3D17424816 exp=D8E0FAAA3D17424816 + 49063.50ns INFO [00049065] * RD COMPARE * port=1 adr=03 act=F1910ADA1AD2E83CA8 exp=F1910ADA1AD2E83CA8 + 49063.50ns INFO [00049065] Port=0 RD @02 + 49064.50ns INFO [00049066] * RD COMPARE * port=0 adr=00 act=1B68C788019CE9935F exp=1B68C788019CE9935F + 49065.50ns INFO [00049067] * RD COMPARE * port=0 adr=02 act=F93DD5FFA099C768DA exp=F93DD5FFA099C768DA + 49065.50ns INFO [00049067] Port=0 RD @05 + 49066.50ns INFO [00049068] Port=0 WR @02=C7B45389CF96B49F74 + 49066.50ns INFO [00049068] Port=0 RD @00 + 49067.50ns INFO [00049069] * RD COMPARE * port=0 adr=05 act=482B9A6C075EAF395F exp=482B9A6C075EAF395F + 49067.50ns INFO [00049069] Port=1 RD @00 + 49068.50ns INFO [00049070] * RD COMPARE * port=0 adr=00 act=1B68C788019CE9935F exp=1B68C788019CE9935F + 49068.50ns INFO [00049070] Port=0 RD @00 + 49069.50ns INFO [00049071] * RD COMPARE * port=1 adr=00 act=1B68C788019CE9935F exp=1B68C788019CE9935F + 49069.50ns INFO [00049071] Port=1 RD @04 + 49070.50ns INFO [00049072] * RD COMPARE * port=0 adr=00 act=1B68C788019CE9935F exp=1B68C788019CE9935F + 49070.50ns INFO [00049072] Port=0 WR @03=C264EF20BA4A1864BC + 49070.50ns INFO [00049072] Port=1 RD @04 + 49071.50ns INFO [00049073] * RD COMPARE * port=1 adr=04 act=D8E0FAAA3D17424816 exp=D8E0FAAA3D17424816 + 49071.50ns INFO [00049073] Port=0 WR @01=572776AF51219122CE + 49071.50ns INFO [00049073] Port=0 RD @07 + 49071.50ns INFO [00049073] Port=1 RD @07 + 49072.50ns INFO [00049074] * RD COMPARE * port=1 adr=04 act=D8E0FAAA3D17424816 exp=D8E0FAAA3D17424816 + 49072.50ns INFO [00049074] Port=0 WR @04=950C826833AA03EC5F + 49072.50ns INFO [00049074] Port=1 RD @01 + 49073.50ns INFO [00049075] * RD COMPARE * port=0 adr=07 act=5C5ECBDE4CBE1755CB exp=5C5ECBDE4CBE1755CB + 49073.50ns INFO [00049075] * RD COMPARE * port=1 adr=07 act=5C5ECBDE4CBE1755CB exp=5C5ECBDE4CBE1755CB + 49074.50ns INFO [00049076] * RD COMPARE * port=1 adr=01 act=572776AF51219122CE exp=572776AF51219122CE + 49074.50ns INFO [00049076] Port=0 RD @05 + 49074.50ns INFO [00049076] Port=1 RD @06 + 49075.50ns INFO [00049077] Port=0 WR @07=D26D9CB97528D25E08 + 49076.50ns INFO [00049078] * RD COMPARE * port=0 adr=05 act=482B9A6C075EAF395F exp=482B9A6C075EAF395F + 49076.50ns INFO [00049078] * RD COMPARE * port=1 adr=06 act=977C5CDE230C2C8340 exp=977C5CDE230C2C8340 + 49076.50ns INFO [00049078] Port=0 RD @00 + 49076.50ns INFO [00049078] Port=1 RD @06 + 49077.50ns INFO [00049079] Port=0 WR @05=D5A280BE510EC5ECEF + 49077.50ns INFO [00049079] Port=0 RD @00 + 49077.50ns INFO [00049079] Port=1 RD @04 + 49078.50ns INFO [00049080] * RD COMPARE * port=0 adr=00 act=1B68C788019CE9935F exp=1B68C788019CE9935F + 49078.50ns INFO [00049080] * RD COMPARE * port=1 adr=06 act=977C5CDE230C2C8340 exp=977C5CDE230C2C8340 + 49078.50ns INFO [00049080] Port=0 RD @03 + 49079.50ns INFO [00049081] * RD COMPARE * port=0 adr=00 act=1B68C788019CE9935F exp=1B68C788019CE9935F + 49079.50ns INFO [00049081] * RD COMPARE * port=1 adr=04 act=950C826833AA03EC5F exp=950C826833AA03EC5F + 49079.50ns INFO [00049081] Port=0 RD @01 + 49080.50ns INFO [00049082] * RD COMPARE * port=0 adr=03 act=C264EF20BA4A1864BC exp=C264EF20BA4A1864BC + 49081.50ns INFO [00049083] * RD COMPARE * port=0 adr=01 act=572776AF51219122CE exp=572776AF51219122CE + 49082.50ns INFO [00049084] Port=0 WR @04=FFF6E2BAE3D477C2A1 + 49082.50ns INFO [00049084] Port=0 RD @07 + 49082.50ns INFO [00049084] Port=1 RD @03 + 49083.50ns INFO [00049085] Port=0 WR @07=AF6CE5422337630C61 + 49084.50ns INFO [00049086] * RD COMPARE * port=0 adr=07 act=D26D9CB97528D25E08 exp=D26D9CB97528D25E08 + 49084.50ns INFO [00049086] * RD COMPARE * port=1 adr=03 act=C264EF20BA4A1864BC exp=C264EF20BA4A1864BC + 49084.50ns INFO [00049086] Port=0 WR @01=7962183D81873C0FC3 + 49084.50ns INFO [00049086] Port=0 RD @04 + 49086.50ns INFO [00049088] * RD COMPARE * port=0 adr=04 act=FFF6E2BAE3D477C2A1 exp=FFF6E2BAE3D477C2A1 + 49086.50ns INFO [00049088] Port=1 RD @01 + 49087.50ns INFO [00049089] Port=0 WR @06=BEF4337BF672C01666 + 49088.50ns INFO [00049090] * RD COMPARE * port=1 adr=01 act=7962183D81873C0FC3 exp=7962183D81873C0FC3 + 49088.50ns INFO [00049090] Port=0 RD @06 + 49088.50ns INFO [00049090] Port=1 RD @02 + 49089.50ns INFO [00049091] Port=0 WR @01=4F6018BDBF9450557C + 49089.50ns INFO [00049091] Port=0 RD @07 + 49090.50ns INFO [00049092] * RD COMPARE * port=0 adr=06 act=BEF4337BF672C01666 exp=BEF4337BF672C01666 + 49090.50ns INFO [00049092] * RD COMPARE * port=1 adr=02 act=C7B45389CF96B49F74 exp=C7B45389CF96B49F74 + 49090.50ns INFO [00049092] Port=0 WR @06=48DE6173545C8D808E + 49091.50ns INFO [00049093] * RD COMPARE * port=0 adr=07 act=AF6CE5422337630C61 exp=AF6CE5422337630C61 + 49092.50ns INFO [00049094] Port=0 WR @00=77269851E43B55A3D0 + 49092.50ns INFO [00049094] Port=1 RD @04 + 49093.50ns INFO [00049095] Port=0 WR @01=F17273754CB6D4DA09 + 49093.50ns INFO [00049095] Port=0 RD @06 + 49094.50ns INFO [00049096] * RD COMPARE * port=1 adr=04 act=FFF6E2BAE3D477C2A1 exp=FFF6E2BAE3D477C2A1 + 49094.50ns INFO [00049096] Port=1 RD @03 + 49095.50ns INFO [00049097] * RD COMPARE * port=0 adr=06 act=48DE6173545C8D808E exp=48DE6173545C8D808E + 49096.50ns INFO [00049098] * RD COMPARE * port=1 adr=03 act=C264EF20BA4A1864BC exp=C264EF20BA4A1864BC + 49096.50ns INFO [00049098] Port=0 WR @07=41BB806570F2D04AFD + 49097.50ns INFO [00049099] Port=0 WR @04=94F47E5BF7BC398582 + 49097.50ns INFO [00049099] Port=0 RD @07 + 49098.00ns INFO [00049100] [00049100] ...tick... + 49098.50ns INFO [00049100] Port=0 WR @04=E967A8FDADBEF8325F + 49098.50ns INFO [00049100] Port=1 RD @01 + 49099.50ns INFO [00049101] * RD COMPARE * port=0 adr=07 act=41BB806570F2D04AFD exp=41BB806570F2D04AFD + 49099.50ns INFO [00049101] Port=0 RD @01 + 49100.50ns INFO [00049102] * RD COMPARE * port=1 adr=01 act=F17273754CB6D4DA09 exp=F17273754CB6D4DA09 + 49100.50ns INFO [00049102] Port=0 WR @03=EB1D9313F5C22D7CCD + 49101.50ns INFO [00049103] * RD COMPARE * port=0 adr=01 act=F17273754CB6D4DA09 exp=F17273754CB6D4DA09 + 49101.50ns INFO [00049103] Port=0 RD @05 + 49103.50ns INFO [00049105] * RD COMPARE * port=0 adr=05 act=D5A280BE510EC5ECEF exp=D5A280BE510EC5ECEF + 49103.50ns INFO [00049105] Port=0 RD @01 + 49103.50ns INFO [00049105] Port=1 RD @01 + 49104.50ns INFO [00049106] Port=0 WR @06=D516FAE4EF390600CC + 49105.50ns INFO [00049107] * RD COMPARE * port=0 adr=01 act=F17273754CB6D4DA09 exp=F17273754CB6D4DA09 + 49105.50ns INFO [00049107] * RD COMPARE * port=1 adr=01 act=F17273754CB6D4DA09 exp=F17273754CB6D4DA09 + 49105.50ns INFO [00049107] Port=1 RD @00 + 49106.50ns INFO [00049108] Port=0 RD @00 + 49106.50ns INFO [00049108] Port=1 RD @04 + 49107.50ns INFO [00049109] * RD COMPARE * port=1 adr=00 act=77269851E43B55A3D0 exp=77269851E43B55A3D0 + 49107.50ns INFO [00049109] Port=0 WR @03=398DACE569DC46D9D2 + 49107.50ns INFO [00049109] Port=1 RD @06 + 49108.50ns INFO [00049110] * RD COMPARE * port=0 adr=00 act=77269851E43B55A3D0 exp=77269851E43B55A3D0 + 49108.50ns INFO [00049110] * RD COMPARE * port=1 adr=04 act=E967A8FDADBEF8325F exp=E967A8FDADBEF8325F + 49108.50ns INFO [00049110] Port=0 RD @04 + 49109.50ns INFO [00049111] * RD COMPARE * port=1 adr=06 act=D516FAE4EF390600CC exp=D516FAE4EF390600CC + 49109.50ns INFO [00049111] Port=0 WR @00=A5569AD84162D6860D + 49110.50ns INFO [00049112] * RD COMPARE * port=0 adr=04 act=E967A8FDADBEF8325F exp=E967A8FDADBEF8325F + 49110.50ns INFO [00049112] Port=0 WR @06=1EB28D2AA23B5EF57B + 49110.50ns INFO [00049112] Port=0 RD @07 + 49111.50ns INFO [00049113] Port=0 WR @04=F3EF4DCA87A3CF30EA + 49111.50ns INFO [00049113] Port=1 RD @07 + 49112.50ns INFO [00049114] * RD COMPARE * port=0 adr=07 act=41BB806570F2D04AFD exp=41BB806570F2D04AFD + 49112.50ns INFO [00049114] Port=0 WR @07=B15CCB118EF86B66ED + 49112.50ns INFO [00049114] Port=0 RD @04 + 49112.50ns INFO [00049114] Port=1 RD @06 + 49113.50ns INFO [00049115] * RD COMPARE * port=1 adr=07 act=41BB806570F2D04AFD exp=41BB806570F2D04AFD + 49113.50ns INFO [00049115] Port=0 RD @02 + 49113.50ns INFO [00049115] Port=1 RD @03 + 49114.50ns INFO [00049116] * RD COMPARE * port=0 adr=04 act=F3EF4DCA87A3CF30EA exp=F3EF4DCA87A3CF30EA + 49114.50ns INFO [00049116] * RD COMPARE * port=1 adr=06 act=1EB28D2AA23B5EF57B exp=1EB28D2AA23B5EF57B + 49114.50ns INFO [00049116] Port=0 RD @02 + 49115.50ns INFO [00049117] * RD COMPARE * port=0 adr=02 act=C7B45389CF96B49F74 exp=C7B45389CF96B49F74 + 49115.50ns INFO [00049117] * RD COMPARE * port=1 adr=03 act=398DACE569DC46D9D2 exp=398DACE569DC46D9D2 + 49115.50ns INFO [00049117] Port=0 WR @05=43FB20A062E6C63858 + 49115.50ns INFO [00049117] Port=0 RD @00 + 49116.50ns INFO [00049118] * RD COMPARE * port=0 adr=02 act=C7B45389CF96B49F74 exp=C7B45389CF96B49F74 + 49116.50ns INFO [00049118] Port=0 WR @02=D08BB75430E88F017F + 49117.50ns INFO [00049119] * RD COMPARE * port=0 adr=00 act=A5569AD84162D6860D exp=A5569AD84162D6860D + 49117.50ns INFO [00049119] Port=0 RD @05 + 49118.50ns INFO [00049120] Port=0 RD @02 + 49118.50ns INFO [00049120] Port=1 RD @07 + 49119.50ns INFO [00049121] * RD COMPARE * port=0 adr=05 act=43FB20A062E6C63858 exp=43FB20A062E6C63858 + 49119.50ns INFO [00049121] Port=0 RD @04 + 49120.50ns INFO [00049122] * RD COMPARE * port=0 adr=02 act=D08BB75430E88F017F exp=D08BB75430E88F017F + 49120.50ns INFO [00049122] * RD COMPARE * port=1 adr=07 act=B15CCB118EF86B66ED exp=B15CCB118EF86B66ED + 49120.50ns INFO [00049122] Port=1 RD @02 + 49121.50ns INFO [00049123] * RD COMPARE * port=0 adr=04 act=F3EF4DCA87A3CF30EA exp=F3EF4DCA87A3CF30EA + 49121.50ns INFO [00049123] Port=0 RD @00 + 49122.50ns INFO [00049124] * RD COMPARE * port=1 adr=02 act=D08BB75430E88F017F exp=D08BB75430E88F017F + 49123.50ns INFO [00049125] * RD COMPARE * port=0 adr=00 act=A5569AD84162D6860D exp=A5569AD84162D6860D + 49124.50ns INFO [00049126] Port=1 RD @03 + 49125.50ns INFO [00049127] Port=0 RD @07 + 49125.50ns INFO [00049127] Port=1 RD @01 + 49126.50ns INFO [00049128] * RD COMPARE * port=1 adr=03 act=398DACE569DC46D9D2 exp=398DACE569DC46D9D2 + 49126.50ns INFO [00049128] Port=0 WR @05=6B36943F032736E623 + 49127.50ns INFO [00049129] * RD COMPARE * port=0 adr=07 act=B15CCB118EF86B66ED exp=B15CCB118EF86B66ED + 49127.50ns INFO [00049129] * RD COMPARE * port=1 adr=01 act=F17273754CB6D4DA09 exp=F17273754CB6D4DA09 + 49127.50ns INFO [00049129] Port=1 RD @07 + 49128.50ns INFO [00049130] Port=0 WR @07=592F0638D34056E8DA + 49128.50ns INFO [00049130] Port=1 RD @01 + 49129.50ns INFO [00049131] * RD COMPARE * port=1 adr=07 act=B15CCB118EF86B66ED exp=B15CCB118EF86B66ED + 49129.50ns INFO [00049131] Port=0 WR @04=8FFACC6C5F92341CB2 + 49129.50ns INFO [00049131] Port=0 RD @00 + 49129.50ns INFO [00049131] Port=1 RD @07 + 49130.50ns INFO [00049132] * RD COMPARE * port=1 adr=01 act=F17273754CB6D4DA09 exp=F17273754CB6D4DA09 + 49130.50ns INFO [00049132] Port=0 RD @06 + 49130.50ns INFO [00049132] Port=1 RD @02 + 49131.50ns INFO [00049133] * RD COMPARE * port=0 adr=00 act=A5569AD84162D6860D exp=A5569AD84162D6860D + 49131.50ns INFO [00049133] * RD COMPARE * port=1 adr=07 act=592F0638D34056E8DA exp=592F0638D34056E8DA + 49132.50ns INFO [00049134] * RD COMPARE * port=0 adr=06 act=1EB28D2AA23B5EF57B exp=1EB28D2AA23B5EF57B + 49132.50ns INFO [00049134] * RD COMPARE * port=1 adr=02 act=D08BB75430E88F017F exp=D08BB75430E88F017F + 49132.50ns INFO [00049134] Port=0 RD @06 + 49134.50ns INFO [00049136] * RD COMPARE * port=0 adr=06 act=1EB28D2AA23B5EF57B exp=1EB28D2AA23B5EF57B + 49135.50ns INFO [00049137] Port=1 RD @01 + 49136.50ns INFO [00049138] Port=0 WR @03=4CF973FD700FE58D58 + 49136.50ns INFO [00049138] Port=0 RD @05 + 49137.50ns INFO [00049139] * RD COMPARE * port=1 adr=01 act=F17273754CB6D4DA09 exp=F17273754CB6D4DA09 + 49137.50ns INFO [00049139] Port=0 RD @02 + 49138.50ns INFO [00049140] * RD COMPARE * port=0 adr=05 act=6B36943F032736E623 exp=6B36943F032736E623 + 49138.50ns INFO [00049140] Port=0 RD @01 + 49139.50ns INFO [00049141] * RD COMPARE * port=0 adr=02 act=D08BB75430E88F017F exp=D08BB75430E88F017F + 49139.50ns INFO [00049141] Port=0 RD @01 + 49140.50ns INFO [00049142] * RD COMPARE * port=0 adr=01 act=F17273754CB6D4DA09 exp=F17273754CB6D4DA09 + 49140.50ns INFO [00049142] Port=0 RD @01 + 49141.50ns INFO [00049143] * RD COMPARE * port=0 adr=01 act=F17273754CB6D4DA09 exp=F17273754CB6D4DA09 + 49141.50ns INFO [00049143] Port=1 RD @00 + 49142.50ns INFO [00049144] * RD COMPARE * port=0 adr=01 act=F17273754CB6D4DA09 exp=F17273754CB6D4DA09 + 49142.50ns INFO [00049144] Port=0 WR @06=58C93687B7AF7A2EB8 + 49142.50ns INFO [00049144] Port=0 RD @05 + 49143.50ns INFO [00049145] * RD COMPARE * port=1 adr=00 act=A5569AD84162D6860D exp=A5569AD84162D6860D + 49143.50ns INFO [00049145] Port=0 WR @01=664771591A4F099FEC + 49143.50ns INFO [00049145] Port=0 RD @07 + 49143.50ns INFO [00049145] Port=1 RD @07 + 49144.50ns INFO [00049146] * RD COMPARE * port=0 adr=05 act=6B36943F032736E623 exp=6B36943F032736E623 + 49144.50ns INFO [00049146] Port=0 RD @00 + 49145.50ns INFO [00049147] * RD COMPARE * port=0 adr=07 act=592F0638D34056E8DA exp=592F0638D34056E8DA + 49145.50ns INFO [00049147] * RD COMPARE * port=1 adr=07 act=592F0638D34056E8DA exp=592F0638D34056E8DA + 49146.50ns INFO [00049148] * RD COMPARE * port=0 adr=00 act=A5569AD84162D6860D exp=A5569AD84162D6860D + 49146.50ns INFO [00049148] Port=1 RD @04 + 49147.50ns INFO [00049149] Port=0 WR @04=8F573C6B33827721B6 + 49148.50ns INFO [00049150] * RD COMPARE * port=1 adr=04 act=8FFACC6C5F92341CB2 exp=8FFACC6C5F92341CB2 + 49148.50ns INFO [00049150] Port=1 RD @00 + 49149.50ns INFO [00049151] Port=0 WR @04=D50A5C0B8DCB024EFB + 49149.50ns INFO [00049151] Port=0 RD @01 + 49150.50ns INFO [00049152] * RD COMPARE * port=1 adr=00 act=A5569AD84162D6860D exp=A5569AD84162D6860D + 49150.50ns INFO [00049152] Port=0 WR @02=77A857129EB9136475 + 49151.50ns INFO [00049153] * RD COMPARE * port=0 adr=01 act=664771591A4F099FEC exp=664771591A4F099FEC + 49151.50ns INFO [00049153] Port=0 WR @06=CCA396B636A7AE4331 + 49151.50ns INFO [00049153] Port=1 RD @02 + 49152.50ns INFO [00049154] Port=0 WR @06=A5932C965CAAFFD6AA + 49152.50ns INFO [00049154] Port=0 RD @00 + 49152.50ns INFO [00049154] Port=1 RD @07 + 49153.50ns INFO [00049155] * RD COMPARE * port=1 adr=02 act=77A857129EB9136475 exp=77A857129EB9136475 + 49154.50ns INFO [00049156] * RD COMPARE * port=0 adr=00 act=A5569AD84162D6860D exp=A5569AD84162D6860D + 49154.50ns INFO [00049156] * RD COMPARE * port=1 adr=07 act=592F0638D34056E8DA exp=592F0638D34056E8DA + 49154.50ns INFO [00049156] Port=0 RD @04 + 49154.50ns INFO [00049156] Port=1 RD @02 + 49155.50ns INFO [00049157] Port=1 RD @04 + 49156.50ns INFO [00049158] * RD COMPARE * port=0 adr=04 act=D50A5C0B8DCB024EFB exp=D50A5C0B8DCB024EFB + 49156.50ns INFO [00049158] * RD COMPARE * port=1 adr=02 act=77A857129EB9136475 exp=77A857129EB9136475 + 49157.50ns INFO [00049159] * RD COMPARE * port=1 adr=04 act=D50A5C0B8DCB024EFB exp=D50A5C0B8DCB024EFB + 49157.50ns INFO [00049159] Port=0 WR @00=872F95CFFB67EA0C8B + 49157.50ns INFO [00049159] Port=1 RD @04 + 49159.50ns INFO [00049161] * RD COMPARE * port=1 adr=04 act=D50A5C0B8DCB024EFB exp=D50A5C0B8DCB024EFB + 49160.50ns INFO [00049162] Port=0 RD @02 + 49160.50ns INFO [00049162] Port=1 RD @04 + 49161.50ns INFO [00049163] Port=0 WR @04=3EF11773722AB2FAAE + 49162.50ns INFO [00049164] * RD COMPARE * port=0 adr=02 act=77A857129EB9136475 exp=77A857129EB9136475 + 49162.50ns INFO [00049164] * RD COMPARE * port=1 adr=04 act=D50A5C0B8DCB024EFB exp=D50A5C0B8DCB024EFB + 49162.50ns INFO [00049164] Port=0 RD @01 + 49164.50ns INFO [00049166] * RD COMPARE * port=0 adr=01 act=664771591A4F099FEC exp=664771591A4F099FEC + 49164.50ns INFO [00049166] Port=0 RD @02 + 49165.50ns INFO [00049167] Port=0 RD @05 + 49166.50ns INFO [00049168] * RD COMPARE * port=0 adr=02 act=77A857129EB9136475 exp=77A857129EB9136475 + 49166.50ns INFO [00049168] Port=0 WR @07=71CE678B429DF9A213 + 49166.50ns INFO [00049168] Port=1 RD @06 + 49167.50ns INFO [00049169] * RD COMPARE * port=0 adr=05 act=6B36943F032736E623 exp=6B36943F032736E623 + 49167.50ns INFO [00049169] Port=0 RD @01 + 49168.50ns INFO [00049170] * RD COMPARE * port=1 adr=06 act=A5932C965CAAFFD6AA exp=A5932C965CAAFFD6AA + 49169.50ns INFO [00049171] * RD COMPARE * port=0 adr=01 act=664771591A4F099FEC exp=664771591A4F099FEC + 49169.50ns INFO [00049171] Port=0 RD @04 + 49170.50ns INFO [00049172] Port=0 WR @07=E2055277768D296AD3 + 49170.50ns INFO [00049172] Port=0 RD @06 + 49171.50ns INFO [00049173] * RD COMPARE * port=0 adr=04 act=3EF11773722AB2FAAE exp=3EF11773722AB2FAAE + 49172.50ns INFO [00049174] * RD COMPARE * port=0 adr=06 act=A5932C965CAAFFD6AA exp=A5932C965CAAFFD6AA + 49173.50ns INFO [00049175] Port=1 RD @06 + 49174.50ns INFO [00049176] Port=0 WR @05=5F2D8F8C6232A5A25A + 49175.50ns INFO [00049177] * RD COMPARE * port=1 adr=06 act=A5932C965CAAFFD6AA exp=A5932C965CAAFFD6AA + 49175.50ns INFO [00049177] Port=1 RD @03 + 49176.50ns INFO [00049178] Port=0 RD @07 + 49177.50ns INFO [00049179] * RD COMPARE * port=1 adr=03 act=4CF973FD700FE58D58 exp=4CF973FD700FE58D58 + 49177.50ns INFO [00049179] Port=0 RD @07 + 49178.50ns INFO [00049180] * RD COMPARE * port=0 adr=07 act=E2055277768D296AD3 exp=E2055277768D296AD3 + 49178.50ns INFO [00049180] Port=0 WR @03=8317341136EAE6FE92 + 49178.50ns INFO [00049180] Port=1 RD @06 + 49179.50ns INFO [00049181] * RD COMPARE * port=0 adr=07 act=E2055277768D296AD3 exp=E2055277768D296AD3 + 49179.50ns INFO [00049181] Port=0 WR @05=1137BAC7E84D1EEBEE + 49180.50ns INFO [00049182] * RD COMPARE * port=1 adr=06 act=A5932C965CAAFFD6AA exp=A5932C965CAAFFD6AA + 49180.50ns INFO [00049182] Port=0 RD @00 + 49182.50ns INFO [00049184] * RD COMPARE * port=0 adr=00 act=872F95CFFB67EA0C8B exp=872F95CFFB67EA0C8B + 49182.50ns INFO [00049184] Port=0 WR @00=0E6687EF2011192631 + 49182.50ns INFO [00049184] Port=1 RD @06 + 49183.50ns INFO [00049185] Port=0 WR @01=19C8318F828526D9B4 + 49184.50ns INFO [00049186] * RD COMPARE * port=1 adr=06 act=A5932C965CAAFFD6AA exp=A5932C965CAAFFD6AA + 49184.50ns INFO [00049186] Port=0 WR @02=01DA66A132DE85F77A + 49184.50ns INFO [00049186] Port=1 RD @07 + 49185.50ns INFO [00049187] Port=0 WR @06=0EBDEA4093E6DBF787 + 49186.50ns INFO [00049188] * RD COMPARE * port=1 adr=07 act=E2055277768D296AD3 exp=E2055277768D296AD3 + 49186.50ns INFO [00049188] Port=0 RD @05 + 49187.50ns INFO [00049189] Port=0 WR @06=20FF615B57543D1BFD + 49188.50ns INFO [00049190] * RD COMPARE * port=0 adr=05 act=1137BAC7E84D1EEBEE exp=1137BAC7E84D1EEBEE + 49188.50ns INFO [00049190] Port=1 RD @00 + 49189.50ns INFO [00049191] Port=0 RD @06 + 49190.50ns INFO [00049192] * RD COMPARE * port=1 adr=00 act=0E6687EF2011192631 exp=0E6687EF2011192631 + 49190.50ns INFO [00049192] Port=0 WR @05=90743BED41A2B4D387 + 49191.50ns INFO [00049193] * RD COMPARE * port=0 adr=06 act=20FF615B57543D1BFD exp=20FF615B57543D1BFD + 49191.50ns INFO [00049193] Port=0 WR @04=38447FF0077E9D92E7 + 49191.50ns INFO [00049193] Port=1 RD @07 + 49193.50ns INFO [00049195] * RD COMPARE * port=1 adr=07 act=E2055277768D296AD3 exp=E2055277768D296AD3 + 49193.50ns INFO [00049195] Port=0 WR @03=9B0383FA5EEEDA78BA + 49193.50ns INFO [00049195] Port=0 RD @05 + 49194.50ns INFO [00049196] Port=0 WR @07=4821B4C3E33968CBDF + 49195.50ns INFO [00049197] * RD COMPARE * port=0 adr=05 act=90743BED41A2B4D387 exp=90743BED41A2B4D387 + 49195.50ns INFO [00049197] Port=0 WR @07=E746F92A8E55A718A6 + 49195.50ns INFO [00049197] Port=0 RD @05 + 49195.50ns INFO [00049197] Port=1 RD @06 + 49196.50ns INFO [00049198] Port=0 RD @03 + 49197.50ns INFO [00049199] * RD COMPARE * port=0 adr=05 act=90743BED41A2B4D387 exp=90743BED41A2B4D387 + 49197.50ns INFO [00049199] * RD COMPARE * port=1 adr=06 act=20FF615B57543D1BFD exp=20FF615B57543D1BFD + 49197.50ns INFO [00049199] Port=0 WR @01=1DD40094DE14276E4F + 49198.00ns INFO [00049200] [00049200] ...tick... + 49198.50ns INFO [00049200] * RD COMPARE * port=0 adr=03 act=9B0383FA5EEEDA78BA exp=9B0383FA5EEEDA78BA + 49198.50ns INFO [00049200] Port=1 RD @04 + 49200.50ns INFO [00049202] * RD COMPARE * port=1 adr=04 act=38447FF0077E9D92E7 exp=38447FF0077E9D92E7 + 49200.50ns INFO [00049202] Port=0 WR @00=E811D63FE1CAF56DDA + 49202.50ns INFO [00049204] Port=0 RD @01 + 49203.50ns INFO [00049205] Port=0 WR @04=845502E5D329549F14 + 49203.50ns INFO [00049205] Port=0 RD @07 + 49203.50ns INFO [00049205] Port=1 RD @00 + 49204.50ns INFO [00049206] * RD COMPARE * port=0 adr=01 act=1DD40094DE14276E4F exp=1DD40094DE14276E4F + 49204.50ns INFO [00049206] Port=0 WR @02=D69C0055C96C0961A5 + 49205.50ns INFO [00049207] * RD COMPARE * port=0 adr=07 act=E746F92A8E55A718A6 exp=E746F92A8E55A718A6 + 49205.50ns INFO [00049207] * RD COMPARE * port=1 adr=00 act=E811D63FE1CAF56DDA exp=E811D63FE1CAF56DDA + 49205.50ns INFO [00049207] Port=0 WR @06=EE277AE5B3D1390190 + 49205.50ns INFO [00049207] Port=1 RD @01 + 49207.50ns INFO [00049209] * RD COMPARE * port=1 adr=01 act=1DD40094DE14276E4F exp=1DD40094DE14276E4F + 49208.50ns INFO [00049210] Port=1 RD @00 + 49209.50ns INFO [00049211] Port=0 WR @00=7F088C6E4AA8F02991 + 49210.50ns INFO [00049212] * RD COMPARE * port=1 adr=00 act=E811D63FE1CAF56DDA exp=E811D63FE1CAF56DDA + 49210.50ns INFO [00049212] Port=0 WR @07=635FF3DA362BD45C75 + 49211.50ns INFO [00049213] Port=0 WR @02=2C54E648CD5E1FBA39 + 49211.50ns INFO [00049213] Port=0 RD @03 + 49212.50ns INFO [00049214] Port=0 WR @02=A66B57B6F5253A35DF + 49213.50ns INFO [00049215] * RD COMPARE * port=0 adr=03 act=9B0383FA5EEEDA78BA exp=9B0383FA5EEEDA78BA + 49213.50ns INFO [00049215] Port=0 RD @04 + 49214.50ns INFO [00049216] Port=0 RD @03 + 49214.50ns INFO [00049216] Port=1 RD @07 + 49215.50ns INFO [00049217] * RD COMPARE * port=0 adr=04 act=845502E5D329549F14 exp=845502E5D329549F14 + 49215.50ns INFO [00049217] Port=1 RD @07 + 49216.50ns INFO [00049218] * RD COMPARE * port=0 adr=03 act=9B0383FA5EEEDA78BA exp=9B0383FA5EEEDA78BA + 49216.50ns INFO [00049218] * RD COMPARE * port=1 adr=07 act=635FF3DA362BD45C75 exp=635FF3DA362BD45C75 + 49216.50ns INFO [00049218] Port=0 RD @03 + 49217.50ns INFO [00049219] * RD COMPARE * port=1 adr=07 act=635FF3DA362BD45C75 exp=635FF3DA362BD45C75 + 49217.50ns INFO [00049219] Port=0 RD @00 + 49218.50ns INFO [00049220] * RD COMPARE * port=0 adr=03 act=9B0383FA5EEEDA78BA exp=9B0383FA5EEEDA78BA + 49219.50ns INFO [00049221] * RD COMPARE * port=0 adr=00 act=7F088C6E4AA8F02991 exp=7F088C6E4AA8F02991 + 49219.50ns INFO [00049221] Port=0 RD @00 + 49219.50ns INFO [00049221] Port=1 RD @04 + 49220.50ns INFO [00049222] Port=0 RD @01 + 49221.50ns INFO [00049223] * RD COMPARE * port=0 adr=00 act=7F088C6E4AA8F02991 exp=7F088C6E4AA8F02991 + 49221.50ns INFO [00049223] * RD COMPARE * port=1 adr=04 act=845502E5D329549F14 exp=845502E5D329549F14 + 49221.50ns INFO [00049223] Port=0 RD @00 + 49222.50ns INFO [00049224] * RD COMPARE * port=0 adr=01 act=1DD40094DE14276E4F exp=1DD40094DE14276E4F + 49223.50ns INFO [00049225] * RD COMPARE * port=0 adr=00 act=7F088C6E4AA8F02991 exp=7F088C6E4AA8F02991 + 49223.50ns INFO [00049225] Port=1 RD @04 + 49225.50ns INFO [00049227] * RD COMPARE * port=1 adr=04 act=845502E5D329549F14 exp=845502E5D329549F14 + 49225.50ns INFO [00049227] Port=0 WR @07=F5AE52F2C5D530FC10 + 49225.50ns INFO [00049227] Port=1 RD @00 + 49226.50ns INFO [00049228] Port=0 WR @02=4C8ABD1A10D7D0D481 + 49226.50ns INFO [00049228] Port=0 RD @03 + 49227.50ns INFO [00049229] * RD COMPARE * port=1 adr=00 act=7F088C6E4AA8F02991 exp=7F088C6E4AA8F02991 + 49227.50ns INFO [00049229] Port=0 WR @07=260E051D9C54E82B5A + 49227.50ns INFO [00049229] Port=0 RD @04 + 49228.50ns INFO [00049230] * RD COMPARE * port=0 adr=03 act=9B0383FA5EEEDA78BA exp=9B0383FA5EEEDA78BA + 49229.50ns INFO [00049231] * RD COMPARE * port=0 adr=04 act=845502E5D329549F14 exp=845502E5D329549F14 + 49229.50ns INFO [00049231] Port=0 RD @04 + 49231.50ns INFO [00049233] * RD COMPARE * port=0 adr=04 act=845502E5D329549F14 exp=845502E5D329549F14 + 49231.50ns INFO [00049233] Port=0 RD @05 + 49232.50ns INFO [00049234] Port=0 WR @01=80C4C74ED9F31DCFE7 + 49232.50ns INFO [00049234] Port=0 RD @02 + 49233.50ns INFO [00049235] * RD COMPARE * port=0 adr=05 act=90743BED41A2B4D387 exp=90743BED41A2B4D387 + 49234.50ns INFO [00049236] * RD COMPARE * port=0 adr=02 act=4C8ABD1A10D7D0D481 exp=4C8ABD1A10D7D0D481 + 49234.50ns INFO [00049236] Port=0 RD @02 + 49234.50ns INFO [00049236] Port=1 RD @02 + 49235.50ns INFO [00049237] Port=1 RD @02 + 49236.50ns INFO [00049238] * RD COMPARE * port=0 adr=02 act=4C8ABD1A10D7D0D481 exp=4C8ABD1A10D7D0D481 + 49236.50ns INFO [00049238] * RD COMPARE * port=1 adr=02 act=4C8ABD1A10D7D0D481 exp=4C8ABD1A10D7D0D481 + 49236.50ns INFO [00049238] Port=0 WR @03=547B1E7704A0F9B010 + 49236.50ns INFO [00049238] Port=0 RD @00 + 49237.50ns INFO [00049239] * RD COMPARE * port=1 adr=02 act=4C8ABD1A10D7D0D481 exp=4C8ABD1A10D7D0D481 + 49238.50ns INFO [00049240] * RD COMPARE * port=0 adr=00 act=7F088C6E4AA8F02991 exp=7F088C6E4AA8F02991 + 49239.50ns INFO [00049241] Port=1 RD @06 + 49240.50ns INFO [00049242] Port=1 RD @05 + 49241.50ns INFO [00049243] * RD COMPARE * port=1 adr=06 act=EE277AE5B3D1390190 exp=EE277AE5B3D1390190 + 49242.50ns INFO [00049244] * RD COMPARE * port=1 adr=05 act=90743BED41A2B4D387 exp=90743BED41A2B4D387 + 49242.50ns INFO [00049244] Port=0 RD @00 + 49242.50ns INFO [00049244] Port=1 RD @01 + 49243.50ns INFO [00049245] Port=0 RD @06 + 49243.50ns INFO [00049245] Port=1 RD @03 + 49244.50ns INFO [00049246] * RD COMPARE * port=0 adr=00 act=7F088C6E4AA8F02991 exp=7F088C6E4AA8F02991 + 49244.50ns INFO [00049246] * RD COMPARE * port=1 adr=01 act=80C4C74ED9F31DCFE7 exp=80C4C74ED9F31DCFE7 + 49244.50ns INFO [00049246] Port=1 RD @06 + 49245.50ns INFO [00049247] * RD COMPARE * port=0 adr=06 act=EE277AE5B3D1390190 exp=EE277AE5B3D1390190 + 49245.50ns INFO [00049247] * RD COMPARE * port=1 adr=03 act=547B1E7704A0F9B010 exp=547B1E7704A0F9B010 + 49245.50ns INFO [00049247] Port=0 WR @05=5E6FE1D726FB047E88 + 49245.50ns INFO [00049247] Port=0 RD @00 + 49246.50ns INFO [00049248] * RD COMPARE * port=1 adr=06 act=EE277AE5B3D1390190 exp=EE277AE5B3D1390190 + 49246.50ns INFO [00049248] Port=0 WR @03=0E2423A866E5144FAD + 49246.50ns INFO [00049248] Port=1 RD @00 + 49247.50ns INFO [00049249] * RD COMPARE * port=0 adr=00 act=7F088C6E4AA8F02991 exp=7F088C6E4AA8F02991 + 49247.50ns INFO [00049249] Port=1 RD @00 + 49248.50ns INFO [00049250] * RD COMPARE * port=1 adr=00 act=7F088C6E4AA8F02991 exp=7F088C6E4AA8F02991 + 49248.50ns INFO [00049250] Port=0 WR @04=D5B419F301759D0568 + 49248.50ns INFO [00049250] Port=0 RD @05 + 49249.50ns INFO [00049251] * RD COMPARE * port=1 adr=00 act=7F088C6E4AA8F02991 exp=7F088C6E4AA8F02991 + 49249.50ns INFO [00049251] Port=0 WR @06=63320CB37BCC1F28AA + 49249.50ns INFO [00049251] Port=0 RD @04 + 49249.50ns INFO [00049251] Port=1 RD @04 + 49250.50ns INFO [00049252] * RD COMPARE * port=0 adr=05 act=5E6FE1D726FB047E88 exp=5E6FE1D726FB047E88 + 49250.50ns INFO [00049252] Port=0 WR @01=4B381C6826FFF3DECC + 49250.50ns INFO [00049252] Port=0 RD @06 + 49251.50ns INFO [00049253] * RD COMPARE * port=0 adr=04 act=D5B419F301759D0568 exp=D5B419F301759D0568 + 49251.50ns INFO [00049253] * RD COMPARE * port=1 adr=04 act=D5B419F301759D0568 exp=D5B419F301759D0568 + 49251.50ns INFO [00049253] Port=1 RD @06 + 49252.50ns INFO [00049254] * RD COMPARE * port=0 adr=06 act=63320CB37BCC1F28AA exp=63320CB37BCC1F28AA + 49252.50ns INFO [00049254] Port=0 RD @06 + 49253.50ns INFO [00049255] * RD COMPARE * port=1 adr=06 act=63320CB37BCC1F28AA exp=63320CB37BCC1F28AA + 49253.50ns INFO [00049255] Port=0 WR @00=5352D944AFFCE2E7FE + 49253.50ns INFO [00049255] Port=1 RD @05 + 49254.50ns INFO [00049256] * RD COMPARE * port=0 adr=06 act=63320CB37BCC1F28AA exp=63320CB37BCC1F28AA + 49254.50ns INFO [00049256] Port=0 WR @05=2D04A14EF812305323 + 49254.50ns INFO [00049256] Port=0 RD @07 + 49255.50ns INFO [00049257] * RD COMPARE * port=1 adr=05 act=5E6FE1D726FB047E88 exp=5E6FE1D726FB047E88 + 49255.50ns INFO [00049257] Port=1 RD @02 + 49256.50ns INFO [00049258] * RD COMPARE * port=0 adr=07 act=260E051D9C54E82B5A exp=260E051D9C54E82B5A + 49256.50ns INFO [00049258] Port=0 WR @04=357E8B2165DA3DC28F + 49257.50ns INFO [00049259] * RD COMPARE * port=1 adr=02 act=4C8ABD1A10D7D0D481 exp=4C8ABD1A10D7D0D481 + 49257.50ns INFO [00049259] Port=0 WR @02=BA4D0B71BB8660980B + 49257.50ns INFO [00049259] Port=0 RD @05 + 49258.50ns INFO [00049260] Port=0 WR @06=FED81737F33B1AD5B6 + 49258.50ns INFO [00049260] Port=0 RD @01 + 49258.50ns INFO [00049260] Port=1 RD @05 + 49259.50ns INFO [00049261] * RD COMPARE * port=0 adr=05 act=2D04A14EF812305323 exp=2D04A14EF812305323 + 49259.50ns INFO [00049261] Port=0 RD @06 + 49260.50ns INFO [00049262] * RD COMPARE * port=0 adr=01 act=4B381C6826FFF3DECC exp=4B381C6826FFF3DECC + 49260.50ns INFO [00049262] * RD COMPARE * port=1 adr=05 act=2D04A14EF812305323 exp=2D04A14EF812305323 + 49261.50ns INFO [00049263] * RD COMPARE * port=0 adr=06 act=FED81737F33B1AD5B6 exp=FED81737F33B1AD5B6 + 49264.50ns INFO [00049266] Port=0 WR @03=BAF8ED1855224CFA72 + 49264.50ns INFO [00049266] Port=0 RD @02 + 49264.50ns INFO [00049266] Port=1 RD @00 + 49265.50ns INFO [00049267] Port=0 WR @01=A739C09C1821E19E28 + 49266.50ns INFO [00049268] * RD COMPARE * port=0 adr=02 act=BA4D0B71BB8660980B exp=BA4D0B71BB8660980B + 49266.50ns INFO [00049268] * RD COMPARE * port=1 adr=00 act=5352D944AFFCE2E7FE exp=5352D944AFFCE2E7FE + 49266.50ns INFO [00049268] Port=0 RD @04 + 49266.50ns INFO [00049268] Port=1 RD @02 + 49267.50ns INFO [00049269] Port=0 RD @01 + 49268.50ns INFO [00049270] * RD COMPARE * port=0 adr=04 act=357E8B2165DA3DC28F exp=357E8B2165DA3DC28F + 49268.50ns INFO [00049270] * RD COMPARE * port=1 adr=02 act=BA4D0B71BB8660980B exp=BA4D0B71BB8660980B + 49268.50ns INFO [00049270] Port=0 RD @02 + 49269.50ns INFO [00049271] * RD COMPARE * port=0 adr=01 act=A739C09C1821E19E28 exp=A739C09C1821E19E28 + 49269.50ns INFO [00049271] Port=0 WR @02=C92F616D90DCB3F8BC + 49269.50ns INFO [00049271] Port=0 RD @05 + 49270.50ns INFO [00049272] * RD COMPARE * port=0 adr=02 act=BA4D0B71BB8660980B exp=BA4D0B71BB8660980B + 49270.50ns INFO [00049272] Port=0 RD @01 + 49271.50ns INFO [00049273] * RD COMPARE * port=0 adr=05 act=2D04A14EF812305323 exp=2D04A14EF812305323 + 49271.50ns INFO [00049273] Port=0 RD @06 + 49271.50ns INFO [00049273] Port=1 RD @01 + 49272.50ns INFO [00049274] * RD COMPARE * port=0 adr=01 act=A739C09C1821E19E28 exp=A739C09C1821E19E28 + 49272.50ns INFO [00049274] Port=0 WR @01=BD7AA61465BFF53614 + 49272.50ns INFO [00049274] Port=0 RD @05 + 49272.50ns INFO [00049274] Port=1 RD @03 + 49273.50ns INFO [00049275] * RD COMPARE * port=0 adr=06 act=FED81737F33B1AD5B6 exp=FED81737F33B1AD5B6 + 49273.50ns INFO [00049275] * RD COMPARE * port=1 adr=01 act=A739C09C1821E19E28 exp=A739C09C1821E19E28 + 49273.50ns INFO [00049275] Port=1 RD @07 + 49274.50ns INFO [00049276] * RD COMPARE * port=0 adr=05 act=2D04A14EF812305323 exp=2D04A14EF812305323 + 49274.50ns INFO [00049276] * RD COMPARE * port=1 adr=03 act=BAF8ED1855224CFA72 exp=BAF8ED1855224CFA72 + 49274.50ns INFO [00049276] Port=0 WR @02=A3BC2AFBE5F24861DF + 49274.50ns INFO [00049276] Port=0 RD @04 + 49274.50ns INFO [00049276] Port=1 RD @03 + 49275.50ns INFO [00049277] * RD COMPARE * port=1 adr=07 act=260E051D9C54E82B5A exp=260E051D9C54E82B5A + 49275.50ns INFO [00049277] Port=0 WR @07=2B39C56B89AD12902A + 49275.50ns INFO [00049277] Port=0 RD @05 + 49275.50ns INFO [00049277] Port=1 RD @05 + 49276.50ns INFO [00049278] * RD COMPARE * port=0 adr=04 act=357E8B2165DA3DC28F exp=357E8B2165DA3DC28F + 49276.50ns INFO [00049278] * RD COMPARE * port=1 adr=03 act=BAF8ED1855224CFA72 exp=BAF8ED1855224CFA72 + 49276.50ns INFO [00049278] Port=0 RD @04 + 49277.50ns INFO [00049279] * RD COMPARE * port=0 adr=05 act=2D04A14EF812305323 exp=2D04A14EF812305323 + 49277.50ns INFO [00049279] * RD COMPARE * port=1 adr=05 act=2D04A14EF812305323 exp=2D04A14EF812305323 + 49277.50ns INFO [00049279] Port=0 WR @04=1A11D6925CEB7209CC + 49277.50ns INFO [00049279] Port=0 RD @00 + 49278.50ns INFO [00049280] * RD COMPARE * port=0 adr=04 act=357E8B2165DA3DC28F exp=357E8B2165DA3DC28F + 49278.50ns INFO [00049280] Port=0 RD @02 + 49279.50ns INFO [00049281] * RD COMPARE * port=0 adr=00 act=5352D944AFFCE2E7FE exp=5352D944AFFCE2E7FE + 49279.50ns INFO [00049281] Port=0 WR @04=939173F75697C376A2 + 49279.50ns INFO [00049281] Port=1 RD @06 + 49280.50ns INFO [00049282] * RD COMPARE * port=0 adr=02 act=A3BC2AFBE5F24861DF exp=A3BC2AFBE5F24861DF + 49280.50ns INFO [00049282] Port=0 WR @01=35FA65412E6F092540 + 49280.50ns INFO [00049282] Port=1 RD @07 + 49281.50ns INFO [00049283] * RD COMPARE * port=1 adr=06 act=FED81737F33B1AD5B6 exp=FED81737F33B1AD5B6 + 49281.50ns INFO [00049283] Port=1 RD @06 + 49282.50ns INFO [00049284] * RD COMPARE * port=1 adr=07 act=2B39C56B89AD12902A exp=2B39C56B89AD12902A + 49282.50ns INFO [00049284] Port=0 WR @00=742CB5A33920481D5B + 49282.50ns INFO [00049284] Port=0 RD @02 + 49282.50ns INFO [00049284] Port=1 RD @06 + 49283.50ns INFO [00049285] * RD COMPARE * port=1 adr=06 act=FED81737F33B1AD5B6 exp=FED81737F33B1AD5B6 + 49284.50ns INFO [00049286] * RD COMPARE * port=0 adr=02 act=A3BC2AFBE5F24861DF exp=A3BC2AFBE5F24861DF + 49284.50ns INFO [00049286] * RD COMPARE * port=1 adr=06 act=FED81737F33B1AD5B6 exp=FED81737F33B1AD5B6 + 49284.50ns INFO [00049286] Port=0 WR @01=0F357FECF5F9B4D6BF + 49284.50ns INFO [00049286] Port=0 RD @04 + 49284.50ns INFO [00049286] Port=1 RD @02 + 49286.50ns INFO [00049288] * RD COMPARE * port=0 adr=04 act=939173F75697C376A2 exp=939173F75697C376A2 + 49286.50ns INFO [00049288] * RD COMPARE * port=1 adr=02 act=A3BC2AFBE5F24861DF exp=A3BC2AFBE5F24861DF + 49286.50ns INFO [00049288] Port=0 WR @02=8CAE02E60E85B12796 + 49286.50ns INFO [00049288] Port=1 RD @00 + 49287.50ns INFO [00049289] Port=0 WR @05=E77992568779E19161 + 49288.50ns INFO [00049290] * RD COMPARE * port=1 adr=00 act=742CB5A33920481D5B exp=742CB5A33920481D5B + 49288.50ns INFO [00049290] Port=0 WR @03=11DF926EBDD1AF0DCD + 49288.50ns INFO [00049290] Port=0 RD @02 + 49288.50ns INFO [00049290] Port=1 RD @06 + 49289.50ns INFO [00049291] Port=0 RD @06 + 49289.50ns INFO [00049291] Port=1 RD @06 + 49290.50ns INFO [00049292] * RD COMPARE * port=0 adr=02 act=8CAE02E60E85B12796 exp=8CAE02E60E85B12796 + 49290.50ns INFO [00049292] * RD COMPARE * port=1 adr=06 act=FED81737F33B1AD5B6 exp=FED81737F33B1AD5B6 + 49290.50ns INFO [00049292] Port=0 RD @01 + 49290.50ns INFO [00049292] Port=1 RD @03 + 49291.50ns INFO [00049293] * RD COMPARE * port=0 adr=06 act=FED81737F33B1AD5B6 exp=FED81737F33B1AD5B6 + 49291.50ns INFO [00049293] * RD COMPARE * port=1 adr=06 act=FED81737F33B1AD5B6 exp=FED81737F33B1AD5B6 + 49291.50ns INFO [00049293] Port=0 WR @00=7420EABD774454135D + 49291.50ns INFO [00049293] Port=0 RD @02 + 49292.50ns INFO [00049294] * RD COMPARE * port=0 adr=01 act=0F357FECF5F9B4D6BF exp=0F357FECF5F9B4D6BF + 49292.50ns INFO [00049294] * RD COMPARE * port=1 adr=03 act=11DF926EBDD1AF0DCD exp=11DF926EBDD1AF0DCD + 49292.50ns INFO [00049294] Port=0 WR @07=62C13FC3C72916A2B5 + 49292.50ns INFO [00049294] Port=0 RD @03 + 49292.50ns INFO [00049294] Port=1 RD @05 + 49293.50ns INFO [00049295] * RD COMPARE * port=0 adr=02 act=8CAE02E60E85B12796 exp=8CAE02E60E85B12796 + 49293.50ns INFO [00049295] Port=0 WR @00=0732A44D8CF9F1B73F + 49294.50ns INFO [00049296] * RD COMPARE * port=0 adr=03 act=11DF926EBDD1AF0DCD exp=11DF926EBDD1AF0DCD + 49294.50ns INFO [00049296] * RD COMPARE * port=1 adr=05 act=E77992568779E19161 exp=E77992568779E19161 + 49294.50ns INFO [00049296] Port=0 WR @04=E28581B6AA371F814F + 49296.50ns INFO [00049298] Port=0 WR @01=0FB5E7D8194BD38823 + 49297.50ns INFO [00049299] Port=0 WR @03=9A7AF9BED46707CE25 + 49297.50ns INFO [00049299] Port=0 RD @01 + 49297.50ns INFO [00049299] Port=1 RD @04 + 49298.00ns INFO [00049300] [00049300] ...tick... + 49299.50ns INFO [00049301] * RD COMPARE * port=0 adr=01 act=0FB5E7D8194BD38823 exp=0FB5E7D8194BD38823 + 49299.50ns INFO [00049301] * RD COMPARE * port=1 adr=04 act=E28581B6AA371F814F exp=E28581B6AA371F814F + 49299.50ns INFO [00049301] Port=0 WR @07=0EC9D125523D503893 + 49299.50ns INFO [00049301] Port=1 RD @01 + 49300.50ns INFO [00049302] Port=0 WR @02=1D413B9C6B5CD464DA + 49300.50ns INFO [00049302] Port=1 RD @01 + 49301.50ns INFO [00049303] * RD COMPARE * port=1 adr=01 act=0FB5E7D8194BD38823 exp=0FB5E7D8194BD38823 + 49301.50ns INFO [00049303] Port=0 RD @06 + 49301.50ns INFO [00049303] Port=1 RD @00 + 49302.50ns INFO [00049304] * RD COMPARE * port=1 adr=01 act=0FB5E7D8194BD38823 exp=0FB5E7D8194BD38823 + 49302.50ns INFO [00049304] Port=0 RD @06 + 49302.50ns INFO [00049304] Port=1 RD @05 + 49303.50ns INFO [00049305] * RD COMPARE * port=0 adr=06 act=FED81737F33B1AD5B6 exp=FED81737F33B1AD5B6 + 49303.50ns INFO [00049305] * RD COMPARE * port=1 adr=00 act=0732A44D8CF9F1B73F exp=0732A44D8CF9F1B73F + 49303.50ns INFO [00049305] Port=0 RD @07 + 49303.50ns INFO [00049305] Port=1 RD @01 + 49304.50ns INFO [00049306] * RD COMPARE * port=0 adr=06 act=FED81737F33B1AD5B6 exp=FED81737F33B1AD5B6 + 49304.50ns INFO [00049306] * RD COMPARE * port=1 adr=05 act=E77992568779E19161 exp=E77992568779E19161 + 49304.50ns INFO [00049306] Port=0 WR @03=8BA316AFF194B61C06 + 49305.50ns INFO [00049307] * RD COMPARE * port=0 adr=07 act=0EC9D125523D503893 exp=0EC9D125523D503893 + 49305.50ns INFO [00049307] * RD COMPARE * port=1 adr=01 act=0FB5E7D8194BD38823 exp=0FB5E7D8194BD38823 + 49306.50ns INFO [00049308] Port=0 RD @01 + 49306.50ns INFO [00049308] Port=1 RD @01 + 49307.50ns INFO [00049309] Port=0 WR @06=FE1590E7C8EE60FC35 + 49307.50ns INFO [00049309] Port=0 RD @01 + 49308.50ns INFO [00049310] * RD COMPARE * port=0 adr=01 act=0FB5E7D8194BD38823 exp=0FB5E7D8194BD38823 + 49308.50ns INFO [00049310] * RD COMPARE * port=1 adr=01 act=0FB5E7D8194BD38823 exp=0FB5E7D8194BD38823 + 49308.50ns INFO [00049310] Port=0 RD @03 + 49309.50ns INFO [00049311] * RD COMPARE * port=0 adr=01 act=0FB5E7D8194BD38823 exp=0FB5E7D8194BD38823 + 49309.50ns INFO [00049311] Port=0 RD @00 + 49309.50ns INFO [00049311] Port=1 RD @00 + 49310.50ns INFO [00049312] * RD COMPARE * port=0 adr=03 act=8BA316AFF194B61C06 exp=8BA316AFF194B61C06 + 49310.50ns INFO [00049312] Port=0 WR @04=384F30E441BF8315F8 + 49310.50ns INFO [00049312] Port=0 RD @07 + 49311.50ns INFO [00049313] * RD COMPARE * port=0 adr=00 act=0732A44D8CF9F1B73F exp=0732A44D8CF9F1B73F + 49311.50ns INFO [00049313] * RD COMPARE * port=1 adr=00 act=0732A44D8CF9F1B73F exp=0732A44D8CF9F1B73F + 49311.50ns INFO [00049313] Port=0 WR @03=57AFFF851AC7D62B10 + 49311.50ns INFO [00049313] Port=0 RD @00 + 49311.50ns INFO [00049313] Port=1 RD @07 + 49312.50ns INFO [00049314] * RD COMPARE * port=0 adr=07 act=0EC9D125523D503893 exp=0EC9D125523D503893 + 49312.50ns INFO [00049314] Port=0 RD @04 + 49313.50ns INFO [00049315] * RD COMPARE * port=0 adr=00 act=0732A44D8CF9F1B73F exp=0732A44D8CF9F1B73F + 49313.50ns INFO [00049315] * RD COMPARE * port=1 adr=07 act=0EC9D125523D503893 exp=0EC9D125523D503893 + 49314.50ns INFO [00049316] * RD COMPARE * port=0 adr=04 act=384F30E441BF8315F8 exp=384F30E441BF8315F8 + 49314.50ns INFO [00049316] Port=0 RD @00 + 49315.50ns INFO [00049317] Port=1 RD @06 + 49316.50ns INFO [00049318] * RD COMPARE * port=0 adr=00 act=0732A44D8CF9F1B73F exp=0732A44D8CF9F1B73F + 49316.50ns INFO [00049318] Port=0 RD @03 + 49317.50ns INFO [00049319] * RD COMPARE * port=1 adr=06 act=FE1590E7C8EE60FC35 exp=FE1590E7C8EE60FC35 + 49317.50ns INFO [00049319] Port=0 RD @06 + 49318.50ns INFO [00049320] * RD COMPARE * port=0 adr=03 act=57AFFF851AC7D62B10 exp=57AFFF851AC7D62B10 + 49318.50ns INFO [00049320] Port=0 RD @03 + 49319.50ns INFO [00049321] * RD COMPARE * port=0 adr=06 act=FE1590E7C8EE60FC35 exp=FE1590E7C8EE60FC35 + 49319.50ns INFO [00049321] Port=0 RD @06 + 49319.50ns INFO [00049321] Port=1 RD @03 + 49320.50ns INFO [00049322] * RD COMPARE * port=0 adr=03 act=57AFFF851AC7D62B10 exp=57AFFF851AC7D62B10 + 49320.50ns INFO [00049322] Port=1 RD @06 + 49321.50ns INFO [00049323] * RD COMPARE * port=0 adr=06 act=FE1590E7C8EE60FC35 exp=FE1590E7C8EE60FC35 + 49321.50ns INFO [00049323] * RD COMPARE * port=1 adr=03 act=57AFFF851AC7D62B10 exp=57AFFF851AC7D62B10 + 49321.50ns INFO [00049323] Port=0 RD @01 + 49322.50ns INFO [00049324] * RD COMPARE * port=1 adr=06 act=FE1590E7C8EE60FC35 exp=FE1590E7C8EE60FC35 + 49322.50ns INFO [00049324] Port=0 RD @07 + 49323.50ns INFO [00049325] * RD COMPARE * port=0 adr=01 act=0FB5E7D8194BD38823 exp=0FB5E7D8194BD38823 + 49323.50ns INFO [00049325] Port=0 RD @00 + 49323.50ns INFO [00049325] Port=1 RD @03 + 49324.50ns INFO [00049326] * RD COMPARE * port=0 adr=07 act=0EC9D125523D503893 exp=0EC9D125523D503893 + 49324.50ns INFO [00049326] Port=1 RD @04 + 49325.50ns INFO [00049327] * RD COMPARE * port=0 adr=00 act=0732A44D8CF9F1B73F exp=0732A44D8CF9F1B73F + 49325.50ns INFO [00049327] * RD COMPARE * port=1 adr=03 act=57AFFF851AC7D62B10 exp=57AFFF851AC7D62B10 + 49325.50ns INFO [00049327] Port=0 WR @06=E8BE1D6B8FCCF1CFA5 + 49325.50ns INFO [00049327] Port=0 RD @07 + 49326.50ns INFO [00049328] * RD COMPARE * port=1 adr=04 act=384F30E441BF8315F8 exp=384F30E441BF8315F8 + 49326.50ns INFO [00049328] Port=1 RD @05 + 49327.50ns INFO [00049329] * RD COMPARE * port=0 adr=07 act=0EC9D125523D503893 exp=0EC9D125523D503893 + 49328.50ns INFO [00049330] * RD COMPARE * port=1 adr=05 act=E77992568779E19161 exp=E77992568779E19161 + 49328.50ns INFO [00049330] Port=0 RD @02 + 49329.50ns INFO [00049331] Port=0 RD @06 + 49330.50ns INFO [00049332] * RD COMPARE * port=0 adr=02 act=1D413B9C6B5CD464DA exp=1D413B9C6B5CD464DA + 49330.50ns INFO [00049332] Port=0 WR @00=329D5CDC496A4F8715 + 49330.50ns INFO [00049332] Port=0 RD @05 + 49330.50ns INFO [00049332] Port=1 RD @03 + 49331.50ns INFO [00049333] * RD COMPARE * port=0 adr=06 act=E8BE1D6B8FCCF1CFA5 exp=E8BE1D6B8FCCF1CFA5 + 49331.50ns INFO [00049333] Port=0 WR @00=BB5A79C7E462040C23 + 49331.50ns INFO [00049333] Port=0 RD @07 + 49332.50ns INFO [00049334] * RD COMPARE * port=0 adr=05 act=E77992568779E19161 exp=E77992568779E19161 + 49332.50ns INFO [00049334] * RD COMPARE * port=1 adr=03 act=57AFFF851AC7D62B10 exp=57AFFF851AC7D62B10 + 49332.50ns INFO [00049334] Port=1 RD @04 + 49333.50ns INFO [00049335] * RD COMPARE * port=0 adr=07 act=0EC9D125523D503893 exp=0EC9D125523D503893 + 49333.50ns INFO [00049335] Port=0 WR @01=9D08B7A2871A012DBF + 49334.50ns INFO [00049336] * RD COMPARE * port=1 adr=04 act=384F30E441BF8315F8 exp=384F30E441BF8315F8 + 49334.50ns INFO [00049336] Port=0 RD @05 + 49335.50ns INFO [00049337] Port=1 RD @04 + 49336.50ns INFO [00049338] * RD COMPARE * port=0 adr=05 act=E77992568779E19161 exp=E77992568779E19161 + 49336.50ns INFO [00049338] Port=0 WR @03=7B8D8D11B62A3ECE88 + 49336.50ns INFO [00049338] Port=0 RD @00 + 49337.50ns INFO [00049339] * RD COMPARE * port=1 adr=04 act=384F30E441BF8315F8 exp=384F30E441BF8315F8 + 49337.50ns INFO [00049339] Port=0 WR @04=EC83C25ABABCDCB1B3 + 49338.50ns INFO [00049340] * RD COMPARE * port=0 adr=00 act=BB5A79C7E462040C23 exp=BB5A79C7E462040C23 + 49338.50ns INFO [00049340] Port=0 WR @06=629E4621514CD8A454 + 49339.50ns INFO [00049341] Port=0 WR @03=3FFAF6A5C3F9733D53 + 49340.50ns INFO [00049342] Port=0 WR @00=D3CF654492F9869023 + 49340.50ns INFO [00049342] Port=0 RD @04 + 49341.50ns INFO [00049343] Port=0 WR @07=0B6A83F461156016BD + 49342.50ns INFO [00049344] * RD COMPARE * port=0 adr=04 act=EC83C25ABABCDCB1B3 exp=EC83C25ABABCDCB1B3 + 49342.50ns INFO [00049344] Port=0 RD @04 + 49342.50ns INFO [00049344] Port=1 RD @02 + 49343.50ns INFO [00049345] Port=0 WR @04=EA80FC8D8AAFE258B2 + 49344.50ns INFO [00049346] * RD COMPARE * port=0 adr=04 act=EC83C25ABABCDCB1B3 exp=EC83C25ABABCDCB1B3 + 49344.50ns INFO [00049346] * RD COMPARE * port=1 adr=02 act=1D413B9C6B5CD464DA exp=1D413B9C6B5CD464DA + 49344.50ns INFO [00049346] Port=1 RD @07 + 49345.50ns INFO [00049347] Port=0 RD @06 + 49345.50ns INFO [00049347] Port=1 RD @07 + 49346.50ns INFO [00049348] * RD COMPARE * port=1 adr=07 act=0B6A83F461156016BD exp=0B6A83F461156016BD + 49346.50ns INFO [00049348] Port=0 WR @00=8F0B727D3E813E10E7 + 49347.50ns INFO [00049349] * RD COMPARE * port=0 adr=06 act=629E4621514CD8A454 exp=629E4621514CD8A454 + 49347.50ns INFO [00049349] * RD COMPARE * port=1 adr=07 act=0B6A83F461156016BD exp=0B6A83F461156016BD + 49347.50ns INFO [00049349] Port=0 WR @01=F6E129F83AB6DFED5B + 49347.50ns INFO [00049349] Port=0 RD @02 + 49348.50ns INFO [00049350] Port=0 RD @03 + 49349.50ns INFO [00049351] * RD COMPARE * port=0 adr=02 act=1D413B9C6B5CD464DA exp=1D413B9C6B5CD464DA + 49349.50ns INFO [00049351] Port=0 WR @01=7EECC8FE2B2945EBF6 + 49349.50ns INFO [00049351] Port=1 RD @05 + 49350.50ns INFO [00049352] * RD COMPARE * port=0 adr=03 act=3FFAF6A5C3F9733D53 exp=3FFAF6A5C3F9733D53 + 49350.50ns INFO [00049352] Port=1 RD @06 + 49351.50ns INFO [00049353] * RD COMPARE * port=1 adr=05 act=E77992568779E19161 exp=E77992568779E19161 + 49351.50ns INFO [00049353] Port=0 RD @03 + 49351.50ns INFO [00049353] Port=1 RD @06 + 49352.50ns INFO [00049354] * RD COMPARE * port=1 adr=06 act=629E4621514CD8A454 exp=629E4621514CD8A454 + 49353.50ns INFO [00049355] * RD COMPARE * port=0 adr=03 act=3FFAF6A5C3F9733D53 exp=3FFAF6A5C3F9733D53 + 49353.50ns INFO [00049355] * RD COMPARE * port=1 adr=06 act=629E4621514CD8A454 exp=629E4621514CD8A454 + 49353.50ns INFO [00049355] Port=0 WR @03=6FBBB542EF3B9FD8AB + 49353.50ns INFO [00049355] Port=1 RD @07 + 49354.50ns INFO [00049356] Port=0 RD @05 + 49355.50ns INFO [00049357] * RD COMPARE * port=1 adr=07 act=0B6A83F461156016BD exp=0B6A83F461156016BD + 49355.50ns INFO [00049357] Port=0 WR @02=EBF55C7BF41CD89202 + 49355.50ns INFO [00049357] Port=0 RD @01 + 49356.50ns INFO [00049358] * RD COMPARE * port=0 adr=05 act=E77992568779E19161 exp=E77992568779E19161 + 49356.50ns INFO [00049358] Port=0 RD @06 + 49356.50ns INFO [00049358] Port=1 RD @01 + 49357.50ns INFO [00049359] * RD COMPARE * port=0 adr=01 act=7EECC8FE2B2945EBF6 exp=7EECC8FE2B2945EBF6 + 49357.50ns INFO [00049359] Port=0 RD @02 + 49358.50ns INFO [00049360] * RD COMPARE * port=0 adr=06 act=629E4621514CD8A454 exp=629E4621514CD8A454 + 49358.50ns INFO [00049360] * RD COMPARE * port=1 adr=01 act=7EECC8FE2B2945EBF6 exp=7EECC8FE2B2945EBF6 + 49359.50ns INFO [00049361] * RD COMPARE * port=0 adr=02 act=EBF55C7BF41CD89202 exp=EBF55C7BF41CD89202 + 49359.50ns INFO [00049361] Port=1 RD @06 + 49360.50ns INFO [00049362] Port=0 WR @03=011F4B30E9329583BA + 49361.50ns INFO [00049363] * RD COMPARE * port=1 adr=06 act=629E4621514CD8A454 exp=629E4621514CD8A454 + 49362.50ns INFO [00049364] Port=0 WR @04=468A6F434640BFD75C + 49362.50ns INFO [00049364] Port=0 RD @06 + 49363.50ns INFO [00049365] Port=0 RD @00 + 49364.50ns INFO [00049366] * RD COMPARE * port=0 adr=06 act=629E4621514CD8A454 exp=629E4621514CD8A454 + 49364.50ns INFO [00049366] Port=0 RD @00 + 49365.50ns INFO [00049367] * RD COMPARE * port=0 adr=00 act=8F0B727D3E813E10E7 exp=8F0B727D3E813E10E7 + 49365.50ns INFO [00049367] Port=0 RD @06 + 49366.50ns INFO [00049368] * RD COMPARE * port=0 adr=00 act=8F0B727D3E813E10E7 exp=8F0B727D3E813E10E7 + 49366.50ns INFO [00049368] Port=0 WR @03=55FB0307A8E2A4A3E1 + 49366.50ns INFO [00049368] Port=0 RD @02 + 49367.50ns INFO [00049369] * RD COMPARE * port=0 adr=06 act=629E4621514CD8A454 exp=629E4621514CD8A454 + 49367.50ns INFO [00049369] Port=0 WR @01=5A3C4F58D675520D26 + 49367.50ns INFO [00049369] Port=1 RD @07 + 49368.50ns INFO [00049370] * RD COMPARE * port=0 adr=02 act=EBF55C7BF41CD89202 exp=EBF55C7BF41CD89202 + 49368.50ns INFO [00049370] Port=0 WR @03=A349ABCBD384B3029A + 49368.50ns INFO [00049370] Port=0 RD @00 + 49369.50ns INFO [00049371] * RD COMPARE * port=1 adr=07 act=0B6A83F461156016BD exp=0B6A83F461156016BD + 49369.50ns INFO [00049371] Port=0 WR @06=E3481B373383D133C4 + 49369.50ns INFO [00049371] Port=0 RD @01 + 49370.50ns INFO [00049372] * RD COMPARE * port=0 adr=00 act=8F0B727D3E813E10E7 exp=8F0B727D3E813E10E7 + 49370.50ns INFO [00049372] Port=0 WR @01=2E5B7AB7C7139A8EE0 + 49370.50ns INFO [00049372] Port=0 RD @07 + 49370.50ns INFO [00049372] Port=1 RD @04 + 49371.50ns INFO [00049373] * RD COMPARE * port=0 adr=01 act=5A3C4F58D675520D26 exp=5A3C4F58D675520D26 + 49371.50ns INFO [00049373] Port=1 RD @02 + 49372.50ns INFO [00049374] * RD COMPARE * port=0 adr=07 act=0B6A83F461156016BD exp=0B6A83F461156016BD + 49372.50ns INFO [00049374] * RD COMPARE * port=1 adr=04 act=468A6F434640BFD75C exp=468A6F434640BFD75C + 49372.50ns INFO [00049374] Port=1 RD @05 + 49373.50ns INFO [00049375] * RD COMPARE * port=1 adr=02 act=EBF55C7BF41CD89202 exp=EBF55C7BF41CD89202 + 49373.50ns INFO [00049375] Port=0 WR @04=51D44B0963E54C51EB + 49373.50ns INFO [00049375] Port=0 RD @03 + 49374.50ns INFO [00049376] * RD COMPARE * port=1 adr=05 act=E77992568779E19161 exp=E77992568779E19161 + 49375.50ns INFO [00049377] * RD COMPARE * port=0 adr=03 act=A349ABCBD384B3029A exp=A349ABCBD384B3029A + 49375.50ns INFO [00049377] Port=0 WR @05=957BC5B01E6FBFFFCB + 49375.50ns INFO [00049377] Port=0 RD @00 + 49376.50ns INFO [00049378] Port=0 RD @02 + 49376.50ns INFO [00049378] Port=1 RD @00 + 49377.50ns INFO [00049379] * RD COMPARE * port=0 adr=00 act=8F0B727D3E813E10E7 exp=8F0B727D3E813E10E7 + 49378.50ns INFO [00049380] * RD COMPARE * port=0 adr=02 act=EBF55C7BF41CD89202 exp=EBF55C7BF41CD89202 + 49378.50ns INFO [00049380] * RD COMPARE * port=1 adr=00 act=8F0B727D3E813E10E7 exp=8F0B727D3E813E10E7 + 49378.50ns INFO [00049380] Port=0 WR @01=B2F971C621B4804D5E + 49379.50ns INFO [00049381] Port=1 RD @03 + 49381.50ns INFO [00049383] * RD COMPARE * port=1 adr=03 act=A349ABCBD384B3029A exp=A349ABCBD384B3029A + 49381.50ns INFO [00049383] Port=1 RD @00 + 49382.50ns INFO [00049384] Port=1 RD @04 + 49383.50ns INFO [00049385] * RD COMPARE * port=1 adr=00 act=8F0B727D3E813E10E7 exp=8F0B727D3E813E10E7 + 49383.50ns INFO [00049385] Port=0 WR @01=22367BC12EC738FC52 + 49384.50ns INFO [00049386] * RD COMPARE * port=1 adr=04 act=51D44B0963E54C51EB exp=51D44B0963E54C51EB + 49384.50ns INFO [00049386] Port=0 WR @01=FE7D5B7EC2EAF10314 + 49384.50ns INFO [00049386] Port=1 RD @07 + 49385.50ns INFO [00049387] Port=0 RD @01 + 49386.50ns INFO [00049388] * RD COMPARE * port=1 adr=07 act=0B6A83F461156016BD exp=0B6A83F461156016BD + 49387.50ns INFO [00049389] * RD COMPARE * port=0 adr=01 act=FE7D5B7EC2EAF10314 exp=FE7D5B7EC2EAF10314 + 49387.50ns INFO [00049389] Port=0 RD @05 + 49387.50ns INFO [00049389] Port=1 RD @04 + 49388.50ns INFO [00049390] Port=1 RD @05 + 49389.50ns INFO [00049391] * RD COMPARE * port=0 adr=05 act=957BC5B01E6FBFFFCB exp=957BC5B01E6FBFFFCB + 49389.50ns INFO [00049391] * RD COMPARE * port=1 adr=04 act=51D44B0963E54C51EB exp=51D44B0963E54C51EB + 49389.50ns INFO [00049391] Port=1 RD @00 + 49390.50ns INFO [00049392] * RD COMPARE * port=1 adr=05 act=957BC5B01E6FBFFFCB exp=957BC5B01E6FBFFFCB + 49390.50ns INFO [00049392] Port=0 WR @01=A44C138F3D017D9702 + 49390.50ns INFO [00049392] Port=1 RD @07 + 49391.50ns INFO [00049393] * RD COMPARE * port=1 adr=00 act=8F0B727D3E813E10E7 exp=8F0B727D3E813E10E7 + 49391.50ns INFO [00049393] Port=0 RD @07 + 49392.50ns INFO [00049394] * RD COMPARE * port=1 adr=07 act=0B6A83F461156016BD exp=0B6A83F461156016BD + 49392.50ns INFO [00049394] Port=0 RD @00 + 49393.50ns INFO [00049395] * RD COMPARE * port=0 adr=07 act=0B6A83F461156016BD exp=0B6A83F461156016BD + 49393.50ns INFO [00049395] Port=0 WR @00=A1B17550B8A579CE31 + 49394.50ns INFO [00049396] * RD COMPARE * port=0 adr=00 act=8F0B727D3E813E10E7 exp=8F0B727D3E813E10E7 + 49394.50ns INFO [00049396] Port=0 WR @02=3C8762319E5AE46C3A + 49395.50ns INFO [00049397] Port=0 WR @01=DC8F7F79BA664E4CC6 + 49395.50ns INFO [00049397] Port=0 RD @04 + 49396.50ns INFO [00049398] Port=1 RD @04 + 49397.50ns INFO [00049399] * RD COMPARE * port=0 adr=04 act=51D44B0963E54C51EB exp=51D44B0963E54C51EB + 49397.50ns INFO [00049399] Port=0 WR @04=171CBCBA6C5E887367 + 49397.50ns INFO [00049399] Port=0 RD @02 + 49397.50ns INFO [00049399] Port=1 RD @06 + 49398.00ns INFO [00049400] [00049400] ...tick... + 49398.50ns INFO [00049400] * RD COMPARE * port=1 adr=04 act=51D44B0963E54C51EB exp=51D44B0963E54C51EB + 49398.50ns INFO [00049400] Port=0 WR @05=AE9A70248553685E9B + 49398.50ns INFO [00049400] Port=0 RD @02 + 49398.50ns INFO [00049400] Port=1 RD @06 + 49399.50ns INFO [00049401] * RD COMPARE * port=0 adr=02 act=3C8762319E5AE46C3A exp=3C8762319E5AE46C3A + 49399.50ns INFO [00049401] * RD COMPARE * port=1 adr=06 act=E3481B373383D133C4 exp=E3481B373383D133C4 + 49399.50ns INFO [00049401] Port=0 WR @01=DA4D939A6D5B4F05D7 + 49399.50ns INFO [00049401] Port=0 RD @07 + 49400.50ns INFO [00049402] * RD COMPARE * port=0 adr=02 act=3C8762319E5AE46C3A exp=3C8762319E5AE46C3A + 49400.50ns INFO [00049402] * RD COMPARE * port=1 adr=06 act=E3481B373383D133C4 exp=E3481B373383D133C4 + 49400.50ns INFO [00049402] Port=0 WR @01=1B2E950CAA470ED1F4 + 49400.50ns INFO [00049402] Port=1 RD @00 + 49401.50ns INFO [00049403] * RD COMPARE * port=0 adr=07 act=0B6A83F461156016BD exp=0B6A83F461156016BD + 49401.50ns INFO [00049403] Port=0 WR @06=819743AFD8CD88520C + 49402.50ns INFO [00049404] * RD COMPARE * port=1 adr=00 act=A1B17550B8A579CE31 exp=A1B17550B8A579CE31 + 49402.50ns INFO [00049404] Port=0 RD @01 + 49402.50ns INFO [00049404] Port=1 RD @06 + 49403.50ns INFO [00049405] Port=0 WR @03=62586038942CD77087 + 49403.50ns INFO [00049405] Port=0 RD @04 + 49403.50ns INFO [00049405] Port=1 RD @01 + 49404.50ns INFO [00049406] * RD COMPARE * port=0 adr=01 act=1B2E950CAA470ED1F4 exp=1B2E950CAA470ED1F4 + 49404.50ns INFO [00049406] * RD COMPARE * port=1 adr=06 act=819743AFD8CD88520C exp=819743AFD8CD88520C + 49404.50ns INFO [00049406] Port=0 RD @05 + 49404.50ns INFO [00049406] Port=1 RD @01 + 49405.50ns INFO [00049407] * RD COMPARE * port=0 adr=04 act=171CBCBA6C5E887367 exp=171CBCBA6C5E887367 + 49405.50ns INFO [00049407] * RD COMPARE * port=1 adr=01 act=1B2E950CAA470ED1F4 exp=1B2E950CAA470ED1F4 + 49405.50ns INFO [00049407] Port=0 RD @02 + 49406.50ns INFO [00049408] * RD COMPARE * port=0 adr=05 act=AE9A70248553685E9B exp=AE9A70248553685E9B + 49406.50ns INFO [00049408] * RD COMPARE * port=1 adr=01 act=1B2E950CAA470ED1F4 exp=1B2E950CAA470ED1F4 + 49406.50ns INFO [00049408] Port=0 WR @06=A24EAE0DA2597B9AE4 + 49406.50ns INFO [00049408] Port=0 RD @07 + 49407.50ns INFO [00049409] * RD COMPARE * port=0 adr=02 act=3C8762319E5AE46C3A exp=3C8762319E5AE46C3A + 49407.50ns INFO [00049409] Port=0 WR @06=D0EA1E56F69FCB10E4 + 49407.50ns INFO [00049409] Port=0 RD @05 + 49407.50ns INFO [00049409] Port=1 RD @04 + 49408.50ns INFO [00049410] * RD COMPARE * port=0 adr=07 act=0B6A83F461156016BD exp=0B6A83F461156016BD + 49408.50ns INFO [00049410] Port=0 RD @01 + 49409.50ns INFO [00049411] * RD COMPARE * port=0 adr=05 act=AE9A70248553685E9B exp=AE9A70248553685E9B + 49409.50ns INFO [00049411] * RD COMPARE * port=1 adr=04 act=171CBCBA6C5E887367 exp=171CBCBA6C5E887367 + 49409.50ns INFO [00049411] Port=0 RD @00 + 49409.50ns INFO [00049411] Port=1 RD @05 + 49410.50ns INFO [00049412] * RD COMPARE * port=0 adr=01 act=1B2E950CAA470ED1F4 exp=1B2E950CAA470ED1F4 + 49410.50ns INFO [00049412] Port=0 WR @06=93BB6B53BAEA50BF4C + 49411.50ns INFO [00049413] * RD COMPARE * port=0 adr=00 act=A1B17550B8A579CE31 exp=A1B17550B8A579CE31 + 49411.50ns INFO [00049413] * RD COMPARE * port=1 adr=05 act=AE9A70248553685E9B exp=AE9A70248553685E9B + 49411.50ns INFO [00049413] Port=0 WR @04=4FA042C9DE4FF0270A + 49411.50ns INFO [00049413] Port=0 RD @05 + 49411.50ns INFO [00049413] Port=1 RD @02 + 49412.50ns INFO [00049414] Port=0 WR @01=AF2B12B0437790C4E4 + 49413.50ns INFO [00049415] * RD COMPARE * port=0 adr=05 act=AE9A70248553685E9B exp=AE9A70248553685E9B + 49413.50ns INFO [00049415] * RD COMPARE * port=1 adr=02 act=3C8762319E5AE46C3A exp=3C8762319E5AE46C3A + 49413.50ns INFO [00049415] Port=0 WR @03=E2A5BE277650181E31 + 49413.50ns INFO [00049415] Port=0 RD @04 + 49414.50ns INFO [00049416] Port=0 WR @01=4136AB2AA3A36855B9 + 49414.50ns INFO [00049416] Port=1 RD @05 + 49415.50ns INFO [00049417] * RD COMPARE * port=0 adr=04 act=4FA042C9DE4FF0270A exp=4FA042C9DE4FF0270A + 49416.50ns INFO [00049418] * RD COMPARE * port=1 adr=05 act=AE9A70248553685E9B exp=AE9A70248553685E9B + 49416.50ns INFO [00049418] Port=0 WR @07=0A99D7E09009AA1980 + 49416.50ns INFO [00049418] Port=0 RD @00 + 49417.50ns INFO [00049419] Port=0 WR @01=D513476BF2B4136BCF + 49417.50ns INFO [00049419] Port=0 RD @06 + 49417.50ns INFO [00049419] Port=1 RD @00 + 49418.50ns INFO [00049420] * RD COMPARE * port=0 adr=00 act=A1B17550B8A579CE31 exp=A1B17550B8A579CE31 + 49418.50ns INFO [00049420] Port=0 WR @07=E6C31EFE10E730D679 + 49419.50ns INFO [00049421] * RD COMPARE * port=0 adr=06 act=93BB6B53BAEA50BF4C exp=93BB6B53BAEA50BF4C + 49419.50ns INFO [00049421] * RD COMPARE * port=1 adr=00 act=A1B17550B8A579CE31 exp=A1B17550B8A579CE31 + 49420.50ns INFO [00049422] Port=0 RD @01 + 49421.50ns INFO [00049423] Port=0 WR @04=367087FFCBD58251A7 + 49422.50ns INFO [00049424] * RD COMPARE * port=0 adr=01 act=D513476BF2B4136BCF exp=D513476BF2B4136BCF + 49422.50ns INFO [00049424] Port=0 RD @00 + 49422.50ns INFO [00049424] Port=1 RD @07 + 49423.50ns INFO [00049425] Port=0 WR @01=7A5608C06E9D7F118C + 49424.50ns INFO [00049426] * RD COMPARE * port=0 adr=00 act=A1B17550B8A579CE31 exp=A1B17550B8A579CE31 + 49424.50ns INFO [00049426] * RD COMPARE * port=1 adr=07 act=E6C31EFE10E730D679 exp=E6C31EFE10E730D679 + 49424.50ns INFO [00049426] Port=0 RD @07 + 49424.50ns INFO [00049426] Port=1 RD @07 + 49425.50ns INFO [00049427] Port=0 WR @00=842E6AB61EB3EC1693 + 49425.50ns INFO [00049427] Port=0 RD @04 + 49426.50ns INFO [00049428] * RD COMPARE * port=0 adr=07 act=E6C31EFE10E730D679 exp=E6C31EFE10E730D679 + 49426.50ns INFO [00049428] * RD COMPARE * port=1 adr=07 act=E6C31EFE10E730D679 exp=E6C31EFE10E730D679 + 49426.50ns INFO [00049428] Port=0 RD @01 + 49427.50ns INFO [00049429] * RD COMPARE * port=0 adr=04 act=367087FFCBD58251A7 exp=367087FFCBD58251A7 + 49427.50ns INFO [00049429] Port=0 WR @07=ED7EAFB83E469D84AE + 49427.50ns INFO [00049429] Port=1 RD @00 + 49428.50ns INFO [00049430] * RD COMPARE * port=0 adr=01 act=7A5608C06E9D7F118C exp=7A5608C06E9D7F118C + 49428.50ns INFO [00049430] Port=1 RD @02 + 49429.50ns INFO [00049431] * RD COMPARE * port=1 adr=00 act=842E6AB61EB3EC1693 exp=842E6AB61EB3EC1693 + 49429.50ns INFO [00049431] Port=0 RD @05 + 49429.50ns INFO [00049431] Port=1 RD @06 + 49430.50ns INFO [00049432] * RD COMPARE * port=1 adr=02 act=3C8762319E5AE46C3A exp=3C8762319E5AE46C3A + 49430.50ns INFO [00049432] Port=0 RD @02 + 49431.50ns INFO [00049433] * RD COMPARE * port=0 adr=05 act=AE9A70248553685E9B exp=AE9A70248553685E9B + 49431.50ns INFO [00049433] * RD COMPARE * port=1 adr=06 act=93BB6B53BAEA50BF4C exp=93BB6B53BAEA50BF4C + 49431.50ns INFO [00049433] Port=0 WR @04=438B001806D4E9B985 + 49432.50ns INFO [00049434] * RD COMPARE * port=0 adr=02 act=3C8762319E5AE46C3A exp=3C8762319E5AE46C3A + 49432.50ns INFO [00049434] Port=0 WR @02=06C4EA4196596F550C + 49432.50ns INFO [00049434] Port=1 RD @04 + 49433.50ns INFO [00049435] Port=1 RD @07 + 49434.50ns INFO [00049436] * RD COMPARE * port=1 adr=04 act=438B001806D4E9B985 exp=438B001806D4E9B985 + 49434.50ns INFO [00049436] Port=0 WR @01=15048F849A26425E51 + 49434.50ns INFO [00049436] Port=0 RD @02 + 49435.50ns INFO [00049437] * RD COMPARE * port=1 adr=07 act=ED7EAFB83E469D84AE exp=ED7EAFB83E469D84AE + 49435.50ns INFO [00049437] Port=0 WR @02=5ACAC132BCC32F0D65 + 49435.50ns INFO [00049437] Port=0 RD @00 + 49435.50ns INFO [00049437] Port=1 RD @06 + 49436.50ns INFO [00049438] * RD COMPARE * port=0 adr=02 act=06C4EA4196596F550C exp=06C4EA4196596F550C + 49436.50ns INFO [00049438] Port=0 RD @04 + 49436.50ns INFO [00049438] Port=1 RD @04 + 49437.50ns INFO [00049439] * RD COMPARE * port=0 adr=00 act=842E6AB61EB3EC1693 exp=842E6AB61EB3EC1693 + 49437.50ns INFO [00049439] * RD COMPARE * port=1 adr=06 act=93BB6B53BAEA50BF4C exp=93BB6B53BAEA50BF4C + 49438.50ns INFO [00049440] * RD COMPARE * port=0 adr=04 act=438B001806D4E9B985 exp=438B001806D4E9B985 + 49438.50ns INFO [00049440] * RD COMPARE * port=1 adr=04 act=438B001806D4E9B985 exp=438B001806D4E9B985 + 49439.50ns INFO [00049441] Port=0 WR @05=99B87870E23C41B4C2 + 49439.50ns INFO [00049441] Port=0 RD @04 + 49440.50ns INFO [00049442] Port=0 WR @00=E0681A2E30D88F61B5 + 49440.50ns INFO [00049442] Port=0 RD @01 + 49441.50ns INFO [00049443] * RD COMPARE * port=0 adr=04 act=438B001806D4E9B985 exp=438B001806D4E9B985 + 49441.50ns INFO [00049443] Port=0 RD @05 + 49442.50ns INFO [00049444] * RD COMPARE * port=0 adr=01 act=15048F849A26425E51 exp=15048F849A26425E51 + 49442.50ns INFO [00049444] Port=1 RD @07 + 49443.50ns INFO [00049445] * RD COMPARE * port=0 adr=05 act=99B87870E23C41B4C2 exp=99B87870E23C41B4C2 + 49443.50ns INFO [00049445] Port=0 WR @06=AD4B922ECE941CAEC7 + 49444.50ns INFO [00049446] * RD COMPARE * port=1 adr=07 act=ED7EAFB83E469D84AE exp=ED7EAFB83E469D84AE + 49444.50ns INFO [00049446] Port=0 RD @06 + 49444.50ns INFO [00049446] Port=1 RD @04 + 49446.50ns INFO [00049448] * RD COMPARE * port=0 adr=06 act=AD4B922ECE941CAEC7 exp=AD4B922ECE941CAEC7 + 49446.50ns INFO [00049448] * RD COMPARE * port=1 adr=04 act=438B001806D4E9B985 exp=438B001806D4E9B985 + 49446.50ns INFO [00049448] Port=0 WR @05=773A46D5BDA9F67452 + 49447.50ns INFO [00049449] Port=0 WR @00=13F0C19C4DBB9EC422 + 49448.50ns INFO [00049450] Port=0 WR @01=C4671B92C1AC568D1D + 49448.50ns INFO [00049450] Port=0 RD @06 + 49449.50ns INFO [00049451] Port=0 WR @02=884409259CD68535D8 + 49449.50ns INFO [00049451] Port=0 RD @01 + 49450.50ns INFO [00049452] * RD COMPARE * port=0 adr=06 act=AD4B922ECE941CAEC7 exp=AD4B922ECE941CAEC7 + 49451.50ns INFO [00049453] * RD COMPARE * port=0 adr=01 act=C4671B92C1AC568D1D exp=C4671B92C1AC568D1D + 49451.50ns INFO [00049453] Port=0 RD @05 + 49452.50ns INFO [00049454] Port=0 WR @00=165A49A3AC789B012E + 49453.50ns INFO [00049455] * RD COMPARE * port=0 adr=05 act=773A46D5BDA9F67452 exp=773A46D5BDA9F67452 + 49453.50ns INFO [00049455] Port=0 WR @07=1C5F8F67226411D527 + 49454.50ns INFO [00049456] Port=1 RD @00 + 49455.50ns INFO [00049457] Port=0 WR @00=ECC17ECCCB0FEC09BD + 49455.50ns INFO [00049457] Port=1 RD @07 + 49456.50ns INFO [00049458] * RD COMPARE * port=1 adr=00 act=165A49A3AC789B012E exp=165A49A3AC789B012E + 49456.50ns INFO [00049458] Port=0 RD @00 + 49456.50ns INFO [00049458] Port=1 RD @04 + 49457.50ns INFO [00049459] * RD COMPARE * port=1 adr=07 act=1C5F8F67226411D527 exp=1C5F8F67226411D527 + 49457.50ns INFO [00049459] Port=0 RD @01 + 49457.50ns INFO [00049459] Port=1 RD @05 + 49458.50ns INFO [00049460] * RD COMPARE * port=0 adr=00 act=ECC17ECCCB0FEC09BD exp=ECC17ECCCB0FEC09BD + 49458.50ns INFO [00049460] * RD COMPARE * port=1 adr=04 act=438B001806D4E9B985 exp=438B001806D4E9B985 + 49458.50ns INFO [00049460] Port=0 RD @06 + 49459.50ns INFO [00049461] * RD COMPARE * port=0 adr=01 act=C4671B92C1AC568D1D exp=C4671B92C1AC568D1D + 49459.50ns INFO [00049461] * RD COMPARE * port=1 adr=05 act=773A46D5BDA9F67452 exp=773A46D5BDA9F67452 + 49459.50ns INFO [00049461] Port=0 WR @02=3E1AF1D0221945D43C + 49459.50ns INFO [00049461] Port=1 RD @06 + 49460.50ns INFO [00049462] * RD COMPARE * port=0 adr=06 act=AD4B922ECE941CAEC7 exp=AD4B922ECE941CAEC7 + 49460.50ns INFO [00049462] Port=0 RD @05 + 49461.50ns INFO [00049463] * RD COMPARE * port=1 adr=06 act=AD4B922ECE941CAEC7 exp=AD4B922ECE941CAEC7 + 49461.50ns INFO [00049463] Port=0 RD @06 + 49461.50ns INFO [00049463] Port=1 RD @03 + 49462.50ns INFO [00049464] * RD COMPARE * port=0 adr=05 act=773A46D5BDA9F67452 exp=773A46D5BDA9F67452 + 49462.50ns INFO [00049464] Port=0 WR @02=A8E46A70F20C824A20 + 49462.50ns INFO [00049464] Port=1 RD @00 + 49463.50ns INFO [00049465] * RD COMPARE * port=0 adr=06 act=AD4B922ECE941CAEC7 exp=AD4B922ECE941CAEC7 + 49463.50ns INFO [00049465] * RD COMPARE * port=1 adr=03 act=E2A5BE277650181E31 exp=E2A5BE277650181E31 + 49463.50ns INFO [00049465] Port=0 RD @00 + 49463.50ns INFO [00049465] Port=1 RD @01 + 49464.50ns INFO [00049466] * RD COMPARE * port=1 adr=00 act=ECC17ECCCB0FEC09BD exp=ECC17ECCCB0FEC09BD + 49464.50ns INFO [00049466] Port=0 WR @07=0AD4BB207C096E20CF + 49465.50ns INFO [00049467] * RD COMPARE * port=0 adr=00 act=ECC17ECCCB0FEC09BD exp=ECC17ECCCB0FEC09BD + 49465.50ns INFO [00049467] * RD COMPARE * port=1 adr=01 act=C4671B92C1AC568D1D exp=C4671B92C1AC568D1D + 49465.50ns INFO [00049467] Port=0 WR @05=5B3F1B2E477EB87401 + 49465.50ns INFO [00049467] Port=0 RD @03 + 49466.50ns INFO [00049468] Port=0 RD @00 + 49467.50ns INFO [00049469] * RD COMPARE * port=0 adr=03 act=E2A5BE277650181E31 exp=E2A5BE277650181E31 + 49467.50ns INFO [00049469] Port=0 WR @03=BCB0DD665158A87410 + 49467.50ns INFO [00049469] Port=0 RD @07 + 49467.50ns INFO [00049469] Port=1 RD @04 + 49468.50ns INFO [00049470] * RD COMPARE * port=0 adr=00 act=ECC17ECCCB0FEC09BD exp=ECC17ECCCB0FEC09BD + 49468.50ns INFO [00049470] Port=0 RD @06 + 49469.50ns INFO [00049471] * RD COMPARE * port=0 adr=07 act=0AD4BB207C096E20CF exp=0AD4BB207C096E20CF + 49469.50ns INFO [00049471] * RD COMPARE * port=1 adr=04 act=438B001806D4E9B985 exp=438B001806D4E9B985 + 49470.50ns INFO [00049472] * RD COMPARE * port=0 adr=06 act=AD4B922ECE941CAEC7 exp=AD4B922ECE941CAEC7 + 49470.50ns INFO [00049472] Port=0 WR @07=D447D25849303D45BB + 49470.50ns INFO [00049472] Port=0 RD @01 + 49470.50ns INFO [00049472] Port=1 RD @00 + 49472.50ns INFO [00049474] * RD COMPARE * port=0 adr=01 act=C4671B92C1AC568D1D exp=C4671B92C1AC568D1D + 49472.50ns INFO [00049474] * RD COMPARE * port=1 adr=00 act=ECC17ECCCB0FEC09BD exp=ECC17ECCCB0FEC09BD + 49473.50ns INFO [00049475] Port=0 RD @07 + 49473.50ns INFO [00049475] Port=1 RD @04 + 49475.50ns INFO [00049477] * RD COMPARE * port=0 adr=07 act=D447D25849303D45BB exp=D447D25849303D45BB + 49475.50ns INFO [00049477] * RD COMPARE * port=1 adr=04 act=438B001806D4E9B985 exp=438B001806D4E9B985 + 49475.50ns INFO [00049477] Port=0 RD @04 + 49475.50ns INFO [00049477] Port=1 RD @02 + 49476.50ns INFO [00049478] Port=0 WR @05=F7682EE74ECCA33529 + 49476.50ns INFO [00049478] Port=1 RD @01 + 49477.50ns INFO [00049479] * RD COMPARE * port=0 adr=04 act=438B001806D4E9B985 exp=438B001806D4E9B985 + 49477.50ns INFO [00049479] * RD COMPARE * port=1 adr=02 act=A8E46A70F20C824A20 exp=A8E46A70F20C824A20 + 49477.50ns INFO [00049479] Port=0 RD @00 + 49477.50ns INFO [00049479] Port=1 RD @01 + 49478.50ns INFO [00049480] * RD COMPARE * port=1 adr=01 act=C4671B92C1AC568D1D exp=C4671B92C1AC568D1D + 49478.50ns INFO [00049480] Port=0 WR @05=7CA9C78D1C1767A2F6 + 49479.50ns INFO [00049481] * RD COMPARE * port=0 adr=00 act=ECC17ECCCB0FEC09BD exp=ECC17ECCCB0FEC09BD + 49479.50ns INFO [00049481] * RD COMPARE * port=1 adr=01 act=C4671B92C1AC568D1D exp=C4671B92C1AC568D1D + 49479.50ns INFO [00049481] Port=0 WR @07=BDFE9BC76E6C0D4EB3 + 49479.50ns INFO [00049481] Port=0 RD @05 + 49481.50ns INFO [00049483] * RD COMPARE * port=0 adr=05 act=7CA9C78D1C1767A2F6 exp=7CA9C78D1C1767A2F6 + 49482.50ns INFO [00049484] Port=0 WR @04=B1059BFBCEC9AA5F20 + 49482.50ns INFO [00049484] Port=1 RD @01 + 49483.50ns INFO [00049485] Port=0 RD @02 + 49484.50ns INFO [00049486] * RD COMPARE * port=1 adr=01 act=C4671B92C1AC568D1D exp=C4671B92C1AC568D1D + 49484.50ns INFO [00049486] Port=0 RD @06 + 49484.50ns INFO [00049486] Port=1 RD @04 + 49485.50ns INFO [00049487] * RD COMPARE * port=0 adr=02 act=A8E46A70F20C824A20 exp=A8E46A70F20C824A20 + 49485.50ns INFO [00049487] Port=0 WR @07=D0E4A0C030D397E2C2 + 49485.50ns INFO [00049487] Port=0 RD @06 + 49485.50ns INFO [00049487] Port=1 RD @03 + 49486.50ns INFO [00049488] * RD COMPARE * port=0 adr=06 act=AD4B922ECE941CAEC7 exp=AD4B922ECE941CAEC7 + 49486.50ns INFO [00049488] * RD COMPARE * port=1 adr=04 act=B1059BFBCEC9AA5F20 exp=B1059BFBCEC9AA5F20 + 49486.50ns INFO [00049488] Port=0 WR @00=AF3662FB578CA27909 + 49487.50ns INFO [00049489] * RD COMPARE * port=0 adr=06 act=AD4B922ECE941CAEC7 exp=AD4B922ECE941CAEC7 + 49487.50ns INFO [00049489] * RD COMPARE * port=1 adr=03 act=BCB0DD665158A87410 exp=BCB0DD665158A87410 + 49489.50ns INFO [00049491] Port=0 RD @01 + 49491.50ns INFO [00049493] * RD COMPARE * port=0 adr=01 act=C4671B92C1AC568D1D exp=C4671B92C1AC568D1D + 49491.50ns INFO [00049493] Port=0 WR @04=D4CE04188BEEDB03B1 + 49492.50ns INFO [00049494] Port=0 WR @01=C7AEAE97714E5916D6 + 49492.50ns INFO [00049494] Port=0 RD @00 + 49493.50ns INFO [00049495] Port=0 WR @00=051D3E39A4ED2E577F + 49494.50ns INFO [00049496] * RD COMPARE * port=0 adr=00 act=AF3662FB578CA27909 exp=AF3662FB578CA27909 + 49497.50ns INFO [00049499] Port=0 RD @03 + 49497.50ns INFO [00049499] Port=1 RD @00 + 49498.00ns INFO [00049500] [00049500] ...tick... + 49499.50ns INFO [00049501] * RD COMPARE * port=0 adr=03 act=BCB0DD665158A87410 exp=BCB0DD665158A87410 + 49499.50ns INFO [00049501] * RD COMPARE * port=1 adr=00 act=051D3E39A4ED2E577F exp=051D3E39A4ED2E577F + 49499.50ns INFO [00049501] Port=0 WR @06=FEF8F7643E09A6F823 + 49499.50ns INFO [00049501] Port=1 RD @04 + 49500.50ns INFO [00049502] Port=0 WR @06=CD1714F92BE40098E9 + 49500.50ns INFO [00049502] Port=0 RD @04 + 49501.50ns INFO [00049503] * RD COMPARE * port=1 adr=04 act=D4CE04188BEEDB03B1 exp=D4CE04188BEEDB03B1 + 49501.50ns INFO [00049503] Port=0 WR @00=74E7CD3CCAD5CB5A8E + 49501.50ns INFO [00049503] Port=0 RD @06 + 49501.50ns INFO [00049503] Port=1 RD @01 + 49502.50ns INFO [00049504] * RD COMPARE * port=0 adr=04 act=D4CE04188BEEDB03B1 exp=D4CE04188BEEDB03B1 + 49502.50ns INFO [00049504] Port=1 RD @06 + 49503.50ns INFO [00049505] * RD COMPARE * port=0 adr=06 act=CD1714F92BE40098E9 exp=CD1714F92BE40098E9 + 49503.50ns INFO [00049505] * RD COMPARE * port=1 adr=01 act=C7AEAE97714E5916D6 exp=C7AEAE97714E5916D6 + 49504.50ns INFO [00049506] * RD COMPARE * port=1 adr=06 act=CD1714F92BE40098E9 exp=CD1714F92BE40098E9 + 49504.50ns INFO [00049506] Port=0 WR @04=9AB575EE8A9D5A7C98 + 49505.50ns INFO [00049507] Port=0 RD @01 + 49506.50ns INFO [00049508] Port=0 WR @01=712374A7F1AD85B1C0 + 49506.50ns INFO [00049508] Port=0 RD @04 + 49507.50ns INFO [00049509] * RD COMPARE * port=0 adr=01 act=C7AEAE97714E5916D6 exp=C7AEAE97714E5916D6 + 49507.50ns INFO [00049509] Port=0 WR @05=BA99FD684E4814953C + 49507.50ns INFO [00049509] Port=0 RD @00 + 49508.50ns INFO [00049510] * RD COMPARE * port=0 adr=04 act=9AB575EE8A9D5A7C98 exp=9AB575EE8A9D5A7C98 + 49509.50ns INFO [00049511] * RD COMPARE * port=0 adr=00 act=74E7CD3CCAD5CB5A8E exp=74E7CD3CCAD5CB5A8E + 49511.50ns INFO [00049513] Port=0 WR @04=43EE19518A978B71C6 + 49511.50ns INFO [00049513] Port=1 RD @05 + 49512.50ns INFO [00049514] Port=0 WR @03=B4B516740391D3F113 + 49513.50ns INFO [00049515] * RD COMPARE * port=1 adr=05 act=BA99FD684E4814953C exp=BA99FD684E4814953C + 49513.50ns INFO [00049515] Port=0 RD @05 + 49514.50ns INFO [00049516] Port=0 WR @07=668CC49A58B47D308E + 49515.50ns INFO [00049517] * RD COMPARE * port=0 adr=05 act=BA99FD684E4814953C exp=BA99FD684E4814953C + 49515.50ns INFO [00049517] Port=0 RD @01 + 49515.50ns INFO [00049517] Port=1 RD @07 + 49516.50ns INFO [00049518] Port=1 RD @02 + 49517.50ns INFO [00049519] * RD COMPARE * port=0 adr=01 act=712374A7F1AD85B1C0 exp=712374A7F1AD85B1C0 + 49517.50ns INFO [00049519] * RD COMPARE * port=1 adr=07 act=668CC49A58B47D308E exp=668CC49A58B47D308E + 49518.50ns INFO [00049520] * RD COMPARE * port=1 adr=02 act=A8E46A70F20C824A20 exp=A8E46A70F20C824A20 + 49518.50ns INFO [00049520] Port=0 RD @07 + 49519.50ns INFO [00049521] Port=0 WR @06=6FFBBAB89AC0B4B67E + 49519.50ns INFO [00049521] Port=1 RD @05 + 49520.50ns INFO [00049522] * RD COMPARE * port=0 adr=07 act=668CC49A58B47D308E exp=668CC49A58B47D308E + 49520.50ns INFO [00049522] Port=0 WR @05=B8D3E212529A42952B + 49521.50ns INFO [00049523] * RD COMPARE * port=1 adr=05 act=BA99FD684E4814953C exp=BA99FD684E4814953C + 49521.50ns INFO [00049523] Port=0 WR @07=576E8861B93F3A5633 + 49521.50ns INFO [00049523] Port=0 RD @01 + 49522.50ns INFO [00049524] Port=0 RD @03 + 49523.50ns INFO [00049525] * RD COMPARE * port=0 adr=01 act=712374A7F1AD85B1C0 exp=712374A7F1AD85B1C0 + 49524.50ns INFO [00049526] * RD COMPARE * port=0 adr=03 act=B4B516740391D3F113 exp=B4B516740391D3F113 + 49525.50ns INFO [00049527] Port=0 RD @01 + 49525.50ns INFO [00049527] Port=1 RD @00 + 49527.50ns INFO [00049529] * RD COMPARE * port=0 adr=01 act=712374A7F1AD85B1C0 exp=712374A7F1AD85B1C0 + 49527.50ns INFO [00049529] * RD COMPARE * port=1 adr=00 act=74E7CD3CCAD5CB5A8E exp=74E7CD3CCAD5CB5A8E + 49527.50ns INFO [00049529] Port=0 RD @07 + 49527.50ns INFO [00049529] Port=1 RD @04 + 49529.50ns INFO [00049531] * RD COMPARE * port=0 adr=07 act=576E8861B93F3A5633 exp=576E8861B93F3A5633 + 49529.50ns INFO [00049531] * RD COMPARE * port=1 adr=04 act=43EE19518A978B71C6 exp=43EE19518A978B71C6 + 49529.50ns INFO [00049531] Port=0 WR @02=514682342DE9E1D605 + 49530.50ns INFO [00049532] Port=0 RD @00 + 49530.50ns INFO [00049532] Port=1 RD @03 + 49531.50ns INFO [00049533] Port=1 RD @03 + 49532.50ns INFO [00049534] * RD COMPARE * port=0 adr=00 act=74E7CD3CCAD5CB5A8E exp=74E7CD3CCAD5CB5A8E + 49532.50ns INFO [00049534] * RD COMPARE * port=1 adr=03 act=B4B516740391D3F113 exp=B4B516740391D3F113 + 49533.50ns INFO [00049535] * RD COMPARE * port=1 adr=03 act=B4B516740391D3F113 exp=B4B516740391D3F113 + 49535.50ns INFO [00049537] Port=0 WR @01=B8B87F5E012B32F968 + 49537.50ns INFO [00049539] Port=0 RD @07 + 49537.50ns INFO [00049539] Port=1 RD @04 + 49538.50ns INFO [00049540] Port=0 RD @01 + 49538.50ns INFO [00049540] Port=1 RD @05 + 49539.50ns INFO [00049541] * RD COMPARE * port=0 adr=07 act=576E8861B93F3A5633 exp=576E8861B93F3A5633 + 49539.50ns INFO [00049541] * RD COMPARE * port=1 adr=04 act=43EE19518A978B71C6 exp=43EE19518A978B71C6 + 49539.50ns INFO [00049541] Port=0 WR @04=6F2C1CD8E7BDB874A5 + 49539.50ns INFO [00049541] Port=1 RD @01 + 49540.50ns INFO [00049542] * RD COMPARE * port=0 adr=01 act=B8B87F5E012B32F968 exp=B8B87F5E012B32F968 + 49540.50ns INFO [00049542] * RD COMPARE * port=1 adr=05 act=B8D3E212529A42952B exp=B8D3E212529A42952B + 49540.50ns INFO [00049542] Port=0 RD @07 + 49541.50ns INFO [00049543] * RD COMPARE * port=1 adr=01 act=B8B87F5E012B32F968 exp=B8B87F5E012B32F968 + 49542.50ns INFO [00049544] * RD COMPARE * port=0 adr=07 act=576E8861B93F3A5633 exp=576E8861B93F3A5633 + 49542.50ns INFO [00049544] Port=0 WR @05=249D5DD53FFB22A4FB + 49542.50ns INFO [00049544] Port=0 RD @06 + 49543.50ns INFO [00049545] Port=0 RD @00 + 49544.50ns INFO [00049546] * RD COMPARE * port=0 adr=06 act=6FFBBAB89AC0B4B67E exp=6FFBBAB89AC0B4B67E + 49545.50ns INFO [00049547] * RD COMPARE * port=0 adr=00 act=74E7CD3CCAD5CB5A8E exp=74E7CD3CCAD5CB5A8E + 49545.50ns INFO [00049547] Port=0 RD @06 + 49546.50ns INFO [00049548] Port=0 WR @07=457C17BB8A8700D2C2 + 49546.50ns INFO [00049548] Port=1 RD @04 + 49547.50ns INFO [00049549] * RD COMPARE * port=0 adr=06 act=6FFBBAB89AC0B4B67E exp=6FFBBAB89AC0B4B67E + 49547.50ns INFO [00049549] Port=1 RD @05 + 49548.50ns INFO [00049550] * RD COMPARE * port=1 adr=04 act=6F2C1CD8E7BDB874A5 exp=6F2C1CD8E7BDB874A5 + 49548.50ns INFO [00049550] Port=1 RD @03 + 49549.50ns INFO [00049551] * RD COMPARE * port=1 adr=05 act=249D5DD53FFB22A4FB exp=249D5DD53FFB22A4FB + 49549.50ns INFO [00049551] Port=0 WR @03=AEE405BA07C1164E88 + 49550.50ns INFO [00049552] * RD COMPARE * port=1 adr=03 act=B4B516740391D3F113 exp=B4B516740391D3F113 + 49550.50ns INFO [00049552] Port=0 WR @01=6932ADC4CE6EFAF18D + 49551.50ns INFO [00049553] Port=0 WR @05=19CBA8E561AFF5B2C1 + 49551.50ns INFO [00049553] Port=0 RD @02 + 49552.50ns INFO [00049554] Port=0 WR @06=ED3DB5C4610674E9A7 + 49552.50ns INFO [00049554] Port=1 RD @04 + 49553.50ns INFO [00049555] * RD COMPARE * port=0 adr=02 act=514682342DE9E1D605 exp=514682342DE9E1D605 + 49553.50ns INFO [00049555] Port=0 WR @07=0F39509BB77C2A73F0 + 49554.50ns INFO [00049556] * RD COMPARE * port=1 adr=04 act=6F2C1CD8E7BDB874A5 exp=6F2C1CD8E7BDB874A5 + 49554.50ns INFO [00049556] Port=0 WR @06=6C653CFDB4F11BBD80 + 49554.50ns INFO [00049556] Port=1 RD @04 + 49555.50ns INFO [00049557] Port=0 WR @03=0DB47EF4734E966575 + 49555.50ns INFO [00049557] Port=1 RD @07 + 49556.50ns INFO [00049558] * RD COMPARE * port=1 adr=04 act=6F2C1CD8E7BDB874A5 exp=6F2C1CD8E7BDB874A5 + 49556.50ns INFO [00049558] Port=0 WR @03=FA356DE68EAEF7F602 + 49556.50ns INFO [00049558] Port=1 RD @00 + 49557.50ns INFO [00049559] * RD COMPARE * port=1 adr=07 act=0F39509BB77C2A73F0 exp=0F39509BB77C2A73F0 + 49557.50ns INFO [00049559] Port=0 RD @01 + 49558.50ns INFO [00049560] * RD COMPARE * port=1 adr=00 act=74E7CD3CCAD5CB5A8E exp=74E7CD3CCAD5CB5A8E + 49558.50ns INFO [00049560] Port=0 RD @01 + 49558.50ns INFO [00049560] Port=1 RD @07 + 49559.50ns INFO [00049561] * RD COMPARE * port=0 adr=01 act=6932ADC4CE6EFAF18D exp=6932ADC4CE6EFAF18D + 49560.50ns INFO [00049562] * RD COMPARE * port=0 adr=01 act=6932ADC4CE6EFAF18D exp=6932ADC4CE6EFAF18D + 49560.50ns INFO [00049562] * RD COMPARE * port=1 adr=07 act=0F39509BB77C2A73F0 exp=0F39509BB77C2A73F0 + 49560.50ns INFO [00049562] Port=1 RD @06 + 49561.50ns INFO [00049563] Port=0 WR @05=0AC4BECF8645632FC3 + 49562.50ns INFO [00049564] * RD COMPARE * port=1 adr=06 act=6C653CFDB4F11BBD80 exp=6C653CFDB4F11BBD80 + 49562.50ns INFO [00049564] Port=0 WR @07=3295BE226113BBEFF0 + 49563.50ns INFO [00049565] Port=0 WR @02=0CDFD90E5C2EF559FB + 49563.50ns INFO [00049565] Port=1 RD @07 + 49564.50ns INFO [00049566] Port=1 RD @05 + 49565.50ns INFO [00049567] * RD COMPARE * port=1 adr=07 act=3295BE226113BBEFF0 exp=3295BE226113BBEFF0 + 49565.50ns INFO [00049567] Port=1 RD @00 + 49566.50ns INFO [00049568] * RD COMPARE * port=1 adr=05 act=0AC4BECF8645632FC3 exp=0AC4BECF8645632FC3 + 49566.50ns INFO [00049568] Port=0 RD @03 + 49567.50ns INFO [00049569] * RD COMPARE * port=1 adr=00 act=74E7CD3CCAD5CB5A8E exp=74E7CD3CCAD5CB5A8E + 49567.50ns INFO [00049569] Port=0 WR @02=8B40744C3D31F9360C + 49567.50ns INFO [00049569] Port=1 RD @05 + 49568.50ns INFO [00049570] * RD COMPARE * port=0 adr=03 act=FA356DE68EAEF7F602 exp=FA356DE68EAEF7F602 + 49568.50ns INFO [00049570] Port=0 WR @04=17506CCF7076EDA80E + 49569.50ns INFO [00049571] * RD COMPARE * port=1 adr=05 act=0AC4BECF8645632FC3 exp=0AC4BECF8645632FC3 + 49569.50ns INFO [00049571] Port=0 RD @02 + 49570.50ns INFO [00049572] Port=1 RD @06 + 49571.50ns INFO [00049573] * RD COMPARE * port=0 adr=02 act=8B40744C3D31F9360C exp=8B40744C3D31F9360C + 49571.50ns INFO [00049573] Port=0 WR @04=3F0ACD9C30646AD100 + 49572.50ns INFO [00049574] * RD COMPARE * port=1 adr=06 act=6C653CFDB4F11BBD80 exp=6C653CFDB4F11BBD80 + 49572.50ns INFO [00049574] Port=0 WR @00=C84CB5087815290D8F + 49573.50ns INFO [00049575] Port=1 RD @07 + 49574.50ns INFO [00049576] Port=0 WR @07=B699AB6047B60E08E2 + 49574.50ns INFO [00049576] Port=1 RD @00 + 49575.50ns INFO [00049577] * RD COMPARE * port=1 adr=07 act=3295BE226113BBEFF0 exp=3295BE226113BBEFF0 + 49575.50ns INFO [00049577] Port=0 WR @01=CE3E5BEE1C817BC03D + 49576.50ns INFO [00049578] * RD COMPARE * port=1 adr=00 act=C84CB5087815290D8F exp=C84CB5087815290D8F + 49577.50ns INFO [00049579] Port=1 RD @05 + 49579.50ns INFO [00049581] * RD COMPARE * port=1 adr=05 act=0AC4BECF8645632FC3 exp=0AC4BECF8645632FC3 + 49579.50ns INFO [00049581] Port=0 WR @02=E6C50573C08AF422E6 + 49579.50ns INFO [00049581] Port=0 RD @01 + 49579.50ns INFO [00049581] Port=1 RD @00 + 49580.50ns INFO [00049582] Port=0 RD @06 + 49581.50ns INFO [00049583] * RD COMPARE * port=0 adr=01 act=CE3E5BEE1C817BC03D exp=CE3E5BEE1C817BC03D + 49581.50ns INFO [00049583] * RD COMPARE * port=1 adr=00 act=C84CB5087815290D8F exp=C84CB5087815290D8F + 49581.50ns INFO [00049583] Port=0 WR @06=FFC6481F80B0CB0240 + 49581.50ns INFO [00049583] Port=0 RD @00 + 49582.50ns INFO [00049584] * RD COMPARE * port=0 adr=06 act=6C653CFDB4F11BBD80 exp=6C653CFDB4F11BBD80 + 49582.50ns INFO [00049584] Port=1 RD @05 + 49583.50ns INFO [00049585] * RD COMPARE * port=0 adr=00 act=C84CB5087815290D8F exp=C84CB5087815290D8F + 49583.50ns INFO [00049585] Port=1 RD @02 + 49584.50ns INFO [00049586] * RD COMPARE * port=1 adr=05 act=0AC4BECF8645632FC3 exp=0AC4BECF8645632FC3 + 49584.50ns INFO [00049586] Port=0 RD @04 + 49585.50ns INFO [00049587] * RD COMPARE * port=1 adr=02 act=E6C50573C08AF422E6 exp=E6C50573C08AF422E6 + 49585.50ns INFO [00049587] Port=0 RD @04 + 49585.50ns INFO [00049587] Port=1 RD @07 + 49586.50ns INFO [00049588] * RD COMPARE * port=0 adr=04 act=3F0ACD9C30646AD100 exp=3F0ACD9C30646AD100 + 49586.50ns INFO [00049588] Port=0 WR @07=1F5E17C894BCA9CB32 + 49586.50ns INFO [00049588] Port=0 RD @02 + 49587.50ns INFO [00049589] * RD COMPARE * port=0 adr=04 act=3F0ACD9C30646AD100 exp=3F0ACD9C30646AD100 + 49587.50ns INFO [00049589] * RD COMPARE * port=1 adr=07 act=B699AB6047B60E08E2 exp=B699AB6047B60E08E2 + 49587.50ns INFO [00049589] Port=0 RD @00 + 49588.50ns INFO [00049590] * RD COMPARE * port=0 adr=02 act=E6C50573C08AF422E6 exp=E6C50573C08AF422E6 + 49588.50ns INFO [00049590] Port=0 RD @00 + 49588.50ns INFO [00049590] Port=1 RD @06 + 49589.50ns INFO [00049591] * RD COMPARE * port=0 adr=00 act=C84CB5087815290D8F exp=C84CB5087815290D8F + 49589.50ns INFO [00049591] Port=0 WR @04=24809054898C621BB1 + 49590.50ns INFO [00049592] * RD COMPARE * port=0 adr=00 act=C84CB5087815290D8F exp=C84CB5087815290D8F + 49590.50ns INFO [00049592] * RD COMPARE * port=1 adr=06 act=FFC6481F80B0CB0240 exp=FFC6481F80B0CB0240 + 49590.50ns INFO [00049592] Port=0 WR @02=D65B4D9FF600CB43F3 + 49590.50ns INFO [00049592] Port=0 RD @05 + 49590.50ns INFO [00049592] Port=1 RD @01 + 49591.50ns INFO [00049593] Port=1 RD @01 + 49592.50ns INFO [00049594] * RD COMPARE * port=0 adr=05 act=0AC4BECF8645632FC3 exp=0AC4BECF8645632FC3 + 49592.50ns INFO [00049594] * RD COMPARE * port=1 adr=01 act=CE3E5BEE1C817BC03D exp=CE3E5BEE1C817BC03D + 49592.50ns INFO [00049594] Port=0 WR @00=B47E88DCA5B94C49C7 + 49592.50ns INFO [00049594] Port=0 RD @02 + 49592.50ns INFO [00049594] Port=1 RD @05 + 49593.50ns INFO [00049595] * RD COMPARE * port=1 adr=01 act=CE3E5BEE1C817BC03D exp=CE3E5BEE1C817BC03D + 49593.50ns INFO [00049595] Port=0 RD @01 + 49594.50ns INFO [00049596] * RD COMPARE * port=0 adr=02 act=D65B4D9FF600CB43F3 exp=D65B4D9FF600CB43F3 + 49594.50ns INFO [00049596] * RD COMPARE * port=1 adr=05 act=0AC4BECF8645632FC3 exp=0AC4BECF8645632FC3 + 49594.50ns INFO [00049596] Port=0 RD @02 + 49595.50ns INFO [00049597] * RD COMPARE * port=0 adr=01 act=CE3E5BEE1C817BC03D exp=CE3E5BEE1C817BC03D + 49595.50ns INFO [00049597] Port=0 RD @03 + 49595.50ns INFO [00049597] Port=1 RD @07 + 49596.50ns INFO [00049598] * RD COMPARE * port=0 adr=02 act=D65B4D9FF600CB43F3 exp=D65B4D9FF600CB43F3 + 49596.50ns INFO [00049598] Port=0 RD @03 + 49596.50ns INFO [00049598] Port=1 RD @07 + 49597.50ns INFO [00049599] * RD COMPARE * port=0 adr=03 act=FA356DE68EAEF7F602 exp=FA356DE68EAEF7F602 + 49597.50ns INFO [00049599] * RD COMPARE * port=1 adr=07 act=1F5E17C894BCA9CB32 exp=1F5E17C894BCA9CB32 + 49597.50ns INFO [00049599] Port=0 WR @06=86F1D420069549AE99 + 49598.00ns INFO [00049600] [00049600] ...tick... + 49598.50ns INFO [00049600] * RD COMPARE * port=0 adr=03 act=FA356DE68EAEF7F602 exp=FA356DE68EAEF7F602 + 49598.50ns INFO [00049600] * RD COMPARE * port=1 adr=07 act=1F5E17C894BCA9CB32 exp=1F5E17C894BCA9CB32 + 49598.50ns INFO [00049600] Port=0 WR @04=87826CDA96BF054A7F + 49598.50ns INFO [00049600] Port=0 RD @00 + 49599.50ns INFO [00049601] Port=0 WR @03=619B3DD5E992D61A95 + 49599.50ns INFO [00049601] Port=1 RD @04 + 49600.50ns INFO [00049602] * RD COMPARE * port=0 adr=00 act=B47E88DCA5B94C49C7 exp=B47E88DCA5B94C49C7 + 49600.50ns INFO [00049602] Port=0 WR @01=ACF5548B3AC13CAEA6 + 49600.50ns INFO [00049602] Port=1 RD @00 + 49601.50ns INFO [00049603] * RD COMPARE * port=1 adr=04 act=87826CDA96BF054A7F exp=87826CDA96BF054A7F + 49601.50ns INFO [00049603] Port=0 RD @05 + 49602.50ns INFO [00049604] * RD COMPARE * port=1 adr=00 act=B47E88DCA5B94C49C7 exp=B47E88DCA5B94C49C7 + 49603.50ns INFO [00049605] * RD COMPARE * port=0 adr=05 act=0AC4BECF8645632FC3 exp=0AC4BECF8645632FC3 + 49605.50ns INFO [00049607] Port=0 RD @06 + 49605.50ns INFO [00049607] Port=1 RD @07 + 49606.50ns INFO [00049608] Port=0 RD @02 + 49606.50ns INFO [00049608] Port=1 RD @02 + 49607.50ns INFO [00049609] * RD COMPARE * port=0 adr=06 act=86F1D420069549AE99 exp=86F1D420069549AE99 + 49607.50ns INFO [00049609] * RD COMPARE * port=1 adr=07 act=1F5E17C894BCA9CB32 exp=1F5E17C894BCA9CB32 + 49607.50ns INFO [00049609] Port=0 WR @07=50E9B9E2A6DFB7FD3B + 49608.50ns INFO [00049610] * RD COMPARE * port=0 adr=02 act=D65B4D9FF600CB43F3 exp=D65B4D9FF600CB43F3 + 49608.50ns INFO [00049610] * RD COMPARE * port=1 adr=02 act=D65B4D9FF600CB43F3 exp=D65B4D9FF600CB43F3 + 49609.50ns INFO [00049611] Port=0 RD @04 + 49610.50ns INFO [00049612] Port=0 RD @02 + 49611.50ns INFO [00049613] * RD COMPARE * port=0 adr=04 act=87826CDA96BF054A7F exp=87826CDA96BF054A7F + 49611.50ns INFO [00049613] Port=0 WR @05=D7F12DE4102DDD8DF0 + 49611.50ns INFO [00049613] Port=1 RD @06 + 49612.50ns INFO [00049614] * RD COMPARE * port=0 adr=02 act=D65B4D9FF600CB43F3 exp=D65B4D9FF600CB43F3 + 49612.50ns INFO [00049614] Port=0 WR @07=3C2F8B1E5E272E31DD + 49613.50ns INFO [00049615] * RD COMPARE * port=1 adr=06 act=86F1D420069549AE99 exp=86F1D420069549AE99 + 49614.50ns INFO [00049616] Port=0 WR @05=D8040530FBFCC5B60F + 49614.50ns INFO [00049616] Port=1 RD @03 + 49615.50ns INFO [00049617] Port=0 WR @05=82F0823366A788AA79 + 49615.50ns INFO [00049617] Port=0 RD @06 + 49615.50ns INFO [00049617] Port=1 RD @00 + 49616.50ns INFO [00049618] * RD COMPARE * port=1 adr=03 act=619B3DD5E992D61A95 exp=619B3DD5E992D61A95 + 49617.50ns INFO [00049619] * RD COMPARE * port=0 adr=06 act=86F1D420069549AE99 exp=86F1D420069549AE99 + 49617.50ns INFO [00049619] * RD COMPARE * port=1 adr=00 act=B47E88DCA5B94C49C7 exp=B47E88DCA5B94C49C7 + 49617.50ns INFO [00049619] Port=0 RD @06 + 49617.50ns INFO [00049619] Port=1 RD @00 + 49618.50ns INFO [00049620] Port=0 WR @05=4C814D86A6DC3D45B1 + 49619.50ns INFO [00049621] * RD COMPARE * port=0 adr=06 act=86F1D420069549AE99 exp=86F1D420069549AE99 + 49619.50ns INFO [00049621] * RD COMPARE * port=1 adr=00 act=B47E88DCA5B94C49C7 exp=B47E88DCA5B94C49C7 + 49619.50ns INFO [00049621] Port=1 RD @01 + 49620.50ns INFO [00049622] Port=0 WR @00=CD845FE533EBD15D72 + 49620.50ns INFO [00049622] Port=1 RD @03 + 49621.50ns INFO [00049623] * RD COMPARE * port=1 adr=01 act=ACF5548B3AC13CAEA6 exp=ACF5548B3AC13CAEA6 + 49621.50ns INFO [00049623] Port=0 RD @00 + 49621.50ns INFO [00049623] Port=1 RD @01 + 49622.50ns INFO [00049624] * RD COMPARE * port=1 adr=03 act=619B3DD5E992D61A95 exp=619B3DD5E992D61A95 + 49622.50ns INFO [00049624] Port=0 RD @04 + 49622.50ns INFO [00049624] Port=1 RD @01 + 49623.50ns INFO [00049625] * RD COMPARE * port=0 adr=00 act=CD845FE533EBD15D72 exp=CD845FE533EBD15D72 + 49623.50ns INFO [00049625] * RD COMPARE * port=1 adr=01 act=ACF5548B3AC13CAEA6 exp=ACF5548B3AC13CAEA6 + 49623.50ns INFO [00049625] Port=0 RD @02 + 49624.50ns INFO [00049626] * RD COMPARE * port=0 adr=04 act=87826CDA96BF054A7F exp=87826CDA96BF054A7F + 49624.50ns INFO [00049626] * RD COMPARE * port=1 adr=01 act=ACF5548B3AC13CAEA6 exp=ACF5548B3AC13CAEA6 + 49624.50ns INFO [00049626] Port=0 WR @05=2B18EC78790B5CE9FD + 49624.50ns INFO [00049626] Port=0 RD @04 + 49625.50ns INFO [00049627] * RD COMPARE * port=0 adr=02 act=D65B4D9FF600CB43F3 exp=D65B4D9FF600CB43F3 + 49625.50ns INFO [00049627] Port=1 RD @05 + 49626.50ns INFO [00049628] * RD COMPARE * port=0 adr=04 act=87826CDA96BF054A7F exp=87826CDA96BF054A7F + 49627.50ns INFO [00049629] * RD COMPARE * port=1 adr=05 act=2B18EC78790B5CE9FD exp=2B18EC78790B5CE9FD + 49627.50ns INFO [00049629] Port=0 WR @06=C4DD4473990C2E61DD + 49628.50ns INFO [00049630] Port=0 WR @03=CF9D46B519A4892A92 + 49630.50ns INFO [00049632] Port=0 WR @00=8F64C9350839C5FF87 + 49630.50ns INFO [00049632] Port=0 RD @04 + 49631.50ns INFO [00049633] Port=0 RD @06 + 49632.50ns INFO [00049634] * RD COMPARE * port=0 adr=04 act=87826CDA96BF054A7F exp=87826CDA96BF054A7F + 49633.50ns INFO [00049635] * RD COMPARE * port=0 adr=06 act=C4DD4473990C2E61DD exp=C4DD4473990C2E61DD + 49633.50ns INFO [00049635] Port=0 WR @06=9A2051DBED487606F8 + 49634.50ns INFO [00049636] Port=0 RD @05 + 49635.50ns INFO [00049637] Port=0 RD @06 + 49636.50ns INFO [00049638] * RD COMPARE * port=0 adr=05 act=2B18EC78790B5CE9FD exp=2B18EC78790B5CE9FD + 49636.50ns INFO [00049638] Port=0 WR @02=D2B5035F08522433E4 + 49636.50ns INFO [00049638] Port=1 RD @06 + 49637.50ns INFO [00049639] * RD COMPARE * port=0 adr=06 act=9A2051DBED487606F8 exp=9A2051DBED487606F8 + 49637.50ns INFO [00049639] Port=0 RD @00 + 49638.50ns INFO [00049640] * RD COMPARE * port=1 adr=06 act=9A2051DBED487606F8 exp=9A2051DBED487606F8 + 49639.50ns INFO [00049641] * RD COMPARE * port=0 adr=00 act=8F64C9350839C5FF87 exp=8F64C9350839C5FF87 + 49640.50ns INFO [00049642] Port=0 RD @00 + 49641.50ns INFO [00049643] Port=0 WR @01=D1881A766EA5FDC13D + 49642.50ns INFO [00049644] * RD COMPARE * port=0 adr=00 act=8F64C9350839C5FF87 exp=8F64C9350839C5FF87 + 49644.50ns INFO [00049646] Port=0 WR @01=58A7DE0FF0C1DF4C58 + 49644.50ns INFO [00049646] Port=0 RD @05 + 49644.50ns INFO [00049646] Port=1 RD @06 + 49645.50ns INFO [00049647] Port=0 WR @01=0F8C8E9A7706E62C88 + 49646.50ns INFO [00049648] * RD COMPARE * port=0 adr=05 act=2B18EC78790B5CE9FD exp=2B18EC78790B5CE9FD + 49646.50ns INFO [00049648] * RD COMPARE * port=1 adr=06 act=9A2051DBED487606F8 exp=9A2051DBED487606F8 + 49646.50ns INFO [00049648] Port=0 WR @05=C536A2372EA53FEAF6 + 49646.50ns INFO [00049648] Port=0 RD @01 + 49647.50ns INFO [00049649] Port=0 WR @01=166FD7D985367ECC18 + 49648.50ns INFO [00049650] * RD COMPARE * port=0 adr=01 act=0F8C8E9A7706E62C88 exp=0F8C8E9A7706E62C88 + 49648.50ns INFO [00049650] Port=0 WR @04=0ACDBE36F8748B6610 + 49648.50ns INFO [00049650] Port=0 RD @05 + 49648.50ns INFO [00049650] Port=1 RD @02 + 49649.50ns INFO [00049651] Port=0 RD @01 + 49650.50ns INFO [00049652] * RD COMPARE * port=0 adr=05 act=C536A2372EA53FEAF6 exp=C536A2372EA53FEAF6 + 49650.50ns INFO [00049652] * RD COMPARE * port=1 adr=02 act=D2B5035F08522433E4 exp=D2B5035F08522433E4 + 49651.50ns INFO [00049653] * RD COMPARE * port=0 adr=01 act=166FD7D985367ECC18 exp=166FD7D985367ECC18 + 49651.50ns INFO [00049653] Port=0 RD @06 + 49653.50ns INFO [00049655] * RD COMPARE * port=0 adr=06 act=9A2051DBED487606F8 exp=9A2051DBED487606F8 + 49653.50ns INFO [00049655] Port=0 RD @05 + 49653.50ns INFO [00049655] Port=1 RD @03 + 49654.50ns INFO [00049656] Port=0 RD @02 + 49655.50ns INFO [00049657] * RD COMPARE * port=0 adr=05 act=C536A2372EA53FEAF6 exp=C536A2372EA53FEAF6 + 49655.50ns INFO [00049657] * RD COMPARE * port=1 adr=03 act=CF9D46B519A4892A92 exp=CF9D46B519A4892A92 + 49655.50ns INFO [00049657] Port=0 RD @02 + 49656.50ns INFO [00049658] * RD COMPARE * port=0 adr=02 act=D2B5035F08522433E4 exp=D2B5035F08522433E4 + 49656.50ns INFO [00049658] Port=0 RD @06 + 49656.50ns INFO [00049658] Port=1 RD @06 + 49657.50ns INFO [00049659] * RD COMPARE * port=0 adr=02 act=D2B5035F08522433E4 exp=D2B5035F08522433E4 + 49657.50ns INFO [00049659] Port=0 WR @03=D8A1C62FB879C7C471 + 49657.50ns INFO [00049659] Port=0 RD @02 + 49658.50ns INFO [00049660] * RD COMPARE * port=0 adr=06 act=9A2051DBED487606F8 exp=9A2051DBED487606F8 + 49658.50ns INFO [00049660] * RD COMPARE * port=1 adr=06 act=9A2051DBED487606F8 exp=9A2051DBED487606F8 + 49658.50ns INFO [00049660] Port=0 RD @02 + 49659.50ns INFO [00049661] * RD COMPARE * port=0 adr=02 act=D2B5035F08522433E4 exp=D2B5035F08522433E4 + 49659.50ns INFO [00049661] Port=0 RD @05 + 49660.50ns INFO [00049662] * RD COMPARE * port=0 adr=02 act=D2B5035F08522433E4 exp=D2B5035F08522433E4 + 49660.50ns INFO [00049662] Port=0 WR @00=293DF8BF4B2D01557D + 49661.50ns INFO [00049663] * RD COMPARE * port=0 adr=05 act=C536A2372EA53FEAF6 exp=C536A2372EA53FEAF6 + 49661.50ns INFO [00049663] Port=0 WR @03=7D41DDAE95EB480844 + 49661.50ns INFO [00049663] Port=1 RD @05 + 49662.50ns INFO [00049664] Port=1 RD @02 + 49663.50ns INFO [00049665] * RD COMPARE * port=1 adr=05 act=C536A2372EA53FEAF6 exp=C536A2372EA53FEAF6 + 49663.50ns INFO [00049665] Port=0 RD @00 + 49664.50ns INFO [00049666] * RD COMPARE * port=1 adr=02 act=D2B5035F08522433E4 exp=D2B5035F08522433E4 + 49664.50ns INFO [00049666] Port=0 WR @04=9D4BBC006631EDF051 + 49664.50ns INFO [00049666] Port=0 RD @03 + 49664.50ns INFO [00049666] Port=1 RD @05 + 49665.50ns INFO [00049667] * RD COMPARE * port=0 adr=00 act=293DF8BF4B2D01557D exp=293DF8BF4B2D01557D + 49665.50ns INFO [00049667] Port=1 RD @01 + 49666.50ns INFO [00049668] * RD COMPARE * port=0 adr=03 act=7D41DDAE95EB480844 exp=7D41DDAE95EB480844 + 49666.50ns INFO [00049668] * RD COMPARE * port=1 adr=05 act=C536A2372EA53FEAF6 exp=C536A2372EA53FEAF6 + 49666.50ns INFO [00049668] Port=1 RD @06 + 49667.50ns INFO [00049669] * RD COMPARE * port=1 adr=01 act=166FD7D985367ECC18 exp=166FD7D985367ECC18 + 49668.50ns INFO [00049670] * RD COMPARE * port=1 adr=06 act=9A2051DBED487606F8 exp=9A2051DBED487606F8 + 49669.50ns INFO [00049671] Port=0 WR @04=9AA10F649A69EC3012 + 49669.50ns INFO [00049671] Port=0 RD @02 + 49670.50ns INFO [00049672] Port=0 WR @00=A99CFD44ABFC7C600C + 49670.50ns INFO [00049672] Port=0 RD @04 + 49671.50ns INFO [00049673] * RD COMPARE * port=0 adr=02 act=D2B5035F08522433E4 exp=D2B5035F08522433E4 + 49672.50ns INFO [00049674] * RD COMPARE * port=0 adr=04 act=9AA10F649A69EC3012 exp=9AA10F649A69EC3012 + 49673.50ns INFO [00049675] Port=0 WR @05=2B2A81D1E56591A5F6 + 49674.50ns INFO [00049676] Port=0 WR @03=C81937D5942D949667 + 49674.50ns INFO [00049676] Port=0 RD @07 + 49674.50ns INFO [00049676] Port=1 RD @05 + 49676.50ns INFO [00049678] * RD COMPARE * port=0 adr=07 act=3C2F8B1E5E272E31DD exp=3C2F8B1E5E272E31DD + 49676.50ns INFO [00049678] * RD COMPARE * port=1 adr=05 act=2B2A81D1E56591A5F6 exp=2B2A81D1E56591A5F6 + 49677.50ns INFO [00049679] Port=0 WR @07=05BB1AF2250FA95CB2 + 49678.50ns INFO [00049680] Port=0 WR @01=8F959969E5E94E7478 + 49680.50ns INFO [00049682] Port=0 RD @02 + 49681.50ns INFO [00049683] Port=0 WR @04=1C84C90C41F70DD59D + 49681.50ns INFO [00049683] Port=1 RD @01 + 49682.50ns INFO [00049684] * RD COMPARE * port=0 adr=02 act=D2B5035F08522433E4 exp=D2B5035F08522433E4 + 49682.50ns INFO [00049684] Port=1 RD @03 + 49683.50ns INFO [00049685] * RD COMPARE * port=1 adr=01 act=8F959969E5E94E7478 exp=8F959969E5E94E7478 + 49683.50ns INFO [00049685] Port=0 WR @02=CB02911990C0CAD8E2 + 49684.50ns INFO [00049686] * RD COMPARE * port=1 adr=03 act=C81937D5942D949667 exp=C81937D5942D949667 + 49684.50ns INFO [00049686] Port=0 WR @01=0F38CD57898A4E988C + 49686.50ns INFO [00049688] Port=0 RD @01 + 49687.50ns INFO [00049689] Port=1 RD @02 + 49688.50ns INFO [00049690] * RD COMPARE * port=0 adr=01 act=0F38CD57898A4E988C exp=0F38CD57898A4E988C + 49688.50ns INFO [00049690] Port=0 WR @03=E91A860F4B6CC3B39B + 49689.50ns INFO [00049691] * RD COMPARE * port=1 adr=02 act=CB02911990C0CAD8E2 exp=CB02911990C0CAD8E2 + 49690.50ns INFO [00049692] Port=0 WR @05=30F58FA41DC40337D6 + 49691.50ns INFO [00049693] Port=0 RD @06 + 49692.50ns INFO [00049694] Port=0 RD @02 + 49693.50ns INFO [00049695] * RD COMPARE * port=0 adr=06 act=9A2051DBED487606F8 exp=9A2051DBED487606F8 + 49693.50ns INFO [00049695] Port=0 RD @03 + 49693.50ns INFO [00049695] Port=1 RD @05 + 49694.50ns INFO [00049696] * RD COMPARE * port=0 adr=02 act=CB02911990C0CAD8E2 exp=CB02911990C0CAD8E2 + 49694.50ns INFO [00049696] Port=1 RD @04 + 49695.50ns INFO [00049697] * RD COMPARE * port=0 adr=03 act=E91A860F4B6CC3B39B exp=E91A860F4B6CC3B39B + 49695.50ns INFO [00049697] * RD COMPARE * port=1 adr=05 act=30F58FA41DC40337D6 exp=30F58FA41DC40337D6 + 49695.50ns INFO [00049697] Port=1 RD @01 + 49696.50ns INFO [00049698] * RD COMPARE * port=1 adr=04 act=1C84C90C41F70DD59D exp=1C84C90C41F70DD59D + 49696.50ns INFO [00049698] Port=0 RD @06 + 49696.50ns INFO [00049698] Port=1 RD @04 + 49697.50ns INFO [00049699] * RD COMPARE * port=1 adr=01 act=0F38CD57898A4E988C exp=0F38CD57898A4E988C + 49698.00ns INFO [00049700] [00049700] ...tick... + 49698.50ns INFO [00049700] * RD COMPARE * port=0 adr=06 act=9A2051DBED487606F8 exp=9A2051DBED487606F8 + 49698.50ns INFO [00049700] * RD COMPARE * port=1 adr=04 act=1C84C90C41F70DD59D exp=1C84C90C41F70DD59D + 49698.50ns INFO [00049700] Port=0 WR @00=F21116256030E428F3 + 49698.50ns INFO [00049700] Port=0 RD @03 + 49698.50ns INFO [00049700] Port=1 RD @02 + 49699.50ns INFO [00049701] Port=0 WR @02=A2928DDC17002B2460 + 49700.50ns INFO [00049702] * RD COMPARE * port=0 adr=03 act=E91A860F4B6CC3B39B exp=E91A860F4B6CC3B39B + 49700.50ns INFO [00049702] * RD COMPARE * port=1 adr=02 act=CB02911990C0CAD8E2 exp=CB02911990C0CAD8E2 + 49700.50ns INFO [00049702] Port=1 RD @01 + 49702.50ns INFO [00049704] * RD COMPARE * port=1 adr=01 act=0F38CD57898A4E988C exp=0F38CD57898A4E988C + 49706.50ns INFO [00049708] Port=1 RD @01 + 49707.50ns INFO [00049709] Port=0 RD @06 + 49707.50ns INFO [00049709] Port=1 RD @05 + 49708.50ns INFO [00049710] * RD COMPARE * port=1 adr=01 act=0F38CD57898A4E988C exp=0F38CD57898A4E988C + 49709.50ns INFO [00049711] * RD COMPARE * port=0 adr=06 act=9A2051DBED487606F8 exp=9A2051DBED487606F8 + 49709.50ns INFO [00049711] * RD COMPARE * port=1 adr=05 act=30F58FA41DC40337D6 exp=30F58FA41DC40337D6 + 49709.50ns INFO [00049711] Port=0 WR @04=4BDFCD826461C9B4DF + 49711.50ns INFO [00049713] Port=1 RD @07 + 49712.50ns INFO [00049714] Port=0 WR @05=F3F38E926FA8FFF940 + 49712.50ns INFO [00049714] Port=0 RD @07 + 49712.50ns INFO [00049714] Port=1 RD @01 + 49713.50ns INFO [00049715] * RD COMPARE * port=1 adr=07 act=05BB1AF2250FA95CB2 exp=05BB1AF2250FA95CB2 + 49713.50ns INFO [00049715] Port=0 WR @00=58EABB513BB87C5C19 + 49714.50ns INFO [00049716] * RD COMPARE * port=0 adr=07 act=05BB1AF2250FA95CB2 exp=05BB1AF2250FA95CB2 + 49714.50ns INFO [00049716] * RD COMPARE * port=1 adr=01 act=0F38CD57898A4E988C exp=0F38CD57898A4E988C + 49714.50ns INFO [00049716] Port=0 RD @03 + 49714.50ns INFO [00049716] Port=1 RD @05 + 49715.50ns INFO [00049717] Port=0 RD @02 + 49715.50ns INFO [00049717] Port=1 RD @05 + 49716.50ns INFO [00049718] * RD COMPARE * port=0 adr=03 act=E91A860F4B6CC3B39B exp=E91A860F4B6CC3B39B + 49716.50ns INFO [00049718] * RD COMPARE * port=1 adr=05 act=F3F38E926FA8FFF940 exp=F3F38E926FA8FFF940 + 49716.50ns INFO [00049718] Port=0 WR @03=ACFC4715DE3C20E32C + 49717.50ns INFO [00049719] * RD COMPARE * port=0 adr=02 act=A2928DDC17002B2460 exp=A2928DDC17002B2460 + 49717.50ns INFO [00049719] * RD COMPARE * port=1 adr=05 act=F3F38E926FA8FFF940 exp=F3F38E926FA8FFF940 + 49717.50ns INFO [00049719] Port=0 WR @04=E66F6601F24F5EECF5 + 49717.50ns INFO [00049719] Port=0 RD @00 + 49719.50ns INFO [00049721] * RD COMPARE * port=0 adr=00 act=58EABB513BB87C5C19 exp=58EABB513BB87C5C19 + 49719.50ns INFO [00049721] Port=0 WR @07=58D327DDBC5D391477 + 49720.50ns INFO [00049722] Port=0 RD @03 + 49721.50ns INFO [00049723] Port=1 RD @01 + 49722.50ns INFO [00049724] * RD COMPARE * port=0 adr=03 act=ACFC4715DE3C20E32C exp=ACFC4715DE3C20E32C + 49722.50ns INFO [00049724] Port=1 RD @07 + 49723.50ns INFO [00049725] * RD COMPARE * port=1 adr=01 act=0F38CD57898A4E988C exp=0F38CD57898A4E988C + 49723.50ns INFO [00049725] Port=1 RD @00 + 49724.50ns INFO [00049726] * RD COMPARE * port=1 adr=07 act=58D327DDBC5D391477 exp=58D327DDBC5D391477 + 49724.50ns INFO [00049726] Port=0 WR @01=1A036ED8C61EC08998 + 49725.50ns INFO [00049727] * RD COMPARE * port=1 adr=00 act=58EABB513BB87C5C19 exp=58EABB513BB87C5C19 + 49725.50ns INFO [00049727] Port=0 WR @00=B4121E0163AD453D52 + 49725.50ns INFO [00049727] Port=1 RD @02 + 49726.50ns INFO [00049728] Port=0 RD @01 + 49727.50ns INFO [00049729] * RD COMPARE * port=1 adr=02 act=A2928DDC17002B2460 exp=A2928DDC17002B2460 + 49728.50ns INFO [00049730] * RD COMPARE * port=0 adr=01 act=1A036ED8C61EC08998 exp=1A036ED8C61EC08998 + 49728.50ns INFO [00049730] Port=0 RD @02 + 49728.50ns INFO [00049730] Port=1 RD @00 + 49729.50ns INFO [00049731] Port=0 WR @00=E348E86DB2DA734F58 + 49729.50ns INFO [00049731] Port=0 RD @05 + 49730.50ns INFO [00049732] * RD COMPARE * port=0 adr=02 act=A2928DDC17002B2460 exp=A2928DDC17002B2460 + 49730.50ns INFO [00049732] * RD COMPARE * port=1 adr=00 act=B4121E0163AD453D52 exp=B4121E0163AD453D52 + 49730.50ns INFO [00049732] Port=0 RD @01 + 49730.50ns INFO [00049732] Port=1 RD @03 + 49731.50ns INFO [00049733] * RD COMPARE * port=0 adr=05 act=F3F38E926FA8FFF940 exp=F3F38E926FA8FFF940 + 49731.50ns INFO [00049733] Port=0 WR @02=CA8235AF7C8CD266C4 + 49732.50ns INFO [00049734] * RD COMPARE * port=0 adr=01 act=1A036ED8C61EC08998 exp=1A036ED8C61EC08998 + 49732.50ns INFO [00049734] * RD COMPARE * port=1 adr=03 act=ACFC4715DE3C20E32C exp=ACFC4715DE3C20E32C + 49733.50ns INFO [00049735] Port=0 WR @06=A71CB3B37E4360CB3C + 49734.50ns INFO [00049736] Port=1 RD @04 + 49736.50ns INFO [00049738] * RD COMPARE * port=1 adr=04 act=E66F6601F24F5EECF5 exp=E66F6601F24F5EECF5 + 49736.50ns INFO [00049738] Port=0 WR @06=AA3C159504560255E8 + 49736.50ns INFO [00049738] Port=1 RD @07 + 49737.50ns INFO [00049739] Port=0 WR @02=EBE05415F05688B0A6 + 49737.50ns INFO [00049739] Port=0 RD @07 + 49737.50ns INFO [00049739] Port=1 RD @06 + 49738.50ns INFO [00049740] * RD COMPARE * port=1 adr=07 act=58D327DDBC5D391477 exp=58D327DDBC5D391477 + 49738.50ns INFO [00049740] Port=0 WR @03=71B3090010F242541A + 49738.50ns INFO [00049740] Port=1 RD @01 + 49739.50ns INFO [00049741] * RD COMPARE * port=0 adr=07 act=58D327DDBC5D391477 exp=58D327DDBC5D391477 + 49739.50ns INFO [00049741] * RD COMPARE * port=1 adr=06 act=AA3C159504560255E8 exp=AA3C159504560255E8 + 49739.50ns INFO [00049741] Port=0 WR @04=279263CE590EBE8B68 + 49739.50ns INFO [00049741] Port=0 RD @05 + 49740.50ns INFO [00049742] * RD COMPARE * port=1 adr=01 act=1A036ED8C61EC08998 exp=1A036ED8C61EC08998 + 49740.50ns INFO [00049742] Port=0 RD @07 + 49741.50ns INFO [00049743] * RD COMPARE * port=0 adr=05 act=F3F38E926FA8FFF940 exp=F3F38E926FA8FFF940 + 49741.50ns INFO [00049743] Port=0 WR @05=06D6A777527A6C86EE + 49742.50ns INFO [00049744] * RD COMPARE * port=0 adr=07 act=58D327DDBC5D391477 exp=58D327DDBC5D391477 + 49742.50ns INFO [00049744] Port=0 WR @01=2E8E8EE4D13A03D86A + 49742.50ns INFO [00049744] Port=1 RD @04 + 49743.50ns INFO [00049745] Port=0 WR @01=7BBCFC8B9AEA9762F3 + 49743.50ns INFO [00049745] Port=0 RD @00 + 49743.50ns INFO [00049745] Port=1 RD @05 + 49744.50ns INFO [00049746] * RD COMPARE * port=1 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49745.50ns INFO [00049747] * RD COMPARE * port=0 adr=00 act=E348E86DB2DA734F58 exp=E348E86DB2DA734F58 + 49745.50ns INFO [00049747] * RD COMPARE * port=1 adr=05 act=06D6A777527A6C86EE exp=06D6A777527A6C86EE + 49745.50ns INFO [00049747] Port=0 WR @07=E29631D34421FC9FAD + 49745.50ns INFO [00049747] Port=0 RD @06 + 49746.50ns INFO [00049748] Port=0 RD @04 + 49747.50ns INFO [00049749] * RD COMPARE * port=0 adr=06 act=AA3C159504560255E8 exp=AA3C159504560255E8 + 49747.50ns INFO [00049749] Port=0 RD @02 + 49748.50ns INFO [00049750] * RD COMPARE * port=0 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49748.50ns INFO [00049750] Port=0 WR @00=AF7D7990F6BE663A3C + 49749.50ns INFO [00049751] * RD COMPARE * port=0 adr=02 act=EBE05415F05688B0A6 exp=EBE05415F05688B0A6 + 49751.50ns INFO [00049753] Port=0 WR @06=8429B15FD2A3152265 + 49753.50ns INFO [00049755] Port=0 RD @06 + 49755.50ns INFO [00049757] * RD COMPARE * port=0 adr=06 act=8429B15FD2A3152265 exp=8429B15FD2A3152265 + 49755.50ns INFO [00049757] Port=0 RD @07 + 49757.50ns INFO [00049759] * RD COMPARE * port=0 adr=07 act=E29631D34421FC9FAD exp=E29631D34421FC9FAD + 49757.50ns INFO [00049759] Port=0 RD @00 + 49757.50ns INFO [00049759] Port=1 RD @04 + 49758.50ns INFO [00049760] Port=0 WR @05=83370BD431DDBBA892 + 49759.50ns INFO [00049761] * RD COMPARE * port=0 adr=00 act=AF7D7990F6BE663A3C exp=AF7D7990F6BE663A3C + 49759.50ns INFO [00049761] * RD COMPARE * port=1 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49762.50ns INFO [00049764] Port=0 WR @07=1A774C610A98B3F5FB + 49763.50ns INFO [00049765] Port=0 WR @07=DE81585B7A7DC792DC + 49763.50ns INFO [00049765] Port=0 RD @01 + 49763.50ns INFO [00049765] Port=1 RD @05 + 49764.50ns INFO [00049766] Port=1 RD @04 + 49765.50ns INFO [00049767] * RD COMPARE * port=0 adr=01 act=7BBCFC8B9AEA9762F3 exp=7BBCFC8B9AEA9762F3 + 49765.50ns INFO [00049767] * RD COMPARE * port=1 adr=05 act=83370BD431DDBBA892 exp=83370BD431DDBBA892 + 49765.50ns INFO [00049767] Port=0 RD @07 + 49765.50ns INFO [00049767] Port=1 RD @06 + 49766.50ns INFO [00049768] * RD COMPARE * port=1 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49766.50ns INFO [00049768] Port=0 RD @05 + 49767.50ns INFO [00049769] * RD COMPARE * port=0 adr=07 act=DE81585B7A7DC792DC exp=DE81585B7A7DC792DC + 49767.50ns INFO [00049769] * RD COMPARE * port=1 adr=06 act=8429B15FD2A3152265 exp=8429B15FD2A3152265 + 49767.50ns INFO [00049769] Port=0 WR @00=81F905567C02B78C57 + 49768.50ns INFO [00049770] * RD COMPARE * port=0 adr=05 act=83370BD431DDBBA892 exp=83370BD431DDBBA892 + 49768.50ns INFO [00049770] Port=0 WR @00=DBC801BF597AAD9C66 + 49768.50ns INFO [00049770] Port=0 RD @05 + 49769.50ns INFO [00049771] Port=0 RD @04 + 49769.50ns INFO [00049771] Port=1 RD @00 + 49770.50ns INFO [00049772] * RD COMPARE * port=0 adr=05 act=83370BD431DDBBA892 exp=83370BD431DDBBA892 + 49770.50ns INFO [00049772] Port=0 WR @01=3113D9EDC9C9421E78 + 49770.50ns INFO [00049772] Port=1 RD @00 + 49771.50ns INFO [00049773] * RD COMPARE * port=0 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49771.50ns INFO [00049773] * RD COMPARE * port=1 adr=00 act=DBC801BF597AAD9C66 exp=DBC801BF597AAD9C66 + 49772.50ns INFO [00049774] * RD COMPARE * port=1 adr=00 act=DBC801BF597AAD9C66 exp=DBC801BF597AAD9C66 + 49772.50ns INFO [00049774] Port=0 WR @00=F15CD64E12037C2BFA + 49773.50ns INFO [00049775] Port=0 WR @05=FD45DEAD1B900E55A6 + 49774.50ns INFO [00049776] Port=0 RD @04 + 49776.50ns INFO [00049778] * RD COMPARE * port=0 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49778.50ns INFO [00049780] Port=1 RD @02 + 49779.50ns INFO [00049781] Port=0 WR @05=BE1A643140A992798B + 49779.50ns INFO [00049781] Port=0 RD @03 + 49779.50ns INFO [00049781] Port=1 RD @02 + 49780.50ns INFO [00049782] * RD COMPARE * port=1 adr=02 act=EBE05415F05688B0A6 exp=EBE05415F05688B0A6 + 49780.50ns INFO [00049782] Port=0 WR @06=856894782A775132B2 + 49780.50ns INFO [00049782] Port=0 RD @00 + 49781.50ns INFO [00049783] * RD COMPARE * port=0 adr=03 act=71B3090010F242541A exp=71B3090010F242541A + 49781.50ns INFO [00049783] * RD COMPARE * port=1 adr=02 act=EBE05415F05688B0A6 exp=EBE05415F05688B0A6 + 49781.50ns INFO [00049783] Port=1 RD @00 + 49782.50ns INFO [00049784] * RD COMPARE * port=0 adr=00 act=F15CD64E12037C2BFA exp=F15CD64E12037C2BFA + 49782.50ns INFO [00049784] Port=0 WR @03=237E70F49E3016E4AE + 49782.50ns INFO [00049784] Port=0 RD @02 + 49783.50ns INFO [00049785] * RD COMPARE * port=1 adr=00 act=F15CD64E12037C2BFA exp=F15CD64E12037C2BFA + 49783.50ns INFO [00049785] Port=0 RD @05 + 49783.50ns INFO [00049785] Port=1 RD @06 + 49784.50ns INFO [00049786] * RD COMPARE * port=0 adr=02 act=EBE05415F05688B0A6 exp=EBE05415F05688B0A6 + 49784.50ns INFO [00049786] Port=0 RD @03 + 49784.50ns INFO [00049786] Port=1 RD @03 + 49785.50ns INFO [00049787] * RD COMPARE * port=0 adr=05 act=BE1A643140A992798B exp=BE1A643140A992798B + 49785.50ns INFO [00049787] * RD COMPARE * port=1 adr=06 act=856894782A775132B2 exp=856894782A775132B2 + 49785.50ns INFO [00049787] Port=0 WR @03=8F3BD1C99937D54FA7 + 49786.50ns INFO [00049788] * RD COMPARE * port=0 adr=03 act=237E70F49E3016E4AE exp=237E70F49E3016E4AE + 49786.50ns INFO [00049788] * RD COMPARE * port=1 adr=03 act=237E70F49E3016E4AE exp=237E70F49E3016E4AE + 49787.50ns INFO [00049789] Port=1 RD @00 + 49789.50ns INFO [00049791] * RD COMPARE * port=1 adr=00 act=F15CD64E12037C2BFA exp=F15CD64E12037C2BFA + 49789.50ns INFO [00049791] Port=1 RD @04 + 49790.50ns INFO [00049792] Port=0 WR @05=F8CE3BAF968130F7C6 + 49790.50ns INFO [00049792] Port=0 RD @03 + 49790.50ns INFO [00049792] Port=1 RD @02 + 49791.50ns INFO [00049793] * RD COMPARE * port=1 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49791.50ns INFO [00049793] Port=0 WR @07=3DD9D337ACAE845DF1 + 49791.50ns INFO [00049793] Port=1 RD @01 + 49792.50ns INFO [00049794] * RD COMPARE * port=0 adr=03 act=8F3BD1C99937D54FA7 exp=8F3BD1C99937D54FA7 + 49792.50ns INFO [00049794] * RD COMPARE * port=1 adr=02 act=EBE05415F05688B0A6 exp=EBE05415F05688B0A6 + 49792.50ns INFO [00049794] Port=0 RD @01 + 49792.50ns INFO [00049794] Port=1 RD @07 + 49793.50ns INFO [00049795] * RD COMPARE * port=1 adr=01 act=3113D9EDC9C9421E78 exp=3113D9EDC9C9421E78 + 49793.50ns INFO [00049795] Port=0 WR @00=AFE1D7400A43520779 + 49793.50ns INFO [00049795] Port=0 RD @03 + 49794.50ns INFO [00049796] * RD COMPARE * port=0 adr=01 act=3113D9EDC9C9421E78 exp=3113D9EDC9C9421E78 + 49794.50ns INFO [00049796] * RD COMPARE * port=1 adr=07 act=3DD9D337ACAE845DF1 exp=3DD9D337ACAE845DF1 + 49794.50ns INFO [00049796] Port=1 RD @02 + 49795.50ns INFO [00049797] * RD COMPARE * port=0 adr=03 act=8F3BD1C99937D54FA7 exp=8F3BD1C99937D54FA7 + 49796.50ns INFO [00049798] * RD COMPARE * port=1 adr=02 act=EBE05415F05688B0A6 exp=EBE05415F05688B0A6 + 49796.50ns INFO [00049798] Port=0 WR @01=E8F48F0AE5510D00F3 + 49797.50ns INFO [00049799] Port=0 WR @03=73E733A296CFD5F01D + 49797.50ns INFO [00049799] Port=1 RD @01 + 49798.00ns INFO [00049800] [00049800] ...tick... + 49798.50ns INFO [00049800] Port=0 RD @04 + 49799.50ns INFO [00049801] * RD COMPARE * port=1 adr=01 act=E8F48F0AE5510D00F3 exp=E8F48F0AE5510D00F3 + 49799.50ns INFO [00049801] Port=0 WR @07=F81B89A74185727DAA + 49800.50ns INFO [00049802] * RD COMPARE * port=0 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49802.50ns INFO [00049804] Port=0 RD @01 + 49803.50ns INFO [00049805] Port=0 RD @03 + 49804.50ns INFO [00049806] * RD COMPARE * port=0 adr=01 act=E8F48F0AE5510D00F3 exp=E8F48F0AE5510D00F3 + 49804.50ns INFO [00049806] Port=0 RD @07 + 49805.50ns INFO [00049807] * RD COMPARE * port=0 adr=03 act=73E733A296CFD5F01D exp=73E733A296CFD5F01D + 49805.50ns INFO [00049807] Port=0 WR @00=B85BC380E7A8CF692B + 49805.50ns INFO [00049807] Port=1 RD @06 + 49806.50ns INFO [00049808] * RD COMPARE * port=0 adr=07 act=F81B89A74185727DAA exp=F81B89A74185727DAA + 49806.50ns INFO [00049808] Port=1 RD @02 + 49807.50ns INFO [00049809] * RD COMPARE * port=1 adr=06 act=856894782A775132B2 exp=856894782A775132B2 + 49807.50ns INFO [00049809] Port=0 WR @01=EB4D94396177C094D0 + 49807.50ns INFO [00049809] Port=0 RD @00 + 49807.50ns INFO [00049809] Port=1 RD @00 + 49808.50ns INFO [00049810] * RD COMPARE * port=1 adr=02 act=EBE05415F05688B0A6 exp=EBE05415F05688B0A6 + 49808.50ns INFO [00049810] Port=1 RD @04 + 49809.50ns INFO [00049811] * RD COMPARE * port=0 adr=00 act=B85BC380E7A8CF692B exp=B85BC380E7A8CF692B + 49809.50ns INFO [00049811] * RD COMPARE * port=1 adr=00 act=B85BC380E7A8CF692B exp=B85BC380E7A8CF692B + 49810.50ns INFO [00049812] * RD COMPARE * port=1 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49810.50ns INFO [00049812] Port=0 WR @05=F3FAA3D466A9AA7E6D + 49810.50ns INFO [00049812] Port=0 RD @01 + 49811.50ns INFO [00049813] Port=0 WR @00=F572B7514EC0E67F06 + 49811.50ns INFO [00049813] Port=1 RD @06 + 49812.50ns INFO [00049814] * RD COMPARE * port=0 adr=01 act=EB4D94396177C094D0 exp=EB4D94396177C094D0 + 49812.50ns INFO [00049814] Port=1 RD @03 + 49813.50ns INFO [00049815] * RD COMPARE * port=1 adr=06 act=856894782A775132B2 exp=856894782A775132B2 + 49813.50ns INFO [00049815] Port=0 WR @02=074DEF0684BFA544DA + 49813.50ns INFO [00049815] Port=0 RD @07 + 49814.50ns INFO [00049816] * RD COMPARE * port=1 adr=03 act=73E733A296CFD5F01D exp=73E733A296CFD5F01D + 49814.50ns INFO [00049816] Port=0 WR @02=14FB6F1069FF6D51F2 + 49814.50ns INFO [00049816] Port=0 RD @05 + 49815.50ns INFO [00049817] * RD COMPARE * port=0 adr=07 act=F81B89A74185727DAA exp=F81B89A74185727DAA + 49815.50ns INFO [00049817] Port=0 WR @03=DF46202D4EBB2FA2BB + 49815.50ns INFO [00049817] Port=1 RD @06 + 49816.50ns INFO [00049818] * RD COMPARE * port=0 adr=05 act=F3FAA3D466A9AA7E6D exp=F3FAA3D466A9AA7E6D + 49816.50ns INFO [00049818] Port=0 WR @05=AD7B95D88B4EE270B5 + 49817.50ns INFO [00049819] * RD COMPARE * port=1 adr=06 act=856894782A775132B2 exp=856894782A775132B2 + 49817.50ns INFO [00049819] Port=0 WR @01=731A65B334DC4742B5 + 49817.50ns INFO [00049819] Port=1 RD @00 + 49818.50ns INFO [00049820] Port=0 WR @00=8AEB19AC3FF94874FB + 49818.50ns INFO [00049820] Port=1 RD @04 + 49819.50ns INFO [00049821] * RD COMPARE * port=1 adr=00 act=F572B7514EC0E67F06 exp=F572B7514EC0E67F06 + 49819.50ns INFO [00049821] Port=0 RD @07 + 49819.50ns INFO [00049821] Port=1 RD @02 + 49820.50ns INFO [00049822] * RD COMPARE * port=1 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49820.50ns INFO [00049822] Port=0 RD @06 + 49821.50ns INFO [00049823] * RD COMPARE * port=0 adr=07 act=F81B89A74185727DAA exp=F81B89A74185727DAA + 49821.50ns INFO [00049823] * RD COMPARE * port=1 adr=02 act=14FB6F1069FF6D51F2 exp=14FB6F1069FF6D51F2 + 49822.50ns INFO [00049824] * RD COMPARE * port=0 adr=06 act=856894782A775132B2 exp=856894782A775132B2 + 49822.50ns INFO [00049824] Port=0 WR @02=2EA0A3D4FD54B56BC8 + 49823.50ns INFO [00049825] Port=0 RD @00 + 49824.50ns INFO [00049826] Port=0 WR @00=3F5516ECB83FBA2B2F + 49824.50ns INFO [00049826] Port=1 RD @05 + 49825.50ns INFO [00049827] * RD COMPARE * port=0 adr=00 act=8AEB19AC3FF94874FB exp=8AEB19AC3FF94874FB + 49826.50ns INFO [00049828] * RD COMPARE * port=1 adr=05 act=AD7B95D88B4EE270B5 exp=AD7B95D88B4EE270B5 + 49826.50ns INFO [00049828] Port=1 RD @06 + 49827.50ns INFO [00049829] Port=0 WR @06=E7C30683EBEF77212E + 49827.50ns INFO [00049829] Port=1 RD @04 + 49828.50ns INFO [00049830] * RD COMPARE * port=1 adr=06 act=856894782A775132B2 exp=856894782A775132B2 + 49828.50ns INFO [00049830] Port=0 RD @01 + 49829.50ns INFO [00049831] * RD COMPARE * port=1 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49829.50ns INFO [00049831] Port=0 WR @05=3868F392FCBDBB1AB6 + 49830.50ns INFO [00049832] * RD COMPARE * port=0 adr=01 act=731A65B334DC4742B5 exp=731A65B334DC4742B5 + 49830.50ns INFO [00049832] Port=0 WR @00=6D796C3809470128FD + 49831.50ns INFO [00049833] Port=0 RD @01 + 49833.50ns INFO [00049835] * RD COMPARE * port=0 adr=01 act=731A65B334DC4742B5 exp=731A65B334DC4742B5 + 49833.50ns INFO [00049835] Port=0 WR @00=F3C138D662ACD22A4C + 49833.50ns INFO [00049835] Port=0 RD @07 + 49833.50ns INFO [00049835] Port=1 RD @02 + 49834.50ns INFO [00049836] Port=0 WR @03=D084DFC234E5921B47 + 49835.50ns INFO [00049837] * RD COMPARE * port=0 adr=07 act=F81B89A74185727DAA exp=F81B89A74185727DAA + 49835.50ns INFO [00049837] * RD COMPARE * port=1 adr=02 act=2EA0A3D4FD54B56BC8 exp=2EA0A3D4FD54B56BC8 + 49835.50ns INFO [00049837] Port=0 WR @00=9029B64B8BC028F155 + 49835.50ns INFO [00049837] Port=0 RD @05 + 49836.50ns INFO [00049838] Port=1 RD @02 + 49837.50ns INFO [00049839] * RD COMPARE * port=0 adr=05 act=3868F392FCBDBB1AB6 exp=3868F392FCBDBB1AB6 + 49838.50ns INFO [00049840] * RD COMPARE * port=1 adr=02 act=2EA0A3D4FD54B56BC8 exp=2EA0A3D4FD54B56BC8 + 49839.50ns INFO [00049841] Port=1 RD @03 + 49840.50ns INFO [00049842] Port=0 WR @00=AD26D8EA5418F70729 + 49840.50ns INFO [00049842] Port=1 RD @02 + 49841.50ns INFO [00049843] * RD COMPARE * port=1 adr=03 act=D084DFC234E5921B47 exp=D084DFC234E5921B47 + 49841.50ns INFO [00049843] Port=0 RD @02 + 49841.50ns INFO [00049843] Port=1 RD @05 + 49842.50ns INFO [00049844] * RD COMPARE * port=1 adr=02 act=2EA0A3D4FD54B56BC8 exp=2EA0A3D4FD54B56BC8 + 49842.50ns INFO [00049844] Port=0 WR @02=8DF110EB50E0F7DAFC + 49842.50ns INFO [00049844] Port=0 RD @04 + 49843.50ns INFO [00049845] * RD COMPARE * port=0 adr=02 act=2EA0A3D4FD54B56BC8 exp=2EA0A3D4FD54B56BC8 + 49843.50ns INFO [00049845] * RD COMPARE * port=1 adr=05 act=3868F392FCBDBB1AB6 exp=3868F392FCBDBB1AB6 + 49843.50ns INFO [00049845] Port=1 RD @01 + 49844.50ns INFO [00049846] * RD COMPARE * port=0 adr=04 act=279263CE590EBE8B68 exp=279263CE590EBE8B68 + 49845.50ns INFO [00049847] * RD COMPARE * port=1 adr=01 act=731A65B334DC4742B5 exp=731A65B334DC4742B5 + 49845.50ns INFO [00049847] Port=0 WR @01=7694F311E1575ADFCF + 49846.50ns INFO [00049848] Port=0 WR @01=4FA1200CD8986AE887 + 49846.50ns INFO [00049848] Port=1 RD @06 + 49847.50ns INFO [00049849] Port=0 WR @01=7940EA1B09379A6566 + 49847.50ns INFO [00049849] Port=1 RD @05 + 49848.50ns INFO [00049850] * RD COMPARE * port=1 adr=06 act=E7C30683EBEF77212E exp=E7C30683EBEF77212E + 49848.50ns INFO [00049850] Port=0 RD @07 + 49849.50ns INFO [00049851] * RD COMPARE * port=1 adr=05 act=3868F392FCBDBB1AB6 exp=3868F392FCBDBB1AB6 + 49849.50ns INFO [00049851] Port=0 WR @01=B97DC8620C7F06C7AE + 49849.50ns INFO [00049851] Port=0 RD @03 + 49850.50ns INFO [00049852] * RD COMPARE * port=0 adr=07 act=F81B89A74185727DAA exp=F81B89A74185727DAA + 49850.50ns INFO [00049852] Port=0 RD @03 + 49851.50ns INFO [00049853] * RD COMPARE * port=0 adr=03 act=D084DFC234E5921B47 exp=D084DFC234E5921B47 + 49851.50ns INFO [00049853] Port=0 WR @05=C73936FA8D4EEA4879 + 49851.50ns INFO [00049853] Port=0 RD @03 + 49852.50ns INFO [00049854] * RD COMPARE * port=0 adr=03 act=D084DFC234E5921B47 exp=D084DFC234E5921B47 + 49853.50ns INFO [00049855] * RD COMPARE * port=0 adr=03 act=D084DFC234E5921B47 exp=D084DFC234E5921B47 + 49853.50ns INFO [00049855] Port=0 WR @04=B66EA2D61CB447B4A6 + 49853.50ns INFO [00049855] Port=1 RD @03 + 49854.50ns INFO [00049856] Port=0 WR @07=888640CD54731ABCC9 + 49854.50ns INFO [00049856] Port=0 RD @00 + 49855.50ns INFO [00049857] * RD COMPARE * port=1 adr=03 act=D084DFC234E5921B47 exp=D084DFC234E5921B47 + 49855.50ns INFO [00049857] Port=1 RD @05 + 49856.50ns INFO [00049858] * RD COMPARE * port=0 adr=00 act=AD26D8EA5418F70729 exp=AD26D8EA5418F70729 + 49857.50ns INFO [00049859] * RD COMPARE * port=1 adr=05 act=C73936FA8D4EEA4879 exp=C73936FA8D4EEA4879 + 49857.50ns INFO [00049859] Port=1 RD @02 + 49859.50ns INFO [00049861] * RD COMPARE * port=1 adr=02 act=8DF110EB50E0F7DAFC exp=8DF110EB50E0F7DAFC + 49860.50ns INFO [00049862] Port=0 WR @00=EB40674CF7427FBFFD + 49860.50ns INFO [00049862] Port=0 RD @05 + 49861.50ns INFO [00049863] Port=0 RD @00 + 49862.50ns INFO [00049864] * RD COMPARE * port=0 adr=05 act=C73936FA8D4EEA4879 exp=C73936FA8D4EEA4879 + 49863.50ns INFO [00049865] * RD COMPARE * port=0 adr=00 act=EB40674CF7427FBFFD exp=EB40674CF7427FBFFD + 49863.50ns INFO [00049865] Port=0 RD @07 + 49864.50ns INFO [00049866] Port=0 WR @05=E0D3A2C5B180A93FC1 + 49865.50ns INFO [00049867] * RD COMPARE * port=0 adr=07 act=888640CD54731ABCC9 exp=888640CD54731ABCC9 + 49865.50ns INFO [00049867] Port=0 RD @03 + 49867.50ns INFO [00049869] * RD COMPARE * port=0 adr=03 act=D084DFC234E5921B47 exp=D084DFC234E5921B47 + 49867.50ns INFO [00049869] Port=0 WR @07=17C6AFD42A9C06DB81 + 49868.50ns INFO [00049870] Port=0 WR @06=64727696A9B80037D9 + 49868.50ns INFO [00049870] Port=0 RD @04 + 49868.50ns INFO [00049870] Port=1 RD @00 + 49870.50ns INFO [00049872] * RD COMPARE * port=0 adr=04 act=B66EA2D61CB447B4A6 exp=B66EA2D61CB447B4A6 + 49870.50ns INFO [00049872] * RD COMPARE * port=1 adr=00 act=EB40674CF7427FBFFD exp=EB40674CF7427FBFFD + 49870.50ns INFO [00049872] Port=0 WR @04=3BE905EC2C8C2F5553 + 49870.50ns INFO [00049872] Port=1 RD @02 + 49871.50ns INFO [00049873] Port=0 WR @02=34BC162BBA176F6E3B + 49871.50ns INFO [00049873] Port=1 RD @06 + 49872.50ns INFO [00049874] * RD COMPARE * port=1 adr=02 act=8DF110EB50E0F7DAFC exp=8DF110EB50E0F7DAFC + 49872.50ns INFO [00049874] Port=1 RD @04 + 49873.50ns INFO [00049875] * RD COMPARE * port=1 adr=06 act=64727696A9B80037D9 exp=64727696A9B80037D9 + 49873.50ns INFO [00049875] Port=1 RD @00 + 49874.50ns INFO [00049876] * RD COMPARE * port=1 adr=04 act=3BE905EC2C8C2F5553 exp=3BE905EC2C8C2F5553 + 49875.50ns INFO [00049877] * RD COMPARE * port=1 adr=00 act=EB40674CF7427FBFFD exp=EB40674CF7427FBFFD + 49875.50ns INFO [00049877] Port=0 RD @06 + 49876.50ns INFO [00049878] Port=0 WR @01=2148AE2727A4EE78FD + 49876.50ns INFO [00049878] Port=0 RD @02 + 49876.50ns INFO [00049878] Port=1 RD @00 + 49877.50ns INFO [00049879] * RD COMPARE * port=0 adr=06 act=64727696A9B80037D9 exp=64727696A9B80037D9 + 49877.50ns INFO [00049879] Port=0 RD @01 + 49878.50ns INFO [00049880] * RD COMPARE * port=0 adr=02 act=34BC162BBA176F6E3B exp=34BC162BBA176F6E3B + 49878.50ns INFO [00049880] * RD COMPARE * port=1 adr=00 act=EB40674CF7427FBFFD exp=EB40674CF7427FBFFD + 49879.50ns INFO [00049881] * RD COMPARE * port=0 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49879.50ns INFO [00049881] Port=0 RD @03 + 49880.50ns INFO [00049882] Port=0 RD @05 + 49881.50ns INFO [00049883] * RD COMPARE * port=0 adr=03 act=D084DFC234E5921B47 exp=D084DFC234E5921B47 + 49881.50ns INFO [00049883] Port=0 RD @05 + 49882.50ns INFO [00049884] * RD COMPARE * port=0 adr=05 act=E0D3A2C5B180A93FC1 exp=E0D3A2C5B180A93FC1 + 49882.50ns INFO [00049884] Port=0 WR @04=B92E44328B625DFEDA + 49882.50ns INFO [00049884] Port=0 RD @06 + 49883.50ns INFO [00049885] * RD COMPARE * port=0 adr=05 act=E0D3A2C5B180A93FC1 exp=E0D3A2C5B180A93FC1 + 49883.50ns INFO [00049885] Port=0 WR @07=3B3E968B29FF83FDF8 + 49883.50ns INFO [00049885] Port=0 RD @05 + 49883.50ns INFO [00049885] Port=1 RD @04 + 49884.50ns INFO [00049886] * RD COMPARE * port=0 adr=06 act=64727696A9B80037D9 exp=64727696A9B80037D9 + 49884.50ns INFO [00049886] Port=0 RD @04 + 49884.50ns INFO [00049886] Port=1 RD @03 + 49885.50ns INFO [00049887] * RD COMPARE * port=0 adr=05 act=E0D3A2C5B180A93FC1 exp=E0D3A2C5B180A93FC1 + 49885.50ns INFO [00049887] * RD COMPARE * port=1 adr=04 act=B92E44328B625DFEDA exp=B92E44328B625DFEDA + 49886.50ns INFO [00049888] * RD COMPARE * port=0 adr=04 act=B92E44328B625DFEDA exp=B92E44328B625DFEDA + 49886.50ns INFO [00049888] * RD COMPARE * port=1 adr=03 act=D084DFC234E5921B47 exp=D084DFC234E5921B47 + 49886.50ns INFO [00049888] Port=0 WR @05=AD5EBDFB4365352230 + 49886.50ns INFO [00049888] Port=0 RD @00 + 49886.50ns INFO [00049888] Port=1 RD @06 + 49887.50ns INFO [00049889] Port=1 RD @02 + 49888.50ns INFO [00049890] * RD COMPARE * port=0 adr=00 act=EB40674CF7427FBFFD exp=EB40674CF7427FBFFD + 49888.50ns INFO [00049890] * RD COMPARE * port=1 adr=06 act=64727696A9B80037D9 exp=64727696A9B80037D9 + 49889.50ns INFO [00049891] * RD COMPARE * port=1 adr=02 act=34BC162BBA176F6E3B exp=34BC162BBA176F6E3B + 49890.50ns INFO [00049892] Port=0 WR @04=DC716B9E58B809BEA6 + 49890.50ns INFO [00049892] Port=1 RD @05 + 49891.50ns INFO [00049893] Port=0 RD @01 + 49891.50ns INFO [00049893] Port=1 RD @02 + 49892.50ns INFO [00049894] * RD COMPARE * port=1 adr=05 act=AD5EBDFB4365352230 exp=AD5EBDFB4365352230 + 49893.50ns INFO [00049895] * RD COMPARE * port=0 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49893.50ns INFO [00049895] * RD COMPARE * port=1 adr=02 act=34BC162BBA176F6E3B exp=34BC162BBA176F6E3B + 49893.50ns INFO [00049895] Port=0 RD @00 + 49894.50ns INFO [00049896] Port=0 RD @07 + 49895.50ns INFO [00049897] * RD COMPARE * port=0 adr=00 act=EB40674CF7427FBFFD exp=EB40674CF7427FBFFD + 49895.50ns INFO [00049897] Port=1 RD @00 + 49896.50ns INFO [00049898] * RD COMPARE * port=0 adr=07 act=3B3E968B29FF83FDF8 exp=3B3E968B29FF83FDF8 + 49896.50ns INFO [00049898] Port=0 WR @00=D53FF1DC063C1BBA9E + 49896.50ns INFO [00049898] Port=1 RD @02 + 49897.50ns INFO [00049899] * RD COMPARE * port=1 adr=00 act=EB40674CF7427FBFFD exp=EB40674CF7427FBFFD + 49897.50ns INFO [00049899] Port=0 WR @02=5FACCF4785BF5F7959 + 49898.00ns INFO [00049900] [00049900] ...tick... + 49898.50ns INFO [00049900] * RD COMPARE * port=1 adr=02 act=34BC162BBA176F6E3B exp=34BC162BBA176F6E3B + 49899.50ns INFO [00049901] Port=0 WR @07=33184F210B37693D46 + 49899.50ns INFO [00049901] Port=0 RD @04 + 49899.50ns INFO [00049901] Port=1 RD @04 + 49900.50ns INFO [00049902] Port=1 RD @01 + 49901.50ns INFO [00049903] * RD COMPARE * port=0 adr=04 act=DC716B9E58B809BEA6 exp=DC716B9E58B809BEA6 + 49901.50ns INFO [00049903] * RD COMPARE * port=1 adr=04 act=DC716B9E58B809BEA6 exp=DC716B9E58B809BEA6 + 49901.50ns INFO [00049903] Port=0 WR @05=B4C6EBC69C29BDFCBF + 49902.50ns INFO [00049904] * RD COMPARE * port=1 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49902.50ns INFO [00049904] Port=0 RD @05 + 49903.50ns INFO [00049905] Port=1 RD @02 + 49904.50ns INFO [00049906] * RD COMPARE * port=0 adr=05 act=B4C6EBC69C29BDFCBF exp=B4C6EBC69C29BDFCBF + 49904.50ns INFO [00049906] Port=0 WR @06=E7845E94D9041179C8 + 49904.50ns INFO [00049906] Port=1 RD @03 + 49905.50ns INFO [00049907] * RD COMPARE * port=1 adr=02 act=5FACCF4785BF5F7959 exp=5FACCF4785BF5F7959 + 49906.50ns INFO [00049908] * RD COMPARE * port=1 adr=03 act=D084DFC234E5921B47 exp=D084DFC234E5921B47 + 49906.50ns INFO [00049908] Port=0 WR @05=EB24E7D202FC3509AA + 49906.50ns INFO [00049908] Port=0 RD @01 + 49907.50ns INFO [00049909] Port=0 WR @00=391D9E10A8196F230F + 49907.50ns INFO [00049909] Port=1 RD @03 + 49908.50ns INFO [00049910] * RD COMPARE * port=0 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49908.50ns INFO [00049910] Port=0 RD @06 + 49908.50ns INFO [00049910] Port=1 RD @02 + 49909.50ns INFO [00049911] * RD COMPARE * port=1 adr=03 act=D084DFC234E5921B47 exp=D084DFC234E5921B47 + 49909.50ns INFO [00049911] Port=0 WR @05=AD8BDBE52BB6F1D600 + 49909.50ns INFO [00049911] Port=0 RD @07 + 49909.50ns INFO [00049911] Port=1 RD @01 + 49910.50ns INFO [00049912] * RD COMPARE * port=0 adr=06 act=E7845E94D9041179C8 exp=E7845E94D9041179C8 + 49910.50ns INFO [00049912] * RD COMPARE * port=1 adr=02 act=5FACCF4785BF5F7959 exp=5FACCF4785BF5F7959 + 49910.50ns INFO [00049912] Port=1 RD @02 + 49911.50ns INFO [00049913] * RD COMPARE * port=0 adr=07 act=33184F210B37693D46 exp=33184F210B37693D46 + 49911.50ns INFO [00049913] * RD COMPARE * port=1 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49911.50ns INFO [00049913] Port=0 WR @00=4413177BF3A657F68E + 49912.50ns INFO [00049914] * RD COMPARE * port=1 adr=02 act=5FACCF4785BF5F7959 exp=5FACCF4785BF5F7959 + 49912.50ns INFO [00049914] Port=0 WR @03=C6DCAE95E28E838C36 + 49913.50ns INFO [00049915] Port=0 RD @05 + 49914.50ns INFO [00049916] Port=0 WR @00=A08948A58F7330F8F7 + 49914.50ns INFO [00049916] Port=1 RD @01 + 49915.50ns INFO [00049917] * RD COMPARE * port=0 adr=05 act=AD8BDBE52BB6F1D600 exp=AD8BDBE52BB6F1D600 + 49915.50ns INFO [00049917] Port=0 WR @05=1C74C0D59291FF9C68 + 49915.50ns INFO [00049917] Port=0 RD @04 + 49916.50ns INFO [00049918] * RD COMPARE * port=1 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49916.50ns INFO [00049918] Port=0 WR @06=80FF93A957288F3F00 + 49916.50ns INFO [00049918] Port=0 RD @00 + 49916.50ns INFO [00049918] Port=1 RD @00 + 49917.50ns INFO [00049919] * RD COMPARE * port=0 adr=04 act=DC716B9E58B809BEA6 exp=DC716B9E58B809BEA6 + 49918.50ns INFO [00049920] * RD COMPARE * port=0 adr=00 act=A08948A58F7330F8F7 exp=A08948A58F7330F8F7 + 49918.50ns INFO [00049920] * RD COMPARE * port=1 adr=00 act=A08948A58F7330F8F7 exp=A08948A58F7330F8F7 + 49918.50ns INFO [00049920] Port=0 WR @06=25B4F72D1FB522D8FE + 49918.50ns INFO [00049920] Port=1 RD @02 + 49919.50ns INFO [00049921] Port=0 WR @07=9624A367071BF5BA9F + 49919.50ns INFO [00049921] Port=0 RD @05 + 49920.50ns INFO [00049922] * RD COMPARE * port=1 adr=02 act=5FACCF4785BF5F7959 exp=5FACCF4785BF5F7959 + 49920.50ns INFO [00049922] Port=1 RD @04 + 49921.50ns INFO [00049923] * RD COMPARE * port=0 adr=05 act=1C74C0D59291FF9C68 exp=1C74C0D59291FF9C68 + 49921.50ns INFO [00049923] Port=0 RD @02 + 49921.50ns INFO [00049923] Port=1 RD @01 + 49922.50ns INFO [00049924] * RD COMPARE * port=1 adr=04 act=DC716B9E58B809BEA6 exp=DC716B9E58B809BEA6 + 49922.50ns INFO [00049924] Port=0 RD @01 + 49922.50ns INFO [00049924] Port=1 RD @02 + 49923.50ns INFO [00049925] * RD COMPARE * port=0 adr=02 act=5FACCF4785BF5F7959 exp=5FACCF4785BF5F7959 + 49923.50ns INFO [00049925] * RD COMPARE * port=1 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49923.50ns INFO [00049925] Port=0 WR @07=D987B7C42943188992 + 49923.50ns INFO [00049925] Port=0 RD @06 + 49923.50ns INFO [00049925] Port=1 RD @01 + 49924.50ns INFO [00049926] * RD COMPARE * port=0 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49924.50ns INFO [00049926] * RD COMPARE * port=1 adr=02 act=5FACCF4785BF5F7959 exp=5FACCF4785BF5F7959 + 49924.50ns INFO [00049926] Port=0 WR @07=28A1547A227F3D7B15 + 49924.50ns INFO [00049926] Port=1 RD @02 + 49925.50ns INFO [00049927] * RD COMPARE * port=0 adr=06 act=25B4F72D1FB522D8FE exp=25B4F72D1FB522D8FE + 49925.50ns INFO [00049927] * RD COMPARE * port=1 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49926.50ns INFO [00049928] * RD COMPARE * port=1 adr=02 act=5FACCF4785BF5F7959 exp=5FACCF4785BF5F7959 + 49926.50ns INFO [00049928] Port=0 WR @04=9A32F9F718E383659B + 49927.50ns INFO [00049929] Port=0 WR @04=B464998ECE9462DE9F + 49928.50ns INFO [00049930] Port=0 RD @07 + 49928.50ns INFO [00049930] Port=1 RD @00 + 49929.50ns INFO [00049931] Port=1 RD @01 + 49930.50ns INFO [00049932] * RD COMPARE * port=0 adr=07 act=28A1547A227F3D7B15 exp=28A1547A227F3D7B15 + 49930.50ns INFO [00049932] * RD COMPARE * port=1 adr=00 act=A08948A58F7330F8F7 exp=A08948A58F7330F8F7 + 49930.50ns INFO [00049932] Port=0 WR @03=A6A565475711E1017C + 49931.50ns INFO [00049933] * RD COMPARE * port=1 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49931.50ns INFO [00049933] Port=0 RD @05 + 49932.50ns INFO [00049934] Port=0 RD @04 + 49933.50ns INFO [00049935] * RD COMPARE * port=0 adr=05 act=1C74C0D59291FF9C68 exp=1C74C0D59291FF9C68 + 49933.50ns INFO [00049935] Port=1 RD @04 + 49934.50ns INFO [00049936] * RD COMPARE * port=0 adr=04 act=B464998ECE9462DE9F exp=B464998ECE9462DE9F + 49934.50ns INFO [00049936] Port=0 RD @02 + 49935.50ns INFO [00049937] * RD COMPARE * port=1 adr=04 act=B464998ECE9462DE9F exp=B464998ECE9462DE9F + 49935.50ns INFO [00049937] Port=0 RD @07 + 49936.50ns INFO [00049938] * RD COMPARE * port=0 adr=02 act=5FACCF4785BF5F7959 exp=5FACCF4785BF5F7959 + 49936.50ns INFO [00049938] Port=0 WR @05=93B28253085AF320C5 + 49937.50ns INFO [00049939] * RD COMPARE * port=0 adr=07 act=28A1547A227F3D7B15 exp=28A1547A227F3D7B15 + 49937.50ns INFO [00049939] Port=0 RD @05 + 49938.50ns INFO [00049940] Port=0 WR @02=EC383745F7AFFA2EE8 + 49939.50ns INFO [00049941] * RD COMPARE * port=0 adr=05 act=93B28253085AF320C5 exp=93B28253085AF320C5 + 49939.50ns INFO [00049941] Port=0 WR @05=A841471D757AAF4FB2 + 49939.50ns INFO [00049941] Port=1 RD @06 + 49941.50ns INFO [00049943] * RD COMPARE * port=1 adr=06 act=25B4F72D1FB522D8FE exp=25B4F72D1FB522D8FE + 49942.50ns INFO [00049944] Port=0 RD @00 + 49943.50ns INFO [00049945] Port=0 RD @01 + 49944.50ns INFO [00049946] * RD COMPARE * port=0 adr=00 act=A08948A58F7330F8F7 exp=A08948A58F7330F8F7 + 49944.50ns INFO [00049946] Port=0 WR @07=EE4254F63DF2F1E470 + 49944.50ns INFO [00049946] Port=0 RD @06 + 49944.50ns INFO [00049946] Port=1 RD @05 + 49945.50ns INFO [00049947] * RD COMPARE * port=0 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49946.50ns INFO [00049948] * RD COMPARE * port=0 adr=06 act=25B4F72D1FB522D8FE exp=25B4F72D1FB522D8FE + 49946.50ns INFO [00049948] * RD COMPARE * port=1 adr=05 act=A841471D757AAF4FB2 exp=A841471D757AAF4FB2 + 49946.50ns INFO [00049948] Port=0 RD @02 + 49946.50ns INFO [00049948] Port=1 RD @00 + 49947.50ns INFO [00049949] Port=0 WR @04=26398D14D4FD9C3C2B + 49947.50ns INFO [00049949] Port=1 RD @03 + 49948.50ns INFO [00049950] * RD COMPARE * port=0 adr=02 act=EC383745F7AFFA2EE8 exp=EC383745F7AFFA2EE8 + 49948.50ns INFO [00049950] * RD COMPARE * port=1 adr=00 act=A08948A58F7330F8F7 exp=A08948A58F7330F8F7 + 49948.50ns INFO [00049950] Port=1 RD @07 + 49949.50ns INFO [00049951] * RD COMPARE * port=1 adr=03 act=A6A565475711E1017C exp=A6A565475711E1017C + 49950.50ns INFO [00049952] * RD COMPARE * port=1 adr=07 act=EE4254F63DF2F1E470 exp=EE4254F63DF2F1E470 + 49951.50ns INFO [00049953] Port=0 RD @05 + 49952.50ns INFO [00049954] Port=0 WR @04=3E93C5E7E225A6C8D9 + 49953.50ns INFO [00049955] * RD COMPARE * port=0 adr=05 act=A841471D757AAF4FB2 exp=A841471D757AAF4FB2 + 49953.50ns INFO [00049955] Port=1 RD @02 + 49954.50ns INFO [00049956] Port=1 RD @03 + 49955.50ns INFO [00049957] * RD COMPARE * port=1 adr=02 act=EC383745F7AFFA2EE8 exp=EC383745F7AFFA2EE8 + 49955.50ns INFO [00049957] Port=1 RD @02 + 49956.50ns INFO [00049958] * RD COMPARE * port=1 adr=03 act=A6A565475711E1017C exp=A6A565475711E1017C + 49956.50ns INFO [00049958] Port=0 RD @01 + 49957.50ns INFO [00049959] * RD COMPARE * port=1 adr=02 act=EC383745F7AFFA2EE8 exp=EC383745F7AFFA2EE8 + 49957.50ns INFO [00049959] Port=0 WR @00=B2867A990078DC2302 + 49957.50ns INFO [00049959] Port=0 RD @03 + 49957.50ns INFO [00049959] Port=1 RD @05 + 49958.50ns INFO [00049960] * RD COMPARE * port=0 adr=01 act=2148AE2727A4EE78FD exp=2148AE2727A4EE78FD + 49958.50ns INFO [00049960] Port=0 WR @05=E4ABBD0CC423DA0801 + 49958.50ns INFO [00049960] Port=1 RD @07 + 49959.50ns INFO [00049961] * RD COMPARE * port=0 adr=03 act=A6A565475711E1017C exp=A6A565475711E1017C + 49959.50ns INFO [00049961] * RD COMPARE * port=1 adr=05 act=A841471D757AAF4FB2 exp=A841471D757AAF4FB2 + 49959.50ns INFO [00049961] Port=0 WR @05=6D98EDDECE93AFCF4A + 49960.50ns INFO [00049962] * RD COMPARE * port=1 adr=07 act=EE4254F63DF2F1E470 exp=EE4254F63DF2F1E470 + 49960.50ns INFO [00049962] Port=0 WR @02=1E6F27F45537F61166 + 49960.50ns INFO [00049962] Port=0 RD @04 + 49961.50ns INFO [00049963] Port=1 RD @06 + 49962.50ns INFO [00049964] * RD COMPARE * port=0 adr=04 act=3E93C5E7E225A6C8D9 exp=3E93C5E7E225A6C8D9 + 49963.50ns INFO [00049965] * RD COMPARE * port=1 adr=06 act=25B4F72D1FB522D8FE exp=25B4F72D1FB522D8FE + 49964.50ns INFO [00049966] Port=0 WR @07=BADC060836361193AC + 49965.50ns INFO [00049967] Port=0 WR @04=CB1BC30140E00C7325 + 49965.50ns INFO [00049967] Port=1 RD @02 + 49966.50ns INFO [00049968] Port=0 WR @00=72C8DFC75E23D7832D + 49966.50ns INFO [00049968] Port=1 RD @03 + 49967.50ns INFO [00049969] * RD COMPARE * port=1 adr=02 act=1E6F27F45537F61166 exp=1E6F27F45537F61166 + 49968.50ns INFO [00049970] * RD COMPARE * port=1 adr=03 act=A6A565475711E1017C exp=A6A565475711E1017C + 49968.50ns INFO [00049970] Port=0 RD @02 + 49968.50ns INFO [00049970] Port=1 RD @02 + 49969.50ns INFO [00049971] Port=0 RD @03 + 49970.50ns INFO [00049972] * RD COMPARE * port=0 adr=02 act=1E6F27F45537F61166 exp=1E6F27F45537F61166 + 49970.50ns INFO [00049972] * RD COMPARE * port=1 adr=02 act=1E6F27F45537F61166 exp=1E6F27F45537F61166 + 49971.50ns INFO [00049973] * RD COMPARE * port=0 adr=03 act=A6A565475711E1017C exp=A6A565475711E1017C + 49971.50ns INFO [00049973] Port=0 WR @07=D15DABDAC24F859232 + 49971.50ns INFO [00049973] Port=1 RD @00 + 49972.50ns INFO [00049974] Port=0 WR @01=93F377A8AFCBA933B2 + 49973.50ns INFO [00049975] * RD COMPARE * port=1 adr=00 act=72C8DFC75E23D7832D exp=72C8DFC75E23D7832D + 49975.50ns INFO [00049977] Port=0 WR @00=C497BA3F8CB888A5EF + 49975.50ns INFO [00049977] Port=0 RD @05 + 49976.50ns INFO [00049978] Port=0 WR @07=A9F89D50DECAF833E1 + 49977.50ns INFO [00049979] * RD COMPARE * port=0 adr=05 act=6D98EDDECE93AFCF4A exp=6D98EDDECE93AFCF4A + 49978.50ns INFO [00049980] Port=0 WR @01=0CD202C57A35939035 + 49979.50ns INFO [00049981] Port=0 WR @07=6E195F37C24BCFAA01 + 49979.50ns INFO [00049981] Port=0 RD @06 + 49981.50ns INFO [00049983] * RD COMPARE * port=0 adr=06 act=25B4F72D1FB522D8FE exp=25B4F72D1FB522D8FE + 49981.50ns INFO [00049983] Port=0 WR @03=A4D15C459493A2DE18 + 49981.50ns INFO [00049983] Port=0 RD @00 + 49982.50ns INFO [00049984] Port=0 RD @06 + 49982.50ns INFO [00049984] Port=1 RD @02 + 49983.50ns INFO [00049985] * RD COMPARE * port=0 adr=00 act=C497BA3F8CB888A5EF exp=C497BA3F8CB888A5EF + 49983.50ns INFO [00049985] Port=0 WR @07=561AD10FC7BED99646 + 49983.50ns INFO [00049985] Port=0 RD @00 + 49983.50ns INFO [00049985] Port=1 RD @06 + 49984.50ns INFO [00049986] * RD COMPARE * port=0 adr=06 act=25B4F72D1FB522D8FE exp=25B4F72D1FB522D8FE + 49984.50ns INFO [00049986] * RD COMPARE * port=1 adr=02 act=1E6F27F45537F61166 exp=1E6F27F45537F61166 + 49985.50ns INFO [00049987] * RD COMPARE * port=0 adr=00 act=C497BA3F8CB888A5EF exp=C497BA3F8CB888A5EF + 49985.50ns INFO [00049987] * RD COMPARE * port=1 adr=06 act=25B4F72D1FB522D8FE exp=25B4F72D1FB522D8FE + 49985.50ns INFO [00049987] Port=0 WR @00=E865D99696310ADBA2 + 49985.50ns INFO [00049987] Port=0 RD @07 + 49986.50ns INFO [00049988] Port=0 RD @03 + 49987.50ns INFO [00049989] * RD COMPARE * port=0 adr=07 act=561AD10FC7BED99646 exp=561AD10FC7BED99646 + 49987.50ns INFO [00049989] Port=0 WR @01=1FDB1A9C01053DE4E1 + 49988.50ns INFO [00049990] * RD COMPARE * port=0 adr=03 act=A4D15C459493A2DE18 exp=A4D15C459493A2DE18 + 49989.50ns INFO [00049991] Port=0 WR @03=40738662A0CD42809C + 49989.50ns INFO [00049991] Port=0 RD @00 + 49989.50ns INFO [00049991] Port=1 RD @07 + 49991.50ns INFO [00049993] * RD COMPARE * port=0 adr=00 act=E865D99696310ADBA2 exp=E865D99696310ADBA2 + 49991.50ns INFO [00049993] * RD COMPARE * port=1 adr=07 act=561AD10FC7BED99646 exp=561AD10FC7BED99646 + 49991.50ns INFO [00049993] Port=0 WR @01=DDC7DE27B3CD63A77E + 49991.50ns INFO [00049993] Port=0 RD @03 + 49992.50ns INFO [00049994] Port=0 WR @02=B9476CC2B92834009A + 49993.50ns INFO [00049995] * RD COMPARE * port=0 adr=03 act=40738662A0CD42809C exp=40738662A0CD42809C + 49993.50ns INFO [00049995] Port=1 RD @03 + 49995.50ns INFO [00049997] * RD COMPARE * port=1 adr=03 act=40738662A0CD42809C exp=40738662A0CD42809C + 49995.50ns INFO [00049997] Port=0 WR @07=C5010B6DFEC5E80CD3 + 49996.50ns INFO [00049998] Port=0 WR @01=DCE106B0A525C3798A + 49996.50ns INFO [00049998] Port=0 RD @02 + 49996.50ns INFO [00049998] Port=1 RD @07 + 49997.50ns INFO [00049999] Port=0 RD @01 + 49998.00ns INFO [00050000] [00050000] ...tick... + 49998.50ns INFO [00050000] * RD COMPARE * port=0 adr=02 act=B9476CC2B92834009A exp=B9476CC2B92834009A + 49998.50ns INFO [00050000] * RD COMPARE * port=1 adr=07 act=C5010B6DFEC5E80CD3 exp=C5010B6DFEC5E80CD3 + 49999.50ns INFO [00050001] * RD COMPARE * port=0 adr=01 act=DCE106B0A525C3798A exp=DCE106B0A525C3798A + 49999.50ns INFO [00050001] Port=0 RD @04 + 50000.50ns INFO [00050002] Port=0 RD @04 + 50001.50ns INFO [00050003] * RD COMPARE * port=0 adr=04 act=CB1BC30140E00C7325 exp=CB1BC30140E00C7325 + 50001.50ns INFO [00050003] Port=0 RD @05 + 50002.50ns INFO [00050004] * RD COMPARE * port=0 adr=04 act=CB1BC30140E00C7325 exp=CB1BC30140E00C7325 + 50002.50ns INFO [00050004] Port=0 WR @04=B262166EA8F75BEB28 + 50002.50ns INFO [00050004] Port=0 RD @03 + 50003.50ns INFO [00050005] * RD COMPARE * port=0 adr=05 act=6D98EDDECE93AFCF4A exp=6D98EDDECE93AFCF4A + 50003.50ns INFO [00050005] Port=0 RD @03 + 50003.50ns INFO [00050005] Port=1 RD @04 + 50004.50ns INFO [00050006] * RD COMPARE * port=0 adr=03 act=40738662A0CD42809C exp=40738662A0CD42809C + 50005.50ns INFO [00050007] * RD COMPARE * port=0 adr=03 act=40738662A0CD42809C exp=40738662A0CD42809C + 50005.50ns INFO [00050007] * RD COMPARE * port=1 adr=04 act=B262166EA8F75BEB28 exp=B262166EA8F75BEB28 + 50005.50ns INFO [00050007] Port=0 WR @02=EAEAE60DEA26D045C5 + 50005.50ns INFO [00050007] Port=0 RD @07 + 50006.50ns INFO [00050008] Port=0 RD @03 + 50007.50ns INFO [00050009] * RD COMPARE * port=0 adr=07 act=C5010B6DFEC5E80CD3 exp=C5010B6DFEC5E80CD3 + 50007.50ns INFO [00050009] Port=0 WR @04=3A49A617B90A632BC0 + 50007.50ns INFO [00050009] Port=1 RD @01 + 50008.50ns INFO [00050010] * RD COMPARE * port=0 adr=03 act=40738662A0CD42809C exp=40738662A0CD42809C + 50008.50ns INFO [00050010] Port=0 RD @05 + 50009.50ns INFO [00050011] * RD COMPARE * port=1 adr=01 act=DCE106B0A525C3798A exp=DCE106B0A525C3798A + 50009.50ns INFO [00050011] Port=0 RD @03 + 50009.50ns INFO [00050011] Port=1 RD @06 + 50010.50ns INFO [00050012] * RD COMPARE * port=0 adr=05 act=6D98EDDECE93AFCF4A exp=6D98EDDECE93AFCF4A + 50010.50ns INFO [00050012] Port=0 RD @02 + 50011.50ns INFO [00050013] * RD COMPARE * port=0 adr=03 act=40738662A0CD42809C exp=40738662A0CD42809C + 50011.50ns INFO [00050013] * RD COMPARE * port=1 adr=06 act=25B4F72D1FB522D8FE exp=25B4F72D1FB522D8FE + 50011.50ns INFO [00050013] Port=0 WR @06=18AB6D9E43ADDEF1D8 + 50011.50ns INFO [00050013] Port=0 RD @02 + 50011.50ns INFO [00050013] Port=1 RD @05 + 50012.50ns INFO [00050014] * RD COMPARE * port=0 adr=02 act=EAEAE60DEA26D045C5 exp=EAEAE60DEA26D045C5 + 50012.50ns INFO [00050014] Port=1 RD @00 + 50013.50ns INFO [00050015] * RD COMPARE * port=0 adr=02 act=EAEAE60DEA26D045C5 exp=EAEAE60DEA26D045C5 + 50013.50ns INFO [00050015] * RD COMPARE * port=1 adr=05 act=6D98EDDECE93AFCF4A exp=6D98EDDECE93AFCF4A + 50014.50ns INFO [00050016] * RD COMPARE * port=1 adr=00 act=E865D99696310ADBA2 exp=E865D99696310ADBA2 + 50014.50ns INFO [00050016] Port=0 RD @02 + 50015.50ns INFO [00050017] Port=0 WR @00=43227D5325AA5FC866 + 50015.50ns INFO [00050017] Port=0 RD @07 + 50015.50ns INFO [00050017] Port=1 RD @03 + 50016.50ns INFO [00050018] * RD COMPARE * port=0 adr=02 act=EAEAE60DEA26D045C5 exp=EAEAE60DEA26D045C5 + 50016.50ns INFO [00050018] Port=0 WR @05=1BA055213629B0F528 + 50016.50ns INFO [00050018] Port=1 RD @01 + 50017.50ns INFO [00050019] * RD COMPARE * port=0 adr=07 act=C5010B6DFEC5E80CD3 exp=C5010B6DFEC5E80CD3 + 50017.50ns INFO [00050019] * RD COMPARE * port=1 adr=03 act=40738662A0CD42809C exp=40738662A0CD42809C + 50017.50ns INFO [00050019] Port=0 WR @07=C636C3AFA38F3AE8DE + 50017.50ns INFO [00050019] Port=0 RD @06 + 50018.50ns INFO [00050020] * RD COMPARE * port=1 adr=01 act=DCE106B0A525C3798A exp=DCE106B0A525C3798A + 50018.50ns INFO [00050020] Port=0 WR @07=AC786BFB1E07204CB9 + 50018.50ns INFO [00050020] Port=1 RD @01 + 50019.50ns INFO [00050021] * RD COMPARE * port=0 adr=06 act=18AB6D9E43ADDEF1D8 exp=18AB6D9E43ADDEF1D8 + 50019.50ns INFO [00050021] Port=1 RD @00 + 50020.50ns INFO [00050022] * RD COMPARE * port=1 adr=01 act=DCE106B0A525C3798A exp=DCE106B0A525C3798A + 50021.50ns INFO [00050023] * RD COMPARE * port=1 adr=00 act=43227D5325AA5FC866 exp=43227D5325AA5FC866 + 50021.50ns INFO [00050023] Port=0 WR @03=D2855B25B4D8FF76AC + 50021.50ns INFO [00050023] Port=1 RD @04 + 50022.50ns INFO [00050024] Port=1 RD @04 + 50023.50ns INFO [00050025] * RD COMPARE * port=1 adr=04 act=3A49A617B90A632BC0 exp=3A49A617B90A632BC0 + 50024.50ns INFO [00050026] * RD COMPARE * port=1 adr=04 act=3A49A617B90A632BC0 exp=3A49A617B90A632BC0 + 50024.50ns INFO [00050026] Port=0 WR @00=F5D6530737B62E757A + 50024.50ns INFO [00050026] Port=0 RD @01 + 50024.50ns INFO [00050026] Port=1 RD @05 + 50025.50ns INFO [00050027] Port=0 WR @04=CB01CB554724A29661 + 50025.50ns INFO [00050027] Port=0 RD @06 + 50026.50ns INFO [00050028] * RD COMPARE * port=0 adr=01 act=DCE106B0A525C3798A exp=DCE106B0A525C3798A + 50026.50ns INFO [00050028] * RD COMPARE * port=1 adr=05 act=1BA055213629B0F528 exp=1BA055213629B0F528 + 50026.50ns INFO [00050028] Port=0 RD @06 + 50027.50ns INFO [00050029] * RD COMPARE * port=0 adr=06 act=18AB6D9E43ADDEF1D8 exp=18AB6D9E43ADDEF1D8 + 50028.50ns INFO [00050030] * RD COMPARE * port=0 adr=06 act=18AB6D9E43ADDEF1D8 exp=18AB6D9E43ADDEF1D8 + 50029.50ns INFO [00050031] Port=1 RD @01 + 50030.50ns INFO [00050032] Port=0 RD @06 + 50031.50ns INFO [00050033] * RD COMPARE * port=1 adr=01 act=DCE106B0A525C3798A exp=DCE106B0A525C3798A + 50031.50ns INFO [00050033] Port=0 WR @05=CFEB4DD7944E33D705 + 50031.50ns INFO [00050033] Port=0 RD @02 + 50032.50ns INFO [00050034] * RD COMPARE * port=0 adr=06 act=18AB6D9E43ADDEF1D8 exp=18AB6D9E43ADDEF1D8 + 50033.50ns INFO [00050035] * RD COMPARE * port=0 adr=02 act=EAEAE60DEA26D045C5 exp=EAEAE60DEA26D045C5 + 50034.50ns INFO [00050036] Port=0 WR @04=A54F018B310651D397 + 50034.50ns INFO [00050036] Port=0 RD @05 + 50034.50ns INFO [00050036] Port=1 RD @07 + 50035.50ns INFO [00050037] Port=0 WR @05=85A324434AE43831A0 + 50035.50ns INFO [00050037] Port=1 RD @07 + 50036.50ns INFO [00050038] * RD COMPARE * port=0 adr=05 act=CFEB4DD7944E33D705 exp=CFEB4DD7944E33D705 + 50036.50ns INFO [00050038] * RD COMPARE * port=1 adr=07 act=AC786BFB1E07204CB9 exp=AC786BFB1E07204CB9 + 50037.50ns INFO [00050039] * RD COMPARE * port=1 adr=07 act=AC786BFB1E07204CB9 exp=AC786BFB1E07204CB9 + 50037.50ns INFO [00050039] Port=1 RD @07 + 50038.50ns INFO [00050040] Port=0 WR @05=7F587E9166A84E6211 + 50038.50ns INFO [00050040] Port=0 RD @01 + 50038.50ns INFO [00050040] Port=1 RD @01 + 50039.50ns INFO [00050041] * RD COMPARE * port=1 adr=07 act=AC786BFB1E07204CB9 exp=AC786BFB1E07204CB9 + 50039.50ns INFO [00050041] Port=0 WR @07=25EC81437C137CB77C + 50039.50ns INFO [00050041] Port=0 RD @02 + 50040.50ns INFO [00050042] * RD COMPARE * port=0 adr=01 act=DCE106B0A525C3798A exp=DCE106B0A525C3798A + 50040.50ns INFO [00050042] * RD COMPARE * port=1 adr=01 act=DCE106B0A525C3798A exp=DCE106B0A525C3798A + 50041.50ns INFO [00050043] * RD COMPARE * port=0 adr=02 act=EAEAE60DEA26D045C5 exp=EAEAE60DEA26D045C5 + 50041.50ns INFO [00050043] Port=0 WR @07=787570BA648A469E0C + 50042.50ns INFO [00050044] Port=0 WR @03=4B92F38A37F2A84490 + 50042.50ns INFO [00050044] Port=0 RD @05 + 50044.50ns INFO [00050046] * RD COMPARE * port=0 adr=05 act=7F587E9166A84E6211 exp=7F587E9166A84E6211 + 50044.50ns INFO [00050046] Port=0 WR @01=7E050937964846A63A + 50045.50ns INFO [00050047] Port=0 RD @03 + 50045.50ns INFO [00050047] Port=1 RD @06 + 50047.50ns INFO [00050049] * RD COMPARE * port=0 adr=03 act=4B92F38A37F2A84490 exp=4B92F38A37F2A84490 + 50047.50ns INFO [00050049] * RD COMPARE * port=1 adr=06 act=18AB6D9E43ADDEF1D8 exp=18AB6D9E43ADDEF1D8 + 50047.50ns INFO [00050049] Port=0 RD @01 + 50048.50ns INFO [00050050] Port=0 RD @04 + 50049.50ns INFO [00050051] * RD COMPARE * port=0 adr=01 act=7E050937964846A63A exp=7E050937964846A63A + 50049.50ns INFO [00050051] Port=0 WR @02=061A7E0F6201B25B5D + 50049.50ns INFO [00050051] Port=0 RD @07 + 50050.50ns INFO [00050052] * RD COMPARE * port=0 adr=04 act=A54F018B310651D397 exp=A54F018B310651D397 + 50050.50ns INFO [00050052] Port=0 RD @01 + 50050.50ns INFO [00050052] Port=1 RD @01 + 50051.50ns INFO [00050053] * RD COMPARE * port=0 adr=07 act=787570BA648A469E0C exp=787570BA648A469E0C + 50051.50ns INFO [00050053] Port=0 WR @01=2B9FE0FFE6BA2789B3 + 50052.50ns INFO [00050054] * RD COMPARE * port=0 adr=01 act=7E050937964846A63A exp=7E050937964846A63A + 50052.50ns INFO [00050054] * RD COMPARE * port=1 adr=01 act=7E050937964846A63A exp=7E050937964846A63A + 50053.50ns INFO [00050055] Port=0 WR @02=2A73A4C4BBFB42A8ED + 50053.50ns INFO [00050055] Port=0 RD @06 + 50053.50ns INFO [00050055] Port=1 RD @05 + 50054.50ns INFO [00050056] Port=0 WR @02=8010996074BCBA6001 + 50054.50ns INFO [00050056] Port=0 RD @04 + 50055.50ns INFO [00050057] * RD COMPARE * port=0 adr=06 act=18AB6D9E43ADDEF1D8 exp=18AB6D9E43ADDEF1D8 + 50055.50ns INFO [00050057] * RD COMPARE * port=1 adr=05 act=7F587E9166A84E6211 exp=7F587E9166A84E6211 + 50055.50ns INFO [00050057] Port=0 RD @05 + 50056.50ns INFO [00050058] * RD COMPARE * port=0 adr=04 act=A54F018B310651D397 exp=A54F018B310651D397 + 50057.50ns INFO [00050059] * RD COMPARE * port=0 adr=05 act=7F587E9166A84E6211 exp=7F587E9166A84E6211 + 50057.50ns INFO [00050059] Port=0 WR @01=F86140E2515D64ECAD + 50058.50ns INFO [00050060] Port=0 WR @00=B1C1F690E57E696F0F + 50058.50ns INFO [00050060] Port=0 RD @06 + 50058.50ns INFO [00050060] Port=1 RD @07 + 50060.50ns INFO [00050062] * RD COMPARE * port=0 adr=06 act=18AB6D9E43ADDEF1D8 exp=18AB6D9E43ADDEF1D8 + 50060.50ns INFO [00050062] * RD COMPARE * port=1 adr=07 act=787570BA648A469E0C exp=787570BA648A469E0C + 50060.50ns INFO [00050062] Port=0 WR @05=35EA4C196DE81488D1 + 50060.50ns INFO [00050062] Port=1 RD @04 + 50061.50ns INFO [00050063] Port=1 RD @06 + 50062.50ns INFO [00050064] * RD COMPARE * port=1 adr=04 act=A54F018B310651D397 exp=A54F018B310651D397 + 50062.50ns INFO [00050064] Port=0 WR @02=02CB2544A3E84B71D4 + 50063.50ns INFO [00050065] * RD COMPARE * port=1 adr=06 act=18AB6D9E43ADDEF1D8 exp=18AB6D9E43ADDEF1D8 + 50063.50ns INFO [00050065] Port=0 WR @04=246B9237665E22EBAC + 50063.50ns INFO [00050065] Port=1 RD @02 + 50064.50ns INFO [00050066] Port=1 RD @03 + 50065.50ns INFO [00050067] * RD COMPARE * port=1 adr=02 act=02CB2544A3E84B71D4 exp=02CB2544A3E84B71D4 + 50066.50ns INFO [00050068] * RD COMPARE * port=1 adr=03 act=4B92F38A37F2A84490 exp=4B92F38A37F2A84490 + 50066.50ns INFO [00050068] Port=0 RD @05 + 50067.50ns INFO [00050069] Port=0 RD @03 + 50067.50ns INFO [00050069] Port=1 RD @07 + 50068.50ns INFO [00050070] * RD COMPARE * port=0 adr=05 act=35EA4C196DE81488D1 exp=35EA4C196DE81488D1 + 50068.50ns INFO [00050070] Port=0 RD @04 + 50068.50ns INFO [00050070] Port=1 RD @04 + 50069.50ns INFO [00050071] * RD COMPARE * port=0 adr=03 act=4B92F38A37F2A84490 exp=4B92F38A37F2A84490 + 50069.50ns INFO [00050071] * RD COMPARE * port=1 adr=07 act=787570BA648A469E0C exp=787570BA648A469E0C + 50069.50ns INFO [00050071] Port=0 WR @01=0670E691046A510695 + 50069.50ns INFO [00050071] Port=0 RD @06 + 50069.50ns INFO [00050071] Port=1 RD @06 + 50070.50ns INFO [00050072] * RD COMPARE * port=0 adr=04 act=246B9237665E22EBAC exp=246B9237665E22EBAC + 50070.50ns INFO [00050072] * RD COMPARE * port=1 adr=04 act=246B9237665E22EBAC exp=246B9237665E22EBAC + 50071.50ns INFO [00050073] * RD COMPARE * port=0 adr=06 act=18AB6D9E43ADDEF1D8 exp=18AB6D9E43ADDEF1D8 + 50071.50ns INFO [00050073] * RD COMPARE * port=1 adr=06 act=18AB6D9E43ADDEF1D8 exp=18AB6D9E43ADDEF1D8 + 50071.50ns INFO [00050073] Port=0 RD @03 + 50072.50ns INFO [00050074] Port=0 WR @04=C643BF31BA795C0B9B + 50073.50ns INFO [00050075] * RD COMPARE * port=0 adr=03 act=4B92F38A37F2A84490 exp=4B92F38A37F2A84490 + 50073.50ns INFO [00050075] Port=0 WR @02=1138BB5B817E6A1538 + 50074.50ns INFO [00050076] Port=0 WR @03=375FB4520FF23EA368 + 50075.50ns INFO [00050077] Port=0 RD @02 + 50076.50ns INFO [00050078] Port=0 WR @04=4DCC3C1C61E667B881 + 50076.50ns INFO [00050078] Port=0 RD @00 + 50076.50ns INFO [00050078] Port=1 RD @07 + 50077.50ns INFO [00050079] * RD COMPARE * port=0 adr=02 act=1138BB5B817E6A1538 exp=1138BB5B817E6A1538 + 50077.50ns INFO [00050079] Port=0 WR @04=971FD606C47A68A1A7 + 50078.50ns INFO [00050080] * RD COMPARE * port=0 adr=00 act=B1C1F690E57E696F0F exp=B1C1F690E57E696F0F + 50078.50ns INFO [00050080] * RD COMPARE * port=1 adr=07 act=787570BA648A469E0C exp=787570BA648A469E0C + 50079.50ns INFO [00050081] Quiescing... + 50089.00ns INFO [00050091] Done. + 50089.00ns INFO [00050091] Final State +[00] B1C1F690E57E696F0F [01] 0670E691046A510695 [02] 1138BB5B817E6A1538 [03] 375FB4520FF23EA368 +[04] 971FD606C47A68A1A7 [05] 35EA4C196DE81488D1 [06] 18AB6D9E43ADDEF1D8 [07] 787570BA648A469E0C +[08] 085555555555555508 [09] 095555555555555509 [0A] 0A555555555555550A [0B] 0B555555555555550B +[0C] 0C555555555555550C [0D] 0D555555555555550D [0E] 0E555555555555550E [0F] 0F555555555555550F +[10] 105555555555555510 [11] 115555555555555511 [12] 125555555555555512 [13] 135555555555555513 +[14] 145555555555555514 [15] 155555555555555515 [16] 165555555555555516 [17] 175555555555555517 +[18] 185555555555555518 [19] 195555555555555519 [1A] 1A555555555555551A [1B] 1B555555555555551B +[1C] 1C555555555555551C [1D] 1D555555555555551D [1E] 1E555555555555551E [1F] 1F555555555555551F +[20] 205555555555555520 [21] 215555555555555521 [22] 225555555555555522 [23] 235555555555555523 +[24] 245555555555555524 [25] 255555555555555525 [26] 265555555555555526 [27] 275555555555555527 +[28] 285555555555555528 [29] 295555555555555529 [2A] 2A555555555555552A [2B] 2B555555555555552B +[2C] 2C555555555555552C [2D] 2D555555555555552D [2E] 2E555555555555552E [2F] 2F555555555555552F +[30] 305555555555555530 [31] 315555555555555531 [32] 325555555555555532 [33] 335555555555555533 +[34] 345555555555555534 [35] 355555555555555535 [36] 365555555555555536 [37] 375555555555555537 +[38] 385555555555555538 [39] 395555555555555539 [3A] 3A555555555555553A [3B] 3B555555555555553B +[3C] 3C555555555555553C [3D] 3D555555555555553D [3E] 3E555555555555553E [3F] 3F555555555555553F + +Reads Port 0: 19962 +Reads Port 1: 19804 +Writes Port 0: 19737 + 50089.00ns INFO [00050091] [00050091] You has opulence. + 50089.00ns INFO tb passed + 50089.00ns INFO ************************************************************************************** + ** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) ** + ************************************************************************************** + ** tb.tb PASS 50089.00 29.86 1677.35 ** + ************************************************************************************** + ** TESTS=0 PASS=1 FAIL=0 SKIP=0 50089.00 29.88 1676.27 ** + ************************************************************************************** + +VCD info: dumpfile test_ra_sdr_64x72.vcd opened for output. +VCD warning: $dumpvars: Package ($unit) is not dumpable with VCD. +make[1]: Leaving directory '/home/wtf/projects/toysram-opf/rtl/sim/coco' +vcd2fst test_ra_sdr_64x72.vcd test_ra_sdr_64x72.fst +rm test_ra_sdr_64x72.vcd diff --git a/rtl/sim/coco/sim_32x32.txt b/rtl/sim/coco/sim_32x32.txt new file mode 100644 index 0000000..8296378 --- /dev/null +++ b/rtl/sim/coco/sim_32x32.txt @@ -0,0 +1,20339 @@ +make -f Makefile_sdr_32x32 results.xml +make[1]: Entering directory '/media/wtf/WD_USBC_4T/projects/toy-sram/rtl/sim/coco' +MODULE=tb TESTCASE=tb_32x32 TOPLEVEL=test_ra_sdr_32x32 TOPLEVEL_LANG=verilog \ + /usr/local/bin/vvp -M /home/wtf/.local/lib/python3.8/site-packages/cocotb/libs -m libcocotbvpi_icarus build_32x32/sim.vvp + -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:76 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter + -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:99 in gpi_print_registered_impl VPI registered + 0.00ns INFO Running on Icarus Verilog version 12.0 (devel) + 0.00ns INFO Running tests with cocotb v1.7.0.dev0 from /home/wtf/.local/lib/python3.8/site-packages/cocotb + 0.00ns INFO Seeding Python random module with 1654704020 + 0.00ns INFO Found test tb.tb_32x32 + 0.00ns INFO running tb_32x32 (1/0) + ToySRAM 32x32 array test + 0.00ns INFO [00000001] [00000001] Resetting... + 9.00ns INFO [00000010] [00000010] Releasing reset. + 25.00ns INFO [00000027] Initializing array... + 25.00ns INFO [00000027] Port=0 WR @00=00555500 + 26.00ns INFO [00000028] Port=0 WR @01=01555501 + 27.00ns INFO [00000029] Port=0 WR @02=02555502 + 28.00ns INFO [00000030] Port=0 WR @03=03555503 + 29.00ns INFO [00000031] Port=0 WR @04=04555504 + 30.00ns INFO [00000032] Port=0 WR @05=05555505 + 31.00ns INFO [00000033] Port=0 WR @06=06555506 + 32.00ns INFO [00000034] Port=0 WR @07=07555507 + 33.00ns INFO [00000035] Port=0 WR @08=08555508 + 34.00ns INFO [00000036] Port=0 WR @09=09555509 + 35.00ns INFO [00000037] Port=0 WR @0A=0A55550A + 36.00ns INFO [00000038] Port=0 WR @0B=0B55550B + 37.00ns INFO [00000039] Port=0 WR @0C=0C55550C + 38.00ns INFO [00000040] Port=0 WR @0D=0D55550D + 39.00ns INFO [00000041] Port=0 WR @0E=0E55550E + 40.00ns INFO [00000042] Port=0 WR @0F=0F55550F + 41.00ns INFO [00000043] Port=0 WR @10=10555510 + 42.00ns INFO [00000044] Port=0 WR @11=11555511 + 43.00ns INFO [00000045] Port=0 WR @12=12555512 + 44.00ns INFO [00000046] Port=0 WR @13=13555513 + 45.00ns INFO [00000047] Port=0 WR @14=14555514 + 46.00ns INFO [00000048] Port=0 WR @15=15555515 + 47.00ns INFO [00000049] Port=0 WR @16=16555516 + 48.00ns INFO [00000050] Port=0 WR @17=17555517 + 49.00ns INFO [00000051] Port=0 WR @18=18555518 + 50.00ns INFO [00000052] Port=0 WR @19=19555519 + 51.00ns INFO [00000053] Port=0 WR @1A=1A55551A + 52.00ns INFO [00000054] Port=0 WR @1B=1B55551B + 53.00ns INFO [00000055] Port=0 WR @1C=1C55551C + 54.00ns INFO [00000056] Port=0 WR @1D=1D55551D + 55.00ns INFO [00000057] Port=0 WR @1E=1E55551E + 56.00ns INFO [00000058] Port=0 WR @1F=1F55551F + 57.00ns INFO [00000059] Running random commands... + 57.50ns INFO [00000059] Port=0 RD @0C + 58.50ns INFO [00000060] Port=0 WR @10=0F827873 + 58.50ns INFO [00000060] Port=0 RD @04 + 58.50ns INFO [00000060] Port=1 RD @01 + 59.50ns INFO [00000061] * RD COMPARE * port=0 adr=0C act=0C55550C exp=0C55550C + 59.50ns INFO [00000061] Port=1 RD @0E + 60.50ns INFO [00000062] * RD COMPARE * port=0 adr=04 act=04555504 exp=04555504 + 60.50ns INFO [00000062] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501 + 60.50ns INFO [00000062] Port=0 WR @17=CB4DA89F + 60.50ns INFO [00000062] Port=0 RD @13 + 61.50ns INFO [00000063] * RD COMPARE * port=1 adr=0E act=0E55550E exp=0E55550E + 61.50ns INFO [00000063] Port=0 RD @1D + 62.50ns INFO [00000064] * RD COMPARE * port=0 adr=13 act=13555513 exp=13555513 + 62.50ns INFO [00000064] Port=1 RD @0C + 63.50ns INFO [00000065] * RD COMPARE * port=0 adr=1D act=1D55551D exp=1D55551D + 63.50ns INFO [00000065] Port=0 RD @17 + 63.50ns INFO [00000065] Port=1 RD @12 + 64.50ns INFO [00000066] * RD COMPARE * port=1 adr=0C act=0C55550C exp=0C55550C + 64.50ns INFO [00000066] Port=0 WR @0B=35B8D525 + 64.50ns INFO [00000066] Port=0 RD @0D + 65.50ns INFO [00000067] * RD COMPARE * port=0 adr=17 act=CB4DA89F exp=CB4DA89F + 65.50ns INFO [00000067] * RD COMPARE * port=1 adr=12 act=12555512 exp=12555512 + 65.50ns INFO [00000067] Port=0 WR @07=C4E47199 + 65.50ns INFO [00000067] Port=0 RD @11 + 65.50ns INFO [00000067] Port=1 RD @11 + 66.50ns INFO [00000068] * RD COMPARE * port=0 adr=0D act=0D55550D exp=0D55550D + 66.50ns INFO [00000068] Port=0 RD @1F + 66.50ns INFO [00000068] Port=1 RD @05 + 67.50ns INFO [00000069] * RD COMPARE * port=0 adr=11 act=11555511 exp=11555511 + 67.50ns INFO [00000069] * RD COMPARE * port=1 adr=11 act=11555511 exp=11555511 + 67.50ns INFO [00000069] Port=0 RD @16 + 67.50ns INFO [00000069] Port=1 RD @1B + 68.50ns INFO [00000070] * RD COMPARE * port=0 adr=1F act=1F55551F exp=1F55551F + 68.50ns INFO [00000070] * RD COMPARE * port=1 adr=05 act=05555505 exp=05555505 + 68.50ns INFO [00000070] Port=0 WR @1A=9F6920AD + 69.50ns INFO [00000071] * RD COMPARE * port=0 adr=16 act=16555516 exp=16555516 + 69.50ns INFO [00000071] * RD COMPARE * port=1 adr=1B act=1B55551B exp=1B55551B + 69.50ns INFO [00000071] Port=0 WR @16=DBC267DD + 69.50ns INFO [00000071] Port=0 RD @01 + 69.50ns INFO [00000071] Port=1 RD @18 + 70.50ns INFO [00000072] Port=0 WR @09=D1F5CD8F + 70.50ns INFO [00000072] Port=0 RD @0C + 71.50ns INFO [00000073] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501 + 71.50ns INFO [00000073] * RD COMPARE * port=1 adr=18 act=18555518 exp=18555518 + 71.50ns INFO [00000073] Port=1 RD @17 + 72.50ns INFO [00000074] * RD COMPARE * port=0 adr=0C act=0C55550C exp=0C55550C + 72.50ns INFO [00000074] Port=0 RD @0C + 72.50ns INFO [00000074] Port=1 RD @0D + 73.50ns INFO [00000075] * RD COMPARE * port=1 adr=17 act=CB4DA89F exp=CB4DA89F + 73.50ns INFO [00000075] Port=0 RD @14 + 74.50ns INFO [00000076] * RD COMPARE * port=0 adr=0C act=0C55550C exp=0C55550C + 74.50ns INFO [00000076] * RD COMPARE * port=1 adr=0D act=0D55550D exp=0D55550D + 74.50ns INFO [00000076] Port=1 RD @0E + 75.50ns INFO [00000077] * RD COMPARE * port=0 adr=14 act=14555514 exp=14555514 + 76.50ns INFO [00000078] * RD COMPARE * port=1 adr=0E act=0E55550E exp=0E55550E + 77.50ns INFO [00000079] Port=0 WR @00=4F5DE6D2 + 77.50ns INFO [00000079] Port=0 RD @1C + 78.50ns INFO [00000080] Port=0 WR @16=408143EA + 78.50ns INFO [00000080] Port=1 RD @0D + 79.50ns INFO [00000081] * RD COMPARE * port=0 adr=1C act=1C55551C exp=1C55551C + 79.50ns INFO [00000081] Port=1 RD @1C + 80.50ns INFO [00000082] * RD COMPARE * port=1 adr=0D act=0D55550D exp=0D55550D + 81.50ns INFO [00000083] * RD COMPARE * port=1 adr=1C act=1C55551C exp=1C55551C + 83.50ns INFO [00000085] Port=0 WR @08=82EC8258 + 83.50ns INFO [00000085] Port=0 RD @12 + 83.50ns INFO [00000085] Port=1 RD @11 + 84.50ns INFO [00000086] Port=0 RD @15 + 85.50ns INFO [00000087] * RD COMPARE * port=0 adr=12 act=12555512 exp=12555512 + 85.50ns INFO [00000087] * RD COMPARE * port=1 adr=11 act=11555511 exp=11555511 + 86.50ns INFO [00000088] * RD COMPARE * port=0 adr=15 act=15555515 exp=15555515 + 87.50ns INFO [00000089] Port=0 WR @07=EC459E20 + 87.50ns INFO [00000089] Port=0 RD @13 + 88.50ns INFO [00000090] Port=0 RD @0E + 89.50ns INFO [00000091] * RD COMPARE * port=0 adr=13 act=13555513 exp=13555513 + 89.50ns INFO [00000091] Port=0 WR @12=2B38ED3E + 89.50ns INFO [00000091] Port=0 RD @07 + 90.50ns INFO [00000092] * RD COMPARE * port=0 adr=0E act=0E55550E exp=0E55550E + 90.50ns INFO [00000092] Port=0 WR @08=92AC2E7B + 90.50ns INFO [00000092] Port=0 RD @12 + 91.50ns INFO [00000093] * RD COMPARE * port=0 adr=07 act=EC459E20 exp=EC459E20 + 91.50ns INFO [00000093] Port=1 RD @01 + 92.50ns INFO [00000094] * RD COMPARE * port=0 adr=12 act=2B38ED3E exp=2B38ED3E + 92.50ns INFO [00000094] Port=0 WR @04=75765FF7 + 93.50ns INFO [00000095] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501 + 93.50ns INFO [00000095] Port=1 RD @0E + 94.50ns INFO [00000096] Port=1 RD @09 + 95.50ns INFO [00000097] * RD COMPARE * port=1 adr=0E act=0E55550E exp=0E55550E + 95.50ns INFO [00000097] Port=0 WR @08=8887094F + 96.50ns INFO [00000098] * RD COMPARE * port=1 adr=09 act=D1F5CD8F exp=D1F5CD8F + 96.50ns INFO [00000098] Port=0 WR @1A=28069984 + 96.50ns INFO [00000098] Port=0 RD @0F + 97.50ns INFO [00000099] Port=0 RD @1B + 97.50ns INFO [00000099] Port=1 RD @15 + 98.00ns INFO [00000100] [00000100] ...tick... + 98.50ns INFO [00000100] * RD COMPARE * port=0 adr=0F act=0F55550F exp=0F55550F + 98.50ns INFO [00000100] Port=0 WR @1F=3A23800B + 98.50ns INFO [00000100] Port=1 RD @09 + 99.50ns INFO [00000101] * RD COMPARE * port=0 adr=1B act=1B55551B exp=1B55551B + 99.50ns INFO [00000101] * RD COMPARE * port=1 adr=15 act=15555515 exp=15555515 + 99.50ns INFO [00000101] Port=0 RD @01 + 99.50ns INFO [00000101] Port=1 RD @01 + 100.50ns INFO [00000102] * RD COMPARE * port=1 adr=09 act=D1F5CD8F exp=D1F5CD8F + 100.50ns INFO [00000102] Port=1 RD @02 + 101.50ns INFO [00000103] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501 + 101.50ns INFO [00000103] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501 + 101.50ns INFO [00000103] Port=0 WR @0C=3BF09D98 + 101.50ns INFO [00000103] Port=0 RD @0E + 102.50ns INFO [00000104] * RD COMPARE * port=1 adr=02 act=02555502 exp=02555502 + 102.50ns INFO [00000104] Port=0 WR @09=EC6AEB67 + 102.50ns INFO [00000104] Port=1 RD @1A + 103.50ns INFO [00000105] * RD COMPARE * port=0 adr=0E act=0E55550E exp=0E55550E + 103.50ns INFO [00000105] Port=0 WR @09=C21580B6 + 103.50ns INFO [00000105] Port=1 RD @1E + 104.50ns INFO [00000106] * RD COMPARE * port=1 adr=1A act=28069984 exp=28069984 + 104.50ns INFO [00000106] Port=0 WR @18=B793C357 + 105.50ns INFO [00000107] * RD COMPARE * port=1 adr=1E act=1E55551E exp=1E55551E + 105.50ns INFO [00000107] Port=0 RD @19 + 105.50ns INFO [00000107] Port=1 RD @13 + 106.50ns INFO [00000108] Port=1 RD @06 + 107.50ns INFO [00000109] * RD COMPARE * port=0 adr=19 act=19555519 exp=19555519 + 107.50ns INFO [00000109] * RD COMPARE * port=1 adr=13 act=13555513 exp=13555513 + 107.50ns INFO [00000109] Port=1 RD @09 + 108.50ns INFO [00000110] * RD COMPARE * port=1 adr=06 act=06555506 exp=06555506 + 109.50ns INFO [00000111] * RD COMPARE * port=1 adr=09 act=C21580B6 exp=C21580B6 + 109.50ns INFO [00000111] Port=1 RD @1A + 111.50ns INFO [00000113] * RD COMPARE * port=1 adr=1A act=28069984 exp=28069984 + 114.50ns INFO [00000116] Port=1 RD @19 + 115.50ns INFO [00000117] Port=0 RD @12 + 115.50ns INFO [00000117] Port=1 RD @0F + 116.50ns INFO [00000118] * RD COMPARE * port=1 adr=19 act=19555519 exp=19555519 + 116.50ns INFO [00000118] Port=0 RD @10 + 117.50ns INFO [00000119] * RD COMPARE * port=0 adr=12 act=2B38ED3E exp=2B38ED3E + 117.50ns INFO [00000119] * RD COMPARE * port=1 adr=0F act=0F55550F exp=0F55550F + 117.50ns INFO [00000119] Port=1 RD @12 + 118.50ns INFO [00000120] * RD COMPARE * port=0 adr=10 act=0F827873 exp=0F827873 + 118.50ns INFO [00000120] Port=0 RD @0F + 118.50ns INFO [00000120] Port=1 RD @08 + 119.50ns INFO [00000121] * RD COMPARE * port=1 adr=12 act=2B38ED3E exp=2B38ED3E + 119.50ns INFO [00000121] Port=0 WR @11=2078089B + 119.50ns INFO [00000121] Port=1 RD @13 + 120.50ns INFO [00000122] * RD COMPARE * port=0 adr=0F act=0F55550F exp=0F55550F + 120.50ns INFO [00000122] * RD COMPARE * port=1 adr=08 act=8887094F exp=8887094F + 120.50ns INFO [00000122] Port=1 RD @0E + 121.50ns INFO [00000123] * RD COMPARE * port=1 adr=13 act=13555513 exp=13555513 + 121.50ns INFO [00000123] Port=0 WR @16=F5CE5A59 + 121.50ns INFO [00000123] Port=1 RD @07 + 122.50ns INFO [00000124] * RD COMPARE * port=1 adr=0E act=0E55550E exp=0E55550E + 122.50ns INFO [00000124] Port=0 RD @01 + 123.50ns INFO [00000125] * RD COMPARE * port=1 adr=07 act=EC459E20 exp=EC459E20 + 123.50ns INFO [00000125] Port=0 RD @09 + 124.50ns INFO [00000126] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501 + 124.50ns INFO [00000126] Port=1 RD @0E + 125.50ns INFO [00000127] * RD COMPARE * port=0 adr=09 act=C21580B6 exp=C21580B6 + 125.50ns INFO [00000127] Port=0 WR @12=3872D36A + 125.50ns INFO [00000127] Port=1 RD @18 + 126.50ns INFO [00000128] * RD COMPARE * port=1 adr=0E act=0E55550E exp=0E55550E + 126.50ns INFO [00000128] Port=0 RD @0D + 127.50ns INFO [00000129] * RD COMPARE * port=1 adr=18 act=B793C357 exp=B793C357 + 128.50ns INFO [00000130] * RD COMPARE * port=0 adr=0D act=0D55550D exp=0D55550D + 129.50ns INFO [00000131] Port=0 WR @0F=CB237B9A + 130.50ns INFO [00000132] Port=0 WR @0C=478B82D0 + 131.50ns INFO [00000133] Port=0 WR @1C=44556E47 + 131.50ns INFO [00000133] Port=0 RD @16 + 132.50ns INFO [00000134] Port=1 RD @10 + 133.50ns INFO [00000135] * RD COMPARE * port=0 adr=16 act=F5CE5A59 exp=F5CE5A59 + 133.50ns INFO [00000135] Port=0 RD @15 + 134.50ns INFO [00000136] * RD COMPARE * port=1 adr=10 act=0F827873 exp=0F827873 + 134.50ns INFO [00000136] Port=0 WR @14=A0BD8E94 + 134.50ns INFO [00000136] Port=1 RD @1F + 135.50ns INFO [00000137] * RD COMPARE * port=0 adr=15 act=15555515 exp=15555515 + 135.50ns INFO [00000137] Port=0 WR @03=3C680566 + 135.50ns INFO [00000137] Port=0 RD @0A + 136.50ns INFO [00000138] * RD COMPARE * port=1 adr=1F act=3A23800B exp=3A23800B + 136.50ns INFO [00000138] Port=0 WR @1F=4C1BEFA9 + 137.50ns INFO [00000139] * RD COMPARE * port=0 adr=0A act=0A55550A exp=0A55550A + 137.50ns INFO [00000139] Port=0 RD @02 + 137.50ns INFO [00000139] Port=1 RD @0D + 139.50ns INFO [00000141] * RD COMPARE * port=0 adr=02 act=02555502 exp=02555502 + 139.50ns INFO [00000141] * RD COMPARE * port=1 adr=0D act=0D55550D exp=0D55550D + 139.50ns INFO [00000141] Port=0 WR @18=799F091B + 140.50ns INFO [00000142] Port=1 RD @05 + 141.50ns INFO [00000143] Port=0 WR @07=085706CD + 142.50ns INFO [00000144] * RD COMPARE * port=1 adr=05 act=05555505 exp=05555505 + 142.50ns INFO [00000144] Port=0 WR @13=3A9F77F0 + 143.50ns INFO [00000145] Port=0 RD @03 + 144.50ns INFO [00000146] Port=0 RD @0A + 144.50ns INFO [00000146] Port=1 RD @11 + 145.50ns INFO [00000147] * RD COMPARE * port=0 adr=03 act=3C680566 exp=3C680566 + 145.50ns INFO [00000147] Port=1 RD @10 + 146.50ns INFO [00000148] * RD COMPARE * port=0 adr=0A act=0A55550A exp=0A55550A + 146.50ns INFO [00000148] * RD COMPARE * port=1 adr=11 act=2078089B exp=2078089B + 146.50ns INFO [00000148] Port=1 RD @07 + 147.50ns INFO [00000149] * RD COMPARE * port=1 adr=10 act=0F827873 exp=0F827873 + 147.50ns INFO [00000149] Port=0 WR @09=13ED67FF + 147.50ns INFO [00000149] Port=0 RD @0A + 148.50ns INFO [00000150] * RD COMPARE * port=1 adr=07 act=085706CD exp=085706CD + 148.50ns INFO [00000150] Port=0 RD @1D + 149.50ns INFO [00000151] * RD COMPARE * port=0 adr=0A act=0A55550A exp=0A55550A + 149.50ns INFO [00000151] Port=0 RD @19 + 150.50ns INFO [00000152] * RD COMPARE * port=0 adr=1D act=1D55551D exp=1D55551D + 150.50ns INFO [00000152] Port=0 RD @04 + 150.50ns INFO [00000152] Port=1 RD @00 + 151.50ns INFO [00000153] * RD COMPARE * port=0 adr=19 act=19555519 exp=19555519 + 151.50ns INFO [00000153] Port=0 RD @18 + 151.50ns INFO [00000153] Port=1 RD @01 + 152.50ns INFO [00000154] * RD COMPARE * port=0 adr=04 act=75765FF7 exp=75765FF7 + 152.50ns INFO [00000154] * RD COMPARE * port=1 adr=00 act=4F5DE6D2 exp=4F5DE6D2 + 152.50ns INFO [00000154] Port=0 WR @0B=CA8D5E0E + 153.50ns INFO [00000155] * RD COMPARE * port=0 adr=18 act=799F091B exp=799F091B + 153.50ns INFO [00000155] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501 + 154.50ns INFO [00000156] Port=0 RD @06 + 156.50ns INFO [00000158] * RD COMPARE * port=0 adr=06 act=06555506 exp=06555506 + 156.50ns INFO [00000158] Port=0 WR @0C=4229CD83 + 157.50ns INFO [00000159] Port=0 RD @1B + 158.50ns INFO [00000160] Port=0 WR @08=CBC7A070 + 158.50ns INFO [00000160] Port=1 RD @1E + 159.50ns INFO [00000161] * RD COMPARE * port=0 adr=1B act=1B55551B exp=1B55551B + 160.50ns INFO [00000162] * RD COMPARE * port=1 adr=1E act=1E55551E exp=1E55551E + 160.50ns INFO [00000162] Port=0 WR @0C=07F7889E + 161.50ns INFO [00000163] Port=0 WR @0F=642AF523 + 162.50ns INFO [00000164] Port=0 WR @0E=E8C32A84 + 162.50ns INFO [00000164] Port=0 RD @04 + 163.50ns INFO [00000165] Port=0 WR @04=CCF4521A + 163.50ns INFO [00000165] Port=1 RD @1C + 164.50ns INFO [00000166] * RD COMPARE * port=0 adr=04 act=75765FF7 exp=75765FF7 + 164.50ns INFO [00000166] Port=0 RD @1B + 164.50ns INFO [00000166] Port=1 RD @14 + 165.50ns INFO [00000167] * RD COMPARE * port=1 adr=1C act=44556E47 exp=44556E47 + 165.50ns INFO [00000167] Port=0 RD @0D + 165.50ns INFO [00000167] Port=1 RD @0E + 166.50ns INFO [00000168] * RD COMPARE * port=0 adr=1B act=1B55551B exp=1B55551B + 166.50ns INFO [00000168] * RD COMPARE * port=1 adr=14 act=A0BD8E94 exp=A0BD8E94 + 167.50ns INFO [00000169] * RD COMPARE * port=0 adr=0D act=0D55550D exp=0D55550D + 167.50ns INFO [00000169] * RD COMPARE * port=1 adr=0E act=E8C32A84 exp=E8C32A84 + 167.50ns INFO [00000169] Port=0 RD @04 + 168.50ns INFO [00000170] Port=0 WR @1E=FF5BA429 + 169.50ns INFO [00000171] * RD COMPARE * port=0 adr=04 act=CCF4521A exp=CCF4521A + 170.50ns INFO [00000172] Port=0 WR @05=CFB6CBE5 + 171.50ns INFO [00000173] Port=1 RD @0D + 172.50ns INFO [00000174] Port=0 WR @0A=6D9C69F7 + 172.50ns INFO [00000174] Port=1 RD @1B + 173.50ns INFO [00000175] * RD COMPARE * port=1 adr=0D act=0D55550D exp=0D55550D + 173.50ns INFO [00000175] Port=0 WR @06=455023E0 + 174.50ns INFO [00000176] * RD COMPARE * port=1 adr=1B act=1B55551B exp=1B55551B + 174.50ns INFO [00000176] Port=0 WR @19=DEB9A509 + 176.50ns INFO [00000178] Port=0 RD @17 + 177.50ns INFO [00000179] Port=0 RD @10 + 177.50ns INFO [00000179] Port=1 RD @18 + 178.50ns INFO [00000180] * RD COMPARE * port=0 adr=17 act=CB4DA89F exp=CB4DA89F + 179.50ns INFO [00000181] * RD COMPARE * port=0 adr=10 act=0F827873 exp=0F827873 + 179.50ns INFO [00000181] * RD COMPARE * port=1 adr=18 act=799F091B exp=799F091B + 179.50ns INFO [00000181] Port=0 WR @1A=4EC1A63C + 179.50ns INFO [00000181] Port=1 RD @0B + 180.50ns INFO [00000182] Port=0 WR @1A=1333A35B + 180.50ns INFO [00000182] Port=0 RD @0D + 180.50ns INFO [00000182] Port=1 RD @19 + 181.50ns INFO [00000183] * RD COMPARE * port=1 adr=0B act=CA8D5E0E exp=CA8D5E0E + 182.50ns INFO [00000184] * RD COMPARE * port=0 adr=0D act=0D55550D exp=0D55550D + 182.50ns INFO [00000184] * RD COMPARE * port=1 adr=19 act=DEB9A509 exp=DEB9A509 + 182.50ns INFO [00000184] Port=0 WR @04=4410F95F + 182.50ns INFO [00000184] Port=1 RD @0F + 183.50ns INFO [00000185] Port=0 RD @17 + 183.50ns INFO [00000185] Port=1 RD @0F + 184.50ns INFO [00000186] * RD COMPARE * port=1 adr=0F act=642AF523 exp=642AF523 + 184.50ns INFO [00000186] Port=0 WR @1D=1AD7E3DF + 184.50ns INFO [00000186] Port=0 RD @1C + 185.50ns INFO [00000187] * RD COMPARE * port=0 adr=17 act=CB4DA89F exp=CB4DA89F + 185.50ns INFO [00000187] * RD COMPARE * port=1 adr=0F act=642AF523 exp=642AF523 + 185.50ns INFO [00000187] Port=0 WR @06=E5D893AD + 185.50ns INFO [00000187] Port=0 RD @18 + 186.50ns INFO [00000188] * RD COMPARE * port=0 adr=1C act=44556E47 exp=44556E47 + 186.50ns INFO [00000188] Port=0 WR @1F=A72A3660 + 186.50ns INFO [00000188] Port=1 RD @0F + 187.50ns INFO [00000189] * RD COMPARE * port=0 adr=18 act=799F091B exp=799F091B + 187.50ns INFO [00000189] Port=0 WR @19=9A684AC2 + 188.50ns INFO [00000190] * RD COMPARE * port=1 adr=0F act=642AF523 exp=642AF523 + 188.50ns INFO [00000190] Port=0 RD @18 + 188.50ns INFO [00000190] Port=1 RD @15 + 189.50ns INFO [00000191] Port=0 WR @1F=E12AD822 + 189.50ns INFO [00000191] Port=0 RD @17 + 190.50ns INFO [00000192] * RD COMPARE * port=0 adr=18 act=799F091B exp=799F091B + 190.50ns INFO [00000192] * RD COMPARE * port=1 adr=15 act=15555515 exp=15555515 + 191.50ns INFO [00000193] * RD COMPARE * port=0 adr=17 act=CB4DA89F exp=CB4DA89F + 191.50ns INFO [00000193] Port=0 WR @1A=F9795629 + 191.50ns INFO [00000193] Port=1 RD @1E + 193.50ns INFO [00000195] * RD COMPARE * port=1 adr=1E act=FF5BA429 exp=FF5BA429 + 193.50ns INFO [00000195] Port=0 WR @17=F6196FBA + 194.50ns INFO [00000196] Port=0 WR @11=D7780140 + 194.50ns INFO [00000196] Port=0 RD @02 + 195.50ns INFO [00000197] Port=0 WR @18=F69DD6D2 + 195.50ns INFO [00000197] Port=0 RD @1B + 195.50ns INFO [00000197] Port=1 RD @13 + 196.50ns INFO [00000198] * RD COMPARE * port=0 adr=02 act=02555502 exp=02555502 + 196.50ns INFO [00000198] Port=0 RD @02 + 197.50ns INFO [00000199] * RD COMPARE * port=0 adr=1B act=1B55551B exp=1B55551B + 197.50ns INFO [00000199] * RD COMPARE * port=1 adr=13 act=3A9F77F0 exp=3A9F77F0 + 197.50ns INFO [00000199] Port=0 WR @1A=2DD9C053 + 198.00ns INFO [00000200] [00000200] ...tick... + 198.50ns INFO [00000200] * RD COMPARE * port=0 adr=02 act=02555502 exp=02555502 + 198.50ns INFO [00000200] Port=1 RD @10 + 199.50ns INFO [00000201] Port=0 WR @1C=7CC4BB2E + 199.50ns INFO [00000201] Port=0 RD @04 + 200.50ns INFO [00000202] * RD COMPARE * port=1 adr=10 act=0F827873 exp=0F827873 + 200.50ns INFO [00000202] Port=0 WR @13=8A26B269 + 200.50ns INFO [00000202] Port=1 RD @10 + 201.50ns INFO [00000203] * RD COMPARE * port=0 adr=04 act=4410F95F exp=4410F95F + 201.50ns INFO [00000203] Port=0 WR @1F=16411FF0 + 202.50ns INFO [00000204] * RD COMPARE * port=1 adr=10 act=0F827873 exp=0F827873 + 202.50ns INFO [00000204] Port=0 WR @1D=CBB90BBA + 202.50ns INFO [00000204] Port=1 RD @07 + 203.50ns INFO [00000205] Port=0 WR @06=33E19AAF + 203.50ns INFO [00000205] Port=1 RD @0A + 204.50ns INFO [00000206] * RD COMPARE * port=1 adr=07 act=085706CD exp=085706CD + 204.50ns INFO [00000206] Port=0 WR @1F=81BE79CD + 205.50ns INFO [00000207] * RD COMPARE * port=1 adr=0A act=6D9C69F7 exp=6D9C69F7 + 205.50ns INFO [00000207] Port=0 WR @15=1329E3B8 + 206.50ns INFO [00000208] Port=0 WR @0A=1B159C15 + 206.50ns INFO [00000208] Port=0 RD @0E + 208.50ns INFO [00000210] * RD COMPARE * port=0 adr=0E act=E8C32A84 exp=E8C32A84 + 208.50ns INFO [00000210] Port=0 WR @19=7DDC4AD4 + 210.50ns INFO [00000212] Port=0 RD @1D + 210.50ns INFO [00000212] Port=1 RD @13 + 211.50ns INFO [00000213] Port=1 RD @10 + 212.50ns INFO [00000214] * RD COMPARE * port=0 adr=1D act=CBB90BBA exp=CBB90BBA + 212.50ns INFO [00000214] * RD COMPARE * port=1 adr=13 act=8A26B269 exp=8A26B269 + 212.50ns INFO [00000214] Port=1 RD @05 + 213.50ns INFO [00000215] * RD COMPARE * port=1 adr=10 act=0F827873 exp=0F827873 + 213.50ns INFO [00000215] Port=0 WR @07=1791F6EE + 213.50ns INFO [00000215] Port=0 RD @1B + 214.50ns INFO [00000216] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 214.50ns INFO [00000216] Port=0 WR @10=0F241BA9 + 215.50ns INFO [00000217] * RD COMPARE * port=0 adr=1B act=1B55551B exp=1B55551B + 215.50ns INFO [00000217] Port=1 RD @06 + 217.50ns INFO [00000219] * RD COMPARE * port=1 adr=06 act=33E19AAF exp=33E19AAF + 217.50ns INFO [00000219] Port=0 RD @09 + 217.50ns INFO [00000219] Port=1 RD @12 + 218.50ns INFO [00000220] Port=0 RD @1A + 218.50ns INFO [00000220] Port=1 RD @05 + 219.50ns INFO [00000221] * RD COMPARE * port=0 adr=09 act=13ED67FF exp=13ED67FF + 219.50ns INFO [00000221] * RD COMPARE * port=1 adr=12 act=3872D36A exp=3872D36A + 219.50ns INFO [00000221] Port=0 RD @05 + 220.50ns INFO [00000222] * RD COMPARE * port=0 adr=1A act=2DD9C053 exp=2DD9C053 + 220.50ns INFO [00000222] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 220.50ns INFO [00000222] Port=0 WR @12=B44162C6 + 220.50ns INFO [00000222] Port=1 RD @05 + 221.50ns INFO [00000223] * RD COMPARE * port=0 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 222.50ns INFO [00000224] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 222.50ns INFO [00000224] Port=1 RD @00 + 223.50ns INFO [00000225] Port=0 WR @0C=86BBA446 + 223.50ns INFO [00000225] Port=0 RD @05 + 223.50ns INFO [00000225] Port=1 RD @15 + 224.50ns INFO [00000226] * RD COMPARE * port=1 adr=00 act=4F5DE6D2 exp=4F5DE6D2 + 224.50ns INFO [00000226] Port=0 WR @14=FE5D5671 + 224.50ns INFO [00000226] Port=0 RD @08 + 225.50ns INFO [00000227] * RD COMPARE * port=0 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 225.50ns INFO [00000227] * RD COMPARE * port=1 adr=15 act=1329E3B8 exp=1329E3B8 + 225.50ns INFO [00000227] Port=1 RD @06 + 226.50ns INFO [00000228] * RD COMPARE * port=0 adr=08 act=CBC7A070 exp=CBC7A070 + 226.50ns INFO [00000228] Port=1 RD @19 + 227.50ns INFO [00000229] * RD COMPARE * port=1 adr=06 act=33E19AAF exp=33E19AAF + 228.50ns INFO [00000230] * RD COMPARE * port=1 adr=19 act=7DDC4AD4 exp=7DDC4AD4 + 228.50ns INFO [00000230] Port=1 RD @1E + 229.50ns INFO [00000231] Port=0 WR @02=B988FCF2 + 230.50ns INFO [00000232] * RD COMPARE * port=1 adr=1E act=FF5BA429 exp=FF5BA429 + 230.50ns INFO [00000232] Port=0 WR @18=76A5FCDD + 230.50ns INFO [00000232] Port=1 RD @10 + 231.50ns INFO [00000233] Port=0 WR @0D=36322D6C + 231.50ns INFO [00000233] Port=0 RD @12 + 231.50ns INFO [00000233] Port=1 RD @04 + 232.50ns INFO [00000234] * RD COMPARE * port=1 adr=10 act=0F241BA9 exp=0F241BA9 + 232.50ns INFO [00000234] Port=0 RD @1C + 233.50ns INFO [00000235] * RD COMPARE * port=0 adr=12 act=B44162C6 exp=B44162C6 + 233.50ns INFO [00000235] * RD COMPARE * port=1 adr=04 act=4410F95F exp=4410F95F + 233.50ns INFO [00000235] Port=1 RD @11 + 234.50ns INFO [00000236] * RD COMPARE * port=0 adr=1C act=7CC4BB2E exp=7CC4BB2E + 235.50ns INFO [00000237] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140 + 237.50ns INFO [00000239] Port=0 WR @02=8F451C55 + 238.50ns INFO [00000240] Port=0 WR @0B=3074FB8B + 238.50ns INFO [00000240] Port=1 RD @09 + 239.50ns INFO [00000241] Port=1 RD @0C + 240.50ns INFO [00000242] * RD COMPARE * port=1 adr=09 act=13ED67FF exp=13ED67FF + 241.50ns INFO [00000243] * RD COMPARE * port=1 adr=0C act=86BBA446 exp=86BBA446 + 241.50ns INFO [00000243] Port=1 RD @0B + 242.50ns INFO [00000244] Port=0 WR @14=47A0422E + 243.50ns INFO [00000245] * RD COMPARE * port=1 adr=0B act=3074FB8B exp=3074FB8B + 243.50ns INFO [00000245] Port=1 RD @1E + 245.50ns INFO [00000247] * RD COMPARE * port=1 adr=1E act=FF5BA429 exp=FF5BA429 + 246.50ns INFO [00000248] Port=0 WR @17=4B44F0B3 + 246.50ns INFO [00000248] Port=1 RD @11 + 248.50ns INFO [00000250] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140 + 249.50ns INFO [00000251] Port=0 WR @0D=451A138E + 250.50ns INFO [00000252] Port=0 RD @07 + 251.50ns INFO [00000253] Port=0 RD @10 + 251.50ns INFO [00000253] Port=1 RD @14 + 252.50ns INFO [00000254] * RD COMPARE * port=0 adr=07 act=1791F6EE exp=1791F6EE + 252.50ns INFO [00000254] Port=0 WR @18=230B909F + 252.50ns INFO [00000254] Port=1 RD @0B + 253.50ns INFO [00000255] * RD COMPARE * port=0 adr=10 act=0F241BA9 exp=0F241BA9 + 253.50ns INFO [00000255] * RD COMPARE * port=1 adr=14 act=47A0422E exp=47A0422E + 253.50ns INFO [00000255] Port=0 RD @0A + 254.50ns INFO [00000256] * RD COMPARE * port=1 adr=0B act=3074FB8B exp=3074FB8B + 254.50ns INFO [00000256] Port=0 RD @18 + 255.50ns INFO [00000257] * RD COMPARE * port=0 adr=0A act=1B159C15 exp=1B159C15 + 255.50ns INFO [00000257] Port=0 RD @19 + 256.50ns INFO [00000258] * RD COMPARE * port=0 adr=18 act=230B909F exp=230B909F + 257.50ns INFO [00000259] * RD COMPARE * port=0 adr=19 act=7DDC4AD4 exp=7DDC4AD4 + 257.50ns INFO [00000259] Port=0 RD @0E + 258.50ns INFO [00000260] Port=0 WR @14=D853EAE6 + 258.50ns INFO [00000260] Port=0 RD @06 + 259.50ns INFO [00000261] * RD COMPARE * port=0 adr=0E act=E8C32A84 exp=E8C32A84 + 259.50ns INFO [00000261] Port=0 WR @10=61676579 + 259.50ns INFO [00000261] Port=1 RD @1C + 260.50ns INFO [00000262] * RD COMPARE * port=0 adr=06 act=33E19AAF exp=33E19AAF + 260.50ns INFO [00000262] Port=0 RD @0B + 260.50ns INFO [00000262] Port=1 RD @16 + 261.50ns INFO [00000263] * RD COMPARE * port=1 adr=1C act=7CC4BB2E exp=7CC4BB2E + 261.50ns INFO [00000263] Port=0 RD @0D + 261.50ns INFO [00000263] Port=1 RD @0D + 262.50ns INFO [00000264] * RD COMPARE * port=0 adr=0B act=3074FB8B exp=3074FB8B + 262.50ns INFO [00000264] * RD COMPARE * port=1 adr=16 act=F5CE5A59 exp=F5CE5A59 + 262.50ns INFO [00000264] Port=0 RD @16 + 263.50ns INFO [00000265] * RD COMPARE * port=0 adr=0D act=451A138E exp=451A138E + 263.50ns INFO [00000265] * RD COMPARE * port=1 adr=0D act=451A138E exp=451A138E + 263.50ns INFO [00000265] Port=0 RD @0C + 263.50ns INFO [00000265] Port=1 RD @17 + 264.50ns INFO [00000266] * RD COMPARE * port=0 adr=16 act=F5CE5A59 exp=F5CE5A59 + 265.50ns INFO [00000267] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446 + 265.50ns INFO [00000267] * RD COMPARE * port=1 adr=17 act=4B44F0B3 exp=4B44F0B3 + 265.50ns INFO [00000267] Port=0 WR @1F=DDEC2FFE + 265.50ns INFO [00000267] Port=0 RD @1D + 266.50ns INFO [00000268] Port=1 RD @1B + 267.50ns INFO [00000269] * RD COMPARE * port=0 adr=1D act=CBB90BBA exp=CBB90BBA + 267.50ns INFO [00000269] Port=1 RD @0A + 268.50ns INFO [00000270] * RD COMPARE * port=1 adr=1B act=1B55551B exp=1B55551B + 268.50ns INFO [00000270] Port=0 RD @1D + 268.50ns INFO [00000270] Port=1 RD @05 + 269.50ns INFO [00000271] * RD COMPARE * port=1 adr=0A act=1B159C15 exp=1B159C15 + 269.50ns INFO [00000271] Port=0 WR @12=3EEC5D36 + 269.50ns INFO [00000271] Port=1 RD @08 + 270.50ns INFO [00000272] * RD COMPARE * port=0 adr=1D act=CBB90BBA exp=CBB90BBA + 270.50ns INFO [00000272] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 270.50ns INFO [00000272] Port=0 RD @17 + 270.50ns INFO [00000272] Port=1 RD @06 + 271.50ns INFO [00000273] * RD COMPARE * port=1 adr=08 act=CBC7A070 exp=CBC7A070 + 272.50ns INFO [00000274] * RD COMPARE * port=0 adr=17 act=4B44F0B3 exp=4B44F0B3 + 272.50ns INFO [00000274] * RD COMPARE * port=1 adr=06 act=33E19AAF exp=33E19AAF + 273.50ns INFO [00000275] Port=1 RD @05 + 274.50ns INFO [00000276] Port=0 RD @12 + 274.50ns INFO [00000276] Port=1 RD @1B + 275.50ns INFO [00000277] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 275.50ns INFO [00000277] Port=0 WR @12=8937C3C7 + 275.50ns INFO [00000277] Port=0 RD @14 + 276.50ns INFO [00000278] * RD COMPARE * port=0 adr=12 act=3EEC5D36 exp=3EEC5D36 + 276.50ns INFO [00000278] * RD COMPARE * port=1 adr=1B act=1B55551B exp=1B55551B + 276.50ns INFO [00000278] Port=0 WR @13=BFC89032 + 276.50ns INFO [00000278] Port=1 RD @0C + 277.50ns INFO [00000279] * RD COMPARE * port=0 adr=14 act=D853EAE6 exp=D853EAE6 + 277.50ns INFO [00000279] Port=0 WR @17=8A2B3624 + 277.50ns INFO [00000279] Port=0 RD @12 + 278.50ns INFO [00000280] * RD COMPARE * port=1 adr=0C act=86BBA446 exp=86BBA446 + 279.50ns INFO [00000281] * RD COMPARE * port=0 adr=12 act=8937C3C7 exp=8937C3C7 + 279.50ns INFO [00000281] Port=0 WR @10=4FB8ECD1 + 282.50ns INFO [00000284] Port=0 WR @1B=A2D94C0C + 282.50ns INFO [00000284] Port=0 RD @13 + 283.50ns INFO [00000285] Port=1 RD @03 + 284.50ns INFO [00000286] * RD COMPARE * port=0 adr=13 act=BFC89032 exp=BFC89032 + 285.50ns INFO [00000287] * RD COMPARE * port=1 adr=03 act=3C680566 exp=3C680566 + 286.50ns INFO [00000288] Port=0 WR @14=03C4FF0A + 286.50ns INFO [00000288] Port=1 RD @1B + 287.50ns INFO [00000289] Port=0 WR @1E=1FC7CC46 + 287.50ns INFO [00000289] Port=0 RD @01 + 288.50ns INFO [00000290] * RD COMPARE * port=1 adr=1B act=A2D94C0C exp=A2D94C0C + 288.50ns INFO [00000290] Port=0 WR @14=E99A5FA0 + 288.50ns INFO [00000290] Port=1 RD @11 + 289.50ns INFO [00000291] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501 + 290.50ns INFO [00000292] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140 + 290.50ns INFO [00000292] Port=0 WR @02=176A6E11 + 290.50ns INFO [00000292] Port=1 RD @12 + 291.50ns INFO [00000293] Port=1 RD @1A + 292.50ns INFO [00000294] * RD COMPARE * port=1 adr=12 act=8937C3C7 exp=8937C3C7 + 293.50ns INFO [00000295] * RD COMPARE * port=1 adr=1A act=2DD9C053 exp=2DD9C053 + 293.50ns INFO [00000295] Port=0 RD @02 + 293.50ns INFO [00000295] Port=1 RD @1B + 294.50ns INFO [00000296] Port=0 RD @0B + 295.50ns INFO [00000297] * RD COMPARE * port=0 adr=02 act=176A6E11 exp=176A6E11 + 295.50ns INFO [00000297] * RD COMPARE * port=1 adr=1B act=A2D94C0C exp=A2D94C0C + 295.50ns INFO [00000297] Port=0 WR @00=04C4FB2E + 295.50ns INFO [00000297] Port=0 RD @1E + 296.50ns INFO [00000298] * RD COMPARE * port=0 adr=0B act=3074FB8B exp=3074FB8B + 297.50ns INFO [00000299] * RD COMPARE * port=0 adr=1E act=1FC7CC46 exp=1FC7CC46 + 297.50ns INFO [00000299] Port=0 RD @18 + 297.50ns INFO [00000299] Port=1 RD @1B + 298.00ns INFO [00000300] [00000300] ...tick... + 298.50ns INFO [00000300] Port=0 WR @1F=17FBE921 + 299.50ns INFO [00000301] * RD COMPARE * port=0 adr=18 act=230B909F exp=230B909F + 299.50ns INFO [00000301] * RD COMPARE * port=1 adr=1B act=A2D94C0C exp=A2D94C0C + 299.50ns INFO [00000301] Port=0 WR @18=5348AAC5 + 299.50ns INFO [00000301] Port=1 RD @11 + 300.50ns INFO [00000302] Port=0 RD @0B + 300.50ns INFO [00000302] Port=1 RD @12 + 301.50ns INFO [00000303] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140 + 302.50ns INFO [00000304] * RD COMPARE * port=0 adr=0B act=3074FB8B exp=3074FB8B + 302.50ns INFO [00000304] * RD COMPARE * port=1 adr=12 act=8937C3C7 exp=8937C3C7 + 302.50ns INFO [00000304] Port=1 RD @1D + 303.50ns INFO [00000305] Port=0 RD @0C + 304.50ns INFO [00000306] * RD COMPARE * port=1 adr=1D act=CBB90BBA exp=CBB90BBA + 305.50ns INFO [00000307] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446 + 305.50ns INFO [00000307] Port=0 RD @1B + 306.50ns INFO [00000308] Port=0 RD @0C + 306.50ns INFO [00000308] Port=1 RD @03 + 307.50ns INFO [00000309] * RD COMPARE * port=0 adr=1B act=A2D94C0C exp=A2D94C0C + 308.50ns INFO [00000310] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446 + 308.50ns INFO [00000310] * RD COMPARE * port=1 adr=03 act=3C680566 exp=3C680566 + 308.50ns INFO [00000310] Port=0 WR @07=8065D2BF + 308.50ns INFO [00000310] Port=0 RD @12 + 309.50ns INFO [00000311] Port=0 WR @03=EC2B93D6 + 310.50ns INFO [00000312] * RD COMPARE * port=0 adr=12 act=8937C3C7 exp=8937C3C7 + 310.50ns INFO [00000312] Port=0 WR @08=517DB549 + 310.50ns INFO [00000312] Port=0 RD @07 + 310.50ns INFO [00000312] Port=1 RD @1D + 311.50ns INFO [00000313] Port=0 WR @09=6E5D7C9F + 311.50ns INFO [00000313] Port=1 RD @1D + 312.50ns INFO [00000314] * RD COMPARE * port=0 adr=07 act=8065D2BF exp=8065D2BF + 312.50ns INFO [00000314] * RD COMPARE * port=1 adr=1D act=CBB90BBA exp=CBB90BBA + 312.50ns INFO [00000314] Port=1 RD @16 + 313.50ns INFO [00000315] * RD COMPARE * port=1 adr=1D act=CBB90BBA exp=CBB90BBA + 313.50ns INFO [00000315] Port=0 WR @12=754CEF96 + 313.50ns INFO [00000315] Port=1 RD @09 + 314.50ns INFO [00000316] * RD COMPARE * port=1 adr=16 act=F5CE5A59 exp=F5CE5A59 + 314.50ns INFO [00000316] Port=0 WR @13=AA1B99D0 + 314.50ns INFO [00000316] Port=1 RD @12 + 315.50ns INFO [00000317] * RD COMPARE * port=1 adr=09 act=6E5D7C9F exp=6E5D7C9F + 315.50ns INFO [00000317] Port=0 RD @01 + 316.50ns INFO [00000318] * RD COMPARE * port=1 adr=12 act=754CEF96 exp=754CEF96 + 316.50ns INFO [00000318] Port=0 WR @1D=52AF5425 + 316.50ns INFO [00000318] Port=1 RD @15 + 317.50ns INFO [00000319] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501 + 318.50ns INFO [00000320] * RD COMPARE * port=1 adr=15 act=1329E3B8 exp=1329E3B8 + 318.50ns INFO [00000320] Port=1 RD @14 + 319.50ns INFO [00000321] Port=1 RD @1C + 320.50ns INFO [00000322] * RD COMPARE * port=1 adr=14 act=E99A5FA0 exp=E99A5FA0 + 320.50ns INFO [00000322] Port=0 RD @0B + 321.50ns INFO [00000323] * RD COMPARE * port=1 adr=1C act=7CC4BB2E exp=7CC4BB2E + 321.50ns INFO [00000323] Port=0 RD @0B + 322.50ns INFO [00000324] * RD COMPARE * port=0 adr=0B act=3074FB8B exp=3074FB8B + 322.50ns INFO [00000324] Port=0 WR @1E=E28B5AFF + 322.50ns INFO [00000324] Port=0 RD @19 + 323.50ns INFO [00000325] * RD COMPARE * port=0 adr=0B act=3074FB8B exp=3074FB8B + 323.50ns INFO [00000325] Port=0 WR @09=93DAE59F + 323.50ns INFO [00000325] Port=0 RD @0E + 324.50ns INFO [00000326] * RD COMPARE * port=0 adr=19 act=7DDC4AD4 exp=7DDC4AD4 + 325.50ns INFO [00000327] * RD COMPARE * port=0 adr=0E act=E8C32A84 exp=E8C32A84 + 325.50ns INFO [00000327] Port=0 RD @0F + 325.50ns INFO [00000327] Port=1 RD @03 + 327.50ns INFO [00000329] * RD COMPARE * port=0 adr=0F act=642AF523 exp=642AF523 + 327.50ns INFO [00000329] * RD COMPARE * port=1 adr=03 act=EC2B93D6 exp=EC2B93D6 + 327.50ns INFO [00000329] Port=0 RD @1F + 328.50ns INFO [00000330] Port=0 WR @19=3DE7EC15 + 329.50ns INFO [00000331] * RD COMPARE * port=0 adr=1F act=17FBE921 exp=17FBE921 + 329.50ns INFO [00000331] Port=0 RD @00 + 330.50ns INFO [00000332] Port=0 WR @02=D6322DEC + 331.50ns INFO [00000333] * RD COMPARE * port=0 adr=00 act=04C4FB2E exp=04C4FB2E + 331.50ns INFO [00000333] Port=0 WR @16=D78398D9 + 331.50ns INFO [00000333] Port=0 RD @0D + 331.50ns INFO [00000333] Port=1 RD @1E + 332.50ns INFO [00000334] Port=0 RD @03 + 333.50ns INFO [00000335] * RD COMPARE * port=0 adr=0D act=451A138E exp=451A138E + 333.50ns INFO [00000335] * RD COMPARE * port=1 adr=1E act=E28B5AFF exp=E28B5AFF + 333.50ns INFO [00000335] Port=0 WR @03=3EB61718 + 334.50ns INFO [00000336] * RD COMPARE * port=0 adr=03 act=EC2B93D6 exp=EC2B93D6 + 334.50ns INFO [00000336] Port=0 WR @1D=9C3E8F5B + 334.50ns INFO [00000336] Port=1 RD @0F + 335.50ns INFO [00000337] Port=0 WR @15=B4BD1D1F + 336.50ns INFO [00000338] * RD COMPARE * port=1 adr=0F act=642AF523 exp=642AF523 + 338.50ns INFO [00000340] Port=0 RD @0A + 339.50ns INFO [00000341] Port=1 RD @1A + 340.50ns INFO [00000342] * RD COMPARE * port=0 adr=0A act=1B159C15 exp=1B159C15 + 340.50ns INFO [00000342] Port=0 RD @1A + 340.50ns INFO [00000342] Port=1 RD @18 + 341.50ns INFO [00000343] * RD COMPARE * port=1 adr=1A act=2DD9C053 exp=2DD9C053 + 341.50ns INFO [00000343] Port=0 WR @16=9964B5C0 + 341.50ns INFO [00000343] Port=1 RD @1B + 342.50ns INFO [00000344] * RD COMPARE * port=0 adr=1A act=2DD9C053 exp=2DD9C053 + 342.50ns INFO [00000344] * RD COMPARE * port=1 adr=18 act=5348AAC5 exp=5348AAC5 + 342.50ns INFO [00000344] Port=0 WR @0B=254C0E9A + 342.50ns INFO [00000344] Port=1 RD @1A + 343.50ns INFO [00000345] * RD COMPARE * port=1 adr=1B act=A2D94C0C exp=A2D94C0C + 344.50ns INFO [00000346] * RD COMPARE * port=1 adr=1A act=2DD9C053 exp=2DD9C053 + 345.50ns INFO [00000347] Port=0 WR @07=4C286842 + 345.50ns INFO [00000347] Port=1 RD @01 + 346.50ns INFO [00000348] Port=0 RD @05 + 346.50ns INFO [00000348] Port=1 RD @16 + 347.50ns INFO [00000349] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501 + 347.50ns INFO [00000349] Port=1 RD @02 + 348.50ns INFO [00000350] * RD COMPARE * port=0 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 348.50ns INFO [00000350] * RD COMPARE * port=1 adr=16 act=9964B5C0 exp=9964B5C0 + 348.50ns INFO [00000350] Port=0 WR @06=E1FF3764 + 349.50ns INFO [00000351] * RD COMPARE * port=1 adr=02 act=D6322DEC exp=D6322DEC + 349.50ns INFO [00000351] Port=0 WR @0A=87EA91C7 + 349.50ns INFO [00000351] Port=0 RD @10 + 351.50ns INFO [00000353] * RD COMPARE * port=0 adr=10 act=4FB8ECD1 exp=4FB8ECD1 + 351.50ns INFO [00000353] Port=0 WR @1F=87C11FCB + 351.50ns INFO [00000353] Port=0 RD @11 + 352.50ns INFO [00000354] Port=0 WR @16=B41DC4DC + 352.50ns INFO [00000354] Port=0 RD @0E + 352.50ns INFO [00000354] Port=1 RD @14 + 353.50ns INFO [00000355] * RD COMPARE * port=0 adr=11 act=D7780140 exp=D7780140 + 353.50ns INFO [00000355] Port=0 RD @15 + 354.50ns INFO [00000356] * RD COMPARE * port=0 adr=0E act=E8C32A84 exp=E8C32A84 + 354.50ns INFO [00000356] * RD COMPARE * port=1 adr=14 act=E99A5FA0 exp=E99A5FA0 + 354.50ns INFO [00000356] Port=0 WR @15=457E1D67 + 354.50ns INFO [00000356] Port=1 RD @01 + 355.50ns INFO [00000357] * RD COMPARE * port=0 adr=15 act=B4BD1D1F exp=B4BD1D1F + 355.50ns INFO [00000357] Port=0 WR @19=8C579C64 + 356.50ns INFO [00000358] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501 + 358.50ns INFO [00000360] Port=0 WR @18=32722347 + 359.50ns INFO [00000361] Port=0 RD @19 + 360.50ns INFO [00000362] Port=0 WR @18=C418F2CE + 360.50ns INFO [00000362] Port=1 RD @00 + 361.50ns INFO [00000363] * RD COMPARE * port=0 adr=19 act=8C579C64 exp=8C579C64 + 361.50ns INFO [00000363] Port=0 RD @06 + 362.50ns INFO [00000364] * RD COMPARE * port=1 adr=00 act=04C4FB2E exp=04C4FB2E + 362.50ns INFO [00000364] Port=1 RD @0D + 363.50ns INFO [00000365] * RD COMPARE * port=0 adr=06 act=E1FF3764 exp=E1FF3764 + 363.50ns INFO [00000365] Port=0 RD @02 + 364.50ns INFO [00000366] * RD COMPARE * port=1 adr=0D act=451A138E exp=451A138E + 364.50ns INFO [00000366] Port=0 WR @07=64EC3B91 + 364.50ns INFO [00000366] Port=0 RD @1E + 364.50ns INFO [00000366] Port=1 RD @0A + 365.50ns INFO [00000367] * RD COMPARE * port=0 adr=02 act=D6322DEC exp=D6322DEC + 365.50ns INFO [00000367] Port=0 WR @06=89237DFB + 366.50ns INFO [00000368] * RD COMPARE * port=0 adr=1E act=E28B5AFF exp=E28B5AFF + 366.50ns INFO [00000368] * RD COMPARE * port=1 adr=0A act=87EA91C7 exp=87EA91C7 + 368.50ns INFO [00000370] Port=0 WR @19=AE2D0D69 + 368.50ns INFO [00000370] Port=1 RD @1A + 369.50ns INFO [00000371] Port=0 WR @1B=7F6255D6 + 370.50ns INFO [00000372] * RD COMPARE * port=1 adr=1A act=2DD9C053 exp=2DD9C053 + 370.50ns INFO [00000372] Port=0 WR @04=6558F368 + 372.50ns INFO [00000374] Port=0 WR @09=970334B6 + 372.50ns INFO [00000374] Port=1 RD @0A + 373.50ns INFO [00000375] Port=0 WR @04=279A4BB4 + 374.50ns INFO [00000376] * RD COMPARE * port=1 adr=0A act=87EA91C7 exp=87EA91C7 + 376.50ns INFO [00000378] Port=0 WR @12=BD0E16C0 + 377.50ns INFO [00000379] Port=0 WR @1F=0162E17C + 377.50ns INFO [00000379] Port=1 RD @0A + 379.50ns INFO [00000381] * RD COMPARE * port=1 adr=0A act=87EA91C7 exp=87EA91C7 + 379.50ns INFO [00000381] Port=0 WR @1E=15B2CF77 + 379.50ns INFO [00000381] Port=0 RD @1C + 379.50ns INFO [00000381] Port=1 RD @04 + 380.50ns INFO [00000382] Port=1 RD @00 + 381.50ns INFO [00000383] * RD COMPARE * port=0 adr=1C act=7CC4BB2E exp=7CC4BB2E + 381.50ns INFO [00000383] * RD COMPARE * port=1 adr=04 act=279A4BB4 exp=279A4BB4 + 381.50ns INFO [00000383] Port=0 WR @04=6899888B + 381.50ns INFO [00000383] Port=0 RD @0E + 381.50ns INFO [00000383] Port=1 RD @11 + 382.50ns INFO [00000384] * RD COMPARE * port=1 adr=00 act=04C4FB2E exp=04C4FB2E + 382.50ns INFO [00000384] Port=0 WR @1C=177CE7CB + 383.50ns INFO [00000385] * RD COMPARE * port=0 adr=0E act=E8C32A84 exp=E8C32A84 + 383.50ns INFO [00000385] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140 + 383.50ns INFO [00000385] Port=0 WR @1A=C3C3FD5D + 383.50ns INFO [00000385] Port=0 RD @01 + 384.50ns INFO [00000386] Port=0 WR @08=6700C23D + 384.50ns INFO [00000386] Port=0 RD @10 + 384.50ns INFO [00000386] Port=1 RD @15 + 385.50ns INFO [00000387] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501 + 385.50ns INFO [00000387] Port=0 WR @03=9144CCAB + 385.50ns INFO [00000387] Port=1 RD @08 + 386.50ns INFO [00000388] * RD COMPARE * port=0 adr=10 act=4FB8ECD1 exp=4FB8ECD1 + 386.50ns INFO [00000388] * RD COMPARE * port=1 adr=15 act=457E1D67 exp=457E1D67 + 386.50ns INFO [00000388] Port=0 RD @14 + 387.50ns INFO [00000389] * RD COMPARE * port=1 adr=08 act=6700C23D exp=6700C23D + 387.50ns INFO [00000389] Port=0 WR @07=F55760A9 + 387.50ns INFO [00000389] Port=0 RD @0C + 387.50ns INFO [00000389] Port=1 RD @1E + 388.50ns INFO [00000390] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0 + 388.50ns INFO [00000390] Port=1 RD @02 + 389.50ns INFO [00000391] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446 + 389.50ns INFO [00000391] * RD COMPARE * port=1 adr=1E act=15B2CF77 exp=15B2CF77 + 389.50ns INFO [00000391] Port=0 RD @02 + 390.50ns INFO [00000392] * RD COMPARE * port=1 adr=02 act=D6322DEC exp=D6322DEC + 390.50ns INFO [00000392] Port=1 RD @15 + 391.50ns INFO [00000393] * RD COMPARE * port=0 adr=02 act=D6322DEC exp=D6322DEC + 391.50ns INFO [00000393] Port=0 WR @1F=686A2F30 + 391.50ns INFO [00000393] Port=1 RD @05 + 392.50ns INFO [00000394] * RD COMPARE * port=1 adr=15 act=457E1D67 exp=457E1D67 + 392.50ns INFO [00000394] Port=0 WR @12=AAC06FA6 + 393.50ns INFO [00000395] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 393.50ns INFO [00000395] Port=0 RD @18 + 394.50ns INFO [00000396] Port=0 RD @00 + 395.50ns INFO [00000397] * RD COMPARE * port=0 adr=18 act=C418F2CE exp=C418F2CE + 395.50ns INFO [00000397] Port=0 WR @0F=30597900 + 396.50ns INFO [00000398] * RD COMPARE * port=0 adr=00 act=04C4FB2E exp=04C4FB2E + 396.50ns INFO [00000398] Port=0 WR @12=6062CB43 + 396.50ns INFO [00000398] Port=1 RD @08 + 397.50ns INFO [00000399] Port=0 WR @06=E5FABF8E + 398.00ns INFO [00000400] [00000400] ...tick... + 398.50ns INFO [00000400] * RD COMPARE * port=1 adr=08 act=6700C23D exp=6700C23D + 399.50ns INFO [00000401] Port=0 RD @1E + 399.50ns INFO [00000401] Port=1 RD @1A + 401.50ns INFO [00000403] * RD COMPARE * port=0 adr=1E act=15B2CF77 exp=15B2CF77 + 401.50ns INFO [00000403] * RD COMPARE * port=1 adr=1A act=C3C3FD5D exp=C3C3FD5D + 401.50ns INFO [00000403] Port=0 RD @1E + 401.50ns INFO [00000403] Port=1 RD @1C + 402.50ns INFO [00000404] Port=1 RD @0F + 403.50ns INFO [00000405] * RD COMPARE * port=0 adr=1E act=15B2CF77 exp=15B2CF77 + 403.50ns INFO [00000405] * RD COMPARE * port=1 adr=1C act=177CE7CB exp=177CE7CB + 403.50ns INFO [00000405] Port=0 RD @0C + 403.50ns INFO [00000405] Port=1 RD @02 + 404.50ns INFO [00000406] * RD COMPARE * port=1 adr=0F act=30597900 exp=30597900 + 404.50ns INFO [00000406] Port=0 RD @17 + 404.50ns INFO [00000406] Port=1 RD @10 + 405.50ns INFO [00000407] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446 + 405.50ns INFO [00000407] * RD COMPARE * port=1 adr=02 act=D6322DEC exp=D6322DEC + 405.50ns INFO [00000407] Port=0 RD @1F + 406.50ns INFO [00000408] * RD COMPARE * port=0 adr=17 act=8A2B3624 exp=8A2B3624 + 406.50ns INFO [00000408] * RD COMPARE * port=1 adr=10 act=4FB8ECD1 exp=4FB8ECD1 + 406.50ns INFO [00000408] Port=0 RD @02 + 406.50ns INFO [00000408] Port=1 RD @0B + 407.50ns INFO [00000409] * RD COMPARE * port=0 adr=1F act=686A2F30 exp=686A2F30 + 407.50ns INFO [00000409] Port=0 RD @1D + 408.50ns INFO [00000410] * RD COMPARE * port=0 adr=02 act=D6322DEC exp=D6322DEC + 408.50ns INFO [00000410] * RD COMPARE * port=1 adr=0B act=254C0E9A exp=254C0E9A + 408.50ns INFO [00000410] Port=0 WR @01=EFAF77E7 + 408.50ns INFO [00000410] Port=1 RD @11 + 409.50ns INFO [00000411] * RD COMPARE * port=0 adr=1D act=9C3E8F5B exp=9C3E8F5B + 409.50ns INFO [00000411] Port=1 RD @04 + 410.50ns INFO [00000412] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140 + 410.50ns INFO [00000412] Port=0 WR @0B=4C6E5F6E + 411.50ns INFO [00000413] * RD COMPARE * port=1 adr=04 act=6899888B exp=6899888B + 411.50ns INFO [00000413] Port=1 RD @05 + 412.50ns INFO [00000414] Port=0 RD @07 + 413.50ns INFO [00000415] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 414.50ns INFO [00000416] * RD COMPARE * port=0 adr=07 act=F55760A9 exp=F55760A9 + 414.50ns INFO [00000416] Port=0 RD @0C + 415.50ns INFO [00000417] Port=0 WR @02=ECC10F58 + 415.50ns INFO [00000417] Port=0 RD @1C + 415.50ns INFO [00000417] Port=1 RD @06 + 416.50ns INFO [00000418] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446 + 416.50ns INFO [00000418] Port=0 WR @12=E70F3F90 + 416.50ns INFO [00000418] Port=1 RD @19 + 417.50ns INFO [00000419] * RD COMPARE * port=0 adr=1C act=177CE7CB exp=177CE7CB + 417.50ns INFO [00000419] * RD COMPARE * port=1 adr=06 act=E5FABF8E exp=E5FABF8E + 418.50ns INFO [00000420] * RD COMPARE * port=1 adr=19 act=AE2D0D69 exp=AE2D0D69 + 418.50ns INFO [00000420] Port=0 WR @1A=DFB7D943 + 418.50ns INFO [00000420] Port=1 RD @1C + 419.50ns INFO [00000421] Port=1 RD @01 + 420.50ns INFO [00000422] * RD COMPARE * port=1 adr=1C act=177CE7CB exp=177CE7CB + 420.50ns INFO [00000422] Port=0 WR @0F=E5560744 + 420.50ns INFO [00000422] Port=1 RD @1B + 421.50ns INFO [00000423] * RD COMPARE * port=1 adr=01 act=EFAF77E7 exp=EFAF77E7 + 422.50ns INFO [00000424] * RD COMPARE * port=1 adr=1B act=7F6255D6 exp=7F6255D6 + 422.50ns INFO [00000424] Port=1 RD @0B + 424.50ns INFO [00000426] * RD COMPARE * port=1 adr=0B act=4C6E5F6E exp=4C6E5F6E + 424.50ns INFO [00000426] Port=1 RD @02 + 426.50ns INFO [00000428] * RD COMPARE * port=1 adr=02 act=ECC10F58 exp=ECC10F58 + 426.50ns INFO [00000428] Port=0 WR @16=20E37709 + 426.50ns INFO [00000428] Port=1 RD @19 + 427.50ns INFO [00000429] Port=0 WR @00=705A09EE + 427.50ns INFO [00000429] Port=0 RD @0B + 427.50ns INFO [00000429] Port=1 RD @17 + 428.50ns INFO [00000430] * RD COMPARE * port=1 adr=19 act=AE2D0D69 exp=AE2D0D69 + 428.50ns INFO [00000430] Port=0 WR @04=97F88A26 + 429.50ns INFO [00000431] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E + 429.50ns INFO [00000431] * RD COMPARE * port=1 adr=17 act=8A2B3624 exp=8A2B3624 + 429.50ns INFO [00000431] Port=1 RD @0B + 430.50ns INFO [00000432] Port=0 RD @0B + 431.50ns INFO [00000433] * RD COMPARE * port=1 adr=0B act=4C6E5F6E exp=4C6E5F6E + 432.50ns INFO [00000434] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E + 433.50ns INFO [00000435] Port=0 RD @18 + 435.50ns INFO [00000437] * RD COMPARE * port=0 adr=18 act=C418F2CE exp=C418F2CE + 435.50ns INFO [00000437] Port=0 WR @0D=074D0235 + 435.50ns INFO [00000437] Port=0 RD @05 + 436.50ns INFO [00000438] Port=0 WR @1A=A3BA8262 + 437.50ns INFO [00000439] * RD COMPARE * port=0 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 437.50ns INFO [00000439] Port=0 WR @0A=65B96CC2 + 437.50ns INFO [00000439] Port=0 RD @18 + 438.50ns INFO [00000440] Port=0 WR @1C=513C8636 + 438.50ns INFO [00000440] Port=0 RD @09 + 439.50ns INFO [00000441] * RD COMPARE * port=0 adr=18 act=C418F2CE exp=C418F2CE + 439.50ns INFO [00000441] Port=0 WR @09=5E7C1E62 + 439.50ns INFO [00000441] Port=0 RD @00 + 439.50ns INFO [00000441] Port=1 RD @05 + 440.50ns INFO [00000442] * RD COMPARE * port=0 adr=09 act=970334B6 exp=970334B6 + 441.50ns INFO [00000443] * RD COMPARE * port=0 adr=00 act=705A09EE exp=705A09EE + 441.50ns INFO [00000443] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5 + 441.50ns INFO [00000443] Port=0 RD @07 + 442.50ns INFO [00000444] Port=0 WR @0A=CDDF8958 + 442.50ns INFO [00000444] Port=0 RD @07 + 443.50ns INFO [00000445] * RD COMPARE * port=0 adr=07 act=F55760A9 exp=F55760A9 + 443.50ns INFO [00000445] Port=0 WR @17=0D5A6915 + 443.50ns INFO [00000445] Port=1 RD @0A + 444.50ns INFO [00000446] * RD COMPARE * port=0 adr=07 act=F55760A9 exp=F55760A9 + 444.50ns INFO [00000446] Port=0 RD @10 + 444.50ns INFO [00000446] Port=1 RD @0D + 445.50ns INFO [00000447] * RD COMPARE * port=1 adr=0A act=CDDF8958 exp=CDDF8958 + 445.50ns INFO [00000447] Port=0 RD @09 + 446.50ns INFO [00000448] * RD COMPARE * port=0 adr=10 act=4FB8ECD1 exp=4FB8ECD1 + 446.50ns INFO [00000448] * RD COMPARE * port=1 adr=0D act=074D0235 exp=074D0235 + 446.50ns INFO [00000448] Port=1 RD @03 + 447.50ns INFO [00000449] * RD COMPARE * port=0 adr=09 act=5E7C1E62 exp=5E7C1E62 + 447.50ns INFO [00000449] Port=0 WR @18=3A0FBB47 + 447.50ns INFO [00000449] Port=0 RD @00 + 448.50ns INFO [00000450] * RD COMPARE * port=1 adr=03 act=9144CCAB exp=9144CCAB + 449.50ns INFO [00000451] * RD COMPARE * port=0 adr=00 act=705A09EE exp=705A09EE + 449.50ns INFO [00000451] Port=0 RD @1C + 450.50ns INFO [00000452] Port=0 WR @09=3783B0A6 + 451.50ns INFO [00000453] * RD COMPARE * port=0 adr=1C act=513C8636 exp=513C8636 + 451.50ns INFO [00000453] Port=0 WR @03=6176D503 + 451.50ns INFO [00000453] Port=1 RD @17 + 452.50ns INFO [00000454] Port=1 RD @12 + 453.50ns INFO [00000455] * RD COMPARE * port=1 adr=17 act=0D5A6915 exp=0D5A6915 + 453.50ns INFO [00000455] Port=0 RD @19 + 454.50ns INFO [00000456] * RD COMPARE * port=1 adr=12 act=E70F3F90 exp=E70F3F90 + 454.50ns INFO [00000456] Port=0 WR @0A=F7824DC7 + 454.50ns INFO [00000456] Port=0 RD @13 + 454.50ns INFO [00000456] Port=1 RD @19 + 455.50ns INFO [00000457] * RD COMPARE * port=0 adr=19 act=AE2D0D69 exp=AE2D0D69 + 455.50ns INFO [00000457] Port=0 RD @1B + 456.50ns INFO [00000458] * RD COMPARE * port=0 adr=13 act=AA1B99D0 exp=AA1B99D0 + 456.50ns INFO [00000458] * RD COMPARE * port=1 adr=19 act=AE2D0D69 exp=AE2D0D69 + 456.50ns INFO [00000458] Port=0 WR @0F=3E080DBE + 456.50ns INFO [00000458] Port=0 RD @0C + 457.50ns INFO [00000459] * RD COMPARE * port=0 adr=1B act=7F6255D6 exp=7F6255D6 + 457.50ns INFO [00000459] Port=0 WR @1F=2DCDD317 + 457.50ns INFO [00000459] Port=0 RD @12 + 458.50ns INFO [00000460] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446 + 458.50ns INFO [00000460] Port=0 WR @08=E234CA75 + 458.50ns INFO [00000460] Port=0 RD @1E + 459.50ns INFO [00000461] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90 + 459.50ns INFO [00000461] Port=1 RD @02 + 460.50ns INFO [00000462] * RD COMPARE * port=0 adr=1E act=15B2CF77 exp=15B2CF77 + 460.50ns INFO [00000462] Port=0 RD @13 + 460.50ns INFO [00000462] Port=1 RD @1E + 461.50ns INFO [00000463] * RD COMPARE * port=1 adr=02 act=ECC10F58 exp=ECC10F58 + 461.50ns INFO [00000463] Port=0 RD @10 + 462.50ns INFO [00000464] * RD COMPARE * port=0 adr=13 act=AA1B99D0 exp=AA1B99D0 + 462.50ns INFO [00000464] * RD COMPARE * port=1 adr=1E act=15B2CF77 exp=15B2CF77 + 462.50ns INFO [00000464] Port=0 WR @0C=647FDB48 + 462.50ns INFO [00000464] Port=0 RD @0D + 462.50ns INFO [00000464] Port=1 RD @13 + 463.50ns INFO [00000465] * RD COMPARE * port=0 adr=10 act=4FB8ECD1 exp=4FB8ECD1 + 463.50ns INFO [00000465] Port=1 RD @06 + 464.50ns INFO [00000466] * RD COMPARE * port=0 adr=0D act=074D0235 exp=074D0235 + 464.50ns INFO [00000466] * RD COMPARE * port=1 adr=13 act=AA1B99D0 exp=AA1B99D0 + 464.50ns INFO [00000466] Port=0 RD @0D + 465.50ns INFO [00000467] * RD COMPARE * port=1 adr=06 act=E5FABF8E exp=E5FABF8E + 465.50ns INFO [00000467] Port=1 RD @00 + 466.50ns INFO [00000468] * RD COMPARE * port=0 adr=0D act=074D0235 exp=074D0235 + 466.50ns INFO [00000468] Port=0 RD @08 + 466.50ns INFO [00000468] Port=1 RD @13 + 467.50ns INFO [00000469] * RD COMPARE * port=1 adr=00 act=705A09EE exp=705A09EE + 467.50ns INFO [00000469] Port=0 WR @0E=39AFCF57 + 468.50ns INFO [00000470] * RD COMPARE * port=0 adr=08 act=E234CA75 exp=E234CA75 + 468.50ns INFO [00000470] * RD COMPARE * port=1 adr=13 act=AA1B99D0 exp=AA1B99D0 + 468.50ns INFO [00000470] Port=0 RD @12 + 468.50ns INFO [00000470] Port=1 RD @02 + 469.50ns INFO [00000471] Port=0 WR @1E=5930939E + 469.50ns INFO [00000471] Port=1 RD @00 + 470.50ns INFO [00000472] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90 + 470.50ns INFO [00000472] * RD COMPARE * port=1 adr=02 act=ECC10F58 exp=ECC10F58 + 470.50ns INFO [00000472] Port=0 WR @15=54D278B8 + 470.50ns INFO [00000472] Port=0 RD @14 + 470.50ns INFO [00000472] Port=1 RD @0C + 471.50ns INFO [00000473] * RD COMPARE * port=1 adr=00 act=705A09EE exp=705A09EE + 472.50ns INFO [00000474] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0 + 472.50ns INFO [00000474] * RD COMPARE * port=1 adr=0C act=647FDB48 exp=647FDB48 + 472.50ns INFO [00000474] Port=0 WR @18=9535988C + 474.50ns INFO [00000476] Port=0 WR @05=90040AD4 + 474.50ns INFO [00000476] Port=1 RD @12 + 475.50ns INFO [00000477] Port=0 WR @1A=819CACDE + 475.50ns INFO [00000477] Port=1 RD @02 + 476.50ns INFO [00000478] * RD COMPARE * port=1 adr=12 act=E70F3F90 exp=E70F3F90 + 476.50ns INFO [00000478] Port=0 WR @13=A55B1D72 + 476.50ns INFO [00000478] Port=0 RD @19 + 476.50ns INFO [00000478] Port=1 RD @11 + 477.50ns INFO [00000479] * RD COMPARE * port=1 adr=02 act=ECC10F58 exp=ECC10F58 + 477.50ns INFO [00000479] Port=0 WR @0D=B792BD0D + 477.50ns INFO [00000479] Port=1 RD @04 + 478.50ns INFO [00000480] * RD COMPARE * port=0 adr=19 act=AE2D0D69 exp=AE2D0D69 + 478.50ns INFO [00000480] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140 + 478.50ns INFO [00000480] Port=0 WR @09=AB0A5268 + 478.50ns INFO [00000480] Port=0 RD @12 + 479.50ns INFO [00000481] * RD COMPARE * port=1 adr=04 act=97F88A26 exp=97F88A26 + 480.50ns INFO [00000482] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90 + 481.50ns INFO [00000483] Port=0 WR @07=FDE8B5C5 + 481.50ns INFO [00000483] Port=0 RD @1C + 481.50ns INFO [00000483] Port=1 RD @09 + 482.50ns INFO [00000484] Port=1 RD @01 + 483.50ns INFO [00000485] * RD COMPARE * port=0 adr=1C act=513C8636 exp=513C8636 + 483.50ns INFO [00000485] * RD COMPARE * port=1 adr=09 act=AB0A5268 exp=AB0A5268 + 484.50ns INFO [00000486] * RD COMPARE * port=1 adr=01 act=EFAF77E7 exp=EFAF77E7 + 485.50ns INFO [00000487] Port=1 RD @0E + 486.50ns INFO [00000488] Port=0 RD @1D + 487.50ns INFO [00000489] * RD COMPARE * port=1 adr=0E act=39AFCF57 exp=39AFCF57 + 487.50ns INFO [00000489] Port=0 RD @03 + 488.50ns INFO [00000490] * RD COMPARE * port=0 adr=1D act=9C3E8F5B exp=9C3E8F5B + 488.50ns INFO [00000490] Port=0 WR @1A=58DB56DE + 489.50ns INFO [00000491] * RD COMPARE * port=0 adr=03 act=6176D503 exp=6176D503 + 489.50ns INFO [00000491] Port=1 RD @13 + 490.50ns INFO [00000492] Port=0 RD @0F + 490.50ns INFO [00000492] Port=1 RD @1C + 491.50ns INFO [00000493] * RD COMPARE * port=1 adr=13 act=A55B1D72 exp=A55B1D72 + 492.50ns INFO [00000494] * RD COMPARE * port=0 adr=0F act=3E080DBE exp=3E080DBE + 492.50ns INFO [00000494] * RD COMPARE * port=1 adr=1C act=513C8636 exp=513C8636 + 492.50ns INFO [00000494] Port=0 WR @06=4FA30D4B + 492.50ns INFO [00000494] Port=1 RD @1E + 493.50ns INFO [00000495] Port=0 WR @02=8581A36D + 493.50ns INFO [00000495] Port=1 RD @0F + 494.50ns INFO [00000496] * RD COMPARE * port=1 adr=1E act=5930939E exp=5930939E + 494.50ns INFO [00000496] Port=1 RD @08 + 495.50ns INFO [00000497] * RD COMPARE * port=1 adr=0F act=3E080DBE exp=3E080DBE + 495.50ns INFO [00000497] Port=0 WR @07=9022C382 + 495.50ns INFO [00000497] Port=0 RD @12 + 496.50ns INFO [00000498] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75 + 496.50ns INFO [00000498] Port=1 RD @15 + 497.50ns INFO [00000499] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90 + 498.00ns INFO [00000500] [00000500] ...tick... + 498.50ns INFO [00000500] * RD COMPARE * port=1 adr=15 act=54D278B8 exp=54D278B8 + 498.50ns INFO [00000500] Port=0 WR @0C=7508611A + 499.50ns INFO [00000501] Port=0 WR @09=A141EDCF + 499.50ns INFO [00000501] Port=0 RD @14 + 500.50ns INFO [00000502] Port=0 WR @13=CA93F408 + 500.50ns INFO [00000502] Port=0 RD @07 + 500.50ns INFO [00000502] Port=1 RD @1A + 501.50ns INFO [00000503] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0 + 502.50ns INFO [00000504] * RD COMPARE * port=0 adr=07 act=9022C382 exp=9022C382 + 502.50ns INFO [00000504] * RD COMPARE * port=1 adr=1A act=58DB56DE exp=58DB56DE + 502.50ns INFO [00000504] Port=0 WR @03=B6EB8960 + 502.50ns INFO [00000504] Port=0 RD @01 + 503.50ns INFO [00000505] Port=0 WR @1F=2AA929D3 + 503.50ns INFO [00000505] Port=0 RD @00 + 504.50ns INFO [00000506] * RD COMPARE * port=0 adr=01 act=EFAF77E7 exp=EFAF77E7 + 504.50ns INFO [00000506] Port=0 RD @0D + 505.50ns INFO [00000507] * RD COMPARE * port=0 adr=00 act=705A09EE exp=705A09EE + 506.50ns INFO [00000508] * RD COMPARE * port=0 adr=0D act=B792BD0D exp=B792BD0D + 507.50ns INFO [00000509] Port=0 WR @00=0319652E + 510.50ns INFO [00000512] Port=0 RD @15 + 511.50ns INFO [00000513] Port=0 WR @06=4C9B6117 + 511.50ns INFO [00000513] Port=1 RD @07 + 512.50ns INFO [00000514] * RD COMPARE * port=0 adr=15 act=54D278B8 exp=54D278B8 + 513.50ns INFO [00000515] * RD COMPARE * port=1 adr=07 act=9022C382 exp=9022C382 + 513.50ns INFO [00000515] Port=0 WR @1C=451DAFE5 + 513.50ns INFO [00000515] Port=0 RD @17 + 514.50ns INFO [00000516] Port=1 RD @00 + 515.50ns INFO [00000517] * RD COMPARE * port=0 adr=17 act=0D5A6915 exp=0D5A6915 + 516.50ns INFO [00000518] * RD COMPARE * port=1 adr=00 act=0319652E exp=0319652E + 517.50ns INFO [00000519] Port=0 WR @05=0F8AEAC2 + 517.50ns INFO [00000519] Port=0 RD @03 + 518.50ns INFO [00000520] Port=0 WR @15=25190278 + 518.50ns INFO [00000520] Port=0 RD @00 + 519.50ns INFO [00000521] * RD COMPARE * port=0 adr=03 act=B6EB8960 exp=B6EB8960 + 519.50ns INFO [00000521] Port=0 RD @03 + 520.50ns INFO [00000522] * RD COMPARE * port=0 adr=00 act=0319652E exp=0319652E + 520.50ns INFO [00000522] Port=0 WR @09=13EC65A2 + 521.50ns INFO [00000523] * RD COMPARE * port=0 adr=03 act=B6EB8960 exp=B6EB8960 + 523.50ns INFO [00000525] Port=0 WR @1E=B5EE1A53 + 523.50ns INFO [00000525] Port=1 RD @09 + 525.50ns INFO [00000527] * RD COMPARE * port=1 adr=09 act=13EC65A2 exp=13EC65A2 + 525.50ns INFO [00000527] Port=1 RD @05 + 526.50ns INFO [00000528] Port=0 WR @1E=10500125 + 526.50ns INFO [00000528] Port=0 RD @0A + 526.50ns INFO [00000528] Port=1 RD @1B + 527.50ns INFO [00000529] * RD COMPARE * port=1 adr=05 act=0F8AEAC2 exp=0F8AEAC2 + 528.50ns INFO [00000530] * RD COMPARE * port=0 adr=0A act=F7824DC7 exp=F7824DC7 + 528.50ns INFO [00000530] * RD COMPARE * port=1 adr=1B act=7F6255D6 exp=7F6255D6 + 528.50ns INFO [00000530] Port=0 RD @0D + 529.50ns INFO [00000531] Port=0 RD @0C + 529.50ns INFO [00000531] Port=1 RD @0E + 530.50ns INFO [00000532] * RD COMPARE * port=0 adr=0D act=B792BD0D exp=B792BD0D + 530.50ns INFO [00000532] Port=0 WR @0E=075D1A22 + 530.50ns INFO [00000532] Port=0 RD @07 + 531.50ns INFO [00000533] * RD COMPARE * port=0 adr=0C act=7508611A exp=7508611A + 531.50ns INFO [00000533] * RD COMPARE * port=1 adr=0E act=39AFCF57 exp=39AFCF57 + 531.50ns INFO [00000533] Port=0 RD @13 + 531.50ns INFO [00000533] Port=1 RD @00 + 532.50ns INFO [00000534] * RD COMPARE * port=0 adr=07 act=9022C382 exp=9022C382 + 532.50ns INFO [00000534] Port=0 RD @18 + 533.50ns INFO [00000535] * RD COMPARE * port=0 adr=13 act=CA93F408 exp=CA93F408 + 533.50ns INFO [00000535] * RD COMPARE * port=1 adr=00 act=0319652E exp=0319652E + 533.50ns INFO [00000535] Port=0 RD @1F + 533.50ns INFO [00000535] Port=1 RD @06 + 534.50ns INFO [00000536] * RD COMPARE * port=0 adr=18 act=9535988C exp=9535988C + 534.50ns INFO [00000536] Port=0 WR @15=2FEBED40 + 535.50ns INFO [00000537] * RD COMPARE * port=0 adr=1F act=2AA929D3 exp=2AA929D3 + 535.50ns INFO [00000537] * RD COMPARE * port=1 adr=06 act=4C9B6117 exp=4C9B6117 + 535.50ns INFO [00000537] Port=0 RD @14 + 535.50ns INFO [00000537] Port=1 RD @05 + 536.50ns INFO [00000538] Port=0 RD @06 + 536.50ns INFO [00000538] Port=1 RD @08 + 537.50ns INFO [00000539] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0 + 537.50ns INFO [00000539] * RD COMPARE * port=1 adr=05 act=0F8AEAC2 exp=0F8AEAC2 + 538.50ns INFO [00000540] * RD COMPARE * port=0 adr=06 act=4C9B6117 exp=4C9B6117 + 538.50ns INFO [00000540] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75 + 538.50ns INFO [00000540] Port=0 WR @17=483DF17B + 540.50ns INFO [00000542] Port=0 RD @14 + 541.50ns INFO [00000543] Port=0 WR @18=32CEA4F7 + 541.50ns INFO [00000543] Port=1 RD @19 + 542.50ns INFO [00000544] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0 + 543.50ns INFO [00000545] * RD COMPARE * port=1 adr=19 act=AE2D0D69 exp=AE2D0D69 + 543.50ns INFO [00000545] Port=0 RD @01 + 544.50ns INFO [00000546] Port=0 WR @0D=0567D43B + 545.50ns INFO [00000547] * RD COMPARE * port=0 adr=01 act=EFAF77E7 exp=EFAF77E7 + 545.50ns INFO [00000547] Port=0 WR @0E=54674895 + 545.50ns INFO [00000547] Port=0 RD @1B + 546.50ns INFO [00000548] Port=0 WR @1C=F1F83858 + 547.50ns INFO [00000549] * RD COMPARE * port=0 adr=1B act=7F6255D6 exp=7F6255D6 + 547.50ns INFO [00000549] Port=0 WR @01=5B0A83F3 + 548.50ns INFO [00000550] Port=0 WR @18=B6E63C0E + 548.50ns INFO [00000550] Port=1 RD @1F + 549.50ns INFO [00000551] Port=0 RD @07 + 549.50ns INFO [00000551] Port=1 RD @0C + 550.50ns INFO [00000552] * RD COMPARE * port=1 adr=1F act=2AA929D3 exp=2AA929D3 + 550.50ns INFO [00000552] Port=0 WR @04=366394FF + 551.50ns INFO [00000553] * RD COMPARE * port=0 adr=07 act=9022C382 exp=9022C382 + 551.50ns INFO [00000553] * RD COMPARE * port=1 adr=0C act=7508611A exp=7508611A + 552.50ns INFO [00000554] Port=0 WR @07=0FAF2035 + 552.50ns INFO [00000554] Port=0 RD @12 + 553.50ns INFO [00000555] Port=0 WR @0F=F0E77F52 + 553.50ns INFO [00000555] Port=0 RD @03 + 554.50ns INFO [00000556] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90 + 554.50ns INFO [00000556] Port=1 RD @1E + 555.50ns INFO [00000557] * RD COMPARE * port=0 adr=03 act=B6EB8960 exp=B6EB8960 + 555.50ns INFO [00000557] Port=0 RD @02 + 556.50ns INFO [00000558] * RD COMPARE * port=1 adr=1E act=10500125 exp=10500125 + 556.50ns INFO [00000558] Port=0 WR @0F=42A9EE34 + 556.50ns INFO [00000558] Port=0 RD @15 + 557.50ns INFO [00000559] * RD COMPARE * port=0 adr=02 act=8581A36D exp=8581A36D + 557.50ns INFO [00000559] Port=0 RD @19 + 557.50ns INFO [00000559] Port=1 RD @0E + 558.50ns INFO [00000560] * RD COMPARE * port=0 adr=15 act=2FEBED40 exp=2FEBED40 + 558.50ns INFO [00000560] Port=0 WR @03=C737CB38 + 558.50ns INFO [00000560] Port=0 RD @07 + 559.50ns INFO [00000561] * RD COMPARE * port=0 adr=19 act=AE2D0D69 exp=AE2D0D69 + 559.50ns INFO [00000561] * RD COMPARE * port=1 adr=0E act=54674895 exp=54674895 + 560.50ns INFO [00000562] * RD COMPARE * port=0 adr=07 act=0FAF2035 exp=0FAF2035 + 560.50ns INFO [00000562] Port=1 RD @1D + 561.50ns INFO [00000563] Port=0 WR @0E=D5D74B55 + 562.50ns INFO [00000564] * RD COMPARE * port=1 adr=1D act=9C3E8F5B exp=9C3E8F5B + 563.50ns INFO [00000565] Port=0 RD @13 + 564.50ns INFO [00000566] Port=0 WR @02=38A2986F + 564.50ns INFO [00000566] Port=1 RD @01 + 565.50ns INFO [00000567] * RD COMPARE * port=0 adr=13 act=CA93F408 exp=CA93F408 + 565.50ns INFO [00000567] Port=0 WR @0D=9C7D2866 + 566.50ns INFO [00000568] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3 + 566.50ns INFO [00000568] Port=0 WR @1A=FC39A170 + 566.50ns INFO [00000568] Port=1 RD @1B + 568.50ns INFO [00000570] * RD COMPARE * port=1 adr=1B act=7F6255D6 exp=7F6255D6 + 568.50ns INFO [00000570] Port=0 RD @0C + 570.50ns INFO [00000572] * RD COMPARE * port=0 adr=0C act=7508611A exp=7508611A + 570.50ns INFO [00000572] Port=0 RD @1D + 571.50ns INFO [00000573] Port=0 WR @19=3B809191 + 571.50ns INFO [00000573] Port=1 RD @1E + 572.50ns INFO [00000574] * RD COMPARE * port=0 adr=1D act=9C3E8F5B exp=9C3E8F5B + 573.50ns INFO [00000575] * RD COMPARE * port=1 adr=1E act=10500125 exp=10500125 + 573.50ns INFO [00000575] Port=0 WR @13=6871ECF2 + 573.50ns INFO [00000575] Port=0 RD @01 + 573.50ns INFO [00000575] Port=1 RD @17 + 574.50ns INFO [00000576] Port=0 WR @16=B888BB63 + 574.50ns INFO [00000576] Port=1 RD @05 + 575.50ns INFO [00000577] * RD COMPARE * port=0 adr=01 act=5B0A83F3 exp=5B0A83F3 + 575.50ns INFO [00000577] * RD COMPARE * port=1 adr=17 act=483DF17B exp=483DF17B + 575.50ns INFO [00000577] Port=0 WR @18=EA472A46 + 576.50ns INFO [00000578] * RD COMPARE * port=1 adr=05 act=0F8AEAC2 exp=0F8AEAC2 + 577.50ns INFO [00000579] Port=0 WR @02=DF75EAC6 + 577.50ns INFO [00000579] Port=0 RD @09 + 577.50ns INFO [00000579] Port=1 RD @12 + 578.50ns INFO [00000580] Port=0 WR @0A=96585474 + 579.50ns INFO [00000581] * RD COMPARE * port=0 adr=09 act=13EC65A2 exp=13EC65A2 + 579.50ns INFO [00000581] * RD COMPARE * port=1 adr=12 act=E70F3F90 exp=E70F3F90 + 580.50ns INFO [00000582] Port=0 WR @0E=76AB2312 + 580.50ns INFO [00000582] Port=1 RD @10 + 581.50ns INFO [00000583] Port=0 WR @03=CACDC0F2 + 582.50ns INFO [00000584] * RD COMPARE * port=1 adr=10 act=4FB8ECD1 exp=4FB8ECD1 + 582.50ns INFO [00000584] Port=1 RD @17 + 584.50ns INFO [00000586] * RD COMPARE * port=1 adr=17 act=483DF17B exp=483DF17B + 584.50ns INFO [00000586] Port=0 RD @00 + 586.50ns INFO [00000588] * RD COMPARE * port=0 adr=00 act=0319652E exp=0319652E + 586.50ns INFO [00000588] Port=1 RD @18 + 588.50ns INFO [00000590] * RD COMPARE * port=1 adr=18 act=EA472A46 exp=EA472A46 + 588.50ns INFO [00000590] Port=0 RD @18 + 589.50ns INFO [00000591] Port=1 RD @03 + 590.50ns INFO [00000592] * RD COMPARE * port=0 adr=18 act=EA472A46 exp=EA472A46 + 590.50ns INFO [00000592] Port=0 RD @19 + 591.50ns INFO [00000593] * RD COMPARE * port=1 adr=03 act=CACDC0F2 exp=CACDC0F2 + 591.50ns INFO [00000593] Port=0 WR @18=8932D612 + 592.50ns INFO [00000594] * RD COMPARE * port=0 adr=19 act=3B809191 exp=3B809191 + 593.50ns INFO [00000595] Port=1 RD @1A + 594.50ns INFO [00000596] Port=1 RD @01 + 595.50ns INFO [00000597] * RD COMPARE * port=1 adr=1A act=FC39A170 exp=FC39A170 + 595.50ns INFO [00000597] Port=1 RD @08 + 596.50ns INFO [00000598] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3 + 596.50ns INFO [00000598] Port=0 RD @0F + 597.50ns INFO [00000599] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75 + 597.50ns INFO [00000599] Port=0 WR @1D=7778404B + 597.50ns INFO [00000599] Port=0 RD @02 + 597.50ns INFO [00000599] Port=1 RD @00 + 598.00ns INFO [00000600] [00000600] ...tick... + 598.50ns INFO [00000600] * RD COMPARE * port=0 adr=0F act=42A9EE34 exp=42A9EE34 + 599.50ns INFO [00000601] * RD COMPARE * port=0 adr=02 act=DF75EAC6 exp=DF75EAC6 + 599.50ns INFO [00000601] * RD COMPARE * port=1 adr=00 act=0319652E exp=0319652E + 600.50ns INFO [00000602] Port=0 RD @1A + 602.50ns INFO [00000604] * RD COMPARE * port=0 adr=1A act=FC39A170 exp=FC39A170 + 602.50ns INFO [00000604] Port=0 WR @1B=BF2A12EC + 602.50ns INFO [00000604] Port=1 RD @16 + 603.50ns INFO [00000605] Port=0 WR @0F=85272035 + 603.50ns INFO [00000605] Port=1 RD @16 + 604.50ns INFO [00000606] * RD COMPARE * port=1 adr=16 act=B888BB63 exp=B888BB63 + 604.50ns INFO [00000606] Port=0 RD @1B + 604.50ns INFO [00000606] Port=1 RD @0C + 605.50ns INFO [00000607] * RD COMPARE * port=1 adr=16 act=B888BB63 exp=B888BB63 + 605.50ns INFO [00000607] Port=0 WR @18=646111CD + 605.50ns INFO [00000607] Port=0 RD @19 + 605.50ns INFO [00000607] Port=1 RD @06 + 606.50ns INFO [00000608] * RD COMPARE * port=0 adr=1B act=BF2A12EC exp=BF2A12EC + 606.50ns INFO [00000608] * RD COMPARE * port=1 adr=0C act=7508611A exp=7508611A + 606.50ns INFO [00000608] Port=0 RD @1D + 606.50ns INFO [00000608] Port=1 RD @08 + 607.50ns INFO [00000609] * RD COMPARE * port=0 adr=19 act=3B809191 exp=3B809191 + 607.50ns INFO [00000609] * RD COMPARE * port=1 adr=06 act=4C9B6117 exp=4C9B6117 + 607.50ns INFO [00000609] Port=0 RD @07 + 607.50ns INFO [00000609] Port=1 RD @1E + 608.50ns INFO [00000610] * RD COMPARE * port=0 adr=1D act=7778404B exp=7778404B + 608.50ns INFO [00000610] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75 + 608.50ns INFO [00000610] Port=0 RD @10 + 608.50ns INFO [00000610] Port=1 RD @14 + 609.50ns INFO [00000611] * RD COMPARE * port=0 adr=07 act=0FAF2035 exp=0FAF2035 + 609.50ns INFO [00000611] * RD COMPARE * port=1 adr=1E act=10500125 exp=10500125 + 610.50ns INFO [00000612] * RD COMPARE * port=0 adr=10 act=4FB8ECD1 exp=4FB8ECD1 + 610.50ns INFO [00000612] * RD COMPARE * port=1 adr=14 act=E99A5FA0 exp=E99A5FA0 + 610.50ns INFO [00000612] Port=0 WR @0C=9BB58951 + 611.50ns INFO [00000613] Port=0 RD @00 + 611.50ns INFO [00000613] Port=1 RD @0C + 612.50ns INFO [00000614] Port=1 RD @1D + 613.50ns INFO [00000615] * RD COMPARE * port=0 adr=00 act=0319652E exp=0319652E + 613.50ns INFO [00000615] * RD COMPARE * port=1 adr=0C act=9BB58951 exp=9BB58951 + 613.50ns INFO [00000615] Port=0 WR @0D=61BB2994 + 613.50ns INFO [00000615] Port=0 RD @12 + 614.50ns INFO [00000616] * RD COMPARE * port=1 adr=1D act=7778404B exp=7778404B + 614.50ns INFO [00000616] Port=0 RD @1E + 614.50ns INFO [00000616] Port=1 RD @05 + 615.50ns INFO [00000617] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90 + 615.50ns INFO [00000617] Port=0 RD @06 + 616.50ns INFO [00000618] * RD COMPARE * port=0 adr=1E act=10500125 exp=10500125 + 616.50ns INFO [00000618] * RD COMPARE * port=1 adr=05 act=0F8AEAC2 exp=0F8AEAC2 + 616.50ns INFO [00000618] Port=1 RD @12 + 617.50ns INFO [00000619] * RD COMPARE * port=0 adr=06 act=4C9B6117 exp=4C9B6117 + 617.50ns INFO [00000619] Port=1 RD @19 + 618.50ns INFO [00000620] * RD COMPARE * port=1 adr=12 act=E70F3F90 exp=E70F3F90 + 618.50ns INFO [00000620] Port=1 RD @0C + 619.50ns INFO [00000621] * RD COMPARE * port=1 adr=19 act=3B809191 exp=3B809191 + 619.50ns INFO [00000621] Port=1 RD @1F + 620.50ns INFO [00000622] * RD COMPARE * port=1 adr=0C act=9BB58951 exp=9BB58951 + 620.50ns INFO [00000622] Port=0 WR @09=A94AF864 + 620.50ns INFO [00000622] Port=1 RD @0F + 621.50ns INFO [00000623] * RD COMPARE * port=1 adr=1F act=2AA929D3 exp=2AA929D3 + 621.50ns INFO [00000623] Port=0 WR @10=6B8A48DA + 621.50ns INFO [00000623] Port=1 RD @06 + 622.50ns INFO [00000624] * RD COMPARE * port=1 adr=0F act=85272035 exp=85272035 + 622.50ns INFO [00000624] Port=1 RD @01 + 623.50ns INFO [00000625] * RD COMPARE * port=1 adr=06 act=4C9B6117 exp=4C9B6117 + 624.50ns INFO [00000626] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3 + 624.50ns INFO [00000626] Port=0 WR @0F=C058A510 + 625.50ns INFO [00000627] Port=0 WR @11=71428622 + 626.50ns INFO [00000628] Port=0 RD @08 + 627.50ns INFO [00000629] Port=1 RD @01 + 628.50ns INFO [00000630] * RD COMPARE * port=0 adr=08 act=E234CA75 exp=E234CA75 + 628.50ns INFO [00000630] Port=0 WR @1A=E61692AF + 629.50ns INFO [00000631] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3 + 629.50ns INFO [00000631] Port=0 RD @0A + 630.50ns INFO [00000632] Port=0 RD @1E + 630.50ns INFO [00000632] Port=1 RD @10 + 631.50ns INFO [00000633] * RD COMPARE * port=0 adr=0A act=96585474 exp=96585474 + 632.50ns INFO [00000634] * RD COMPARE * port=0 adr=1E act=10500125 exp=10500125 + 632.50ns INFO [00000634] * RD COMPARE * port=1 adr=10 act=6B8A48DA exp=6B8A48DA + 632.50ns INFO [00000634] Port=1 RD @08 + 634.50ns INFO [00000636] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75 + 635.50ns INFO [00000637] Port=0 RD @10 + 635.50ns INFO [00000637] Port=1 RD @1F + 636.50ns INFO [00000638] Port=0 WR @05=6F40ECB5 + 636.50ns INFO [00000638] Port=0 RD @10 + 637.50ns INFO [00000639] * RD COMPARE * port=0 adr=10 act=6B8A48DA exp=6B8A48DA + 637.50ns INFO [00000639] * RD COMPARE * port=1 adr=1F act=2AA929D3 exp=2AA929D3 + 638.50ns INFO [00000640] * RD COMPARE * port=0 adr=10 act=6B8A48DA exp=6B8A48DA + 638.50ns INFO [00000640] Port=0 RD @19 + 638.50ns INFO [00000640] Port=1 RD @08 + 639.50ns INFO [00000641] Port=0 WR @18=5FDE9A62 + 640.50ns INFO [00000642] * RD COMPARE * port=0 adr=19 act=3B809191 exp=3B809191 + 640.50ns INFO [00000642] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75 + 640.50ns INFO [00000642] Port=0 WR @0A=BFF1A335 + 640.50ns INFO [00000642] Port=0 RD @02 + 641.50ns INFO [00000643] Port=0 WR @0D=ECFB7E75 + 641.50ns INFO [00000643] Port=1 RD @17 + 642.50ns INFO [00000644] * RD COMPARE * port=0 adr=02 act=DF75EAC6 exp=DF75EAC6 + 642.50ns INFO [00000644] Port=0 WR @05=98CE82CB + 643.50ns INFO [00000645] * RD COMPARE * port=1 adr=17 act=483DF17B exp=483DF17B + 643.50ns INFO [00000645] Port=1 RD @18 + 645.50ns INFO [00000647] * RD COMPARE * port=1 adr=18 act=5FDE9A62 exp=5FDE9A62 + 646.50ns INFO [00000648] Port=0 RD @14 + 648.50ns INFO [00000650] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0 + 648.50ns INFO [00000650] Port=0 RD @1D + 648.50ns INFO [00000650] Port=1 RD @07 + 649.50ns INFO [00000651] Port=1 RD @0C + 650.50ns INFO [00000652] * RD COMPARE * port=0 adr=1D act=7778404B exp=7778404B + 650.50ns INFO [00000652] * RD COMPARE * port=1 adr=07 act=0FAF2035 exp=0FAF2035 + 651.50ns INFO [00000653] * RD COMPARE * port=1 adr=0C act=9BB58951 exp=9BB58951 + 652.50ns INFO [00000654] Port=0 RD @05 + 653.50ns INFO [00000655] Port=0 WR @05=FD165360 + 653.50ns INFO [00000655] Port=0 RD @17 + 654.50ns INFO [00000656] * RD COMPARE * port=0 adr=05 act=98CE82CB exp=98CE82CB + 654.50ns INFO [00000656] Port=0 WR @0E=AA10DA92 + 654.50ns INFO [00000656] Port=0 RD @08 + 654.50ns INFO [00000656] Port=1 RD @0C + 655.50ns INFO [00000657] * RD COMPARE * port=0 adr=17 act=483DF17B exp=483DF17B + 655.50ns INFO [00000657] Port=0 WR @03=279C7AC8 + 655.50ns INFO [00000657] Port=0 RD @1C + 655.50ns INFO [00000657] Port=1 RD @04 + 656.50ns INFO [00000658] * RD COMPARE * port=0 adr=08 act=E234CA75 exp=E234CA75 + 656.50ns INFO [00000658] * RD COMPARE * port=1 adr=0C act=9BB58951 exp=9BB58951 + 656.50ns INFO [00000658] Port=0 WR @1A=5E131860 + 657.50ns INFO [00000659] * RD COMPARE * port=0 adr=1C act=F1F83858 exp=F1F83858 + 657.50ns INFO [00000659] * RD COMPARE * port=1 adr=04 act=366394FF exp=366394FF + 657.50ns INFO [00000659] Port=0 WR @05=DD74ACF0 + 657.50ns INFO [00000659] Port=0 RD @1B + 658.50ns INFO [00000660] Port=0 RD @00 + 659.50ns INFO [00000661] * RD COMPARE * port=0 adr=1B act=BF2A12EC exp=BF2A12EC + 659.50ns INFO [00000661] Port=0 RD @1E + 659.50ns INFO [00000661] Port=1 RD @17 + 660.50ns INFO [00000662] * RD COMPARE * port=0 adr=00 act=0319652E exp=0319652E + 660.50ns INFO [00000662] Port=0 WR @04=DB7FFD5A + 661.50ns INFO [00000663] * RD COMPARE * port=0 adr=1E act=10500125 exp=10500125 + 661.50ns INFO [00000663] * RD COMPARE * port=1 adr=17 act=483DF17B exp=483DF17B + 661.50ns INFO [00000663] Port=0 WR @04=C7062ACE + 662.50ns INFO [00000664] Port=0 WR @07=8EB2887F + 662.50ns INFO [00000664] Port=1 RD @1E + 663.50ns INFO [00000665] Port=0 WR @13=9094262E + 663.50ns INFO [00000665] Port=1 RD @1F + 664.50ns INFO [00000666] * RD COMPARE * port=1 adr=1E act=10500125 exp=10500125 + 664.50ns INFO [00000666] Port=0 RD @0E + 665.50ns INFO [00000667] * RD COMPARE * port=1 adr=1F act=2AA929D3 exp=2AA929D3 + 665.50ns INFO [00000667] Port=0 WR @09=67C71638 + 665.50ns INFO [00000667] Port=0 RD @14 + 666.50ns INFO [00000668] * RD COMPARE * port=0 adr=0E act=AA10DA92 exp=AA10DA92 + 666.50ns INFO [00000668] Port=1 RD @0F + 667.50ns INFO [00000669] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0 + 667.50ns INFO [00000669] Port=0 WR @08=21EFD313 + 668.50ns INFO [00000670] * RD COMPARE * port=1 adr=0F act=C058A510 exp=C058A510 + 668.50ns INFO [00000670] Port=1 RD @06 + 670.50ns INFO [00000672] * RD COMPARE * port=1 adr=06 act=4C9B6117 exp=4C9B6117 + 670.50ns INFO [00000672] Port=0 RD @05 + 671.50ns INFO [00000673] Port=0 WR @0C=E3F779E9 + 672.50ns INFO [00000674] * RD COMPARE * port=0 adr=05 act=DD74ACF0 exp=DD74ACF0 + 672.50ns INFO [00000674] Port=1 RD @07 + 673.50ns INFO [00000675] Port=0 WR @1F=AF399C10 + 673.50ns INFO [00000675] Port=1 RD @04 + 674.50ns INFO [00000676] * RD COMPARE * port=1 adr=07 act=8EB2887F exp=8EB2887F + 674.50ns INFO [00000676] Port=0 WR @19=9186FA26 + 675.50ns INFO [00000677] * RD COMPARE * port=1 adr=04 act=C7062ACE exp=C7062ACE + 675.50ns INFO [00000677] Port=0 WR @08=20C39847 + 676.50ns INFO [00000678] Port=0 WR @0E=4AA7C180 + 676.50ns INFO [00000678] Port=0 RD @0B + 677.50ns INFO [00000679] Port=0 RD @0D + 678.50ns INFO [00000680] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E + 679.50ns INFO [00000681] * RD COMPARE * port=0 adr=0D act=ECFB7E75 exp=ECFB7E75 + 679.50ns INFO [00000681] Port=0 RD @01 + 680.50ns INFO [00000682] Port=0 WR @1D=F4460034 + 680.50ns INFO [00000682] Port=0 RD @09 + 681.50ns INFO [00000683] * RD COMPARE * port=0 adr=01 act=5B0A83F3 exp=5B0A83F3 + 682.50ns INFO [00000684] * RD COMPARE * port=0 adr=09 act=67C71638 exp=67C71638 + 682.50ns INFO [00000684] Port=0 WR @0D=87C84ADC + 683.50ns INFO [00000685] Port=0 WR @0C=66938A1A + 683.50ns INFO [00000685] Port=0 RD @05 + 684.50ns INFO [00000686] Port=0 RD @1D + 684.50ns INFO [00000686] Port=1 RD @1C + 685.50ns INFO [00000687] * RD COMPARE * port=0 adr=05 act=DD74ACF0 exp=DD74ACF0 + 685.50ns INFO [00000687] Port=0 WR @10=3A4C01AA + 685.50ns INFO [00000687] Port=1 RD @09 + 686.50ns INFO [00000688] * RD COMPARE * port=0 adr=1D act=F4460034 exp=F4460034 + 686.50ns INFO [00000688] * RD COMPARE * port=1 adr=1C act=F1F83858 exp=F1F83858 + 686.50ns INFO [00000688] Port=0 RD @04 + 687.50ns INFO [00000689] * RD COMPARE * port=1 adr=09 act=67C71638 exp=67C71638 + 687.50ns INFO [00000689] Port=0 WR @1A=BCF7869E + 687.50ns INFO [00000689] Port=1 RD @0B + 688.50ns INFO [00000690] * RD COMPARE * port=0 adr=04 act=C7062ACE exp=C7062ACE + 688.50ns INFO [00000690] Port=0 WR @0E=2CA22B55 + 689.50ns INFO [00000691] * RD COMPARE * port=1 adr=0B act=4C6E5F6E exp=4C6E5F6E + 689.50ns INFO [00000691] Port=0 RD @02 + 689.50ns INFO [00000691] Port=1 RD @0D + 690.50ns INFO [00000692] Port=1 RD @1B + 691.50ns INFO [00000693] * RD COMPARE * port=0 adr=02 act=DF75EAC6 exp=DF75EAC6 + 691.50ns INFO [00000693] * RD COMPARE * port=1 adr=0D act=87C84ADC exp=87C84ADC + 691.50ns INFO [00000693] Port=1 RD @00 + 692.50ns INFO [00000694] * RD COMPARE * port=1 adr=1B act=BF2A12EC exp=BF2A12EC + 692.50ns INFO [00000694] Port=0 RD @0B + 693.50ns INFO [00000695] * RD COMPARE * port=1 adr=00 act=0319652E exp=0319652E + 693.50ns INFO [00000695] Port=0 WR @1B=1ED82E77 + 694.50ns INFO [00000696] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E + 694.50ns INFO [00000696] Port=0 RD @0C + 696.50ns INFO [00000698] * RD COMPARE * port=0 adr=0C act=66938A1A exp=66938A1A + 696.50ns INFO [00000698] Port=0 WR @09=58E9A19E + 696.50ns INFO [00000698] Port=0 RD @14 + 698.00ns INFO [00000700] [00000700] ...tick... + 698.50ns INFO [00000700] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0 + 698.50ns INFO [00000700] Port=0 RD @00 + 698.50ns INFO [00000700] Port=1 RD @11 + 700.50ns INFO [00000702] * RD COMPARE * port=0 adr=00 act=0319652E exp=0319652E + 700.50ns INFO [00000702] * RD COMPARE * port=1 adr=11 act=71428622 exp=71428622 + 700.50ns INFO [00000702] Port=1 RD @12 + 701.50ns INFO [00000703] Port=0 WR @1A=50FEDCD7 + 701.50ns INFO [00000703] Port=0 RD @09 + 702.50ns INFO [00000704] * RD COMPARE * port=1 adr=12 act=E70F3F90 exp=E70F3F90 + 703.50ns INFO [00000705] * RD COMPARE * port=0 adr=09 act=58E9A19E exp=58E9A19E + 703.50ns INFO [00000705] Port=0 RD @1D + 705.50ns INFO [00000707] * RD COMPARE * port=0 adr=1D act=F4460034 exp=F4460034 + 705.50ns INFO [00000707] Port=0 RD @1F + 705.50ns INFO [00000707] Port=1 RD @02 + 707.50ns INFO [00000709] * RD COMPARE * port=0 adr=1F act=AF399C10 exp=AF399C10 + 707.50ns INFO [00000709] * RD COMPARE * port=1 adr=02 act=DF75EAC6 exp=DF75EAC6 + 707.50ns INFO [00000709] Port=0 WR @16=7073619B + 707.50ns INFO [00000709] Port=0 RD @0A + 708.50ns INFO [00000710] Port=0 WR @12=F3836CAC + 708.50ns INFO [00000710] Port=0 RD @17 + 709.50ns INFO [00000711] * RD COMPARE * port=0 adr=0A act=BFF1A335 exp=BFF1A335 + 709.50ns INFO [00000711] Port=1 RD @0D + 710.50ns INFO [00000712] * RD COMPARE * port=0 adr=17 act=483DF17B exp=483DF17B + 710.50ns INFO [00000712] Port=0 WR @06=1B4C596F + 711.50ns INFO [00000713] * RD COMPARE * port=1 adr=0D act=87C84ADC exp=87C84ADC + 712.50ns INFO [00000714] Port=0 WR @1B=A79CC651 + 713.50ns INFO [00000715] Port=1 RD @09 + 715.50ns INFO [00000717] * RD COMPARE * port=1 adr=09 act=58E9A19E exp=58E9A19E + 715.50ns INFO [00000717] Port=0 WR @09=92F1C8D9 + 716.50ns INFO [00000718] Port=0 RD @10 + 716.50ns INFO [00000718] Port=1 RD @19 + 717.50ns INFO [00000719] Port=1 RD @03 + 718.50ns INFO [00000720] * RD COMPARE * port=0 adr=10 act=3A4C01AA exp=3A4C01AA + 718.50ns INFO [00000720] * RD COMPARE * port=1 adr=19 act=9186FA26 exp=9186FA26 + 718.50ns INFO [00000720] Port=0 WR @08=9D04DD13 + 719.50ns INFO [00000721] * RD COMPARE * port=1 adr=03 act=279C7AC8 exp=279C7AC8 + 720.50ns INFO [00000722] Port=0 WR @10=D510A9D1 + 720.50ns INFO [00000722] Port=1 RD @0C + 721.50ns INFO [00000723] Port=0 WR @03=2069EEE7 + 721.50ns INFO [00000723] Port=0 RD @1E + 721.50ns INFO [00000723] Port=1 RD @0A + 722.50ns INFO [00000724] * RD COMPARE * port=1 adr=0C act=66938A1A exp=66938A1A + 722.50ns INFO [00000724] Port=0 WR @0F=8D1F1B15 + 722.50ns INFO [00000724] Port=1 RD @08 + 723.50ns INFO [00000725] * RD COMPARE * port=0 adr=1E act=10500125 exp=10500125 + 723.50ns INFO [00000725] * RD COMPARE * port=1 adr=0A act=BFF1A335 exp=BFF1A335 + 723.50ns INFO [00000725] Port=0 RD @05 + 724.50ns INFO [00000726] * RD COMPARE * port=1 adr=08 act=9D04DD13 exp=9D04DD13 + 724.50ns INFO [00000726] Port=0 WR @0D=E14B642C + 724.50ns INFO [00000726] Port=0 RD @0C + 725.50ns INFO [00000727] * RD COMPARE * port=0 adr=05 act=DD74ACF0 exp=DD74ACF0 + 725.50ns INFO [00000727] Port=1 RD @1A + 726.50ns INFO [00000728] * RD COMPARE * port=0 adr=0C act=66938A1A exp=66938A1A + 726.50ns INFO [00000728] Port=0 WR @06=102D0918 + 726.50ns INFO [00000728] Port=0 RD @0D + 726.50ns INFO [00000728] Port=1 RD @0E + 727.50ns INFO [00000729] * RD COMPARE * port=1 adr=1A act=50FEDCD7 exp=50FEDCD7 + 727.50ns INFO [00000729] Port=0 WR @02=1B8EF24F + 727.50ns INFO [00000729] Port=0 RD @0D + 727.50ns INFO [00000729] Port=1 RD @0F + 728.50ns INFO [00000730] * RD COMPARE * port=0 adr=0D act=E14B642C exp=E14B642C + 728.50ns INFO [00000730] * RD COMPARE * port=1 adr=0E act=2CA22B55 exp=2CA22B55 + 728.50ns INFO [00000730] Port=1 RD @17 + 729.50ns INFO [00000731] * RD COMPARE * port=0 adr=0D act=E14B642C exp=E14B642C + 729.50ns INFO [00000731] * RD COMPARE * port=1 adr=0F act=8D1F1B15 exp=8D1F1B15 + 729.50ns INFO [00000731] Port=0 WR @0E=8B7E8EB5 + 730.50ns INFO [00000732] * RD COMPARE * port=1 adr=17 act=483DF17B exp=483DF17B + 730.50ns INFO [00000732] Port=0 WR @0C=BEF1B518 + 731.50ns INFO [00000733] Port=0 RD @19 + 732.50ns INFO [00000734] Port=0 WR @0D=CE20D381 + 732.50ns INFO [00000734] Port=0 RD @0F + 733.50ns INFO [00000735] * RD COMPARE * port=0 adr=19 act=9186FA26 exp=9186FA26 + 733.50ns INFO [00000735] Port=0 WR @13=2AD1CC05 + 733.50ns INFO [00000735] Port=1 RD @1A + 734.50ns INFO [00000736] * RD COMPARE * port=0 adr=0F act=8D1F1B15 exp=8D1F1B15 + 735.50ns INFO [00000737] * RD COMPARE * port=1 adr=1A act=50FEDCD7 exp=50FEDCD7 + 735.50ns INFO [00000737] Port=0 RD @1B + 736.50ns INFO [00000738] Port=0 RD @1F + 737.50ns INFO [00000739] * RD COMPARE * port=0 adr=1B act=A79CC651 exp=A79CC651 + 737.50ns INFO [00000739] Port=0 WR @1D=945CDCCA + 738.50ns INFO [00000740] * RD COMPARE * port=0 adr=1F act=AF399C10 exp=AF399C10 + 740.50ns INFO [00000742] Port=0 WR @0C=5B7D61EC + 740.50ns INFO [00000742] Port=0 RD @17 + 741.50ns INFO [00000743] Port=0 WR @0E=E34C3839 + 741.50ns INFO [00000743] Port=0 RD @19 + 741.50ns INFO [00000743] Port=1 RD @02 + 742.50ns INFO [00000744] * RD COMPARE * port=0 adr=17 act=483DF17B exp=483DF17B + 743.50ns INFO [00000745] * RD COMPARE * port=0 adr=19 act=9186FA26 exp=9186FA26 + 743.50ns INFO [00000745] * RD COMPARE * port=1 adr=02 act=1B8EF24F exp=1B8EF24F + 743.50ns INFO [00000745] Port=0 RD @1A + 744.50ns INFO [00000746] Port=0 WR @09=F32896F6 + 744.50ns INFO [00000746] Port=1 RD @1C + 745.50ns INFO [00000747] * RD COMPARE * port=0 adr=1A act=50FEDCD7 exp=50FEDCD7 + 746.50ns INFO [00000748] * RD COMPARE * port=1 adr=1C act=F1F83858 exp=F1F83858 + 747.50ns INFO [00000749] Port=1 RD @03 + 748.50ns INFO [00000750] Port=0 WR @19=10496163 + 748.50ns INFO [00000750] Port=0 RD @1D + 748.50ns INFO [00000750] Port=1 RD @08 + 749.50ns INFO [00000751] * RD COMPARE * port=1 adr=03 act=2069EEE7 exp=2069EEE7 + 749.50ns INFO [00000751] Port=0 RD @03 + 750.50ns INFO [00000752] * RD COMPARE * port=0 adr=1D act=945CDCCA exp=945CDCCA + 750.50ns INFO [00000752] * RD COMPARE * port=1 adr=08 act=9D04DD13 exp=9D04DD13 + 751.50ns INFO [00000753] * RD COMPARE * port=0 adr=03 act=2069EEE7 exp=2069EEE7 + 751.50ns INFO [00000753] Port=0 RD @03 + 752.50ns INFO [00000754] Port=1 RD @10 + 753.50ns INFO [00000755] * RD COMPARE * port=0 adr=03 act=2069EEE7 exp=2069EEE7 + 753.50ns INFO [00000755] Port=0 WR @0D=8871A209 + 753.50ns INFO [00000755] Port=0 RD @01 + 753.50ns INFO [00000755] Port=1 RD @1F + 754.50ns INFO [00000756] * RD COMPARE * port=1 adr=10 act=D510A9D1 exp=D510A9D1 + 755.50ns INFO [00000757] * RD COMPARE * port=0 adr=01 act=5B0A83F3 exp=5B0A83F3 + 755.50ns INFO [00000757] * RD COMPARE * port=1 adr=1F act=AF399C10 exp=AF399C10 + 755.50ns INFO [00000757] Port=0 RD @19 + 757.50ns INFO [00000759] * RD COMPARE * port=0 adr=19 act=10496163 exp=10496163 + 757.50ns INFO [00000759] Port=1 RD @1D + 758.50ns INFO [00000760] Port=0 WR @08=A709BABE + 758.50ns INFO [00000760] Port=1 RD @05 + 759.50ns INFO [00000761] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA + 759.50ns INFO [00000761] Port=0 WR @0A=CDAFA51E + 759.50ns INFO [00000761] Port=1 RD @08 + 760.50ns INFO [00000762] * RD COMPARE * port=1 adr=05 act=DD74ACF0 exp=DD74ACF0 + 760.50ns INFO [00000762] Port=0 RD @0E + 761.50ns INFO [00000763] * RD COMPARE * port=1 adr=08 act=A709BABE exp=A709BABE + 761.50ns INFO [00000763] Port=0 WR @08=DB0BC2B1 + 762.50ns INFO [00000764] * RD COMPARE * port=0 adr=0E act=E34C3839 exp=E34C3839 + 763.50ns INFO [00000765] Port=0 RD @10 + 763.50ns INFO [00000765] Port=1 RD @1D + 764.50ns INFO [00000766] Port=0 WR @04=12FE6095 + 764.50ns INFO [00000766] Port=1 RD @01 + 765.50ns INFO [00000767] * RD COMPARE * port=0 adr=10 act=D510A9D1 exp=D510A9D1 + 765.50ns INFO [00000767] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA + 765.50ns INFO [00000767] Port=0 RD @17 + 766.50ns INFO [00000768] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3 + 766.50ns INFO [00000768] Port=1 RD @07 + 767.50ns INFO [00000769] * RD COMPARE * port=0 adr=17 act=483DF17B exp=483DF17B + 768.50ns INFO [00000770] * RD COMPARE * port=1 adr=07 act=8EB2887F exp=8EB2887F + 768.50ns INFO [00000770] Port=0 WR @1A=D17BE87A + 769.50ns INFO [00000771] Port=0 WR @17=0917589F + 769.50ns INFO [00000771] Port=1 RD @03 + 770.50ns INFO [00000772] Port=0 WR @1E=B02DD91F + 770.50ns INFO [00000772] Port=0 RD @17 + 771.50ns INFO [00000773] * RD COMPARE * port=1 adr=03 act=2069EEE7 exp=2069EEE7 + 772.50ns INFO [00000774] * RD COMPARE * port=0 adr=17 act=0917589F exp=0917589F + 773.50ns INFO [00000775] Port=1 RD @0F + 775.50ns INFO [00000777] * RD COMPARE * port=1 adr=0F act=8D1F1B15 exp=8D1F1B15 + 775.50ns INFO [00000777] Port=0 RD @15 + 775.50ns INFO [00000777] Port=1 RD @15 + 776.50ns INFO [00000778] Port=0 RD @1F + 776.50ns INFO [00000778] Port=1 RD @1A + 777.50ns INFO [00000779] * RD COMPARE * port=0 adr=15 act=2FEBED40 exp=2FEBED40 + 777.50ns INFO [00000779] * RD COMPARE * port=1 adr=15 act=2FEBED40 exp=2FEBED40 + 778.50ns INFO [00000780] * RD COMPARE * port=0 adr=1F act=AF399C10 exp=AF399C10 + 778.50ns INFO [00000780] * RD COMPARE * port=1 adr=1A act=D17BE87A exp=D17BE87A + 778.50ns INFO [00000780] Port=0 WR @04=54CD639A + 780.50ns INFO [00000782] Port=0 WR @14=DA9AF0DA + 780.50ns INFO [00000782] Port=0 RD @09 + 780.50ns INFO [00000782] Port=1 RD @00 + 781.50ns INFO [00000783] Port=0 WR @18=36C7C5D7 + 782.50ns INFO [00000784] * RD COMPARE * port=0 adr=09 act=F32896F6 exp=F32896F6 + 782.50ns INFO [00000784] * RD COMPARE * port=1 adr=00 act=0319652E exp=0319652E + 782.50ns INFO [00000784] Port=0 WR @16=78158DC5 + 783.50ns INFO [00000785] Port=0 WR @00=44A6F033 + 783.50ns INFO [00000785] Port=1 RD @1D + 784.50ns INFO [00000786] Port=1 RD @05 + 785.50ns INFO [00000787] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA + 785.50ns INFO [00000787] Port=0 WR @10=3DED0720 + 786.50ns INFO [00000788] * RD COMPARE * port=1 adr=05 act=DD74ACF0 exp=DD74ACF0 + 786.50ns INFO [00000788] Port=0 WR @15=77598EAA + 786.50ns INFO [00000788] Port=0 RD @05 + 787.50ns INFO [00000789] Port=0 RD @08 + 787.50ns INFO [00000789] Port=1 RD @12 + 788.50ns INFO [00000790] * RD COMPARE * port=0 adr=05 act=DD74ACF0 exp=DD74ACF0 + 788.50ns INFO [00000790] Port=0 WR @1A=3B7064F0 + 788.50ns INFO [00000790] Port=1 RD @1D + 789.50ns INFO [00000791] * RD COMPARE * port=0 adr=08 act=DB0BC2B1 exp=DB0BC2B1 + 789.50ns INFO [00000791] * RD COMPARE * port=1 adr=12 act=F3836CAC exp=F3836CAC + 789.50ns INFO [00000791] Port=1 RD @04 + 790.50ns INFO [00000792] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA + 790.50ns INFO [00000792] Port=0 RD @06 + 790.50ns INFO [00000792] Port=1 RD @1A + 791.50ns INFO [00000793] * RD COMPARE * port=1 adr=04 act=54CD639A exp=54CD639A + 791.50ns INFO [00000793] Port=0 RD @0A + 792.50ns INFO [00000794] * RD COMPARE * port=0 adr=06 act=102D0918 exp=102D0918 + 792.50ns INFO [00000794] * RD COMPARE * port=1 adr=1A act=3B7064F0 exp=3B7064F0 + 792.50ns INFO [00000794] Port=1 RD @0E + 793.50ns INFO [00000795] * RD COMPARE * port=0 adr=0A act=CDAFA51E exp=CDAFA51E + 793.50ns INFO [00000795] Port=0 RD @13 + 794.50ns INFO [00000796] * RD COMPARE * port=1 adr=0E act=E34C3839 exp=E34C3839 + 794.50ns INFO [00000796] Port=0 RD @08 + 795.50ns INFO [00000797] * RD COMPARE * port=0 adr=13 act=2AD1CC05 exp=2AD1CC05 + 795.50ns INFO [00000797] Port=0 WR @0A=C5A5E3F8 + 796.50ns INFO [00000798] * RD COMPARE * port=0 adr=08 act=DB0BC2B1 exp=DB0BC2B1 + 796.50ns INFO [00000798] Port=0 WR @0D=BD1BB43F + 797.50ns INFO [00000799] Port=0 WR @06=C5B4B3A7 + 797.50ns INFO [00000799] Port=1 RD @1B + 798.00ns INFO [00000800] [00000800] ...tick... + 798.50ns INFO [00000800] Port=0 WR @0D=CBBD8737 + 799.50ns INFO [00000801] * RD COMPARE * port=1 adr=1B act=A79CC651 exp=A79CC651 + 799.50ns INFO [00000801] Port=1 RD @1B + 800.50ns INFO [00000802] Port=0 WR @1A=1A06E9E2 + 800.50ns INFO [00000802] Port=0 RD @13 + 801.50ns INFO [00000803] * RD COMPARE * port=1 adr=1B act=A79CC651 exp=A79CC651 + 802.50ns INFO [00000804] * RD COMPARE * port=0 adr=13 act=2AD1CC05 exp=2AD1CC05 + 802.50ns INFO [00000804] Port=0 WR @10=8F178159 + 802.50ns INFO [00000804] Port=1 RD @0E + 803.50ns INFO [00000805] Port=1 RD @1B + 804.50ns INFO [00000806] * RD COMPARE * port=1 adr=0E act=E34C3839 exp=E34C3839 + 804.50ns INFO [00000806] Port=0 WR @05=2158E003 + 804.50ns INFO [00000806] Port=0 RD @09 + 805.50ns INFO [00000807] * RD COMPARE * port=1 adr=1B act=A79CC651 exp=A79CC651 + 806.50ns INFO [00000808] * RD COMPARE * port=0 adr=09 act=F32896F6 exp=F32896F6 + 806.50ns INFO [00000808] Port=1 RD @10 + 808.50ns INFO [00000810] * RD COMPARE * port=1 adr=10 act=8F178159 exp=8F178159 + 808.50ns INFO [00000810] Port=0 WR @06=D65AA282 + 809.50ns INFO [00000811] Port=0 RD @08 + 810.50ns INFO [00000812] Port=0 WR @00=CEF41B35 + 811.50ns INFO [00000813] * RD COMPARE * port=0 adr=08 act=DB0BC2B1 exp=DB0BC2B1 + 811.50ns INFO [00000813] Port=0 WR @14=FD72ED59 + 811.50ns INFO [00000813] Port=1 RD @1F + 812.50ns INFO [00000814] Port=0 WR @0C=F71789F5 + 813.50ns INFO [00000815] * RD COMPARE * port=1 adr=1F act=AF399C10 exp=AF399C10 + 813.50ns INFO [00000815] Port=0 RD @05 + 813.50ns INFO [00000815] Port=1 RD @04 + 814.50ns INFO [00000816] Port=0 WR @1A=CD39E1A0 + 814.50ns INFO [00000816] Port=0 RD @0B + 815.50ns INFO [00000817] * RD COMPARE * port=0 adr=05 act=2158E003 exp=2158E003 + 815.50ns INFO [00000817] * RD COMPARE * port=1 adr=04 act=54CD639A exp=54CD639A + 815.50ns INFO [00000817] Port=0 WR @1A=2B0C8DEA + 816.50ns INFO [00000818] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E + 816.50ns INFO [00000818] Port=1 RD @1D + 818.50ns INFO [00000820] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA + 818.50ns INFO [00000820] Port=0 WR @00=B56CB62C + 818.50ns INFO [00000820] Port=0 RD @0D + 818.50ns INFO [00000820] Port=1 RD @01 + 819.50ns INFO [00000821] Port=0 WR @15=424DFF2F + 819.50ns INFO [00000821] Port=0 RD @0E + 820.50ns INFO [00000822] * RD COMPARE * port=0 adr=0D act=CBBD8737 exp=CBBD8737 + 820.50ns INFO [00000822] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3 + 821.50ns INFO [00000823] * RD COMPARE * port=0 adr=0E act=E34C3839 exp=E34C3839 + 821.50ns INFO [00000823] Port=1 RD @12 + 823.50ns INFO [00000825] * RD COMPARE * port=1 adr=12 act=F3836CAC exp=F3836CAC + 823.50ns INFO [00000825] Port=0 WR @17=7C8F217E + 823.50ns INFO [00000825] Port=0 RD @04 + 823.50ns INFO [00000825] Port=1 RD @06 + 825.50ns INFO [00000827] * RD COMPARE * port=0 adr=04 act=54CD639A exp=54CD639A + 825.50ns INFO [00000827] * RD COMPARE * port=1 adr=06 act=D65AA282 exp=D65AA282 + 825.50ns INFO [00000827] Port=0 RD @0E + 825.50ns INFO [00000827] Port=1 RD @1C + 826.50ns INFO [00000828] Port=0 WR @07=897294CB + 826.50ns INFO [00000828] Port=1 RD @15 + 827.50ns INFO [00000829] * RD COMPARE * port=0 adr=0E act=E34C3839 exp=E34C3839 + 827.50ns INFO [00000829] * RD COMPARE * port=1 adr=1C act=F1F83858 exp=F1F83858 + 827.50ns INFO [00000829] Port=0 WR @19=3494FEF9 + 828.50ns INFO [00000830] * RD COMPARE * port=1 adr=15 act=424DFF2F exp=424DFF2F + 829.50ns INFO [00000831] Port=0 RD @1F + 829.50ns INFO [00000831] Port=1 RD @15 + 830.50ns INFO [00000832] Port=1 RD @1B + 831.50ns INFO [00000833] * RD COMPARE * port=0 adr=1F act=AF399C10 exp=AF399C10 + 831.50ns INFO [00000833] * RD COMPARE * port=1 adr=15 act=424DFF2F exp=424DFF2F + 831.50ns INFO [00000833] Port=0 RD @0D + 832.50ns INFO [00000834] * RD COMPARE * port=1 adr=1B act=A79CC651 exp=A79CC651 + 832.50ns INFO [00000834] Port=1 RD @07 + 833.50ns INFO [00000835] * RD COMPARE * port=0 adr=0D act=CBBD8737 exp=CBBD8737 + 833.50ns INFO [00000835] Port=1 RD @1C + 834.50ns INFO [00000836] * RD COMPARE * port=1 adr=07 act=897294CB exp=897294CB + 835.50ns INFO [00000837] * RD COMPARE * port=1 adr=1C act=F1F83858 exp=F1F83858 + 835.50ns INFO [00000837] Port=0 WR @1F=782215A3 + 835.50ns INFO [00000837] Port=1 RD @05 + 836.50ns INFO [00000838] Port=0 WR @05=073F8249 + 836.50ns INFO [00000838] Port=0 RD @19 + 836.50ns INFO [00000838] Port=1 RD @0A + 837.50ns INFO [00000839] * RD COMPARE * port=1 adr=05 act=2158E003 exp=2158E003 + 837.50ns INFO [00000839] Port=1 RD @0A + 838.50ns INFO [00000840] * RD COMPARE * port=0 adr=19 act=3494FEF9 exp=3494FEF9 + 838.50ns INFO [00000840] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8 + 839.50ns INFO [00000841] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8 + 839.50ns INFO [00000841] Port=1 RD @1D + 840.50ns INFO [00000842] Port=0 RD @0A + 840.50ns INFO [00000842] Port=1 RD @18 + 841.50ns INFO [00000843] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA + 841.50ns INFO [00000843] Port=0 WR @11=26EA4783 + 841.50ns INFO [00000843] Port=0 RD @1C + 841.50ns INFO [00000843] Port=1 RD @09 + 842.50ns INFO [00000844] * RD COMPARE * port=0 adr=0A act=C5A5E3F8 exp=C5A5E3F8 + 842.50ns INFO [00000844] * RD COMPARE * port=1 adr=18 act=36C7C5D7 exp=36C7C5D7 + 842.50ns INFO [00000844] Port=0 WR @1B=19E54916 + 842.50ns INFO [00000844] Port=0 RD @05 + 843.50ns INFO [00000845] * RD COMPARE * port=0 adr=1C act=F1F83858 exp=F1F83858 + 843.50ns INFO [00000845] * RD COMPARE * port=1 adr=09 act=F32896F6 exp=F32896F6 + 844.50ns INFO [00000846] * RD COMPARE * port=0 adr=05 act=073F8249 exp=073F8249 + 844.50ns INFO [00000846] Port=1 RD @03 + 845.50ns INFO [00000847] Port=0 WR @16=ADBAA753 + 846.50ns INFO [00000848] * RD COMPARE * port=1 adr=03 act=2069EEE7 exp=2069EEE7 + 846.50ns INFO [00000848] Port=0 WR @15=A437A721 + 846.50ns INFO [00000848] Port=0 RD @0C + 846.50ns INFO [00000848] Port=1 RD @13 + 847.50ns INFO [00000849] Port=0 WR @06=E83AF817 + 848.50ns INFO [00000850] * RD COMPARE * port=0 adr=0C act=F71789F5 exp=F71789F5 + 848.50ns INFO [00000850] * RD COMPARE * port=1 adr=13 act=2AD1CC05 exp=2AD1CC05 + 848.50ns INFO [00000850] Port=0 RD @15 + 848.50ns INFO [00000850] Port=1 RD @03 + 850.50ns INFO [00000852] * RD COMPARE * port=0 adr=15 act=A437A721 exp=A437A721 + 850.50ns INFO [00000852] * RD COMPARE * port=1 adr=03 act=2069EEE7 exp=2069EEE7 + 852.50ns INFO [00000854] Port=0 RD @18 + 853.50ns INFO [00000855] Port=0 RD @06 + 853.50ns INFO [00000855] Port=1 RD @04 + 854.50ns INFO [00000856] * RD COMPARE * port=0 adr=18 act=36C7C5D7 exp=36C7C5D7 + 854.50ns INFO [00000856] Port=0 RD @14 + 854.50ns INFO [00000856] Port=1 RD @15 + 855.50ns INFO [00000857] * RD COMPARE * port=0 adr=06 act=E83AF817 exp=E83AF817 + 855.50ns INFO [00000857] * RD COMPARE * port=1 adr=04 act=54CD639A exp=54CD639A + 855.50ns INFO [00000857] Port=0 RD @08 + 855.50ns INFO [00000857] Port=1 RD @09 + 856.50ns INFO [00000858] * RD COMPARE * port=0 adr=14 act=FD72ED59 exp=FD72ED59 + 856.50ns INFO [00000858] * RD COMPARE * port=1 adr=15 act=A437A721 exp=A437A721 + 856.50ns INFO [00000858] Port=0 WR @1F=C1F5EB4D + 856.50ns INFO [00000858] Port=0 RD @10 + 857.50ns INFO [00000859] * RD COMPARE * port=0 adr=08 act=DB0BC2B1 exp=DB0BC2B1 + 857.50ns INFO [00000859] * RD COMPARE * port=1 adr=09 act=F32896F6 exp=F32896F6 + 857.50ns INFO [00000859] Port=0 WR @0D=6F804758 + 857.50ns INFO [00000859] Port=0 RD @16 + 858.50ns INFO [00000860] * RD COMPARE * port=0 adr=10 act=8F178159 exp=8F178159 + 859.50ns INFO [00000861] * RD COMPARE * port=0 adr=16 act=ADBAA753 exp=ADBAA753 + 860.50ns INFO [00000862] Port=0 WR @13=B90E592B + 860.50ns INFO [00000862] Port=1 RD @0E + 861.50ns INFO [00000863] Port=1 RD @11 + 862.50ns INFO [00000864] * RD COMPARE * port=1 adr=0E act=E34C3839 exp=E34C3839 + 862.50ns INFO [00000864] Port=1 RD @14 + 863.50ns INFO [00000865] * RD COMPARE * port=1 adr=11 act=26EA4783 exp=26EA4783 + 863.50ns INFO [00000865] Port=1 RD @0C + 864.50ns INFO [00000866] * RD COMPARE * port=1 adr=14 act=FD72ED59 exp=FD72ED59 + 864.50ns INFO [00000866] Port=0 WR @07=8F48C90A + 864.50ns INFO [00000866] Port=0 RD @19 + 865.50ns INFO [00000867] * RD COMPARE * port=1 adr=0C act=F71789F5 exp=F71789F5 + 865.50ns INFO [00000867] Port=0 WR @0C=55C0BC8E + 865.50ns INFO [00000867] Port=0 RD @15 + 866.50ns INFO [00000868] * RD COMPARE * port=0 adr=19 act=3494FEF9 exp=3494FEF9 + 866.50ns INFO [00000868] Port=0 WR @19=94C6D60D + 866.50ns INFO [00000868] Port=0 RD @07 + 866.50ns INFO [00000868] Port=1 RD @08 + 867.50ns INFO [00000869] * RD COMPARE * port=0 adr=15 act=A437A721 exp=A437A721 + 867.50ns INFO [00000869] Port=0 RD @12 + 868.50ns INFO [00000870] * RD COMPARE * port=0 adr=07 act=8F48C90A exp=8F48C90A + 868.50ns INFO [00000870] * RD COMPARE * port=1 adr=08 act=DB0BC2B1 exp=DB0BC2B1 + 868.50ns INFO [00000870] Port=0 WR @09=CDEBD260 + 868.50ns INFO [00000870] Port=1 RD @0E + 869.50ns INFO [00000871] * RD COMPARE * port=0 adr=12 act=F3836CAC exp=F3836CAC + 870.50ns INFO [00000872] * RD COMPARE * port=1 adr=0E act=E34C3839 exp=E34C3839 + 871.50ns INFO [00000873] Port=1 RD @02 + 872.50ns INFO [00000874] Port=0 WR @18=D3E969B0 + 872.50ns INFO [00000874] Port=0 RD @19 + 872.50ns INFO [00000874] Port=1 RD @02 + 873.50ns INFO [00000875] * RD COMPARE * port=1 adr=02 act=1B8EF24F exp=1B8EF24F + 873.50ns INFO [00000875] Port=0 RD @0B + 873.50ns INFO [00000875] Port=1 RD @1D + 874.50ns INFO [00000876] * RD COMPARE * port=0 adr=19 act=94C6D60D exp=94C6D60D + 874.50ns INFO [00000876] * RD COMPARE * port=1 adr=02 act=1B8EF24F exp=1B8EF24F + 874.50ns INFO [00000876] Port=0 RD @1E + 875.50ns INFO [00000877] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E + 875.50ns INFO [00000877] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA + 875.50ns INFO [00000877] Port=1 RD @11 + 876.50ns INFO [00000878] * RD COMPARE * port=0 adr=1E act=B02DD91F exp=B02DD91F + 876.50ns INFO [00000878] Port=1 RD @0A + 877.50ns INFO [00000879] * RD COMPARE * port=1 adr=11 act=26EA4783 exp=26EA4783 + 877.50ns INFO [00000879] Port=0 RD @01 + 878.50ns INFO [00000880] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8 + 878.50ns INFO [00000880] Port=0 WR @0F=DEA619CA + 878.50ns INFO [00000880] Port=0 RD @1A + 879.50ns INFO [00000881] * RD COMPARE * port=0 adr=01 act=5B0A83F3 exp=5B0A83F3 + 879.50ns INFO [00000881] Port=0 WR @1B=D0F90B59 + 879.50ns INFO [00000881] Port=0 RD @18 + 879.50ns INFO [00000881] Port=1 RD @16 + 880.50ns INFO [00000882] * RD COMPARE * port=0 adr=1A act=2B0C8DEA exp=2B0C8DEA + 880.50ns INFO [00000882] Port=0 RD @12 + 880.50ns INFO [00000882] Port=1 RD @1B + 881.50ns INFO [00000883] * RD COMPARE * port=0 adr=18 act=D3E969B0 exp=D3E969B0 + 881.50ns INFO [00000883] * RD COMPARE * port=1 adr=16 act=ADBAA753 exp=ADBAA753 + 881.50ns INFO [00000883] Port=0 WR @08=1F8FDFF9 + 882.50ns INFO [00000884] * RD COMPARE * port=0 adr=12 act=F3836CAC exp=F3836CAC + 882.50ns INFO [00000884] * RD COMPARE * port=1 adr=1B act=D0F90B59 exp=D0F90B59 + 882.50ns INFO [00000884] Port=0 WR @05=E85D9EDA + 882.50ns INFO [00000884] Port=1 RD @10 + 883.50ns INFO [00000885] Port=0 RD @03 + 884.50ns INFO [00000886] * RD COMPARE * port=1 adr=10 act=8F178159 exp=8F178159 + 884.50ns INFO [00000886] Port=0 WR @13=504F46D7 + 884.50ns INFO [00000886] Port=1 RD @12 + 885.50ns INFO [00000887] * RD COMPARE * port=0 adr=03 act=2069EEE7 exp=2069EEE7 + 885.50ns INFO [00000887] Port=0 WR @11=3EE5EED9 + 885.50ns INFO [00000887] Port=1 RD @0A + 886.50ns INFO [00000888] * RD COMPARE * port=1 adr=12 act=F3836CAC exp=F3836CAC + 886.50ns INFO [00000888] Port=0 RD @19 + 886.50ns INFO [00000888] Port=1 RD @0F + 887.50ns INFO [00000889] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8 + 887.50ns INFO [00000889] Port=1 RD @12 + 888.50ns INFO [00000890] * RD COMPARE * port=0 adr=19 act=94C6D60D exp=94C6D60D + 888.50ns INFO [00000890] * RD COMPARE * port=1 adr=0F act=DEA619CA exp=DEA619CA + 888.50ns INFO [00000890] Port=0 WR @0C=038BC11F + 888.50ns INFO [00000890] Port=0 RD @08 + 889.50ns INFO [00000891] * RD COMPARE * port=1 adr=12 act=F3836CAC exp=F3836CAC + 889.50ns INFO [00000891] Port=1 RD @0B + 890.50ns INFO [00000892] * RD COMPARE * port=0 adr=08 act=1F8FDFF9 exp=1F8FDFF9 + 890.50ns INFO [00000892] Port=0 WR @15=A49AB4A4 + 890.50ns INFO [00000892] Port=0 RD @06 + 890.50ns INFO [00000892] Port=1 RD @0D + 891.50ns INFO [00000893] * RD COMPARE * port=1 adr=0B act=4C6E5F6E exp=4C6E5F6E + 892.50ns INFO [00000894] * RD COMPARE * port=0 adr=06 act=E83AF817 exp=E83AF817 + 892.50ns INFO [00000894] * RD COMPARE * port=1 adr=0D act=6F804758 exp=6F804758 + 893.50ns INFO [00000895] Port=0 RD @0E + 894.50ns INFO [00000896] Port=0 WR @0D=85BF8BE3 + 895.50ns INFO [00000897] * RD COMPARE * port=0 adr=0E act=E34C3839 exp=E34C3839 + 895.50ns INFO [00000897] Port=0 WR @04=8CCDB193 + 895.50ns INFO [00000897] Port=1 RD @10 + 896.50ns INFO [00000898] Port=0 WR @09=CB3FB8CD + 896.50ns INFO [00000898] Port=1 RD @0C + 897.50ns INFO [00000899] * RD COMPARE * port=1 adr=10 act=8F178159 exp=8F178159 + 897.50ns INFO [00000899] Port=0 WR @01=287C2FFB + 897.50ns INFO [00000899] Port=0 RD @0E + 897.50ns INFO [00000899] Port=1 RD @1F + 898.00ns INFO [00000900] [00000900] ...tick... + 898.50ns INFO [00000900] * RD COMPARE * port=1 adr=0C act=038BC11F exp=038BC11F + 898.50ns INFO [00000900] Port=0 WR @1B=ACA13312 + 899.50ns INFO [00000901] * RD COMPARE * port=0 adr=0E act=E34C3839 exp=E34C3839 + 899.50ns INFO [00000901] * RD COMPARE * port=1 adr=1F act=C1F5EB4D exp=C1F5EB4D + 899.50ns INFO [00000901] Port=0 WR @09=BDC00E0B + 899.50ns INFO [00000901] Port=1 RD @0C + 900.50ns INFO [00000902] Port=0 RD @16 + 901.50ns INFO [00000903] * RD COMPARE * port=1 adr=0C act=038BC11F exp=038BC11F + 901.50ns INFO [00000903] Port=0 WR @15=3263BACA + 902.50ns INFO [00000904] * RD COMPARE * port=0 adr=16 act=ADBAA753 exp=ADBAA753 + 903.50ns INFO [00000905] Port=1 RD @10 + 905.50ns INFO [00000907] * RD COMPARE * port=1 adr=10 act=8F178159 exp=8F178159 + 905.50ns INFO [00000907] Port=0 WR @08=D58DF058 + 905.50ns INFO [00000907] Port=1 RD @1F + 906.50ns INFO [00000908] Port=1 RD @16 + 907.50ns INFO [00000909] * RD COMPARE * port=1 adr=1F act=C1F5EB4D exp=C1F5EB4D + 907.50ns INFO [00000909] Port=0 WR @04=77160E4A + 907.50ns INFO [00000909] Port=0 RD @1A + 908.50ns INFO [00000910] * RD COMPARE * port=1 adr=16 act=ADBAA753 exp=ADBAA753 + 908.50ns INFO [00000910] Port=0 RD @0B + 909.50ns INFO [00000911] * RD COMPARE * port=0 adr=1A act=2B0C8DEA exp=2B0C8DEA + 909.50ns INFO [00000911] Port=0 WR @0D=4E5C996A + 909.50ns INFO [00000911] Port=0 RD @02 + 910.50ns INFO [00000912] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E + 910.50ns INFO [00000912] Port=0 RD @1D + 911.50ns INFO [00000913] * RD COMPARE * port=0 adr=02 act=1B8EF24F exp=1B8EF24F + 911.50ns INFO [00000913] Port=0 WR @0C=D619D300 + 912.50ns INFO [00000914] * RD COMPARE * port=0 adr=1D act=945CDCCA exp=945CDCCA + 913.50ns INFO [00000915] Port=0 RD @02 + 913.50ns INFO [00000915] Port=1 RD @09 + 914.50ns INFO [00000916] Port=1 RD @18 + 915.50ns INFO [00000917] * RD COMPARE * port=0 adr=02 act=1B8EF24F exp=1B8EF24F + 915.50ns INFO [00000917] * RD COMPARE * port=1 adr=09 act=BDC00E0B exp=BDC00E0B + 916.50ns INFO [00000918] * RD COMPARE * port=1 adr=18 act=D3E969B0 exp=D3E969B0 + 916.50ns INFO [00000918] Port=0 WR @03=66AE0B6B + 916.50ns INFO [00000918] Port=0 RD @00 + 917.50ns INFO [00000919] Port=0 WR @05=89641484 + 918.50ns INFO [00000920] * RD COMPARE * port=0 adr=00 act=B56CB62C exp=B56CB62C + 918.50ns INFO [00000920] Port=1 RD @0A + 919.50ns INFO [00000921] Port=0 RD @1E + 920.50ns INFO [00000922] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8 + 920.50ns INFO [00000922] Port=0 WR @0B=111CB780 + 920.50ns INFO [00000922] Port=0 RD @19 + 921.50ns INFO [00000923] * RD COMPARE * port=0 adr=1E act=B02DD91F exp=B02DD91F + 921.50ns INFO [00000923] Port=1 RD @10 + 922.50ns INFO [00000924] * RD COMPARE * port=0 adr=19 act=94C6D60D exp=94C6D60D + 923.50ns INFO [00000925] * RD COMPARE * port=1 adr=10 act=8F178159 exp=8F178159 + 923.50ns INFO [00000925] Port=0 WR @00=E4C49B60 + 924.50ns INFO [00000926] Port=0 WR @1A=E42D60BF + 924.50ns INFO [00000926] Port=0 RD @05 + 924.50ns INFO [00000926] Port=1 RD @0F + 925.50ns INFO [00000927] Port=0 WR @0F=B8A773BA + 925.50ns INFO [00000927] Port=0 RD @17 + 925.50ns INFO [00000927] Port=1 RD @05 + 926.50ns INFO [00000928] * RD COMPARE * port=0 adr=05 act=89641484 exp=89641484 + 926.50ns INFO [00000928] * RD COMPARE * port=1 adr=0F act=DEA619CA exp=DEA619CA + 927.50ns INFO [00000929] * RD COMPARE * port=0 adr=17 act=7C8F217E exp=7C8F217E + 927.50ns INFO [00000929] * RD COMPARE * port=1 adr=05 act=89641484 exp=89641484 + 927.50ns INFO [00000929] Port=0 WR @0D=83621AEE + 928.50ns INFO [00000930] Port=0 WR @05=35B9C749 + 928.50ns INFO [00000930] Port=0 RD @0A + 929.50ns INFO [00000931] Port=1 RD @14 + 930.50ns INFO [00000932] * RD COMPARE * port=0 adr=0A act=C5A5E3F8 exp=C5A5E3F8 + 931.50ns INFO [00000933] * RD COMPARE * port=1 adr=14 act=FD72ED59 exp=FD72ED59 + 932.50ns INFO [00000934] Port=0 WR @12=8C938EB6 + 932.50ns INFO [00000934] Port=1 RD @18 + 933.50ns INFO [00000935] Port=1 RD @0B + 934.50ns INFO [00000936] * RD COMPARE * port=1 adr=18 act=D3E969B0 exp=D3E969B0 + 934.50ns INFO [00000936] Port=0 WR @1C=54ADC5FB + 934.50ns INFO [00000936] Port=1 RD @05 + 935.50ns INFO [00000937] * RD COMPARE * port=1 adr=0B act=111CB780 exp=111CB780 + 935.50ns INFO [00000937] Port=0 WR @09=293F759C + 935.50ns INFO [00000937] Port=1 RD @0A + 936.50ns INFO [00000938] * RD COMPARE * port=1 adr=05 act=35B9C749 exp=35B9C749 + 936.50ns INFO [00000938] Port=0 WR @08=30483266 + 937.50ns INFO [00000939] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8 + 937.50ns INFO [00000939] Port=0 WR @0E=0FF02759 + 937.50ns INFO [00000939] Port=1 RD @0D + 938.50ns INFO [00000940] Port=0 WR @02=330E23D8 + 938.50ns INFO [00000940] Port=0 RD @0D + 938.50ns INFO [00000940] Port=1 RD @06 + 939.50ns INFO [00000941] * RD COMPARE * port=1 adr=0D act=83621AEE exp=83621AEE + 940.50ns INFO [00000942] * RD COMPARE * port=0 adr=0D act=83621AEE exp=83621AEE + 940.50ns INFO [00000942] * RD COMPARE * port=1 adr=06 act=E83AF817 exp=E83AF817 + 940.50ns INFO [00000942] Port=0 WR @18=F2D6CDCE + 940.50ns INFO [00000942] Port=0 RD @16 + 941.50ns INFO [00000943] Port=0 RD @11 + 942.50ns INFO [00000944] * RD COMPARE * port=0 adr=16 act=ADBAA753 exp=ADBAA753 + 942.50ns INFO [00000944] Port=0 WR @14=CC884AB7 + 942.50ns INFO [00000944] Port=1 RD @1A + 943.50ns INFO [00000945] * RD COMPARE * port=0 adr=11 act=3EE5EED9 exp=3EE5EED9 + 944.50ns INFO [00000946] * RD COMPARE * port=1 adr=1A act=E42D60BF exp=E42D60BF + 944.50ns INFO [00000946] Port=1 RD @15 + 945.50ns INFO [00000947] Port=0 WR @04=C049D916 + 946.50ns INFO [00000948] * RD COMPARE * port=1 adr=15 act=3263BACA exp=3263BACA + 946.50ns INFO [00000948] Port=0 RD @11 + 946.50ns INFO [00000948] Port=1 RD @1F + 948.50ns INFO [00000950] * RD COMPARE * port=0 adr=11 act=3EE5EED9 exp=3EE5EED9 + 948.50ns INFO [00000950] * RD COMPARE * port=1 adr=1F act=C1F5EB4D exp=C1F5EB4D + 948.50ns INFO [00000950] Port=0 RD @0B + 948.50ns INFO [00000950] Port=1 RD @09 + 949.50ns INFO [00000951] Port=0 RD @17 + 950.50ns INFO [00000952] * RD COMPARE * port=0 adr=0B act=111CB780 exp=111CB780 + 950.50ns INFO [00000952] * RD COMPARE * port=1 adr=09 act=293F759C exp=293F759C + 950.50ns INFO [00000952] Port=0 RD @05 + 951.50ns INFO [00000953] * RD COMPARE * port=0 adr=17 act=7C8F217E exp=7C8F217E + 951.50ns INFO [00000953] Port=0 WR @05=FA5ABEE1 + 951.50ns INFO [00000953] Port=0 RD @16 + 952.50ns INFO [00000954] * RD COMPARE * port=0 adr=05 act=35B9C749 exp=35B9C749 + 952.50ns INFO [00000954] Port=0 RD @1D + 953.50ns INFO [00000955] * RD COMPARE * port=0 adr=16 act=ADBAA753 exp=ADBAA753 + 953.50ns INFO [00000955] Port=0 WR @03=FF7E3BFD + 953.50ns INFO [00000955] Port=0 RD @0C + 953.50ns INFO [00000955] Port=1 RD @05 + 954.50ns INFO [00000956] * RD COMPARE * port=0 adr=1D act=945CDCCA exp=945CDCCA + 954.50ns INFO [00000956] Port=0 WR @10=C6258E67 + 955.50ns INFO [00000957] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300 + 955.50ns INFO [00000957] * RD COMPARE * port=1 adr=05 act=FA5ABEE1 exp=FA5ABEE1 + 955.50ns INFO [00000957] Port=0 RD @0E + 956.50ns INFO [00000958] Port=0 WR @02=C52DE185 + 956.50ns INFO [00000958] Port=0 RD @19 + 957.50ns INFO [00000959] * RD COMPARE * port=0 adr=0E act=0FF02759 exp=0FF02759 + 957.50ns INFO [00000959] Port=0 RD @03 + 958.50ns INFO [00000960] * RD COMPARE * port=0 adr=19 act=94C6D60D exp=94C6D60D + 958.50ns INFO [00000960] Port=1 RD @11 + 959.50ns INFO [00000961] * RD COMPARE * port=0 adr=03 act=FF7E3BFD exp=FF7E3BFD + 960.50ns INFO [00000962] * RD COMPARE * port=1 adr=11 act=3EE5EED9 exp=3EE5EED9 + 960.50ns INFO [00000962] Port=0 RD @0F + 960.50ns INFO [00000962] Port=1 RD @0E + 961.50ns INFO [00000963] Port=1 RD @0F + 962.50ns INFO [00000964] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA + 962.50ns INFO [00000964] * RD COMPARE * port=1 adr=0E act=0FF02759 exp=0FF02759 + 962.50ns INFO [00000964] Port=1 RD @0D + 963.50ns INFO [00000965] * RD COMPARE * port=1 adr=0F act=B8A773BA exp=B8A773BA + 963.50ns INFO [00000965] Port=0 WR @00=3C59FED8 + 963.50ns INFO [00000965] Port=0 RD @1B + 964.50ns INFO [00000966] * RD COMPARE * port=1 adr=0D act=83621AEE exp=83621AEE + 964.50ns INFO [00000966] Port=0 WR @15=0ADBC30E + 964.50ns INFO [00000966] Port=1 RD @1F + 965.50ns INFO [00000967] * RD COMPARE * port=0 adr=1B act=ACA13312 exp=ACA13312 + 965.50ns INFO [00000967] Port=1 RD @14 + 966.50ns INFO [00000968] * RD COMPARE * port=1 adr=1F act=C1F5EB4D exp=C1F5EB4D + 966.50ns INFO [00000968] Port=0 RD @0F + 966.50ns INFO [00000968] Port=1 RD @07 + 967.50ns INFO [00000969] * RD COMPARE * port=1 adr=14 act=CC884AB7 exp=CC884AB7 + 967.50ns INFO [00000969] Port=0 WR @1E=695A3AA1 + 967.50ns INFO [00000969] Port=1 RD @04 + 968.50ns INFO [00000970] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA + 968.50ns INFO [00000970] * RD COMPARE * port=1 adr=07 act=8F48C90A exp=8F48C90A + 968.50ns INFO [00000970] Port=0 RD @13 + 969.50ns INFO [00000971] * RD COMPARE * port=1 adr=04 act=C049D916 exp=C049D916 + 969.50ns INFO [00000971] Port=0 WR @05=947254EE + 970.50ns INFO [00000972] * RD COMPARE * port=0 adr=13 act=504F46D7 exp=504F46D7 + 970.50ns INFO [00000972] Port=0 WR @14=9584FE4C + 970.50ns INFO [00000972] Port=0 RD @06 + 971.50ns INFO [00000973] Port=0 RD @0E + 972.50ns INFO [00000974] * RD COMPARE * port=0 adr=06 act=E83AF817 exp=E83AF817 + 972.50ns INFO [00000974] Port=1 RD @16 + 973.50ns INFO [00000975] * RD COMPARE * port=0 adr=0E act=0FF02759 exp=0FF02759 + 973.50ns INFO [00000975] Port=1 RD @1C + 974.50ns INFO [00000976] * RD COMPARE * port=1 adr=16 act=ADBAA753 exp=ADBAA753 + 974.50ns INFO [00000976] Port=1 RD @1C + 975.50ns INFO [00000977] * RD COMPARE * port=1 adr=1C act=54ADC5FB exp=54ADC5FB + 975.50ns INFO [00000977] Port=0 WR @0E=DD722C24 + 976.50ns INFO [00000978] * RD COMPARE * port=1 adr=1C act=54ADC5FB exp=54ADC5FB + 976.50ns INFO [00000978] Port=1 RD @14 + 977.50ns INFO [00000979] Port=0 WR @06=A5C5C637 + 978.50ns INFO [00000980] * RD COMPARE * port=1 adr=14 act=9584FE4C exp=9584FE4C + 981.50ns INFO [00000983] Port=0 WR @09=9D4B7864 + 982.50ns INFO [00000984] Port=1 RD @1C + 983.50ns INFO [00000985] Port=0 RD @01 + 983.50ns INFO [00000985] Port=1 RD @04 + 984.50ns INFO [00000986] * RD COMPARE * port=1 adr=1C act=54ADC5FB exp=54ADC5FB + 984.50ns INFO [00000986] Port=1 RD @10 + 985.50ns INFO [00000987] * RD COMPARE * port=0 adr=01 act=287C2FFB exp=287C2FFB + 985.50ns INFO [00000987] * RD COMPARE * port=1 adr=04 act=C049D916 exp=C049D916 + 985.50ns INFO [00000987] Port=0 WR @0A=AAD718D3 + 985.50ns INFO [00000987] Port=0 RD @09 + 985.50ns INFO [00000987] Port=1 RD @07 + 986.50ns INFO [00000988] * RD COMPARE * port=1 adr=10 act=C6258E67 exp=C6258E67 + 986.50ns INFO [00000988] Port=0 WR @11=F0A07B87 + 987.50ns INFO [00000989] * RD COMPARE * port=0 adr=09 act=9D4B7864 exp=9D4B7864 + 987.50ns INFO [00000989] * RD COMPARE * port=1 adr=07 act=8F48C90A exp=8F48C90A + 988.50ns INFO [00000990] Port=0 WR @1B=8CBE6071 + 988.50ns INFO [00000990] Port=0 RD @15 + 988.50ns INFO [00000990] Port=1 RD @09 + 989.50ns INFO [00000991] Port=1 RD @0B + 990.50ns INFO [00000992] * RD COMPARE * port=0 adr=15 act=0ADBC30E exp=0ADBC30E + 990.50ns INFO [00000992] * RD COMPARE * port=1 adr=09 act=9D4B7864 exp=9D4B7864 + 990.50ns INFO [00000992] Port=0 WR @00=9151B263 + 990.50ns INFO [00000992] Port=0 RD @13 + 991.50ns INFO [00000993] * RD COMPARE * port=1 adr=0B act=111CB780 exp=111CB780 + 991.50ns INFO [00000993] Port=0 WR @13=4982662A + 992.50ns INFO [00000994] * RD COMPARE * port=0 adr=13 act=504F46D7 exp=504F46D7 + 993.50ns INFO [00000995] Port=1 RD @1C + 994.50ns INFO [00000996] Port=1 RD @0A + 995.50ns INFO [00000997] * RD COMPARE * port=1 adr=1C act=54ADC5FB exp=54ADC5FB + 996.50ns INFO [00000998] * RD COMPARE * port=1 adr=0A act=AAD718D3 exp=AAD718D3 + 996.50ns INFO [00000998] Port=0 WR @07=7DAC804F + 997.50ns INFO [00000999] Port=0 WR @06=6BF61D1A + 998.00ns INFO [00001000] [00001000] ...tick... + 998.50ns INFO [00001000] Port=0 RD @05 + 1000.50ns INFO [00001002] * RD COMPARE * port=0 adr=05 act=947254EE exp=947254EE + 1000.50ns INFO [00001002] Port=0 RD @0C + 1001.50ns INFO [00001003] Port=0 RD @18 + 1002.50ns INFO [00001004] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300 + 1002.50ns INFO [00001004] Port=0 WR @09=F475C00E + 1002.50ns INFO [00001004] Port=1 RD @16 + 1003.50ns INFO [00001005] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE + 1003.50ns INFO [00001005] Port=1 RD @0B + 1004.50ns INFO [00001006] * RD COMPARE * port=1 adr=16 act=ADBAA753 exp=ADBAA753 + 1004.50ns INFO [00001006] Port=0 RD @00 + 1005.50ns INFO [00001007] * RD COMPARE * port=1 adr=0B act=111CB780 exp=111CB780 + 1005.50ns INFO [00001007] Port=0 RD @09 + 1006.50ns INFO [00001008] * RD COMPARE * port=0 adr=00 act=9151B263 exp=9151B263 + 1006.50ns INFO [00001008] Port=0 WR @13=89ACA984 + 1007.50ns INFO [00001009] * RD COMPARE * port=0 adr=09 act=F475C00E exp=F475C00E + 1007.50ns INFO [00001009] Port=0 RD @14 + 1008.50ns INFO [00001010] Port=1 RD @0C + 1009.50ns INFO [00001011] * RD COMPARE * port=0 adr=14 act=9584FE4C exp=9584FE4C + 1009.50ns INFO [00001011] Port=1 RD @08 + 1010.50ns INFO [00001012] * RD COMPARE * port=1 adr=0C act=D619D300 exp=D619D300 + 1010.50ns INFO [00001012] Port=0 WR @03=3078283A + 1010.50ns INFO [00001012] Port=1 RD @19 + 1011.50ns INFO [00001013] * RD COMPARE * port=1 adr=08 act=30483266 exp=30483266 + 1011.50ns INFO [00001013] Port=0 RD @17 + 1012.50ns INFO [00001014] * RD COMPARE * port=1 adr=19 act=94C6D60D exp=94C6D60D + 1012.50ns INFO [00001014] Port=1 RD @19 + 1013.50ns INFO [00001015] * RD COMPARE * port=0 adr=17 act=7C8F217E exp=7C8F217E + 1014.50ns INFO [00001016] * RD COMPARE * port=1 adr=19 act=94C6D60D exp=94C6D60D + 1014.50ns INFO [00001016] Port=0 WR @1B=448499D1 + 1015.50ns INFO [00001017] Port=1 RD @18 + 1017.50ns INFO [00001019] * RD COMPARE * port=1 adr=18 act=F2D6CDCE exp=F2D6CDCE + 1017.50ns INFO [00001019] Port=0 RD @09 + 1017.50ns INFO [00001019] Port=1 RD @06 + 1018.50ns INFO [00001020] Port=1 RD @01 + 1019.50ns INFO [00001021] * RD COMPARE * port=0 adr=09 act=F475C00E exp=F475C00E + 1019.50ns INFO [00001021] * RD COMPARE * port=1 adr=06 act=6BF61D1A exp=6BF61D1A + 1019.50ns INFO [00001021] Port=0 WR @16=3CAD5DF1 + 1019.50ns INFO [00001021] Port=1 RD @07 + 1020.50ns INFO [00001022] * RD COMPARE * port=1 adr=01 act=287C2FFB exp=287C2FFB + 1021.50ns INFO [00001023] * RD COMPARE * port=1 adr=07 act=7DAC804F exp=7DAC804F + 1021.50ns INFO [00001023] Port=0 RD @05 + 1022.50ns INFO [00001024] Port=1 RD @0D + 1023.50ns INFO [00001025] * RD COMPARE * port=0 adr=05 act=947254EE exp=947254EE + 1023.50ns INFO [00001025] Port=0 RD @1A + 1023.50ns INFO [00001025] Port=1 RD @0E + 1024.50ns INFO [00001026] * RD COMPARE * port=1 adr=0D act=83621AEE exp=83621AEE + 1025.50ns INFO [00001027] * RD COMPARE * port=0 adr=1A act=E42D60BF exp=E42D60BF + 1025.50ns INFO [00001027] * RD COMPARE * port=1 adr=0E act=DD722C24 exp=DD722C24 + 1025.50ns INFO [00001027] Port=0 WR @14=B252BAE9 + 1025.50ns INFO [00001027] Port=1 RD @08 + 1026.50ns INFO [00001028] Port=0 WR @11=C619BEE6 + 1027.50ns INFO [00001029] * RD COMPARE * port=1 adr=08 act=30483266 exp=30483266 + 1027.50ns INFO [00001029] Port=0 RD @1D + 1028.50ns INFO [00001030] Port=0 WR @10=ADD3DE42 + 1028.50ns INFO [00001030] Port=0 RD @00 + 1029.50ns INFO [00001031] * RD COMPARE * port=0 adr=1D act=945CDCCA exp=945CDCCA + 1029.50ns INFO [00001031] Port=0 RD @1E + 1030.50ns INFO [00001032] * RD COMPARE * port=0 adr=00 act=9151B263 exp=9151B263 + 1030.50ns INFO [00001032] Port=0 RD @1C + 1030.50ns INFO [00001032] Port=1 RD @13 + 1031.50ns INFO [00001033] * RD COMPARE * port=0 adr=1E act=695A3AA1 exp=695A3AA1 + 1031.50ns INFO [00001033] Port=0 WR @09=2145BE74 + 1031.50ns INFO [00001033] Port=0 RD @0C + 1032.50ns INFO [00001034] * RD COMPARE * port=0 adr=1C act=54ADC5FB exp=54ADC5FB + 1032.50ns INFO [00001034] * RD COMPARE * port=1 adr=13 act=89ACA984 exp=89ACA984 + 1032.50ns INFO [00001034] Port=0 WR @1D=D7DC7442 + 1033.50ns INFO [00001035] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300 + 1033.50ns INFO [00001035] Port=1 RD @1D + 1034.50ns INFO [00001036] Port=0 WR @04=9E9826CF + 1034.50ns INFO [00001036] Port=1 RD @15 + 1035.50ns INFO [00001037] * RD COMPARE * port=1 adr=1D act=D7DC7442 exp=D7DC7442 + 1036.50ns INFO [00001038] * RD COMPARE * port=1 adr=15 act=0ADBC30E exp=0ADBC30E + 1036.50ns INFO [00001038] Port=0 RD @18 + 1036.50ns INFO [00001038] Port=1 RD @10 + 1038.50ns INFO [00001040] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE + 1038.50ns INFO [00001040] * RD COMPARE * port=1 adr=10 act=ADD3DE42 exp=ADD3DE42 + 1038.50ns INFO [00001040] Port=0 WR @11=4CFFB6FA + 1038.50ns INFO [00001040] Port=1 RD @01 + 1039.50ns INFO [00001041] Port=0 WR @05=E9402C65 + 1039.50ns INFO [00001041] Port=0 RD @11 + 1040.50ns INFO [00001042] * RD COMPARE * port=1 adr=01 act=287C2FFB exp=287C2FFB + 1040.50ns INFO [00001042] Port=0 WR @03=DB534481 + 1040.50ns INFO [00001042] Port=0 RD @12 + 1041.50ns INFO [00001043] * RD COMPARE * port=0 adr=11 act=4CFFB6FA exp=4CFFB6FA + 1042.50ns INFO [00001044] * RD COMPARE * port=0 adr=12 act=8C938EB6 exp=8C938EB6 + 1043.50ns INFO [00001045] Port=0 RD @1B + 1044.50ns INFO [00001046] Port=0 RD @04 + 1044.50ns INFO [00001046] Port=1 RD @12 + 1045.50ns INFO [00001047] * RD COMPARE * port=0 adr=1B act=448499D1 exp=448499D1 + 1045.50ns INFO [00001047] Port=0 RD @18 + 1045.50ns INFO [00001047] Port=1 RD @12 + 1046.50ns INFO [00001048] * RD COMPARE * port=0 adr=04 act=9E9826CF exp=9E9826CF + 1046.50ns INFO [00001048] * RD COMPARE * port=1 adr=12 act=8C938EB6 exp=8C938EB6 + 1047.50ns INFO [00001049] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE + 1047.50ns INFO [00001049] * RD COMPARE * port=1 adr=12 act=8C938EB6 exp=8C938EB6 + 1047.50ns INFO [00001049] Port=1 RD @1D + 1049.50ns INFO [00001051] * RD COMPARE * port=1 adr=1D act=D7DC7442 exp=D7DC7442 + 1049.50ns INFO [00001051] Port=0 WR @14=27E5CDF1 + 1050.50ns INFO [00001052] Port=0 WR @12=80734A1D + 1051.50ns INFO [00001053] Port=1 RD @1D + 1052.50ns INFO [00001054] Port=1 RD @0C + 1053.50ns INFO [00001055] * RD COMPARE * port=1 adr=1D act=D7DC7442 exp=D7DC7442 + 1053.50ns INFO [00001055] Port=0 WR @0D=596D7F9E + 1053.50ns INFO [00001055] Port=0 RD @11 + 1053.50ns INFO [00001055] Port=1 RD @0B + 1054.50ns INFO [00001056] * RD COMPARE * port=1 adr=0C act=D619D300 exp=D619D300 + 1054.50ns INFO [00001056] Port=0 WR @03=9B568A77 + 1054.50ns INFO [00001056] Port=0 RD @11 + 1055.50ns INFO [00001057] * RD COMPARE * port=0 adr=11 act=4CFFB6FA exp=4CFFB6FA + 1055.50ns INFO [00001057] * RD COMPARE * port=1 adr=0B act=111CB780 exp=111CB780 + 1056.50ns INFO [00001058] * RD COMPARE * port=0 adr=11 act=4CFFB6FA exp=4CFFB6FA + 1056.50ns INFO [00001058] Port=0 RD @0F + 1056.50ns INFO [00001058] Port=1 RD @1F + 1057.50ns INFO [00001059] Port=0 WR @19=30DFD32E + 1057.50ns INFO [00001059] Port=1 RD @1C + 1058.50ns INFO [00001060] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA + 1058.50ns INFO [00001060] * RD COMPARE * port=1 adr=1F act=C1F5EB4D exp=C1F5EB4D + 1058.50ns INFO [00001060] Port=0 WR @14=F8D226DC + 1058.50ns INFO [00001060] Port=0 RD @02 + 1059.50ns INFO [00001061] * RD COMPARE * port=1 adr=1C act=54ADC5FB exp=54ADC5FB + 1060.50ns INFO [00001062] * RD COMPARE * port=0 adr=02 act=C52DE185 exp=C52DE185 + 1060.50ns INFO [00001062] Port=0 WR @13=C12502B4 + 1060.50ns INFO [00001062] Port=0 RD @1C + 1061.50ns INFO [00001063] Port=0 RD @04 + 1062.50ns INFO [00001064] * RD COMPARE * port=0 adr=1C act=54ADC5FB exp=54ADC5FB + 1062.50ns INFO [00001064] Port=0 WR @09=B18FD892 + 1063.50ns INFO [00001065] * RD COMPARE * port=0 adr=04 act=9E9826CF exp=9E9826CF + 1063.50ns INFO [00001065] Port=0 WR @17=554368EF + 1064.50ns INFO [00001066] Port=0 RD @14 + 1066.50ns INFO [00001068] * RD COMPARE * port=0 adr=14 act=F8D226DC exp=F8D226DC + 1067.50ns INFO [00001069] Port=0 RD @0B + 1067.50ns INFO [00001069] Port=1 RD @02 + 1068.50ns INFO [00001070] Port=0 RD @1A + 1069.50ns INFO [00001071] * RD COMPARE * port=0 adr=0B act=111CB780 exp=111CB780 + 1069.50ns INFO [00001071] * RD COMPARE * port=1 adr=02 act=C52DE185 exp=C52DE185 + 1069.50ns INFO [00001071] Port=0 RD @17 + 1069.50ns INFO [00001071] Port=1 RD @0B + 1070.50ns INFO [00001072] * RD COMPARE * port=0 adr=1A act=E42D60BF exp=E42D60BF + 1071.50ns INFO [00001073] * RD COMPARE * port=0 adr=17 act=554368EF exp=554368EF + 1071.50ns INFO [00001073] * RD COMPARE * port=1 adr=0B act=111CB780 exp=111CB780 + 1071.50ns INFO [00001073] Port=0 WR @1E=16B80DC2 + 1072.50ns INFO [00001074] Port=0 RD @18 + 1073.50ns INFO [00001075] Port=0 WR @02=44F3CF8E + 1073.50ns INFO [00001075] Port=1 RD @08 + 1074.50ns INFO [00001076] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE + 1074.50ns INFO [00001076] Port=0 RD @0D + 1075.50ns INFO [00001077] * RD COMPARE * port=1 adr=08 act=30483266 exp=30483266 + 1075.50ns INFO [00001077] Port=1 RD @1E + 1076.50ns INFO [00001078] * RD COMPARE * port=0 adr=0D act=596D7F9E exp=596D7F9E + 1076.50ns INFO [00001078] Port=0 WR @0D=F26774C5 + 1076.50ns INFO [00001078] Port=0 RD @07 + 1077.50ns INFO [00001079] * RD COMPARE * port=1 adr=1E act=16B80DC2 exp=16B80DC2 + 1077.50ns INFO [00001079] Port=0 RD @11 + 1078.50ns INFO [00001080] * RD COMPARE * port=0 adr=07 act=7DAC804F exp=7DAC804F + 1078.50ns INFO [00001080] Port=0 WR @1F=EA1F2363 + 1079.50ns INFO [00001081] * RD COMPARE * port=0 adr=11 act=4CFFB6FA exp=4CFFB6FA + 1080.50ns INFO [00001082] Port=0 RD @12 + 1082.50ns INFO [00001084] * RD COMPARE * port=0 adr=12 act=80734A1D exp=80734A1D + 1085.50ns INFO [00001087] Port=0 RD @1E + 1087.50ns INFO [00001089] * RD COMPARE * port=0 adr=1E act=16B80DC2 exp=16B80DC2 + 1087.50ns INFO [00001089] Port=0 RD @18 + 1087.50ns INFO [00001089] Port=1 RD @0D + 1088.50ns INFO [00001090] Port=0 WR @0E=1E412F4B + 1088.50ns INFO [00001090] Port=0 RD @11 + 1088.50ns INFO [00001090] Port=1 RD @1F + 1089.50ns INFO [00001091] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE + 1089.50ns INFO [00001091] * RD COMPARE * port=1 adr=0D act=F26774C5 exp=F26774C5 + 1089.50ns INFO [00001091] Port=0 WR @13=C1953E72 + 1089.50ns INFO [00001091] Port=0 RD @17 + 1090.50ns INFO [00001092] * RD COMPARE * port=0 adr=11 act=4CFFB6FA exp=4CFFB6FA + 1090.50ns INFO [00001092] * RD COMPARE * port=1 adr=1F act=EA1F2363 exp=EA1F2363 + 1091.50ns INFO [00001093] * RD COMPARE * port=0 adr=17 act=554368EF exp=554368EF + 1091.50ns INFO [00001093] Port=1 RD @11 + 1092.50ns INFO [00001094] Port=0 RD @08 + 1093.50ns INFO [00001095] * RD COMPARE * port=1 adr=11 act=4CFFB6FA exp=4CFFB6FA + 1093.50ns INFO [00001095] Port=1 RD @1F + 1094.50ns INFO [00001096] * RD COMPARE * port=0 adr=08 act=30483266 exp=30483266 + 1094.50ns INFO [00001096] Port=0 RD @13 + 1094.50ns INFO [00001096] Port=1 RD @05 + 1095.50ns INFO [00001097] * RD COMPARE * port=1 adr=1F act=EA1F2363 exp=EA1F2363 + 1095.50ns INFO [00001097] Port=0 WR @00=2739F71E + 1096.50ns INFO [00001098] * RD COMPARE * port=0 adr=13 act=C1953E72 exp=C1953E72 + 1096.50ns INFO [00001098] * RD COMPARE * port=1 adr=05 act=E9402C65 exp=E9402C65 + 1096.50ns INFO [00001098] Port=0 WR @02=32F151D3 + 1097.50ns INFO [00001099] Port=0 WR @0E=956F7359 + 1097.50ns INFO [00001099] Port=0 RD @18 + 1097.50ns INFO [00001099] Port=1 RD @1B + 1098.00ns INFO [00001100] [00001100] ...tick... + 1098.50ns INFO [00001100] Port=0 RD @08 + 1099.50ns INFO [00001101] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE + 1099.50ns INFO [00001101] * RD COMPARE * port=1 adr=1B act=448499D1 exp=448499D1 + 1099.50ns INFO [00001101] Port=0 WR @0B=E9FF8E54 + 1099.50ns INFO [00001101] Port=1 RD @13 + 1100.50ns INFO [00001102] * RD COMPARE * port=0 adr=08 act=30483266 exp=30483266 + 1101.50ns INFO [00001103] * RD COMPARE * port=1 adr=13 act=C1953E72 exp=C1953E72 + 1101.50ns INFO [00001103] Port=1 RD @0B + 1102.50ns INFO [00001104] Port=0 WR @12=C9916254 + 1102.50ns INFO [00001104] Port=0 RD @0F + 1103.50ns INFO [00001105] * RD COMPARE * port=1 adr=0B act=E9FF8E54 exp=E9FF8E54 + 1103.50ns INFO [00001105] Port=0 WR @15=CDE07F1A + 1104.50ns INFO [00001106] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA + 1104.50ns INFO [00001106] Port=0 RD @13 + 1104.50ns INFO [00001106] Port=1 RD @08 + 1106.50ns INFO [00001108] * RD COMPARE * port=0 adr=13 act=C1953E72 exp=C1953E72 + 1106.50ns INFO [00001108] * RD COMPARE * port=1 adr=08 act=30483266 exp=30483266 + 1106.50ns INFO [00001108] Port=1 RD @14 + 1107.50ns INFO [00001109] Port=0 WR @11=878537F3 + 1107.50ns INFO [00001109] Port=1 RD @03 + 1108.50ns INFO [00001110] * RD COMPARE * port=1 adr=14 act=F8D226DC exp=F8D226DC + 1109.50ns INFO [00001111] * RD COMPARE * port=1 adr=03 act=9B568A77 exp=9B568A77 + 1109.50ns INFO [00001111] Port=0 RD @05 + 1109.50ns INFO [00001111] Port=1 RD @07 + 1110.50ns INFO [00001112] Port=0 RD @19 + 1110.50ns INFO [00001112] Port=1 RD @18 + 1111.50ns INFO [00001113] * RD COMPARE * port=0 adr=05 act=E9402C65 exp=E9402C65 + 1111.50ns INFO [00001113] * RD COMPARE * port=1 adr=07 act=7DAC804F exp=7DAC804F + 1112.50ns INFO [00001114] * RD COMPARE * port=0 adr=19 act=30DFD32E exp=30DFD32E + 1112.50ns INFO [00001114] * RD COMPARE * port=1 adr=18 act=F2D6CDCE exp=F2D6CDCE + 1113.50ns INFO [00001115] Port=0 RD @19 + 1114.50ns INFO [00001116] Port=0 WR @0A=D14150EE + 1114.50ns INFO [00001116] Port=0 RD @0F + 1114.50ns INFO [00001116] Port=1 RD @17 + 1115.50ns INFO [00001117] * RD COMPARE * port=0 adr=19 act=30DFD32E exp=30DFD32E + 1115.50ns INFO [00001117] Port=1 RD @05 + 1116.50ns INFO [00001118] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA + 1116.50ns INFO [00001118] * RD COMPARE * port=1 adr=17 act=554368EF exp=554368EF + 1116.50ns INFO [00001118] Port=0 RD @16 + 1117.50ns INFO [00001119] * RD COMPARE * port=1 adr=05 act=E9402C65 exp=E9402C65 + 1117.50ns INFO [00001119] Port=0 WR @0B=CEE7D5E5 + 1118.50ns INFO [00001120] * RD COMPARE * port=0 adr=16 act=3CAD5DF1 exp=3CAD5DF1 + 1118.50ns INFO [00001120] Port=0 WR @0E=359A491C + 1118.50ns INFO [00001120] Port=0 RD @05 + 1118.50ns INFO [00001120] Port=1 RD @05 + 1119.50ns INFO [00001121] Port=0 RD @0F + 1120.50ns INFO [00001122] * RD COMPARE * port=0 adr=05 act=E9402C65 exp=E9402C65 + 1120.50ns INFO [00001122] * RD COMPARE * port=1 adr=05 act=E9402C65 exp=E9402C65 + 1120.50ns INFO [00001122] Port=0 WR @04=306E9906 + 1120.50ns INFO [00001122] Port=0 RD @12 + 1121.50ns INFO [00001123] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA + 1122.50ns INFO [00001124] * RD COMPARE * port=0 adr=12 act=C9916254 exp=C9916254 + 1123.50ns INFO [00001125] Port=1 RD @04 + 1124.50ns INFO [00001126] Port=0 RD @12 + 1124.50ns INFO [00001126] Port=1 RD @00 + 1125.50ns INFO [00001127] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906 + 1125.50ns INFO [00001127] Port=0 RD @15 + 1125.50ns INFO [00001127] Port=1 RD @17 + 1126.50ns INFO [00001128] * RD COMPARE * port=0 adr=12 act=C9916254 exp=C9916254 + 1126.50ns INFO [00001128] * RD COMPARE * port=1 adr=00 act=2739F71E exp=2739F71E + 1126.50ns INFO [00001128] Port=0 WR @0B=72847D05 + 1126.50ns INFO [00001128] Port=0 RD @00 + 1127.50ns INFO [00001129] * RD COMPARE * port=0 adr=15 act=CDE07F1A exp=CDE07F1A + 1127.50ns INFO [00001129] * RD COMPARE * port=1 adr=17 act=554368EF exp=554368EF + 1127.50ns INFO [00001129] Port=0 RD @0B + 1128.50ns INFO [00001130] * RD COMPARE * port=0 adr=00 act=2739F71E exp=2739F71E + 1128.50ns INFO [00001130] Port=0 RD @1D + 1129.50ns INFO [00001131] * RD COMPARE * port=0 adr=0B act=72847D05 exp=72847D05 + 1129.50ns INFO [00001131] Port=0 RD @06 + 1129.50ns INFO [00001131] Port=1 RD @0B + 1130.50ns INFO [00001132] * RD COMPARE * port=0 adr=1D act=D7DC7442 exp=D7DC7442 + 1131.50ns INFO [00001133] * RD COMPARE * port=0 adr=06 act=6BF61D1A exp=6BF61D1A + 1131.50ns INFO [00001133] * RD COMPARE * port=1 adr=0B act=72847D05 exp=72847D05 + 1131.50ns INFO [00001133] Port=0 RD @04 + 1132.50ns INFO [00001134] Port=0 WR @00=F570020E + 1133.50ns INFO [00001135] * RD COMPARE * port=0 adr=04 act=306E9906 exp=306E9906 + 1133.50ns INFO [00001135] Port=0 WR @0D=7F130F74 + 1133.50ns INFO [00001135] Port=0 RD @10 + 1133.50ns INFO [00001135] Port=1 RD @04 + 1134.50ns INFO [00001136] Port=0 WR @00=2E4A38DD + 1134.50ns INFO [00001136] Port=0 RD @1D + 1135.50ns INFO [00001137] * RD COMPARE * port=0 adr=10 act=ADD3DE42 exp=ADD3DE42 + 1135.50ns INFO [00001137] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906 + 1135.50ns INFO [00001137] Port=0 WR @10=7BF2289B + 1135.50ns INFO [00001137] Port=0 RD @0D + 1136.50ns INFO [00001138] * RD COMPARE * port=0 adr=1D act=D7DC7442 exp=D7DC7442 + 1136.50ns INFO [00001138] Port=1 RD @18 + 1137.50ns INFO [00001139] * RD COMPARE * port=0 adr=0D act=7F130F74 exp=7F130F74 + 1138.50ns INFO [00001140] * RD COMPARE * port=1 adr=18 act=F2D6CDCE exp=F2D6CDCE + 1138.50ns INFO [00001140] Port=0 WR @0E=C682B5F5 + 1138.50ns INFO [00001140] Port=1 RD @04 + 1139.50ns INFO [00001141] Port=0 WR @17=2E9CBCDF + 1139.50ns INFO [00001141] Port=1 RD @16 + 1140.50ns INFO [00001142] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906 + 1141.50ns INFO [00001143] * RD COMPARE * port=1 adr=16 act=3CAD5DF1 exp=3CAD5DF1 + 1142.50ns INFO [00001144] Port=0 RD @0F + 1143.50ns INFO [00001145] Port=0 WR @16=0B06F994 + 1143.50ns INFO [00001145] Port=1 RD @07 + 1144.50ns INFO [00001146] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA + 1145.50ns INFO [00001147] * RD COMPARE * port=1 adr=07 act=7DAC804F exp=7DAC804F + 1145.50ns INFO [00001147] Port=0 WR @14=2432AA4B + 1147.50ns INFO [00001149] Port=0 WR @00=EA772F8B + 1147.50ns INFO [00001149] Port=1 RD @0D + 1148.50ns INFO [00001150] Port=0 WR @19=1B569C86 + 1149.50ns INFO [00001151] * RD COMPARE * port=1 adr=0D act=7F130F74 exp=7F130F74 + 1149.50ns INFO [00001151] Port=0 RD @18 + 1150.50ns INFO [00001152] Port=0 RD @1B + 1151.50ns INFO [00001153] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE + 1151.50ns INFO [00001153] Port=0 RD @1A + 1152.50ns INFO [00001154] * RD COMPARE * port=0 adr=1B act=448499D1 exp=448499D1 + 1152.50ns INFO [00001154] Port=1 RD @0B + 1153.50ns INFO [00001155] * RD COMPARE * port=0 adr=1A act=E42D60BF exp=E42D60BF + 1153.50ns INFO [00001155] Port=0 RD @09 + 1154.50ns INFO [00001156] * RD COMPARE * port=1 adr=0B act=72847D05 exp=72847D05 + 1154.50ns INFO [00001156] Port=0 RD @15 + 1155.50ns INFO [00001157] * RD COMPARE * port=0 adr=09 act=B18FD892 exp=B18FD892 + 1155.50ns INFO [00001157] Port=0 WR @1A=9AC08FA1 + 1155.50ns INFO [00001157] Port=1 RD @12 + 1156.50ns INFO [00001158] * RD COMPARE * port=0 adr=15 act=CDE07F1A exp=CDE07F1A + 1156.50ns INFO [00001158] Port=0 RD @0F + 1157.50ns INFO [00001159] * RD COMPARE * port=1 adr=12 act=C9916254 exp=C9916254 + 1157.50ns INFO [00001159] Port=0 WR @0B=D9D4563A + 1157.50ns INFO [00001159] Port=1 RD @0F + 1158.50ns INFO [00001160] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA + 1158.50ns INFO [00001160] Port=0 WR @16=0001657D + 1159.50ns INFO [00001161] * RD COMPARE * port=1 adr=0F act=B8A773BA exp=B8A773BA + 1159.50ns INFO [00001161] Port=0 WR @13=884D24C1 + 1159.50ns INFO [00001161] Port=1 RD @0B + 1160.50ns INFO [00001162] Port=0 RD @09 + 1161.50ns INFO [00001163] * RD COMPARE * port=1 adr=0B act=D9D4563A exp=D9D4563A + 1161.50ns INFO [00001163] Port=1 RD @0F + 1162.50ns INFO [00001164] * RD COMPARE * port=0 adr=09 act=B18FD892 exp=B18FD892 + 1162.50ns INFO [00001164] Port=1 RD @1A + 1163.50ns INFO [00001165] * RD COMPARE * port=1 adr=0F act=B8A773BA exp=B8A773BA + 1163.50ns INFO [00001165] Port=0 WR @14=82CAE043 + 1164.50ns INFO [00001166] * RD COMPARE * port=1 adr=1A act=9AC08FA1 exp=9AC08FA1 + 1164.50ns INFO [00001166] Port=0 WR @1E=EFE57229 + 1166.50ns INFO [00001168] Port=0 WR @1B=D5D85D8E + 1166.50ns INFO [00001168] Port=1 RD @04 + 1167.50ns INFO [00001169] Port=1 RD @14 + 1168.50ns INFO [00001170] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906 + 1168.50ns INFO [00001170] Port=0 WR @09=765E9D43 + 1168.50ns INFO [00001170] Port=1 RD @0E + 1169.50ns INFO [00001171] * RD COMPARE * port=1 adr=14 act=82CAE043 exp=82CAE043 + 1169.50ns INFO [00001171] Port=1 RD @0D + 1170.50ns INFO [00001172] * RD COMPARE * port=1 adr=0E act=C682B5F5 exp=C682B5F5 + 1171.50ns INFO [00001173] * RD COMPARE * port=1 adr=0D act=7F130F74 exp=7F130F74 + 1171.50ns INFO [00001173] Port=1 RD @09 + 1172.50ns INFO [00001174] Port=0 WR @14=6F8D3214 + 1172.50ns INFO [00001174] Port=1 RD @15 + 1173.50ns INFO [00001175] * RD COMPARE * port=1 adr=09 act=765E9D43 exp=765E9D43 + 1173.50ns INFO [00001175] Port=1 RD @08 + 1174.50ns INFO [00001176] * RD COMPARE * port=1 adr=15 act=CDE07F1A exp=CDE07F1A + 1174.50ns INFO [00001176] Port=0 WR @12=74C17F39 + 1174.50ns INFO [00001176] Port=1 RD @0E + 1175.50ns INFO [00001177] * RD COMPARE * port=1 adr=08 act=30483266 exp=30483266 + 1175.50ns INFO [00001177] Port=0 WR @02=FCC8197B + 1175.50ns INFO [00001177] Port=0 RD @09 + 1175.50ns INFO [00001177] Port=1 RD @11 + 1176.50ns INFO [00001178] * RD COMPARE * port=1 adr=0E act=C682B5F5 exp=C682B5F5 + 1176.50ns INFO [00001178] Port=0 WR @1B=3F145A52 + 1177.50ns INFO [00001179] * RD COMPARE * port=0 adr=09 act=765E9D43 exp=765E9D43 + 1177.50ns INFO [00001179] * RD COMPARE * port=1 adr=11 act=878537F3 exp=878537F3 + 1177.50ns INFO [00001179] Port=0 RD @1C + 1177.50ns INFO [00001179] Port=1 RD @0E + 1178.50ns INFO [00001180] Port=0 WR @15=99716294 + 1178.50ns INFO [00001180] Port=0 RD @01 + 1179.50ns INFO [00001181] * RD COMPARE * port=0 adr=1C act=54ADC5FB exp=54ADC5FB + 1179.50ns INFO [00001181] * RD COMPARE * port=1 adr=0E act=C682B5F5 exp=C682B5F5 + 1179.50ns INFO [00001181] Port=0 WR @1A=A9EBA845 + 1180.50ns INFO [00001182] * RD COMPARE * port=0 adr=01 act=287C2FFB exp=287C2FFB + 1180.50ns INFO [00001182] Port=1 RD @06 + 1181.50ns INFO [00001183] Port=0 WR @0B=593B5BC5 + 1181.50ns INFO [00001183] Port=0 RD @09 + 1182.50ns INFO [00001184] * RD COMPARE * port=1 adr=06 act=6BF61D1A exp=6BF61D1A + 1182.50ns INFO [00001184] Port=0 WR @13=82C505FC + 1182.50ns INFO [00001184] Port=0 RD @00 + 1183.50ns INFO [00001185] * RD COMPARE * port=0 adr=09 act=765E9D43 exp=765E9D43 + 1184.50ns INFO [00001186] * RD COMPARE * port=0 adr=00 act=EA772F8B exp=EA772F8B + 1184.50ns INFO [00001186] Port=0 RD @0D + 1184.50ns INFO [00001186] Port=1 RD @19 + 1185.50ns INFO [00001187] Port=0 WR @15=95FAAD8C + 1185.50ns INFO [00001187] Port=1 RD @17 + 1186.50ns INFO [00001188] * RD COMPARE * port=0 adr=0D act=7F130F74 exp=7F130F74 + 1186.50ns INFO [00001188] * RD COMPARE * port=1 adr=19 act=1B569C86 exp=1B569C86 + 1186.50ns INFO [00001188] Port=0 RD @0C + 1187.50ns INFO [00001189] * RD COMPARE * port=1 adr=17 act=2E9CBCDF exp=2E9CBCDF + 1187.50ns INFO [00001189] Port=0 RD @00 + 1188.50ns INFO [00001190] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300 + 1188.50ns INFO [00001190] Port=0 WR @12=7537BB27 + 1189.50ns INFO [00001191] * RD COMPARE * port=0 adr=00 act=EA772F8B exp=EA772F8B + 1189.50ns INFO [00001191] Port=0 WR @17=98984550 + 1190.50ns INFO [00001192] Port=0 RD @11 + 1190.50ns INFO [00001192] Port=1 RD @00 + 1191.50ns INFO [00001193] Port=0 RD @01 + 1191.50ns INFO [00001193] Port=1 RD @09 + 1192.50ns INFO [00001194] * RD COMPARE * port=0 adr=11 act=878537F3 exp=878537F3 + 1192.50ns INFO [00001194] * RD COMPARE * port=1 adr=00 act=EA772F8B exp=EA772F8B + 1192.50ns INFO [00001194] Port=0 RD @07 + 1192.50ns INFO [00001194] Port=1 RD @1A + 1193.50ns INFO [00001195] * RD COMPARE * port=0 adr=01 act=287C2FFB exp=287C2FFB + 1193.50ns INFO [00001195] * RD COMPARE * port=1 adr=09 act=765E9D43 exp=765E9D43 + 1193.50ns INFO [00001195] Port=0 WR @18=A7910989 + 1193.50ns INFO [00001195] Port=0 RD @17 + 1194.50ns INFO [00001196] * RD COMPARE * port=0 adr=07 act=7DAC804F exp=7DAC804F + 1194.50ns INFO [00001196] * RD COMPARE * port=1 adr=1A act=A9EBA845 exp=A9EBA845 + 1194.50ns INFO [00001196] Port=0 WR @13=BF95D673 + 1195.50ns INFO [00001197] * RD COMPARE * port=0 adr=17 act=98984550 exp=98984550 + 1195.50ns INFO [00001197] Port=0 WR @0B=2D782A4F + 1195.50ns INFO [00001197] Port=0 RD @15 + 1196.50ns INFO [00001198] Port=0 WR @1B=AFF2EAF1 + 1196.50ns INFO [00001198] Port=1 RD @07 + 1197.50ns INFO [00001199] * RD COMPARE * port=0 adr=15 act=95FAAD8C exp=95FAAD8C + 1197.50ns INFO [00001199] Port=0 WR @17=EC345447 + 1198.00ns INFO [00001200] [00001200] ...tick... + 1198.50ns INFO [00001200] * RD COMPARE * port=1 adr=07 act=7DAC804F exp=7DAC804F + 1198.50ns INFO [00001200] Port=0 RD @1F + 1199.50ns INFO [00001201] Port=0 WR @00=A070E000 + 1199.50ns INFO [00001201] Port=1 RD @13 + 1200.50ns INFO [00001202] * RD COMPARE * port=0 adr=1F act=EA1F2363 exp=EA1F2363 + 1200.50ns INFO [00001202] Port=0 RD @01 + 1200.50ns INFO [00001202] Port=1 RD @0F + 1201.50ns INFO [00001203] * RD COMPARE * port=1 adr=13 act=BF95D673 exp=BF95D673 + 1201.50ns INFO [00001203] Port=0 WR @1C=260094AD + 1201.50ns INFO [00001203] Port=1 RD @1A + 1202.50ns INFO [00001204] * RD COMPARE * port=0 adr=01 act=287C2FFB exp=287C2FFB + 1202.50ns INFO [00001204] * RD COMPARE * port=1 adr=0F act=B8A773BA exp=B8A773BA + 1202.50ns INFO [00001204] Port=0 WR @15=66EF99E0 + 1203.50ns INFO [00001205] * RD COMPARE * port=1 adr=1A act=A9EBA845 exp=A9EBA845 + 1204.50ns INFO [00001206] Port=0 RD @1A + 1205.50ns INFO [00001207] Port=0 WR @09=9A7B400C + 1206.50ns INFO [00001208] * RD COMPARE * port=0 adr=1A act=A9EBA845 exp=A9EBA845 + 1207.50ns INFO [00001209] Port=0 RD @01 + 1208.50ns INFO [00001210] Port=1 RD @13 + 1209.50ns INFO [00001211] * RD COMPARE * port=0 adr=01 act=287C2FFB exp=287C2FFB + 1209.50ns INFO [00001211] Port=0 WR @1E=B614949C + 1210.50ns INFO [00001212] * RD COMPARE * port=1 adr=13 act=BF95D673 exp=BF95D673 + 1211.50ns INFO [00001213] Port=1 RD @17 + 1212.50ns INFO [00001214] Port=1 RD @15 + 1213.50ns INFO [00001215] * RD COMPARE * port=1 adr=17 act=EC345447 exp=EC345447 + 1214.50ns INFO [00001216] * RD COMPARE * port=1 adr=15 act=66EF99E0 exp=66EF99E0 + 1214.50ns INFO [00001216] Port=0 RD @18 + 1215.50ns INFO [00001217] Port=0 RD @06 + 1215.50ns INFO [00001217] Port=1 RD @14 + 1216.50ns INFO [00001218] * RD COMPARE * port=0 adr=18 act=A7910989 exp=A7910989 + 1216.50ns INFO [00001218] Port=0 RD @02 + 1217.50ns INFO [00001219] * RD COMPARE * port=0 adr=06 act=6BF61D1A exp=6BF61D1A + 1217.50ns INFO [00001219] * RD COMPARE * port=1 adr=14 act=6F8D3214 exp=6F8D3214 + 1218.50ns INFO [00001220] * RD COMPARE * port=0 adr=02 act=FCC8197B exp=FCC8197B + 1218.50ns INFO [00001220] Port=0 WR @02=AF5CCC40 + 1218.50ns INFO [00001220] Port=1 RD @07 + 1219.50ns INFO [00001221] Port=0 RD @08 + 1220.50ns INFO [00001222] * RD COMPARE * port=1 adr=07 act=7DAC804F exp=7DAC804F + 1220.50ns INFO [00001222] Port=0 WR @1D=5922CFBE + 1221.50ns INFO [00001223] * RD COMPARE * port=0 adr=08 act=30483266 exp=30483266 + 1221.50ns INFO [00001223] Port=0 WR @16=2C305958 + 1221.50ns INFO [00001223] Port=1 RD @01 + 1222.50ns INFO [00001224] Port=0 WR @09=445A9F76 + 1222.50ns INFO [00001224] Port=1 RD @0C + 1223.50ns INFO [00001225] * RD COMPARE * port=1 adr=01 act=287C2FFB exp=287C2FFB + 1224.50ns INFO [00001226] * RD COMPARE * port=1 adr=0C act=D619D300 exp=D619D300 + 1224.50ns INFO [00001226] Port=0 WR @03=A9A92971 + 1224.50ns INFO [00001226] Port=1 RD @16 + 1225.50ns INFO [00001227] Port=0 RD @18 + 1226.50ns INFO [00001228] * RD COMPARE * port=1 adr=16 act=2C305958 exp=2C305958 + 1227.50ns INFO [00001229] * RD COMPARE * port=0 adr=18 act=A7910989 exp=A7910989 + 1227.50ns INFO [00001229] Port=0 RD @08 + 1228.50ns INFO [00001230] Port=0 WR @11=8D0BBF6E + 1228.50ns INFO [00001230] Port=0 RD @05 + 1229.50ns INFO [00001231] * RD COMPARE * port=0 adr=08 act=30483266 exp=30483266 + 1229.50ns INFO [00001231] Port=0 RD @14 + 1230.50ns INFO [00001232] * RD COMPARE * port=0 adr=05 act=E9402C65 exp=E9402C65 + 1230.50ns INFO [00001232] Port=0 WR @1E=A688B568 + 1230.50ns INFO [00001232] Port=1 RD @05 + 1231.50ns INFO [00001233] * RD COMPARE * port=0 adr=14 act=6F8D3214 exp=6F8D3214 + 1231.50ns INFO [00001233] Port=0 WR @13=B592CF3D + 1231.50ns INFO [00001233] Port=1 RD @0B + 1232.50ns INFO [00001234] * RD COMPARE * port=1 adr=05 act=E9402C65 exp=E9402C65 + 1232.50ns INFO [00001234] Port=0 RD @02 + 1233.50ns INFO [00001235] * RD COMPARE * port=1 adr=0B act=2D782A4F exp=2D782A4F + 1233.50ns INFO [00001235] Port=0 WR @14=41B28C57 + 1233.50ns INFO [00001235] Port=0 RD @1B + 1234.50ns INFO [00001236] * RD COMPARE * port=0 adr=02 act=AF5CCC40 exp=AF5CCC40 + 1234.50ns INFO [00001236] Port=0 RD @1E + 1235.50ns INFO [00001237] * RD COMPARE * port=0 adr=1B act=AFF2EAF1 exp=AFF2EAF1 + 1235.50ns INFO [00001237] Port=0 RD @1B + 1235.50ns INFO [00001237] Port=1 RD @0A + 1236.50ns INFO [00001238] * RD COMPARE * port=0 adr=1E act=A688B568 exp=A688B568 + 1237.50ns INFO [00001239] * RD COMPARE * port=0 adr=1B act=AFF2EAF1 exp=AFF2EAF1 + 1237.50ns INFO [00001239] * RD COMPARE * port=1 adr=0A act=D14150EE exp=D14150EE + 1241.50ns INFO [00001243] Port=1 RD @0E + 1242.50ns INFO [00001244] Port=1 RD @11 + 1243.50ns INFO [00001245] * RD COMPARE * port=1 adr=0E act=C682B5F5 exp=C682B5F5 + 1243.50ns INFO [00001245] Port=0 RD @06 + 1244.50ns INFO [00001246] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1244.50ns INFO [00001246] Port=0 RD @1E + 1245.50ns INFO [00001247] * RD COMPARE * port=0 adr=06 act=6BF61D1A exp=6BF61D1A + 1245.50ns INFO [00001247] Port=0 RD @0C + 1246.50ns INFO [00001248] * RD COMPARE * port=0 adr=1E act=A688B568 exp=A688B568 + 1246.50ns INFO [00001248] Port=1 RD @10 + 1247.50ns INFO [00001249] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300 + 1248.50ns INFO [00001250] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B + 1248.50ns INFO [00001250] Port=0 RD @11 + 1248.50ns INFO [00001250] Port=1 RD @18 + 1249.50ns INFO [00001251] Port=0 WR @05=3F3BAB81 + 1249.50ns INFO [00001251] Port=0 RD @14 + 1249.50ns INFO [00001251] Port=1 RD @10 + 1250.50ns INFO [00001252] * RD COMPARE * port=0 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1250.50ns INFO [00001252] * RD COMPARE * port=1 adr=18 act=A7910989 exp=A7910989 + 1250.50ns INFO [00001252] Port=0 WR @08=D65AC7C1 + 1250.50ns INFO [00001252] Port=0 RD @0A + 1251.50ns INFO [00001253] * RD COMPARE * port=0 adr=14 act=41B28C57 exp=41B28C57 + 1251.50ns INFO [00001253] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B + 1251.50ns INFO [00001253] Port=1 RD @06 + 1252.50ns INFO [00001254] * RD COMPARE * port=0 adr=0A act=D14150EE exp=D14150EE + 1252.50ns INFO [00001254] Port=0 WR @1A=460D866E + 1252.50ns INFO [00001254] Port=0 RD @16 + 1253.50ns INFO [00001255] * RD COMPARE * port=1 adr=06 act=6BF61D1A exp=6BF61D1A + 1253.50ns INFO [00001255] Port=0 WR @1A=58D4E9BF + 1253.50ns INFO [00001255] Port=1 RD @19 + 1254.50ns INFO [00001256] * RD COMPARE * port=0 adr=16 act=2C305958 exp=2C305958 + 1254.50ns INFO [00001256] Port=0 WR @0E=56970ACC + 1255.50ns INFO [00001257] * RD COMPARE * port=1 adr=19 act=1B569C86 exp=1B569C86 + 1255.50ns INFO [00001257] Port=0 WR @18=A585B630 + 1255.50ns INFO [00001257] Port=0 RD @0C + 1256.50ns INFO [00001258] Port=0 RD @15 + 1257.50ns INFO [00001259] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300 + 1257.50ns INFO [00001259] Port=0 WR @1E=111FAC8A + 1258.50ns INFO [00001260] * RD COMPARE * port=0 adr=15 act=66EF99E0 exp=66EF99E0 + 1259.50ns INFO [00001261] Port=0 RD @0A + 1259.50ns INFO [00001261] Port=1 RD @10 + 1261.50ns INFO [00001263] * RD COMPARE * port=0 adr=0A act=D14150EE exp=D14150EE + 1261.50ns INFO [00001263] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B + 1261.50ns INFO [00001263] Port=1 RD @01 + 1262.50ns INFO [00001264] Port=0 RD @07 + 1263.50ns INFO [00001265] * RD COMPARE * port=1 adr=01 act=287C2FFB exp=287C2FFB + 1263.50ns INFO [00001265] Port=0 WR @0C=139628D6 + 1263.50ns INFO [00001265] Port=1 RD @1B + 1264.50ns INFO [00001266] * RD COMPARE * port=0 adr=07 act=7DAC804F exp=7DAC804F + 1264.50ns INFO [00001266] Port=0 WR @05=3DC53413 + 1264.50ns INFO [00001266] Port=1 RD @17 + 1265.50ns INFO [00001267] * RD COMPARE * port=1 adr=1B act=AFF2EAF1 exp=AFF2EAF1 + 1265.50ns INFO [00001267] Port=0 WR @1F=E6200CBB + 1265.50ns INFO [00001267] Port=1 RD @17 + 1266.50ns INFO [00001268] * RD COMPARE * port=1 adr=17 act=EC345447 exp=EC345447 + 1266.50ns INFO [00001268] Port=1 RD @10 + 1267.50ns INFO [00001269] * RD COMPARE * port=1 adr=17 act=EC345447 exp=EC345447 + 1267.50ns INFO [00001269] Port=0 WR @03=D2ACB198 + 1268.50ns INFO [00001270] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B + 1268.50ns INFO [00001270] Port=0 WR @09=52ABCE38 + 1268.50ns INFO [00001270] Port=1 RD @1A + 1269.50ns INFO [00001271] Port=0 WR @1A=780987EC + 1269.50ns INFO [00001271] Port=1 RD @16 + 1270.50ns INFO [00001272] * RD COMPARE * port=1 adr=1A act=58D4E9BF exp=58D4E9BF + 1270.50ns INFO [00001272] Port=0 WR @1D=B8A6D5FD + 1271.50ns INFO [00001273] * RD COMPARE * port=1 adr=16 act=2C305958 exp=2C305958 + 1271.50ns INFO [00001273] Port=0 WR @1D=AD0F02D9 + 1271.50ns INFO [00001273] Port=1 RD @0C + 1272.50ns INFO [00001274] Port=0 WR @03=5EF994D6 + 1272.50ns INFO [00001274] Port=1 RD @16 + 1273.50ns INFO [00001275] * RD COMPARE * port=1 adr=0C act=139628D6 exp=139628D6 + 1273.50ns INFO [00001275] Port=0 WR @00=5308E352 + 1274.50ns INFO [00001276] * RD COMPARE * port=1 adr=16 act=2C305958 exp=2C305958 + 1274.50ns INFO [00001276] Port=0 WR @17=EC420525 + 1274.50ns INFO [00001276] Port=0 RD @1D + 1274.50ns INFO [00001276] Port=1 RD @1B + 1275.50ns INFO [00001277] Port=0 RD @0A + 1275.50ns INFO [00001277] Port=1 RD @11 + 1276.50ns INFO [00001278] * RD COMPARE * port=0 adr=1D act=AD0F02D9 exp=AD0F02D9 + 1276.50ns INFO [00001278] * RD COMPARE * port=1 adr=1B act=AFF2EAF1 exp=AFF2EAF1 + 1276.50ns INFO [00001278] Port=0 RD @11 + 1277.50ns INFO [00001279] * RD COMPARE * port=0 adr=0A act=D14150EE exp=D14150EE + 1277.50ns INFO [00001279] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1277.50ns INFO [00001279] Port=0 WR @0C=E817F0DF + 1277.50ns INFO [00001279] Port=0 RD @09 + 1277.50ns INFO [00001279] Port=1 RD @00 + 1278.50ns INFO [00001280] * RD COMPARE * port=0 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1278.50ns INFO [00001280] Port=0 WR @08=F5142682 + 1279.50ns INFO [00001281] * RD COMPARE * port=0 adr=09 act=52ABCE38 exp=52ABCE38 + 1279.50ns INFO [00001281] * RD COMPARE * port=1 adr=00 act=5308E352 exp=5308E352 + 1279.50ns INFO [00001281] Port=0 WR @05=0D16C525 + 1279.50ns INFO [00001281] Port=0 RD @18 + 1279.50ns INFO [00001281] Port=1 RD @0C + 1280.50ns INFO [00001282] Port=0 RD @11 + 1281.50ns INFO [00001283] * RD COMPARE * port=0 adr=18 act=A585B630 exp=A585B630 + 1281.50ns INFO [00001283] * RD COMPARE * port=1 adr=0C act=E817F0DF exp=E817F0DF + 1282.50ns INFO [00001284] * RD COMPARE * port=0 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1283.50ns INFO [00001285] Port=0 RD @05 + 1283.50ns INFO [00001285] Port=1 RD @05 + 1284.50ns INFO [00001286] Port=1 RD @04 + 1285.50ns INFO [00001287] * RD COMPARE * port=0 adr=05 act=0D16C525 exp=0D16C525 + 1285.50ns INFO [00001287] * RD COMPARE * port=1 adr=05 act=0D16C525 exp=0D16C525 + 1285.50ns INFO [00001287] Port=0 WR @00=06A1DFFD + 1285.50ns INFO [00001287] Port=0 RD @10 + 1285.50ns INFO [00001287] Port=1 RD @05 + 1286.50ns INFO [00001288] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906 + 1286.50ns INFO [00001288] Port=0 RD @1A + 1286.50ns INFO [00001288] Port=1 RD @0D + 1287.50ns INFO [00001289] * RD COMPARE * port=0 adr=10 act=7BF2289B exp=7BF2289B + 1287.50ns INFO [00001289] * RD COMPARE * port=1 adr=05 act=0D16C525 exp=0D16C525 + 1288.50ns INFO [00001290] * RD COMPARE * port=0 adr=1A act=780987EC exp=780987EC + 1288.50ns INFO [00001290] * RD COMPARE * port=1 adr=0D act=7F130F74 exp=7F130F74 + 1288.50ns INFO [00001290] Port=0 RD @11 + 1289.50ns INFO [00001291] Port=0 WR @1E=44813047 + 1289.50ns INFO [00001291] Port=0 RD @13 + 1290.50ns INFO [00001292] * RD COMPARE * port=0 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1290.50ns INFO [00001292] Port=0 RD @10 + 1290.50ns INFO [00001292] Port=1 RD @15 + 1291.50ns INFO [00001293] * RD COMPARE * port=0 adr=13 act=B592CF3D exp=B592CF3D + 1291.50ns INFO [00001293] Port=1 RD @02 + 1292.50ns INFO [00001294] * RD COMPARE * port=0 adr=10 act=7BF2289B exp=7BF2289B + 1292.50ns INFO [00001294] * RD COMPARE * port=1 adr=15 act=66EF99E0 exp=66EF99E0 + 1292.50ns INFO [00001294] Port=0 WR @18=4420F317 + 1292.50ns INFO [00001294] Port=0 RD @00 + 1293.50ns INFO [00001295] * RD COMPARE * port=1 adr=02 act=AF5CCC40 exp=AF5CCC40 + 1293.50ns INFO [00001295] Port=0 RD @0F + 1293.50ns INFO [00001295] Port=1 RD @15 + 1294.50ns INFO [00001296] * RD COMPARE * port=0 adr=00 act=06A1DFFD exp=06A1DFFD + 1294.50ns INFO [00001296] Port=1 RD @15 + 1295.50ns INFO [00001297] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA + 1295.50ns INFO [00001297] * RD COMPARE * port=1 adr=15 act=66EF99E0 exp=66EF99E0 + 1295.50ns INFO [00001297] Port=1 RD @16 + 1296.50ns INFO [00001298] * RD COMPARE * port=1 adr=15 act=66EF99E0 exp=66EF99E0 + 1296.50ns INFO [00001298] Port=0 WR @15=7F14E26A + 1296.50ns INFO [00001298] Port=0 RD @0B + 1296.50ns INFO [00001298] Port=1 RD @12 + 1297.50ns INFO [00001299] * RD COMPARE * port=1 adr=16 act=2C305958 exp=2C305958 + 1297.50ns INFO [00001299] Port=1 RD @11 + 1298.00ns INFO [00001300] [00001300] ...tick... + 1298.50ns INFO [00001300] * RD COMPARE * port=0 adr=0B act=2D782A4F exp=2D782A4F + 1298.50ns INFO [00001300] * RD COMPARE * port=1 adr=12 act=7537BB27 exp=7537BB27 + 1298.50ns INFO [00001300] Port=0 WR @07=7434846F + 1298.50ns INFO [00001300] Port=1 RD @1F + 1299.50ns INFO [00001301] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1300.50ns INFO [00001302] * RD COMPARE * port=1 adr=1F act=E6200CBB exp=E6200CBB + 1300.50ns INFO [00001302] Port=1 RD @1A + 1302.50ns INFO [00001304] * RD COMPARE * port=1 adr=1A act=780987EC exp=780987EC + 1302.50ns INFO [00001304] Port=1 RD @06 + 1304.50ns INFO [00001306] * RD COMPARE * port=1 adr=06 act=6BF61D1A exp=6BF61D1A + 1304.50ns INFO [00001306] Port=0 WR @07=43DDE4F1 + 1305.50ns INFO [00001307] Port=0 RD @07 + 1305.50ns INFO [00001307] Port=1 RD @11 + 1307.50ns INFO [00001309] * RD COMPARE * port=0 adr=07 act=43DDE4F1 exp=43DDE4F1 + 1307.50ns INFO [00001309] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1307.50ns INFO [00001309] Port=0 RD @00 + 1309.50ns INFO [00001311] * RD COMPARE * port=0 adr=00 act=06A1DFFD exp=06A1DFFD + 1309.50ns INFO [00001311] Port=0 WR @09=324E7F7B + 1310.50ns INFO [00001312] Port=0 WR @01=EA5F5BE1 + 1310.50ns INFO [00001312] Port=0 RD @0B + 1311.50ns INFO [00001313] Port=0 RD @0D + 1311.50ns INFO [00001313] Port=1 RD @01 + 1312.50ns INFO [00001314] * RD COMPARE * port=0 adr=0B act=2D782A4F exp=2D782A4F + 1313.50ns INFO [00001315] * RD COMPARE * port=0 adr=0D act=7F130F74 exp=7F130F74 + 1313.50ns INFO [00001315] * RD COMPARE * port=1 adr=01 act=EA5F5BE1 exp=EA5F5BE1 + 1313.50ns INFO [00001315] Port=1 RD @10 + 1315.50ns INFO [00001317] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B + 1315.50ns INFO [00001317] Port=0 WR @1E=563F5F6F + 1315.50ns INFO [00001317] Port=1 RD @15 + 1316.50ns INFO [00001318] Port=0 RD @00 + 1316.50ns INFO [00001318] Port=1 RD @11 + 1317.50ns INFO [00001319] * RD COMPARE * port=1 adr=15 act=7F14E26A exp=7F14E26A + 1317.50ns INFO [00001319] Port=1 RD @1A + 1318.50ns INFO [00001320] * RD COMPARE * port=0 adr=00 act=06A1DFFD exp=06A1DFFD + 1318.50ns INFO [00001320] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1319.50ns INFO [00001321] * RD COMPARE * port=1 adr=1A act=780987EC exp=780987EC + 1320.50ns INFO [00001322] Port=0 WR @16=DE863496 + 1320.50ns INFO [00001322] Port=1 RD @19 + 1321.50ns INFO [00001323] Port=0 WR @01=C6EDDC4F + 1321.50ns INFO [00001323] Port=1 RD @00 + 1322.50ns INFO [00001324] * RD COMPARE * port=1 adr=19 act=1B569C86 exp=1B569C86 + 1322.50ns INFO [00001324] Port=0 RD @0C + 1323.50ns INFO [00001325] * RD COMPARE * port=1 adr=00 act=06A1DFFD exp=06A1DFFD + 1324.50ns INFO [00001326] * RD COMPARE * port=0 adr=0C act=E817F0DF exp=E817F0DF + 1324.50ns INFO [00001326] Port=0 RD @01 + 1324.50ns INFO [00001326] Port=1 RD @13 + 1325.50ns INFO [00001327] Port=0 RD @15 + 1326.50ns INFO [00001328] * RD COMPARE * port=0 adr=01 act=C6EDDC4F exp=C6EDDC4F + 1326.50ns INFO [00001328] * RD COMPARE * port=1 adr=13 act=B592CF3D exp=B592CF3D + 1326.50ns INFO [00001328] Port=1 RD @17 + 1327.50ns INFO [00001329] * RD COMPARE * port=0 adr=15 act=7F14E26A exp=7F14E26A + 1328.50ns INFO [00001330] * RD COMPARE * port=1 adr=17 act=EC420525 exp=EC420525 + 1328.50ns INFO [00001330] Port=0 WR @18=110F9F72 + 1329.50ns INFO [00001331] Port=0 RD @11 + 1330.50ns INFO [00001332] Port=0 RD @19 + 1331.50ns INFO [00001333] * RD COMPARE * port=0 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1332.50ns INFO [00001334] * RD COMPARE * port=0 adr=19 act=1B569C86 exp=1B569C86 + 1332.50ns INFO [00001334] Port=0 WR @09=A9BE16D9 + 1333.50ns INFO [00001335] Port=1 RD @04 + 1334.50ns INFO [00001336] Port=0 WR @19=959CB6B6 + 1334.50ns INFO [00001336] Port=0 RD @1F + 1334.50ns INFO [00001336] Port=1 RD @09 + 1335.50ns INFO [00001337] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906 + 1335.50ns INFO [00001337] Port=0 WR @14=4F82599D + 1336.50ns INFO [00001338] * RD COMPARE * port=0 adr=1F act=E6200CBB exp=E6200CBB + 1336.50ns INFO [00001338] * RD COMPARE * port=1 adr=09 act=A9BE16D9 exp=A9BE16D9 + 1336.50ns INFO [00001338] Port=0 WR @1A=FA18D8AD + 1337.50ns INFO [00001339] Port=0 RD @09 + 1337.50ns INFO [00001339] Port=1 RD @1A + 1338.50ns INFO [00001340] Port=0 WR @1C=DAA281B9 + 1338.50ns INFO [00001340] Port=1 RD @01 + 1339.50ns INFO [00001341] * RD COMPARE * port=0 adr=09 act=A9BE16D9 exp=A9BE16D9 + 1339.50ns INFO [00001341] * RD COMPARE * port=1 adr=1A act=FA18D8AD exp=FA18D8AD + 1339.50ns INFO [00001341] Port=0 RD @06 + 1340.50ns INFO [00001342] * RD COMPARE * port=1 adr=01 act=C6EDDC4F exp=C6EDDC4F + 1340.50ns INFO [00001342] Port=0 RD @04 + 1340.50ns INFO [00001342] Port=1 RD @15 + 1341.50ns INFO [00001343] * RD COMPARE * port=0 adr=06 act=6BF61D1A exp=6BF61D1A + 1341.50ns INFO [00001343] Port=0 RD @06 + 1342.50ns INFO [00001344] * RD COMPARE * port=0 adr=04 act=306E9906 exp=306E9906 + 1342.50ns INFO [00001344] * RD COMPARE * port=1 adr=15 act=7F14E26A exp=7F14E26A + 1343.50ns INFO [00001345] * RD COMPARE * port=0 adr=06 act=6BF61D1A exp=6BF61D1A + 1344.50ns INFO [00001346] Port=0 WR @15=0603BEDD + 1344.50ns INFO [00001346] Port=0 RD @14 + 1344.50ns INFO [00001346] Port=1 RD @11 + 1346.50ns INFO [00001348] * RD COMPARE * port=0 adr=14 act=4F82599D exp=4F82599D + 1346.50ns INFO [00001348] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E + 1349.50ns INFO [00001351] Port=0 RD @14 + 1349.50ns INFO [00001351] Port=1 RD @19 + 1351.50ns INFO [00001353] * RD COMPARE * port=0 adr=14 act=4F82599D exp=4F82599D + 1351.50ns INFO [00001353] * RD COMPARE * port=1 adr=19 act=959CB6B6 exp=959CB6B6 + 1352.50ns INFO [00001354] Port=0 WR @13=A5490558 + 1353.50ns INFO [00001355] Port=1 RD @14 + 1354.50ns INFO [00001356] Port=0 WR @16=875617D4 + 1354.50ns INFO [00001356] Port=1 RD @0A + 1355.50ns INFO [00001357] * RD COMPARE * port=1 adr=14 act=4F82599D exp=4F82599D + 1355.50ns INFO [00001357] Port=0 WR @18=4104DC47 + 1356.50ns INFO [00001358] * RD COMPARE * port=1 adr=0A act=D14150EE exp=D14150EE + 1356.50ns INFO [00001358] Port=0 WR @1C=5988A163 + 1356.50ns INFO [00001358] Port=1 RD @04 + 1357.50ns INFO [00001359] Port=0 WR @17=2CD5CDE2 + 1358.50ns INFO [00001360] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906 + 1358.50ns INFO [00001360] Port=0 WR @00=6289B369 + 1358.50ns INFO [00001360] Port=1 RD @01 + 1359.50ns INFO [00001361] Port=0 RD @1A + 1360.50ns INFO [00001362] * RD COMPARE * port=1 adr=01 act=C6EDDC4F exp=C6EDDC4F + 1360.50ns INFO [00001362] Port=0 RD @16 + 1361.50ns INFO [00001363] * RD COMPARE * port=0 adr=1A act=FA18D8AD exp=FA18D8AD + 1362.50ns INFO [00001364] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4 + 1363.50ns INFO [00001365] Port=0 RD @1A + 1365.50ns INFO [00001367] * RD COMPARE * port=0 adr=1A act=FA18D8AD exp=FA18D8AD + 1365.50ns INFO [00001367] Port=1 RD @1D + 1366.50ns INFO [00001368] Port=0 WR @0C=348AEE93 + 1366.50ns INFO [00001368] Port=0 RD @04 + 1367.50ns INFO [00001369] * RD COMPARE * port=1 adr=1D act=AD0F02D9 exp=AD0F02D9 + 1368.50ns INFO [00001370] * RD COMPARE * port=0 adr=04 act=306E9906 exp=306E9906 + 1368.50ns INFO [00001370] Port=0 WR @04=A9AEAC33 + 1368.50ns INFO [00001370] Port=0 RD @13 + 1369.50ns INFO [00001371] Port=0 WR @06=A03C7042 + 1370.50ns INFO [00001372] * RD COMPARE * port=0 adr=13 act=A5490558 exp=A5490558 + 1371.50ns INFO [00001373] Port=0 WR @0A=9427EB31 + 1371.50ns INFO [00001373] Port=0 RD @1D + 1372.50ns INFO [00001374] Port=0 RD @07 + 1373.50ns INFO [00001375] * RD COMPARE * port=0 adr=1D act=AD0F02D9 exp=AD0F02D9 + 1373.50ns INFO [00001375] Port=0 RD @0E + 1374.50ns INFO [00001376] * RD COMPARE * port=0 adr=07 act=43DDE4F1 exp=43DDE4F1 + 1375.50ns INFO [00001377] * RD COMPARE * port=0 adr=0E act=56970ACC exp=56970ACC + 1375.50ns INFO [00001377] Port=0 WR @14=DCB5D1E6 + 1375.50ns INFO [00001377] Port=1 RD @00 + 1376.50ns INFO [00001378] Port=0 WR @1D=B212710B + 1376.50ns INFO [00001378] Port=1 RD @12 + 1377.50ns INFO [00001379] * RD COMPARE * port=1 adr=00 act=6289B369 exp=6289B369 + 1377.50ns INFO [00001379] Port=0 RD @01 + 1378.50ns INFO [00001380] * RD COMPARE * port=1 adr=12 act=7537BB27 exp=7537BB27 + 1379.50ns INFO [00001381] * RD COMPARE * port=0 adr=01 act=C6EDDC4F exp=C6EDDC4F + 1379.50ns INFO [00001381] Port=0 RD @02 + 1380.50ns INFO [00001382] Port=0 WR @03=615E04CF + 1381.50ns INFO [00001383] * RD COMPARE * port=0 adr=02 act=AF5CCC40 exp=AF5CCC40 + 1382.50ns INFO [00001384] Port=0 WR @0B=BF4E847C + 1383.50ns INFO [00001385] Port=0 WR @19=906E6CCB + 1385.50ns INFO [00001387] Port=0 WR @1D=0DE1F3E1 + 1385.50ns INFO [00001387] Port=0 RD @19 + 1385.50ns INFO [00001387] Port=1 RD @08 + 1386.50ns INFO [00001388] Port=1 RD @18 + 1387.50ns INFO [00001389] * RD COMPARE * port=0 adr=19 act=906E6CCB exp=906E6CCB + 1387.50ns INFO [00001389] * RD COMPARE * port=1 adr=08 act=F5142682 exp=F5142682 + 1387.50ns INFO [00001389] Port=0 WR @0D=A5EC1AF7 + 1387.50ns INFO [00001389] Port=0 RD @1A + 1388.50ns INFO [00001390] * RD COMPARE * port=1 adr=18 act=4104DC47 exp=4104DC47 + 1388.50ns INFO [00001390] Port=1 RD @0D + 1389.50ns INFO [00001391] * RD COMPARE * port=0 adr=1A act=FA18D8AD exp=FA18D8AD + 1389.50ns INFO [00001391] Port=0 WR @11=68D59238 + 1390.50ns INFO [00001392] * RD COMPARE * port=1 adr=0D act=A5EC1AF7 exp=A5EC1AF7 + 1391.50ns INFO [00001393] Port=0 WR @13=2EC12D06 + 1392.50ns INFO [00001394] Port=0 WR @07=1C465093 + 1392.50ns INFO [00001394] Port=0 RD @16 + 1393.50ns INFO [00001395] Port=0 WR @17=F445E162 + 1393.50ns INFO [00001395] Port=0 RD @09 + 1394.50ns INFO [00001396] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4 + 1394.50ns INFO [00001396] Port=0 WR @0A=934BD436 + 1394.50ns INFO [00001396] Port=0 RD @14 + 1395.50ns INFO [00001397] * RD COMPARE * port=0 adr=09 act=A9BE16D9 exp=A9BE16D9 + 1395.50ns INFO [00001397] Port=0 RD @0A + 1396.50ns INFO [00001398] * RD COMPARE * port=0 adr=14 act=DCB5D1E6 exp=DCB5D1E6 + 1396.50ns INFO [00001398] Port=1 RD @08 + 1397.50ns INFO [00001399] * RD COMPARE * port=0 adr=0A act=934BD436 exp=934BD436 + 1398.00ns INFO [00001400] [00001400] ...tick... + 1398.50ns INFO [00001400] * RD COMPARE * port=1 adr=08 act=F5142682 exp=F5142682 + 1399.50ns INFO [00001401] Port=0 WR @0F=070A78E2 + 1400.50ns INFO [00001402] Port=0 RD @04 + 1400.50ns INFO [00001402] Port=1 RD @14 + 1401.50ns INFO [00001403] Port=0 RD @02 + 1401.50ns INFO [00001403] Port=1 RD @10 + 1402.50ns INFO [00001404] * RD COMPARE * port=0 adr=04 act=A9AEAC33 exp=A9AEAC33 + 1402.50ns INFO [00001404] * RD COMPARE * port=1 adr=14 act=DCB5D1E6 exp=DCB5D1E6 + 1402.50ns INFO [00001404] Port=0 WR @02=06BDDFFA + 1402.50ns INFO [00001404] Port=1 RD @0A + 1403.50ns INFO [00001405] * RD COMPARE * port=0 adr=02 act=AF5CCC40 exp=AF5CCC40 + 1403.50ns INFO [00001405] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B + 1403.50ns INFO [00001405] Port=1 RD @0D + 1404.50ns INFO [00001406] * RD COMPARE * port=1 adr=0A act=934BD436 exp=934BD436 + 1405.50ns INFO [00001407] * RD COMPARE * port=1 adr=0D act=A5EC1AF7 exp=A5EC1AF7 + 1406.50ns INFO [00001408] Port=0 RD @1A + 1406.50ns INFO [00001408] Port=1 RD @1D + 1408.50ns INFO [00001410] * RD COMPARE * port=0 adr=1A act=FA18D8AD exp=FA18D8AD + 1408.50ns INFO [00001410] * RD COMPARE * port=1 adr=1D act=0DE1F3E1 exp=0DE1F3E1 + 1410.50ns INFO [00001412] Port=0 RD @06 + 1411.50ns INFO [00001413] Port=1 RD @1C + 1412.50ns INFO [00001414] * RD COMPARE * port=0 adr=06 act=A03C7042 exp=A03C7042 + 1412.50ns INFO [00001414] Port=0 WR @14=E2A4B3A9 + 1413.50ns INFO [00001415] * RD COMPARE * port=1 adr=1C act=5988A163 exp=5988A163 + 1413.50ns INFO [00001415] Port=1 RD @15 + 1414.50ns INFO [00001416] Port=0 WR @08=B749CE0E + 1414.50ns INFO [00001416] Port=0 RD @07 + 1415.50ns INFO [00001417] * RD COMPARE * port=1 adr=15 act=0603BEDD exp=0603BEDD + 1416.50ns INFO [00001418] * RD COMPARE * port=0 adr=07 act=1C465093 exp=1C465093 + 1417.50ns INFO [00001419] Port=0 WR @01=E38A1A66 + 1418.50ns INFO [00001420] Port=0 RD @11 + 1418.50ns INFO [00001420] Port=1 RD @09 + 1419.50ns INFO [00001421] Port=0 WR @06=2D0D0E40 + 1420.50ns INFO [00001422] * RD COMPARE * port=0 adr=11 act=68D59238 exp=68D59238 + 1420.50ns INFO [00001422] * RD COMPARE * port=1 adr=09 act=A9BE16D9 exp=A9BE16D9 + 1420.50ns INFO [00001422] Port=0 RD @1A + 1422.50ns INFO [00001424] * RD COMPARE * port=0 adr=1A act=FA18D8AD exp=FA18D8AD + 1422.50ns INFO [00001424] Port=0 WR @08=2C47B467 + 1423.50ns INFO [00001425] Port=0 WR @15=8F1A9DA9 + 1423.50ns INFO [00001425] Port=1 RD @07 + 1425.50ns INFO [00001427] * RD COMPARE * port=1 adr=07 act=1C465093 exp=1C465093 + 1425.50ns INFO [00001427] Port=0 RD @05 + 1425.50ns INFO [00001427] Port=1 RD @19 + 1426.50ns INFO [00001428] Port=0 RD @09 + 1426.50ns INFO [00001428] Port=1 RD @0D + 1427.50ns INFO [00001429] * RD COMPARE * port=0 adr=05 act=0D16C525 exp=0D16C525 + 1427.50ns INFO [00001429] * RD COMPARE * port=1 adr=19 act=906E6CCB exp=906E6CCB + 1428.50ns INFO [00001430] * RD COMPARE * port=0 adr=09 act=A9BE16D9 exp=A9BE16D9 + 1428.50ns INFO [00001430] * RD COMPARE * port=1 adr=0D act=A5EC1AF7 exp=A5EC1AF7 + 1428.50ns INFO [00001430] Port=0 RD @0A + 1429.50ns INFO [00001431] Port=0 WR @03=27B06CA2 + 1430.50ns INFO [00001432] * RD COMPARE * port=0 adr=0A act=934BD436 exp=934BD436 + 1431.50ns INFO [00001433] Port=1 RD @10 + 1432.50ns INFO [00001434] Port=0 RD @02 + 1433.50ns INFO [00001435] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B + 1433.50ns INFO [00001435] Port=0 WR @19=D0F03098 + 1434.50ns INFO [00001436] * RD COMPARE * port=0 adr=02 act=06BDDFFA exp=06BDDFFA + 1434.50ns INFO [00001436] Port=0 WR @0E=D7685E27 + 1435.50ns INFO [00001437] Port=0 WR @0A=13542E0F + 1436.50ns INFO [00001438] Port=0 RD @0E + 1437.50ns INFO [00001439] Port=1 RD @03 + 1438.50ns INFO [00001440] * RD COMPARE * port=0 adr=0E act=D7685E27 exp=D7685E27 + 1439.50ns INFO [00001441] * RD COMPARE * port=1 adr=03 act=27B06CA2 exp=27B06CA2 + 1439.50ns INFO [00001441] Port=0 RD @1F + 1439.50ns INFO [00001441] Port=1 RD @03 + 1440.50ns INFO [00001442] Port=1 RD @11 + 1441.50ns INFO [00001443] * RD COMPARE * port=0 adr=1F act=E6200CBB exp=E6200CBB + 1441.50ns INFO [00001443] * RD COMPARE * port=1 adr=03 act=27B06CA2 exp=27B06CA2 + 1441.50ns INFO [00001443] Port=0 WR @11=D7397906 + 1441.50ns INFO [00001443] Port=0 RD @09 + 1442.50ns INFO [00001444] * RD COMPARE * port=1 adr=11 act=68D59238 exp=68D59238 + 1443.50ns INFO [00001445] * RD COMPARE * port=0 adr=09 act=A9BE16D9 exp=A9BE16D9 + 1443.50ns INFO [00001445] Port=0 RD @1E + 1444.50ns INFO [00001446] Port=0 WR @12=BC9F2A5D + 1444.50ns INFO [00001446] Port=1 RD @19 + 1445.50ns INFO [00001447] * RD COMPARE * port=0 adr=1E act=563F5F6F exp=563F5F6F + 1445.50ns INFO [00001447] Port=0 WR @17=EAE55705 + 1446.50ns INFO [00001448] * RD COMPARE * port=1 adr=19 act=D0F03098 exp=D0F03098 + 1446.50ns INFO [00001448] Port=0 RD @1D + 1447.50ns INFO [00001449] Port=0 RD @0F + 1448.50ns INFO [00001450] * RD COMPARE * port=0 adr=1D act=0DE1F3E1 exp=0DE1F3E1 + 1448.50ns INFO [00001450] Port=0 WR @1F=F6822B45 + 1449.50ns INFO [00001451] * RD COMPARE * port=0 adr=0F act=070A78E2 exp=070A78E2 + 1449.50ns INFO [00001451] Port=0 WR @04=3AF0A7DE + 1449.50ns INFO [00001451] Port=0 RD @07 + 1450.50ns INFO [00001452] Port=0 WR @09=FB53E1D8 + 1450.50ns INFO [00001452] Port=0 RD @08 + 1450.50ns INFO [00001452] Port=1 RD @00 + 1451.50ns INFO [00001453] * RD COMPARE * port=0 adr=07 act=1C465093 exp=1C465093 + 1451.50ns INFO [00001453] Port=0 WR @0D=27DFE578 + 1451.50ns INFO [00001453] Port=0 RD @11 + 1452.50ns INFO [00001454] * RD COMPARE * port=0 adr=08 act=2C47B467 exp=2C47B467 + 1452.50ns INFO [00001454] * RD COMPARE * port=1 adr=00 act=6289B369 exp=6289B369 + 1452.50ns INFO [00001454] Port=0 RD @17 + 1453.50ns INFO [00001455] * RD COMPARE * port=0 adr=11 act=D7397906 exp=D7397906 + 1453.50ns INFO [00001455] Port=0 WR @06=92B40BB7 + 1454.50ns INFO [00001456] * RD COMPARE * port=0 adr=17 act=EAE55705 exp=EAE55705 + 1455.50ns INFO [00001457] Port=1 RD @0A + 1457.50ns INFO [00001459] * RD COMPARE * port=1 adr=0A act=13542E0F exp=13542E0F + 1457.50ns INFO [00001459] Port=0 WR @11=723DC22E + 1459.50ns INFO [00001461] Port=0 RD @12 + 1460.50ns INFO [00001462] Port=0 WR @13=663186FB + 1461.50ns INFO [00001463] * RD COMPARE * port=0 adr=12 act=BC9F2A5D exp=BC9F2A5D + 1461.50ns INFO [00001463] Port=0 WR @00=7FD8739F + 1461.50ns INFO [00001463] Port=0 RD @0B + 1462.50ns INFO [00001464] Port=0 RD @1D + 1463.50ns INFO [00001465] * RD COMPARE * port=0 adr=0B act=BF4E847C exp=BF4E847C + 1463.50ns INFO [00001465] Port=0 WR @0A=F4255EC0 + 1463.50ns INFO [00001465] Port=1 RD @11 + 1464.50ns INFO [00001466] * RD COMPARE * port=0 adr=1D act=0DE1F3E1 exp=0DE1F3E1 + 1464.50ns INFO [00001466] Port=0 RD @0C + 1464.50ns INFO [00001466] Port=1 RD @05 + 1465.50ns INFO [00001467] * RD COMPARE * port=1 adr=11 act=723DC22E exp=723DC22E + 1465.50ns INFO [00001467] Port=0 WR @07=4A8CAFAF + 1465.50ns INFO [00001467] Port=1 RD @0D + 1466.50ns INFO [00001468] * RD COMPARE * port=0 adr=0C act=348AEE93 exp=348AEE93 + 1466.50ns INFO [00001468] * RD COMPARE * port=1 adr=05 act=0D16C525 exp=0D16C525 + 1466.50ns INFO [00001468] Port=0 WR @0D=49C55FAE + 1466.50ns INFO [00001468] Port=1 RD @1B + 1467.50ns INFO [00001469] * RD COMPARE * port=1 adr=0D act=27DFE578 exp=27DFE578 + 1468.50ns INFO [00001470] * RD COMPARE * port=1 adr=1B act=AFF2EAF1 exp=AFF2EAF1 + 1468.50ns INFO [00001470] Port=0 WR @17=C4BC221A + 1468.50ns INFO [00001470] Port=0 RD @1C + 1469.50ns INFO [00001471] Port=0 WR @1B=491AB9DB + 1469.50ns INFO [00001471] Port=0 RD @1C + 1469.50ns INFO [00001471] Port=1 RD @1E + 1470.50ns INFO [00001472] * RD COMPARE * port=0 adr=1C act=5988A163 exp=5988A163 + 1470.50ns INFO [00001472] Port=0 WR @06=1C9B3947 + 1470.50ns INFO [00001472] Port=0 RD @18 + 1471.50ns INFO [00001473] * RD COMPARE * port=0 adr=1C act=5988A163 exp=5988A163 + 1471.50ns INFO [00001473] * RD COMPARE * port=1 adr=1E act=563F5F6F exp=563F5F6F + 1471.50ns INFO [00001473] Port=0 WR @18=89B2102C + 1472.50ns INFO [00001474] * RD COMPARE * port=0 adr=18 act=4104DC47 exp=4104DC47 + 1472.50ns INFO [00001474] Port=0 RD @15 + 1473.50ns INFO [00001475] Port=0 WR @19=AE26F395 + 1473.50ns INFO [00001475] Port=0 RD @0E + 1474.50ns INFO [00001476] * RD COMPARE * port=0 adr=15 act=8F1A9DA9 exp=8F1A9DA9 + 1474.50ns INFO [00001476] Port=0 WR @0A=728A6A13 + 1475.50ns INFO [00001477] * RD COMPARE * port=0 adr=0E act=D7685E27 exp=D7685E27 + 1475.50ns INFO [00001477] Port=0 WR @06=A623A4F4 + 1475.50ns INFO [00001477] Port=1 RD @11 + 1476.50ns INFO [00001478] Port=0 RD @13 + 1477.50ns INFO [00001479] * RD COMPARE * port=1 adr=11 act=723DC22E exp=723DC22E + 1478.50ns INFO [00001480] * RD COMPARE * port=0 adr=13 act=663186FB exp=663186FB + 1478.50ns INFO [00001480] Port=0 WR @06=0ECEFE46 + 1479.50ns INFO [00001481] Port=0 RD @15 + 1479.50ns INFO [00001481] Port=1 RD @18 + 1480.50ns INFO [00001482] Port=0 WR @06=1D330748 + 1481.50ns INFO [00001483] * RD COMPARE * port=0 adr=15 act=8F1A9DA9 exp=8F1A9DA9 + 1481.50ns INFO [00001483] * RD COMPARE * port=1 adr=18 act=89B2102C exp=89B2102C + 1481.50ns INFO [00001483] Port=0 RD @19 + 1482.50ns INFO [00001484] Port=1 RD @0B + 1483.50ns INFO [00001485] * RD COMPARE * port=0 adr=19 act=AE26F395 exp=AE26F395 + 1483.50ns INFO [00001485] Port=1 RD @0B + 1484.50ns INFO [00001486] * RD COMPARE * port=1 adr=0B act=BF4E847C exp=BF4E847C + 1484.50ns INFO [00001486] Port=0 WR @11=2A25C0BC + 1485.50ns INFO [00001487] * RD COMPARE * port=1 adr=0B act=BF4E847C exp=BF4E847C + 1486.50ns INFO [00001488] Port=0 RD @15 + 1487.50ns INFO [00001489] Port=0 WR @1F=A2A5461B + 1487.50ns INFO [00001489] Port=0 RD @05 + 1488.50ns INFO [00001490] * RD COMPARE * port=0 adr=15 act=8F1A9DA9 exp=8F1A9DA9 + 1488.50ns INFO [00001490] Port=0 RD @15 + 1488.50ns INFO [00001490] Port=1 RD @07 + 1489.50ns INFO [00001491] * RD COMPARE * port=0 adr=05 act=0D16C525 exp=0D16C525 + 1489.50ns INFO [00001491] Port=0 RD @10 + 1489.50ns INFO [00001491] Port=1 RD @19 + 1490.50ns INFO [00001492] * RD COMPARE * port=0 adr=15 act=8F1A9DA9 exp=8F1A9DA9 + 1490.50ns INFO [00001492] * RD COMPARE * port=1 adr=07 act=4A8CAFAF exp=4A8CAFAF + 1490.50ns INFO [00001492] Port=0 WR @1B=EB2E53EF + 1490.50ns INFO [00001492] Port=0 RD @1F + 1490.50ns INFO [00001492] Port=1 RD @13 + 1491.50ns INFO [00001493] * RD COMPARE * port=0 adr=10 act=7BF2289B exp=7BF2289B + 1491.50ns INFO [00001493] * RD COMPARE * port=1 adr=19 act=AE26F395 exp=AE26F395 + 1491.50ns INFO [00001493] Port=0 WR @14=3236D96A + 1492.50ns INFO [00001494] * RD COMPARE * port=0 adr=1F act=A2A5461B exp=A2A5461B + 1492.50ns INFO [00001494] * RD COMPARE * port=1 adr=13 act=663186FB exp=663186FB + 1493.50ns INFO [00001495] Port=0 WR @0E=979A8A4D + 1493.50ns INFO [00001495] Port=0 RD @1D + 1494.50ns INFO [00001496] Port=0 WR @05=5834B1A9 + 1495.50ns INFO [00001497] * RD COMPARE * port=0 adr=1D act=0DE1F3E1 exp=0DE1F3E1 + 1495.50ns INFO [00001497] Port=0 WR @06=199A3AB0 + 1495.50ns INFO [00001497] Port=1 RD @0B + 1496.50ns INFO [00001498] Port=0 WR @1E=B3F5D83A + 1497.50ns INFO [00001499] * RD COMPARE * port=1 adr=0B act=BF4E847C exp=BF4E847C + 1497.50ns INFO [00001499] Port=0 WR @0B=38A83BA8 + 1497.50ns INFO [00001499] Port=1 RD @02 + 1498.00ns INFO [00001500] [00001500] ...tick... + 1498.50ns INFO [00001500] Port=0 WR @03=F75D1B1F + 1499.50ns INFO [00001501] * RD COMPARE * port=1 adr=02 act=06BDDFFA exp=06BDDFFA + 1499.50ns INFO [00001501] Port=0 WR @03=25F083AC + 1500.50ns INFO [00001502] Port=0 RD @1F + 1501.50ns INFO [00001503] Port=1 RD @1A + 1502.50ns INFO [00001504] * RD COMPARE * port=0 adr=1F act=A2A5461B exp=A2A5461B + 1503.50ns INFO [00001505] * RD COMPARE * port=1 adr=1A act=FA18D8AD exp=FA18D8AD + 1503.50ns INFO [00001505] Port=0 WR @1F=1B9B5FAD + 1505.50ns INFO [00001507] Port=0 WR @07=92277D38 + 1506.50ns INFO [00001508] Port=1 RD @1B + 1508.50ns INFO [00001510] * RD COMPARE * port=1 adr=1B act=EB2E53EF exp=EB2E53EF + 1508.50ns INFO [00001510] Port=1 RD @11 + 1509.50ns INFO [00001511] Port=0 WR @1F=D7CE3BF9 + 1510.50ns INFO [00001512] * RD COMPARE * port=1 adr=11 act=2A25C0BC exp=2A25C0BC + 1510.50ns INFO [00001512] Port=1 RD @05 + 1512.50ns INFO [00001514] * RD COMPARE * port=1 adr=05 act=5834B1A9 exp=5834B1A9 + 1513.50ns INFO [00001515] Port=1 RD @05 + 1514.50ns INFO [00001516] Port=1 RD @18 + 1515.50ns INFO [00001517] * RD COMPARE * port=1 adr=05 act=5834B1A9 exp=5834B1A9 + 1515.50ns INFO [00001517] Port=1 RD @03 + 1516.50ns INFO [00001518] * RD COMPARE * port=1 adr=18 act=89B2102C exp=89B2102C + 1516.50ns INFO [00001518] Port=0 RD @05 + 1517.50ns INFO [00001519] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC + 1517.50ns INFO [00001519] Port=0 RD @0A + 1518.50ns INFO [00001520] * RD COMPARE * port=0 adr=05 act=5834B1A9 exp=5834B1A9 + 1518.50ns INFO [00001520] Port=0 WR @14=2182E1DC + 1518.50ns INFO [00001520] Port=1 RD @00 + 1519.50ns INFO [00001521] * RD COMPARE * port=0 adr=0A act=728A6A13 exp=728A6A13 + 1519.50ns INFO [00001521] Port=0 RD @0E + 1520.50ns INFO [00001522] * RD COMPARE * port=1 adr=00 act=7FD8739F exp=7FD8739F + 1520.50ns INFO [00001522] Port=0 RD @0C + 1520.50ns INFO [00001522] Port=1 RD @16 + 1521.50ns INFO [00001523] * RD COMPARE * port=0 adr=0E act=979A8A4D exp=979A8A4D + 1521.50ns INFO [00001523] Port=0 RD @07 + 1521.50ns INFO [00001523] Port=1 RD @0F + 1522.50ns INFO [00001524] * RD COMPARE * port=0 adr=0C act=348AEE93 exp=348AEE93 + 1522.50ns INFO [00001524] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4 + 1522.50ns INFO [00001524] Port=0 WR @09=551D094D + 1522.50ns INFO [00001524] Port=0 RD @06 + 1522.50ns INFO [00001524] Port=1 RD @1D + 1523.50ns INFO [00001525] * RD COMPARE * port=0 adr=07 act=92277D38 exp=92277D38 + 1523.50ns INFO [00001525] * RD COMPARE * port=1 adr=0F act=070A78E2 exp=070A78E2 + 1523.50ns INFO [00001525] Port=0 WR @1B=1A2C3B81 + 1523.50ns INFO [00001525] Port=1 RD @09 + 1524.50ns INFO [00001526] * RD COMPARE * port=0 adr=06 act=199A3AB0 exp=199A3AB0 + 1524.50ns INFO [00001526] * RD COMPARE * port=1 adr=1D act=0DE1F3E1 exp=0DE1F3E1 + 1525.50ns INFO [00001527] * RD COMPARE * port=1 adr=09 act=551D094D exp=551D094D + 1525.50ns INFO [00001527] Port=0 RD @0C + 1525.50ns INFO [00001527] Port=1 RD @1E + 1526.50ns INFO [00001528] Port=0 WR @1C=4E23F21F + 1526.50ns INFO [00001528] Port=0 RD @00 + 1527.50ns INFO [00001529] * RD COMPARE * port=0 adr=0C act=348AEE93 exp=348AEE93 + 1527.50ns INFO [00001529] * RD COMPARE * port=1 adr=1E act=B3F5D83A exp=B3F5D83A + 1527.50ns INFO [00001529] Port=1 RD @13 + 1528.50ns INFO [00001530] * RD COMPARE * port=0 adr=00 act=7FD8739F exp=7FD8739F + 1529.50ns INFO [00001531] * RD COMPARE * port=1 adr=13 act=663186FB exp=663186FB + 1529.50ns INFO [00001531] Port=0 RD @14 + 1530.50ns INFO [00001532] Port=0 RD @09 + 1531.50ns INFO [00001533] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC + 1531.50ns INFO [00001533] Port=1 RD @19 + 1532.50ns INFO [00001534] * RD COMPARE * port=0 adr=09 act=551D094D exp=551D094D + 1533.50ns INFO [00001535] * RD COMPARE * port=1 adr=19 act=AE26F395 exp=AE26F395 + 1534.50ns INFO [00001536] Port=0 WR @15=A8AA3711 + 1535.50ns INFO [00001537] Port=0 WR @11=9E2F77B0 + 1536.50ns INFO [00001538] Port=0 RD @1D + 1537.50ns INFO [00001539] Port=0 RD @14 + 1538.50ns INFO [00001540] * RD COMPARE * port=0 adr=1D act=0DE1F3E1 exp=0DE1F3E1 + 1538.50ns INFO [00001540] Port=0 WR @13=1591DB43 + 1538.50ns INFO [00001540] Port=0 RD @0C + 1538.50ns INFO [00001540] Port=1 RD @10 + 1539.50ns INFO [00001541] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC + 1539.50ns INFO [00001541] Port=0 RD @0F + 1539.50ns INFO [00001541] Port=1 RD @0E + 1540.50ns INFO [00001542] * RD COMPARE * port=0 adr=0C act=348AEE93 exp=348AEE93 + 1540.50ns INFO [00001542] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B + 1540.50ns INFO [00001542] Port=1 RD @01 + 1541.50ns INFO [00001543] * RD COMPARE * port=0 adr=0F act=070A78E2 exp=070A78E2 + 1541.50ns INFO [00001543] * RD COMPARE * port=1 adr=0E act=979A8A4D exp=979A8A4D + 1541.50ns INFO [00001543] Port=1 RD @05 + 1542.50ns INFO [00001544] * RD COMPARE * port=1 adr=01 act=E38A1A66 exp=E38A1A66 + 1542.50ns INFO [00001544] Port=1 RD @11 + 1543.50ns INFO [00001545] * RD COMPARE * port=1 adr=05 act=5834B1A9 exp=5834B1A9 + 1543.50ns INFO [00001545] Port=1 RD @0C + 1544.50ns INFO [00001546] * RD COMPARE * port=1 adr=11 act=9E2F77B0 exp=9E2F77B0 + 1544.50ns INFO [00001546] Port=0 WR @09=2084BF65 + 1544.50ns INFO [00001546] Port=1 RD @15 + 1545.50ns INFO [00001547] * RD COMPARE * port=1 adr=0C act=348AEE93 exp=348AEE93 + 1545.50ns INFO [00001547] Port=1 RD @03 + 1546.50ns INFO [00001548] * RD COMPARE * port=1 adr=15 act=A8AA3711 exp=A8AA3711 + 1546.50ns INFO [00001548] Port=0 WR @1A=48D979A8 + 1546.50ns INFO [00001548] Port=0 RD @0A + 1546.50ns INFO [00001548] Port=1 RD @16 + 1547.50ns INFO [00001549] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC + 1547.50ns INFO [00001549] Port=0 RD @0D + 1547.50ns INFO [00001549] Port=1 RD @00 + 1548.50ns INFO [00001550] * RD COMPARE * port=0 adr=0A act=728A6A13 exp=728A6A13 + 1548.50ns INFO [00001550] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4 + 1548.50ns INFO [00001550] Port=0 WR @08=416F28C8 + 1548.50ns INFO [00001550] Port=1 RD @18 + 1549.50ns INFO [00001551] * RD COMPARE * port=0 adr=0D act=49C55FAE exp=49C55FAE + 1549.50ns INFO [00001551] * RD COMPARE * port=1 adr=00 act=7FD8739F exp=7FD8739F + 1549.50ns INFO [00001551] Port=0 RD @17 + 1550.50ns INFO [00001552] * RD COMPARE * port=1 adr=18 act=89B2102C exp=89B2102C + 1550.50ns INFO [00001552] Port=0 RD @01 + 1551.50ns INFO [00001553] * RD COMPARE * port=0 adr=17 act=C4BC221A exp=C4BC221A + 1551.50ns INFO [00001553] Port=0 WR @04=BAC3527F + 1552.50ns INFO [00001554] * RD COMPARE * port=0 adr=01 act=E38A1A66 exp=E38A1A66 + 1552.50ns INFO [00001554] Port=0 WR @1D=78BEDA4E + 1552.50ns INFO [00001554] Port=1 RD @00 + 1553.50ns INFO [00001555] Port=0 RD @1B + 1553.50ns INFO [00001555] Port=1 RD @0E + 1554.50ns INFO [00001556] * RD COMPARE * port=1 adr=00 act=7FD8739F exp=7FD8739F + 1554.50ns INFO [00001556] Port=0 WR @01=8EBA4280 + 1554.50ns INFO [00001556] Port=0 RD @16 + 1555.50ns INFO [00001557] * RD COMPARE * port=0 adr=1B act=1A2C3B81 exp=1A2C3B81 + 1555.50ns INFO [00001557] * RD COMPARE * port=1 adr=0E act=979A8A4D exp=979A8A4D + 1555.50ns INFO [00001557] Port=0 WR @04=AE609CF6 + 1555.50ns INFO [00001557] Port=0 RD @10 + 1555.50ns INFO [00001557] Port=1 RD @16 + 1556.50ns INFO [00001558] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4 + 1556.50ns INFO [00001558] Port=0 RD @1F + 1557.50ns INFO [00001559] * RD COMPARE * port=0 adr=10 act=7BF2289B exp=7BF2289B + 1557.50ns INFO [00001559] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4 + 1557.50ns INFO [00001559] Port=0 WR @1C=17929639 + 1558.50ns INFO [00001560] * RD COMPARE * port=0 adr=1F act=D7CE3BF9 exp=D7CE3BF9 + 1558.50ns INFO [00001560] Port=0 RD @18 + 1560.50ns INFO [00001562] * RD COMPARE * port=0 adr=18 act=89B2102C exp=89B2102C + 1561.50ns INFO [00001563] Port=0 RD @0A + 1562.50ns INFO [00001564] Port=0 WR @06=993BE812 + 1562.50ns INFO [00001564] Port=1 RD @17 + 1563.50ns INFO [00001565] * RD COMPARE * port=0 adr=0A act=728A6A13 exp=728A6A13 + 1563.50ns INFO [00001565] Port=0 WR @0C=A9B70B48 + 1563.50ns INFO [00001565] Port=0 RD @12 + 1564.50ns INFO [00001566] * RD COMPARE * port=1 adr=17 act=C4BC221A exp=C4BC221A + 1564.50ns INFO [00001566] Port=0 WR @0F=CC9274A7 + 1564.50ns INFO [00001566] Port=0 RD @07 + 1565.50ns INFO [00001567] * RD COMPARE * port=0 adr=12 act=BC9F2A5D exp=BC9F2A5D + 1565.50ns INFO [00001567] Port=0 RD @0D + 1566.50ns INFO [00001568] * RD COMPARE * port=0 adr=07 act=92277D38 exp=92277D38 + 1567.50ns INFO [00001569] * RD COMPARE * port=0 adr=0D act=49C55FAE exp=49C55FAE + 1567.50ns INFO [00001569] Port=0 RD @06 + 1567.50ns INFO [00001569] Port=1 RD @03 + 1568.50ns INFO [00001570] Port=0 WR @17=65C0064D + 1569.50ns INFO [00001571] * RD COMPARE * port=0 adr=06 act=993BE812 exp=993BE812 + 1569.50ns INFO [00001571] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC + 1570.50ns INFO [00001572] Port=0 WR @01=37988DB7 + 1570.50ns INFO [00001572] Port=0 RD @16 + 1571.50ns INFO [00001573] Port=0 RD @1D + 1571.50ns INFO [00001573] Port=1 RD @08 + 1572.50ns INFO [00001574] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4 + 1573.50ns INFO [00001575] * RD COMPARE * port=0 adr=1D act=78BEDA4E exp=78BEDA4E + 1573.50ns INFO [00001575] * RD COMPARE * port=1 adr=08 act=416F28C8 exp=416F28C8 + 1573.50ns INFO [00001575] Port=0 RD @03 + 1574.50ns INFO [00001576] Port=0 WR @1F=797703FE + 1575.50ns INFO [00001577] * RD COMPARE * port=0 adr=03 act=25F083AC exp=25F083AC + 1575.50ns INFO [00001577] Port=0 WR @09=8205C4E8 + 1578.50ns INFO [00001580] Port=0 RD @0F + 1578.50ns INFO [00001580] Port=1 RD @0D + 1579.50ns INFO [00001581] Port=0 RD @1D + 1580.50ns INFO [00001582] * RD COMPARE * port=0 adr=0F act=CC9274A7 exp=CC9274A7 + 1580.50ns INFO [00001582] * RD COMPARE * port=1 adr=0D act=49C55FAE exp=49C55FAE + 1580.50ns INFO [00001582] Port=0 RD @11 + 1581.50ns INFO [00001583] * RD COMPARE * port=0 adr=1D act=78BEDA4E exp=78BEDA4E + 1581.50ns INFO [00001583] Port=0 WR @1A=12538489 + 1581.50ns INFO [00001583] Port=0 RD @14 + 1581.50ns INFO [00001583] Port=1 RD @0E + 1582.50ns INFO [00001584] * RD COMPARE * port=0 adr=11 act=9E2F77B0 exp=9E2F77B0 + 1583.50ns INFO [00001585] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC + 1583.50ns INFO [00001585] * RD COMPARE * port=1 adr=0E act=979A8A4D exp=979A8A4D + 1583.50ns INFO [00001585] Port=0 RD @1B + 1584.50ns INFO [00001586] Port=0 RD @14 + 1584.50ns INFO [00001586] Port=1 RD @05 + 1585.50ns INFO [00001587] * RD COMPARE * port=0 adr=1B act=1A2C3B81 exp=1A2C3B81 + 1585.50ns INFO [00001587] Port=0 RD @11 + 1585.50ns INFO [00001587] Port=1 RD @16 + 1586.50ns INFO [00001588] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC + 1586.50ns INFO [00001588] * RD COMPARE * port=1 adr=05 act=5834B1A9 exp=5834B1A9 + 1586.50ns INFO [00001588] Port=1 RD @13 + 1587.50ns INFO [00001589] * RD COMPARE * port=0 adr=11 act=9E2F77B0 exp=9E2F77B0 + 1587.50ns INFO [00001589] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4 + 1588.50ns INFO [00001590] * RD COMPARE * port=1 adr=13 act=1591DB43 exp=1591DB43 + 1588.50ns INFO [00001590] Port=0 RD @17 + 1590.50ns INFO [00001592] * RD COMPARE * port=0 adr=17 act=65C0064D exp=65C0064D + 1590.50ns INFO [00001592] Port=0 WR @04=3C29BEC3 + 1590.50ns INFO [00001592] Port=1 RD @19 + 1591.50ns INFO [00001593] Port=0 RD @1C + 1592.50ns INFO [00001594] * RD COMPARE * port=1 adr=19 act=AE26F395 exp=AE26F395 + 1592.50ns INFO [00001594] Port=0 WR @19=AEBDE77C + 1593.50ns INFO [00001595] * RD COMPARE * port=0 adr=1C act=17929639 exp=17929639 + 1593.50ns INFO [00001595] Port=0 WR @0D=1A1F7217 + 1593.50ns INFO [00001595] Port=1 RD @0A + 1595.50ns INFO [00001597] * RD COMPARE * port=1 adr=0A act=728A6A13 exp=728A6A13 + 1595.50ns INFO [00001597] Port=0 WR @05=975510D8 + 1595.50ns INFO [00001597] Port=0 RD @19 + 1595.50ns INFO [00001597] Port=1 RD @0E + 1597.50ns INFO [00001599] * RD COMPARE * port=0 adr=19 act=AEBDE77C exp=AEBDE77C + 1597.50ns INFO [00001599] * RD COMPARE * port=1 adr=0E act=979A8A4D exp=979A8A4D + 1598.00ns INFO [00001600] [00001600] ...tick... + 1598.50ns INFO [00001600] Port=0 WR @12=0AE4C2D5 + 1598.50ns INFO [00001600] Port=0 RD @0F + 1599.50ns INFO [00001601] Port=1 RD @09 + 1600.50ns INFO [00001602] * RD COMPARE * port=0 adr=0F act=CC9274A7 exp=CC9274A7 + 1600.50ns INFO [00001602] Port=1 RD @0A + 1601.50ns INFO [00001603] * RD COMPARE * port=1 adr=09 act=8205C4E8 exp=8205C4E8 + 1601.50ns INFO [00001603] Port=0 RD @0E + 1601.50ns INFO [00001603] Port=1 RD @11 + 1602.50ns INFO [00001604] * RD COMPARE * port=1 adr=0A act=728A6A13 exp=728A6A13 + 1603.50ns INFO [00001605] * RD COMPARE * port=0 adr=0E act=979A8A4D exp=979A8A4D + 1603.50ns INFO [00001605] * RD COMPARE * port=1 adr=11 act=9E2F77B0 exp=9E2F77B0 + 1605.50ns INFO [00001607] Port=0 RD @15 + 1605.50ns INFO [00001607] Port=1 RD @10 + 1606.50ns INFO [00001608] Port=0 RD @15 + 1607.50ns INFO [00001609] * RD COMPARE * port=0 adr=15 act=A8AA3711 exp=A8AA3711 + 1607.50ns INFO [00001609] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B + 1607.50ns INFO [00001609] Port=0 WR @0D=5EB1F9C0 + 1608.50ns INFO [00001610] * RD COMPARE * port=0 adr=15 act=A8AA3711 exp=A8AA3711 + 1608.50ns INFO [00001610] Port=0 RD @14 + 1610.50ns INFO [00001612] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC + 1610.50ns INFO [00001612] Port=0 WR @0A=A2FBEA3C + 1610.50ns INFO [00001612] Port=0 RD @1E + 1610.50ns INFO [00001612] Port=1 RD @0F + 1611.50ns INFO [00001613] Port=0 RD @01 + 1612.50ns INFO [00001614] * RD COMPARE * port=0 adr=1E act=B3F5D83A exp=B3F5D83A + 1612.50ns INFO [00001614] * RD COMPARE * port=1 adr=0F act=CC9274A7 exp=CC9274A7 + 1613.50ns INFO [00001615] * RD COMPARE * port=0 adr=01 act=37988DB7 exp=37988DB7 + 1613.50ns INFO [00001615] Port=0 RD @17 + 1613.50ns INFO [00001615] Port=1 RD @14 + 1614.50ns INFO [00001616] Port=0 RD @0E + 1615.50ns INFO [00001617] * RD COMPARE * port=0 adr=17 act=65C0064D exp=65C0064D + 1615.50ns INFO [00001617] * RD COMPARE * port=1 adr=14 act=2182E1DC exp=2182E1DC + 1615.50ns INFO [00001617] Port=0 WR @0B=BC56E918 + 1615.50ns INFO [00001617] Port=0 RD @19 + 1615.50ns INFO [00001617] Port=1 RD @03 + 1616.50ns INFO [00001618] * RD COMPARE * port=0 adr=0E act=979A8A4D exp=979A8A4D + 1616.50ns INFO [00001618] Port=0 WR @1B=4A604B90 + 1617.50ns INFO [00001619] * RD COMPARE * port=0 adr=19 act=AEBDE77C exp=AEBDE77C + 1617.50ns INFO [00001619] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC + 1617.50ns INFO [00001619] Port=0 WR @11=FABD0338 + 1617.50ns INFO [00001619] Port=0 RD @14 + 1618.50ns INFO [00001620] Port=0 RD @17 + 1618.50ns INFO [00001620] Port=1 RD @0C + 1619.50ns INFO [00001621] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC + 1620.50ns INFO [00001622] * RD COMPARE * port=0 adr=17 act=65C0064D exp=65C0064D + 1620.50ns INFO [00001622] * RD COMPARE * port=1 adr=0C act=A9B70B48 exp=A9B70B48 + 1620.50ns INFO [00001622] Port=0 RD @1C + 1621.50ns INFO [00001623] Port=0 RD @1A + 1622.50ns INFO [00001624] * RD COMPARE * port=0 adr=1C act=17929639 exp=17929639 + 1622.50ns INFO [00001624] Port=0 WR @1D=E47A5083 + 1622.50ns INFO [00001624] Port=0 RD @13 + 1622.50ns INFO [00001624] Port=1 RD @08 + 1623.50ns INFO [00001625] * RD COMPARE * port=0 adr=1A act=12538489 exp=12538489 + 1623.50ns INFO [00001625] Port=0 WR @07=F44155C7 + 1623.50ns INFO [00001625] Port=1 RD @1D + 1624.50ns INFO [00001626] * RD COMPARE * port=0 adr=13 act=1591DB43 exp=1591DB43 + 1624.50ns INFO [00001626] * RD COMPARE * port=1 adr=08 act=416F28C8 exp=416F28C8 + 1624.50ns INFO [00001626] Port=0 WR @08=6D24D245 + 1625.50ns INFO [00001627] * RD COMPARE * port=1 adr=1D act=E47A5083 exp=E47A5083 + 1625.50ns INFO [00001627] Port=1 RD @1E + 1626.50ns INFO [00001628] Port=0 RD @1D + 1626.50ns INFO [00001628] Port=1 RD @00 + 1627.50ns INFO [00001629] * RD COMPARE * port=1 adr=1E act=B3F5D83A exp=B3F5D83A + 1627.50ns INFO [00001629] Port=0 WR @04=68D1EA8E + 1628.50ns INFO [00001630] * RD COMPARE * port=0 adr=1D act=E47A5083 exp=E47A5083 + 1628.50ns INFO [00001630] * RD COMPARE * port=1 adr=00 act=7FD8739F exp=7FD8739F + 1631.50ns INFO [00001633] Port=0 WR @0C=D202878C + 1631.50ns INFO [00001633] Port=0 RD @03 + 1632.50ns INFO [00001634] Port=0 WR @17=CA2B77CF + 1632.50ns INFO [00001634] Port=1 RD @14 + 1633.50ns INFO [00001635] * RD COMPARE * port=0 adr=03 act=25F083AC exp=25F083AC + 1633.50ns INFO [00001635] Port=0 RD @11 + 1634.50ns INFO [00001636] * RD COMPARE * port=1 adr=14 act=2182E1DC exp=2182E1DC + 1634.50ns INFO [00001636] Port=0 RD @05 + 1635.50ns INFO [00001637] * RD COMPARE * port=0 adr=11 act=FABD0338 exp=FABD0338 + 1635.50ns INFO [00001637] Port=0 RD @11 + 1636.50ns INFO [00001638] * RD COMPARE * port=0 adr=05 act=975510D8 exp=975510D8 + 1636.50ns INFO [00001638] Port=0 RD @1F + 1637.50ns INFO [00001639] * RD COMPARE * port=0 adr=11 act=FABD0338 exp=FABD0338 + 1637.50ns INFO [00001639] Port=0 WR @1A=3A74B925 + 1637.50ns INFO [00001639] Port=0 RD @02 + 1638.50ns INFO [00001640] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE + 1639.50ns INFO [00001641] * RD COMPARE * port=0 adr=02 act=06BDDFFA exp=06BDDFFA + 1640.50ns INFO [00001642] Port=0 WR @1E=C4926027 + 1640.50ns INFO [00001642] Port=1 RD @1D + 1641.50ns INFO [00001643] Port=1 RD @15 + 1642.50ns INFO [00001644] * RD COMPARE * port=1 adr=1D act=E47A5083 exp=E47A5083 + 1642.50ns INFO [00001644] Port=0 WR @00=32198CFF + 1643.50ns INFO [00001645] * RD COMPARE * port=1 adr=15 act=A8AA3711 exp=A8AA3711 + 1643.50ns INFO [00001645] Port=0 WR @08=D6CEEFB4 + 1643.50ns INFO [00001645] Port=1 RD @0C + 1645.50ns INFO [00001647] * RD COMPARE * port=1 adr=0C act=D202878C exp=D202878C + 1645.50ns INFO [00001647] Port=0 RD @09 + 1646.50ns INFO [00001648] Port=0 WR @19=A30A1AAB + 1647.50ns INFO [00001649] * RD COMPARE * port=0 adr=09 act=8205C4E8 exp=8205C4E8 + 1647.50ns INFO [00001649] Port=1 RD @08 + 1648.50ns INFO [00001650] Port=0 WR @02=C4218DA0 + 1648.50ns INFO [00001650] Port=1 RD @0F + 1649.50ns INFO [00001651] * RD COMPARE * port=1 adr=08 act=D6CEEFB4 exp=D6CEEFB4 + 1649.50ns INFO [00001651] Port=0 RD @07 + 1649.50ns INFO [00001651] Port=1 RD @0C + 1650.50ns INFO [00001652] * RD COMPARE * port=1 adr=0F act=CC9274A7 exp=CC9274A7 + 1651.50ns INFO [00001653] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7 + 1651.50ns INFO [00001653] * RD COMPARE * port=1 adr=0C act=D202878C exp=D202878C + 1651.50ns INFO [00001653] Port=0 WR @1D=494022FD + 1651.50ns INFO [00001653] Port=0 RD @09 + 1652.50ns INFO [00001654] Port=1 RD @00 + 1653.50ns INFO [00001655] * RD COMPARE * port=0 adr=09 act=8205C4E8 exp=8205C4E8 + 1653.50ns INFO [00001655] Port=0 WR @0B=02249C0A + 1653.50ns INFO [00001655] Port=0 RD @16 + 1654.50ns INFO [00001656] * RD COMPARE * port=1 adr=00 act=32198CFF exp=32198CFF + 1654.50ns INFO [00001656] Port=0 WR @10=FB4A6398 + 1655.50ns INFO [00001657] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4 + 1655.50ns INFO [00001657] Port=0 RD @07 + 1656.50ns INFO [00001658] Port=0 WR @12=62910BE9 + 1656.50ns INFO [00001658] Port=0 RD @17 + 1656.50ns INFO [00001658] Port=1 RD @1B + 1657.50ns INFO [00001659] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7 + 1657.50ns INFO [00001659] Port=0 RD @08 + 1658.50ns INFO [00001660] * RD COMPARE * port=0 adr=17 act=CA2B77CF exp=CA2B77CF + 1658.50ns INFO [00001660] * RD COMPARE * port=1 adr=1B act=4A604B90 exp=4A604B90 + 1658.50ns INFO [00001660] Port=0 RD @03 + 1659.50ns INFO [00001661] * RD COMPARE * port=0 adr=08 act=D6CEEFB4 exp=D6CEEFB4 + 1659.50ns INFO [00001661] Port=0 RD @1D + 1660.50ns INFO [00001662] * RD COMPARE * port=0 adr=03 act=25F083AC exp=25F083AC + 1661.50ns INFO [00001663] * RD COMPARE * port=0 adr=1D act=494022FD exp=494022FD + 1661.50ns INFO [00001663] Port=0 WR @0F=06D4FED6 + 1661.50ns INFO [00001663] Port=1 RD @10 + 1662.50ns INFO [00001664] Port=0 RD @0F + 1662.50ns INFO [00001664] Port=1 RD @09 + 1663.50ns INFO [00001665] * RD COMPARE * port=1 adr=10 act=FB4A6398 exp=FB4A6398 + 1663.50ns INFO [00001665] Port=0 RD @10 + 1663.50ns INFO [00001665] Port=1 RD @18 + 1664.50ns INFO [00001666] * RD COMPARE * port=0 adr=0F act=06D4FED6 exp=06D4FED6 + 1664.50ns INFO [00001666] * RD COMPARE * port=1 adr=09 act=8205C4E8 exp=8205C4E8 + 1664.50ns INFO [00001666] Port=0 RD @00 + 1664.50ns INFO [00001666] Port=1 RD @1E + 1665.50ns INFO [00001667] * RD COMPARE * port=0 adr=10 act=FB4A6398 exp=FB4A6398 + 1665.50ns INFO [00001667] * RD COMPARE * port=1 adr=18 act=89B2102C exp=89B2102C + 1665.50ns INFO [00001667] Port=0 WR @18=F74ACD03 + 1665.50ns INFO [00001667] Port=0 RD @07 + 1666.50ns INFO [00001668] * RD COMPARE * port=0 adr=00 act=32198CFF exp=32198CFF + 1666.50ns INFO [00001668] * RD COMPARE * port=1 adr=1E act=C4926027 exp=C4926027 + 1666.50ns INFO [00001668] Port=0 WR @18=2B34E538 + 1666.50ns INFO [00001668] Port=0 RD @0B + 1667.50ns INFO [00001669] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7 + 1668.50ns INFO [00001670] * RD COMPARE * port=0 adr=0B act=02249C0A exp=02249C0A + 1669.50ns INFO [00001671] Port=0 RD @1F + 1671.50ns INFO [00001673] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE + 1671.50ns INFO [00001673] Port=0 RD @1C + 1671.50ns INFO [00001673] Port=1 RD @1B + 1673.50ns INFO [00001675] * RD COMPARE * port=0 adr=1C act=17929639 exp=17929639 + 1673.50ns INFO [00001675] * RD COMPARE * port=1 adr=1B act=4A604B90 exp=4A604B90 + 1673.50ns INFO [00001675] Port=0 RD @00 + 1674.50ns INFO [00001676] Port=0 WR @05=01B909C6 + 1675.50ns INFO [00001677] * RD COMPARE * port=0 adr=00 act=32198CFF exp=32198CFF + 1676.50ns INFO [00001678] Port=0 WR @0C=1A6307B7 + 1676.50ns INFO [00001678] Port=0 RD @0B + 1676.50ns INFO [00001678] Port=1 RD @03 + 1677.50ns INFO [00001679] Port=0 WR @13=A4BD2C95 + 1677.50ns INFO [00001679] Port=1 RD @09 + 1678.50ns INFO [00001680] * RD COMPARE * port=0 adr=0B act=02249C0A exp=02249C0A + 1678.50ns INFO [00001680] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC + 1678.50ns INFO [00001680] Port=1 RD @1B + 1679.50ns INFO [00001681] * RD COMPARE * port=1 adr=09 act=8205C4E8 exp=8205C4E8 + 1679.50ns INFO [00001681] Port=0 WR @1E=4FC277F3 + 1679.50ns INFO [00001681] Port=1 RD @17 + 1680.50ns INFO [00001682] * RD COMPARE * port=1 adr=1B act=4A604B90 exp=4A604B90 + 1680.50ns INFO [00001682] Port=0 WR @13=EDBEC847 + 1681.50ns INFO [00001683] * RD COMPARE * port=1 adr=17 act=CA2B77CF exp=CA2B77CF + 1682.50ns INFO [00001684] Port=0 RD @07 + 1682.50ns INFO [00001684] Port=1 RD @04 + 1683.50ns INFO [00001685] Port=1 RD @1B + 1684.50ns INFO [00001686] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7 + 1684.50ns INFO [00001686] * RD COMPARE * port=1 adr=04 act=68D1EA8E exp=68D1EA8E + 1685.50ns INFO [00001687] * RD COMPARE * port=1 adr=1B act=4A604B90 exp=4A604B90 + 1685.50ns INFO [00001687] Port=0 WR @19=FEFF0220 + 1686.50ns INFO [00001688] Port=1 RD @12 + 1687.50ns INFO [00001689] Port=0 WR @01=6F41CB58 + 1687.50ns INFO [00001689] Port=0 RD @06 + 1687.50ns INFO [00001689] Port=1 RD @04 + 1688.50ns INFO [00001690] * RD COMPARE * port=1 adr=12 act=62910BE9 exp=62910BE9 + 1688.50ns INFO [00001690] Port=0 WR @05=FDFCF273 + 1689.50ns INFO [00001691] * RD COMPARE * port=0 adr=06 act=993BE812 exp=993BE812 + 1689.50ns INFO [00001691] * RD COMPARE * port=1 adr=04 act=68D1EA8E exp=68D1EA8E + 1689.50ns INFO [00001691] Port=1 RD @03 + 1690.50ns INFO [00001692] Port=0 WR @03=4D3FFCF2 + 1690.50ns INFO [00001692] Port=0 RD @18 + 1690.50ns INFO [00001692] Port=1 RD @0C + 1691.50ns INFO [00001693] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC + 1692.50ns INFO [00001694] * RD COMPARE * port=0 adr=18 act=2B34E538 exp=2B34E538 + 1692.50ns INFO [00001694] * RD COMPARE * port=1 adr=0C act=1A6307B7 exp=1A6307B7 + 1692.50ns INFO [00001694] Port=0 WR @0E=ED22985C + 1692.50ns INFO [00001694] Port=0 RD @0C + 1693.50ns INFO [00001695] Port=0 WR @00=F4D0A077 + 1694.50ns INFO [00001696] * RD COMPARE * port=0 adr=0C act=1A6307B7 exp=1A6307B7 + 1694.50ns INFO [00001696] Port=1 RD @0A + 1695.50ns INFO [00001697] Port=0 WR @1B=23A77A89 + 1696.50ns INFO [00001698] * RD COMPARE * port=1 adr=0A act=A2FBEA3C exp=A2FBEA3C + 1696.50ns INFO [00001698] Port=0 WR @0B=51F14190 + 1697.50ns INFO [00001699] Port=0 RD @0E + 1697.50ns INFO [00001699] Port=1 RD @15 + 1698.00ns INFO [00001700] [00001700] ...tick... + 1698.50ns INFO [00001700] Port=0 WR @00=051D0EC7 + 1699.50ns INFO [00001701] * RD COMPARE * port=0 adr=0E act=ED22985C exp=ED22985C + 1699.50ns INFO [00001701] * RD COMPARE * port=1 adr=15 act=A8AA3711 exp=A8AA3711 + 1700.50ns INFO [00001702] Port=0 RD @0E + 1701.50ns INFO [00001703] Port=1 RD @19 + 1702.50ns INFO [00001704] * RD COMPARE * port=0 adr=0E act=ED22985C exp=ED22985C + 1702.50ns INFO [00001704] Port=0 WR @0B=FF8EA41D + 1703.50ns INFO [00001705] * RD COMPARE * port=1 adr=19 act=FEFF0220 exp=FEFF0220 + 1703.50ns INFO [00001705] Port=0 WR @10=8F73344E + 1703.50ns INFO [00001705] Port=1 RD @1A + 1704.50ns INFO [00001706] Port=0 RD @02 + 1705.50ns INFO [00001707] * RD COMPARE * port=1 adr=1A act=3A74B925 exp=3A74B925 + 1705.50ns INFO [00001707] Port=1 RD @0F + 1706.50ns INFO [00001708] * RD COMPARE * port=0 adr=02 act=C4218DA0 exp=C4218DA0 + 1706.50ns INFO [00001708] Port=0 WR @0F=44A5AAB8 + 1706.50ns INFO [00001708] Port=1 RD @06 + 1707.50ns INFO [00001709] * RD COMPARE * port=1 adr=0F act=06D4FED6 exp=06D4FED6 + 1707.50ns INFO [00001709] Port=0 WR @0E=5AE6F25B + 1707.50ns INFO [00001709] Port=1 RD @18 + 1708.50ns INFO [00001710] * RD COMPARE * port=1 adr=06 act=993BE812 exp=993BE812 + 1708.50ns INFO [00001710] Port=1 RD @09 + 1709.50ns INFO [00001711] * RD COMPARE * port=1 adr=18 act=2B34E538 exp=2B34E538 + 1709.50ns INFO [00001711] Port=0 WR @14=6445067A + 1709.50ns INFO [00001711] Port=0 RD @06 + 1710.50ns INFO [00001712] * RD COMPARE * port=1 adr=09 act=8205C4E8 exp=8205C4E8 + 1711.50ns INFO [00001713] * RD COMPARE * port=0 adr=06 act=993BE812 exp=993BE812 + 1711.50ns INFO [00001713] Port=0 RD @10 + 1711.50ns INFO [00001713] Port=1 RD @00 + 1712.50ns INFO [00001714] Port=0 WR @0C=346C12E2 + 1712.50ns INFO [00001714] Port=0 RD @01 + 1713.50ns INFO [00001715] * RD COMPARE * port=0 adr=10 act=8F73344E exp=8F73344E + 1713.50ns INFO [00001715] * RD COMPARE * port=1 adr=00 act=051D0EC7 exp=051D0EC7 + 1713.50ns INFO [00001715] Port=0 WR @0D=280F610D + 1713.50ns INFO [00001715] Port=0 RD @02 + 1714.50ns INFO [00001716] * RD COMPARE * port=0 adr=01 act=6F41CB58 exp=6F41CB58 + 1715.50ns INFO [00001717] * RD COMPARE * port=0 adr=02 act=C4218DA0 exp=C4218DA0 + 1715.50ns INFO [00001717] Port=0 WR @10=44FD58C8 + 1715.50ns INFO [00001717] Port=0 RD @0E + 1717.50ns INFO [00001719] * RD COMPARE * port=0 adr=0E act=5AE6F25B exp=5AE6F25B + 1717.50ns INFO [00001719] Port=0 RD @05 + 1717.50ns INFO [00001719] Port=1 RD @1D + 1718.50ns INFO [00001720] Port=0 WR @18=ECA84D57 + 1718.50ns INFO [00001720] Port=1 RD @06 + 1719.50ns INFO [00001721] * RD COMPARE * port=0 adr=05 act=FDFCF273 exp=FDFCF273 + 1719.50ns INFO [00001721] * RD COMPARE * port=1 adr=1D act=494022FD exp=494022FD + 1719.50ns INFO [00001721] Port=0 RD @0A + 1719.50ns INFO [00001721] Port=1 RD @1C + 1720.50ns INFO [00001722] * RD COMPARE * port=1 adr=06 act=993BE812 exp=993BE812 + 1720.50ns INFO [00001722] Port=0 WR @0F=FB275034 + 1721.50ns INFO [00001723] * RD COMPARE * port=0 adr=0A act=A2FBEA3C exp=A2FBEA3C + 1721.50ns INFO [00001723] * RD COMPARE * port=1 adr=1C act=17929639 exp=17929639 + 1721.50ns INFO [00001723] Port=0 WR @13=C94DCB6E + 1723.50ns INFO [00001725] Port=0 WR @0A=696D2F71 + 1724.50ns INFO [00001726] Port=0 WR @0E=ED6D6392 + 1724.50ns INFO [00001726] Port=1 RD @06 + 1726.50ns INFO [00001728] * RD COMPARE * port=1 adr=06 act=993BE812 exp=993BE812 + 1727.50ns INFO [00001729] Port=0 RD @19 + 1727.50ns INFO [00001729] Port=1 RD @04 + 1728.50ns INFO [00001730] Port=0 WR @01=AAC56228 + 1729.50ns INFO [00001731] * RD COMPARE * port=0 adr=19 act=FEFF0220 exp=FEFF0220 + 1729.50ns INFO [00001731] * RD COMPARE * port=1 adr=04 act=68D1EA8E exp=68D1EA8E + 1729.50ns INFO [00001731] Port=1 RD @10 + 1730.50ns INFO [00001732] Port=0 WR @19=B09964D0 + 1731.50ns INFO [00001733] * RD COMPARE * port=1 adr=10 act=44FD58C8 exp=44FD58C8 + 1731.50ns INFO [00001733] Port=0 WR @1D=D79AE332 + 1731.50ns INFO [00001733] Port=1 RD @0A + 1732.50ns INFO [00001734] Port=0 RD @1F + 1733.50ns INFO [00001735] * RD COMPARE * port=1 adr=0A act=696D2F71 exp=696D2F71 + 1733.50ns INFO [00001735] Port=0 WR @00=27EFB302 + 1734.50ns INFO [00001736] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE + 1734.50ns INFO [00001736] Port=0 RD @0A + 1734.50ns INFO [00001736] Port=1 RD @08 + 1735.50ns INFO [00001737] Port=0 RD @06 + 1735.50ns INFO [00001737] Port=1 RD @14 + 1736.50ns INFO [00001738] * RD COMPARE * port=0 adr=0A act=696D2F71 exp=696D2F71 + 1736.50ns INFO [00001738] * RD COMPARE * port=1 adr=08 act=D6CEEFB4 exp=D6CEEFB4 + 1736.50ns INFO [00001738] Port=0 WR @15=2537774D + 1737.50ns INFO [00001739] * RD COMPARE * port=0 adr=06 act=993BE812 exp=993BE812 + 1737.50ns INFO [00001739] * RD COMPARE * port=1 adr=14 act=6445067A exp=6445067A + 1737.50ns INFO [00001739] Port=0 WR @01=FCB7E78A + 1737.50ns INFO [00001739] Port=1 RD @07 + 1738.50ns INFO [00001740] Port=0 WR @19=8EA6E311 + 1738.50ns INFO [00001740] Port=0 RD @03 + 1738.50ns INFO [00001740] Port=1 RD @0A + 1739.50ns INFO [00001741] * RD COMPARE * port=1 adr=07 act=F44155C7 exp=F44155C7 + 1739.50ns INFO [00001741] Port=1 RD @0D + 1740.50ns INFO [00001742] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1740.50ns INFO [00001742] * RD COMPARE * port=1 adr=0A act=696D2F71 exp=696D2F71 + 1741.50ns INFO [00001743] * RD COMPARE * port=1 adr=0D act=280F610D exp=280F610D + 1742.50ns INFO [00001744] Port=0 WR @1B=983962E7 + 1744.50ns INFO [00001746] Port=1 RD @1D + 1745.50ns INFO [00001747] Port=0 WR @17=D6905AE5 + 1745.50ns INFO [00001747] Port=0 RD @04 + 1745.50ns INFO [00001747] Port=1 RD @12 + 1746.50ns INFO [00001748] * RD COMPARE * port=1 adr=1D act=D79AE332 exp=D79AE332 + 1746.50ns INFO [00001748] Port=1 RD @1E + 1747.50ns INFO [00001749] * RD COMPARE * port=0 adr=04 act=68D1EA8E exp=68D1EA8E + 1747.50ns INFO [00001749] * RD COMPARE * port=1 adr=12 act=62910BE9 exp=62910BE9 + 1747.50ns INFO [00001749] Port=0 RD @12 + 1748.50ns INFO [00001750] * RD COMPARE * port=1 adr=1E act=4FC277F3 exp=4FC277F3 + 1748.50ns INFO [00001750] Port=0 WR @18=95E24834 + 1749.50ns INFO [00001751] * RD COMPARE * port=0 adr=12 act=62910BE9 exp=62910BE9 + 1749.50ns INFO [00001751] Port=0 RD @0D + 1750.50ns INFO [00001752] Port=0 RD @16 + 1750.50ns INFO [00001752] Port=1 RD @13 + 1751.50ns INFO [00001753] * RD COMPARE * port=0 adr=0D act=280F610D exp=280F610D + 1751.50ns INFO [00001753] Port=1 RD @01 + 1752.50ns INFO [00001754] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4 + 1752.50ns INFO [00001754] * RD COMPARE * port=1 adr=13 act=C94DCB6E exp=C94DCB6E + 1753.50ns INFO [00001755] * RD COMPARE * port=1 adr=01 act=FCB7E78A exp=FCB7E78A + 1753.50ns INFO [00001755] Port=0 WR @12=B749F413 + 1753.50ns INFO [00001755] Port=1 RD @07 + 1754.50ns INFO [00001756] Port=0 WR @0F=6EECA45F + 1754.50ns INFO [00001756] Port=1 RD @12 + 1755.50ns INFO [00001757] * RD COMPARE * port=1 adr=07 act=F44155C7 exp=F44155C7 + 1756.50ns INFO [00001758] * RD COMPARE * port=1 adr=12 act=B749F413 exp=B749F413 + 1756.50ns INFO [00001758] Port=0 RD @10 + 1756.50ns INFO [00001758] Port=1 RD @1C + 1757.50ns INFO [00001759] Port=0 WR @06=4B0B3370 + 1758.50ns INFO [00001760] * RD COMPARE * port=0 adr=10 act=44FD58C8 exp=44FD58C8 + 1758.50ns INFO [00001760] * RD COMPARE * port=1 adr=1C act=17929639 exp=17929639 + 1758.50ns INFO [00001760] Port=0 WR @09=EB19BD26 + 1759.50ns INFO [00001761] Port=1 RD @1B + 1761.50ns INFO [00001763] * RD COMPARE * port=1 adr=1B act=983962E7 exp=983962E7 + 1761.50ns INFO [00001763] Port=0 RD @17 + 1761.50ns INFO [00001763] Port=1 RD @16 + 1762.50ns INFO [00001764] Port=0 WR @15=2983385E + 1762.50ns INFO [00001764] Port=0 RD @00 + 1763.50ns INFO [00001765] * RD COMPARE * port=0 adr=17 act=D6905AE5 exp=D6905AE5 + 1763.50ns INFO [00001765] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4 + 1764.50ns INFO [00001766] * RD COMPARE * port=0 adr=00 act=27EFB302 exp=27EFB302 + 1764.50ns INFO [00001766] Port=0 RD @1F + 1765.50ns INFO [00001767] Port=1 RD @03 + 1766.50ns INFO [00001768] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE + 1766.50ns INFO [00001768] Port=0 RD @04 + 1766.50ns INFO [00001768] Port=1 RD @11 + 1767.50ns INFO [00001769] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1767.50ns INFO [00001769] Port=0 WR @15=3AC4BE23 + 1767.50ns INFO [00001769] Port=0 RD @0C + 1768.50ns INFO [00001770] * RD COMPARE * port=0 adr=04 act=68D1EA8E exp=68D1EA8E + 1768.50ns INFO [00001770] * RD COMPARE * port=1 adr=11 act=FABD0338 exp=FABD0338 + 1768.50ns INFO [00001770] Port=0 RD @1C + 1769.50ns INFO [00001771] * RD COMPARE * port=0 adr=0C act=346C12E2 exp=346C12E2 + 1769.50ns INFO [00001771] Port=0 WR @0F=3EB63455 + 1769.50ns INFO [00001771] Port=0 RD @08 + 1769.50ns INFO [00001771] Port=1 RD @14 + 1770.50ns INFO [00001772] * RD COMPARE * port=0 adr=1C act=17929639 exp=17929639 + 1770.50ns INFO [00001772] Port=0 WR @19=DA33C62D + 1770.50ns INFO [00001772] Port=0 RD @0E + 1771.50ns INFO [00001773] * RD COMPARE * port=0 adr=08 act=D6CEEFB4 exp=D6CEEFB4 + 1771.50ns INFO [00001773] * RD COMPARE * port=1 adr=14 act=6445067A exp=6445067A + 1771.50ns INFO [00001773] Port=1 RD @0C + 1772.50ns INFO [00001774] * RD COMPARE * port=0 adr=0E act=ED6D6392 exp=ED6D6392 + 1772.50ns INFO [00001774] Port=1 RD @1F + 1773.50ns INFO [00001775] * RD COMPARE * port=1 adr=0C act=346C12E2 exp=346C12E2 + 1773.50ns INFO [00001775] Port=0 RD @1B + 1773.50ns INFO [00001775] Port=1 RD @11 + 1774.50ns INFO [00001776] * RD COMPARE * port=1 adr=1F act=797703FE exp=797703FE + 1774.50ns INFO [00001776] Port=0 RD @16 + 1775.50ns INFO [00001777] * RD COMPARE * port=0 adr=1B act=983962E7 exp=983962E7 + 1775.50ns INFO [00001777] * RD COMPARE * port=1 adr=11 act=FABD0338 exp=FABD0338 + 1775.50ns INFO [00001777] Port=0 WR @14=0A8731AA + 1775.50ns INFO [00001777] Port=0 RD @01 + 1776.50ns INFO [00001778] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4 + 1776.50ns INFO [00001778] Port=0 WR @08=533A257C + 1777.50ns INFO [00001779] * RD COMPARE * port=0 adr=01 act=FCB7E78A exp=FCB7E78A + 1777.50ns INFO [00001779] Port=0 WR @1D=826D67B6 + 1777.50ns INFO [00001779] Port=0 RD @0C + 1777.50ns INFO [00001779] Port=1 RD @16 + 1779.50ns INFO [00001781] * RD COMPARE * port=0 adr=0C act=346C12E2 exp=346C12E2 + 1779.50ns INFO [00001781] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4 + 1779.50ns INFO [00001781] Port=0 WR @1D=1D752027 + 1779.50ns INFO [00001781] Port=0 RD @0C + 1780.50ns INFO [00001782] Port=0 WR @02=0A79AD40 + 1780.50ns INFO [00001782] Port=0 RD @03 + 1781.50ns INFO [00001783] * RD COMPARE * port=0 adr=0C act=346C12E2 exp=346C12E2 + 1781.50ns INFO [00001783] Port=0 WR @05=437D4BB3 + 1782.50ns INFO [00001784] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1782.50ns INFO [00001784] Port=0 WR @0A=1B6EFD14 + 1782.50ns INFO [00001784] Port=0 RD @11 + 1782.50ns INFO [00001784] Port=1 RD @0B + 1783.50ns INFO [00001785] Port=0 WR @15=A8C2CCF2 + 1783.50ns INFO [00001785] Port=0 RD @12 + 1784.50ns INFO [00001786] * RD COMPARE * port=0 adr=11 act=FABD0338 exp=FABD0338 + 1784.50ns INFO [00001786] * RD COMPARE * port=1 adr=0B act=FF8EA41D exp=FF8EA41D + 1784.50ns INFO [00001786] Port=0 WR @13=92927638 + 1784.50ns INFO [00001786] Port=0 RD @08 + 1785.50ns INFO [00001787] * RD COMPARE * port=0 adr=12 act=B749F413 exp=B749F413 + 1785.50ns INFO [00001787] Port=0 RD @07 + 1785.50ns INFO [00001787] Port=1 RD @17 + 1786.50ns INFO [00001788] * RD COMPARE * port=0 adr=08 act=533A257C exp=533A257C + 1786.50ns INFO [00001788] Port=0 RD @16 + 1786.50ns INFO [00001788] Port=1 RD @0C + 1787.50ns INFO [00001789] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7 + 1787.50ns INFO [00001789] * RD COMPARE * port=1 adr=17 act=D6905AE5 exp=D6905AE5 + 1787.50ns INFO [00001789] Port=0 RD @0B + 1788.50ns INFO [00001790] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4 + 1788.50ns INFO [00001790] * RD COMPARE * port=1 adr=0C act=346C12E2 exp=346C12E2 + 1789.50ns INFO [00001791] * RD COMPARE * port=0 adr=0B act=FF8EA41D exp=FF8EA41D + 1789.50ns INFO [00001791] Port=0 RD @1C + 1789.50ns INFO [00001791] Port=1 RD @0F + 1791.50ns INFO [00001793] * RD COMPARE * port=0 adr=1C act=17929639 exp=17929639 + 1791.50ns INFO [00001793] * RD COMPARE * port=1 adr=0F act=3EB63455 exp=3EB63455 + 1792.50ns INFO [00001794] Port=0 RD @15 + 1792.50ns INFO [00001794] Port=1 RD @11 + 1793.50ns INFO [00001795] Port=0 WR @0B=A738B35F + 1793.50ns INFO [00001795] Port=0 RD @01 + 1793.50ns INFO [00001795] Port=1 RD @03 + 1794.50ns INFO [00001796] * RD COMPARE * port=0 adr=15 act=A8C2CCF2 exp=A8C2CCF2 + 1794.50ns INFO [00001796] * RD COMPARE * port=1 adr=11 act=FABD0338 exp=FABD0338 + 1794.50ns INFO [00001796] Port=0 WR @1B=52C3B713 + 1794.50ns INFO [00001796] Port=1 RD @16 + 1795.50ns INFO [00001797] * RD COMPARE * port=0 adr=01 act=FCB7E78A exp=FCB7E78A + 1795.50ns INFO [00001797] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1795.50ns INFO [00001797] Port=1 RD @01 + 1796.50ns INFO [00001798] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4 + 1796.50ns INFO [00001798] Port=0 WR @1C=24F076ED + 1797.50ns INFO [00001799] * RD COMPARE * port=1 adr=01 act=FCB7E78A exp=FCB7E78A + 1798.00ns INFO [00001800] [00001800] ...tick... + 1798.50ns INFO [00001800] Port=0 RD @14 + 1799.50ns INFO [00001801] Port=0 RD @12 + 1800.50ns INFO [00001802] * RD COMPARE * port=0 adr=14 act=0A8731AA exp=0A8731AA + 1800.50ns INFO [00001802] Port=0 RD @10 + 1800.50ns INFO [00001802] Port=1 RD @10 + 1801.50ns INFO [00001803] * RD COMPARE * port=0 adr=12 act=B749F413 exp=B749F413 + 1802.50ns INFO [00001804] * RD COMPARE * port=0 adr=10 act=44FD58C8 exp=44FD58C8 + 1802.50ns INFO [00001804] * RD COMPARE * port=1 adr=10 act=44FD58C8 exp=44FD58C8 + 1802.50ns INFO [00001804] Port=0 WR @05=E30BC88B + 1802.50ns INFO [00001804] Port=1 RD @01 + 1804.50ns INFO [00001806] * RD COMPARE * port=1 adr=01 act=FCB7E78A exp=FCB7E78A + 1804.50ns INFO [00001806] Port=0 WR @18=4AEEF438 + 1804.50ns INFO [00001806] Port=0 RD @01 + 1805.50ns INFO [00001807] Port=1 RD @1C + 1806.50ns INFO [00001808] * RD COMPARE * port=0 adr=01 act=FCB7E78A exp=FCB7E78A + 1806.50ns INFO [00001808] Port=0 WR @0E=6F1E0A68 + 1807.50ns INFO [00001809] * RD COMPARE * port=1 adr=1C act=24F076ED exp=24F076ED + 1807.50ns INFO [00001809] Port=0 WR @05=0D3A8ADF + 1807.50ns INFO [00001809] Port=0 RD @03 + 1808.50ns INFO [00001810] Port=0 WR @11=5453ABD0 + 1808.50ns INFO [00001810] Port=0 RD @0E + 1809.50ns INFO [00001811] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1809.50ns INFO [00001811] Port=0 WR @0F=2FBDC229 + 1809.50ns INFO [00001811] Port=0 RD @18 + 1809.50ns INFO [00001811] Port=1 RD @00 + 1810.50ns INFO [00001812] * RD COMPARE * port=0 adr=0E act=6F1E0A68 exp=6F1E0A68 + 1810.50ns INFO [00001812] Port=1 RD @1E + 1811.50ns INFO [00001813] * RD COMPARE * port=0 adr=18 act=4AEEF438 exp=4AEEF438 + 1811.50ns INFO [00001813] * RD COMPARE * port=1 adr=00 act=27EFB302 exp=27EFB302 + 1812.50ns INFO [00001814] * RD COMPARE * port=1 adr=1E act=4FC277F3 exp=4FC277F3 + 1812.50ns INFO [00001814] Port=0 WR @16=38547306 + 1813.50ns INFO [00001815] Port=1 RD @11 + 1814.50ns INFO [00001816] Port=1 RD @07 + 1815.50ns INFO [00001817] * RD COMPARE * port=1 adr=11 act=5453ABD0 exp=5453ABD0 + 1815.50ns INFO [00001817] Port=1 RD @11 + 1816.50ns INFO [00001818] * RD COMPARE * port=1 adr=07 act=F44155C7 exp=F44155C7 + 1816.50ns INFO [00001818] Port=0 WR @16=F1C67A45 + 1816.50ns INFO [00001818] Port=1 RD @0F + 1817.50ns INFO [00001819] * RD COMPARE * port=1 adr=11 act=5453ABD0 exp=5453ABD0 + 1818.50ns INFO [00001820] * RD COMPARE * port=1 adr=0F act=2FBDC229 exp=2FBDC229 + 1818.50ns INFO [00001820] Port=0 WR @1C=D8E7472F + 1819.50ns INFO [00001821] Port=0 RD @04 + 1820.50ns INFO [00001822] Port=0 WR @0B=59AB7D3D + 1820.50ns INFO [00001822] Port=0 RD @00 + 1820.50ns INFO [00001822] Port=1 RD @09 + 1821.50ns INFO [00001823] * RD COMPARE * port=0 adr=04 act=68D1EA8E exp=68D1EA8E + 1822.50ns INFO [00001824] * RD COMPARE * port=0 adr=00 act=27EFB302 exp=27EFB302 + 1822.50ns INFO [00001824] * RD COMPARE * port=1 adr=09 act=EB19BD26 exp=EB19BD26 + 1822.50ns INFO [00001824] Port=0 RD @0A + 1823.50ns INFO [00001825] Port=0 WR @04=5F6240B9 + 1823.50ns INFO [00001825] Port=1 RD @18 + 1824.50ns INFO [00001826] * RD COMPARE * port=0 adr=0A act=1B6EFD14 exp=1B6EFD14 + 1825.50ns INFO [00001827] * RD COMPARE * port=1 adr=18 act=4AEEF438 exp=4AEEF438 + 1825.50ns INFO [00001827] Port=0 WR @0C=ADC1DE32 + 1826.50ns INFO [00001828] Port=1 RD @14 + 1827.50ns INFO [00001829] Port=0 WR @04=408A3AD3 + 1828.50ns INFO [00001830] * RD COMPARE * port=1 adr=14 act=0A8731AA exp=0A8731AA + 1828.50ns INFO [00001830] Port=0 WR @09=4A9A062F + 1828.50ns INFO [00001830] Port=0 RD @07 + 1829.50ns INFO [00001831] Port=0 RD @18 + 1830.50ns INFO [00001832] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7 + 1831.50ns INFO [00001833] * RD COMPARE * port=0 adr=18 act=4AEEF438 exp=4AEEF438 + 1831.50ns INFO [00001833] Port=0 WR @0F=2267D41E + 1831.50ns INFO [00001833] Port=1 RD @19 + 1833.50ns INFO [00001835] * RD COMPARE * port=1 adr=19 act=DA33C62D exp=DA33C62D + 1835.50ns INFO [00001837] Port=1 RD @02 + 1837.50ns INFO [00001839] * RD COMPARE * port=1 adr=02 act=0A79AD40 exp=0A79AD40 + 1837.50ns INFO [00001839] Port=1 RD @00 + 1838.50ns INFO [00001840] Port=0 RD @14 + 1838.50ns INFO [00001840] Port=1 RD @1C + 1839.50ns INFO [00001841] * RD COMPARE * port=1 adr=00 act=27EFB302 exp=27EFB302 + 1839.50ns INFO [00001841] Port=1 RD @17 + 1840.50ns INFO [00001842] * RD COMPARE * port=0 adr=14 act=0A8731AA exp=0A8731AA + 1840.50ns INFO [00001842] * RD COMPARE * port=1 adr=1C act=D8E7472F exp=D8E7472F + 1841.50ns INFO [00001843] * RD COMPARE * port=1 adr=17 act=D6905AE5 exp=D6905AE5 + 1841.50ns INFO [00001843] Port=0 RD @0C + 1842.50ns INFO [00001844] Port=1 RD @09 + 1843.50ns INFO [00001845] * RD COMPARE * port=0 adr=0C act=ADC1DE32 exp=ADC1DE32 + 1844.50ns INFO [00001846] * RD COMPARE * port=1 adr=09 act=4A9A062F exp=4A9A062F + 1844.50ns INFO [00001846] Port=1 RD @02 + 1845.50ns INFO [00001847] Port=0 RD @1C + 1845.50ns INFO [00001847] Port=1 RD @10 + 1846.50ns INFO [00001848] * RD COMPARE * port=1 adr=02 act=0A79AD40 exp=0A79AD40 + 1846.50ns INFO [00001848] Port=0 WR @00=889C289E + 1846.50ns INFO [00001848] Port=1 RD @09 + 1847.50ns INFO [00001849] * RD COMPARE * port=0 adr=1C act=D8E7472F exp=D8E7472F + 1847.50ns INFO [00001849] * RD COMPARE * port=1 adr=10 act=44FD58C8 exp=44FD58C8 + 1848.50ns INFO [00001850] * RD COMPARE * port=1 adr=09 act=4A9A062F exp=4A9A062F + 1848.50ns INFO [00001850] Port=0 WR @1C=D719A781 + 1849.50ns INFO [00001851] Port=0 WR @10=EA6648A2 + 1849.50ns INFO [00001851] Port=0 RD @07 + 1849.50ns INFO [00001851] Port=1 RD @01 + 1850.50ns INFO [00001852] Port=0 WR @17=0FE465C7 + 1850.50ns INFO [00001852] Port=0 RD @18 + 1851.50ns INFO [00001853] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7 + 1851.50ns INFO [00001853] * RD COMPARE * port=1 adr=01 act=FCB7E78A exp=FCB7E78A + 1851.50ns INFO [00001853] Port=1 RD @00 + 1852.50ns INFO [00001854] * RD COMPARE * port=0 adr=18 act=4AEEF438 exp=4AEEF438 + 1852.50ns INFO [00001854] Port=0 WR @14=3351C892 + 1853.50ns INFO [00001855] * RD COMPARE * port=1 adr=00 act=889C289E exp=889C289E + 1854.50ns INFO [00001856] Port=0 RD @05 + 1856.50ns INFO [00001858] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF + 1856.50ns INFO [00001858] Port=0 WR @12=C91BA5B9 + 1857.50ns INFO [00001859] Port=0 RD @1F + 1858.50ns INFO [00001860] Port=1 RD @00 + 1859.50ns INFO [00001861] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE + 1859.50ns INFO [00001861] Port=0 RD @17 + 1860.50ns INFO [00001862] * RD COMPARE * port=1 adr=00 act=889C289E exp=889C289E + 1861.50ns INFO [00001863] * RD COMPARE * port=0 adr=17 act=0FE465C7 exp=0FE465C7 + 1861.50ns INFO [00001863] Port=1 RD @15 + 1862.50ns INFO [00001864] Port=0 WR @08=B0D8445E + 1862.50ns INFO [00001864] Port=1 RD @1D + 1863.50ns INFO [00001865] * RD COMPARE * port=1 adr=15 act=A8C2CCF2 exp=A8C2CCF2 + 1863.50ns INFO [00001865] Port=0 WR @0F=0D775649 + 1863.50ns INFO [00001865] Port=0 RD @10 + 1864.50ns INFO [00001866] * RD COMPARE * port=1 adr=1D act=1D752027 exp=1D752027 + 1865.50ns INFO [00001867] * RD COMPARE * port=0 adr=10 act=EA6648A2 exp=EA6648A2 + 1865.50ns INFO [00001867] Port=0 WR @09=4E0D1A11 + 1865.50ns INFO [00001867] Port=0 RD @1E + 1867.50ns INFO [00001869] * RD COMPARE * port=0 adr=1E act=4FC277F3 exp=4FC277F3 + 1867.50ns INFO [00001869] Port=0 WR @1B=0C41CB90 + 1867.50ns INFO [00001869] Port=0 RD @1F + 1867.50ns INFO [00001869] Port=1 RD @0D + 1869.50ns INFO [00001871] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE + 1869.50ns INFO [00001871] * RD COMPARE * port=1 adr=0D act=280F610D exp=280F610D + 1870.50ns INFO [00001872] Port=0 RD @0B + 1870.50ns INFO [00001872] Port=1 RD @0A + 1871.50ns INFO [00001873] Port=0 WR @0E=BC4AE6F9 + 1871.50ns INFO [00001873] Port=0 RD @1C + 1871.50ns INFO [00001873] Port=1 RD @03 + 1872.50ns INFO [00001874] * RD COMPARE * port=0 adr=0B act=59AB7D3D exp=59AB7D3D + 1872.50ns INFO [00001874] * RD COMPARE * port=1 adr=0A act=1B6EFD14 exp=1B6EFD14 + 1872.50ns INFO [00001874] Port=0 RD @0E + 1873.50ns INFO [00001875] * RD COMPARE * port=0 adr=1C act=D719A781 exp=D719A781 + 1873.50ns INFO [00001875] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1873.50ns INFO [00001875] Port=0 WR @1D=BAA5E948 + 1874.50ns INFO [00001876] * RD COMPARE * port=0 adr=0E act=BC4AE6F9 exp=BC4AE6F9 + 1875.50ns INFO [00001877] Port=0 WR @0F=97596B83 + 1875.50ns INFO [00001877] Port=0 RD @05 + 1876.50ns INFO [00001878] Port=1 RD @15 + 1877.50ns INFO [00001879] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF + 1878.50ns INFO [00001880] * RD COMPARE * port=1 adr=15 act=A8C2CCF2 exp=A8C2CCF2 + 1878.50ns INFO [00001880] Port=0 WR @0B=D5855C2E + 1878.50ns INFO [00001880] Port=0 RD @1B + 1878.50ns INFO [00001880] Port=1 RD @13 + 1880.50ns INFO [00001882] * RD COMPARE * port=0 adr=1B act=0C41CB90 exp=0C41CB90 + 1880.50ns INFO [00001882] * RD COMPARE * port=1 adr=13 act=92927638 exp=92927638 + 1880.50ns INFO [00001882] Port=0 WR @10=4A64F4A2 + 1881.50ns INFO [00001883] Port=0 RD @09 + 1882.50ns INFO [00001884] Port=1 RD @0C + 1883.50ns INFO [00001885] * RD COMPARE * port=0 adr=09 act=4E0D1A11 exp=4E0D1A11 + 1883.50ns INFO [00001885] Port=0 RD @1A + 1883.50ns INFO [00001885] Port=1 RD @16 + 1884.50ns INFO [00001886] * RD COMPARE * port=1 adr=0C act=ADC1DE32 exp=ADC1DE32 + 1884.50ns INFO [00001886] Port=1 RD @12 + 1885.50ns INFO [00001887] * RD COMPARE * port=0 adr=1A act=3A74B925 exp=3A74B925 + 1885.50ns INFO [00001887] * RD COMPARE * port=1 adr=16 act=F1C67A45 exp=F1C67A45 + 1886.50ns INFO [00001888] * RD COMPARE * port=1 adr=12 act=C91BA5B9 exp=C91BA5B9 + 1886.50ns INFO [00001888] Port=0 RD @03 + 1886.50ns INFO [00001888] Port=1 RD @01 + 1887.50ns INFO [00001889] Port=0 RD @14 + 1888.50ns INFO [00001890] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1888.50ns INFO [00001890] * RD COMPARE * port=1 adr=01 act=FCB7E78A exp=FCB7E78A + 1888.50ns INFO [00001890] Port=0 RD @1B + 1889.50ns INFO [00001891] * RD COMPARE * port=0 adr=14 act=3351C892 exp=3351C892 + 1889.50ns INFO [00001891] Port=0 WR @0B=76F3FE80 + 1889.50ns INFO [00001891] Port=0 RD @15 + 1890.50ns INFO [00001892] * RD COMPARE * port=0 adr=1B act=0C41CB90 exp=0C41CB90 + 1891.50ns INFO [00001893] * RD COMPARE * port=0 adr=15 act=A8C2CCF2 exp=A8C2CCF2 + 1891.50ns INFO [00001893] Port=0 WR @0D=97BAFD54 + 1891.50ns INFO [00001893] Port=0 RD @10 + 1892.50ns INFO [00001894] Port=0 WR @06=B18EF070 + 1892.50ns INFO [00001894] Port=0 RD @1F + 1893.50ns INFO [00001895] * RD COMPARE * port=0 adr=10 act=4A64F4A2 exp=4A64F4A2 + 1893.50ns INFO [00001895] Port=0 RD @07 + 1894.50ns INFO [00001896] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE + 1894.50ns INFO [00001896] Port=0 WR @13=4E6757A8 + 1894.50ns INFO [00001896] Port=1 RD @07 + 1895.50ns INFO [00001897] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7 + 1895.50ns INFO [00001897] Port=0 WR @1F=669A5D64 + 1896.50ns INFO [00001898] * RD COMPARE * port=1 adr=07 act=F44155C7 exp=F44155C7 + 1896.50ns INFO [00001898] Port=0 WR @01=4043D81E + 1898.00ns INFO [00001900] [00001900] ...tick... + 1898.50ns INFO [00001900] Port=0 WR @0B=E75AE467 + 1899.50ns INFO [00001901] Port=0 WR @0E=4D9C2147 + 1901.50ns INFO [00001903] Port=1 RD @05 + 1902.50ns INFO [00001904] Port=0 RD @05 + 1903.50ns INFO [00001905] * RD COMPARE * port=1 adr=05 act=0D3A8ADF exp=0D3A8ADF + 1904.50ns INFO [00001906] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF + 1904.50ns INFO [00001906] Port=0 WR @19=08008C1F + 1904.50ns INFO [00001906] Port=0 RD @05 + 1905.50ns INFO [00001907] Port=0 RD @1E + 1906.50ns INFO [00001908] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF + 1906.50ns INFO [00001908] Port=0 WR @00=4E4B5962 + 1907.50ns INFO [00001909] * RD COMPARE * port=0 adr=1E act=4FC277F3 exp=4FC277F3 + 1907.50ns INFO [00001909] Port=0 WR @0D=C3136FE6 + 1907.50ns INFO [00001909] Port=0 RD @07 + 1908.50ns INFO [00001910] Port=1 RD @14 + 1909.50ns INFO [00001911] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7 + 1910.50ns INFO [00001912] * RD COMPARE * port=1 adr=14 act=3351C892 exp=3351C892 + 1910.50ns INFO [00001912] Port=0 WR @1B=C8283049 + 1911.50ns INFO [00001913] Port=1 RD @00 + 1913.50ns INFO [00001915] * RD COMPARE * port=1 adr=00 act=4E4B5962 exp=4E4B5962 + 1913.50ns INFO [00001915] Port=0 WR @09=C234E0C3 + 1913.50ns INFO [00001915] Port=1 RD @1F + 1915.50ns INFO [00001917] * RD COMPARE * port=1 adr=1F act=669A5D64 exp=669A5D64 + 1915.50ns INFO [00001917] Port=0 WR @18=F1BC521F + 1915.50ns INFO [00001917] Port=1 RD @0D + 1916.50ns INFO [00001918] Port=0 WR @13=FCEE58E4 + 1916.50ns INFO [00001918] Port=0 RD @03 + 1917.50ns INFO [00001919] * RD COMPARE * port=1 adr=0D act=C3136FE6 exp=C3136FE6 + 1917.50ns INFO [00001919] Port=0 WR @00=5C96E7EF + 1917.50ns INFO [00001919] Port=1 RD @0B + 1918.50ns INFO [00001920] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1918.50ns INFO [00001920] Port=0 WR @0C=3C70B909 + 1918.50ns INFO [00001920] Port=1 RD @17 + 1919.50ns INFO [00001921] * RD COMPARE * port=1 adr=0B act=E75AE467 exp=E75AE467 + 1919.50ns INFO [00001921] Port=0 RD @0B + 1920.50ns INFO [00001922] * RD COMPARE * port=1 adr=17 act=0FE465C7 exp=0FE465C7 + 1920.50ns INFO [00001922] Port=1 RD @17 + 1921.50ns INFO [00001923] * RD COMPARE * port=0 adr=0B act=E75AE467 exp=E75AE467 + 1921.50ns INFO [00001923] Port=1 RD @0A + 1922.50ns INFO [00001924] * RD COMPARE * port=1 adr=17 act=0FE465C7 exp=0FE465C7 + 1922.50ns INFO [00001924] Port=1 RD @1C + 1923.50ns INFO [00001925] * RD COMPARE * port=1 adr=0A act=1B6EFD14 exp=1B6EFD14 + 1924.50ns INFO [00001926] * RD COMPARE * port=1 adr=1C act=D719A781 exp=D719A781 + 1924.50ns INFO [00001926] Port=1 RD @13 + 1925.50ns INFO [00001927] Port=0 WR @1E=93BCD901 + 1925.50ns INFO [00001927] Port=0 RD @1B + 1925.50ns INFO [00001927] Port=1 RD @1B + 1926.50ns INFO [00001928] * RD COMPARE * port=1 adr=13 act=FCEE58E4 exp=FCEE58E4 + 1926.50ns INFO [00001928] Port=1 RD @0A + 1927.50ns INFO [00001929] * RD COMPARE * port=0 adr=1B act=C8283049 exp=C8283049 + 1927.50ns INFO [00001929] * RD COMPARE * port=1 adr=1B act=C8283049 exp=C8283049 + 1927.50ns INFO [00001929] Port=0 RD @11 + 1928.50ns INFO [00001930] * RD COMPARE * port=1 adr=0A act=1B6EFD14 exp=1B6EFD14 + 1928.50ns INFO [00001930] Port=0 WR @07=C61EDCE8 + 1929.50ns INFO [00001931] * RD COMPARE * port=0 adr=11 act=5453ABD0 exp=5453ABD0 + 1930.50ns INFO [00001932] Port=0 WR @19=0B2F63D6 + 1930.50ns INFO [00001932] Port=0 RD @17 + 1930.50ns INFO [00001932] Port=1 RD @05 + 1931.50ns INFO [00001933] Port=0 WR @10=F42FFE75 + 1931.50ns INFO [00001933] Port=0 RD @11 + 1932.50ns INFO [00001934] * RD COMPARE * port=0 adr=17 act=0FE465C7 exp=0FE465C7 + 1932.50ns INFO [00001934] * RD COMPARE * port=1 adr=05 act=0D3A8ADF exp=0D3A8ADF + 1932.50ns INFO [00001934] Port=0 RD @19 + 1933.50ns INFO [00001935] * RD COMPARE * port=0 adr=11 act=5453ABD0 exp=5453ABD0 + 1933.50ns INFO [00001935] Port=0 WR @01=F97371F6 + 1933.50ns INFO [00001935] Port=1 RD @0E + 1934.50ns INFO [00001936] * RD COMPARE * port=0 adr=19 act=0B2F63D6 exp=0B2F63D6 + 1934.50ns INFO [00001936] Port=0 RD @16 + 1935.50ns INFO [00001937] * RD COMPARE * port=1 adr=0E act=4D9C2147 exp=4D9C2147 + 1936.50ns INFO [00001938] * RD COMPARE * port=0 adr=16 act=F1C67A45 exp=F1C67A45 + 1936.50ns INFO [00001938] Port=0 WR @01=9A846155 + 1936.50ns INFO [00001938] Port=1 RD @14 + 1937.50ns INFO [00001939] Port=0 WR @07=B280D365 + 1937.50ns INFO [00001939] Port=0 RD @04 + 1938.50ns INFO [00001940] * RD COMPARE * port=1 adr=14 act=3351C892 exp=3351C892 + 1938.50ns INFO [00001940] Port=0 WR @0E=C3F731A2 + 1938.50ns INFO [00001940] Port=1 RD @16 + 1939.50ns INFO [00001941] * RD COMPARE * port=0 adr=04 act=408A3AD3 exp=408A3AD3 + 1940.50ns INFO [00001942] * RD COMPARE * port=1 adr=16 act=F1C67A45 exp=F1C67A45 + 1940.50ns INFO [00001942] Port=0 WR @1A=C6AF8F0B + 1940.50ns INFO [00001942] Port=1 RD @04 + 1941.50ns INFO [00001943] Port=0 RD @0A + 1941.50ns INFO [00001943] Port=1 RD @0E + 1942.50ns INFO [00001944] * RD COMPARE * port=1 adr=04 act=408A3AD3 exp=408A3AD3 + 1942.50ns INFO [00001944] Port=0 RD @01 + 1943.50ns INFO [00001945] * RD COMPARE * port=0 adr=0A act=1B6EFD14 exp=1B6EFD14 + 1943.50ns INFO [00001945] * RD COMPARE * port=1 adr=0E act=C3F731A2 exp=C3F731A2 + 1943.50ns INFO [00001945] Port=1 RD @14 + 1944.50ns INFO [00001946] * RD COMPARE * port=0 adr=01 act=9A846155 exp=9A846155 + 1944.50ns INFO [00001946] Port=0 WR @16=8B3A8442 + 1945.50ns INFO [00001947] * RD COMPARE * port=1 adr=14 act=3351C892 exp=3351C892 + 1945.50ns INFO [00001947] Port=1 RD @1C + 1946.50ns INFO [00001948] Port=0 RD @04 + 1947.50ns INFO [00001949] * RD COMPARE * port=1 adr=1C act=D719A781 exp=D719A781 + 1947.50ns INFO [00001949] Port=0 WR @07=9CC0E5C5 + 1947.50ns INFO [00001949] Port=0 RD @0F + 1948.50ns INFO [00001950] * RD COMPARE * port=0 adr=04 act=408A3AD3 exp=408A3AD3 + 1948.50ns INFO [00001950] Port=0 RD @06 + 1948.50ns INFO [00001950] Port=1 RD @0D + 1949.50ns INFO [00001951] * RD COMPARE * port=0 adr=0F act=97596B83 exp=97596B83 + 1949.50ns INFO [00001951] Port=0 WR @1E=3E08C230 + 1950.50ns INFO [00001952] * RD COMPARE * port=0 adr=06 act=B18EF070 exp=B18EF070 + 1950.50ns INFO [00001952] * RD COMPARE * port=1 adr=0D act=C3136FE6 exp=C3136FE6 + 1951.50ns INFO [00001953] Port=0 WR @01=66FBDCFD + 1951.50ns INFO [00001953] Port=1 RD @19 + 1952.50ns INFO [00001954] Port=0 WR @09=9B5C8C56 + 1952.50ns INFO [00001954] Port=1 RD @1B + 1953.50ns INFO [00001955] * RD COMPARE * port=1 adr=19 act=0B2F63D6 exp=0B2F63D6 + 1953.50ns INFO [00001955] Port=0 RD @1E + 1954.50ns INFO [00001956] * RD COMPARE * port=1 adr=1B act=C8283049 exp=C8283049 + 1954.50ns INFO [00001956] Port=0 WR @0E=ED52436C + 1954.50ns INFO [00001956] Port=0 RD @09 + 1955.50ns INFO [00001957] * RD COMPARE * port=0 adr=1E act=3E08C230 exp=3E08C230 + 1955.50ns INFO [00001957] Port=0 WR @0D=23E33926 + 1955.50ns INFO [00001957] Port=0 RD @17 + 1956.50ns INFO [00001958] * RD COMPARE * port=0 adr=09 act=9B5C8C56 exp=9B5C8C56 + 1956.50ns INFO [00001958] Port=0 WR @0E=D4608937 + 1957.50ns INFO [00001959] * RD COMPARE * port=0 adr=17 act=0FE465C7 exp=0FE465C7 + 1957.50ns INFO [00001959] Port=1 RD @1D + 1958.50ns INFO [00001960] Port=0 WR @0A=BFD11B9C + 1959.50ns INFO [00001961] * RD COMPARE * port=1 adr=1D act=BAA5E948 exp=BAA5E948 + 1959.50ns INFO [00001961] Port=0 WR @1B=A4A1AC23 + 1959.50ns INFO [00001961] Port=0 RD @19 + 1959.50ns INFO [00001961] Port=1 RD @1D + 1961.50ns INFO [00001963] * RD COMPARE * port=0 adr=19 act=0B2F63D6 exp=0B2F63D6 + 1961.50ns INFO [00001963] * RD COMPARE * port=1 adr=1D act=BAA5E948 exp=BAA5E948 + 1961.50ns INFO [00001963] Port=0 WR @07=8B9F97A5 + 1961.50ns INFO [00001963] Port=1 RD @03 + 1963.50ns INFO [00001965] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1963.50ns INFO [00001965] Port=0 WR @11=75427E4C + 1963.50ns INFO [00001965] Port=0 RD @03 + 1963.50ns INFO [00001965] Port=1 RD @15 + 1965.50ns INFO [00001967] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1965.50ns INFO [00001967] * RD COMPARE * port=1 adr=15 act=A8C2CCF2 exp=A8C2CCF2 + 1966.50ns INFO [00001968] Port=0 RD @0F + 1966.50ns INFO [00001968] Port=1 RD @0C + 1967.50ns INFO [00001969] Port=0 WR @1A=4FBB165A + 1968.50ns INFO [00001970] * RD COMPARE * port=0 adr=0F act=97596B83 exp=97596B83 + 1968.50ns INFO [00001970] * RD COMPARE * port=1 adr=0C act=3C70B909 exp=3C70B909 + 1968.50ns INFO [00001970] Port=0 RD @10 + 1969.50ns INFO [00001971] Port=0 RD @04 + 1970.50ns INFO [00001972] * RD COMPARE * port=0 adr=10 act=F42FFE75 exp=F42FFE75 + 1970.50ns INFO [00001972] Port=0 WR @10=23E5C5BB + 1970.50ns INFO [00001972] Port=0 RD @07 + 1971.50ns INFO [00001973] * RD COMPARE * port=0 adr=04 act=408A3AD3 exp=408A3AD3 + 1971.50ns INFO [00001973] Port=0 WR @07=9C462D55 + 1971.50ns INFO [00001973] Port=1 RD @15 + 1972.50ns INFO [00001974] * RD COMPARE * port=0 adr=07 act=8B9F97A5 exp=8B9F97A5 + 1972.50ns INFO [00001974] Port=1 RD @0A + 1973.50ns INFO [00001975] * RD COMPARE * port=1 adr=15 act=A8C2CCF2 exp=A8C2CCF2 + 1974.50ns INFO [00001976] * RD COMPARE * port=1 adr=0A act=BFD11B9C exp=BFD11B9C + 1974.50ns INFO [00001976] Port=0 RD @1F + 1974.50ns INFO [00001976] Port=1 RD @14 + 1976.50ns INFO [00001978] * RD COMPARE * port=0 adr=1F act=669A5D64 exp=669A5D64 + 1976.50ns INFO [00001978] * RD COMPARE * port=1 adr=14 act=3351C892 exp=3351C892 + 1976.50ns INFO [00001978] Port=0 WR @12=2A7DF2E7 + 1977.50ns INFO [00001979] Port=0 WR @0A=AC73097A + 1978.50ns INFO [00001980] Port=0 WR @0E=88927C5C + 1980.50ns INFO [00001982] Port=0 RD @00 + 1981.50ns INFO [00001983] Port=0 WR @02=13EBA1F2 + 1981.50ns INFO [00001983] Port=1 RD @03 + 1982.50ns INFO [00001984] * RD COMPARE * port=0 adr=00 act=5C96E7EF exp=5C96E7EF + 1982.50ns INFO [00001984] Port=0 WR @17=417BCE80 + 1982.50ns INFO [00001984] Port=0 RD @10 + 1983.50ns INFO [00001985] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1983.50ns INFO [00001985] Port=1 RD @03 + 1984.50ns INFO [00001986] * RD COMPARE * port=0 adr=10 act=23E5C5BB exp=23E5C5BB + 1985.50ns INFO [00001987] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 1985.50ns INFO [00001987] Port=0 WR @02=EF202479 + 1985.50ns INFO [00001987] Port=0 RD @07 + 1986.50ns INFO [00001988] Port=0 RD @0A + 1987.50ns INFO [00001989] * RD COMPARE * port=0 adr=07 act=9C462D55 exp=9C462D55 + 1988.50ns INFO [00001990] * RD COMPARE * port=0 adr=0A act=AC73097A exp=AC73097A + 1989.50ns INFO [00001991] Port=0 RD @16 + 1989.50ns INFO [00001991] Port=1 RD @19 + 1991.50ns INFO [00001993] * RD COMPARE * port=0 adr=16 act=8B3A8442 exp=8B3A8442 + 1991.50ns INFO [00001993] * RD COMPARE * port=1 adr=19 act=0B2F63D6 exp=0B2F63D6 + 1991.50ns INFO [00001993] Port=0 WR @06=8C8F4748 + 1991.50ns INFO [00001993] Port=0 RD @08 + 1992.50ns INFO [00001994] Port=0 RD @11 + 1992.50ns INFO [00001994] Port=1 RD @02 + 1993.50ns INFO [00001995] * RD COMPARE * port=0 adr=08 act=B0D8445E exp=B0D8445E + 1994.50ns INFO [00001996] * RD COMPARE * port=0 adr=11 act=75427E4C exp=75427E4C + 1994.50ns INFO [00001996] * RD COMPARE * port=1 adr=02 act=EF202479 exp=EF202479 + 1994.50ns INFO [00001996] Port=0 RD @0C + 1996.50ns INFO [00001998] * RD COMPARE * port=0 adr=0C act=3C70B909 exp=3C70B909 + 1996.50ns INFO [00001998] Port=0 WR @19=7B16CD71 + 1997.50ns INFO [00001999] Port=0 WR @08=205FB132 + 1997.50ns INFO [00001999] Port=1 RD @06 + 1998.00ns INFO [00002000] [00002000] ...tick... + 1998.50ns INFO [00002000] Port=1 RD @12 + 1999.50ns INFO [00002001] * RD COMPARE * port=1 adr=06 act=8C8F4748 exp=8C8F4748 + 1999.50ns INFO [00002001] Port=0 RD @14 + 2000.50ns INFO [00002002] * RD COMPARE * port=1 adr=12 act=2A7DF2E7 exp=2A7DF2E7 + 2000.50ns INFO [00002002] Port=0 RD @11 + 2001.50ns INFO [00002003] * RD COMPARE * port=0 adr=14 act=3351C892 exp=3351C892 + 2001.50ns INFO [00002003] Port=0 WR @0C=6741E31A + 2002.50ns INFO [00002004] * RD COMPARE * port=0 adr=11 act=75427E4C exp=75427E4C + 2002.50ns INFO [00002004] Port=0 RD @1C + 2003.50ns INFO [00002005] Port=1 RD @17 + 2004.50ns INFO [00002006] * RD COMPARE * port=0 adr=1C act=D719A781 exp=D719A781 + 2004.50ns INFO [00002006] Port=1 RD @19 + 2005.50ns INFO [00002007] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80 + 2005.50ns INFO [00002007] Port=0 WR @1E=BC11536B + 2005.50ns INFO [00002007] Port=1 RD @0E + 2006.50ns INFO [00002008] * RD COMPARE * port=1 adr=19 act=7B16CD71 exp=7B16CD71 + 2006.50ns INFO [00002008] Port=0 RD @0F + 2007.50ns INFO [00002009] * RD COMPARE * port=1 adr=0E act=88927C5C exp=88927C5C + 2007.50ns INFO [00002009] Port=0 WR @10=E3A530B1 + 2007.50ns INFO [00002009] Port=0 RD @0B + 2008.50ns INFO [00002010] * RD COMPARE * port=0 adr=0F act=97596B83 exp=97596B83 + 2008.50ns INFO [00002010] Port=0 WR @00=9127F266 + 2008.50ns INFO [00002010] Port=0 RD @09 + 2009.50ns INFO [00002011] * RD COMPARE * port=0 adr=0B act=E75AE467 exp=E75AE467 + 2009.50ns INFO [00002011] Port=0 RD @03 + 2009.50ns INFO [00002011] Port=1 RD @0E + 2010.50ns INFO [00002012] * RD COMPARE * port=0 adr=09 act=9B5C8C56 exp=9B5C8C56 + 2010.50ns INFO [00002012] Port=0 WR @0F=28352417 + 2010.50ns INFO [00002012] Port=1 RD @0C + 2011.50ns INFO [00002013] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 2011.50ns INFO [00002013] * RD COMPARE * port=1 adr=0E act=88927C5C exp=88927C5C + 2011.50ns INFO [00002013] Port=0 WR @0A=E91D9479 + 2011.50ns INFO [00002013] Port=1 RD @08 + 2012.50ns INFO [00002014] * RD COMPARE * port=1 adr=0C act=6741E31A exp=6741E31A + 2012.50ns INFO [00002014] Port=0 WR @0B=5C658701 + 2012.50ns INFO [00002014] Port=0 RD @11 + 2013.50ns INFO [00002015] * RD COMPARE * port=1 adr=08 act=205FB132 exp=205FB132 + 2014.50ns INFO [00002016] * RD COMPARE * port=0 adr=11 act=75427E4C exp=75427E4C + 2015.50ns INFO [00002017] Port=0 WR @00=9B3AFED7 + 2015.50ns INFO [00002017] Port=1 RD @0F + 2016.50ns INFO [00002018] Port=0 WR @06=17D4ADCD + 2017.50ns INFO [00002019] * RD COMPARE * port=1 adr=0F act=28352417 exp=28352417 + 2017.50ns INFO [00002019] Port=0 WR @04=9998B1B7 + 2017.50ns INFO [00002019] Port=1 RD @00 + 2018.50ns INFO [00002020] Port=0 WR @0E=08FFEABC + 2019.50ns INFO [00002021] * RD COMPARE * port=1 adr=00 act=9B3AFED7 exp=9B3AFED7 + 2019.50ns INFO [00002021] Port=0 WR @07=F66F6CE7 + 2019.50ns INFO [00002021] Port=1 RD @1F + 2020.50ns INFO [00002022] Port=0 RD @0B + 2021.50ns INFO [00002023] * RD COMPARE * port=1 adr=1F act=669A5D64 exp=669A5D64 + 2021.50ns INFO [00002023] Port=0 WR @09=3624C04E + 2021.50ns INFO [00002023] Port=0 RD @19 + 2021.50ns INFO [00002023] Port=1 RD @13 + 2022.50ns INFO [00002024] * RD COMPARE * port=0 adr=0B act=5C658701 exp=5C658701 + 2022.50ns INFO [00002024] Port=0 WR @1B=C06FC6A0 + 2023.50ns INFO [00002025] * RD COMPARE * port=0 adr=19 act=7B16CD71 exp=7B16CD71 + 2023.50ns INFO [00002025] * RD COMPARE * port=1 adr=13 act=FCEE58E4 exp=FCEE58E4 + 2024.50ns INFO [00002026] Port=0 WR @13=A1276D99 + 2024.50ns INFO [00002026] Port=0 RD @01 + 2025.50ns INFO [00002027] Port=1 RD @1F + 2026.50ns INFO [00002028] * RD COMPARE * port=0 adr=01 act=66FBDCFD exp=66FBDCFD + 2027.50ns INFO [00002029] * RD COMPARE * port=1 adr=1F act=669A5D64 exp=669A5D64 + 2027.50ns INFO [00002029] Port=0 WR @0C=546595CD + 2028.50ns INFO [00002030] Port=0 WR @08=CB946A5E + 2028.50ns INFO [00002030] Port=0 RD @04 + 2029.50ns INFO [00002031] Port=1 RD @16 + 2030.50ns INFO [00002032] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7 + 2030.50ns INFO [00002032] Port=1 RD @13 + 2031.50ns INFO [00002033] * RD COMPARE * port=1 adr=16 act=8B3A8442 exp=8B3A8442 + 2031.50ns INFO [00002033] Port=0 WR @13=10705533 + 2031.50ns INFO [00002033] Port=0 RD @0E + 2031.50ns INFO [00002033] Port=1 RD @1A + 2032.50ns INFO [00002034] * RD COMPARE * port=1 adr=13 act=A1276D99 exp=A1276D99 + 2032.50ns INFO [00002034] Port=0 WR @1E=4E022AFA + 2032.50ns INFO [00002034] Port=0 RD @1B + 2033.50ns INFO [00002035] * RD COMPARE * port=0 adr=0E act=08FFEABC exp=08FFEABC + 2033.50ns INFO [00002035] * RD COMPARE * port=1 adr=1A act=4FBB165A exp=4FBB165A + 2033.50ns INFO [00002035] Port=0 WR @01=03D92484 + 2033.50ns INFO [00002035] Port=0 RD @05 + 2034.50ns INFO [00002036] * RD COMPARE * port=0 adr=1B act=C06FC6A0 exp=C06FC6A0 + 2034.50ns INFO [00002036] Port=0 WR @0F=2034A180 + 2034.50ns INFO [00002036] Port=1 RD @17 + 2035.50ns INFO [00002037] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF + 2035.50ns INFO [00002037] Port=0 WR @1A=C0248E91 + 2035.50ns INFO [00002037] Port=0 RD @11 + 2036.50ns INFO [00002038] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80 + 2036.50ns INFO [00002038] Port=0 WR @08=A563E1A1 + 2036.50ns INFO [00002038] Port=1 RD @18 + 2037.50ns INFO [00002039] * RD COMPARE * port=0 adr=11 act=75427E4C exp=75427E4C + 2037.50ns INFO [00002039] Port=0 RD @0D + 2038.50ns INFO [00002040] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F + 2038.50ns INFO [00002040] Port=1 RD @15 + 2039.50ns INFO [00002041] * RD COMPARE * port=0 adr=0D act=23E33926 exp=23E33926 + 2040.50ns INFO [00002042] * RD COMPARE * port=1 adr=15 act=A8C2CCF2 exp=A8C2CCF2 + 2041.50ns INFO [00002043] Port=0 WR @09=A9B105D5 + 2041.50ns INFO [00002043] Port=0 RD @14 + 2041.50ns INFO [00002043] Port=1 RD @14 + 2042.50ns INFO [00002044] Port=0 WR @06=69CF1D19 + 2043.50ns INFO [00002045] * RD COMPARE * port=0 adr=14 act=3351C892 exp=3351C892 + 2043.50ns INFO [00002045] * RD COMPARE * port=1 adr=14 act=3351C892 exp=3351C892 + 2043.50ns INFO [00002045] Port=0 RD @1C + 2043.50ns INFO [00002045] Port=1 RD @03 + 2044.50ns INFO [00002046] Port=0 WR @07=8061FDD8 + 2044.50ns INFO [00002046] Port=1 RD @0F + 2045.50ns INFO [00002047] * RD COMPARE * port=0 adr=1C act=D719A781 exp=D719A781 + 2045.50ns INFO [00002047] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 2045.50ns INFO [00002047] Port=0 RD @1B + 2046.50ns INFO [00002048] * RD COMPARE * port=1 adr=0F act=2034A180 exp=2034A180 + 2047.50ns INFO [00002049] * RD COMPARE * port=0 adr=1B act=C06FC6A0 exp=C06FC6A0 + 2049.50ns INFO [00002051] Port=0 WR @19=07210C50 + 2049.50ns INFO [00002051] Port=0 RD @1A + 2051.50ns INFO [00002053] * RD COMPARE * port=0 adr=1A act=C0248E91 exp=C0248E91 + 2053.50ns INFO [00002055] Port=1 RD @16 + 2054.50ns INFO [00002056] Port=0 WR @1C=BD23E0D2 + 2055.50ns INFO [00002057] * RD COMPARE * port=1 adr=16 act=8B3A8442 exp=8B3A8442 + 2055.50ns INFO [00002057] Port=0 WR @0C=6C1FA9DD + 2056.50ns INFO [00002058] Port=0 WR @10=5E261A8F + 2056.50ns INFO [00002058] Port=0 RD @05 + 2056.50ns INFO [00002058] Port=1 RD @0C + 2057.50ns INFO [00002059] Port=0 WR @02=105F021A + 2057.50ns INFO [00002059] Port=0 RD @09 + 2058.50ns INFO [00002060] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF + 2058.50ns INFO [00002060] * RD COMPARE * port=1 adr=0C act=6C1FA9DD exp=6C1FA9DD + 2058.50ns INFO [00002060] Port=0 WR @01=3385834C + 2058.50ns INFO [00002060] Port=0 RD @0C + 2059.50ns INFO [00002061] * RD COMPARE * port=0 adr=09 act=A9B105D5 exp=A9B105D5 + 2060.50ns INFO [00002062] * RD COMPARE * port=0 adr=0C act=6C1FA9DD exp=6C1FA9DD + 2060.50ns INFO [00002062] Port=0 RD @07 + 2060.50ns INFO [00002062] Port=1 RD @03 + 2061.50ns INFO [00002063] Port=0 WR @02=497918C4 + 2061.50ns INFO [00002063] Port=0 RD @1D + 2062.50ns INFO [00002064] * RD COMPARE * port=0 adr=07 act=8061FDD8 exp=8061FDD8 + 2062.50ns INFO [00002064] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 2062.50ns INFO [00002064] Port=1 RD @03 + 2063.50ns INFO [00002065] * RD COMPARE * port=0 adr=1D act=BAA5E948 exp=BAA5E948 + 2063.50ns INFO [00002065] Port=0 RD @14 + 2063.50ns INFO [00002065] Port=1 RD @17 + 2064.50ns INFO [00002066] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 2064.50ns INFO [00002066] Port=0 RD @18 + 2064.50ns INFO [00002066] Port=1 RD @05 + 2065.50ns INFO [00002067] * RD COMPARE * port=0 adr=14 act=3351C892 exp=3351C892 + 2065.50ns INFO [00002067] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80 + 2066.50ns INFO [00002068] * RD COMPARE * port=0 adr=18 act=F1BC521F exp=F1BC521F + 2066.50ns INFO [00002068] * RD COMPARE * port=1 adr=05 act=0D3A8ADF exp=0D3A8ADF + 2066.50ns INFO [00002068] Port=1 RD @05 + 2068.50ns INFO [00002070] * RD COMPARE * port=1 adr=05 act=0D3A8ADF exp=0D3A8ADF + 2068.50ns INFO [00002070] Port=1 RD @18 + 2070.50ns INFO [00002072] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F + 2071.50ns INFO [00002073] Port=0 WR @02=6841BDB1 + 2071.50ns INFO [00002073] Port=0 RD @10 + 2073.50ns INFO [00002075] * RD COMPARE * port=0 adr=10 act=5E261A8F exp=5E261A8F + 2073.50ns INFO [00002075] Port=1 RD @18 + 2075.50ns INFO [00002077] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F + 2075.50ns INFO [00002077] Port=1 RD @09 + 2076.50ns INFO [00002078] Port=1 RD @1E + 2077.50ns INFO [00002079] * RD COMPARE * port=1 adr=09 act=A9B105D5 exp=A9B105D5 + 2078.50ns INFO [00002080] * RD COMPARE * port=1 adr=1E act=4E022AFA exp=4E022AFA + 2078.50ns INFO [00002080] Port=0 WR @07=C8F457E2 + 2078.50ns INFO [00002080] Port=1 RD @11 + 2080.50ns INFO [00002082] * RD COMPARE * port=1 adr=11 act=75427E4C exp=75427E4C + 2080.50ns INFO [00002082] Port=1 RD @0A + 2081.50ns INFO [00002083] Port=1 RD @02 + 2082.50ns INFO [00002084] * RD COMPARE * port=1 adr=0A act=E91D9479 exp=E91D9479 + 2083.50ns INFO [00002085] * RD COMPARE * port=1 adr=02 act=6841BDB1 exp=6841BDB1 + 2083.50ns INFO [00002085] Port=0 RD @08 + 2085.50ns INFO [00002087] * RD COMPARE * port=0 adr=08 act=A563E1A1 exp=A563E1A1 + 2085.50ns INFO [00002087] Port=0 WR @00=9052CC9B + 2086.50ns INFO [00002088] Port=0 WR @05=4697E749 + 2086.50ns INFO [00002088] Port=1 RD @02 + 2088.50ns INFO [00002090] * RD COMPARE * port=1 adr=02 act=6841BDB1 exp=6841BDB1 + 2088.50ns INFO [00002090] Port=0 WR @14=D87F552E + 2088.50ns INFO [00002090] Port=1 RD @06 + 2089.50ns INFO [00002091] Port=0 RD @05 + 2089.50ns INFO [00002091] Port=1 RD @08 + 2090.50ns INFO [00002092] * RD COMPARE * port=1 adr=06 act=69CF1D19 exp=69CF1D19 + 2090.50ns INFO [00002092] Port=0 RD @0C + 2091.50ns INFO [00002093] * RD COMPARE * port=0 adr=05 act=4697E749 exp=4697E749 + 2091.50ns INFO [00002093] * RD COMPARE * port=1 adr=08 act=A563E1A1 exp=A563E1A1 + 2091.50ns INFO [00002093] Port=0 WR @0A=8115EEEE + 2091.50ns INFO [00002093] Port=0 RD @13 + 2091.50ns INFO [00002093] Port=1 RD @03 + 2092.50ns INFO [00002094] * RD COMPARE * port=0 adr=0C act=6C1FA9DD exp=6C1FA9DD + 2092.50ns INFO [00002094] Port=0 RD @19 + 2093.50ns INFO [00002095] * RD COMPARE * port=0 adr=13 act=10705533 exp=10705533 + 2093.50ns INFO [00002095] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 2093.50ns INFO [00002095] Port=1 RD @03 + 2094.50ns INFO [00002096] * RD COMPARE * port=0 adr=19 act=07210C50 exp=07210C50 + 2094.50ns INFO [00002096] Port=0 WR @1B=2496AA68 + 2095.50ns INFO [00002097] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 2096.50ns INFO [00002098] Port=0 WR @02=1A6CDBCB + 2096.50ns INFO [00002098] Port=0 RD @06 + 2097.50ns INFO [00002099] Port=0 RD @14 + 2097.50ns INFO [00002099] Port=1 RD @10 + 2098.00ns INFO [00002100] [00002100] ...tick... + 2098.50ns INFO [00002100] * RD COMPARE * port=0 adr=06 act=69CF1D19 exp=69CF1D19 + 2098.50ns INFO [00002100] Port=0 WR @16=68BA2230 + 2098.50ns INFO [00002100] Port=0 RD @04 + 2099.50ns INFO [00002101] * RD COMPARE * port=0 adr=14 act=D87F552E exp=D87F552E + 2099.50ns INFO [00002101] * RD COMPARE * port=1 adr=10 act=5E261A8F exp=5E261A8F + 2099.50ns INFO [00002101] Port=0 WR @1F=44DC8678 + 2099.50ns INFO [00002101] Port=1 RD @1E + 2100.50ns INFO [00002102] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7 + 2100.50ns INFO [00002102] Port=0 WR @0B=07DC4B86 + 2100.50ns INFO [00002102] Port=0 RD @19 + 2101.50ns INFO [00002103] * RD COMPARE * port=1 adr=1E act=4E022AFA exp=4E022AFA + 2101.50ns INFO [00002103] Port=0 WR @10=EE122D65 + 2102.50ns INFO [00002104] * RD COMPARE * port=0 adr=19 act=07210C50 exp=07210C50 + 2102.50ns INFO [00002104] Port=0 WR @19=4D0E2E21 + 2102.50ns INFO [00002104] Port=0 RD @0B + 2103.50ns INFO [00002105] Port=0 WR @19=54F9B753 + 2104.50ns INFO [00002106] * RD COMPARE * port=0 adr=0B act=07DC4B86 exp=07DC4B86 + 2104.50ns INFO [00002106] Port=0 RD @1D + 2106.50ns INFO [00002108] * RD COMPARE * port=0 adr=1D act=BAA5E948 exp=BAA5E948 + 2107.50ns INFO [00002109] Port=0 WR @15=6B1501E3 + 2107.50ns INFO [00002109] Port=1 RD @0D + 2108.50ns INFO [00002110] Port=0 RD @04 + 2109.50ns INFO [00002111] * RD COMPARE * port=1 adr=0D act=23E33926 exp=23E33926 + 2109.50ns INFO [00002111] Port=0 WR @16=97A0CCD9 + 2109.50ns INFO [00002111] Port=1 RD @18 + 2110.50ns INFO [00002112] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7 + 2110.50ns INFO [00002112] Port=0 WR @1D=51B13E3B + 2110.50ns INFO [00002112] Port=1 RD @08 + 2111.50ns INFO [00002113] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F + 2111.50ns INFO [00002113] Port=1 RD @18 + 2112.50ns INFO [00002114] * RD COMPARE * port=1 adr=08 act=A563E1A1 exp=A563E1A1 + 2112.50ns INFO [00002114] Port=1 RD @09 + 2113.50ns INFO [00002115] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F + 2113.50ns INFO [00002115] Port=0 RD @16 + 2114.50ns INFO [00002116] * RD COMPARE * port=1 adr=09 act=A9B105D5 exp=A9B105D5 + 2115.50ns INFO [00002117] * RD COMPARE * port=0 adr=16 act=97A0CCD9 exp=97A0CCD9 + 2116.50ns INFO [00002118] Port=0 WR @13=D4C63DE8 + 2116.50ns INFO [00002118] Port=1 RD @05 + 2117.50ns INFO [00002119] Port=0 WR @0F=03B6B48F + 2117.50ns INFO [00002119] Port=0 RD @0A + 2117.50ns INFO [00002119] Port=1 RD @09 + 2118.50ns INFO [00002120] * RD COMPARE * port=1 adr=05 act=4697E749 exp=4697E749 + 2119.50ns INFO [00002121] * RD COMPARE * port=0 adr=0A act=8115EEEE exp=8115EEEE + 2119.50ns INFO [00002121] * RD COMPARE * port=1 adr=09 act=A9B105D5 exp=A9B105D5 + 2119.50ns INFO [00002121] Port=1 RD @0E + 2121.50ns INFO [00002123] * RD COMPARE * port=1 adr=0E act=08FFEABC exp=08FFEABC + 2121.50ns INFO [00002123] Port=1 RD @16 + 2122.50ns INFO [00002124] Port=0 WR @0C=F9695CDC + 2122.50ns INFO [00002124] Port=1 RD @17 + 2123.50ns INFO [00002125] * RD COMPARE * port=1 adr=16 act=97A0CCD9 exp=97A0CCD9 + 2123.50ns INFO [00002125] Port=1 RD @10 + 2124.50ns INFO [00002126] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80 + 2124.50ns INFO [00002126] Port=0 RD @0A + 2125.50ns INFO [00002127] * RD COMPARE * port=1 adr=10 act=EE122D65 exp=EE122D65 + 2125.50ns INFO [00002127] Port=0 WR @13=0360AE4E + 2126.50ns INFO [00002128] * RD COMPARE * port=0 adr=0A act=8115EEEE exp=8115EEEE + 2126.50ns INFO [00002128] Port=0 WR @01=4E4F18C1 + 2128.50ns INFO [00002130] Port=0 WR @15=A9EB2766 + 2129.50ns INFO [00002131] Port=0 RD @1D + 2129.50ns INFO [00002131] Port=1 RD @0E + 2130.50ns INFO [00002132] Port=1 RD @00 + 2131.50ns INFO [00002133] * RD COMPARE * port=0 adr=1D act=51B13E3B exp=51B13E3B + 2131.50ns INFO [00002133] * RD COMPARE * port=1 adr=0E act=08FFEABC exp=08FFEABC + 2131.50ns INFO [00002133] Port=1 RD @0F + 2132.50ns INFO [00002134] * RD COMPARE * port=1 adr=00 act=9052CC9B exp=9052CC9B + 2132.50ns INFO [00002134] Port=0 RD @0D + 2132.50ns INFO [00002134] Port=1 RD @12 + 2133.50ns INFO [00002135] * RD COMPARE * port=1 adr=0F act=03B6B48F exp=03B6B48F + 2134.50ns INFO [00002136] * RD COMPARE * port=0 adr=0D act=23E33926 exp=23E33926 + 2134.50ns INFO [00002136] * RD COMPARE * port=1 adr=12 act=2A7DF2E7 exp=2A7DF2E7 + 2135.50ns INFO [00002137] Port=0 WR @0F=3746E96E + 2136.50ns INFO [00002138] Port=0 RD @01 + 2137.50ns INFO [00002139] Port=0 WR @13=B7649EB0 + 2138.50ns INFO [00002140] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2138.50ns INFO [00002140] Port=1 RD @1D + 2139.50ns INFO [00002141] Port=0 WR @0F=523D7FAC + 2139.50ns INFO [00002141] Port=0 RD @0C + 2140.50ns INFO [00002142] * RD COMPARE * port=1 adr=1D act=51B13E3B exp=51B13E3B + 2140.50ns INFO [00002142] Port=1 RD @0B + 2141.50ns INFO [00002143] * RD COMPARE * port=0 adr=0C act=F9695CDC exp=F9695CDC + 2141.50ns INFO [00002143] Port=0 WR @09=7A2599A5 + 2141.50ns INFO [00002143] Port=0 RD @16 + 2142.50ns INFO [00002144] * RD COMPARE * port=1 adr=0B act=07DC4B86 exp=07DC4B86 + 2142.50ns INFO [00002144] Port=1 RD @14 + 2143.50ns INFO [00002145] * RD COMPARE * port=0 adr=16 act=97A0CCD9 exp=97A0CCD9 + 2143.50ns INFO [00002145] Port=0 RD @03 + 2143.50ns INFO [00002145] Port=1 RD @18 + 2144.50ns INFO [00002146] * RD COMPARE * port=1 adr=14 act=D87F552E exp=D87F552E + 2144.50ns INFO [00002146] Port=0 WR @1E=4C4B75D7 + 2144.50ns INFO [00002146] Port=0 RD @04 + 2145.50ns INFO [00002147] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 2145.50ns INFO [00002147] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F + 2145.50ns INFO [00002147] Port=0 RD @14 + 2146.50ns INFO [00002148] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7 + 2146.50ns INFO [00002148] Port=1 RD @15 + 2147.50ns INFO [00002149] * RD COMPARE * port=0 adr=14 act=D87F552E exp=D87F552E + 2147.50ns INFO [00002149] Port=0 RD @02 + 2148.50ns INFO [00002150] * RD COMPARE * port=1 adr=15 act=A9EB2766 exp=A9EB2766 + 2149.50ns INFO [00002151] * RD COMPARE * port=0 adr=02 act=1A6CDBCB exp=1A6CDBCB + 2149.50ns INFO [00002151] Port=0 WR @00=7BACC024 + 2151.50ns INFO [00002153] Port=1 RD @16 + 2153.50ns INFO [00002155] * RD COMPARE * port=1 adr=16 act=97A0CCD9 exp=97A0CCD9 + 2153.50ns INFO [00002155] Port=0 WR @1E=3DB175B6 + 2153.50ns INFO [00002155] Port=0 RD @15 + 2154.50ns INFO [00002156] Port=1 RD @08 + 2155.50ns INFO [00002157] * RD COMPARE * port=0 adr=15 act=A9EB2766 exp=A9EB2766 + 2155.50ns INFO [00002157] Port=0 WR @0B=BFAA254F + 2156.50ns INFO [00002158] * RD COMPARE * port=1 adr=08 act=A563E1A1 exp=A563E1A1 + 2156.50ns INFO [00002158] Port=1 RD @05 + 2157.50ns INFO [00002159] Port=0 WR @1D=3CAFF93E + 2158.50ns INFO [00002160] * RD COMPARE * port=1 adr=05 act=4697E749 exp=4697E749 + 2158.50ns INFO [00002160] Port=0 RD @05 + 2159.50ns INFO [00002161] Port=0 WR @13=A53FFCCF + 2159.50ns INFO [00002161] Port=0 RD @15 + 2160.50ns INFO [00002162] * RD COMPARE * port=0 adr=05 act=4697E749 exp=4697E749 + 2160.50ns INFO [00002162] Port=1 RD @14 + 2161.50ns INFO [00002163] * RD COMPARE * port=0 adr=15 act=A9EB2766 exp=A9EB2766 + 2161.50ns INFO [00002163] Port=0 WR @1A=0B760397 + 2161.50ns INFO [00002163] Port=0 RD @06 + 2162.50ns INFO [00002164] * RD COMPARE * port=1 adr=14 act=D87F552E exp=D87F552E + 2162.50ns INFO [00002164] Port=0 WR @0A=5F9E3060 + 2163.50ns INFO [00002165] * RD COMPARE * port=0 adr=06 act=69CF1D19 exp=69CF1D19 + 2163.50ns INFO [00002165] Port=0 WR @07=95FD48EC + 2163.50ns INFO [00002165] Port=0 RD @03 + 2163.50ns INFO [00002165] Port=1 RD @01 + 2164.50ns INFO [00002166] Port=1 RD @11 + 2165.50ns INFO [00002167] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2 + 2165.50ns INFO [00002167] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2165.50ns INFO [00002167] Port=1 RD @07 + 2166.50ns INFO [00002168] * RD COMPARE * port=1 adr=11 act=75427E4C exp=75427E4C + 2166.50ns INFO [00002168] Port=0 WR @1C=7AAD7119 + 2166.50ns INFO [00002168] Port=0 RD @0D + 2166.50ns INFO [00002168] Port=1 RD @18 + 2167.50ns INFO [00002169] * RD COMPARE * port=1 adr=07 act=95FD48EC exp=95FD48EC + 2168.50ns INFO [00002170] * RD COMPARE * port=0 adr=0D act=23E33926 exp=23E33926 + 2168.50ns INFO [00002170] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F + 2169.50ns INFO [00002171] Port=0 WR @1D=52B756FF + 2170.50ns INFO [00002172] Port=0 WR @10=0856B27D + 2170.50ns INFO [00002172] Port=0 RD @0D + 2171.50ns INFO [00002173] Port=1 RD @1E + 2172.50ns INFO [00002174] * RD COMPARE * port=0 adr=0D act=23E33926 exp=23E33926 + 2172.50ns INFO [00002174] Port=0 WR @0E=E4F673D5 + 2172.50ns INFO [00002174] Port=1 RD @0D + 2173.50ns INFO [00002175] * RD COMPARE * port=1 adr=1E act=3DB175B6 exp=3DB175B6 + 2173.50ns INFO [00002175] Port=1 RD @01 + 2174.50ns INFO [00002176] * RD COMPARE * port=1 adr=0D act=23E33926 exp=23E33926 + 2174.50ns INFO [00002176] Port=1 RD @1A + 2175.50ns INFO [00002177] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2175.50ns INFO [00002177] Port=0 WR @0D=54706D61 + 2175.50ns INFO [00002177] Port=1 RD @06 + 2176.50ns INFO [00002178] * RD COMPARE * port=1 adr=1A act=0B760397 exp=0B760397 + 2176.50ns INFO [00002178] Port=0 WR @1C=E8072940 + 2176.50ns INFO [00002178] Port=0 RD @09 + 2177.50ns INFO [00002179] * RD COMPARE * port=1 adr=06 act=69CF1D19 exp=69CF1D19 + 2178.50ns INFO [00002180] * RD COMPARE * port=0 adr=09 act=7A2599A5 exp=7A2599A5 + 2180.50ns INFO [00002182] Port=0 WR @19=5D48C280 + 2180.50ns INFO [00002182] Port=0 RD @00 + 2181.50ns INFO [00002183] Port=1 RD @0C + 2182.50ns INFO [00002184] * RD COMPARE * port=0 adr=00 act=7BACC024 exp=7BACC024 + 2182.50ns INFO [00002184] Port=0 RD @13 + 2182.50ns INFO [00002184] Port=1 RD @01 + 2183.50ns INFO [00002185] * RD COMPARE * port=1 adr=0C act=F9695CDC exp=F9695CDC + 2184.50ns INFO [00002186] * RD COMPARE * port=0 adr=13 act=A53FFCCF exp=A53FFCCF + 2184.50ns INFO [00002186] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2184.50ns INFO [00002186] Port=0 WR @14=366C652F + 2184.50ns INFO [00002186] Port=1 RD @12 + 2185.50ns INFO [00002187] Port=0 WR @1B=71649CF2 + 2185.50ns INFO [00002187] Port=0 RD @01 + 2185.50ns INFO [00002187] Port=1 RD @05 + 2186.50ns INFO [00002188] * RD COMPARE * port=1 adr=12 act=2A7DF2E7 exp=2A7DF2E7 + 2186.50ns INFO [00002188] Port=0 RD @00 + 2187.50ns INFO [00002189] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2187.50ns INFO [00002189] * RD COMPARE * port=1 adr=05 act=4697E749 exp=4697E749 + 2187.50ns INFO [00002189] Port=0 WR @14=FB3D90F7 + 2188.50ns INFO [00002190] * RD COMPARE * port=0 adr=00 act=7BACC024 exp=7BACC024 + 2188.50ns INFO [00002190] Port=0 WR @06=17BB8659 + 2188.50ns INFO [00002190] Port=0 RD @04 + 2188.50ns INFO [00002190] Port=1 RD @15 + 2189.50ns INFO [00002191] Port=0 RD @04 + 2190.50ns INFO [00002192] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7 + 2190.50ns INFO [00002192] * RD COMPARE * port=1 adr=15 act=A9EB2766 exp=A9EB2766 + 2191.50ns INFO [00002193] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7 + 2191.50ns INFO [00002193] Port=0 WR @02=EA893DD4 + 2193.50ns INFO [00002195] Port=0 WR @07=D016C893 + 2194.50ns INFO [00002196] Port=1 RD @1A + 2195.50ns INFO [00002197] Port=0 RD @02 + 2195.50ns INFO [00002197] Port=1 RD @04 + 2196.50ns INFO [00002198] * RD COMPARE * port=1 adr=1A act=0B760397 exp=0B760397 + 2197.50ns INFO [00002199] * RD COMPARE * port=0 adr=02 act=EA893DD4 exp=EA893DD4 + 2197.50ns INFO [00002199] * RD COMPARE * port=1 adr=04 act=9998B1B7 exp=9998B1B7 + 2198.00ns INFO [00002200] [00002200] ...tick... + 2198.50ns INFO [00002200] Port=1 RD @04 + 2199.50ns INFO [00002201] Port=0 RD @05 + 2200.50ns INFO [00002202] * RD COMPARE * port=1 adr=04 act=9998B1B7 exp=9998B1B7 + 2201.50ns INFO [00002203] * RD COMPARE * port=0 adr=05 act=4697E749 exp=4697E749 + 2201.50ns INFO [00002203] Port=1 RD @18 + 2202.50ns INFO [00002204] Port=0 WR @15=D43A2B2D + 2202.50ns INFO [00002204] Port=0 RD @05 + 2202.50ns INFO [00002204] Port=1 RD @19 + 2203.50ns INFO [00002205] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F + 2203.50ns INFO [00002205] Port=1 RD @0E + 2204.50ns INFO [00002206] * RD COMPARE * port=0 adr=05 act=4697E749 exp=4697E749 + 2204.50ns INFO [00002206] * RD COMPARE * port=1 adr=19 act=5D48C280 exp=5D48C280 + 2204.50ns INFO [00002206] Port=0 WR @12=3FA9D453 + 2205.50ns INFO [00002207] * RD COMPARE * port=1 adr=0E act=E4F673D5 exp=E4F673D5 + 2205.50ns INFO [00002207] Port=1 RD @0E + 2207.50ns INFO [00002209] * RD COMPARE * port=1 adr=0E act=E4F673D5 exp=E4F673D5 + 2207.50ns INFO [00002209] Port=0 RD @09 + 2208.50ns INFO [00002210] Port=0 WR @09=1AA787EE + 2209.50ns INFO [00002211] * RD COMPARE * port=0 adr=09 act=7A2599A5 exp=7A2599A5 + 2209.50ns INFO [00002211] Port=0 RD @0C + 2210.50ns INFO [00002212] Port=0 RD @1C + 2211.50ns INFO [00002213] * RD COMPARE * port=0 adr=0C act=F9695CDC exp=F9695CDC + 2211.50ns INFO [00002213] Port=0 WR @15=55A90707 + 2211.50ns INFO [00002213] Port=0 RD @1D + 2212.50ns INFO [00002214] * RD COMPARE * port=0 adr=1C act=E8072940 exp=E8072940 + 2212.50ns INFO [00002214] Port=0 WR @0D=49F8EA71 + 2212.50ns INFO [00002214] Port=0 RD @09 + 2213.50ns INFO [00002215] * RD COMPARE * port=0 adr=1D act=52B756FF exp=52B756FF + 2213.50ns INFO [00002215] Port=0 RD @0D + 2213.50ns INFO [00002215] Port=1 RD @1B + 2214.50ns INFO [00002216] * RD COMPARE * port=0 adr=09 act=1AA787EE exp=1AA787EE + 2214.50ns INFO [00002216] Port=1 RD @1A + 2215.50ns INFO [00002217] * RD COMPARE * port=0 adr=0D act=49F8EA71 exp=49F8EA71 + 2215.50ns INFO [00002217] * RD COMPARE * port=1 adr=1B act=71649CF2 exp=71649CF2 + 2216.50ns INFO [00002218] * RD COMPARE * port=1 adr=1A act=0B760397 exp=0B760397 + 2217.50ns INFO [00002219] Port=0 RD @02 + 2218.50ns INFO [00002220] Port=1 RD @1D + 2219.50ns INFO [00002221] * RD COMPARE * port=0 adr=02 act=EA893DD4 exp=EA893DD4 + 2219.50ns INFO [00002221] Port=0 WR @13=1F038C91 + 2219.50ns INFO [00002221] Port=1 RD @09 + 2220.50ns INFO [00002222] * RD COMPARE * port=1 adr=1D act=52B756FF exp=52B756FF + 2220.50ns INFO [00002222] Port=0 RD @09 + 2221.50ns INFO [00002223] * RD COMPARE * port=1 adr=09 act=1AA787EE exp=1AA787EE + 2222.50ns INFO [00002224] * RD COMPARE * port=0 adr=09 act=1AA787EE exp=1AA787EE + 2222.50ns INFO [00002224] Port=0 WR @08=C010F798 + 2222.50ns INFO [00002224] Port=1 RD @01 + 2223.50ns INFO [00002225] Port=0 RD @14 + 2224.50ns INFO [00002226] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2224.50ns INFO [00002226] Port=0 RD @04 + 2225.50ns INFO [00002227] * RD COMPARE * port=0 adr=14 act=FB3D90F7 exp=FB3D90F7 + 2225.50ns INFO [00002227] Port=0 WR @11=260D96DB + 2226.50ns INFO [00002228] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7 + 2226.50ns INFO [00002228] Port=0 WR @03=E23591EE + 2228.50ns INFO [00002230] Port=0 RD @04 + 2229.50ns INFO [00002231] Port=0 RD @0F + 2229.50ns INFO [00002231] Port=1 RD @08 + 2230.50ns INFO [00002232] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7 + 2230.50ns INFO [00002232] Port=0 RD @0A + 2231.50ns INFO [00002233] * RD COMPARE * port=0 adr=0F act=523D7FAC exp=523D7FAC + 2231.50ns INFO [00002233] * RD COMPARE * port=1 adr=08 act=C010F798 exp=C010F798 + 2231.50ns INFO [00002233] Port=0 WR @05=45F4ED3A + 2232.50ns INFO [00002234] * RD COMPARE * port=0 adr=0A act=5F9E3060 exp=5F9E3060 + 2232.50ns INFO [00002234] Port=1 RD @1A + 2233.50ns INFO [00002235] Port=0 WR @1D=1406BDD5 + 2234.50ns INFO [00002236] * RD COMPARE * port=1 adr=1A act=0B760397 exp=0B760397 + 2235.50ns INFO [00002237] Port=0 RD @0C + 2235.50ns INFO [00002237] Port=1 RD @0A + 2237.50ns INFO [00002239] * RD COMPARE * port=0 adr=0C act=F9695CDC exp=F9695CDC + 2237.50ns INFO [00002239] * RD COMPARE * port=1 adr=0A act=5F9E3060 exp=5F9E3060 + 2237.50ns INFO [00002239] Port=0 WR @15=B13161A4 + 2237.50ns INFO [00002239] Port=0 RD @09 + 2237.50ns INFO [00002239] Port=1 RD @1F + 2238.50ns INFO [00002240] Port=0 WR @10=78629213 + 2238.50ns INFO [00002240] Port=1 RD @01 + 2239.50ns INFO [00002241] * RD COMPARE * port=0 adr=09 act=1AA787EE exp=1AA787EE + 2239.50ns INFO [00002241] * RD COMPARE * port=1 adr=1F act=44DC8678 exp=44DC8678 + 2239.50ns INFO [00002241] Port=0 WR @03=8355D401 + 2239.50ns INFO [00002241] Port=1 RD @14 + 2240.50ns INFO [00002242] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2241.50ns INFO [00002243] * RD COMPARE * port=1 adr=14 act=FB3D90F7 exp=FB3D90F7 + 2241.50ns INFO [00002243] Port=0 RD @15 + 2241.50ns INFO [00002243] Port=1 RD @0C + 2243.50ns INFO [00002245] * RD COMPARE * port=0 adr=15 act=B13161A4 exp=B13161A4 + 2243.50ns INFO [00002245] * RD COMPARE * port=1 adr=0C act=F9695CDC exp=F9695CDC + 2243.50ns INFO [00002245] Port=0 RD @1F + 2244.50ns INFO [00002246] Port=0 WR @10=616B02C3 + 2245.50ns INFO [00002247] * RD COMPARE * port=0 adr=1F act=44DC8678 exp=44DC8678 + 2245.50ns INFO [00002247] Port=0 RD @08 + 2245.50ns INFO [00002247] Port=1 RD @11 + 2246.50ns INFO [00002248] Port=1 RD @16 + 2247.50ns INFO [00002249] * RD COMPARE * port=0 adr=08 act=C010F798 exp=C010F798 + 2247.50ns INFO [00002249] * RD COMPARE * port=1 adr=11 act=260D96DB exp=260D96DB + 2248.50ns INFO [00002250] * RD COMPARE * port=1 adr=16 act=97A0CCD9 exp=97A0CCD9 + 2248.50ns INFO [00002250] Port=0 RD @07 + 2249.50ns INFO [00002251] Port=1 RD @0D + 2250.50ns INFO [00002252] * RD COMPARE * port=0 adr=07 act=D016C893 exp=D016C893 + 2250.50ns INFO [00002252] Port=0 RD @07 + 2251.50ns INFO [00002253] * RD COMPARE * port=1 adr=0D act=49F8EA71 exp=49F8EA71 + 2251.50ns INFO [00002253] Port=0 WR @06=C65EAC52 + 2251.50ns INFO [00002253] Port=1 RD @1A + 2252.50ns INFO [00002254] * RD COMPARE * port=0 adr=07 act=D016C893 exp=D016C893 + 2252.50ns INFO [00002254] Port=0 RD @15 + 2252.50ns INFO [00002254] Port=1 RD @17 + 2253.50ns INFO [00002255] * RD COMPARE * port=1 adr=1A act=0B760397 exp=0B760397 + 2253.50ns INFO [00002255] Port=0 WR @0D=056DEDA3 + 2253.50ns INFO [00002255] Port=1 RD @08 + 2254.50ns INFO [00002256] * RD COMPARE * port=0 adr=15 act=B13161A4 exp=B13161A4 + 2254.50ns INFO [00002256] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80 + 2254.50ns INFO [00002256] Port=0 RD @11 + 2254.50ns INFO [00002256] Port=1 RD @0B + 2255.50ns INFO [00002257] * RD COMPARE * port=1 adr=08 act=C010F798 exp=C010F798 + 2256.50ns INFO [00002258] * RD COMPARE * port=0 adr=11 act=260D96DB exp=260D96DB + 2256.50ns INFO [00002258] * RD COMPARE * port=1 adr=0B act=BFAA254F exp=BFAA254F + 2256.50ns INFO [00002258] Port=0 WR @14=51ED3FB9 + 2257.50ns INFO [00002259] Port=0 RD @18 + 2257.50ns INFO [00002259] Port=1 RD @14 + 2258.50ns INFO [00002260] Port=0 RD @01 + 2259.50ns INFO [00002261] * RD COMPARE * port=0 adr=18 act=F1BC521F exp=F1BC521F + 2259.50ns INFO [00002261] * RD COMPARE * port=1 adr=14 act=51ED3FB9 exp=51ED3FB9 + 2260.50ns INFO [00002262] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2260.50ns INFO [00002262] Port=0 WR @1A=BBAAFAD6 + 2261.50ns INFO [00002263] Port=0 WR @0C=C520CFFB + 2261.50ns INFO [00002263] Port=0 RD @1A + 2262.50ns INFO [00002264] Port=0 WR @12=E4924E96 + 2262.50ns INFO [00002264] Port=0 RD @0B + 2262.50ns INFO [00002264] Port=1 RD @17 + 2263.50ns INFO [00002265] * RD COMPARE * port=0 adr=1A act=BBAAFAD6 exp=BBAAFAD6 + 2263.50ns INFO [00002265] Port=0 WR @12=26D53FC1 + 2264.50ns INFO [00002266] * RD COMPARE * port=0 adr=0B act=BFAA254F exp=BFAA254F + 2264.50ns INFO [00002266] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80 + 2265.50ns INFO [00002267] Port=0 RD @0C + 2266.50ns INFO [00002268] Port=0 WR @1F=7904B5AE + 2267.50ns INFO [00002269] * RD COMPARE * port=0 adr=0C act=C520CFFB exp=C520CFFB + 2267.50ns INFO [00002269] Port=1 RD @0F + 2269.50ns INFO [00002271] * RD COMPARE * port=1 adr=0F act=523D7FAC exp=523D7FAC + 2270.50ns INFO [00002272] Port=1 RD @13 + 2272.50ns INFO [00002274] * RD COMPARE * port=1 adr=13 act=1F038C91 exp=1F038C91 + 2272.50ns INFO [00002274] Port=0 WR @06=9839EDF7 + 2273.50ns INFO [00002275] Port=0 WR @1D=9D46BD74 + 2275.50ns INFO [00002277] Port=0 RD @17 + 2275.50ns INFO [00002277] Port=1 RD @03 + 2276.50ns INFO [00002278] Port=0 WR @08=46142AFE + 2277.50ns INFO [00002279] * RD COMPARE * port=0 adr=17 act=417BCE80 exp=417BCE80 + 2277.50ns INFO [00002279] * RD COMPARE * port=1 adr=03 act=8355D401 exp=8355D401 + 2277.50ns INFO [00002279] Port=0 WR @1D=884F9719 + 2277.50ns INFO [00002279] Port=0 RD @00 + 2277.50ns INFO [00002279] Port=1 RD @0D + 2278.50ns INFO [00002280] Port=0 WR @1E=647B34DA + 2278.50ns INFO [00002280] Port=1 RD @02 + 2279.50ns INFO [00002281] * RD COMPARE * port=0 adr=00 act=7BACC024 exp=7BACC024 + 2279.50ns INFO [00002281] * RD COMPARE * port=1 adr=0D act=056DEDA3 exp=056DEDA3 + 2279.50ns INFO [00002281] Port=1 RD @15 + 2280.50ns INFO [00002282] * RD COMPARE * port=1 adr=02 act=EA893DD4 exp=EA893DD4 + 2280.50ns INFO [00002282] Port=0 RD @1B + 2281.50ns INFO [00002283] * RD COMPARE * port=1 adr=15 act=B13161A4 exp=B13161A4 + 2282.50ns INFO [00002284] * RD COMPARE * port=0 adr=1B act=71649CF2 exp=71649CF2 + 2282.50ns INFO [00002284] Port=0 RD @0C + 2282.50ns INFO [00002284] Port=1 RD @14 + 2283.50ns INFO [00002285] Port=0 WR @08=1DA0E483 + 2283.50ns INFO [00002285] Port=0 RD @0C + 2283.50ns INFO [00002285] Port=1 RD @1B + 2284.50ns INFO [00002286] * RD COMPARE * port=0 adr=0C act=C520CFFB exp=C520CFFB + 2284.50ns INFO [00002286] * RD COMPARE * port=1 adr=14 act=51ED3FB9 exp=51ED3FB9 + 2284.50ns INFO [00002286] Port=1 RD @11 + 2285.50ns INFO [00002287] * RD COMPARE * port=0 adr=0C act=C520CFFB exp=C520CFFB + 2285.50ns INFO [00002287] * RD COMPARE * port=1 adr=1B act=71649CF2 exp=71649CF2 + 2285.50ns INFO [00002287] Port=1 RD @1E + 2286.50ns INFO [00002288] * RD COMPARE * port=1 adr=11 act=260D96DB exp=260D96DB + 2287.50ns INFO [00002289] * RD COMPARE * port=1 adr=1E act=647B34DA exp=647B34DA + 2288.50ns INFO [00002290] Port=1 RD @13 + 2289.50ns INFO [00002291] Port=0 RD @07 + 2290.50ns INFO [00002292] * RD COMPARE * port=1 adr=13 act=1F038C91 exp=1F038C91 + 2290.50ns INFO [00002292] Port=0 RD @10 + 2290.50ns INFO [00002292] Port=1 RD @14 + 2291.50ns INFO [00002293] * RD COMPARE * port=0 adr=07 act=D016C893 exp=D016C893 + 2291.50ns INFO [00002293] Port=0 WR @03=3BCE02C9 + 2292.50ns INFO [00002294] * RD COMPARE * port=0 adr=10 act=616B02C3 exp=616B02C3 + 2292.50ns INFO [00002294] * RD COMPARE * port=1 adr=14 act=51ED3FB9 exp=51ED3FB9 + 2293.50ns INFO [00002295] Port=0 WR @0F=C15C13F1 + 2295.50ns INFO [00002297] Port=0 WR @11=7A2741FF + 2296.50ns INFO [00002298] Port=0 RD @14 + 2297.50ns INFO [00002299] Port=0 RD @1E + 2298.00ns INFO [00002300] [00002300] ...tick... + 2298.50ns INFO [00002300] * RD COMPARE * port=0 adr=14 act=51ED3FB9 exp=51ED3FB9 + 2299.50ns INFO [00002301] * RD COMPARE * port=0 adr=1E act=647B34DA exp=647B34DA + 2299.50ns INFO [00002301] Port=1 RD @0B + 2300.50ns INFO [00002302] Port=1 RD @1D + 2301.50ns INFO [00002303] * RD COMPARE * port=1 adr=0B act=BFAA254F exp=BFAA254F + 2301.50ns INFO [00002303] Port=1 RD @0F + 2302.50ns INFO [00002304] * RD COMPARE * port=1 adr=1D act=884F9719 exp=884F9719 + 2302.50ns INFO [00002304] Port=0 WR @06=E52473E5 + 2302.50ns INFO [00002304] Port=0 RD @0B + 2302.50ns INFO [00002304] Port=1 RD @10 + 2303.50ns INFO [00002305] * RD COMPARE * port=1 adr=0F act=C15C13F1 exp=C15C13F1 + 2303.50ns INFO [00002305] Port=0 WR @08=26346948 + 2303.50ns INFO [00002305] Port=0 RD @11 + 2304.50ns INFO [00002306] * RD COMPARE * port=0 adr=0B act=BFAA254F exp=BFAA254F + 2304.50ns INFO [00002306] * RD COMPARE * port=1 adr=10 act=616B02C3 exp=616B02C3 + 2304.50ns INFO [00002306] Port=0 WR @10=222EE67E + 2304.50ns INFO [00002306] Port=1 RD @0F + 2305.50ns INFO [00002307] * RD COMPARE * port=0 adr=11 act=7A2741FF exp=7A2741FF + 2305.50ns INFO [00002307] Port=1 RD @10 + 2306.50ns INFO [00002308] * RD COMPARE * port=1 adr=0F act=C15C13F1 exp=C15C13F1 + 2306.50ns INFO [00002308] Port=0 WR @14=A77F068B + 2306.50ns INFO [00002308] Port=1 RD @16 + 2307.50ns INFO [00002309] * RD COMPARE * port=1 adr=10 act=222EE67E exp=222EE67E + 2307.50ns INFO [00002309] Port=0 WR @0A=C655A516 + 2308.50ns INFO [00002310] * RD COMPARE * port=1 adr=16 act=97A0CCD9 exp=97A0CCD9 + 2308.50ns INFO [00002310] Port=0 RD @19 + 2309.50ns INFO [00002311] Port=0 WR @10=F2AA1992 + 2310.50ns INFO [00002312] * RD COMPARE * port=0 adr=19 act=5D48C280 exp=5D48C280 + 2310.50ns INFO [00002312] Port=1 RD @06 + 2311.50ns INFO [00002313] Port=0 RD @05 + 2312.50ns INFO [00002314] * RD COMPARE * port=1 adr=06 act=E52473E5 exp=E52473E5 + 2312.50ns INFO [00002314] Port=0 RD @1A + 2312.50ns INFO [00002314] Port=1 RD @15 + 2313.50ns INFO [00002315] * RD COMPARE * port=0 adr=05 act=45F4ED3A exp=45F4ED3A + 2313.50ns INFO [00002315] Port=1 RD @08 + 2314.50ns INFO [00002316] * RD COMPARE * port=0 adr=1A act=BBAAFAD6 exp=BBAAFAD6 + 2314.50ns INFO [00002316] * RD COMPARE * port=1 adr=15 act=B13161A4 exp=B13161A4 + 2314.50ns INFO [00002316] Port=1 RD @17 + 2315.50ns INFO [00002317] * RD COMPARE * port=1 adr=08 act=26346948 exp=26346948 + 2315.50ns INFO [00002317] Port=0 WR @00=A0522A55 + 2316.50ns INFO [00002318] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80 + 2316.50ns INFO [00002318] Port=0 RD @06 + 2318.50ns INFO [00002320] * RD COMPARE * port=0 adr=06 act=E52473E5 exp=E52473E5 + 2318.50ns INFO [00002320] Port=0 RD @07 + 2318.50ns INFO [00002320] Port=1 RD @1A + 2319.50ns INFO [00002321] Port=0 RD @08 + 2320.50ns INFO [00002322] * RD COMPARE * port=0 adr=07 act=D016C893 exp=D016C893 + 2320.50ns INFO [00002322] * RD COMPARE * port=1 adr=1A act=BBAAFAD6 exp=BBAAFAD6 + 2320.50ns INFO [00002322] Port=0 WR @1E=EB4B7DB4 + 2320.50ns INFO [00002322] Port=0 RD @02 + 2321.50ns INFO [00002323] * RD COMPARE * port=0 adr=08 act=26346948 exp=26346948 + 2321.50ns INFO [00002323] Port=0 WR @1F=7AC88875 + 2321.50ns INFO [00002323] Port=0 RD @14 + 2322.50ns INFO [00002324] * RD COMPARE * port=0 adr=02 act=EA893DD4 exp=EA893DD4 + 2322.50ns INFO [00002324] Port=0 WR @07=3DAA559C + 2323.50ns INFO [00002325] * RD COMPARE * port=0 adr=14 act=A77F068B exp=A77F068B + 2324.50ns INFO [00002326] Port=0 WR @1B=066C8196 + 2326.50ns INFO [00002328] Port=0 RD @1F + 2327.50ns INFO [00002329] Port=0 WR @05=4AE15F43 + 2327.50ns INFO [00002329] Port=1 RD @06 + 2328.50ns INFO [00002330] * RD COMPARE * port=0 adr=1F act=7AC88875 exp=7AC88875 + 2328.50ns INFO [00002330] Port=0 WR @0A=6EF3E156 + 2329.50ns INFO [00002331] * RD COMPARE * port=1 adr=06 act=E52473E5 exp=E52473E5 + 2329.50ns INFO [00002331] Port=0 RD @1A + 2331.50ns INFO [00002333] * RD COMPARE * port=0 adr=1A act=BBAAFAD6 exp=BBAAFAD6 + 2331.50ns INFO [00002333] Port=0 WR @00=8319CD93 + 2331.50ns INFO [00002333] Port=0 RD @0B + 2333.50ns INFO [00002335] * RD COMPARE * port=0 adr=0B act=BFAA254F exp=BFAA254F + 2334.50ns INFO [00002336] Port=0 WR @07=4AE901D6 + 2335.50ns INFO [00002337] Port=1 RD @16 + 2336.50ns INFO [00002338] Port=0 WR @07=5D15B696 + 2336.50ns INFO [00002338] Port=0 RD @09 + 2337.50ns INFO [00002339] * RD COMPARE * port=1 adr=16 act=97A0CCD9 exp=97A0CCD9 + 2337.50ns INFO [00002339] Port=0 RD @07 + 2337.50ns INFO [00002339] Port=1 RD @14 + 2338.50ns INFO [00002340] * RD COMPARE * port=0 adr=09 act=1AA787EE exp=1AA787EE + 2338.50ns INFO [00002340] Port=0 RD @1B + 2338.50ns INFO [00002340] Port=1 RD @00 + 2339.50ns INFO [00002341] * RD COMPARE * port=0 adr=07 act=5D15B696 exp=5D15B696 + 2339.50ns INFO [00002341] * RD COMPARE * port=1 adr=14 act=A77F068B exp=A77F068B + 2339.50ns INFO [00002341] Port=0 WR @14=DDB7D3A4 + 2340.50ns INFO [00002342] * RD COMPARE * port=0 adr=1B act=066C8196 exp=066C8196 + 2340.50ns INFO [00002342] * RD COMPARE * port=1 adr=00 act=8319CD93 exp=8319CD93 + 2340.50ns INFO [00002342] Port=0 WR @03=E6ABACB4 + 2340.50ns INFO [00002342] Port=1 RD @19 + 2341.50ns INFO [00002343] Port=0 WR @04=2216C00A + 2341.50ns INFO [00002343] Port=0 RD @0A + 2342.50ns INFO [00002344] * RD COMPARE * port=1 adr=19 act=5D48C280 exp=5D48C280 + 2342.50ns INFO [00002344] Port=0 RD @19 + 2342.50ns INFO [00002344] Port=1 RD @06 + 2343.50ns INFO [00002345] * RD COMPARE * port=0 adr=0A act=6EF3E156 exp=6EF3E156 + 2343.50ns INFO [00002345] Port=0 WR @06=E86BE011 + 2343.50ns INFO [00002345] Port=0 RD @0F + 2344.50ns INFO [00002346] * RD COMPARE * port=0 adr=19 act=5D48C280 exp=5D48C280 + 2344.50ns INFO [00002346] * RD COMPARE * port=1 adr=06 act=E52473E5 exp=E52473E5 + 2344.50ns INFO [00002346] Port=0 RD @12 + 2345.50ns INFO [00002347] * RD COMPARE * port=0 adr=0F act=C15C13F1 exp=C15C13F1 + 2345.50ns INFO [00002347] Port=0 RD @12 + 2345.50ns INFO [00002347] Port=1 RD @08 + 2346.50ns INFO [00002348] * RD COMPARE * port=0 adr=12 act=26D53FC1 exp=26D53FC1 + 2346.50ns INFO [00002348] Port=1 RD @0C + 2347.50ns INFO [00002349] * RD COMPARE * port=0 adr=12 act=26D53FC1 exp=26D53FC1 + 2347.50ns INFO [00002349] * RD COMPARE * port=1 adr=08 act=26346948 exp=26346948 + 2347.50ns INFO [00002349] Port=0 WR @19=3D48C9ED + 2347.50ns INFO [00002349] Port=1 RD @08 + 2348.50ns INFO [00002350] * RD COMPARE * port=1 adr=0C act=C520CFFB exp=C520CFFB + 2348.50ns INFO [00002350] Port=0 WR @12=610D5812 + 2348.50ns INFO [00002350] Port=0 RD @1E + 2349.50ns INFO [00002351] * RD COMPARE * port=1 adr=08 act=26346948 exp=26346948 + 2350.50ns INFO [00002352] * RD COMPARE * port=0 adr=1E act=EB4B7DB4 exp=EB4B7DB4 + 2350.50ns INFO [00002352] Port=0 WR @0E=E417F802 + 2351.50ns INFO [00002353] Port=0 RD @03 + 2352.50ns INFO [00002354] Port=0 WR @12=A5E2F5CF + 2352.50ns INFO [00002354] Port=0 RD @0F + 2353.50ns INFO [00002355] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4 + 2353.50ns INFO [00002355] Port=1 RD @11 + 2354.50ns INFO [00002356] * RD COMPARE * port=0 adr=0F act=C15C13F1 exp=C15C13F1 + 2354.50ns INFO [00002356] Port=0 WR @09=DE0782CA + 2354.50ns INFO [00002356] Port=1 RD @0F + 2355.50ns INFO [00002357] * RD COMPARE * port=1 adr=11 act=7A2741FF exp=7A2741FF + 2355.50ns INFO [00002357] Port=0 RD @18 + 2356.50ns INFO [00002358] * RD COMPARE * port=1 adr=0F act=C15C13F1 exp=C15C13F1 + 2356.50ns INFO [00002358] Port=0 WR @15=A6A8D2F1 + 2356.50ns INFO [00002358] Port=0 RD @0F + 2357.50ns INFO [00002359] * RD COMPARE * port=0 adr=18 act=F1BC521F exp=F1BC521F + 2357.50ns INFO [00002359] Port=0 RD @1A + 2358.50ns INFO [00002360] * RD COMPARE * port=0 adr=0F act=C15C13F1 exp=C15C13F1 + 2359.50ns INFO [00002361] * RD COMPARE * port=0 adr=1A act=BBAAFAD6 exp=BBAAFAD6 + 2359.50ns INFO [00002361] Port=0 RD @0D + 2359.50ns INFO [00002361] Port=1 RD @14 + 2360.50ns INFO [00002362] Port=0 WR @05=76214AFF + 2360.50ns INFO [00002362] Port=0 RD @1E + 2361.50ns INFO [00002363] * RD COMPARE * port=0 adr=0D act=056DEDA3 exp=056DEDA3 + 2361.50ns INFO [00002363] * RD COMPARE * port=1 adr=14 act=DDB7D3A4 exp=DDB7D3A4 + 2361.50ns INFO [00002363] Port=0 RD @02 + 2362.50ns INFO [00002364] * RD COMPARE * port=0 adr=1E act=EB4B7DB4 exp=EB4B7DB4 + 2362.50ns INFO [00002364] Port=0 RD @0D + 2363.50ns INFO [00002365] * RD COMPARE * port=0 adr=02 act=EA893DD4 exp=EA893DD4 + 2363.50ns INFO [00002365] Port=0 WR @18=08783F87 + 2364.50ns INFO [00002366] * RD COMPARE * port=0 adr=0D act=056DEDA3 exp=056DEDA3 + 2364.50ns INFO [00002366] Port=0 RD @0C + 2364.50ns INFO [00002366] Port=1 RD @14 + 2365.50ns INFO [00002367] Port=0 RD @07 + 2365.50ns INFO [00002367] Port=1 RD @07 + 2366.50ns INFO [00002368] * RD COMPARE * port=0 adr=0C act=C520CFFB exp=C520CFFB + 2366.50ns INFO [00002368] * RD COMPARE * port=1 adr=14 act=DDB7D3A4 exp=DDB7D3A4 + 2366.50ns INFO [00002368] Port=0 RD @0B + 2367.50ns INFO [00002369] * RD COMPARE * port=0 adr=07 act=5D15B696 exp=5D15B696 + 2367.50ns INFO [00002369] * RD COMPARE * port=1 adr=07 act=5D15B696 exp=5D15B696 + 2367.50ns INFO [00002369] Port=0 WR @0E=754634D2 + 2368.50ns INFO [00002370] * RD COMPARE * port=0 adr=0B act=BFAA254F exp=BFAA254F + 2368.50ns INFO [00002370] Port=1 RD @1E + 2369.50ns INFO [00002371] Port=0 WR @11=8AFD8E80 + 2369.50ns INFO [00002371] Port=1 RD @19 + 2370.50ns INFO [00002372] * RD COMPARE * port=1 adr=1E act=EB4B7DB4 exp=EB4B7DB4 + 2370.50ns INFO [00002372] Port=0 WR @1A=94D4A573 + 2371.50ns INFO [00002373] * RD COMPARE * port=1 adr=19 act=3D48C9ED exp=3D48C9ED + 2371.50ns INFO [00002373] Port=0 RD @07 + 2371.50ns INFO [00002373] Port=1 RD @17 + 2372.50ns INFO [00002374] Port=0 WR @1D=B52A9F49 + 2373.50ns INFO [00002375] * RD COMPARE * port=0 adr=07 act=5D15B696 exp=5D15B696 + 2373.50ns INFO [00002375] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80 + 2373.50ns INFO [00002375] Port=0 WR @0F=8955730F + 2373.50ns INFO [00002375] Port=0 RD @08 + 2375.50ns INFO [00002377] * RD COMPARE * port=0 adr=08 act=26346948 exp=26346948 + 2377.50ns INFO [00002379] Port=0 WR @17=E8F639F2 + 2378.50ns INFO [00002380] Port=0 RD @01 + 2379.50ns INFO [00002381] Port=0 RD @07 + 2380.50ns INFO [00002382] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2380.50ns INFO [00002382] Port=0 WR @07=BE8E8B46 + 2380.50ns INFO [00002382] Port=1 RD @09 + 2381.50ns INFO [00002383] * RD COMPARE * port=0 adr=07 act=5D15B696 exp=5D15B696 + 2381.50ns INFO [00002383] Port=0 RD @1C + 2382.50ns INFO [00002384] * RD COMPARE * port=1 adr=09 act=DE0782CA exp=DE0782CA + 2382.50ns INFO [00002384] Port=0 WR @1C=095FAFC5 + 2382.50ns INFO [00002384] Port=0 RD @01 + 2383.50ns INFO [00002385] * RD COMPARE * port=0 adr=1C act=E8072940 exp=E8072940 + 2383.50ns INFO [00002385] Port=0 RD @19 + 2384.50ns INFO [00002386] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2385.50ns INFO [00002387] * RD COMPARE * port=0 adr=19 act=3D48C9ED exp=3D48C9ED + 2385.50ns INFO [00002387] Port=0 WR @17=FB68E312 + 2385.50ns INFO [00002387] Port=1 RD @02 + 2386.50ns INFO [00002388] Port=1 RD @17 + 2387.50ns INFO [00002389] * RD COMPARE * port=1 adr=02 act=EA893DD4 exp=EA893DD4 + 2388.50ns INFO [00002390] * RD COMPARE * port=1 adr=17 act=FB68E312 exp=FB68E312 + 2388.50ns INFO [00002390] Port=0 WR @0D=D0FBD575 + 2389.50ns INFO [00002391] Port=0 WR @0C=6009A48C + 2390.50ns INFO [00002392] Port=0 WR @19=382A2946 + 2390.50ns INFO [00002392] Port=0 RD @08 + 2391.50ns INFO [00002393] Port=0 WR @09=742563CD + 2391.50ns INFO [00002393] Port=1 RD @17 + 2392.50ns INFO [00002394] * RD COMPARE * port=0 adr=08 act=26346948 exp=26346948 + 2393.50ns INFO [00002395] * RD COMPARE * port=1 adr=17 act=FB68E312 exp=FB68E312 + 2393.50ns INFO [00002395] Port=0 RD @12 + 2393.50ns INFO [00002395] Port=1 RD @0D + 2394.50ns INFO [00002396] Port=0 WR @16=7E544045 + 2395.50ns INFO [00002397] * RD COMPARE * port=0 adr=12 act=A5E2F5CF exp=A5E2F5CF + 2395.50ns INFO [00002397] * RD COMPARE * port=1 adr=0D act=D0FBD575 exp=D0FBD575 + 2395.50ns INFO [00002397] Port=0 RD @15 + 2396.50ns INFO [00002398] Port=0 RD @0C + 2396.50ns INFO [00002398] Port=1 RD @09 + 2397.50ns INFO [00002399] * RD COMPARE * port=0 adr=15 act=A6A8D2F1 exp=A6A8D2F1 + 2397.50ns INFO [00002399] Port=0 RD @13 + 2397.50ns INFO [00002399] Port=1 RD @1C + 2398.00ns INFO [00002400] [00002400] ...tick... + 2398.50ns INFO [00002400] * RD COMPARE * port=0 adr=0C act=6009A48C exp=6009A48C + 2398.50ns INFO [00002400] * RD COMPARE * port=1 adr=09 act=742563CD exp=742563CD + 2398.50ns INFO [00002400] Port=1 RD @15 + 2399.50ns INFO [00002401] * RD COMPARE * port=0 adr=13 act=1F038C91 exp=1F038C91 + 2399.50ns INFO [00002401] * RD COMPARE * port=1 adr=1C act=095FAFC5 exp=095FAFC5 + 2399.50ns INFO [00002401] Port=0 WR @0F=4643AC43 + 2400.50ns INFO [00002402] * RD COMPARE * port=1 adr=15 act=A6A8D2F1 exp=A6A8D2F1 + 2400.50ns INFO [00002402] Port=0 WR @1C=BE63950B + 2400.50ns INFO [00002402] Port=0 RD @05 + 2401.50ns INFO [00002403] Port=0 WR @17=9A8686E5 + 2401.50ns INFO [00002403] Port=1 RD @15 + 2402.50ns INFO [00002404] * RD COMPARE * port=0 adr=05 act=76214AFF exp=76214AFF + 2402.50ns INFO [00002404] Port=0 WR @07=2D8EBF54 + 2402.50ns INFO [00002404] Port=0 RD @18 + 2403.50ns INFO [00002405] * RD COMPARE * port=1 adr=15 act=A6A8D2F1 exp=A6A8D2F1 + 2404.50ns INFO [00002406] * RD COMPARE * port=0 adr=18 act=08783F87 exp=08783F87 + 2404.50ns INFO [00002406] Port=0 WR @1B=6917EC54 + 2405.50ns INFO [00002407] Port=0 WR @15=4748847D + 2407.50ns INFO [00002409] Port=0 WR @09=2935502D + 2407.50ns INFO [00002409] Port=1 RD @01 + 2409.50ns INFO [00002411] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2410.50ns INFO [00002412] Port=0 WR @0A=36CB2956 + 2412.50ns INFO [00002414] Port=0 RD @08 + 2413.50ns INFO [00002415] Port=1 RD @08 + 2414.50ns INFO [00002416] * RD COMPARE * port=0 adr=08 act=26346948 exp=26346948 + 2414.50ns INFO [00002416] Port=0 WR @04=7039B990 + 2415.50ns INFO [00002417] * RD COMPARE * port=1 adr=08 act=26346948 exp=26346948 + 2416.50ns INFO [00002418] Port=0 WR @1B=DD4510FD + 2417.50ns INFO [00002419] Port=0 WR @0F=EA26998B + 2417.50ns INFO [00002419] Port=0 RD @19 + 2418.50ns INFO [00002420] Port=1 RD @0A + 2419.50ns INFO [00002421] * RD COMPARE * port=0 adr=19 act=382A2946 exp=382A2946 + 2419.50ns INFO [00002421] Port=0 WR @05=7BF8C13A + 2419.50ns INFO [00002421] Port=0 RD @12 + 2420.50ns INFO [00002422] * RD COMPARE * port=1 adr=0A act=36CB2956 exp=36CB2956 + 2420.50ns INFO [00002422] Port=0 WR @1E=79E4F8F2 + 2421.50ns INFO [00002423] * RD COMPARE * port=0 adr=12 act=A5E2F5CF exp=A5E2F5CF + 2421.50ns INFO [00002423] Port=0 RD @07 + 2422.50ns INFO [00002424] Port=0 RD @04 + 2423.50ns INFO [00002425] * RD COMPARE * port=0 adr=07 act=2D8EBF54 exp=2D8EBF54 + 2424.50ns INFO [00002426] * RD COMPARE * port=0 adr=04 act=7039B990 exp=7039B990 + 2425.50ns INFO [00002427] Port=0 WR @08=AACB1ECE + 2426.50ns INFO [00002428] Port=0 RD @09 + 2428.50ns INFO [00002430] * RD COMPARE * port=0 adr=09 act=2935502D exp=2935502D + 2428.50ns INFO [00002430] Port=0 WR @0B=88573EA8 + 2430.50ns INFO [00002432] Port=0 WR @00=B6B77EF8 + 2431.50ns INFO [00002433] Port=0 RD @09 + 2432.50ns INFO [00002434] Port=0 WR @08=E207B077 + 2432.50ns INFO [00002434] Port=0 RD @12 + 2433.50ns INFO [00002435] * RD COMPARE * port=0 adr=09 act=2935502D exp=2935502D + 2434.50ns INFO [00002436] * RD COMPARE * port=0 adr=12 act=A5E2F5CF exp=A5E2F5CF + 2435.50ns INFO [00002437] Port=0 WR @18=5A9F7C60 + 2435.50ns INFO [00002437] Port=1 RD @13 + 2436.50ns INFO [00002438] Port=0 WR @1C=18358808 + 2436.50ns INFO [00002438] Port=0 RD @1B + 2436.50ns INFO [00002438] Port=1 RD @08 + 2437.50ns INFO [00002439] * RD COMPARE * port=1 adr=13 act=1F038C91 exp=1F038C91 + 2438.50ns INFO [00002440] * RD COMPARE * port=0 adr=1B act=DD4510FD exp=DD4510FD + 2438.50ns INFO [00002440] * RD COMPARE * port=1 adr=08 act=E207B077 exp=E207B077 + 2439.50ns INFO [00002441] Port=1 RD @0E + 2441.50ns INFO [00002443] * RD COMPARE * port=1 adr=0E act=754634D2 exp=754634D2 + 2441.50ns INFO [00002443] Port=0 WR @09=BF75B56B + 2443.50ns INFO [00002445] Port=0 WR @14=851EE730 + 2443.50ns INFO [00002445] Port=1 RD @07 + 2444.50ns INFO [00002446] Port=0 RD @19 + 2445.50ns INFO [00002447] * RD COMPARE * port=1 adr=07 act=2D8EBF54 exp=2D8EBF54 + 2446.50ns INFO [00002448] * RD COMPARE * port=0 adr=19 act=382A2946 exp=382A2946 + 2446.50ns INFO [00002448] Port=0 WR @17=425002A4 + 2447.50ns INFO [00002449] Port=0 WR @13=624B7821 + 2447.50ns INFO [00002449] Port=0 RD @01 + 2449.50ns INFO [00002451] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1 + 2449.50ns INFO [00002451] Port=0 WR @07=129A7D2B + 2449.50ns INFO [00002451] Port=0 RD @0C + 2451.50ns INFO [00002453] * RD COMPARE * port=0 adr=0C act=6009A48C exp=6009A48C + 2451.50ns INFO [00002453] Port=1 RD @19 + 2452.50ns INFO [00002454] Port=0 WR @01=66E02175 + 2452.50ns INFO [00002454] Port=0 RD @14 + 2453.50ns INFO [00002455] * RD COMPARE * port=1 adr=19 act=382A2946 exp=382A2946 + 2453.50ns INFO [00002455] Port=1 RD @02 + 2454.50ns INFO [00002456] * RD COMPARE * port=0 adr=14 act=851EE730 exp=851EE730 + 2454.50ns INFO [00002456] Port=1 RD @1D + 2455.50ns INFO [00002457] * RD COMPARE * port=1 adr=02 act=EA893DD4 exp=EA893DD4 + 2455.50ns INFO [00002457] Port=0 RD @12 + 2456.50ns INFO [00002458] * RD COMPARE * port=1 adr=1D act=B52A9F49 exp=B52A9F49 + 2456.50ns INFO [00002458] Port=0 RD @1A + 2456.50ns INFO [00002458] Port=1 RD @06 + 2457.50ns INFO [00002459] * RD COMPARE * port=0 adr=12 act=A5E2F5CF exp=A5E2F5CF + 2457.50ns INFO [00002459] Port=0 WR @06=AB231D4D + 2458.50ns INFO [00002460] * RD COMPARE * port=0 adr=1A act=94D4A573 exp=94D4A573 + 2458.50ns INFO [00002460] * RD COMPARE * port=1 adr=06 act=E86BE011 exp=E86BE011 + 2458.50ns INFO [00002460] Port=0 WR @10=B669B1C4 + 2458.50ns INFO [00002460] Port=1 RD @16 + 2460.50ns INFO [00002462] * RD COMPARE * port=1 adr=16 act=7E544045 exp=7E544045 + 2460.50ns INFO [00002462] Port=0 WR @0C=1AA48E77 + 2460.50ns INFO [00002462] Port=1 RD @08 + 2461.50ns INFO [00002463] Port=0 WR @11=27179630 + 2462.50ns INFO [00002464] * RD COMPARE * port=1 adr=08 act=E207B077 exp=E207B077 + 2462.50ns INFO [00002464] Port=0 WR @13=C4296E2E + 2464.50ns INFO [00002466] Port=0 WR @19=371613ED + 2464.50ns INFO [00002466] Port=1 RD @00 + 2465.50ns INFO [00002467] Port=1 RD @11 + 2466.50ns INFO [00002468] * RD COMPARE * port=1 adr=00 act=B6B77EF8 exp=B6B77EF8 + 2466.50ns INFO [00002468] Port=0 WR @1B=4CAB61D9 + 2466.50ns INFO [00002468] Port=1 RD @06 + 2467.50ns INFO [00002469] * RD COMPARE * port=1 adr=11 act=27179630 exp=27179630 + 2468.50ns INFO [00002470] * RD COMPARE * port=1 adr=06 act=AB231D4D exp=AB231D4D + 2468.50ns INFO [00002470] Port=0 RD @03 + 2469.50ns INFO [00002471] Port=0 RD @1C + 2469.50ns INFO [00002471] Port=1 RD @19 + 2470.50ns INFO [00002472] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4 + 2470.50ns INFO [00002472] Port=0 RD @19 + 2470.50ns INFO [00002472] Port=1 RD @1A + 2471.50ns INFO [00002473] * RD COMPARE * port=0 adr=1C act=18358808 exp=18358808 + 2471.50ns INFO [00002473] * RD COMPARE * port=1 adr=19 act=371613ED exp=371613ED + 2471.50ns INFO [00002473] Port=0 WR @1A=1F0C81A2 + 2471.50ns INFO [00002473] Port=0 RD @0D + 2472.50ns INFO [00002474] * RD COMPARE * port=0 adr=19 act=371613ED exp=371613ED + 2472.50ns INFO [00002474] * RD COMPARE * port=1 adr=1A act=94D4A573 exp=94D4A573 + 2472.50ns INFO [00002474] Port=0 WR @1E=D4C12698 + 2473.50ns INFO [00002475] * RD COMPARE * port=0 adr=0D act=D0FBD575 exp=D0FBD575 + 2473.50ns INFO [00002475] Port=0 WR @1E=013818FB + 2475.50ns INFO [00002477] Port=0 WR @0E=5B62E07A + 2475.50ns INFO [00002477] Port=0 RD @03 + 2475.50ns INFO [00002477] Port=1 RD @0B + 2476.50ns INFO [00002478] Port=0 RD @19 + 2477.50ns INFO [00002479] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4 + 2477.50ns INFO [00002479] * RD COMPARE * port=1 adr=0B act=88573EA8 exp=88573EA8 + 2477.50ns INFO [00002479] Port=0 WR @08=2418174B + 2477.50ns INFO [00002479] Port=0 RD @1C + 2477.50ns INFO [00002479] Port=1 RD @01 + 2478.50ns INFO [00002480] * RD COMPARE * port=0 adr=19 act=371613ED exp=371613ED + 2478.50ns INFO [00002480] Port=0 RD @0F + 2479.50ns INFO [00002481] * RD COMPARE * port=0 adr=1C act=18358808 exp=18358808 + 2479.50ns INFO [00002481] * RD COMPARE * port=1 adr=01 act=66E02175 exp=66E02175 + 2479.50ns INFO [00002481] Port=0 WR @07=0F2A6AEE + 2480.50ns INFO [00002482] * RD COMPARE * port=0 adr=0F act=EA26998B exp=EA26998B + 2480.50ns INFO [00002482] Port=0 RD @0E + 2480.50ns INFO [00002482] Port=1 RD @05 + 2481.50ns INFO [00002483] Port=0 WR @17=248C9B66 + 2481.50ns INFO [00002483] Port=0 RD @0E + 2482.50ns INFO [00002484] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A + 2482.50ns INFO [00002484] * RD COMPARE * port=1 adr=05 act=7BF8C13A exp=7BF8C13A + 2482.50ns INFO [00002484] Port=0 RD @0A + 2483.50ns INFO [00002485] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A + 2483.50ns INFO [00002485] Port=0 WR @12=76CE20AE + 2483.50ns INFO [00002485] Port=1 RD @08 + 2484.50ns INFO [00002486] * RD COMPARE * port=0 adr=0A act=36CB2956 exp=36CB2956 + 2484.50ns INFO [00002486] Port=0 RD @03 + 2484.50ns INFO [00002486] Port=1 RD @17 + 2485.50ns INFO [00002487] * RD COMPARE * port=1 adr=08 act=2418174B exp=2418174B + 2485.50ns INFO [00002487] Port=0 WR @1B=A6FCFD04 + 2486.50ns INFO [00002488] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4 + 2486.50ns INFO [00002488] * RD COMPARE * port=1 adr=17 act=248C9B66 exp=248C9B66 + 2486.50ns INFO [00002488] Port=0 RD @17 + 2487.50ns INFO [00002489] Port=1 RD @00 + 2488.50ns INFO [00002490] * RD COMPARE * port=0 adr=17 act=248C9B66 exp=248C9B66 + 2488.50ns INFO [00002490] Port=0 RD @1E + 2488.50ns INFO [00002490] Port=1 RD @09 + 2489.50ns INFO [00002491] * RD COMPARE * port=1 adr=00 act=B6B77EF8 exp=B6B77EF8 + 2489.50ns INFO [00002491] Port=0 RD @1B + 2490.50ns INFO [00002492] * RD COMPARE * port=0 adr=1E act=013818FB exp=013818FB + 2490.50ns INFO [00002492] * RD COMPARE * port=1 adr=09 act=BF75B56B exp=BF75B56B + 2490.50ns INFO [00002492] Port=1 RD @1D + 2491.50ns INFO [00002493] * RD COMPARE * port=0 adr=1B act=A6FCFD04 exp=A6FCFD04 + 2491.50ns INFO [00002493] Port=0 RD @00 + 2492.50ns INFO [00002494] * RD COMPARE * port=1 adr=1D act=B52A9F49 exp=B52A9F49 + 2492.50ns INFO [00002494] Port=0 RD @16 + 2493.50ns INFO [00002495] * RD COMPARE * port=0 adr=00 act=B6B77EF8 exp=B6B77EF8 + 2493.50ns INFO [00002495] Port=0 WR @06=16C3702A + 2494.50ns INFO [00002496] * RD COMPARE * port=0 adr=16 act=7E544045 exp=7E544045 + 2494.50ns INFO [00002496] Port=0 WR @19=4A40AC5C + 2494.50ns INFO [00002496] Port=1 RD @0A + 2495.50ns INFO [00002497] Port=1 RD @0D + 2496.50ns INFO [00002498] * RD COMPARE * port=1 adr=0A act=36CB2956 exp=36CB2956 + 2496.50ns INFO [00002498] Port=0 WR @0C=17EB0B41 + 2496.50ns INFO [00002498] Port=1 RD @0A + 2497.50ns INFO [00002499] * RD COMPARE * port=1 adr=0D act=D0FBD575 exp=D0FBD575 + 2497.50ns INFO [00002499] Port=0 WR @15=5AF3FC25 + 2498.00ns INFO [00002500] [00002500] ...tick... + 2498.50ns INFO [00002500] * RD COMPARE * port=1 adr=0A act=36CB2956 exp=36CB2956 + 2499.50ns INFO [00002501] Port=1 RD @08 + 2500.50ns INFO [00002502] Port=0 WR @1F=0F075355 + 2500.50ns INFO [00002502] Port=0 RD @12 + 2501.50ns INFO [00002503] * RD COMPARE * port=1 adr=08 act=2418174B exp=2418174B + 2501.50ns INFO [00002503] Port=0 RD @0E + 2501.50ns INFO [00002503] Port=1 RD @1C + 2502.50ns INFO [00002504] * RD COMPARE * port=0 adr=12 act=76CE20AE exp=76CE20AE + 2502.50ns INFO [00002504] Port=0 WR @12=20989FC1 + 2502.50ns INFO [00002504] Port=0 RD @01 + 2503.50ns INFO [00002505] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A + 2503.50ns INFO [00002505] * RD COMPARE * port=1 adr=1C act=18358808 exp=18358808 + 2503.50ns INFO [00002505] Port=0 WR @07=355E20A2 + 2503.50ns INFO [00002505] Port=0 RD @09 + 2504.50ns INFO [00002506] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175 + 2504.50ns INFO [00002506] Port=0 WR @10=A2F58E43 + 2505.50ns INFO [00002507] * RD COMPARE * port=0 adr=09 act=BF75B56B exp=BF75B56B + 2506.50ns INFO [00002508] Port=0 WR @18=C41EE2F8 + 2507.50ns INFO [00002509] Port=0 WR @10=5C2EEF7C + 2508.50ns INFO [00002510] Port=1 RD @06 + 2510.50ns INFO [00002512] * RD COMPARE * port=1 adr=06 act=16C3702A exp=16C3702A + 2510.50ns INFO [00002512] Port=0 WR @08=E11C539A + 2511.50ns INFO [00002513] Port=0 RD @1C + 2513.50ns INFO [00002515] * RD COMPARE * port=0 adr=1C act=18358808 exp=18358808 + 2514.50ns INFO [00002516] Port=1 RD @13 + 2515.50ns INFO [00002517] Port=0 RD @03 + 2516.50ns INFO [00002518] * RD COMPARE * port=1 adr=13 act=C4296E2E exp=C4296E2E + 2516.50ns INFO [00002518] Port=0 WR @0D=924A0970 + 2517.50ns INFO [00002519] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4 + 2517.50ns INFO [00002519] Port=0 RD @1B + 2518.50ns INFO [00002520] Port=0 RD @10 + 2518.50ns INFO [00002520] Port=1 RD @05 + 2519.50ns INFO [00002521] * RD COMPARE * port=0 adr=1B act=A6FCFD04 exp=A6FCFD04 + 2520.50ns INFO [00002522] * RD COMPARE * port=0 adr=10 act=5C2EEF7C exp=5C2EEF7C + 2520.50ns INFO [00002522] * RD COMPARE * port=1 adr=05 act=7BF8C13A exp=7BF8C13A + 2520.50ns INFO [00002522] Port=0 RD @07 + 2522.50ns INFO [00002524] * RD COMPARE * port=0 adr=07 act=355E20A2 exp=355E20A2 + 2522.50ns INFO [00002524] Port=0 WR @1C=DC98A5D9 + 2522.50ns INFO [00002524] Port=1 RD @0E + 2523.50ns INFO [00002525] Port=1 RD @12 + 2524.50ns INFO [00002526] * RD COMPARE * port=1 adr=0E act=5B62E07A exp=5B62E07A + 2524.50ns INFO [00002526] Port=0 RD @1E + 2525.50ns INFO [00002527] * RD COMPARE * port=1 adr=12 act=20989FC1 exp=20989FC1 + 2525.50ns INFO [00002527] Port=0 RD @1B + 2525.50ns INFO [00002527] Port=1 RD @1B + 2526.50ns INFO [00002528] * RD COMPARE * port=0 adr=1E act=013818FB exp=013818FB + 2526.50ns INFO [00002528] Port=0 WR @1B=D91935E3 + 2526.50ns INFO [00002528] Port=0 RD @09 + 2527.50ns INFO [00002529] * RD COMPARE * port=0 adr=1B act=A6FCFD04 exp=A6FCFD04 + 2527.50ns INFO [00002529] * RD COMPARE * port=1 adr=1B act=A6FCFD04 exp=A6FCFD04 + 2528.50ns INFO [00002530] * RD COMPARE * port=0 adr=09 act=BF75B56B exp=BF75B56B + 2529.50ns INFO [00002531] Port=0 WR @10=6F962326 + 2530.50ns INFO [00002532] Port=1 RD @1A + 2531.50ns INFO [00002533] Port=0 RD @0E + 2532.50ns INFO [00002534] * RD COMPARE * port=1 adr=1A act=1F0C81A2 exp=1F0C81A2 + 2532.50ns INFO [00002534] Port=1 RD @17 + 2533.50ns INFO [00002535] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A + 2533.50ns INFO [00002535] Port=0 RD @01 + 2533.50ns INFO [00002535] Port=1 RD @0E + 2534.50ns INFO [00002536] * RD COMPARE * port=1 adr=17 act=248C9B66 exp=248C9B66 + 2534.50ns INFO [00002536] Port=0 WR @15=E93C933F + 2535.50ns INFO [00002537] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175 + 2535.50ns INFO [00002537] * RD COMPARE * port=1 adr=0E act=5B62E07A exp=5B62E07A + 2535.50ns INFO [00002537] Port=0 WR @07=1ED609F7 + 2535.50ns INFO [00002537] Port=0 RD @01 + 2536.50ns INFO [00002538] Port=0 RD @00 + 2537.50ns INFO [00002539] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175 + 2538.50ns INFO [00002540] * RD COMPARE * port=0 adr=00 act=B6B77EF8 exp=B6B77EF8 + 2538.50ns INFO [00002540] Port=1 RD @18 + 2539.50ns INFO [00002541] Port=0 WR @1B=A0B2652E + 2540.50ns INFO [00002542] * RD COMPARE * port=1 adr=18 act=C41EE2F8 exp=C41EE2F8 + 2540.50ns INFO [00002542] Port=0 WR @09=069C1244 + 2540.50ns INFO [00002542] Port=1 RD @06 + 2541.50ns INFO [00002543] Port=0 WR @14=F262F8D2 + 2541.50ns INFO [00002543] Port=1 RD @05 + 2542.50ns INFO [00002544] * RD COMPARE * port=1 adr=06 act=16C3702A exp=16C3702A + 2543.50ns INFO [00002545] * RD COMPARE * port=1 adr=05 act=7BF8C13A exp=7BF8C13A + 2544.50ns INFO [00002546] Port=1 RD @1B + 2545.50ns INFO [00002547] Port=1 RD @06 + 2546.50ns INFO [00002548] * RD COMPARE * port=1 adr=1B act=A0B2652E exp=A0B2652E + 2547.50ns INFO [00002549] * RD COMPARE * port=1 adr=06 act=16C3702A exp=16C3702A + 2547.50ns INFO [00002549] Port=1 RD @0B + 2548.50ns INFO [00002550] Port=0 WR @1A=B0EE511D + 2548.50ns INFO [00002550] Port=1 RD @0A + 2549.50ns INFO [00002551] * RD COMPARE * port=1 adr=0B act=88573EA8 exp=88573EA8 + 2549.50ns INFO [00002551] Port=1 RD @1F + 2550.50ns INFO [00002552] * RD COMPARE * port=1 adr=0A act=36CB2956 exp=36CB2956 + 2550.50ns INFO [00002552] Port=1 RD @19 + 2551.50ns INFO [00002553] * RD COMPARE * port=1 adr=1F act=0F075355 exp=0F075355 + 2551.50ns INFO [00002553] Port=0 WR @08=9EA1BB6F + 2551.50ns INFO [00002553] Port=0 RD @1D + 2551.50ns INFO [00002553] Port=1 RD @10 + 2552.50ns INFO [00002554] * RD COMPARE * port=1 adr=19 act=4A40AC5C exp=4A40AC5C + 2553.50ns INFO [00002555] * RD COMPARE * port=0 adr=1D act=B52A9F49 exp=B52A9F49 + 2553.50ns INFO [00002555] * RD COMPARE * port=1 adr=10 act=6F962326 exp=6F962326 + 2553.50ns INFO [00002555] Port=1 RD @15 + 2554.50ns INFO [00002556] Port=0 RD @15 + 2554.50ns INFO [00002556] Port=1 RD @0D + 2555.50ns INFO [00002557] * RD COMPARE * port=1 adr=15 act=E93C933F exp=E93C933F + 2555.50ns INFO [00002557] Port=0 RD @12 + 2555.50ns INFO [00002557] Port=1 RD @0D + 2556.50ns INFO [00002558] * RD COMPARE * port=0 adr=15 act=E93C933F exp=E93C933F + 2556.50ns INFO [00002558] * RD COMPARE * port=1 adr=0D act=924A0970 exp=924A0970 + 2556.50ns INFO [00002558] Port=0 RD @07 + 2557.50ns INFO [00002559] * RD COMPARE * port=0 adr=12 act=20989FC1 exp=20989FC1 + 2557.50ns INFO [00002559] * RD COMPARE * port=1 adr=0D act=924A0970 exp=924A0970 + 2557.50ns INFO [00002559] Port=0 WR @0B=0AF0750D + 2557.50ns INFO [00002559] Port=1 RD @1A + 2558.50ns INFO [00002560] * RD COMPARE * port=0 adr=07 act=1ED609F7 exp=1ED609F7 + 2558.50ns INFO [00002560] Port=0 WR @04=77FB3E36 + 2559.50ns INFO [00002561] * RD COMPARE * port=1 adr=1A act=B0EE511D exp=B0EE511D + 2559.50ns INFO [00002561] Port=0 WR @04=0E1816EE + 2560.50ns INFO [00002562] Port=0 WR @10=90B354FF + 2560.50ns INFO [00002562] Port=1 RD @0B + 2561.50ns INFO [00002563] Port=0 RD @07 + 2561.50ns INFO [00002563] Port=1 RD @02 + 2562.50ns INFO [00002564] * RD COMPARE * port=1 adr=0B act=0AF0750D exp=0AF0750D + 2563.50ns INFO [00002565] * RD COMPARE * port=0 adr=07 act=1ED609F7 exp=1ED609F7 + 2563.50ns INFO [00002565] * RD COMPARE * port=1 adr=02 act=EA893DD4 exp=EA893DD4 + 2564.50ns INFO [00002566] Port=0 WR @11=46FD094E + 2565.50ns INFO [00002567] Port=0 WR @18=A9AD2BC0 + 2566.50ns INFO [00002568] Port=1 RD @1D + 2567.50ns INFO [00002569] Port=0 WR @1F=40DB3E28 + 2567.50ns INFO [00002569] Port=0 RD @1C + 2567.50ns INFO [00002569] Port=1 RD @1C + 2568.50ns INFO [00002570] * RD COMPARE * port=1 adr=1D act=B52A9F49 exp=B52A9F49 + 2568.50ns INFO [00002570] Port=0 WR @0A=2FACE98B + 2568.50ns INFO [00002570] Port=0 RD @0E + 2569.50ns INFO [00002571] * RD COMPARE * port=0 adr=1C act=DC98A5D9 exp=DC98A5D9 + 2569.50ns INFO [00002571] * RD COMPARE * port=1 adr=1C act=DC98A5D9 exp=DC98A5D9 + 2569.50ns INFO [00002571] Port=0 RD @0D + 2570.50ns INFO [00002572] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A + 2570.50ns INFO [00002572] Port=1 RD @04 + 2571.50ns INFO [00002573] * RD COMPARE * port=0 adr=0D act=924A0970 exp=924A0970 + 2572.50ns INFO [00002574] * RD COMPARE * port=1 adr=04 act=0E1816EE exp=0E1816EE + 2572.50ns INFO [00002574] Port=0 WR @0B=C1F543FB + 2573.50ns INFO [00002575] Port=0 RD @01 + 2574.50ns INFO [00002576] Port=0 RD @06 + 2574.50ns INFO [00002576] Port=1 RD @14 + 2575.50ns INFO [00002577] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175 + 2575.50ns INFO [00002577] Port=0 WR @14=E348D997 + 2575.50ns INFO [00002577] Port=1 RD @03 + 2576.50ns INFO [00002578] * RD COMPARE * port=0 adr=06 act=16C3702A exp=16C3702A + 2576.50ns INFO [00002578] * RD COMPARE * port=1 adr=14 act=F262F8D2 exp=F262F8D2 + 2577.50ns INFO [00002579] * RD COMPARE * port=1 adr=03 act=E6ABACB4 exp=E6ABACB4 + 2577.50ns INFO [00002579] Port=0 WR @10=340170A2 + 2578.50ns INFO [00002580] Port=0 WR @1B=4EE53D77 + 2578.50ns INFO [00002580] Port=1 RD @16 + 2579.50ns INFO [00002581] Port=0 RD @10 + 2580.50ns INFO [00002582] * RD COMPARE * port=1 adr=16 act=7E544045 exp=7E544045 + 2581.50ns INFO [00002583] * RD COMPARE * port=0 adr=10 act=340170A2 exp=340170A2 + 2581.50ns INFO [00002583] Port=0 RD @10 + 2583.50ns INFO [00002585] * RD COMPARE * port=0 adr=10 act=340170A2 exp=340170A2 + 2583.50ns INFO [00002585] Port=0 WR @13=2A0FDEA1 + 2583.50ns INFO [00002585] Port=0 RD @09 + 2584.50ns INFO [00002586] Port=1 RD @19 + 2585.50ns INFO [00002587] * RD COMPARE * port=0 adr=09 act=069C1244 exp=069C1244 + 2585.50ns INFO [00002587] Port=1 RD @10 + 2586.50ns INFO [00002588] * RD COMPARE * port=1 adr=19 act=4A40AC5C exp=4A40AC5C + 2586.50ns INFO [00002588] Port=0 RD @0E + 2587.50ns INFO [00002589] * RD COMPARE * port=1 adr=10 act=340170A2 exp=340170A2 + 2587.50ns INFO [00002589] Port=0 WR @09=D7E50C5C + 2587.50ns INFO [00002589] Port=0 RD @01 + 2588.50ns INFO [00002590] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A + 2589.50ns INFO [00002591] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175 + 2589.50ns INFO [00002591] Port=0 RD @14 + 2590.50ns INFO [00002592] Port=0 WR @18=EEFBC6DE + 2590.50ns INFO [00002592] Port=0 RD @04 + 2591.50ns INFO [00002593] * RD COMPARE * port=0 adr=14 act=E348D997 exp=E348D997 + 2592.50ns INFO [00002594] * RD COMPARE * port=0 adr=04 act=0E1816EE exp=0E1816EE + 2593.50ns INFO [00002595] Port=0 WR @06=64A3F954 + 2593.50ns INFO [00002595] Port=0 RD @03 + 2593.50ns INFO [00002595] Port=1 RD @03 + 2594.50ns INFO [00002596] Port=0 WR @07=D93DD1C1 + 2594.50ns INFO [00002596] Port=0 RD @0A + 2594.50ns INFO [00002596] Port=1 RD @19 + 2595.50ns INFO [00002597] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4 + 2595.50ns INFO [00002597] * RD COMPARE * port=1 adr=03 act=E6ABACB4 exp=E6ABACB4 + 2595.50ns INFO [00002597] Port=1 RD @09 + 2596.50ns INFO [00002598] * RD COMPARE * port=0 adr=0A act=2FACE98B exp=2FACE98B + 2596.50ns INFO [00002598] * RD COMPARE * port=1 adr=19 act=4A40AC5C exp=4A40AC5C + 2596.50ns INFO [00002598] Port=0 WR @12=D986A488 + 2597.50ns INFO [00002599] * RD COMPARE * port=1 adr=09 act=D7E50C5C exp=D7E50C5C + 2597.50ns INFO [00002599] Port=0 RD @1C + 2597.50ns INFO [00002599] Port=1 RD @0D + 2598.00ns INFO [00002600] [00002600] ...tick... + 2598.50ns INFO [00002600] Port=0 RD @1B + 2599.50ns INFO [00002601] * RD COMPARE * port=0 adr=1C act=DC98A5D9 exp=DC98A5D9 + 2599.50ns INFO [00002601] * RD COMPARE * port=1 adr=0D act=924A0970 exp=924A0970 + 2599.50ns INFO [00002601] Port=0 RD @1E + 2600.50ns INFO [00002602] * RD COMPARE * port=0 adr=1B act=4EE53D77 exp=4EE53D77 + 2600.50ns INFO [00002602] Port=0 WR @09=90E8F991 + 2601.50ns INFO [00002603] * RD COMPARE * port=0 adr=1E act=013818FB exp=013818FB + 2601.50ns INFO [00002603] Port=0 WR @06=A62FDA11 + 2602.50ns INFO [00002604] Port=0 RD @16 + 2604.50ns INFO [00002606] * RD COMPARE * port=0 adr=16 act=7E544045 exp=7E544045 + 2604.50ns INFO [00002606] Port=0 WR @1C=FDCED2CA + 2604.50ns INFO [00002606] Port=1 RD @1D + 2605.50ns INFO [00002607] Port=0 WR @00=5D4D50C8 + 2605.50ns INFO [00002607] Port=1 RD @1A + 2606.50ns INFO [00002608] * RD COMPARE * port=1 adr=1D act=B52A9F49 exp=B52A9F49 + 2606.50ns INFO [00002608] Port=0 RD @1C + 2607.50ns INFO [00002609] * RD COMPARE * port=1 adr=1A act=B0EE511D exp=B0EE511D + 2607.50ns INFO [00002609] Port=0 WR @19=07687E7B + 2607.50ns INFO [00002609] Port=0 RD @1E + 2608.50ns INFO [00002610] * RD COMPARE * port=0 adr=1C act=FDCED2CA exp=FDCED2CA + 2608.50ns INFO [00002610] Port=0 RD @0B + 2608.50ns INFO [00002610] Port=1 RD @14 + 2609.50ns INFO [00002611] * RD COMPARE * port=0 adr=1E act=013818FB exp=013818FB + 2609.50ns INFO [00002611] Port=1 RD @09 + 2610.50ns INFO [00002612] * RD COMPARE * port=0 adr=0B act=C1F543FB exp=C1F543FB + 2610.50ns INFO [00002612] * RD COMPARE * port=1 adr=14 act=E348D997 exp=E348D997 + 2610.50ns INFO [00002612] Port=0 WR @02=D1F065AE + 2611.50ns INFO [00002613] * RD COMPARE * port=1 adr=09 act=90E8F991 exp=90E8F991 + 2611.50ns INFO [00002613] Port=0 WR @04=A20ED0AC + 2611.50ns INFO [00002613] Port=0 RD @1E + 2611.50ns INFO [00002613] Port=1 RD @13 + 2613.50ns INFO [00002615] * RD COMPARE * port=0 adr=1E act=013818FB exp=013818FB + 2613.50ns INFO [00002615] * RD COMPARE * port=1 adr=13 act=2A0FDEA1 exp=2A0FDEA1 + 2613.50ns INFO [00002615] Port=0 WR @0B=DA124FAF + 2613.50ns INFO [00002615] Port=0 RD @07 + 2614.50ns INFO [00002616] Port=0 WR @00=46E1D757 + 2614.50ns INFO [00002616] Port=0 RD @16 + 2615.50ns INFO [00002617] * RD COMPARE * port=0 adr=07 act=D93DD1C1 exp=D93DD1C1 + 2616.50ns INFO [00002618] * RD COMPARE * port=0 adr=16 act=7E544045 exp=7E544045 + 2617.50ns INFO [00002619] Port=0 WR @1A=F649B225 + 2619.50ns INFO [00002621] Port=0 RD @1C + 2620.50ns INFO [00002622] Port=0 RD @19 + 2621.50ns INFO [00002623] * RD COMPARE * port=0 adr=1C act=FDCED2CA exp=FDCED2CA + 2621.50ns INFO [00002623] Port=0 WR @03=0318AEA3 + 2621.50ns INFO [00002623] Port=1 RD @05 + 2622.50ns INFO [00002624] * RD COMPARE * port=0 adr=19 act=07687E7B exp=07687E7B + 2622.50ns INFO [00002624] Port=0 WR @1E=D9DF3ECC + 2623.50ns INFO [00002625] * RD COMPARE * port=1 adr=05 act=7BF8C13A exp=7BF8C13A + 2623.50ns INFO [00002625] Port=0 WR @1D=610DE33D + 2624.50ns INFO [00002626] Port=0 RD @14 + 2624.50ns INFO [00002626] Port=1 RD @0B + 2625.50ns INFO [00002627] Port=1 RD @1C + 2626.50ns INFO [00002628] * RD COMPARE * port=0 adr=14 act=E348D997 exp=E348D997 + 2626.50ns INFO [00002628] * RD COMPARE * port=1 adr=0B act=DA124FAF exp=DA124FAF + 2626.50ns INFO [00002628] Port=0 WR @18=8237F994 + 2627.50ns INFO [00002629] * RD COMPARE * port=1 adr=1C act=FDCED2CA exp=FDCED2CA + 2628.50ns INFO [00002630] Port=0 WR @09=E6E19480 + 2628.50ns INFO [00002630] Port=0 RD @03 + 2629.50ns INFO [00002631] Port=0 RD @01 + 2629.50ns INFO [00002631] Port=1 RD @10 + 2630.50ns INFO [00002632] * RD COMPARE * port=0 adr=03 act=0318AEA3 exp=0318AEA3 + 2630.50ns INFO [00002632] Port=0 RD @0F + 2630.50ns INFO [00002632] Port=1 RD @03 + 2631.50ns INFO [00002633] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175 + 2631.50ns INFO [00002633] * RD COMPARE * port=1 adr=10 act=340170A2 exp=340170A2 + 2632.50ns INFO [00002634] * RD COMPARE * port=0 adr=0F act=EA26998B exp=EA26998B + 2632.50ns INFO [00002634] * RD COMPARE * port=1 adr=03 act=0318AEA3 exp=0318AEA3 + 2632.50ns INFO [00002634] Port=0 WR @0E=1B2B960E + 2633.50ns INFO [00002635] Port=0 RD @01 + 2634.50ns INFO [00002636] Port=0 WR @1F=5CD9FD28 + 2634.50ns INFO [00002636] Port=1 RD @03 + 2635.50ns INFO [00002637] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175 + 2635.50ns INFO [00002637] Port=1 RD @1C + 2636.50ns INFO [00002638] * RD COMPARE * port=1 adr=03 act=0318AEA3 exp=0318AEA3 + 2636.50ns INFO [00002638] Port=0 WR @07=B9490022 + 2636.50ns INFO [00002638] Port=1 RD @1B + 2637.50ns INFO [00002639] * RD COMPARE * port=1 adr=1C act=FDCED2CA exp=FDCED2CA + 2638.50ns INFO [00002640] * RD COMPARE * port=1 adr=1B act=4EE53D77 exp=4EE53D77 + 2638.50ns INFO [00002640] Port=0 RD @19 + 2640.50ns INFO [00002642] * RD COMPARE * port=0 adr=19 act=07687E7B exp=07687E7B + 2641.50ns INFO [00002643] Port=0 RD @13 + 2642.50ns INFO [00002644] Port=1 RD @14 + 2643.50ns INFO [00002645] * RD COMPARE * port=0 adr=13 act=2A0FDEA1 exp=2A0FDEA1 + 2643.50ns INFO [00002645] Port=0 WR @09=1A0DC5E9 + 2644.50ns INFO [00002646] * RD COMPARE * port=1 adr=14 act=E348D997 exp=E348D997 + 2644.50ns INFO [00002646] Port=1 RD @19 + 2645.50ns INFO [00002647] Port=0 RD @1E + 2645.50ns INFO [00002647] Port=1 RD @1F + 2646.50ns INFO [00002648] * RD COMPARE * port=1 adr=19 act=07687E7B exp=07687E7B + 2646.50ns INFO [00002648] Port=1 RD @01 + 2647.50ns INFO [00002649] * RD COMPARE * port=0 adr=1E act=D9DF3ECC exp=D9DF3ECC + 2647.50ns INFO [00002649] * RD COMPARE * port=1 adr=1F act=5CD9FD28 exp=5CD9FD28 + 2647.50ns INFO [00002649] Port=0 WR @05=8B81DA7C + 2647.50ns INFO [00002649] Port=1 RD @19 + 2648.50ns INFO [00002650] * RD COMPARE * port=1 adr=01 act=66E02175 exp=66E02175 + 2649.50ns INFO [00002651] * RD COMPARE * port=1 adr=19 act=07687E7B exp=07687E7B + 2651.50ns INFO [00002653] Port=0 RD @0C + 2651.50ns INFO [00002653] Port=1 RD @11 + 2652.50ns INFO [00002654] Port=0 WR @13=943F1854 + 2653.50ns INFO [00002655] * RD COMPARE * port=0 adr=0C act=17EB0B41 exp=17EB0B41 + 2653.50ns INFO [00002655] * RD COMPARE * port=1 adr=11 act=46FD094E exp=46FD094E + 2653.50ns INFO [00002655] Port=1 RD @0C + 2654.50ns INFO [00002656] Port=0 RD @09 + 2654.50ns INFO [00002656] Port=1 RD @05 + 2655.50ns INFO [00002657] * RD COMPARE * port=1 adr=0C act=17EB0B41 exp=17EB0B41 + 2655.50ns INFO [00002657] Port=0 RD @04 + 2656.50ns INFO [00002658] * RD COMPARE * port=0 adr=09 act=1A0DC5E9 exp=1A0DC5E9 + 2656.50ns INFO [00002658] * RD COMPARE * port=1 adr=05 act=8B81DA7C exp=8B81DA7C + 2656.50ns INFO [00002658] Port=1 RD @0D + 2657.50ns INFO [00002659] * RD COMPARE * port=0 adr=04 act=A20ED0AC exp=A20ED0AC + 2657.50ns INFO [00002659] Port=0 RD @1B + 2658.50ns INFO [00002660] * RD COMPARE * port=1 adr=0D act=924A0970 exp=924A0970 + 2658.50ns INFO [00002660] Port=0 WR @0B=EEE65085 + 2658.50ns INFO [00002660] Port=1 RD @08 + 2659.50ns INFO [00002661] * RD COMPARE * port=0 adr=1B act=4EE53D77 exp=4EE53D77 + 2659.50ns INFO [00002661] Port=0 WR @13=EDB52F1B + 2660.50ns INFO [00002662] * RD COMPARE * port=1 adr=08 act=9EA1BB6F exp=9EA1BB6F + 2660.50ns INFO [00002662] Port=0 RD @07 + 2661.50ns INFO [00002663] Port=0 WR @14=6DC5317B + 2662.50ns INFO [00002664] * RD COMPARE * port=0 adr=07 act=B9490022 exp=B9490022 + 2662.50ns INFO [00002664] Port=0 WR @0B=C8BD5191 + 2663.50ns INFO [00002665] Port=0 WR @09=02AE3B2F + 2664.50ns INFO [00002666] Port=1 RD @1A + 2665.50ns INFO [00002667] Port=0 RD @03 + 2665.50ns INFO [00002667] Port=1 RD @17 + 2666.50ns INFO [00002668] * RD COMPARE * port=1 adr=1A act=F649B225 exp=F649B225 + 2666.50ns INFO [00002668] Port=0 WR @05=B3DC3EAB + 2666.50ns INFO [00002668] Port=0 RD @08 + 2667.50ns INFO [00002669] * RD COMPARE * port=0 adr=03 act=0318AEA3 exp=0318AEA3 + 2667.50ns INFO [00002669] * RD COMPARE * port=1 adr=17 act=248C9B66 exp=248C9B66 + 2667.50ns INFO [00002669] Port=1 RD @1B + 2668.50ns INFO [00002670] * RD COMPARE * port=0 adr=08 act=9EA1BB6F exp=9EA1BB6F + 2668.50ns INFO [00002670] Port=0 RD @1B + 2669.50ns INFO [00002671] * RD COMPARE * port=1 adr=1B act=4EE53D77 exp=4EE53D77 + 2669.50ns INFO [00002671] Port=0 RD @0F + 2669.50ns INFO [00002671] Port=1 RD @07 + 2670.50ns INFO [00002672] * RD COMPARE * port=0 adr=1B act=4EE53D77 exp=4EE53D77 + 2671.50ns INFO [00002673] * RD COMPARE * port=0 adr=0F act=EA26998B exp=EA26998B + 2671.50ns INFO [00002673] * RD COMPARE * port=1 adr=07 act=B9490022 exp=B9490022 + 2671.50ns INFO [00002673] Port=0 WR @18=DF700E16 + 2671.50ns INFO [00002673] Port=1 RD @04 + 2672.50ns INFO [00002674] Port=0 RD @14 + 2673.50ns INFO [00002675] * RD COMPARE * port=1 adr=04 act=A20ED0AC exp=A20ED0AC + 2673.50ns INFO [00002675] Port=0 RD @0A + 2673.50ns INFO [00002675] Port=1 RD @15 + 2674.50ns INFO [00002676] * RD COMPARE * port=0 adr=14 act=6DC5317B exp=6DC5317B + 2674.50ns INFO [00002676] Port=0 WR @17=EE41F74A + 2674.50ns INFO [00002676] Port=1 RD @04 + 2675.50ns INFO [00002677] * RD COMPARE * port=0 adr=0A act=2FACE98B exp=2FACE98B + 2675.50ns INFO [00002677] * RD COMPARE * port=1 adr=15 act=E93C933F exp=E93C933F + 2676.50ns INFO [00002678] * RD COMPARE * port=1 adr=04 act=A20ED0AC exp=A20ED0AC + 2676.50ns INFO [00002678] Port=0 WR @0A=F9B3C0C5 + 2677.50ns INFO [00002679] Port=0 RD @11 + 2678.50ns INFO [00002680] Port=1 RD @01 + 2679.50ns INFO [00002681] * RD COMPARE * port=0 adr=11 act=46FD094E exp=46FD094E + 2679.50ns INFO [00002681] Port=0 WR @0F=389BA3CB + 2680.50ns INFO [00002682] * RD COMPARE * port=1 adr=01 act=66E02175 exp=66E02175 + 2680.50ns INFO [00002682] Port=0 RD @0A + 2681.50ns INFO [00002683] Port=0 WR @09=01B436B4 + 2682.50ns INFO [00002684] * RD COMPARE * port=0 adr=0A act=F9B3C0C5 exp=F9B3C0C5 + 2682.50ns INFO [00002684] Port=1 RD @14 + 2683.50ns INFO [00002685] Port=1 RD @10 + 2684.50ns INFO [00002686] * RD COMPARE * port=1 adr=14 act=6DC5317B exp=6DC5317B + 2684.50ns INFO [00002686] Port=0 WR @10=01DF7D71 + 2685.50ns INFO [00002687] * RD COMPARE * port=1 adr=10 act=340170A2 exp=340170A2 + 2686.50ns INFO [00002688] Port=0 WR @0D=3089840C + 2686.50ns INFO [00002688] Port=0 RD @17 + 2687.50ns INFO [00002689] Port=0 RD @06 + 2688.50ns INFO [00002690] * RD COMPARE * port=0 adr=17 act=EE41F74A exp=EE41F74A + 2688.50ns INFO [00002690] Port=0 RD @0E + 2689.50ns INFO [00002691] * RD COMPARE * port=0 adr=06 act=A62FDA11 exp=A62FDA11 + 2689.50ns INFO [00002691] Port=0 RD @00 + 2689.50ns INFO [00002691] Port=1 RD @0A + 2690.50ns INFO [00002692] * RD COMPARE * port=0 adr=0E act=1B2B960E exp=1B2B960E + 2690.50ns INFO [00002692] Port=0 WR @0B=811C756D + 2690.50ns INFO [00002692] Port=1 RD @0D + 2691.50ns INFO [00002693] * RD COMPARE * port=0 adr=00 act=46E1D757 exp=46E1D757 + 2691.50ns INFO [00002693] * RD COMPARE * port=1 adr=0A act=F9B3C0C5 exp=F9B3C0C5 + 2692.50ns INFO [00002694] * RD COMPARE * port=1 adr=0D act=3089840C exp=3089840C + 2692.50ns INFO [00002694] Port=0 WR @17=D4BE0EF5 + 2692.50ns INFO [00002694] Port=0 RD @01 + 2693.50ns INFO [00002695] Port=0 WR @06=F6A2B0C3 + 2693.50ns INFO [00002695] Port=1 RD @0A + 2694.50ns INFO [00002696] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175 + 2694.50ns INFO [00002696] Port=1 RD @12 + 2695.50ns INFO [00002697] * RD COMPARE * port=1 adr=0A act=F9B3C0C5 exp=F9B3C0C5 + 2695.50ns INFO [00002697] Port=0 WR @0F=AE87AEFF + 2696.50ns INFO [00002698] * RD COMPARE * port=1 adr=12 act=D986A488 exp=D986A488 + 2697.50ns INFO [00002699] Port=0 WR @19=032C3D2F + 2697.50ns INFO [00002699] Port=0 RD @1F + 2698.00ns INFO [00002700] [00002700] ...tick... + 2699.50ns INFO [00002701] * RD COMPARE * port=0 adr=1F act=5CD9FD28 exp=5CD9FD28 + 2699.50ns INFO [00002701] Port=0 WR @0E=83384C74 + 2700.50ns INFO [00002702] Port=0 WR @06=49E35025 + 2700.50ns INFO [00002702] Port=0 RD @17 + 2702.50ns INFO [00002704] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5 + 2702.50ns INFO [00002704] Port=1 RD @11 + 2703.50ns INFO [00002705] Port=0 RD @13 + 2704.50ns INFO [00002706] * RD COMPARE * port=1 adr=11 act=46FD094E exp=46FD094E + 2704.50ns INFO [00002706] Port=0 RD @03 + 2704.50ns INFO [00002706] Port=1 RD @1C + 2705.50ns INFO [00002707] * RD COMPARE * port=0 adr=13 act=EDB52F1B exp=EDB52F1B + 2705.50ns INFO [00002707] Port=0 RD @10 + 2706.50ns INFO [00002708] * RD COMPARE * port=0 adr=03 act=0318AEA3 exp=0318AEA3 + 2706.50ns INFO [00002708] * RD COMPARE * port=1 adr=1C act=FDCED2CA exp=FDCED2CA + 2706.50ns INFO [00002708] Port=0 WR @1F=5AC9F3FC + 2706.50ns INFO [00002708] Port=0 RD @19 + 2707.50ns INFO [00002709] * RD COMPARE * port=0 adr=10 act=01DF7D71 exp=01DF7D71 + 2708.50ns INFO [00002710] * RD COMPARE * port=0 adr=19 act=032C3D2F exp=032C3D2F + 2709.50ns INFO [00002711] Port=1 RD @18 + 2710.50ns INFO [00002712] Port=0 WR @04=82276EBC + 2710.50ns INFO [00002712] Port=0 RD @18 + 2711.50ns INFO [00002713] * RD COMPARE * port=1 adr=18 act=DF700E16 exp=DF700E16 + 2712.50ns INFO [00002714] * RD COMPARE * port=0 adr=18 act=DF700E16 exp=DF700E16 + 2712.50ns INFO [00002714] Port=0 WR @12=3A795BEE + 2714.50ns INFO [00002716] Port=1 RD @19 + 2715.50ns INFO [00002717] Port=0 RD @1B + 2715.50ns INFO [00002717] Port=1 RD @08 + 2716.50ns INFO [00002718] * RD COMPARE * port=1 adr=19 act=032C3D2F exp=032C3D2F + 2716.50ns INFO [00002718] Port=0 WR @12=6D99EBE0 + 2717.50ns INFO [00002719] * RD COMPARE * port=0 adr=1B act=4EE53D77 exp=4EE53D77 + 2717.50ns INFO [00002719] * RD COMPARE * port=1 adr=08 act=9EA1BB6F exp=9EA1BB6F + 2717.50ns INFO [00002719] Port=0 WR @08=C476FA9C + 2717.50ns INFO [00002719] Port=0 RD @06 + 2718.50ns INFO [00002720] Port=1 RD @1E + 2719.50ns INFO [00002721] * RD COMPARE * port=0 adr=06 act=49E35025 exp=49E35025 + 2719.50ns INFO [00002721] Port=0 RD @09 + 2719.50ns INFO [00002721] Port=1 RD @17 + 2720.50ns INFO [00002722] * RD COMPARE * port=1 adr=1E act=D9DF3ECC exp=D9DF3ECC + 2721.50ns INFO [00002723] * RD COMPARE * port=0 adr=09 act=01B436B4 exp=01B436B4 + 2721.50ns INFO [00002723] * RD COMPARE * port=1 adr=17 act=D4BE0EF5 exp=D4BE0EF5 + 2721.50ns INFO [00002723] Port=0 WR @1A=6C7CB1EB + 2723.50ns INFO [00002725] Port=0 WR @0D=0CCC2373 + 2723.50ns INFO [00002725] Port=0 RD @17 + 2724.50ns INFO [00002726] Port=0 WR @14=251468DE + 2724.50ns INFO [00002726] Port=1 RD @05 + 2725.50ns INFO [00002727] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5 + 2726.50ns INFO [00002728] * RD COMPARE * port=1 adr=05 act=B3DC3EAB exp=B3DC3EAB + 2726.50ns INFO [00002728] Port=1 RD @1A + 2727.50ns INFO [00002729] Port=0 WR @0B=D6EB6548 + 2727.50ns INFO [00002729] Port=0 RD @10 + 2728.50ns INFO [00002730] * RD COMPARE * port=1 adr=1A act=6C7CB1EB exp=6C7CB1EB + 2728.50ns INFO [00002730] Port=0 RD @1A + 2728.50ns INFO [00002730] Port=1 RD @10 + 2729.50ns INFO [00002731] * RD COMPARE * port=0 adr=10 act=01DF7D71 exp=01DF7D71 + 2729.50ns INFO [00002731] Port=0 RD @1E + 2729.50ns INFO [00002731] Port=1 RD @0A + 2730.50ns INFO [00002732] * RD COMPARE * port=0 adr=1A act=6C7CB1EB exp=6C7CB1EB + 2730.50ns INFO [00002732] * RD COMPARE * port=1 adr=10 act=01DF7D71 exp=01DF7D71 + 2731.50ns INFO [00002733] * RD COMPARE * port=0 adr=1E act=D9DF3ECC exp=D9DF3ECC + 2731.50ns INFO [00002733] * RD COMPARE * port=1 adr=0A act=F9B3C0C5 exp=F9B3C0C5 + 2731.50ns INFO [00002733] Port=1 RD @0D + 2733.50ns INFO [00002735] * RD COMPARE * port=1 adr=0D act=0CCC2373 exp=0CCC2373 + 2736.50ns INFO [00002738] Port=0 RD @11 + 2736.50ns INFO [00002738] Port=1 RD @08 + 2737.50ns INFO [00002739] Port=0 RD @07 + 2737.50ns INFO [00002739] Port=1 RD @11 + 2738.50ns INFO [00002740] * RD COMPARE * port=0 adr=11 act=46FD094E exp=46FD094E + 2738.50ns INFO [00002740] * RD COMPARE * port=1 adr=08 act=C476FA9C exp=C476FA9C + 2739.50ns INFO [00002741] * RD COMPARE * port=0 adr=07 act=B9490022 exp=B9490022 + 2739.50ns INFO [00002741] * RD COMPARE * port=1 adr=11 act=46FD094E exp=46FD094E + 2740.50ns INFO [00002742] Port=0 RD @1A + 2740.50ns INFO [00002742] Port=1 RD @0B + 2742.50ns INFO [00002744] * RD COMPARE * port=0 adr=1A act=6C7CB1EB exp=6C7CB1EB + 2742.50ns INFO [00002744] * RD COMPARE * port=1 adr=0B act=D6EB6548 exp=D6EB6548 + 2742.50ns INFO [00002744] Port=0 WR @18=70A400E8 + 2742.50ns INFO [00002744] Port=0 RD @08 + 2742.50ns INFO [00002744] Port=1 RD @0E + 2743.50ns INFO [00002745] Port=0 WR @18=FFE81B80 + 2744.50ns INFO [00002746] * RD COMPARE * port=0 adr=08 act=C476FA9C exp=C476FA9C + 2744.50ns INFO [00002746] * RD COMPARE * port=1 adr=0E act=83384C74 exp=83384C74 + 2744.50ns INFO [00002746] Port=1 RD @08 + 2746.50ns INFO [00002748] * RD COMPARE * port=1 adr=08 act=C476FA9C exp=C476FA9C + 2746.50ns INFO [00002748] Port=0 RD @16 + 2747.50ns INFO [00002749] Port=0 WR @0C=634D600C + 2747.50ns INFO [00002749] Port=0 RD @11 + 2748.50ns INFO [00002750] * RD COMPARE * port=0 adr=16 act=7E544045 exp=7E544045 + 2748.50ns INFO [00002750] Port=0 RD @1F + 2748.50ns INFO [00002750] Port=1 RD @01 + 2749.50ns INFO [00002751] * RD COMPARE * port=0 adr=11 act=46FD094E exp=46FD094E + 2749.50ns INFO [00002751] Port=1 RD @01 + 2750.50ns INFO [00002752] * RD COMPARE * port=0 adr=1F act=5AC9F3FC exp=5AC9F3FC + 2750.50ns INFO [00002752] * RD COMPARE * port=1 adr=01 act=66E02175 exp=66E02175 + 2751.50ns INFO [00002753] * RD COMPARE * port=1 adr=01 act=66E02175 exp=66E02175 + 2751.50ns INFO [00002753] Port=0 RD @0D + 2752.50ns INFO [00002754] Port=1 RD @06 + 2753.50ns INFO [00002755] * RD COMPARE * port=0 adr=0D act=0CCC2373 exp=0CCC2373 + 2753.50ns INFO [00002755] Port=0 WR @1E=9011A690 + 2753.50ns INFO [00002755] Port=1 RD @02 + 2754.50ns INFO [00002756] * RD COMPARE * port=1 adr=06 act=49E35025 exp=49E35025 + 2754.50ns INFO [00002756] Port=0 RD @05 + 2755.50ns INFO [00002757] * RD COMPARE * port=1 adr=02 act=D1F065AE exp=D1F065AE + 2755.50ns INFO [00002757] Port=0 WR @09=33355D3A + 2755.50ns INFO [00002757] Port=0 RD @14 + 2756.50ns INFO [00002758] * RD COMPARE * port=0 adr=05 act=B3DC3EAB exp=B3DC3EAB + 2756.50ns INFO [00002758] Port=0 RD @14 + 2757.50ns INFO [00002759] * RD COMPARE * port=0 adr=14 act=251468DE exp=251468DE + 2757.50ns INFO [00002759] Port=0 WR @0E=20A4DBC9 + 2757.50ns INFO [00002759] Port=1 RD @1A + 2758.50ns INFO [00002760] * RD COMPARE * port=0 adr=14 act=251468DE exp=251468DE + 2758.50ns INFO [00002760] Port=1 RD @00 + 2759.50ns INFO [00002761] * RD COMPARE * port=1 adr=1A act=6C7CB1EB exp=6C7CB1EB + 2759.50ns INFO [00002761] Port=0 RD @0F + 2760.50ns INFO [00002762] * RD COMPARE * port=1 adr=00 act=46E1D757 exp=46E1D757 + 2761.50ns INFO [00002763] * RD COMPARE * port=0 adr=0F act=AE87AEFF exp=AE87AEFF + 2761.50ns INFO [00002763] Port=0 RD @14 + 2762.50ns INFO [00002764] Port=1 RD @0D + 2763.50ns INFO [00002765] * RD COMPARE * port=0 adr=14 act=251468DE exp=251468DE + 2763.50ns INFO [00002765] Port=0 WR @05=8F4579AF + 2763.50ns INFO [00002765] Port=0 RD @06 + 2764.50ns INFO [00002766] * RD COMPARE * port=1 adr=0D act=0CCC2373 exp=0CCC2373 + 2764.50ns INFO [00002766] Port=0 WR @1C=B268FD1D + 2765.50ns INFO [00002767] * RD COMPARE * port=0 adr=06 act=49E35025 exp=49E35025 + 2765.50ns INFO [00002767] Port=0 WR @19=610248A1 + 2767.50ns INFO [00002769] Port=0 WR @18=80390CA2 + 2767.50ns INFO [00002769] Port=1 RD @16 + 2768.50ns INFO [00002770] Port=1 RD @07 + 2769.50ns INFO [00002771] * RD COMPARE * port=1 adr=16 act=7E544045 exp=7E544045 + 2770.50ns INFO [00002772] * RD COMPARE * port=1 adr=07 act=B9490022 exp=B9490022 + 2770.50ns INFO [00002772] Port=0 RD @1F + 2771.50ns INFO [00002773] Port=0 WR @01=DCFE560F + 2771.50ns INFO [00002773] Port=0 RD @1C + 2772.50ns INFO [00002774] * RD COMPARE * port=0 adr=1F act=5AC9F3FC exp=5AC9F3FC + 2772.50ns INFO [00002774] Port=0 WR @07=199C01B3 + 2773.50ns INFO [00002775] * RD COMPARE * port=0 adr=1C act=B268FD1D exp=B268FD1D + 2773.50ns INFO [00002775] Port=0 WR @11=261040A1 + 2774.50ns INFO [00002776] Port=0 WR @05=E2ED42BC + 2775.50ns INFO [00002777] Port=0 WR @01=A4A50EB9 + 2775.50ns INFO [00002777] Port=1 RD @0E + 2776.50ns INFO [00002778] Port=1 RD @0A + 2777.50ns INFO [00002779] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9 + 2777.50ns INFO [00002779] Port=0 RD @06 + 2778.50ns INFO [00002780] * RD COMPARE * port=1 adr=0A act=F9B3C0C5 exp=F9B3C0C5 + 2779.50ns INFO [00002781] * RD COMPARE * port=0 adr=06 act=49E35025 exp=49E35025 + 2779.50ns INFO [00002781] Port=1 RD @12 + 2780.50ns INFO [00002782] Port=1 RD @0E + 2781.50ns INFO [00002783] * RD COMPARE * port=1 adr=12 act=6D99EBE0 exp=6D99EBE0 + 2781.50ns INFO [00002783] Port=0 RD @18 + 2781.50ns INFO [00002783] Port=1 RD @17 + 2782.50ns INFO [00002784] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9 + 2783.50ns INFO [00002785] * RD COMPARE * port=0 adr=18 act=80390CA2 exp=80390CA2 + 2783.50ns INFO [00002785] * RD COMPARE * port=1 adr=17 act=D4BE0EF5 exp=D4BE0EF5 + 2783.50ns INFO [00002785] Port=0 WR @19=2E2FC447 + 2784.50ns INFO [00002786] Port=0 WR @02=EF0B8EC3 + 2784.50ns INFO [00002786] Port=0 RD @1D + 2784.50ns INFO [00002786] Port=1 RD @08 + 2785.50ns INFO [00002787] Port=0 WR @0D=CD0BE625 + 2785.50ns INFO [00002787] Port=0 RD @16 + 2786.50ns INFO [00002788] * RD COMPARE * port=0 adr=1D act=610DE33D exp=610DE33D + 2786.50ns INFO [00002788] * RD COMPARE * port=1 adr=08 act=C476FA9C exp=C476FA9C + 2786.50ns INFO [00002788] Port=1 RD @13 + 2787.50ns INFO [00002789] * RD COMPARE * port=0 adr=16 act=7E544045 exp=7E544045 + 2787.50ns INFO [00002789] Port=0 RD @10 + 2788.50ns INFO [00002790] * RD COMPARE * port=1 adr=13 act=EDB52F1B exp=EDB52F1B + 2789.50ns INFO [00002791] * RD COMPARE * port=0 adr=10 act=01DF7D71 exp=01DF7D71 + 2789.50ns INFO [00002791] Port=0 WR @02=D9871F93 + 2789.50ns INFO [00002791] Port=1 RD @0B + 2791.50ns INFO [00002793] * RD COMPARE * port=1 adr=0B act=D6EB6548 exp=D6EB6548 + 2791.50ns INFO [00002793] Port=0 RD @00 + 2791.50ns INFO [00002793] Port=1 RD @1D + 2792.50ns INFO [00002794] Port=1 RD @0F + 2793.50ns INFO [00002795] * RD COMPARE * port=0 adr=00 act=46E1D757 exp=46E1D757 + 2793.50ns INFO [00002795] * RD COMPARE * port=1 adr=1D act=610DE33D exp=610DE33D + 2793.50ns INFO [00002795] Port=0 WR @13=EAA5A6FB + 2793.50ns INFO [00002795] Port=0 RD @17 + 2794.50ns INFO [00002796] * RD COMPARE * port=1 adr=0F act=AE87AEFF exp=AE87AEFF + 2794.50ns INFO [00002796] Port=0 WR @00=7D7B57BD + 2795.50ns INFO [00002797] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5 + 2795.50ns INFO [00002797] Port=0 WR @1F=0D678929 + 2795.50ns INFO [00002797] Port=0 RD @03 + 2795.50ns INFO [00002797] Port=1 RD @18 + 2797.50ns INFO [00002799] * RD COMPARE * port=0 adr=03 act=0318AEA3 exp=0318AEA3 + 2797.50ns INFO [00002799] * RD COMPARE * port=1 adr=18 act=80390CA2 exp=80390CA2 + 2797.50ns INFO [00002799] Port=0 RD @0F + 2797.50ns INFO [00002799] Port=1 RD @1D + 2798.00ns INFO [00002800] [00002800] ...tick... + 2798.50ns INFO [00002800] Port=1 RD @0A + 2799.50ns INFO [00002801] * RD COMPARE * port=0 adr=0F act=AE87AEFF exp=AE87AEFF + 2799.50ns INFO [00002801] * RD COMPARE * port=1 adr=1D act=610DE33D exp=610DE33D + 2800.50ns INFO [00002802] * RD COMPARE * port=1 adr=0A act=F9B3C0C5 exp=F9B3C0C5 + 2800.50ns INFO [00002802] Port=1 RD @1E + 2802.50ns INFO [00002804] * RD COMPARE * port=1 adr=1E act=9011A690 exp=9011A690 + 2802.50ns INFO [00002804] Port=0 WR @09=E67AA538 + 2802.50ns INFO [00002804] Port=0 RD @02 + 2804.50ns INFO [00002806] * RD COMPARE * port=0 adr=02 act=D9871F93 exp=D9871F93 + 2804.50ns INFO [00002806] Port=0 WR @15=A78262F1 + 2805.50ns INFO [00002807] Port=0 RD @0C + 2806.50ns INFO [00002808] Port=1 RD @00 + 2807.50ns INFO [00002809] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C + 2807.50ns INFO [00002809] Port=0 WR @1A=C1A27806 + 2808.50ns INFO [00002810] * RD COMPARE * port=1 adr=00 act=7D7B57BD exp=7D7B57BD + 2810.50ns INFO [00002812] Port=1 RD @0E + 2811.50ns INFO [00002813] Port=1 RD @1B + 2812.50ns INFO [00002814] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9 + 2813.50ns INFO [00002815] * RD COMPARE * port=1 adr=1B act=4EE53D77 exp=4EE53D77 + 2813.50ns INFO [00002815] Port=1 RD @13 + 2814.50ns INFO [00002816] Port=1 RD @06 + 2815.50ns INFO [00002817] * RD COMPARE * port=1 adr=13 act=EAA5A6FB exp=EAA5A6FB + 2815.50ns INFO [00002817] Port=0 WR @02=D146FE0C + 2816.50ns INFO [00002818] * RD COMPARE * port=1 adr=06 act=49E35025 exp=49E35025 + 2816.50ns INFO [00002818] Port=0 RD @0F + 2816.50ns INFO [00002818] Port=1 RD @1D + 2817.50ns INFO [00002819] Port=0 WR @0B=1EBF01E7 + 2818.50ns INFO [00002820] * RD COMPARE * port=0 adr=0F act=AE87AEFF exp=AE87AEFF + 2818.50ns INFO [00002820] * RD COMPARE * port=1 adr=1D act=610DE33D exp=610DE33D + 2818.50ns INFO [00002820] Port=0 WR @16=38A5524E + 2818.50ns INFO [00002820] Port=1 RD @10 + 2819.50ns INFO [00002821] Port=0 RD @05 + 2819.50ns INFO [00002821] Port=1 RD @1F + 2820.50ns INFO [00002822] * RD COMPARE * port=1 adr=10 act=01DF7D71 exp=01DF7D71 + 2820.50ns INFO [00002822] Port=0 RD @09 + 2821.50ns INFO [00002823] * RD COMPARE * port=0 adr=05 act=E2ED42BC exp=E2ED42BC + 2821.50ns INFO [00002823] * RD COMPARE * port=1 adr=1F act=0D678929 exp=0D678929 + 2821.50ns INFO [00002823] Port=0 RD @09 + 2821.50ns INFO [00002823] Port=1 RD @19 + 2822.50ns INFO [00002824] * RD COMPARE * port=0 adr=09 act=E67AA538 exp=E67AA538 + 2823.50ns INFO [00002825] * RD COMPARE * port=0 adr=09 act=E67AA538 exp=E67AA538 + 2823.50ns INFO [00002825] * RD COMPARE * port=1 adr=19 act=2E2FC447 exp=2E2FC447 + 2824.50ns INFO [00002826] Port=0 RD @1D + 2824.50ns INFO [00002826] Port=1 RD @11 + 2826.50ns INFO [00002828] * RD COMPARE * port=0 adr=1D act=610DE33D exp=610DE33D + 2826.50ns INFO [00002828] * RD COMPARE * port=1 adr=11 act=261040A1 exp=261040A1 + 2826.50ns INFO [00002828] Port=0 WR @0D=30181383 + 2826.50ns INFO [00002828] Port=0 RD @0A + 2827.50ns INFO [00002829] Port=0 RD @1F + 2828.50ns INFO [00002830] * RD COMPARE * port=0 adr=0A act=F9B3C0C5 exp=F9B3C0C5 + 2828.50ns INFO [00002830] Port=0 WR @1B=2FC636DD + 2828.50ns INFO [00002830] Port=1 RD @15 + 2829.50ns INFO [00002831] * RD COMPARE * port=0 adr=1F act=0D678929 exp=0D678929 + 2830.50ns INFO [00002832] * RD COMPARE * port=1 adr=15 act=A78262F1 exp=A78262F1 + 2831.50ns INFO [00002833] Port=0 WR @1F=A94DE806 + 2831.50ns INFO [00002833] Port=1 RD @17 + 2832.50ns INFO [00002834] Port=0 WR @1C=E9C25695 + 2832.50ns INFO [00002834] Port=1 RD @18 + 2833.50ns INFO [00002835] * RD COMPARE * port=1 adr=17 act=D4BE0EF5 exp=D4BE0EF5 + 2833.50ns INFO [00002835] Port=0 WR @15=83056409 + 2834.50ns INFO [00002836] * RD COMPARE * port=1 adr=18 act=80390CA2 exp=80390CA2 + 2834.50ns INFO [00002836] Port=0 WR @1B=8B35D672 + 2834.50ns INFO [00002836] Port=1 RD @07 + 2835.50ns INFO [00002837] Port=0 WR @09=704DCC0F + 2835.50ns INFO [00002837] Port=0 RD @0C + 2836.50ns INFO [00002838] * RD COMPARE * port=1 adr=07 act=199C01B3 exp=199C01B3 + 2836.50ns INFO [00002838] Port=1 RD @09 + 2837.50ns INFO [00002839] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C + 2837.50ns INFO [00002839] Port=0 WR @12=574F81B0 + 2837.50ns INFO [00002839] Port=0 RD @14 + 2838.50ns INFO [00002840] * RD COMPARE * port=1 adr=09 act=704DCC0F exp=704DCC0F + 2838.50ns INFO [00002840] Port=0 WR @14=F0576BF4 + 2838.50ns INFO [00002840] Port=1 RD @05 + 2839.50ns INFO [00002841] * RD COMPARE * port=0 adr=14 act=251468DE exp=251468DE + 2839.50ns INFO [00002841] Port=0 WR @1C=2F35C72E + 2839.50ns INFO [00002841] Port=0 RD @10 + 2839.50ns INFO [00002841] Port=1 RD @0B + 2840.50ns INFO [00002842] * RD COMPARE * port=1 adr=05 act=E2ED42BC exp=E2ED42BC + 2841.50ns INFO [00002843] * RD COMPARE * port=0 adr=10 act=01DF7D71 exp=01DF7D71 + 2841.50ns INFO [00002843] * RD COMPARE * port=1 adr=0B act=1EBF01E7 exp=1EBF01E7 + 2841.50ns INFO [00002843] Port=0 WR @02=45E82DA8 + 2842.50ns INFO [00002844] Port=0 WR @0D=CF536A1B + 2843.50ns INFO [00002845] Port=0 WR @14=7E81FD47 + 2843.50ns INFO [00002845] Port=0 RD @06 + 2843.50ns INFO [00002845] Port=1 RD @1E + 2845.50ns INFO [00002847] * RD COMPARE * port=0 adr=06 act=49E35025 exp=49E35025 + 2845.50ns INFO [00002847] * RD COMPARE * port=1 adr=1E act=9011A690 exp=9011A690 + 2845.50ns INFO [00002847] Port=0 RD @0A + 2845.50ns INFO [00002847] Port=1 RD @00 + 2846.50ns INFO [00002848] Port=0 WR @1C=1D7577F6 + 2846.50ns INFO [00002848] Port=0 RD @18 + 2847.50ns INFO [00002849] * RD COMPARE * port=0 adr=0A act=F9B3C0C5 exp=F9B3C0C5 + 2847.50ns INFO [00002849] * RD COMPARE * port=1 adr=00 act=7D7B57BD exp=7D7B57BD + 2848.50ns INFO [00002850] * RD COMPARE * port=0 adr=18 act=80390CA2 exp=80390CA2 + 2849.50ns INFO [00002851] Port=1 RD @02 + 2850.50ns INFO [00002852] Port=0 WR @19=DB426209 + 2850.50ns INFO [00002852] Port=1 RD @09 + 2851.50ns INFO [00002853] * RD COMPARE * port=1 adr=02 act=45E82DA8 exp=45E82DA8 + 2851.50ns INFO [00002853] Port=0 WR @11=F5A5E702 + 2852.50ns INFO [00002854] * RD COMPARE * port=1 adr=09 act=704DCC0F exp=704DCC0F + 2853.50ns INFO [00002855] Port=0 RD @0A + 2854.50ns INFO [00002856] Port=0 WR @15=90B26C02 + 2854.50ns INFO [00002856] Port=1 RD @18 + 2855.50ns INFO [00002857] * RD COMPARE * port=0 adr=0A act=F9B3C0C5 exp=F9B3C0C5 + 2855.50ns INFO [00002857] Port=0 WR @13=D37AEFB7 + 2856.50ns INFO [00002858] * RD COMPARE * port=1 adr=18 act=80390CA2 exp=80390CA2 + 2856.50ns INFO [00002858] Port=0 WR @1C=49E8EAD8 + 2856.50ns INFO [00002858] Port=0 RD @18 + 2857.50ns INFO [00002859] Port=0 WR @1D=FA02A872 + 2858.50ns INFO [00002860] * RD COMPARE * port=0 adr=18 act=80390CA2 exp=80390CA2 + 2858.50ns INFO [00002860] Port=0 WR @08=893647E3 + 2858.50ns INFO [00002860] Port=1 RD @04 + 2860.50ns INFO [00002862] * RD COMPARE * port=1 adr=04 act=82276EBC exp=82276EBC + 2860.50ns INFO [00002862] Port=0 WR @09=3B00E307 + 2860.50ns INFO [00002862] Port=0 RD @08 + 2860.50ns INFO [00002862] Port=1 RD @0F + 2861.50ns INFO [00002863] Port=0 WR @06=D57D3C4F + 2861.50ns INFO [00002863] Port=0 RD @00 + 2862.50ns INFO [00002864] * RD COMPARE * port=0 adr=08 act=893647E3 exp=893647E3 + 2862.50ns INFO [00002864] * RD COMPARE * port=1 adr=0F act=AE87AEFF exp=AE87AEFF + 2863.50ns INFO [00002865] * RD COMPARE * port=0 adr=00 act=7D7B57BD exp=7D7B57BD + 2864.50ns INFO [00002866] Port=0 WR @09=015E30FD + 2865.50ns INFO [00002867] Port=0 WR @0D=81DFA650 + 2865.50ns INFO [00002867] Port=1 RD @07 + 2867.50ns INFO [00002869] * RD COMPARE * port=1 adr=07 act=199C01B3 exp=199C01B3 + 2867.50ns INFO [00002869] Port=0 RD @19 + 2867.50ns INFO [00002869] Port=1 RD @16 + 2868.50ns INFO [00002870] Port=0 RD @18 + 2869.50ns INFO [00002871] * RD COMPARE * port=0 adr=19 act=DB426209 exp=DB426209 + 2869.50ns INFO [00002871] * RD COMPARE * port=1 adr=16 act=38A5524E exp=38A5524E + 2869.50ns INFO [00002871] Port=0 WR @1E=7A11BC1B + 2869.50ns INFO [00002871] Port=1 RD @19 + 2870.50ns INFO [00002872] * RD COMPARE * port=0 adr=18 act=80390CA2 exp=80390CA2 + 2870.50ns INFO [00002872] Port=0 WR @11=8F9420CA + 2871.50ns INFO [00002873] * RD COMPARE * port=1 adr=19 act=DB426209 exp=DB426209 + 2871.50ns INFO [00002873] Port=1 RD @09 + 2873.50ns INFO [00002875] * RD COMPARE * port=1 adr=09 act=015E30FD exp=015E30FD + 2873.50ns INFO [00002875] Port=0 WR @1A=3D64F44F + 2873.50ns INFO [00002875] Port=0 RD @14 + 2874.50ns INFO [00002876] Port=0 WR @0D=FB49ADE6 + 2875.50ns INFO [00002877] * RD COMPARE * port=0 adr=14 act=7E81FD47 exp=7E81FD47 + 2875.50ns INFO [00002877] Port=0 WR @08=8CE5F6DD + 2875.50ns INFO [00002877] Port=1 RD @05 + 2876.50ns INFO [00002878] Port=0 RD @13 + 2876.50ns INFO [00002878] Port=1 RD @10 + 2877.50ns INFO [00002879] * RD COMPARE * port=1 adr=05 act=E2ED42BC exp=E2ED42BC + 2877.50ns INFO [00002879] Port=0 WR @11=E69DBC98 + 2877.50ns INFO [00002879] Port=0 RD @12 + 2877.50ns INFO [00002879] Port=1 RD @06 + 2878.50ns INFO [00002880] * RD COMPARE * port=0 adr=13 act=D37AEFB7 exp=D37AEFB7 + 2878.50ns INFO [00002880] * RD COMPARE * port=1 adr=10 act=01DF7D71 exp=01DF7D71 + 2879.50ns INFO [00002881] * RD COMPARE * port=0 adr=12 act=574F81B0 exp=574F81B0 + 2879.50ns INFO [00002881] * RD COMPARE * port=1 adr=06 act=D57D3C4F exp=D57D3C4F + 2879.50ns INFO [00002881] Port=0 WR @10=9AC0F691 + 2880.50ns INFO [00002882] Port=0 RD @0B + 2880.50ns INFO [00002882] Port=1 RD @09 + 2882.50ns INFO [00002884] * RD COMPARE * port=0 adr=0B act=1EBF01E7 exp=1EBF01E7 + 2882.50ns INFO [00002884] * RD COMPARE * port=1 adr=09 act=015E30FD exp=015E30FD + 2882.50ns INFO [00002884] Port=0 WR @1F=5A1E8F82 + 2882.50ns INFO [00002884] Port=1 RD @09 + 2883.50ns INFO [00002885] Port=0 WR @1A=A5A60CEA + 2883.50ns INFO [00002885] Port=1 RD @0E + 2884.50ns INFO [00002886] * RD COMPARE * port=1 adr=09 act=015E30FD exp=015E30FD + 2884.50ns INFO [00002886] Port=0 RD @0A + 2884.50ns INFO [00002886] Port=1 RD @14 + 2885.50ns INFO [00002887] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9 + 2886.50ns INFO [00002888] * RD COMPARE * port=0 adr=0A act=F9B3C0C5 exp=F9B3C0C5 + 2886.50ns INFO [00002888] * RD COMPARE * port=1 adr=14 act=7E81FD47 exp=7E81FD47 + 2886.50ns INFO [00002888] Port=0 WR @09=0631A39F + 2886.50ns INFO [00002888] Port=1 RD @0D + 2888.50ns INFO [00002890] * RD COMPARE * port=1 adr=0D act=FB49ADE6 exp=FB49ADE6 + 2888.50ns INFO [00002890] Port=0 WR @08=A174D950 + 2888.50ns INFO [00002890] Port=0 RD @0B + 2888.50ns INFO [00002890] Port=1 RD @0D + 2889.50ns INFO [00002891] Port=0 WR @11=AD90799C + 2889.50ns INFO [00002891] Port=0 RD @0D + 2889.50ns INFO [00002891] Port=1 RD @07 + 2890.50ns INFO [00002892] * RD COMPARE * port=0 adr=0B act=1EBF01E7 exp=1EBF01E7 + 2890.50ns INFO [00002892] * RD COMPARE * port=1 adr=0D act=FB49ADE6 exp=FB49ADE6 + 2891.50ns INFO [00002893] * RD COMPARE * port=0 adr=0D act=FB49ADE6 exp=FB49ADE6 + 2891.50ns INFO [00002893] * RD COMPARE * port=1 adr=07 act=199C01B3 exp=199C01B3 + 2891.50ns INFO [00002893] Port=0 WR @02=ACBBFC85 + 2892.50ns INFO [00002894] Port=1 RD @02 + 2894.50ns INFO [00002896] * RD COMPARE * port=1 adr=02 act=ACBBFC85 exp=ACBBFC85 + 2894.50ns INFO [00002896] Port=0 WR @1E=9A16636A + 2894.50ns INFO [00002896] Port=1 RD @08 + 2895.50ns INFO [00002897] Port=0 WR @06=13679672 + 2895.50ns INFO [00002897] Port=1 RD @09 + 2896.50ns INFO [00002898] * RD COMPARE * port=1 adr=08 act=A174D950 exp=A174D950 + 2896.50ns INFO [00002898] Port=0 RD @02 + 2897.50ns INFO [00002899] * RD COMPARE * port=1 adr=09 act=0631A39F exp=0631A39F + 2897.50ns INFO [00002899] Port=0 RD @14 + 2898.00ns INFO [00002900] [00002900] ...tick... + 2898.50ns INFO [00002900] * RD COMPARE * port=0 adr=02 act=ACBBFC85 exp=ACBBFC85 + 2898.50ns INFO [00002900] Port=0 RD @07 + 2899.50ns INFO [00002901] * RD COMPARE * port=0 adr=14 act=7E81FD47 exp=7E81FD47 + 2899.50ns INFO [00002901] Port=0 RD @0C + 2899.50ns INFO [00002901] Port=1 RD @05 + 2900.50ns INFO [00002902] * RD COMPARE * port=0 adr=07 act=199C01B3 exp=199C01B3 + 2900.50ns INFO [00002902] Port=0 WR @04=75495C30 + 2901.50ns INFO [00002903] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C + 2901.50ns INFO [00002903] * RD COMPARE * port=1 adr=05 act=E2ED42BC exp=E2ED42BC + 2902.50ns INFO [00002904] Port=0 WR @00=1F4DABE5 + 2902.50ns INFO [00002904] Port=0 RD @13 + 2902.50ns INFO [00002904] Port=1 RD @15 + 2903.50ns INFO [00002905] Port=0 RD @18 + 2904.50ns INFO [00002906] * RD COMPARE * port=0 adr=13 act=D37AEFB7 exp=D37AEFB7 + 2904.50ns INFO [00002906] * RD COMPARE * port=1 adr=15 act=90B26C02 exp=90B26C02 + 2904.50ns INFO [00002906] Port=0 WR @0A=9E45130C + 2905.50ns INFO [00002907] * RD COMPARE * port=0 adr=18 act=80390CA2 exp=80390CA2 + 2905.50ns INFO [00002907] Port=0 WR @16=BA3091C2 + 2905.50ns INFO [00002907] Port=1 RD @0E + 2906.50ns INFO [00002908] Port=0 RD @1E + 2907.50ns INFO [00002909] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9 + 2908.50ns INFO [00002910] * RD COMPARE * port=0 adr=1E act=9A16636A exp=9A16636A + 2908.50ns INFO [00002910] Port=0 RD @15 + 2910.50ns INFO [00002912] * RD COMPARE * port=0 adr=15 act=90B26C02 exp=90B26C02 + 2910.50ns INFO [00002912] Port=0 WR @1C=4E2E3B10 + 2910.50ns INFO [00002912] Port=0 RD @13 + 2912.50ns INFO [00002914] * RD COMPARE * port=0 adr=13 act=D37AEFB7 exp=D37AEFB7 + 2912.50ns INFO [00002914] Port=0 WR @01=4CD8617F + 2912.50ns INFO [00002914] Port=0 RD @0E + 2913.50ns INFO [00002915] Port=0 WR @02=E9473F0A + 2914.50ns INFO [00002916] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9 + 2914.50ns INFO [00002916] Port=0 WR @11=3A3364BC + 2914.50ns INFO [00002916] Port=0 RD @15 + 2914.50ns INFO [00002916] Port=1 RD @0D + 2915.50ns INFO [00002917] Port=1 RD @00 + 2916.50ns INFO [00002918] * RD COMPARE * port=0 adr=15 act=90B26C02 exp=90B26C02 + 2916.50ns INFO [00002918] * RD COMPARE * port=1 adr=0D act=FB49ADE6 exp=FB49ADE6 + 2917.50ns INFO [00002919] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5 + 2918.50ns INFO [00002920] Port=0 WR @0F=2E415648 + 2918.50ns INFO [00002920] Port=0 RD @0A + 2919.50ns INFO [00002921] Port=1 RD @00 + 2920.50ns INFO [00002922] * RD COMPARE * port=0 adr=0A act=9E45130C exp=9E45130C + 2920.50ns INFO [00002922] Port=0 WR @1B=EC4E90DD + 2920.50ns INFO [00002922] Port=0 RD @0C + 2920.50ns INFO [00002922] Port=1 RD @00 + 2921.50ns INFO [00002923] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5 + 2921.50ns INFO [00002923] Port=1 RD @15 + 2922.50ns INFO [00002924] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C + 2922.50ns INFO [00002924] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5 + 2923.50ns INFO [00002925] * RD COMPARE * port=1 adr=15 act=90B26C02 exp=90B26C02 + 2923.50ns INFO [00002925] Port=1 RD @00 + 2924.50ns INFO [00002926] Port=0 RD @10 + 2924.50ns INFO [00002926] Port=1 RD @00 + 2925.50ns INFO [00002927] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5 + 2925.50ns INFO [00002927] Port=0 RD @07 + 2926.50ns INFO [00002928] * RD COMPARE * port=0 adr=10 act=9AC0F691 exp=9AC0F691 + 2926.50ns INFO [00002928] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5 + 2926.50ns INFO [00002928] Port=0 WR @1E=617C5150 + 2926.50ns INFO [00002928] Port=1 RD @1B + 2927.50ns INFO [00002929] * RD COMPARE * port=0 adr=07 act=199C01B3 exp=199C01B3 + 2927.50ns INFO [00002929] Port=0 WR @07=DCB94002 + 2927.50ns INFO [00002929] Port=0 RD @16 + 2927.50ns INFO [00002929] Port=1 RD @08 + 2928.50ns INFO [00002930] * RD COMPARE * port=1 adr=1B act=EC4E90DD exp=EC4E90DD + 2928.50ns INFO [00002930] Port=0 RD @0D + 2929.50ns INFO [00002931] * RD COMPARE * port=0 adr=16 act=BA3091C2 exp=BA3091C2 + 2929.50ns INFO [00002931] * RD COMPARE * port=1 adr=08 act=A174D950 exp=A174D950 + 2929.50ns INFO [00002931] Port=0 WR @13=87E26397 + 2929.50ns INFO [00002931] Port=0 RD @17 + 2930.50ns INFO [00002932] * RD COMPARE * port=0 adr=0D act=FB49ADE6 exp=FB49ADE6 + 2930.50ns INFO [00002932] Port=0 RD @05 + 2931.50ns INFO [00002933] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5 + 2931.50ns INFO [00002933] Port=1 RD @1F + 2932.50ns INFO [00002934] * RD COMPARE * port=0 adr=05 act=E2ED42BC exp=E2ED42BC + 2932.50ns INFO [00002934] Port=1 RD @00 + 2933.50ns INFO [00002935] * RD COMPARE * port=1 adr=1F act=5A1E8F82 exp=5A1E8F82 + 2934.50ns INFO [00002936] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5 + 2934.50ns INFO [00002936] Port=1 RD @11 + 2936.50ns INFO [00002938] * RD COMPARE * port=1 adr=11 act=3A3364BC exp=3A3364BC + 2937.50ns INFO [00002939] Port=0 WR @16=88CC39AC + 2937.50ns INFO [00002939] Port=0 RD @1C + 2937.50ns INFO [00002939] Port=1 RD @1D + 2938.50ns INFO [00002940] Port=0 WR @08=859386D4 + 2939.50ns INFO [00002941] * RD COMPARE * port=0 adr=1C act=4E2E3B10 exp=4E2E3B10 + 2939.50ns INFO [00002941] * RD COMPARE * port=1 adr=1D act=FA02A872 exp=FA02A872 + 2939.50ns INFO [00002941] Port=0 RD @0F + 2940.50ns INFO [00002942] Port=0 WR @0B=F12661BB + 2940.50ns INFO [00002942] Port=0 RD @12 + 2940.50ns INFO [00002942] Port=1 RD @14 + 2941.50ns INFO [00002943] * RD COMPARE * port=0 adr=0F act=2E415648 exp=2E415648 + 2941.50ns INFO [00002943] Port=0 WR @00=57904FF4 + 2942.50ns INFO [00002944] * RD COMPARE * port=0 adr=12 act=574F81B0 exp=574F81B0 + 2942.50ns INFO [00002944] * RD COMPARE * port=1 adr=14 act=7E81FD47 exp=7E81FD47 + 2943.50ns INFO [00002945] Port=1 RD @14 + 2944.50ns INFO [00002946] Port=0 WR @11=AE4F574F + 2944.50ns INFO [00002946] Port=0 RD @1B + 2945.50ns INFO [00002947] * RD COMPARE * port=1 adr=14 act=7E81FD47 exp=7E81FD47 + 2945.50ns INFO [00002947] Port=0 WR @16=3AD43FC9 + 2946.50ns INFO [00002948] * RD COMPARE * port=0 adr=1B act=EC4E90DD exp=EC4E90DD + 2946.50ns INFO [00002948] Port=0 WR @04=C164F64F + 2946.50ns INFO [00002948] Port=0 RD @05 + 2947.50ns INFO [00002949] Port=0 WR @02=F61D2FD7 + 2948.50ns INFO [00002950] * RD COMPARE * port=0 adr=05 act=E2ED42BC exp=E2ED42BC + 2948.50ns INFO [00002950] Port=0 WR @04=E5145B30 + 2949.50ns INFO [00002951] Port=0 WR @18=A6EB7523 + 2949.50ns INFO [00002951] Port=1 RD @00 + 2950.50ns INFO [00002952] Port=0 WR @15=1EBCF8EE + 2950.50ns INFO [00002952] Port=1 RD @18 + 2951.50ns INFO [00002953] * RD COMPARE * port=1 adr=00 act=57904FF4 exp=57904FF4 + 2951.50ns INFO [00002953] Port=0 WR @02=388FE414 + 2951.50ns INFO [00002953] Port=0 RD @17 + 2951.50ns INFO [00002953] Port=1 RD @09 + 2952.50ns INFO [00002954] * RD COMPARE * port=1 adr=18 act=A6EB7523 exp=A6EB7523 + 2952.50ns INFO [00002954] Port=0 WR @04=490500C4 + 2952.50ns INFO [00002954] Port=1 RD @10 + 2953.50ns INFO [00002955] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5 + 2953.50ns INFO [00002955] * RD COMPARE * port=1 adr=09 act=0631A39F exp=0631A39F + 2954.50ns INFO [00002956] * RD COMPARE * port=1 adr=10 act=9AC0F691 exp=9AC0F691 + 2954.50ns INFO [00002956] Port=0 WR @1C=B03A93CB + 2954.50ns INFO [00002956] Port=0 RD @1D + 2954.50ns INFO [00002956] Port=1 RD @01 + 2955.50ns INFO [00002957] Port=0 RD @0D + 2956.50ns INFO [00002958] * RD COMPARE * port=0 adr=1D act=FA02A872 exp=FA02A872 + 2956.50ns INFO [00002958] * RD COMPARE * port=1 adr=01 act=4CD8617F exp=4CD8617F + 2956.50ns INFO [00002958] Port=0 WR @05=796385CE + 2956.50ns INFO [00002958] Port=0 RD @1C + 2957.50ns INFO [00002959] * RD COMPARE * port=0 adr=0D act=FB49ADE6 exp=FB49ADE6 + 2957.50ns INFO [00002959] Port=0 WR @1C=3AC1E74B + 2958.50ns INFO [00002960] * RD COMPARE * port=0 adr=1C act=B03A93CB exp=B03A93CB + 2958.50ns INFO [00002960] Port=1 RD @1D + 2959.50ns INFO [00002961] Port=0 WR @19=E72A09B0 + 2959.50ns INFO [00002961] Port=0 RD @1F + 2960.50ns INFO [00002962] * RD COMPARE * port=1 adr=1D act=FA02A872 exp=FA02A872 + 2960.50ns INFO [00002962] Port=0 WR @11=B8820628 + 2960.50ns INFO [00002962] Port=0 RD @0A + 2960.50ns INFO [00002962] Port=1 RD @14 + 2961.50ns INFO [00002963] * RD COMPARE * port=0 adr=1F act=5A1E8F82 exp=5A1E8F82 + 2962.50ns INFO [00002964] * RD COMPARE * port=0 adr=0A act=9E45130C exp=9E45130C + 2962.50ns INFO [00002964] * RD COMPARE * port=1 adr=14 act=7E81FD47 exp=7E81FD47 + 2962.50ns INFO [00002964] Port=0 WR @08=1DB1FFAB + 2962.50ns INFO [00002964] Port=0 RD @10 + 2963.50ns INFO [00002965] Port=0 WR @1D=5D1972A4 + 2963.50ns INFO [00002965] Port=0 RD @0F + 2963.50ns INFO [00002965] Port=1 RD @10 + 2964.50ns INFO [00002966] * RD COMPARE * port=0 adr=10 act=9AC0F691 exp=9AC0F691 + 2964.50ns INFO [00002966] Port=0 WR @14=819ED95C + 2964.50ns INFO [00002966] Port=1 RD @06 + 2965.50ns INFO [00002967] * RD COMPARE * port=0 adr=0F act=2E415648 exp=2E415648 + 2965.50ns INFO [00002967] * RD COMPARE * port=1 adr=10 act=9AC0F691 exp=9AC0F691 + 2966.50ns INFO [00002968] * RD COMPARE * port=1 adr=06 act=13679672 exp=13679672 + 2966.50ns INFO [00002968] Port=0 WR @14=0703B806 + 2966.50ns INFO [00002968] Port=0 RD @04 + 2967.50ns INFO [00002969] Port=0 RD @17 + 2968.50ns INFO [00002970] * RD COMPARE * port=0 adr=04 act=490500C4 exp=490500C4 + 2968.50ns INFO [00002970] Port=0 WR @0F=F95CDB5E + 2968.50ns INFO [00002970] Port=0 RD @0A + 2969.50ns INFO [00002971] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5 + 2969.50ns INFO [00002971] Port=1 RD @11 + 2970.50ns INFO [00002972] * RD COMPARE * port=0 adr=0A act=9E45130C exp=9E45130C + 2970.50ns INFO [00002972] Port=0 RD @13 + 2971.50ns INFO [00002973] * RD COMPARE * port=1 adr=11 act=B8820628 exp=B8820628 + 2971.50ns INFO [00002973] Port=0 WR @1E=E9295A4D + 2972.50ns INFO [00002974] * RD COMPARE * port=0 adr=13 act=87E26397 exp=87E26397 + 2972.50ns INFO [00002974] Port=1 RD @05 + 2973.50ns INFO [00002975] Port=0 WR @03=54248495 + 2973.50ns INFO [00002975] Port=0 RD @14 + 2973.50ns INFO [00002975] Port=1 RD @19 + 2974.50ns INFO [00002976] * RD COMPARE * port=1 adr=05 act=796385CE exp=796385CE + 2974.50ns INFO [00002976] Port=0 RD @05 + 2974.50ns INFO [00002976] Port=1 RD @08 + 2975.50ns INFO [00002977] * RD COMPARE * port=0 adr=14 act=0703B806 exp=0703B806 + 2975.50ns INFO [00002977] * RD COMPARE * port=1 adr=19 act=E72A09B0 exp=E72A09B0 + 2975.50ns INFO [00002977] Port=0 WR @04=1B731875 + 2975.50ns INFO [00002977] Port=0 RD @1C + 2976.50ns INFO [00002978] * RD COMPARE * port=0 adr=05 act=796385CE exp=796385CE + 2976.50ns INFO [00002978] * RD COMPARE * port=1 adr=08 act=1DB1FFAB exp=1DB1FFAB + 2976.50ns INFO [00002978] Port=0 RD @02 + 2977.50ns INFO [00002979] * RD COMPARE * port=0 adr=1C act=3AC1E74B exp=3AC1E74B + 2977.50ns INFO [00002979] Port=0 RD @0E + 2978.50ns INFO [00002980] * RD COMPARE * port=0 adr=02 act=388FE414 exp=388FE414 + 2978.50ns INFO [00002980] Port=1 RD @03 + 2979.50ns INFO [00002981] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9 + 2979.50ns INFO [00002981] Port=0 RD @15 + 2980.50ns INFO [00002982] * RD COMPARE * port=1 adr=03 act=54248495 exp=54248495 + 2980.50ns INFO [00002982] Port=0 WR @04=9B555A43 + 2981.50ns INFO [00002983] * RD COMPARE * port=0 adr=15 act=1EBCF8EE exp=1EBCF8EE + 2981.50ns INFO [00002983] Port=0 WR @18=1E41CC3C + 2981.50ns INFO [00002983] Port=0 RD @00 + 2983.50ns INFO [00002985] * RD COMPARE * port=0 adr=00 act=57904FF4 exp=57904FF4 + 2983.50ns INFO [00002985] Port=0 WR @1E=D18914A6 + 2983.50ns INFO [00002985] Port=1 RD @01 + 2985.50ns INFO [00002987] * RD COMPARE * port=1 adr=01 act=4CD8617F exp=4CD8617F + 2985.50ns INFO [00002987] Port=0 RD @0D + 2985.50ns INFO [00002987] Port=1 RD @03 + 2986.50ns INFO [00002988] Port=0 RD @19 + 2987.50ns INFO [00002989] * RD COMPARE * port=0 adr=0D act=FB49ADE6 exp=FB49ADE6 + 2987.50ns INFO [00002989] * RD COMPARE * port=1 adr=03 act=54248495 exp=54248495 + 2987.50ns INFO [00002989] Port=0 WR @08=C243FC19 + 2987.50ns INFO [00002989] Port=0 RD @17 + 2988.50ns INFO [00002990] * RD COMPARE * port=0 adr=19 act=E72A09B0 exp=E72A09B0 + 2988.50ns INFO [00002990] Port=0 WR @09=41B4B79C + 2989.50ns INFO [00002991] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5 + 2989.50ns INFO [00002991] Port=1 RD @0B + 2990.50ns INFO [00002992] Port=0 WR @1F=F80269CC + 2990.50ns INFO [00002992] Port=0 RD @01 + 2991.50ns INFO [00002993] * RD COMPARE * port=1 adr=0B act=F12661BB exp=F12661BB + 2991.50ns INFO [00002993] Port=1 RD @00 + 2992.50ns INFO [00002994] * RD COMPARE * port=0 adr=01 act=4CD8617F exp=4CD8617F + 2992.50ns INFO [00002994] Port=0 WR @00=E4A239D1 + 2992.50ns INFO [00002994] Port=0 RD @0C + 2993.50ns INFO [00002995] * RD COMPARE * port=1 adr=00 act=57904FF4 exp=57904FF4 + 2993.50ns INFO [00002995] Port=0 RD @07 + 2994.50ns INFO [00002996] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C + 2994.50ns INFO [00002996] Port=0 WR @17=B5E84149 + 2994.50ns INFO [00002996] Port=1 RD @0E + 2995.50ns INFO [00002997] * RD COMPARE * port=0 adr=07 act=DCB94002 exp=DCB94002 + 2995.50ns INFO [00002997] Port=0 RD @13 + 2996.50ns INFO [00002998] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9 + 2996.50ns INFO [00002998] Port=0 RD @06 + 2996.50ns INFO [00002998] Port=1 RD @18 + 2997.50ns INFO [00002999] * RD COMPARE * port=0 adr=13 act=87E26397 exp=87E26397 + 2997.50ns INFO [00002999] Port=0 RD @0C + 2998.00ns INFO [00003000] [00003000] ...tick... + 2998.50ns INFO [00003000] * RD COMPARE * port=0 adr=06 act=13679672 exp=13679672 + 2998.50ns INFO [00003000] * RD COMPARE * port=1 adr=18 act=1E41CC3C exp=1E41CC3C + 2998.50ns INFO [00003000] Port=0 WR @09=C05F5636 + 2999.50ns INFO [00003001] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C + 2999.50ns INFO [00003001] Port=0 WR @12=F337ABF8 + 3000.50ns INFO [00003002] Port=0 RD @16 + 3000.50ns INFO [00003002] Port=1 RD @19 + 3001.50ns INFO [00003003] Port=0 WR @0F=D60DAB8B + 3002.50ns INFO [00003004] * RD COMPARE * port=0 adr=16 act=3AD43FC9 exp=3AD43FC9 + 3002.50ns INFO [00003004] * RD COMPARE * port=1 adr=19 act=E72A09B0 exp=E72A09B0 + 3002.50ns INFO [00003004] Port=0 WR @1C=3B3E12EF + 3002.50ns INFO [00003004] Port=0 RD @0E + 3003.50ns INFO [00003005] Port=0 RD @18 + 3003.50ns INFO [00003005] Port=1 RD @01 + 3004.50ns INFO [00003006] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9 + 3005.50ns INFO [00003007] * RD COMPARE * port=0 adr=18 act=1E41CC3C exp=1E41CC3C + 3005.50ns INFO [00003007] * RD COMPARE * port=1 adr=01 act=4CD8617F exp=4CD8617F + 3005.50ns INFO [00003007] Port=0 RD @00 + 3005.50ns INFO [00003007] Port=1 RD @00 + 3006.50ns INFO [00003008] Port=0 RD @0E + 3007.50ns INFO [00003009] * RD COMPARE * port=0 adr=00 act=E4A239D1 exp=E4A239D1 + 3007.50ns INFO [00003009] * RD COMPARE * port=1 adr=00 act=E4A239D1 exp=E4A239D1 + 3008.50ns INFO [00003010] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9 + 3009.50ns INFO [00003011] Port=0 WR @12=B7986656 + 3010.50ns INFO [00003012] Port=0 RD @1D + 3011.50ns INFO [00003013] Port=0 RD @0D + 3011.50ns INFO [00003013] Port=1 RD @0E + 3012.50ns INFO [00003014] * RD COMPARE * port=0 adr=1D act=5D1972A4 exp=5D1972A4 + 3013.50ns INFO [00003015] * RD COMPARE * port=0 adr=0D act=FB49ADE6 exp=FB49ADE6 + 3013.50ns INFO [00003015] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9 + 3013.50ns INFO [00003015] Port=0 RD @19 + 3013.50ns INFO [00003015] Port=1 RD @11 + 3014.50ns INFO [00003016] Port=0 WR @04=4CA7E92D + 3014.50ns INFO [00003016] Port=0 RD @1F + 3014.50ns INFO [00003016] Port=1 RD @1E + 3015.50ns INFO [00003017] * RD COMPARE * port=0 adr=19 act=E72A09B0 exp=E72A09B0 + 3015.50ns INFO [00003017] * RD COMPARE * port=1 adr=11 act=B8820628 exp=B8820628 + 3015.50ns INFO [00003017] Port=0 WR @12=EBE4CCC1 + 3015.50ns INFO [00003017] Port=0 RD @02 + 3016.50ns INFO [00003018] * RD COMPARE * port=0 adr=1F act=F80269CC exp=F80269CC + 3016.50ns INFO [00003018] * RD COMPARE * port=1 adr=1E act=D18914A6 exp=D18914A6 + 3016.50ns INFO [00003018] Port=0 WR @09=54435FBC + 3016.50ns INFO [00003018] Port=0 RD @06 + 3016.50ns INFO [00003018] Port=1 RD @0B + 3017.50ns INFO [00003019] * RD COMPARE * port=0 adr=02 act=388FE414 exp=388FE414 + 3017.50ns INFO [00003019] Port=1 RD @1F + 3018.50ns INFO [00003020] * RD COMPARE * port=0 adr=06 act=13679672 exp=13679672 + 3018.50ns INFO [00003020] * RD COMPARE * port=1 adr=0B act=F12661BB exp=F12661BB + 3019.50ns INFO [00003021] * RD COMPARE * port=1 adr=1F act=F80269CC exp=F80269CC + 3020.50ns INFO [00003022] Port=0 RD @0A + 3020.50ns INFO [00003022] Port=1 RD @0C + 3022.50ns INFO [00003024] * RD COMPARE * port=0 adr=0A act=9E45130C exp=9E45130C + 3022.50ns INFO [00003024] * RD COMPARE * port=1 adr=0C act=634D600C exp=634D600C + 3023.50ns INFO [00003025] Port=1 RD @04 + 3024.50ns INFO [00003026] Port=0 RD @09 + 3025.50ns INFO [00003027] * RD COMPARE * port=1 adr=04 act=4CA7E92D exp=4CA7E92D + 3025.50ns INFO [00003027] Port=0 WR @07=88240F09 + 3025.50ns INFO [00003027] Port=0 RD @1B + 3026.50ns INFO [00003028] * RD COMPARE * port=0 adr=09 act=54435FBC exp=54435FBC + 3026.50ns INFO [00003028] Port=0 WR @1F=5698127D + 3026.50ns INFO [00003028] Port=1 RD @10 + 3027.50ns INFO [00003029] * RD COMPARE * port=0 adr=1B act=EC4E90DD exp=EC4E90DD + 3028.50ns INFO [00003030] * RD COMPARE * port=1 adr=10 act=9AC0F691 exp=9AC0F691 + 3028.50ns INFO [00003030] Port=1 RD @0D + 3029.50ns INFO [00003031] Port=0 WR @03=114C8ADE + 3029.50ns INFO [00003031] Port=1 RD @0C + 3030.50ns INFO [00003032] * RD COMPARE * port=1 adr=0D act=FB49ADE6 exp=FB49ADE6 + 3030.50ns INFO [00003032] Port=0 RD @07 + 3031.50ns INFO [00003033] * RD COMPARE * port=1 adr=0C act=634D600C exp=634D600C + 3032.50ns INFO [00003034] * RD COMPARE * port=0 adr=07 act=88240F09 exp=88240F09 + 3032.50ns INFO [00003034] Port=0 WR @15=0D7105F9 + 3032.50ns INFO [00003034] Port=1 RD @16 + 3033.50ns INFO [00003035] Port=0 WR @1D=6A62C191 + 3033.50ns INFO [00003035] Port=1 RD @0C + 3034.50ns INFO [00003036] * RD COMPARE * port=1 adr=16 act=3AD43FC9 exp=3AD43FC9 + 3035.50ns INFO [00003037] * RD COMPARE * port=1 adr=0C act=634D600C exp=634D600C + 3035.50ns INFO [00003037] Port=0 WR @13=A8F8EA57 + 3035.50ns INFO [00003037] Port=1 RD @1D + 3037.50ns INFO [00003039] * RD COMPARE * port=1 adr=1D act=6A62C191 exp=6A62C191 + 3037.50ns INFO [00003039] Port=0 RD @1A + 3037.50ns INFO [00003039] Port=1 RD @16 + 3038.50ns INFO [00003040] Port=0 WR @11=8C5683E8 + 3039.50ns INFO [00003041] * RD COMPARE * port=0 adr=1A act=A5A60CEA exp=A5A60CEA + 3039.50ns INFO [00003041] * RD COMPARE * port=1 adr=16 act=3AD43FC9 exp=3AD43FC9 + 3039.50ns INFO [00003041] Port=0 RD @12 + 3040.50ns INFO [00003042] Port=1 RD @0F + 3041.50ns INFO [00003043] * RD COMPARE * port=0 adr=12 act=EBE4CCC1 exp=EBE4CCC1 + 3041.50ns INFO [00003043] Port=0 WR @18=A38E859A + 3042.50ns INFO [00003044] * RD COMPARE * port=1 adr=0F act=D60DAB8B exp=D60DAB8B + 3042.50ns INFO [00003044] Port=0 WR @18=0DAB77FD + 3042.50ns INFO [00003044] Port=0 RD @00 + 3043.50ns INFO [00003045] Port=1 RD @03 + 3044.50ns INFO [00003046] * RD COMPARE * port=0 adr=00 act=E4A239D1 exp=E4A239D1 + 3044.50ns INFO [00003046] Port=0 WR @13=C1B76A13 + 3045.50ns INFO [00003047] * RD COMPARE * port=1 adr=03 act=114C8ADE exp=114C8ADE + 3045.50ns INFO [00003047] Port=0 WR @13=1C37CC7B + 3045.50ns INFO [00003047] Port=1 RD @1C + 3046.50ns INFO [00003048] Port=0 WR @00=E4FC0AE8 + 3047.50ns INFO [00003049] * RD COMPARE * port=1 adr=1C act=3B3E12EF exp=3B3E12EF + 3047.50ns INFO [00003049] Port=0 WR @02=8845F26A + 3048.50ns INFO [00003050] Port=0 WR @01=457B0C78 + 3049.50ns INFO [00003051] Port=0 WR @1B=5B305FFD + 3049.50ns INFO [00003051] Port=1 RD @16 + 3050.50ns INFO [00003052] Port=0 RD @00 + 3051.50ns INFO [00003053] * RD COMPARE * port=1 adr=16 act=3AD43FC9 exp=3AD43FC9 + 3051.50ns INFO [00003053] Port=0 WR @0A=AC83E0F6 + 3051.50ns INFO [00003053] Port=0 RD @11 + 3051.50ns INFO [00003053] Port=1 RD @15 + 3052.50ns INFO [00003054] * RD COMPARE * port=0 adr=00 act=E4FC0AE8 exp=E4FC0AE8 + 3052.50ns INFO [00003054] Port=0 WR @1D=AB50D261 + 3053.50ns INFO [00003055] * RD COMPARE * port=0 adr=11 act=8C5683E8 exp=8C5683E8 + 3053.50ns INFO [00003055] * RD COMPARE * port=1 adr=15 act=0D7105F9 exp=0D7105F9 + 3053.50ns INFO [00003055] Port=0 RD @14 + 3054.50ns INFO [00003056] Port=0 WR @1D=F601F382 + 3055.50ns INFO [00003057] * RD COMPARE * port=0 adr=14 act=0703B806 exp=0703B806 + 3055.50ns INFO [00003057] Port=0 WR @10=D6100B9E + 3057.50ns INFO [00003059] Port=1 RD @0C + 3058.50ns INFO [00003060] Port=0 RD @00 + 3059.50ns INFO [00003061] * RD COMPARE * port=1 adr=0C act=634D600C exp=634D600C + 3059.50ns INFO [00003061] Port=0 WR @1D=EB1C396F + 3059.50ns INFO [00003061] Port=1 RD @06 + 3060.50ns INFO [00003062] * RD COMPARE * port=0 adr=00 act=E4FC0AE8 exp=E4FC0AE8 + 3060.50ns INFO [00003062] Port=1 RD @14 + 3061.50ns INFO [00003063] * RD COMPARE * port=1 adr=06 act=13679672 exp=13679672 + 3061.50ns INFO [00003063] Port=0 WR @0D=A5A7D9FD + 3061.50ns INFO [00003063] Port=0 RD @16 + 3061.50ns INFO [00003063] Port=1 RD @1C + 3062.50ns INFO [00003064] * RD COMPARE * port=1 adr=14 act=0703B806 exp=0703B806 + 3062.50ns INFO [00003064] Port=0 WR @15=EA6D7A49 + 3063.50ns INFO [00003065] * RD COMPARE * port=0 adr=16 act=3AD43FC9 exp=3AD43FC9 + 3063.50ns INFO [00003065] * RD COMPARE * port=1 adr=1C act=3B3E12EF exp=3B3E12EF + 3063.50ns INFO [00003065] Port=0 RD @13 + 3063.50ns INFO [00003065] Port=1 RD @1D + 3064.50ns INFO [00003066] Port=0 RD @19 + 3065.50ns INFO [00003067] * RD COMPARE * port=0 adr=13 act=1C37CC7B exp=1C37CC7B + 3065.50ns INFO [00003067] * RD COMPARE * port=1 adr=1D act=EB1C396F exp=EB1C396F + 3065.50ns INFO [00003067] Port=0 RD @04 + 3065.50ns INFO [00003067] Port=1 RD @0E + 3066.50ns INFO [00003068] * RD COMPARE * port=0 adr=19 act=E72A09B0 exp=E72A09B0 + 3067.50ns INFO [00003069] * RD COMPARE * port=0 adr=04 act=4CA7E92D exp=4CA7E92D + 3067.50ns INFO [00003069] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9 + 3067.50ns INFO [00003069] Port=0 WR @19=C9290764 + 3068.50ns INFO [00003070] Port=0 WR @19=90FA2264 + 3068.50ns INFO [00003070] Port=1 RD @15 + 3069.50ns INFO [00003071] Port=0 WR @1E=CB23425C + 3070.50ns INFO [00003072] * RD COMPARE * port=1 adr=15 act=EA6D7A49 exp=EA6D7A49 + 3070.50ns INFO [00003072] Port=0 RD @1E + 3071.50ns INFO [00003073] Port=0 RD @04 + 3071.50ns INFO [00003073] Port=1 RD @11 + 3072.50ns INFO [00003074] * RD COMPARE * port=0 adr=1E act=CB23425C exp=CB23425C + 3072.50ns INFO [00003074] Port=0 WR @18=F6F65725 + 3072.50ns INFO [00003074] Port=0 RD @0B + 3073.50ns INFO [00003075] * RD COMPARE * port=0 adr=04 act=4CA7E92D exp=4CA7E92D + 3073.50ns INFO [00003075] * RD COMPARE * port=1 adr=11 act=8C5683E8 exp=8C5683E8 + 3073.50ns INFO [00003075] Port=0 RD @16 + 3074.50ns INFO [00003076] * RD COMPARE * port=0 adr=0B act=F12661BB exp=F12661BB + 3074.50ns INFO [00003076] Port=0 RD @05 + 3074.50ns INFO [00003076] Port=1 RD @10 + 3075.50ns INFO [00003077] * RD COMPARE * port=0 adr=16 act=3AD43FC9 exp=3AD43FC9 + 3075.50ns INFO [00003077] Port=0 WR @0A=AA1D3ADF + 3075.50ns INFO [00003077] Port=1 RD @1E + 3076.50ns INFO [00003078] * RD COMPARE * port=0 adr=05 act=796385CE exp=796385CE + 3076.50ns INFO [00003078] * RD COMPARE * port=1 adr=10 act=D6100B9E exp=D6100B9E + 3077.50ns INFO [00003079] * RD COMPARE * port=1 adr=1E act=CB23425C exp=CB23425C + 3079.50ns INFO [00003081] Port=0 RD @12 + 3079.50ns INFO [00003081] Port=1 RD @15 + 3081.50ns INFO [00003083] * RD COMPARE * port=0 adr=12 act=EBE4CCC1 exp=EBE4CCC1 + 3081.50ns INFO [00003083] * RD COMPARE * port=1 adr=15 act=EA6D7A49 exp=EA6D7A49 + 3081.50ns INFO [00003083] Port=0 WR @1F=12B5F74B + 3081.50ns INFO [00003083] Port=0 RD @0E + 3081.50ns INFO [00003083] Port=1 RD @00 + 3082.50ns INFO [00003084] Port=0 WR @1B=950A5002 + 3082.50ns INFO [00003084] Port=0 RD @03 + 3082.50ns INFO [00003084] Port=1 RD @11 + 3083.50ns INFO [00003085] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9 + 3083.50ns INFO [00003085] * RD COMPARE * port=1 adr=00 act=E4FC0AE8 exp=E4FC0AE8 + 3083.50ns INFO [00003085] Port=0 WR @1B=EE6BDA4A + 3084.50ns INFO [00003086] * RD COMPARE * port=0 adr=03 act=114C8ADE exp=114C8ADE + 3084.50ns INFO [00003086] * RD COMPARE * port=1 adr=11 act=8C5683E8 exp=8C5683E8 + 3084.50ns INFO [00003086] Port=0 WR @0B=3E2CE166 + 3085.50ns INFO [00003087] Port=0 WR @12=3BF23ED5 + 3085.50ns INFO [00003087] Port=1 RD @0E + 3086.50ns INFO [00003088] Port=0 WR @1B=4323D1D1 + 3086.50ns INFO [00003088] Port=1 RD @07 + 3087.50ns INFO [00003089] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9 + 3087.50ns INFO [00003089] Port=1 RD @08 + 3088.50ns INFO [00003090] * RD COMPARE * port=1 adr=07 act=88240F09 exp=88240F09 + 3089.50ns INFO [00003091] * RD COMPARE * port=1 adr=08 act=C243FC19 exp=C243FC19 + 3090.50ns INFO [00003092] Port=0 WR @1A=C72FF15B + 3090.50ns INFO [00003092] Port=1 RD @04 + 3092.50ns INFO [00003094] * RD COMPARE * port=1 adr=04 act=4CA7E92D exp=4CA7E92D + 3092.50ns INFO [00003094] Port=0 RD @0E + 3093.50ns INFO [00003095] Port=0 WR @13=689C4DBB + 3094.50ns INFO [00003096] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9 + 3094.50ns INFO [00003096] Port=0 WR @1C=447A19FD + 3095.50ns INFO [00003097] Port=0 WR @18=FB49427C + 3095.50ns INFO [00003097] Port=0 RD @12 + 3095.50ns INFO [00003097] Port=1 RD @01 + 3096.50ns INFO [00003098] Port=0 WR @05=111A0B2F + 3096.50ns INFO [00003098] Port=1 RD @1B + 3097.50ns INFO [00003099] * RD COMPARE * port=0 adr=12 act=3BF23ED5 exp=3BF23ED5 + 3097.50ns INFO [00003099] * RD COMPARE * port=1 adr=01 act=457B0C78 exp=457B0C78 + 3098.00ns INFO [00003100] [00003100] ...tick... + 3098.50ns INFO [00003100] * RD COMPARE * port=1 adr=1B act=4323D1D1 exp=4323D1D1 + 3098.50ns INFO [00003100] Port=0 WR @11=868C4912 + 3098.50ns INFO [00003100] Port=0 RD @0C + 3098.50ns INFO [00003100] Port=1 RD @1F + 3099.50ns INFO [00003101] Port=0 WR @14=60E8CE5F + 3099.50ns INFO [00003101] Port=0 RD @0D + 3100.50ns INFO [00003102] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C + 3100.50ns INFO [00003102] * RD COMPARE * port=1 adr=1F act=12B5F74B exp=12B5F74B + 3100.50ns INFO [00003102] Port=0 WR @1B=F51A35BA + 3100.50ns INFO [00003102] Port=0 RD @00 + 3101.50ns INFO [00003103] * RD COMPARE * port=0 adr=0D act=A5A7D9FD exp=A5A7D9FD + 3101.50ns INFO [00003103] Port=0 WR @0C=FC15F197 + 3101.50ns INFO [00003103] Port=1 RD @13 + 3102.50ns INFO [00003104] * RD COMPARE * port=0 adr=00 act=E4FC0AE8 exp=E4FC0AE8 + 3102.50ns INFO [00003104] Port=0 WR @07=486E5E23 + 3103.50ns INFO [00003105] * RD COMPARE * port=1 adr=13 act=689C4DBB exp=689C4DBB + 3104.50ns INFO [00003106] Port=0 WR @0A=2B4D54DE + 3104.50ns INFO [00003106] Port=0 RD @1E + 3105.50ns INFO [00003107] Port=0 WR @05=22B0E0C7 + 3106.50ns INFO [00003108] * RD COMPARE * port=0 adr=1E act=CB23425C exp=CB23425C + 3106.50ns INFO [00003108] Port=0 RD @09 + 3106.50ns INFO [00003108] Port=1 RD @1D + 3107.50ns INFO [00003109] Port=0 WR @00=AF9749A4 + 3107.50ns INFO [00003109] Port=1 RD @05 + 3108.50ns INFO [00003110] * RD COMPARE * port=0 adr=09 act=54435FBC exp=54435FBC + 3108.50ns INFO [00003110] * RD COMPARE * port=1 adr=1D act=EB1C396F exp=EB1C396F + 3108.50ns INFO [00003110] Port=0 RD @0B + 3109.50ns INFO [00003111] * RD COMPARE * port=1 adr=05 act=22B0E0C7 exp=22B0E0C7 + 3109.50ns INFO [00003111] Port=1 RD @13 + 3110.50ns INFO [00003112] * RD COMPARE * port=0 adr=0B act=3E2CE166 exp=3E2CE166 + 3110.50ns INFO [00003112] Port=0 WR @1E=1A51F1D6 + 3111.50ns INFO [00003113] * RD COMPARE * port=1 adr=13 act=689C4DBB exp=689C4DBB + 3111.50ns INFO [00003113] Port=0 WR @10=E43B71BB + 3112.50ns INFO [00003114] Port=0 WR @0C=C4364656 + 3112.50ns INFO [00003114] Port=0 RD @00 + 3114.50ns INFO [00003116] * RD COMPARE * port=0 adr=00 act=AF9749A4 exp=AF9749A4 + 3114.50ns INFO [00003116] Port=0 RD @03 + 3114.50ns INFO [00003116] Port=1 RD @09 + 3116.50ns INFO [00003118] * RD COMPARE * port=0 adr=03 act=114C8ADE exp=114C8ADE + 3116.50ns INFO [00003118] * RD COMPARE * port=1 adr=09 act=54435FBC exp=54435FBC + 3117.50ns INFO [00003119] Port=1 RD @1D + 3118.50ns INFO [00003120] Port=0 WR @14=6B036118 + 3118.50ns INFO [00003120] Port=0 RD @1C + 3119.50ns INFO [00003121] * RD COMPARE * port=1 adr=1D act=EB1C396F exp=EB1C396F + 3119.50ns INFO [00003121] Port=0 WR @0F=8B307281 + 3119.50ns INFO [00003121] Port=0 RD @1B + 3120.50ns INFO [00003122] * RD COMPARE * port=0 adr=1C act=447A19FD exp=447A19FD + 3120.50ns INFO [00003122] Port=0 WR @07=E15BF72F + 3120.50ns INFO [00003122] Port=0 RD @1F + 3121.50ns INFO [00003123] * RD COMPARE * port=0 adr=1B act=F51A35BA exp=F51A35BA + 3121.50ns INFO [00003123] Port=0 RD @15 + 3122.50ns INFO [00003124] * RD COMPARE * port=0 adr=1F act=12B5F74B exp=12B5F74B + 3123.50ns INFO [00003125] * RD COMPARE * port=0 adr=15 act=EA6D7A49 exp=EA6D7A49 + 3123.50ns INFO [00003125] Port=0 RD @0B + 3124.50ns INFO [00003126] Port=0 RD @0A + 3125.50ns INFO [00003127] * RD COMPARE * port=0 adr=0B act=3E2CE166 exp=3E2CE166 + 3125.50ns INFO [00003127] Port=0 WR @00=D09983D0 + 3125.50ns INFO [00003127] Port=0 RD @14 + 3125.50ns INFO [00003127] Port=1 RD @1E + 3126.50ns INFO [00003128] * RD COMPARE * port=0 adr=0A act=2B4D54DE exp=2B4D54DE + 3126.50ns INFO [00003128] Port=0 RD @08 + 3127.50ns INFO [00003129] * RD COMPARE * port=0 adr=14 act=6B036118 exp=6B036118 + 3127.50ns INFO [00003129] * RD COMPARE * port=1 adr=1E act=1A51F1D6 exp=1A51F1D6 + 3128.50ns INFO [00003130] * RD COMPARE * port=0 adr=08 act=C243FC19 exp=C243FC19 + 3128.50ns INFO [00003130] Port=0 WR @06=4DEEE62B + 3128.50ns INFO [00003130] Port=0 RD @13 + 3128.50ns INFO [00003130] Port=1 RD @01 + 3129.50ns INFO [00003131] Port=0 WR @19=121D46CB + 3130.50ns INFO [00003132] * RD COMPARE * port=0 adr=13 act=689C4DBB exp=689C4DBB + 3130.50ns INFO [00003132] * RD COMPARE * port=1 adr=01 act=457B0C78 exp=457B0C78 + 3130.50ns INFO [00003132] Port=1 RD @0C + 3131.50ns INFO [00003133] Port=0 WR @18=75F70506 + 3131.50ns INFO [00003133] Port=1 RD @02 + 3132.50ns INFO [00003134] * RD COMPARE * port=1 adr=0C act=C4364656 exp=C4364656 + 3132.50ns INFO [00003134] Port=0 RD @17 + 3132.50ns INFO [00003134] Port=1 RD @1F + 3133.50ns INFO [00003135] * RD COMPARE * port=1 adr=02 act=8845F26A exp=8845F26A + 3133.50ns INFO [00003135] Port=0 RD @0C + 3134.50ns INFO [00003136] * RD COMPARE * port=0 adr=17 act=B5E84149 exp=B5E84149 + 3134.50ns INFO [00003136] * RD COMPARE * port=1 adr=1F act=12B5F74B exp=12B5F74B + 3134.50ns INFO [00003136] Port=0 WR @1F=BFD8089F + 3135.50ns INFO [00003137] * RD COMPARE * port=0 adr=0C act=C4364656 exp=C4364656 + 3135.50ns INFO [00003137] Port=0 WR @19=5B4FB3E8 + 3136.50ns INFO [00003138] Port=0 WR @04=7290D105 + 3136.50ns INFO [00003138] Port=0 RD @0A + 3137.50ns INFO [00003139] Port=1 RD @03 + 3138.50ns INFO [00003140] * RD COMPARE * port=0 adr=0A act=2B4D54DE exp=2B4D54DE + 3138.50ns INFO [00003140] Port=0 RD @1E + 3139.50ns INFO [00003141] * RD COMPARE * port=1 adr=03 act=114C8ADE exp=114C8ADE + 3139.50ns INFO [00003141] Port=0 RD @16 + 3140.50ns INFO [00003142] * RD COMPARE * port=0 adr=1E act=1A51F1D6 exp=1A51F1D6 + 3140.50ns INFO [00003142] Port=0 WR @00=9603078E + 3140.50ns INFO [00003142] Port=1 RD @01 + 3141.50ns INFO [00003143] * RD COMPARE * port=0 adr=16 act=3AD43FC9 exp=3AD43FC9 + 3141.50ns INFO [00003143] Port=0 WR @1A=3426D75A + 3142.50ns INFO [00003144] * RD COMPARE * port=1 adr=01 act=457B0C78 exp=457B0C78 + 3142.50ns INFO [00003144] Port=1 RD @16 + 3143.50ns INFO [00003145] Port=0 RD @12 + 3144.50ns INFO [00003146] * RD COMPARE * port=1 adr=16 act=3AD43FC9 exp=3AD43FC9 + 3144.50ns INFO [00003146] Port=0 WR @1E=30774CB2 + 3145.50ns INFO [00003147] * RD COMPARE * port=0 adr=12 act=3BF23ED5 exp=3BF23ED5 + 3145.50ns INFO [00003147] Port=0 RD @1A + 3146.50ns INFO [00003148] Port=0 WR @1C=6024A8F9 + 3147.50ns INFO [00003149] * RD COMPARE * port=0 adr=1A act=3426D75A exp=3426D75A + 3147.50ns INFO [00003149] Port=0 RD @10 + 3149.50ns INFO [00003151] * RD COMPARE * port=0 adr=10 act=E43B71BB exp=E43B71BB + 3149.50ns INFO [00003151] Port=0 RD @02 + 3149.50ns INFO [00003151] Port=1 RD @18 + 3150.50ns INFO [00003152] Port=0 WR @13=2E7A7D3B + 3151.50ns INFO [00003153] * RD COMPARE * port=0 adr=02 act=8845F26A exp=8845F26A + 3151.50ns INFO [00003153] * RD COMPARE * port=1 adr=18 act=75F70506 exp=75F70506 + 3151.50ns INFO [00003153] Port=1 RD @13 + 3152.50ns INFO [00003154] Port=0 WR @09=7AA3035B + 3153.50ns INFO [00003155] * RD COMPARE * port=1 adr=13 act=2E7A7D3B exp=2E7A7D3B + 3154.50ns INFO [00003156] Port=0 WR @1D=CC2D5E72 + 3154.50ns INFO [00003156] Port=0 RD @07 + 3155.50ns INFO [00003157] Port=0 RD @05 + 3155.50ns INFO [00003157] Port=1 RD @02 + 3156.50ns INFO [00003158] * RD COMPARE * port=0 adr=07 act=E15BF72F exp=E15BF72F + 3157.50ns INFO [00003159] * RD COMPARE * port=0 adr=05 act=22B0E0C7 exp=22B0E0C7 + 3157.50ns INFO [00003159] * RD COMPARE * port=1 adr=02 act=8845F26A exp=8845F26A + 3157.50ns INFO [00003159] Port=0 RD @1D + 3158.50ns INFO [00003160] Port=0 WR @02=797901E8 + 3158.50ns INFO [00003160] Port=1 RD @1D + 3159.50ns INFO [00003161] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72 + 3159.50ns INFO [00003161] Port=0 WR @07=560AE7F9 + 3159.50ns INFO [00003161] Port=1 RD @00 + 3160.50ns INFO [00003162] * RD COMPARE * port=1 adr=1D act=CC2D5E72 exp=CC2D5E72 + 3160.50ns INFO [00003162] Port=0 WR @11=FD254DCD + 3160.50ns INFO [00003162] Port=0 RD @12 + 3161.50ns INFO [00003163] * RD COMPARE * port=1 adr=00 act=9603078E exp=9603078E + 3161.50ns INFO [00003163] Port=0 WR @0E=4FCCFFDF + 3162.50ns INFO [00003164] * RD COMPARE * port=0 adr=12 act=3BF23ED5 exp=3BF23ED5 + 3162.50ns INFO [00003164] Port=0 WR @19=F9E13927 + 3162.50ns INFO [00003164] Port=0 RD @0C + 3162.50ns INFO [00003164] Port=1 RD @00 + 3163.50ns INFO [00003165] Port=0 WR @17=989F52C8 + 3164.50ns INFO [00003166] * RD COMPARE * port=0 adr=0C act=C4364656 exp=C4364656 + 3164.50ns INFO [00003166] * RD COMPARE * port=1 adr=00 act=9603078E exp=9603078E + 3164.50ns INFO [00003166] Port=0 WR @04=41351CD3 + 3164.50ns INFO [00003166] Port=0 RD @05 + 3164.50ns INFO [00003166] Port=1 RD @1E + 3165.50ns INFO [00003167] Port=0 RD @04 + 3166.50ns INFO [00003168] * RD COMPARE * port=0 adr=05 act=22B0E0C7 exp=22B0E0C7 + 3166.50ns INFO [00003168] * RD COMPARE * port=1 adr=1E act=30774CB2 exp=30774CB2 + 3166.50ns INFO [00003168] Port=0 WR @15=F1ABBE09 + 3167.50ns INFO [00003169] * RD COMPARE * port=0 adr=04 act=41351CD3 exp=41351CD3 + 3167.50ns INFO [00003169] Port=0 WR @18=4919E7F7 + 3167.50ns INFO [00003169] Port=1 RD @15 + 3168.50ns INFO [00003170] Port=0 WR @13=B62FE062 + 3169.50ns INFO [00003171] * RD COMPARE * port=1 adr=15 act=F1ABBE09 exp=F1ABBE09 + 3170.50ns INFO [00003172] Port=0 RD @05 + 3170.50ns INFO [00003172] Port=1 RD @1B + 3171.50ns INFO [00003173] Port=0 WR @16=2E994EA0 + 3172.50ns INFO [00003174] * RD COMPARE * port=0 adr=05 act=22B0E0C7 exp=22B0E0C7 + 3172.50ns INFO [00003174] * RD COMPARE * port=1 adr=1B act=F51A35BA exp=F51A35BA + 3172.50ns INFO [00003174] Port=0 WR @12=9485EAB0 + 3173.50ns INFO [00003175] Port=0 RD @12 + 3174.50ns INFO [00003176] Port=0 WR @07=3F9FE50D + 3175.50ns INFO [00003177] * RD COMPARE * port=0 adr=12 act=9485EAB0 exp=9485EAB0 + 3177.50ns INFO [00003179] Port=0 WR @18=619B0010 + 3177.50ns INFO [00003179] Port=1 RD @02 + 3178.50ns INFO [00003180] Port=0 RD @06 + 3178.50ns INFO [00003180] Port=1 RD @17 + 3179.50ns INFO [00003181] * RD COMPARE * port=1 adr=02 act=797901E8 exp=797901E8 + 3180.50ns INFO [00003182] * RD COMPARE * port=0 adr=06 act=4DEEE62B exp=4DEEE62B + 3180.50ns INFO [00003182] * RD COMPARE * port=1 adr=17 act=989F52C8 exp=989F52C8 + 3180.50ns INFO [00003182] Port=1 RD @00 + 3181.50ns INFO [00003183] Port=0 WR @1B=8F8FA02F + 3181.50ns INFO [00003183] Port=1 RD @06 + 3182.50ns INFO [00003184] * RD COMPARE * port=1 adr=00 act=9603078E exp=9603078E + 3182.50ns INFO [00003184] Port=0 WR @08=7071A4BC + 3182.50ns INFO [00003184] Port=0 RD @03 + 3183.50ns INFO [00003185] * RD COMPARE * port=1 adr=06 act=4DEEE62B exp=4DEEE62B + 3183.50ns INFO [00003185] Port=0 RD @09 + 3183.50ns INFO [00003185] Port=1 RD @12 + 3184.50ns INFO [00003186] * RD COMPARE * port=0 adr=03 act=114C8ADE exp=114C8ADE + 3184.50ns INFO [00003186] Port=0 RD @0B + 3184.50ns INFO [00003186] Port=1 RD @0D + 3185.50ns INFO [00003187] * RD COMPARE * port=0 adr=09 act=7AA3035B exp=7AA3035B + 3185.50ns INFO [00003187] * RD COMPARE * port=1 adr=12 act=9485EAB0 exp=9485EAB0 + 3185.50ns INFO [00003187] Port=0 WR @18=FB14238A + 3185.50ns INFO [00003187] Port=1 RD @1B + 3186.50ns INFO [00003188] * RD COMPARE * port=0 adr=0B act=3E2CE166 exp=3E2CE166 + 3186.50ns INFO [00003188] * RD COMPARE * port=1 adr=0D act=A5A7D9FD exp=A5A7D9FD + 3187.50ns INFO [00003189] * RD COMPARE * port=1 adr=1B act=8F8FA02F exp=8F8FA02F + 3187.50ns INFO [00003189] Port=0 WR @06=E368C0DF + 3187.50ns INFO [00003189] Port=0 RD @15 + 3188.50ns INFO [00003190] Port=0 RD @10 + 3189.50ns INFO [00003191] * RD COMPARE * port=0 adr=15 act=F1ABBE09 exp=F1ABBE09 + 3190.50ns INFO [00003192] * RD COMPARE * port=0 adr=10 act=E43B71BB exp=E43B71BB + 3190.50ns INFO [00003192] Port=0 WR @14=7BB953F8 + 3190.50ns INFO [00003192] Port=1 RD @19 + 3192.50ns INFO [00003194] * RD COMPARE * port=1 adr=19 act=F9E13927 exp=F9E13927 + 3194.50ns INFO [00003196] Port=0 RD @18 + 3196.50ns INFO [00003198] * RD COMPARE * port=0 adr=18 act=FB14238A exp=FB14238A + 3197.50ns INFO [00003199] Port=0 WR @01=73461545 + 3197.50ns INFO [00003199] Port=0 RD @0C + 3198.00ns INFO [00003200] [00003200] ...tick... + 3199.50ns INFO [00003201] * RD COMPARE * port=0 adr=0C act=C4364656 exp=C4364656 + 3201.50ns INFO [00003203] Port=0 RD @1C + 3201.50ns INFO [00003203] Port=1 RD @15 + 3202.50ns INFO [00003204] Port=0 WR @1A=B58B70A8 + 3202.50ns INFO [00003204] Port=1 RD @18 + 3203.50ns INFO [00003205] * RD COMPARE * port=0 adr=1C act=6024A8F9 exp=6024A8F9 + 3203.50ns INFO [00003205] * RD COMPARE * port=1 adr=15 act=F1ABBE09 exp=F1ABBE09 + 3204.50ns INFO [00003206] * RD COMPARE * port=1 adr=18 act=FB14238A exp=FB14238A + 3204.50ns INFO [00003206] Port=0 RD @18 + 3206.50ns INFO [00003208] * RD COMPARE * port=0 adr=18 act=FB14238A exp=FB14238A + 3206.50ns INFO [00003208] Port=0 WR @12=40B16722 + 3206.50ns INFO [00003208] Port=1 RD @1E + 3207.50ns INFO [00003209] Port=0 RD @0D + 3208.50ns INFO [00003210] * RD COMPARE * port=1 adr=1E act=30774CB2 exp=30774CB2 + 3208.50ns INFO [00003210] Port=0 WR @0B=C9D1D17D + 3208.50ns INFO [00003210] Port=0 RD @01 + 3208.50ns INFO [00003210] Port=1 RD @1A + 3209.50ns INFO [00003211] * RD COMPARE * port=0 adr=0D act=A5A7D9FD exp=A5A7D9FD + 3209.50ns INFO [00003211] Port=0 WR @1F=058A7BE5 + 3209.50ns INFO [00003211] Port=1 RD @12 + 3210.50ns INFO [00003212] * RD COMPARE * port=0 adr=01 act=73461545 exp=73461545 + 3210.50ns INFO [00003212] * RD COMPARE * port=1 adr=1A act=B58B70A8 exp=B58B70A8 + 3210.50ns INFO [00003212] Port=1 RD @17 + 3211.50ns INFO [00003213] * RD COMPARE * port=1 adr=12 act=40B16722 exp=40B16722 + 3211.50ns INFO [00003213] Port=1 RD @11 + 3212.50ns INFO [00003214] * RD COMPARE * port=1 adr=17 act=989F52C8 exp=989F52C8 + 3212.50ns INFO [00003214] Port=0 RD @08 + 3213.50ns INFO [00003215] * RD COMPARE * port=1 adr=11 act=FD254DCD exp=FD254DCD + 3213.50ns INFO [00003215] Port=0 WR @18=9BD209C8 + 3213.50ns INFO [00003215] Port=1 RD @1E + 3214.50ns INFO [00003216] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC + 3214.50ns INFO [00003216] Port=0 WR @1E=3C292DEF + 3214.50ns INFO [00003216] Port=1 RD @11 + 3215.50ns INFO [00003217] * RD COMPARE * port=1 adr=1E act=30774CB2 exp=30774CB2 + 3215.50ns INFO [00003217] Port=0 RD @09 + 3216.50ns INFO [00003218] * RD COMPARE * port=1 adr=11 act=FD254DCD exp=FD254DCD + 3217.50ns INFO [00003219] * RD COMPARE * port=0 adr=09 act=7AA3035B exp=7AA3035B + 3217.50ns INFO [00003219] Port=0 WR @00=CE79D022 + 3218.50ns INFO [00003220] Port=0 WR @19=04E1760E + 3218.50ns INFO [00003220] Port=0 RD @09 + 3219.50ns INFO [00003221] Port=1 RD @05 + 3220.50ns INFO [00003222] * RD COMPARE * port=0 adr=09 act=7AA3035B exp=7AA3035B + 3220.50ns INFO [00003222] Port=0 WR @11=8659AB95 + 3221.50ns INFO [00003223] * RD COMPARE * port=1 adr=05 act=22B0E0C7 exp=22B0E0C7 + 3221.50ns INFO [00003223] Port=0 WR @00=C048A7DA + 3221.50ns INFO [00003223] Port=1 RD @1C + 3222.50ns INFO [00003224] Port=0 WR @11=CB9B515A + 3222.50ns INFO [00003224] Port=0 RD @0F + 3223.50ns INFO [00003225] * RD COMPARE * port=1 adr=1C act=6024A8F9 exp=6024A8F9 + 3224.50ns INFO [00003226] * RD COMPARE * port=0 adr=0F act=8B307281 exp=8B307281 + 3224.50ns INFO [00003226] Port=0 WR @1F=158AB5A2 + 3224.50ns INFO [00003226] Port=1 RD @1B + 3225.50ns INFO [00003227] Port=0 WR @06=7E1A7408 + 3225.50ns INFO [00003227] Port=0 RD @1B + 3226.50ns INFO [00003228] * RD COMPARE * port=1 adr=1B act=8F8FA02F exp=8F8FA02F + 3226.50ns INFO [00003228] Port=0 WR @0E=7AE5DFC9 + 3226.50ns INFO [00003228] Port=0 RD @1B + 3227.50ns INFO [00003229] * RD COMPARE * port=0 adr=1B act=8F8FA02F exp=8F8FA02F + 3228.50ns INFO [00003230] * RD COMPARE * port=0 adr=1B act=8F8FA02F exp=8F8FA02F + 3228.50ns INFO [00003230] Port=0 WR @0F=248F6D45 + 3228.50ns INFO [00003230] Port=0 RD @07 + 3229.50ns INFO [00003231] Port=1 RD @11 + 3230.50ns INFO [00003232] * RD COMPARE * port=0 adr=07 act=3F9FE50D exp=3F9FE50D + 3230.50ns INFO [00003232] Port=0 WR @0D=9930617C + 3230.50ns INFO [00003232] Port=0 RD @15 + 3231.50ns INFO [00003233] * RD COMPARE * port=1 adr=11 act=CB9B515A exp=CB9B515A + 3231.50ns INFO [00003233] Port=0 RD @15 + 3232.50ns INFO [00003234] * RD COMPARE * port=0 adr=15 act=F1ABBE09 exp=F1ABBE09 + 3232.50ns INFO [00003234] Port=1 RD @02 + 3233.50ns INFO [00003235] * RD COMPARE * port=0 adr=15 act=F1ABBE09 exp=F1ABBE09 + 3233.50ns INFO [00003235] Port=1 RD @0B + 3234.50ns INFO [00003236] * RD COMPARE * port=1 adr=02 act=797901E8 exp=797901E8 + 3234.50ns INFO [00003236] Port=0 WR @0F=942C88FE + 3234.50ns INFO [00003236] Port=0 RD @08 + 3234.50ns INFO [00003236] Port=1 RD @07 + 3235.50ns INFO [00003237] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D + 3235.50ns INFO [00003237] Port=0 WR @03=00E219D7 + 3235.50ns INFO [00003237] Port=0 RD @0D + 3236.50ns INFO [00003238] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC + 3236.50ns INFO [00003238] * RD COMPARE * port=1 adr=07 act=3F9FE50D exp=3F9FE50D + 3236.50ns INFO [00003238] Port=0 WR @01=DE0705DD + 3236.50ns INFO [00003238] Port=1 RD @02 + 3237.50ns INFO [00003239] * RD COMPARE * port=0 adr=0D act=9930617C exp=9930617C + 3237.50ns INFO [00003239] Port=0 WR @16=ACC713FF + 3237.50ns INFO [00003239] Port=0 RD @07 + 3237.50ns INFO [00003239] Port=1 RD @08 + 3238.50ns INFO [00003240] * RD COMPARE * port=1 adr=02 act=797901E8 exp=797901E8 + 3238.50ns INFO [00003240] Port=0 RD @1D + 3238.50ns INFO [00003240] Port=1 RD @13 + 3239.50ns INFO [00003241] * RD COMPARE * port=0 adr=07 act=3F9FE50D exp=3F9FE50D + 3239.50ns INFO [00003241] * RD COMPARE * port=1 adr=08 act=7071A4BC exp=7071A4BC + 3239.50ns INFO [00003241] Port=0 WR @1F=524F063E + 3240.50ns INFO [00003242] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72 + 3240.50ns INFO [00003242] * RD COMPARE * port=1 adr=13 act=B62FE062 exp=B62FE062 + 3240.50ns INFO [00003242] Port=0 WR @15=4C0FABC6 + 3242.50ns INFO [00003244] Port=0 RD @1B + 3243.50ns INFO [00003245] Port=0 WR @18=EBEABD47 + 3244.50ns INFO [00003246] * RD COMPARE * port=0 adr=1B act=8F8FA02F exp=8F8FA02F + 3245.50ns INFO [00003247] Port=0 WR @0F=A375697C + 3245.50ns INFO [00003247] Port=0 RD @0D + 3245.50ns INFO [00003247] Port=1 RD @1A + 3246.50ns INFO [00003248] Port=0 RD @10 + 3247.50ns INFO [00003249] * RD COMPARE * port=0 adr=0D act=9930617C exp=9930617C + 3247.50ns INFO [00003249] * RD COMPARE * port=1 adr=1A act=B58B70A8 exp=B58B70A8 + 3248.50ns INFO [00003250] * RD COMPARE * port=0 adr=10 act=E43B71BB exp=E43B71BB + 3248.50ns INFO [00003250] Port=0 RD @1D + 3248.50ns INFO [00003250] Port=1 RD @1A + 3249.50ns INFO [00003251] Port=0 WR @0F=F4908796 + 3249.50ns INFO [00003251] Port=0 RD @03 + 3250.50ns INFO [00003252] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72 + 3250.50ns INFO [00003252] * RD COMPARE * port=1 adr=1A act=B58B70A8 exp=B58B70A8 + 3250.50ns INFO [00003252] Port=0 RD @00 + 3251.50ns INFO [00003253] * RD COMPARE * port=0 adr=03 act=00E219D7 exp=00E219D7 + 3252.50ns INFO [00003254] * RD COMPARE * port=0 adr=00 act=C048A7DA exp=C048A7DA + 3252.50ns INFO [00003254] Port=0 WR @1C=A4099933 + 3252.50ns INFO [00003254] Port=0 RD @17 + 3252.50ns INFO [00003254] Port=1 RD @06 + 3254.50ns INFO [00003256] * RD COMPARE * port=0 adr=17 act=989F52C8 exp=989F52C8 + 3254.50ns INFO [00003256] * RD COMPARE * port=1 adr=06 act=7E1A7408 exp=7E1A7408 + 3254.50ns INFO [00003256] Port=0 WR @0E=885FE84A + 3254.50ns INFO [00003256] Port=0 RD @04 + 3254.50ns INFO [00003256] Port=1 RD @1D + 3255.50ns INFO [00003257] Port=0 WR @1B=6970F974 + 3256.50ns INFO [00003258] * RD COMPARE * port=0 adr=04 act=41351CD3 exp=41351CD3 + 3256.50ns INFO [00003258] * RD COMPARE * port=1 adr=1D act=CC2D5E72 exp=CC2D5E72 + 3259.50ns INFO [00003261] Port=0 WR @0A=BECC1130 + 3259.50ns INFO [00003261] Port=1 RD @19 + 3260.50ns INFO [00003262] Port=1 RD @1E + 3261.50ns INFO [00003263] * RD COMPARE * port=1 adr=19 act=04E1760E exp=04E1760E + 3261.50ns INFO [00003263] Port=0 WR @14=57A1B11B + 3261.50ns INFO [00003263] Port=1 RD @1F + 3262.50ns INFO [00003264] * RD COMPARE * port=1 adr=1E act=3C292DEF exp=3C292DEF + 3262.50ns INFO [00003264] Port=0 WR @00=78A9C6A8 + 3262.50ns INFO [00003264] Port=0 RD @16 + 3262.50ns INFO [00003264] Port=1 RD @11 + 3263.50ns INFO [00003265] * RD COMPARE * port=1 adr=1F act=524F063E exp=524F063E + 3263.50ns INFO [00003265] Port=0 WR @1A=8A1DC57B + 3264.50ns INFO [00003266] * RD COMPARE * port=0 adr=16 act=ACC713FF exp=ACC713FF + 3264.50ns INFO [00003266] * RD COMPARE * port=1 adr=11 act=CB9B515A exp=CB9B515A + 3264.50ns INFO [00003266] Port=0 RD @16 + 3266.50ns INFO [00003268] * RD COMPARE * port=0 adr=16 act=ACC713FF exp=ACC713FF + 3266.50ns INFO [00003268] Port=0 RD @12 + 3266.50ns INFO [00003268] Port=1 RD @0A + 3268.50ns INFO [00003270] * RD COMPARE * port=0 adr=12 act=40B16722 exp=40B16722 + 3268.50ns INFO [00003270] * RD COMPARE * port=1 adr=0A act=BECC1130 exp=BECC1130 + 3268.50ns INFO [00003270] Port=1 RD @00 + 3269.50ns INFO [00003271] Port=0 RD @0C + 3270.50ns INFO [00003272] * RD COMPARE * port=1 adr=00 act=78A9C6A8 exp=78A9C6A8 + 3270.50ns INFO [00003272] Port=0 WR @10=A08AC650 + 3270.50ns INFO [00003272] Port=0 RD @08 + 3270.50ns INFO [00003272] Port=1 RD @0C + 3271.50ns INFO [00003273] * RD COMPARE * port=0 adr=0C act=C4364656 exp=C4364656 + 3271.50ns INFO [00003273] Port=0 RD @06 + 3271.50ns INFO [00003273] Port=1 RD @16 + 3272.50ns INFO [00003274] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC + 3272.50ns INFO [00003274] * RD COMPARE * port=1 adr=0C act=C4364656 exp=C4364656 + 3272.50ns INFO [00003274] Port=0 WR @1A=E77C0C74 + 3273.50ns INFO [00003275] * RD COMPARE * port=0 adr=06 act=7E1A7408 exp=7E1A7408 + 3273.50ns INFO [00003275] * RD COMPARE * port=1 adr=16 act=ACC713FF exp=ACC713FF + 3273.50ns INFO [00003275] Port=1 RD @19 + 3275.50ns INFO [00003277] * RD COMPARE * port=1 adr=19 act=04E1760E exp=04E1760E + 3275.50ns INFO [00003277] Port=0 RD @15 + 3276.50ns INFO [00003278] Port=0 RD @1E + 3277.50ns INFO [00003279] * RD COMPARE * port=0 adr=15 act=4C0FABC6 exp=4C0FABC6 + 3278.50ns INFO [00003280] * RD COMPARE * port=0 adr=1E act=3C292DEF exp=3C292DEF + 3278.50ns INFO [00003280] Port=0 WR @13=0B3A09B8 + 3278.50ns INFO [00003280] Port=0 RD @18 + 3279.50ns INFO [00003281] Port=0 WR @05=0EB9BE57 + 3280.50ns INFO [00003282] * RD COMPARE * port=0 adr=18 act=EBEABD47 exp=EBEABD47 + 3280.50ns INFO [00003282] Port=0 RD @05 + 3281.50ns INFO [00003283] Port=0 RD @09 + 3282.50ns INFO [00003284] * RD COMPARE * port=0 adr=05 act=0EB9BE57 exp=0EB9BE57 + 3282.50ns INFO [00003284] Port=0 WR @01=1640D048 + 3282.50ns INFO [00003284] Port=0 RD @0D + 3282.50ns INFO [00003284] Port=1 RD @1A + 3283.50ns INFO [00003285] * RD COMPARE * port=0 adr=09 act=7AA3035B exp=7AA3035B + 3283.50ns INFO [00003285] Port=0 RD @12 + 3284.50ns INFO [00003286] * RD COMPARE * port=0 adr=0D act=9930617C exp=9930617C + 3284.50ns INFO [00003286] * RD COMPARE * port=1 adr=1A act=E77C0C74 exp=E77C0C74 + 3284.50ns INFO [00003286] Port=0 WR @17=99D627B2 + 3284.50ns INFO [00003286] Port=0 RD @10 + 3285.50ns INFO [00003287] * RD COMPARE * port=0 adr=12 act=40B16722 exp=40B16722 + 3285.50ns INFO [00003287] Port=0 WR @0C=4FAFC0CD + 3285.50ns INFO [00003287] Port=1 RD @14 + 3286.50ns INFO [00003288] * RD COMPARE * port=0 adr=10 act=A08AC650 exp=A08AC650 + 3286.50ns INFO [00003288] Port=0 WR @1F=1DCD0CFF + 3286.50ns INFO [00003288] Port=0 RD @1D + 3286.50ns INFO [00003288] Port=1 RD @00 + 3287.50ns INFO [00003289] * RD COMPARE * port=1 adr=14 act=57A1B11B exp=57A1B11B + 3287.50ns INFO [00003289] Port=0 RD @0C + 3287.50ns INFO [00003289] Port=1 RD @02 + 3288.50ns INFO [00003290] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72 + 3288.50ns INFO [00003290] * RD COMPARE * port=1 adr=00 act=78A9C6A8 exp=78A9C6A8 + 3289.50ns INFO [00003291] * RD COMPARE * port=0 adr=0C act=4FAFC0CD exp=4FAFC0CD + 3289.50ns INFO [00003291] * RD COMPARE * port=1 adr=02 act=797901E8 exp=797901E8 + 3289.50ns INFO [00003291] Port=1 RD @0C + 3291.50ns INFO [00003293] * RD COMPARE * port=1 adr=0C act=4FAFC0CD exp=4FAFC0CD + 3291.50ns INFO [00003293] Port=0 WR @02=1E16498E + 3292.50ns INFO [00003294] Port=0 RD @00 + 3292.50ns INFO [00003294] Port=1 RD @1C + 3293.50ns INFO [00003295] Port=1 RD @03 + 3294.50ns INFO [00003296] * RD COMPARE * port=0 adr=00 act=78A9C6A8 exp=78A9C6A8 + 3294.50ns INFO [00003296] * RD COMPARE * port=1 adr=1C act=A4099933 exp=A4099933 + 3294.50ns INFO [00003296] Port=0 WR @18=B102DC6F + 3294.50ns INFO [00003296] Port=0 RD @1D + 3295.50ns INFO [00003297] * RD COMPARE * port=1 adr=03 act=00E219D7 exp=00E219D7 + 3295.50ns INFO [00003297] Port=0 RD @1D + 3296.50ns INFO [00003298] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72 + 3296.50ns INFO [00003298] Port=0 WR @17=0EB229D1 + 3296.50ns INFO [00003298] Port=0 RD @15 + 3296.50ns INFO [00003298] Port=1 RD @07 + 3297.50ns INFO [00003299] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72 + 3297.50ns INFO [00003299] Port=0 WR @17=268AFE41 + 3297.50ns INFO [00003299] Port=0 RD @15 + 3298.00ns INFO [00003300] [00003300] ...tick... + 3298.50ns INFO [00003300] * RD COMPARE * port=0 adr=15 act=4C0FABC6 exp=4C0FABC6 + 3298.50ns INFO [00003300] * RD COMPARE * port=1 adr=07 act=3F9FE50D exp=3F9FE50D + 3299.50ns INFO [00003301] * RD COMPARE * port=0 adr=15 act=4C0FABC6 exp=4C0FABC6 + 3299.50ns INFO [00003301] Port=0 RD @04 + 3300.50ns INFO [00003302] Port=0 WR @13=0EFE8CDD + 3301.50ns INFO [00003303] * RD COMPARE * port=0 adr=04 act=41351CD3 exp=41351CD3 + 3301.50ns INFO [00003303] Port=0 WR @19=B5948EF6 + 3301.50ns INFO [00003303] Port=0 RD @08 + 3302.50ns INFO [00003304] Port=1 RD @11 + 3303.50ns INFO [00003305] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC + 3303.50ns INFO [00003305] Port=0 RD @01 + 3303.50ns INFO [00003305] Port=1 RD @04 + 3304.50ns INFO [00003306] * RD COMPARE * port=1 adr=11 act=CB9B515A exp=CB9B515A + 3304.50ns INFO [00003306] Port=0 WR @01=20255232 + 3305.50ns INFO [00003307] * RD COMPARE * port=0 adr=01 act=1640D048 exp=1640D048 + 3305.50ns INFO [00003307] * RD COMPARE * port=1 adr=04 act=41351CD3 exp=41351CD3 + 3305.50ns INFO [00003307] Port=0 WR @1D=41A439B1 + 3305.50ns INFO [00003307] Port=0 RD @00 + 3306.50ns INFO [00003308] Port=0 WR @02=8BF2CD34 + 3307.50ns INFO [00003309] * RD COMPARE * port=0 adr=00 act=78A9C6A8 exp=78A9C6A8 + 3307.50ns INFO [00003309] Port=0 WR @00=611D1058 + 3307.50ns INFO [00003309] Port=0 RD @1D + 3308.50ns INFO [00003310] Port=0 RD @01 + 3308.50ns INFO [00003310] Port=1 RD @00 + 3309.50ns INFO [00003311] * RD COMPARE * port=0 adr=1D act=41A439B1 exp=41A439B1 + 3309.50ns INFO [00003311] Port=0 RD @0E + 3310.50ns INFO [00003312] * RD COMPARE * port=0 adr=01 act=20255232 exp=20255232 + 3310.50ns INFO [00003312] * RD COMPARE * port=1 adr=00 act=611D1058 exp=611D1058 + 3310.50ns INFO [00003312] Port=0 RD @08 + 3311.50ns INFO [00003313] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A + 3311.50ns INFO [00003313] Port=0 RD @16 + 3312.50ns INFO [00003314] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC + 3313.50ns INFO [00003315] * RD COMPARE * port=0 adr=16 act=ACC713FF exp=ACC713FF + 3313.50ns INFO [00003315] Port=1 RD @06 + 3314.50ns INFO [00003316] Port=0 WR @12=3C4C9DFD + 3315.50ns INFO [00003317] * RD COMPARE * port=1 adr=06 act=7E1A7408 exp=7E1A7408 + 3316.50ns INFO [00003318] Port=1 RD @0E + 3317.50ns INFO [00003319] Port=0 WR @06=3BB46B66 + 3318.50ns INFO [00003320] * RD COMPARE * port=1 adr=0E act=885FE84A exp=885FE84A + 3318.50ns INFO [00003320] Port=0 WR @1E=9C2CAD78 + 3318.50ns INFO [00003320] Port=0 RD @16 + 3319.50ns INFO [00003321] Port=0 WR @1E=20936673 + 3319.50ns INFO [00003321] Port=0 RD @1B + 3320.50ns INFO [00003322] * RD COMPARE * port=0 adr=16 act=ACC713FF exp=ACC713FF + 3320.50ns INFO [00003322] Port=0 WR @11=3A3D720D + 3320.50ns INFO [00003322] Port=0 RD @05 + 3321.50ns INFO [00003323] * RD COMPARE * port=0 adr=1B act=6970F974 exp=6970F974 + 3321.50ns INFO [00003323] Port=0 RD @1F + 3322.50ns INFO [00003324] * RD COMPARE * port=0 adr=05 act=0EB9BE57 exp=0EB9BE57 + 3322.50ns INFO [00003324] Port=0 WR @03=E14A2E37 + 3322.50ns INFO [00003324] Port=0 RD @0E + 3322.50ns INFO [00003324] Port=1 RD @0E + 3323.50ns INFO [00003325] * RD COMPARE * port=0 adr=1F act=1DCD0CFF exp=1DCD0CFF + 3323.50ns INFO [00003325] Port=0 WR @02=93587F6F + 3323.50ns INFO [00003325] Port=0 RD @11 + 3323.50ns INFO [00003325] Port=1 RD @1F + 3324.50ns INFO [00003326] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A + 3324.50ns INFO [00003326] * RD COMPARE * port=1 adr=0E act=885FE84A exp=885FE84A + 3324.50ns INFO [00003326] Port=0 WR @09=B57F0904 + 3324.50ns INFO [00003326] Port=1 RD @13 + 3325.50ns INFO [00003327] * RD COMPARE * port=0 adr=11 act=3A3D720D exp=3A3D720D + 3325.50ns INFO [00003327] * RD COMPARE * port=1 adr=1F act=1DCD0CFF exp=1DCD0CFF + 3325.50ns INFO [00003327] Port=1 RD @1D + 3326.50ns INFO [00003328] * RD COMPARE * port=1 adr=13 act=0EFE8CDD exp=0EFE8CDD + 3326.50ns INFO [00003328] Port=1 RD @05 + 3327.50ns INFO [00003329] * RD COMPARE * port=1 adr=1D act=41A439B1 exp=41A439B1 + 3327.50ns INFO [00003329] Port=1 RD @14 + 3328.50ns INFO [00003330] * RD COMPARE * port=1 adr=05 act=0EB9BE57 exp=0EB9BE57 + 3328.50ns INFO [00003330] Port=0 RD @0F + 3329.50ns INFO [00003331] * RD COMPARE * port=1 adr=14 act=57A1B11B exp=57A1B11B + 3329.50ns INFO [00003331] Port=1 RD @0C + 3330.50ns INFO [00003332] * RD COMPARE * port=0 adr=0F act=F4908796 exp=F4908796 + 3330.50ns INFO [00003332] Port=0 WR @16=89FF0E63 + 3330.50ns INFO [00003332] Port=0 RD @0D + 3331.50ns INFO [00003333] * RD COMPARE * port=1 adr=0C act=4FAFC0CD exp=4FAFC0CD + 3331.50ns INFO [00003333] Port=1 RD @18 + 3332.50ns INFO [00003334] * RD COMPARE * port=0 adr=0D act=9930617C exp=9930617C + 3333.50ns INFO [00003335] * RD COMPARE * port=1 adr=18 act=B102DC6F exp=B102DC6F + 3333.50ns INFO [00003335] Port=0 RD @05 + 3333.50ns INFO [00003335] Port=1 RD @12 + 3334.50ns INFO [00003336] Port=0 WR @15=01DF2ABD + 3334.50ns INFO [00003336] Port=0 RD @1A + 3335.50ns INFO [00003337] * RD COMPARE * port=0 adr=05 act=0EB9BE57 exp=0EB9BE57 + 3335.50ns INFO [00003337] * RD COMPARE * port=1 adr=12 act=3C4C9DFD exp=3C4C9DFD + 3335.50ns INFO [00003337] Port=0 RD @1D + 3335.50ns INFO [00003337] Port=1 RD @0B + 3336.50ns INFO [00003338] * RD COMPARE * port=0 adr=1A act=E77C0C74 exp=E77C0C74 + 3336.50ns INFO [00003338] Port=0 RD @00 + 3336.50ns INFO [00003338] Port=1 RD @04 + 3337.50ns INFO [00003339] * RD COMPARE * port=0 adr=1D act=41A439B1 exp=41A439B1 + 3337.50ns INFO [00003339] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D + 3337.50ns INFO [00003339] Port=0 RD @11 + 3338.50ns INFO [00003340] * RD COMPARE * port=0 adr=00 act=611D1058 exp=611D1058 + 3338.50ns INFO [00003340] * RD COMPARE * port=1 adr=04 act=41351CD3 exp=41351CD3 + 3338.50ns INFO [00003340] Port=0 WR @06=3026EF45 + 3338.50ns INFO [00003340] Port=1 RD @19 + 3339.50ns INFO [00003341] * RD COMPARE * port=0 adr=11 act=3A3D720D exp=3A3D720D + 3339.50ns INFO [00003341] Port=1 RD @0B + 3340.50ns INFO [00003342] * RD COMPARE * port=1 adr=19 act=B5948EF6 exp=B5948EF6 + 3341.50ns INFO [00003343] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D + 3343.50ns INFO [00003345] Port=0 WR @11=D57D5788 + 3344.50ns INFO [00003346] Port=0 WR @12=8213010E + 3344.50ns INFO [00003346] Port=0 RD @11 + 3344.50ns INFO [00003346] Port=1 RD @0E + 3345.50ns INFO [00003347] Port=1 RD @13 + 3346.50ns INFO [00003348] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788 + 3346.50ns INFO [00003348] * RD COMPARE * port=1 adr=0E act=885FE84A exp=885FE84A + 3346.50ns INFO [00003348] Port=0 WR @1D=4F7189D1 + 3346.50ns INFO [00003348] Port=1 RD @1C + 3347.50ns INFO [00003349] * RD COMPARE * port=1 adr=13 act=0EFE8CDD exp=0EFE8CDD + 3347.50ns INFO [00003349] Port=0 WR @1A=1419024E + 3347.50ns INFO [00003349] Port=0 RD @03 + 3348.50ns INFO [00003350] * RD COMPARE * port=1 adr=1C act=A4099933 exp=A4099933 + 3348.50ns INFO [00003350] Port=0 RD @0D + 3348.50ns INFO [00003350] Port=1 RD @03 + 3349.50ns INFO [00003351] * RD COMPARE * port=0 adr=03 act=E14A2E37 exp=E14A2E37 + 3349.50ns INFO [00003351] Port=0 WR @0D=EEC1225F + 3350.50ns INFO [00003352] * RD COMPARE * port=0 adr=0D act=9930617C exp=9930617C + 3350.50ns INFO [00003352] * RD COMPARE * port=1 adr=03 act=E14A2E37 exp=E14A2E37 + 3350.50ns INFO [00003352] Port=1 RD @16 + 3351.50ns INFO [00003353] Port=0 WR @10=4D30AFFE + 3352.50ns INFO [00003354] * RD COMPARE * port=1 adr=16 act=89FF0E63 exp=89FF0E63 + 3353.50ns INFO [00003355] Port=0 WR @03=7EE35172 + 3353.50ns INFO [00003355] Port=0 RD @07 + 3353.50ns INFO [00003355] Port=1 RD @13 + 3354.50ns INFO [00003356] Port=0 RD @0F + 3354.50ns INFO [00003356] Port=1 RD @19 + 3355.50ns INFO [00003357] * RD COMPARE * port=0 adr=07 act=3F9FE50D exp=3F9FE50D + 3355.50ns INFO [00003357] * RD COMPARE * port=1 adr=13 act=0EFE8CDD exp=0EFE8CDD + 3356.50ns INFO [00003358] * RD COMPARE * port=0 adr=0F act=F4908796 exp=F4908796 + 3356.50ns INFO [00003358] * RD COMPARE * port=1 adr=19 act=B5948EF6 exp=B5948EF6 + 3356.50ns INFO [00003358] Port=0 WR @1E=23EF9BE3 + 3358.50ns INFO [00003360] Port=0 WR @1D=7F60D642 + 3359.50ns INFO [00003361] Port=0 WR @02=BC3D2A47 + 3359.50ns INFO [00003361] Port=0 RD @0B + 3360.50ns INFO [00003362] Port=0 RD @13 + 3360.50ns INFO [00003362] Port=1 RD @0A + 3361.50ns INFO [00003363] * RD COMPARE * port=0 adr=0B act=C9D1D17D exp=C9D1D17D + 3361.50ns INFO [00003363] Port=0 RD @0E + 3362.50ns INFO [00003364] * RD COMPARE * port=0 adr=13 act=0EFE8CDD exp=0EFE8CDD + 3362.50ns INFO [00003364] * RD COMPARE * port=1 adr=0A act=BECC1130 exp=BECC1130 + 3362.50ns INFO [00003364] Port=1 RD @0A + 3363.50ns INFO [00003365] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A + 3363.50ns INFO [00003365] Port=0 WR @04=69AAEFCC + 3363.50ns INFO [00003365] Port=0 RD @1A + 3364.50ns INFO [00003366] * RD COMPARE * port=1 adr=0A act=BECC1130 exp=BECC1130 + 3364.50ns INFO [00003366] Port=0 WR @14=F5109462 + 3364.50ns INFO [00003366] Port=1 RD @10 + 3365.50ns INFO [00003367] * RD COMPARE * port=0 adr=1A act=1419024E exp=1419024E + 3365.50ns INFO [00003367] Port=0 RD @0F + 3366.50ns INFO [00003368] * RD COMPARE * port=1 adr=10 act=4D30AFFE exp=4D30AFFE + 3366.50ns INFO [00003368] Port=0 WR @09=7AF9AFCC + 3366.50ns INFO [00003368] Port=0 RD @15 + 3367.50ns INFO [00003369] * RD COMPARE * port=0 adr=0F act=F4908796 exp=F4908796 + 3367.50ns INFO [00003369] Port=0 WR @1B=A3AC37D7 + 3367.50ns INFO [00003369] Port=0 RD @08 + 3367.50ns INFO [00003369] Port=1 RD @0A + 3368.50ns INFO [00003370] * RD COMPARE * port=0 adr=15 act=01DF2ABD exp=01DF2ABD + 3368.50ns INFO [00003370] Port=1 RD @06 + 3369.50ns INFO [00003371] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC + 3369.50ns INFO [00003371] * RD COMPARE * port=1 adr=0A act=BECC1130 exp=BECC1130 + 3369.50ns INFO [00003371] Port=0 WR @08=A00FE5C4 + 3369.50ns INFO [00003371] Port=0 RD @10 + 3369.50ns INFO [00003371] Port=1 RD @00 + 3370.50ns INFO [00003372] * RD COMPARE * port=1 adr=06 act=3026EF45 exp=3026EF45 + 3370.50ns INFO [00003372] Port=0 RD @00 + 3371.50ns INFO [00003373] * RD COMPARE * port=0 adr=10 act=4D30AFFE exp=4D30AFFE + 3371.50ns INFO [00003373] * RD COMPARE * port=1 adr=00 act=611D1058 exp=611D1058 + 3371.50ns INFO [00003373] Port=1 RD @1B + 3372.50ns INFO [00003374] * RD COMPARE * port=0 adr=00 act=611D1058 exp=611D1058 + 3372.50ns INFO [00003374] Port=1 RD @09 + 3373.50ns INFO [00003375] * RD COMPARE * port=1 adr=1B act=A3AC37D7 exp=A3AC37D7 + 3373.50ns INFO [00003375] Port=0 WR @13=9E8396E6 + 3373.50ns INFO [00003375] Port=0 RD @19 + 3374.50ns INFO [00003376] * RD COMPARE * port=1 adr=09 act=7AF9AFCC exp=7AF9AFCC + 3374.50ns INFO [00003376] Port=0 WR @1F=0F2DCA33 + 3374.50ns INFO [00003376] Port=0 RD @0E + 3374.50ns INFO [00003376] Port=1 RD @02 + 3375.50ns INFO [00003377] * RD COMPARE * port=0 adr=19 act=B5948EF6 exp=B5948EF6 + 3375.50ns INFO [00003377] Port=0 WR @02=00FB3B2A + 3376.50ns INFO [00003378] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A + 3376.50ns INFO [00003378] * RD COMPARE * port=1 adr=02 act=BC3D2A47 exp=BC3D2A47 + 3377.50ns INFO [00003379] Port=0 RD @1B + 3379.50ns INFO [00003381] * RD COMPARE * port=0 adr=1B act=A3AC37D7 exp=A3AC37D7 + 3379.50ns INFO [00003381] Port=0 WR @18=4BDCEDED + 3379.50ns INFO [00003381] Port=0 RD @01 + 3380.50ns INFO [00003382] Port=0 WR @18=6F6DF12B + 3380.50ns INFO [00003382] Port=0 RD @14 + 3380.50ns INFO [00003382] Port=1 RD @04 + 3381.50ns INFO [00003383] * RD COMPARE * port=0 adr=01 act=20255232 exp=20255232 + 3381.50ns INFO [00003383] Port=0 RD @18 + 3382.50ns INFO [00003384] * RD COMPARE * port=0 adr=14 act=F5109462 exp=F5109462 + 3382.50ns INFO [00003384] * RD COMPARE * port=1 adr=04 act=69AAEFCC exp=69AAEFCC + 3382.50ns INFO [00003384] Port=0 WR @04=0A45F780 + 3383.50ns INFO [00003385] * RD COMPARE * port=0 adr=18 act=6F6DF12B exp=6F6DF12B + 3383.50ns INFO [00003385] Port=0 WR @17=BF31F7A8 + 3383.50ns INFO [00003385] Port=0 RD @0C + 3383.50ns INFO [00003385] Port=1 RD @10 + 3385.50ns INFO [00003387] * RD COMPARE * port=0 adr=0C act=4FAFC0CD exp=4FAFC0CD + 3385.50ns INFO [00003387] * RD COMPARE * port=1 adr=10 act=4D30AFFE exp=4D30AFFE + 3385.50ns INFO [00003387] Port=0 RD @09 + 3385.50ns INFO [00003387] Port=1 RD @19 + 3386.50ns INFO [00003388] Port=0 WR @17=94779861 + 3386.50ns INFO [00003388] Port=0 RD @18 + 3386.50ns INFO [00003388] Port=1 RD @16 + 3387.50ns INFO [00003389] * RD COMPARE * port=0 adr=09 act=7AF9AFCC exp=7AF9AFCC + 3387.50ns INFO [00003389] * RD COMPARE * port=1 adr=19 act=B5948EF6 exp=B5948EF6 + 3387.50ns INFO [00003389] Port=0 WR @06=92C394FE + 3387.50ns INFO [00003389] Port=0 RD @03 + 3388.50ns INFO [00003390] * RD COMPARE * port=0 adr=18 act=6F6DF12B exp=6F6DF12B + 3388.50ns INFO [00003390] * RD COMPARE * port=1 adr=16 act=89FF0E63 exp=89FF0E63 + 3388.50ns INFO [00003390] Port=0 WR @17=0A3E5428 + 3388.50ns INFO [00003390] Port=1 RD @03 + 3389.50ns INFO [00003391] * RD COMPARE * port=0 adr=03 act=7EE35172 exp=7EE35172 + 3389.50ns INFO [00003391] Port=0 WR @1D=9E3F1429 + 3389.50ns INFO [00003391] Port=1 RD @1F + 3390.50ns INFO [00003392] * RD COMPARE * port=1 adr=03 act=7EE35172 exp=7EE35172 + 3390.50ns INFO [00003392] Port=1 RD @13 + 3391.50ns INFO [00003393] * RD COMPARE * port=1 adr=1F act=0F2DCA33 exp=0F2DCA33 + 3391.50ns INFO [00003393] Port=0 WR @0C=89F9DE31 + 3391.50ns INFO [00003393] Port=1 RD @04 + 3392.50ns INFO [00003394] * RD COMPARE * port=1 adr=13 act=9E8396E6 exp=9E8396E6 + 3392.50ns INFO [00003394] Port=0 WR @00=4FD20BDD + 3392.50ns INFO [00003394] Port=0 RD @04 + 3392.50ns INFO [00003394] Port=1 RD @01 + 3393.50ns INFO [00003395] * RD COMPARE * port=1 adr=04 act=0A45F780 exp=0A45F780 + 3393.50ns INFO [00003395] Port=1 RD @03 + 3394.50ns INFO [00003396] * RD COMPARE * port=0 adr=04 act=0A45F780 exp=0A45F780 + 3394.50ns INFO [00003396] * RD COMPARE * port=1 adr=01 act=20255232 exp=20255232 + 3394.50ns INFO [00003396] Port=0 WR @0C=60130B62 + 3395.50ns INFO [00003397] * RD COMPARE * port=1 adr=03 act=7EE35172 exp=7EE35172 + 3395.50ns INFO [00003397] Port=0 WR @14=437F477B + 3396.50ns INFO [00003398] Port=1 RD @03 + 3397.50ns INFO [00003399] Port=0 WR @03=1B647EB8 + 3397.50ns INFO [00003399] Port=1 RD @0C + 3398.00ns INFO [00003400] [00003400] ...tick... + 3398.50ns INFO [00003400] * RD COMPARE * port=1 adr=03 act=7EE35172 exp=7EE35172 + 3398.50ns INFO [00003400] Port=0 WR @02=147FF499 + 3398.50ns INFO [00003400] Port=0 RD @18 + 3399.50ns INFO [00003401] * RD COMPARE * port=1 adr=0C act=60130B62 exp=60130B62 + 3399.50ns INFO [00003401] Port=0 WR @0D=870473E1 + 3400.50ns INFO [00003402] * RD COMPARE * port=0 adr=18 act=6F6DF12B exp=6F6DF12B + 3401.50ns INFO [00003403] Port=1 RD @1A + 3402.50ns INFO [00003404] Port=1 RD @00 + 3403.50ns INFO [00003405] * RD COMPARE * port=1 adr=1A act=1419024E exp=1419024E + 3403.50ns INFO [00003405] Port=0 WR @1A=34487348 + 3404.50ns INFO [00003406] * RD COMPARE * port=1 adr=00 act=4FD20BDD exp=4FD20BDD + 3404.50ns INFO [00003406] Port=1 RD @0C + 3405.50ns INFO [00003407] Port=0 RD @10 + 3406.50ns INFO [00003408] * RD COMPARE * port=1 adr=0C act=60130B62 exp=60130B62 + 3406.50ns INFO [00003408] Port=0 WR @1F=94DBFACA + 3407.50ns INFO [00003409] * RD COMPARE * port=0 adr=10 act=4D30AFFE exp=4D30AFFE + 3408.50ns INFO [00003410] Port=0 RD @01 + 3408.50ns INFO [00003410] Port=1 RD @11 + 3410.50ns INFO [00003412] * RD COMPARE * port=0 adr=01 act=20255232 exp=20255232 + 3410.50ns INFO [00003412] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788 + 3410.50ns INFO [00003412] Port=0 WR @15=AE5F49AE + 3411.50ns INFO [00003413] Port=0 WR @0C=331C2633 + 3411.50ns INFO [00003413] Port=0 RD @0E + 3412.50ns INFO [00003414] Port=1 RD @12 + 3413.50ns INFO [00003415] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A + 3413.50ns INFO [00003415] Port=0 RD @0E + 3413.50ns INFO [00003415] Port=1 RD @1F + 3414.50ns INFO [00003416] * RD COMPARE * port=1 adr=12 act=8213010E exp=8213010E + 3414.50ns INFO [00003416] Port=0 WR @14=CB063A9F + 3415.50ns INFO [00003417] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A + 3415.50ns INFO [00003417] * RD COMPARE * port=1 adr=1F act=94DBFACA exp=94DBFACA + 3415.50ns INFO [00003417] Port=0 RD @10 + 3416.50ns INFO [00003418] Port=0 WR @00=399A2C4F + 3416.50ns INFO [00003418] Port=0 RD @08 + 3417.50ns INFO [00003419] * RD COMPARE * port=0 adr=10 act=4D30AFFE exp=4D30AFFE + 3417.50ns INFO [00003419] Port=0 RD @0C + 3417.50ns INFO [00003419] Port=1 RD @07 + 3418.50ns INFO [00003420] * RD COMPARE * port=0 adr=08 act=A00FE5C4 exp=A00FE5C4 + 3419.50ns INFO [00003421] * RD COMPARE * port=0 adr=0C act=331C2633 exp=331C2633 + 3419.50ns INFO [00003421] * RD COMPARE * port=1 adr=07 act=3F9FE50D exp=3F9FE50D + 3419.50ns INFO [00003421] Port=0 WR @16=882410DF + 3419.50ns INFO [00003421] Port=0 RD @0C + 3419.50ns INFO [00003421] Port=1 RD @0A + 3421.50ns INFO [00003423] * RD COMPARE * port=0 adr=0C act=331C2633 exp=331C2633 + 3421.50ns INFO [00003423] * RD COMPARE * port=1 adr=0A act=BECC1130 exp=BECC1130 + 3422.50ns INFO [00003424] Port=0 RD @07 + 3423.50ns INFO [00003425] Port=0 WR @02=C33EF07C + 3423.50ns INFO [00003425] Port=1 RD @19 + 3424.50ns INFO [00003426] * RD COMPARE * port=0 adr=07 act=3F9FE50D exp=3F9FE50D + 3424.50ns INFO [00003426] Port=0 RD @1A + 3424.50ns INFO [00003426] Port=1 RD @0B + 3425.50ns INFO [00003427] * RD COMPARE * port=1 adr=19 act=B5948EF6 exp=B5948EF6 + 3425.50ns INFO [00003427] Port=0 WR @08=B836170E + 3426.50ns INFO [00003428] * RD COMPARE * port=0 adr=1A act=34487348 exp=34487348 + 3426.50ns INFO [00003428] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D + 3429.50ns INFO [00003431] Port=0 RD @03 + 3430.50ns INFO [00003432] Port=0 WR @03=C29A4547 + 3430.50ns INFO [00003432] Port=0 RD @1D + 3431.50ns INFO [00003433] * RD COMPARE * port=0 adr=03 act=1B647EB8 exp=1B647EB8 + 3432.50ns INFO [00003434] * RD COMPARE * port=0 adr=1D act=9E3F1429 exp=9E3F1429 + 3433.50ns INFO [00003435] Port=0 WR @0E=B7C45060 + 3434.50ns INFO [00003436] Port=1 RD @08 + 3436.50ns INFO [00003438] * RD COMPARE * port=1 adr=08 act=B836170E exp=B836170E + 3437.50ns INFO [00003439] Port=0 WR @13=355A448E + 3439.50ns INFO [00003441] Port=0 WR @0F=4C996D8E + 3439.50ns INFO [00003441] Port=1 RD @0E + 3440.50ns INFO [00003442] Port=0 WR @1D=96CBA4EE + 3441.50ns INFO [00003443] * RD COMPARE * port=1 adr=0E act=B7C45060 exp=B7C45060 + 3441.50ns INFO [00003443] Port=0 WR @15=9A55A5F5 + 3441.50ns INFO [00003443] Port=1 RD @11 + 3442.50ns INFO [00003444] Port=0 RD @13 + 3442.50ns INFO [00003444] Port=1 RD @03 + 3443.50ns INFO [00003445] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788 + 3443.50ns INFO [00003445] Port=1 RD @1D + 3444.50ns INFO [00003446] * RD COMPARE * port=0 adr=13 act=355A448E exp=355A448E + 3444.50ns INFO [00003446] * RD COMPARE * port=1 adr=03 act=C29A4547 exp=C29A4547 + 3444.50ns INFO [00003446] Port=0 WR @00=00025D6D + 3445.50ns INFO [00003447] * RD COMPARE * port=1 adr=1D act=96CBA4EE exp=96CBA4EE + 3445.50ns INFO [00003447] Port=0 WR @06=69A35247 + 3446.50ns INFO [00003448] Port=1 RD @0D + 3447.50ns INFO [00003449] Port=0 WR @16=60ADEF65 + 3447.50ns INFO [00003449] Port=0 RD @14 + 3448.50ns INFO [00003450] * RD COMPARE * port=1 adr=0D act=870473E1 exp=870473E1 + 3448.50ns INFO [00003450] Port=0 WR @1C=72FAC277 + 3449.50ns INFO [00003451] * RD COMPARE * port=0 adr=14 act=CB063A9F exp=CB063A9F + 3449.50ns INFO [00003451] Port=1 RD @19 + 3450.50ns INFO [00003452] Port=1 RD @0B + 3451.50ns INFO [00003453] * RD COMPARE * port=1 adr=19 act=B5948EF6 exp=B5948EF6 + 3451.50ns INFO [00003453] Port=0 RD @1C + 3452.50ns INFO [00003454] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D + 3452.50ns INFO [00003454] Port=0 RD @0B + 3453.50ns INFO [00003455] * RD COMPARE * port=0 adr=1C act=72FAC277 exp=72FAC277 + 3453.50ns INFO [00003455] Port=0 WR @1B=C0186CFD + 3453.50ns INFO [00003455] Port=0 RD @16 + 3454.50ns INFO [00003456] * RD COMPARE * port=0 adr=0B act=C9D1D17D exp=C9D1D17D + 3454.50ns INFO [00003456] Port=0 WR @1A=232996FC + 3454.50ns INFO [00003456] Port=1 RD @10 + 3455.50ns INFO [00003457] * RD COMPARE * port=0 adr=16 act=60ADEF65 exp=60ADEF65 + 3455.50ns INFO [00003457] Port=0 WR @10=5243C0CC + 3456.50ns INFO [00003458] * RD COMPARE * port=1 adr=10 act=4D30AFFE exp=4D30AFFE + 3456.50ns INFO [00003458] Port=0 RD @1C + 3456.50ns INFO [00003458] Port=1 RD @08 + 3458.50ns INFO [00003460] * RD COMPARE * port=0 adr=1C act=72FAC277 exp=72FAC277 + 3458.50ns INFO [00003460] * RD COMPARE * port=1 adr=08 act=B836170E exp=B836170E + 3459.50ns INFO [00003461] Port=0 RD @05 + 3461.50ns INFO [00003463] * RD COMPARE * port=0 adr=05 act=0EB9BE57 exp=0EB9BE57 + 3461.50ns INFO [00003463] Port=0 WR @0F=C72E8FAF + 3461.50ns INFO [00003463] Port=0 RD @1C + 3461.50ns INFO [00003463] Port=1 RD @1E + 3462.50ns INFO [00003464] Port=0 RD @10 + 3462.50ns INFO [00003464] Port=1 RD @1A + 3463.50ns INFO [00003465] * RD COMPARE * port=0 adr=1C act=72FAC277 exp=72FAC277 + 3463.50ns INFO [00003465] * RD COMPARE * port=1 adr=1E act=23EF9BE3 exp=23EF9BE3 + 3463.50ns INFO [00003465] Port=0 RD @0D + 3463.50ns INFO [00003465] Port=1 RD @08 + 3464.50ns INFO [00003466] * RD COMPARE * port=0 adr=10 act=5243C0CC exp=5243C0CC + 3464.50ns INFO [00003466] * RD COMPARE * port=1 adr=1A act=232996FC exp=232996FC + 3464.50ns INFO [00003466] Port=0 RD @15 + 3464.50ns INFO [00003466] Port=1 RD @1C + 3465.50ns INFO [00003467] * RD COMPARE * port=0 adr=0D act=870473E1 exp=870473E1 + 3465.50ns INFO [00003467] * RD COMPARE * port=1 adr=08 act=B836170E exp=B836170E + 3466.50ns INFO [00003468] * RD COMPARE * port=0 adr=15 act=9A55A5F5 exp=9A55A5F5 + 3466.50ns INFO [00003468] * RD COMPARE * port=1 adr=1C act=72FAC277 exp=72FAC277 + 3466.50ns INFO [00003468] Port=1 RD @18 + 3467.50ns INFO [00003469] Port=0 RD @06 + 3468.50ns INFO [00003470] * RD COMPARE * port=1 adr=18 act=6F6DF12B exp=6F6DF12B + 3468.50ns INFO [00003470] Port=0 WR @0D=39241F47 + 3468.50ns INFO [00003470] Port=1 RD @11 + 3469.50ns INFO [00003471] * RD COMPARE * port=0 adr=06 act=69A35247 exp=69A35247 + 3469.50ns INFO [00003471] Port=0 WR @00=F22B0159 + 3469.50ns INFO [00003471] Port=0 RD @0A + 3469.50ns INFO [00003471] Port=1 RD @05 + 3470.50ns INFO [00003472] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788 + 3470.50ns INFO [00003472] Port=0 WR @1B=34602B20 + 3470.50ns INFO [00003472] Port=1 RD @0C + 3471.50ns INFO [00003473] * RD COMPARE * port=0 adr=0A act=BECC1130 exp=BECC1130 + 3471.50ns INFO [00003473] * RD COMPARE * port=1 adr=05 act=0EB9BE57 exp=0EB9BE57 + 3472.50ns INFO [00003474] * RD COMPARE * port=1 adr=0C act=331C2633 exp=331C2633 + 3472.50ns INFO [00003474] Port=1 RD @09 + 3473.50ns INFO [00003475] Port=1 RD @0D + 3474.50ns INFO [00003476] * RD COMPARE * port=1 adr=09 act=7AF9AFCC exp=7AF9AFCC + 3474.50ns INFO [00003476] Port=0 WR @05=CA673CAE + 3474.50ns INFO [00003476] Port=0 RD @13 + 3475.50ns INFO [00003477] * RD COMPARE * port=1 adr=0D act=39241F47 exp=39241F47 + 3475.50ns INFO [00003477] Port=0 RD @10 + 3475.50ns INFO [00003477] Port=1 RD @12 + 3476.50ns INFO [00003478] * RD COMPARE * port=0 adr=13 act=355A448E exp=355A448E + 3476.50ns INFO [00003478] Port=1 RD @10 + 3477.50ns INFO [00003479] * RD COMPARE * port=0 adr=10 act=5243C0CC exp=5243C0CC + 3477.50ns INFO [00003479] * RD COMPARE * port=1 adr=12 act=8213010E exp=8213010E + 3477.50ns INFO [00003479] Port=0 RD @17 + 3478.50ns INFO [00003480] * RD COMPARE * port=1 adr=10 act=5243C0CC exp=5243C0CC + 3478.50ns INFO [00003480] Port=0 RD @0C + 3479.50ns INFO [00003481] * RD COMPARE * port=0 adr=17 act=0A3E5428 exp=0A3E5428 + 3479.50ns INFO [00003481] Port=0 WR @03=E615D650 + 3479.50ns INFO [00003481] Port=0 RD @1F + 3479.50ns INFO [00003481] Port=1 RD @1E + 3480.50ns INFO [00003482] * RD COMPARE * port=0 adr=0C act=331C2633 exp=331C2633 + 3480.50ns INFO [00003482] Port=0 WR @04=C7FC21FC + 3480.50ns INFO [00003482] Port=1 RD @1D + 3481.50ns INFO [00003483] * RD COMPARE * port=0 adr=1F act=94DBFACA exp=94DBFACA + 3481.50ns INFO [00003483] * RD COMPARE * port=1 adr=1E act=23EF9BE3 exp=23EF9BE3 + 3482.50ns INFO [00003484] * RD COMPARE * port=1 adr=1D act=96CBA4EE exp=96CBA4EE + 3482.50ns INFO [00003484] Port=1 RD @15 + 3483.50ns INFO [00003485] Port=0 WR @0A=6C7A5D60 + 3484.50ns INFO [00003486] * RD COMPARE * port=1 adr=15 act=9A55A5F5 exp=9A55A5F5 + 3484.50ns INFO [00003486] Port=0 WR @03=046757D7 + 3485.50ns INFO [00003487] Port=0 WR @07=3AA9A222 + 3485.50ns INFO [00003487] Port=0 RD @02 + 3485.50ns INFO [00003487] Port=1 RD @00 + 3487.50ns INFO [00003489] * RD COMPARE * port=0 adr=02 act=C33EF07C exp=C33EF07C + 3487.50ns INFO [00003489] * RD COMPARE * port=1 adr=00 act=F22B0159 exp=F22B0159 + 3487.50ns INFO [00003489] Port=0 WR @0D=A1E22952 + 3489.50ns INFO [00003491] Port=0 WR @03=31F4D8C4 + 3490.50ns INFO [00003492] Port=1 RD @1B + 3492.50ns INFO [00003494] * RD COMPARE * port=1 adr=1B act=34602B20 exp=34602B20 + 3493.50ns INFO [00003495] Port=0 RD @10 + 3493.50ns INFO [00003495] Port=1 RD @0E + 3495.50ns INFO [00003497] * RD COMPARE * port=0 adr=10 act=5243C0CC exp=5243C0CC + 3495.50ns INFO [00003497] * RD COMPARE * port=1 adr=0E act=B7C45060 exp=B7C45060 + 3497.50ns INFO [00003499] Port=0 WR @04=9ACF2B02 + 3498.00ns INFO [00003500] [00003500] ...tick... + 3499.50ns INFO [00003501] Port=0 WR @16=6CC277FF + 3499.50ns INFO [00003501] Port=1 RD @0B + 3500.50ns INFO [00003502] Port=0 RD @12 + 3500.50ns INFO [00003502] Port=1 RD @15 + 3501.50ns INFO [00003503] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D + 3501.50ns INFO [00003503] Port=1 RD @07 + 3502.50ns INFO [00003504] * RD COMPARE * port=0 adr=12 act=8213010E exp=8213010E + 3502.50ns INFO [00003504] * RD COMPARE * port=1 adr=15 act=9A55A5F5 exp=9A55A5F5 + 3502.50ns INFO [00003504] Port=0 WR @00=EA56E4C4 + 3503.50ns INFO [00003505] * RD COMPARE * port=1 adr=07 act=3AA9A222 exp=3AA9A222 + 3503.50ns INFO [00003505] Port=0 RD @03 + 3503.50ns INFO [00003505] Port=1 RD @13 + 3504.50ns INFO [00003506] Port=0 RD @16 + 3505.50ns INFO [00003507] * RD COMPARE * port=0 adr=03 act=31F4D8C4 exp=31F4D8C4 + 3505.50ns INFO [00003507] * RD COMPARE * port=1 adr=13 act=355A448E exp=355A448E + 3506.50ns INFO [00003508] * RD COMPARE * port=0 adr=16 act=6CC277FF exp=6CC277FF + 3506.50ns INFO [00003508] Port=0 RD @00 + 3506.50ns INFO [00003508] Port=1 RD @03 + 3507.50ns INFO [00003509] Port=0 RD @11 + 3508.50ns INFO [00003510] * RD COMPARE * port=0 adr=00 act=EA56E4C4 exp=EA56E4C4 + 3508.50ns INFO [00003510] * RD COMPARE * port=1 adr=03 act=31F4D8C4 exp=31F4D8C4 + 3508.50ns INFO [00003510] Port=0 RD @16 + 3509.50ns INFO [00003511] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788 + 3510.50ns INFO [00003512] * RD COMPARE * port=0 adr=16 act=6CC277FF exp=6CC277FF + 3510.50ns INFO [00003512] Port=0 RD @07 + 3511.50ns INFO [00003513] Port=0 RD @1B + 3512.50ns INFO [00003514] * RD COMPARE * port=0 adr=07 act=3AA9A222 exp=3AA9A222 + 3513.50ns INFO [00003515] * RD COMPARE * port=0 adr=1B act=34602B20 exp=34602B20 + 3513.50ns INFO [00003515] Port=0 WR @07=FB1F2FAE + 3514.50ns INFO [00003516] Port=0 RD @0F + 3514.50ns INFO [00003516] Port=1 RD @0C + 3515.50ns INFO [00003517] Port=1 RD @0B + 3516.50ns INFO [00003518] * RD COMPARE * port=0 adr=0F act=C72E8FAF exp=C72E8FAF + 3516.50ns INFO [00003518] * RD COMPARE * port=1 adr=0C act=331C2633 exp=331C2633 + 3516.50ns INFO [00003518] Port=0 WR @08=16E2CF6E + 3516.50ns INFO [00003518] Port=0 RD @11 + 3516.50ns INFO [00003518] Port=1 RD @06 + 3517.50ns INFO [00003519] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D + 3518.50ns INFO [00003520] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788 + 3518.50ns INFO [00003520] * RD COMPARE * port=1 adr=06 act=69A35247 exp=69A35247 + 3518.50ns INFO [00003520] Port=0 WR @0C=BD9A5D3D + 3519.50ns INFO [00003521] Port=0 RD @03 + 3519.50ns INFO [00003521] Port=1 RD @1A + 3520.50ns INFO [00003522] Port=0 RD @12 + 3521.50ns INFO [00003523] * RD COMPARE * port=0 adr=03 act=31F4D8C4 exp=31F4D8C4 + 3521.50ns INFO [00003523] * RD COMPARE * port=1 adr=1A act=232996FC exp=232996FC + 3522.50ns INFO [00003524] * RD COMPARE * port=0 adr=12 act=8213010E exp=8213010E + 3522.50ns INFO [00003524] Port=1 RD @05 + 3523.50ns INFO [00003525] Port=0 WR @15=0EACD405 + 3524.50ns INFO [00003526] * RD COMPARE * port=1 adr=05 act=CA673CAE exp=CA673CAE + 3524.50ns INFO [00003526] Port=0 RD @1E + 3524.50ns INFO [00003526] Port=1 RD @14 + 3525.50ns INFO [00003527] Port=0 WR @04=B097DB21 + 3525.50ns INFO [00003527] Port=0 RD @0C + 3526.50ns INFO [00003528] * RD COMPARE * port=0 adr=1E act=23EF9BE3 exp=23EF9BE3 + 3526.50ns INFO [00003528] * RD COMPARE * port=1 adr=14 act=CB063A9F exp=CB063A9F + 3527.50ns INFO [00003529] * RD COMPARE * port=0 adr=0C act=BD9A5D3D exp=BD9A5D3D + 3529.50ns INFO [00003531] Port=1 RD @0D + 3530.50ns INFO [00003532] Port=0 WR @1A=7148F5BD + 3531.50ns INFO [00003533] * RD COMPARE * port=1 adr=0D act=A1E22952 exp=A1E22952 + 3531.50ns INFO [00003533] Port=1 RD @17 + 3533.50ns INFO [00003535] * RD COMPARE * port=1 adr=17 act=0A3E5428 exp=0A3E5428 + 3533.50ns INFO [00003535] Port=0 WR @0D=BC08264B + 3533.50ns INFO [00003535] Port=0 RD @13 + 3534.50ns INFO [00003536] Port=0 WR @1C=A329C34A + 3535.50ns INFO [00003537] * RD COMPARE * port=0 adr=13 act=355A448E exp=355A448E + 3535.50ns INFO [00003537] Port=0 WR @1F=3AC8B92F + 3535.50ns INFO [00003537] Port=0 RD @16 + 3535.50ns INFO [00003537] Port=1 RD @01 + 3537.50ns INFO [00003539] * RD COMPARE * port=0 adr=16 act=6CC277FF exp=6CC277FF + 3537.50ns INFO [00003539] * RD COMPARE * port=1 adr=01 act=20255232 exp=20255232 + 3538.50ns INFO [00003540] Port=0 WR @19=96F0C1DB + 3539.50ns INFO [00003541] Port=0 WR @13=A2ED429C + 3539.50ns INFO [00003541] Port=0 RD @14 + 3540.50ns INFO [00003542] Port=0 RD @1A + 3540.50ns INFO [00003542] Port=1 RD @19 + 3541.50ns INFO [00003543] * RD COMPARE * port=0 adr=14 act=CB063A9F exp=CB063A9F + 3542.50ns INFO [00003544] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3542.50ns INFO [00003544] * RD COMPARE * port=1 adr=19 act=96F0C1DB exp=96F0C1DB + 3542.50ns INFO [00003544] Port=0 WR @14=D49682C8 + 3543.50ns INFO [00003545] Port=0 WR @1D=D71A1667 + 3545.50ns INFO [00003547] Port=0 RD @0D + 3545.50ns INFO [00003547] Port=1 RD @09 + 3546.50ns INFO [00003548] Port=0 RD @1A + 3547.50ns INFO [00003549] * RD COMPARE * port=0 adr=0D act=BC08264B exp=BC08264B + 3547.50ns INFO [00003549] * RD COMPARE * port=1 adr=09 act=7AF9AFCC exp=7AF9AFCC + 3547.50ns INFO [00003549] Port=1 RD @17 + 3548.50ns INFO [00003550] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3548.50ns INFO [00003550] Port=0 WR @09=D435D49C + 3548.50ns INFO [00003550] Port=0 RD @02 + 3549.50ns INFO [00003551] * RD COMPARE * port=1 adr=17 act=0A3E5428 exp=0A3E5428 + 3549.50ns INFO [00003551] Port=0 WR @07=B09318EB + 3550.50ns INFO [00003552] * RD COMPARE * port=0 adr=02 act=C33EF07C exp=C33EF07C + 3550.50ns INFO [00003552] Port=0 RD @0E + 3550.50ns INFO [00003552] Port=1 RD @07 + 3551.50ns INFO [00003553] Port=0 RD @06 + 3552.50ns INFO [00003554] * RD COMPARE * port=0 adr=0E act=B7C45060 exp=B7C45060 + 3552.50ns INFO [00003554] * RD COMPARE * port=1 adr=07 act=B09318EB exp=B09318EB + 3552.50ns INFO [00003554] Port=0 WR @05=ED2E8489 + 3553.50ns INFO [00003555] * RD COMPARE * port=0 adr=06 act=69A35247 exp=69A35247 + 3553.50ns INFO [00003555] Port=0 WR @08=EC247DCD + 3553.50ns INFO [00003555] Port=0 RD @07 + 3554.50ns INFO [00003556] Port=0 RD @04 + 3555.50ns INFO [00003557] * RD COMPARE * port=0 adr=07 act=B09318EB exp=B09318EB + 3555.50ns INFO [00003557] Port=1 RD @02 + 3556.50ns INFO [00003558] * RD COMPARE * port=0 adr=04 act=B097DB21 exp=B097DB21 + 3556.50ns INFO [00003558] Port=1 RD @06 + 3557.50ns INFO [00003559] * RD COMPARE * port=1 adr=02 act=C33EF07C exp=C33EF07C + 3557.50ns INFO [00003559] Port=0 WR @1F=42DDB7B7 + 3558.50ns INFO [00003560] * RD COMPARE * port=1 adr=06 act=69A35247 exp=69A35247 + 3558.50ns INFO [00003560] Port=0 WR @0C=65083B1F + 3558.50ns INFO [00003560] Port=0 RD @06 + 3559.50ns INFO [00003561] Port=0 WR @09=D3FD9326 + 3560.50ns INFO [00003562] * RD COMPARE * port=0 adr=06 act=69A35247 exp=69A35247 + 3560.50ns INFO [00003562] Port=0 RD @0E + 3561.50ns INFO [00003563] Port=0 RD @13 + 3562.50ns INFO [00003564] * RD COMPARE * port=0 adr=0E act=B7C45060 exp=B7C45060 + 3562.50ns INFO [00003564] Port=0 RD @06 + 3562.50ns INFO [00003564] Port=1 RD @17 + 3563.50ns INFO [00003565] * RD COMPARE * port=0 adr=13 act=A2ED429C exp=A2ED429C + 3563.50ns INFO [00003565] Port=0 RD @1D + 3564.50ns INFO [00003566] * RD COMPARE * port=0 adr=06 act=69A35247 exp=69A35247 + 3564.50ns INFO [00003566] * RD COMPARE * port=1 adr=17 act=0A3E5428 exp=0A3E5428 + 3564.50ns INFO [00003566] Port=0 RD @06 + 3564.50ns INFO [00003566] Port=1 RD @13 + 3565.50ns INFO [00003567] * RD COMPARE * port=0 adr=1D act=D71A1667 exp=D71A1667 + 3565.50ns INFO [00003567] Port=0 WR @0C=7D913732 + 3565.50ns INFO [00003567] Port=1 RD @0B + 3566.50ns INFO [00003568] * RD COMPARE * port=0 adr=06 act=69A35247 exp=69A35247 + 3566.50ns INFO [00003568] * RD COMPARE * port=1 adr=13 act=A2ED429C exp=A2ED429C + 3566.50ns INFO [00003568] Port=0 WR @06=3F0F65A4 + 3566.50ns INFO [00003568] Port=0 RD @15 + 3566.50ns INFO [00003568] Port=1 RD @1B + 3567.50ns INFO [00003569] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D + 3567.50ns INFO [00003569] Port=0 RD @06 + 3568.50ns INFO [00003570] * RD COMPARE * port=0 adr=15 act=0EACD405 exp=0EACD405 + 3568.50ns INFO [00003570] * RD COMPARE * port=1 adr=1B act=34602B20 exp=34602B20 + 3568.50ns INFO [00003570] Port=1 RD @0B + 3569.50ns INFO [00003571] * RD COMPARE * port=0 adr=06 act=3F0F65A4 exp=3F0F65A4 + 3569.50ns INFO [00003571] Port=0 WR @00=9C4AEA4F + 3570.50ns INFO [00003572] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D + 3570.50ns INFO [00003572] Port=1 RD @15 + 3571.50ns INFO [00003573] Port=0 RD @13 + 3572.50ns INFO [00003574] * RD COMPARE * port=1 adr=15 act=0EACD405 exp=0EACD405 + 3572.50ns INFO [00003574] Port=0 RD @11 + 3572.50ns INFO [00003574] Port=1 RD @11 + 3573.50ns INFO [00003575] * RD COMPARE * port=0 adr=13 act=A2ED429C exp=A2ED429C + 3573.50ns INFO [00003575] Port=0 RD @0E + 3574.50ns INFO [00003576] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788 + 3574.50ns INFO [00003576] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788 + 3574.50ns INFO [00003576] Port=1 RD @02 + 3575.50ns INFO [00003577] * RD COMPARE * port=0 adr=0E act=B7C45060 exp=B7C45060 + 3576.50ns INFO [00003578] * RD COMPARE * port=1 adr=02 act=C33EF07C exp=C33EF07C + 3576.50ns INFO [00003578] Port=0 RD @09 + 3576.50ns INFO [00003578] Port=1 RD @1D + 3577.50ns INFO [00003579] Port=0 WR @1F=DD9746AC + 3577.50ns INFO [00003579] Port=1 RD @16 + 3578.50ns INFO [00003580] * RD COMPARE * port=0 adr=09 act=D3FD9326 exp=D3FD9326 + 3578.50ns INFO [00003580] * RD COMPARE * port=1 adr=1D act=D71A1667 exp=D71A1667 + 3578.50ns INFO [00003580] Port=0 WR @0E=85ECED2A + 3578.50ns INFO [00003580] Port=1 RD @0F + 3579.50ns INFO [00003581] * RD COMPARE * port=1 adr=16 act=6CC277FF exp=6CC277FF + 3579.50ns INFO [00003581] Port=0 RD @0D + 3579.50ns INFO [00003581] Port=1 RD @04 + 3580.50ns INFO [00003582] * RD COMPARE * port=1 adr=0F act=C72E8FAF exp=C72E8FAF + 3580.50ns INFO [00003582] Port=0 WR @06=DB410929 + 3580.50ns INFO [00003582] Port=0 RD @17 + 3580.50ns INFO [00003582] Port=1 RD @05 + 3581.50ns INFO [00003583] * RD COMPARE * port=0 adr=0D act=BC08264B exp=BC08264B + 3581.50ns INFO [00003583] * RD COMPARE * port=1 adr=04 act=B097DB21 exp=B097DB21 + 3582.50ns INFO [00003584] * RD COMPARE * port=0 adr=17 act=0A3E5428 exp=0A3E5428 + 3582.50ns INFO [00003584] * RD COMPARE * port=1 adr=05 act=ED2E8489 exp=ED2E8489 + 3582.50ns INFO [00003584] Port=0 WR @18=4E4648C9 + 3584.50ns INFO [00003586] Port=0 WR @13=E5F2D921 + 3584.50ns INFO [00003586] Port=0 RD @02 + 3585.50ns INFO [00003587] Port=0 WR @00=84E67FAF + 3585.50ns INFO [00003587] Port=0 RD @04 + 3585.50ns INFO [00003587] Port=1 RD @1D + 3586.50ns INFO [00003588] * RD COMPARE * port=0 adr=02 act=C33EF07C exp=C33EF07C + 3586.50ns INFO [00003588] Port=0 RD @08 + 3586.50ns INFO [00003588] Port=1 RD @01 + 3587.50ns INFO [00003589] * RD COMPARE * port=0 adr=04 act=B097DB21 exp=B097DB21 + 3587.50ns INFO [00003589] * RD COMPARE * port=1 adr=1D act=D71A1667 exp=D71A1667 + 3588.50ns INFO [00003590] * RD COMPARE * port=0 adr=08 act=EC247DCD exp=EC247DCD + 3588.50ns INFO [00003590] * RD COMPARE * port=1 adr=01 act=20255232 exp=20255232 + 3588.50ns INFO [00003590] Port=0 WR @0A=27FF3289 + 3588.50ns INFO [00003590] Port=0 RD @0C + 3589.50ns INFO [00003591] Port=0 WR @18=78465D69 + 3589.50ns INFO [00003591] Port=0 RD @06 + 3590.50ns INFO [00003592] * RD COMPARE * port=0 adr=0C act=7D913732 exp=7D913732 + 3590.50ns INFO [00003592] Port=1 RD @0A + 3591.50ns INFO [00003593] * RD COMPARE * port=0 adr=06 act=DB410929 exp=DB410929 + 3592.50ns INFO [00003594] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289 + 3592.50ns INFO [00003594] Port=0 RD @05 + 3593.50ns INFO [00003595] Port=0 RD @1D + 3594.50ns INFO [00003596] * RD COMPARE * port=0 adr=05 act=ED2E8489 exp=ED2E8489 + 3595.50ns INFO [00003597] * RD COMPARE * port=0 adr=1D act=D71A1667 exp=D71A1667 + 3596.50ns INFO [00003598] Port=0 RD @1E + 3596.50ns INFO [00003598] Port=1 RD @07 + 3597.50ns INFO [00003599] Port=0 WR @19=649B96AE + 3597.50ns INFO [00003599] Port=1 RD @1A + 3598.00ns INFO [00003600] [00003600] ...tick... + 3598.50ns INFO [00003600] * RD COMPARE * port=0 adr=1E act=23EF9BE3 exp=23EF9BE3 + 3598.50ns INFO [00003600] * RD COMPARE * port=1 adr=07 act=B09318EB exp=B09318EB + 3598.50ns INFO [00003600] Port=0 RD @1D + 3599.50ns INFO [00003601] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD + 3599.50ns INFO [00003601] Port=0 WR @1E=2D384C5B + 3599.50ns INFO [00003601] Port=0 RD @02 + 3600.50ns INFO [00003602] * RD COMPARE * port=0 adr=1D act=D71A1667 exp=D71A1667 + 3601.50ns INFO [00003603] * RD COMPARE * port=0 adr=02 act=C33EF07C exp=C33EF07C + 3601.50ns INFO [00003603] Port=0 RD @0A + 3601.50ns INFO [00003603] Port=1 RD @0A + 3602.50ns INFO [00003604] Port=0 RD @19 + 3603.50ns INFO [00003605] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289 + 3603.50ns INFO [00003605] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289 + 3603.50ns INFO [00003605] Port=0 WR @0F=FA4855D6 + 3604.50ns INFO [00003606] * RD COMPARE * port=0 adr=19 act=649B96AE exp=649B96AE + 3604.50ns INFO [00003606] Port=1 RD @17 + 3605.50ns INFO [00003607] Port=0 WR @01=E2387B30 + 3606.50ns INFO [00003608] * RD COMPARE * port=1 adr=17 act=0A3E5428 exp=0A3E5428 + 3606.50ns INFO [00003608] Port=0 WR @17=75ABF08A + 3607.50ns INFO [00003609] Port=0 RD @1F + 3608.50ns INFO [00003610] Port=0 RD @0C + 3609.50ns INFO [00003611] * RD COMPARE * port=0 adr=1F act=DD9746AC exp=DD9746AC + 3609.50ns INFO [00003611] Port=0 WR @0C=32944E84 + 3610.50ns INFO [00003612] * RD COMPARE * port=0 adr=0C act=7D913732 exp=7D913732 + 3610.50ns INFO [00003612] Port=0 RD @07 + 3611.50ns INFO [00003613] Port=0 WR @0B=0089B506 + 3611.50ns INFO [00003613] Port=1 RD @05 + 3612.50ns INFO [00003614] * RD COMPARE * port=0 adr=07 act=B09318EB exp=B09318EB + 3612.50ns INFO [00003614] Port=0 RD @1A + 3612.50ns INFO [00003614] Port=1 RD @1F + 3613.50ns INFO [00003615] * RD COMPARE * port=1 adr=05 act=ED2E8489 exp=ED2E8489 + 3613.50ns INFO [00003615] Port=1 RD @11 + 3614.50ns INFO [00003616] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3614.50ns INFO [00003616] * RD COMPARE * port=1 adr=1F act=DD9746AC exp=DD9746AC + 3615.50ns INFO [00003617] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788 + 3615.50ns INFO [00003617] Port=0 WR @05=BE6FD62D + 3615.50ns INFO [00003617] Port=0 RD @1C + 3615.50ns INFO [00003617] Port=1 RD @18 + 3616.50ns INFO [00003618] Port=0 WR @00=DE6CAC03 + 3616.50ns INFO [00003618] Port=0 RD @03 + 3616.50ns INFO [00003618] Port=1 RD @1D + 3617.50ns INFO [00003619] * RD COMPARE * port=0 adr=1C act=A329C34A exp=A329C34A + 3617.50ns INFO [00003619] * RD COMPARE * port=1 adr=18 act=78465D69 exp=78465D69 + 3618.50ns INFO [00003620] * RD COMPARE * port=0 adr=03 act=31F4D8C4 exp=31F4D8C4 + 3618.50ns INFO [00003620] * RD COMPARE * port=1 adr=1D act=D71A1667 exp=D71A1667 + 3618.50ns INFO [00003620] Port=0 RD @0F + 3619.50ns INFO [00003621] Port=0 RD @17 + 3620.50ns INFO [00003622] * RD COMPARE * port=0 adr=0F act=FA4855D6 exp=FA4855D6 + 3621.50ns INFO [00003623] * RD COMPARE * port=0 adr=17 act=75ABF08A exp=75ABF08A + 3621.50ns INFO [00003623] Port=0 RD @1D + 3622.50ns INFO [00003624] Port=0 RD @1F + 3622.50ns INFO [00003624] Port=1 RD @05 + 3623.50ns INFO [00003625] * RD COMPARE * port=0 adr=1D act=D71A1667 exp=D71A1667 + 3623.50ns INFO [00003625] Port=0 WR @16=F1ECF1E6 + 3623.50ns INFO [00003625] Port=0 RD @1A + 3623.50ns INFO [00003625] Port=1 RD @0A + 3624.50ns INFO [00003626] * RD COMPARE * port=0 adr=1F act=DD9746AC exp=DD9746AC + 3624.50ns INFO [00003626] * RD COMPARE * port=1 adr=05 act=BE6FD62D exp=BE6FD62D + 3625.50ns INFO [00003627] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3625.50ns INFO [00003627] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289 + 3625.50ns INFO [00003627] Port=1 RD @0D + 3626.50ns INFO [00003628] Port=0 RD @09 + 3626.50ns INFO [00003628] Port=1 RD @0F + 3627.50ns INFO [00003629] * RD COMPARE * port=1 adr=0D act=BC08264B exp=BC08264B + 3627.50ns INFO [00003629] Port=0 RD @17 + 3628.50ns INFO [00003630] * RD COMPARE * port=0 adr=09 act=D3FD9326 exp=D3FD9326 + 3628.50ns INFO [00003630] * RD COMPARE * port=1 adr=0F act=FA4855D6 exp=FA4855D6 + 3628.50ns INFO [00003630] Port=0 WR @09=979EC5D8 + 3628.50ns INFO [00003630] Port=1 RD @15 + 3629.50ns INFO [00003631] * RD COMPARE * port=0 adr=17 act=75ABF08A exp=75ABF08A + 3629.50ns INFO [00003631] Port=0 WR @03=03D5801C + 3629.50ns INFO [00003631] Port=1 RD @00 + 3630.50ns INFO [00003632] * RD COMPARE * port=1 adr=15 act=0EACD405 exp=0EACD405 + 3630.50ns INFO [00003632] Port=0 RD @00 + 3631.50ns INFO [00003633] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03 + 3631.50ns INFO [00003633] Port=1 RD @00 + 3632.50ns INFO [00003634] * RD COMPARE * port=0 adr=00 act=DE6CAC03 exp=DE6CAC03 + 3632.50ns INFO [00003634] Port=0 RD @16 + 3633.50ns INFO [00003635] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03 + 3634.50ns INFO [00003636] * RD COMPARE * port=0 adr=16 act=F1ECF1E6 exp=F1ECF1E6 + 3634.50ns INFO [00003636] Port=0 RD @1B + 3635.50ns INFO [00003637] Port=0 WR @05=3C73062D + 3635.50ns INFO [00003637] Port=0 RD @0E + 3635.50ns INFO [00003637] Port=1 RD @08 + 3636.50ns INFO [00003638] * RD COMPARE * port=0 adr=1B act=34602B20 exp=34602B20 + 3636.50ns INFO [00003638] Port=0 WR @1C=69C7C70A + 3636.50ns INFO [00003638] Port=0 RD @19 + 3637.50ns INFO [00003639] * RD COMPARE * port=0 adr=0E act=85ECED2A exp=85ECED2A + 3637.50ns INFO [00003639] * RD COMPARE * port=1 adr=08 act=EC247DCD exp=EC247DCD + 3637.50ns INFO [00003639] Port=0 RD @00 + 3638.50ns INFO [00003640] * RD COMPARE * port=0 adr=19 act=649B96AE exp=649B96AE + 3639.50ns INFO [00003641] * RD COMPARE * port=0 adr=00 act=DE6CAC03 exp=DE6CAC03 + 3639.50ns INFO [00003641] Port=1 RD @0F + 3640.50ns INFO [00003642] Port=0 WR @03=99EA025E + 3641.50ns INFO [00003643] * RD COMPARE * port=1 adr=0F act=FA4855D6 exp=FA4855D6 + 3641.50ns INFO [00003643] Port=1 RD @0F + 3642.50ns INFO [00003644] Port=0 WR @0E=EEA5B644 + 3642.50ns INFO [00003644] Port=1 RD @06 + 3643.50ns INFO [00003645] * RD COMPARE * port=1 adr=0F act=FA4855D6 exp=FA4855D6 + 3644.50ns INFO [00003646] * RD COMPARE * port=1 adr=06 act=DB410929 exp=DB410929 + 3644.50ns INFO [00003646] Port=1 RD @16 + 3645.50ns INFO [00003647] Port=1 RD @18 + 3646.50ns INFO [00003648] * RD COMPARE * port=1 adr=16 act=F1ECF1E6 exp=F1ECF1E6 + 3646.50ns INFO [00003648] Port=0 WR @05=CFBBE2FA + 3647.50ns INFO [00003649] * RD COMPARE * port=1 adr=18 act=78465D69 exp=78465D69 + 3647.50ns INFO [00003649] Port=0 WR @05=3D2CFF8F + 3648.50ns INFO [00003650] Port=0 WR @04=12A2E1D4 + 3648.50ns INFO [00003650] Port=0 RD @0E + 3648.50ns INFO [00003650] Port=1 RD @1A + 3649.50ns INFO [00003651] Port=0 RD @18 + 3650.50ns INFO [00003652] * RD COMPARE * port=0 adr=0E act=EEA5B644 exp=EEA5B644 + 3650.50ns INFO [00003652] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD + 3650.50ns INFO [00003652] Port=0 WR @0E=8DBC11C5 + 3650.50ns INFO [00003652] Port=0 RD @05 + 3650.50ns INFO [00003652] Port=1 RD @03 + 3651.50ns INFO [00003653] * RD COMPARE * port=0 adr=18 act=78465D69 exp=78465D69 + 3651.50ns INFO [00003653] Port=0 WR @09=852D9F82 + 3651.50ns INFO [00003653] Port=0 RD @11 + 3651.50ns INFO [00003653] Port=1 RD @00 + 3652.50ns INFO [00003654] * RD COMPARE * port=0 adr=05 act=3D2CFF8F exp=3D2CFF8F + 3652.50ns INFO [00003654] * RD COMPARE * port=1 adr=03 act=99EA025E exp=99EA025E + 3653.50ns INFO [00003655] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788 + 3653.50ns INFO [00003655] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03 + 3653.50ns INFO [00003655] Port=0 RD @14 + 3653.50ns INFO [00003655] Port=1 RD @15 + 3655.50ns INFO [00003657] * RD COMPARE * port=0 adr=14 act=D49682C8 exp=D49682C8 + 3655.50ns INFO [00003657] * RD COMPARE * port=1 adr=15 act=0EACD405 exp=0EACD405 + 3655.50ns INFO [00003657] Port=0 WR @13=CDDB79C6 + 3657.50ns INFO [00003659] Port=0 RD @1A + 3659.50ns INFO [00003661] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3659.50ns INFO [00003661] Port=0 WR @16=B429109B + 3659.50ns INFO [00003661] Port=0 RD @12 + 3660.50ns INFO [00003662] Port=0 RD @0B + 3661.50ns INFO [00003663] * RD COMPARE * port=0 adr=12 act=8213010E exp=8213010E + 3661.50ns INFO [00003663] Port=0 WR @18=CA130F71 + 3662.50ns INFO [00003664] * RD COMPARE * port=0 adr=0B act=0089B506 exp=0089B506 + 3662.50ns INFO [00003664] Port=0 WR @16=22E77B56 + 3662.50ns INFO [00003664] Port=1 RD @0B + 3663.50ns INFO [00003665] Port=1 RD @09 + 3664.50ns INFO [00003666] * RD COMPARE * port=1 adr=0B act=0089B506 exp=0089B506 + 3664.50ns INFO [00003666] Port=0 WR @19=EFD85E92 + 3664.50ns INFO [00003666] Port=0 RD @1E + 3664.50ns INFO [00003666] Port=1 RD @06 + 3665.50ns INFO [00003667] * RD COMPARE * port=1 adr=09 act=852D9F82 exp=852D9F82 + 3665.50ns INFO [00003667] Port=0 WR @03=7B9CAFEA + 3666.50ns INFO [00003668] * RD COMPARE * port=0 adr=1E act=2D384C5B exp=2D384C5B + 3666.50ns INFO [00003668] * RD COMPARE * port=1 adr=06 act=DB410929 exp=DB410929 + 3666.50ns INFO [00003668] Port=0 WR @13=A49F36A2 + 3667.50ns INFO [00003669] Port=0 WR @1F=A505F7BA + 3667.50ns INFO [00003669] Port=1 RD @06 + 3668.50ns INFO [00003670] Port=1 RD @11 + 3669.50ns INFO [00003671] * RD COMPARE * port=1 adr=06 act=DB410929 exp=DB410929 + 3670.50ns INFO [00003672] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788 + 3670.50ns INFO [00003672] Port=0 WR @12=760FE161 + 3670.50ns INFO [00003672] Port=0 RD @0B + 3671.50ns INFO [00003673] Port=1 RD @16 + 3672.50ns INFO [00003674] * RD COMPARE * port=0 adr=0B act=0089B506 exp=0089B506 + 3672.50ns INFO [00003674] Port=0 RD @06 + 3673.50ns INFO [00003675] * RD COMPARE * port=1 adr=16 act=22E77B56 exp=22E77B56 + 3673.50ns INFO [00003675] Port=0 RD @05 + 3674.50ns INFO [00003676] * RD COMPARE * port=0 adr=06 act=DB410929 exp=DB410929 + 3674.50ns INFO [00003676] Port=0 RD @11 + 3674.50ns INFO [00003676] Port=1 RD @1F + 3675.50ns INFO [00003677] * RD COMPARE * port=0 adr=05 act=3D2CFF8F exp=3D2CFF8F + 3675.50ns INFO [00003677] Port=0 RD @0E + 3675.50ns INFO [00003677] Port=1 RD @14 + 3676.50ns INFO [00003678] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788 + 3676.50ns INFO [00003678] * RD COMPARE * port=1 adr=1F act=A505F7BA exp=A505F7BA + 3676.50ns INFO [00003678] Port=0 RD @1A + 3676.50ns INFO [00003678] Port=1 RD @08 + 3677.50ns INFO [00003679] * RD COMPARE * port=0 adr=0E act=8DBC11C5 exp=8DBC11C5 + 3677.50ns INFO [00003679] * RD COMPARE * port=1 adr=14 act=D49682C8 exp=D49682C8 + 3677.50ns INFO [00003679] Port=0 RD @0D + 3677.50ns INFO [00003679] Port=1 RD @1C + 3678.50ns INFO [00003680] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3678.50ns INFO [00003680] * RD COMPARE * port=1 adr=08 act=EC247DCD exp=EC247DCD + 3679.50ns INFO [00003681] * RD COMPARE * port=0 adr=0D act=BC08264B exp=BC08264B + 3679.50ns INFO [00003681] * RD COMPARE * port=1 adr=1C act=69C7C70A exp=69C7C70A + 3679.50ns INFO [00003681] Port=0 RD @07 + 3679.50ns INFO [00003681] Port=1 RD @1D + 3680.50ns INFO [00003682] Port=0 WR @18=2EE4073D + 3681.50ns INFO [00003683] * RD COMPARE * port=0 adr=07 act=B09318EB exp=B09318EB + 3681.50ns INFO [00003683] * RD COMPARE * port=1 adr=1D act=D71A1667 exp=D71A1667 + 3681.50ns INFO [00003683] Port=0 WR @0D=6AEA76A7 + 3681.50ns INFO [00003683] Port=1 RD @01 + 3682.50ns INFO [00003684] Port=0 RD @16 + 3682.50ns INFO [00003684] Port=1 RD @09 + 3683.50ns INFO [00003685] * RD COMPARE * port=1 adr=01 act=E2387B30 exp=E2387B30 + 3683.50ns INFO [00003685] Port=1 RD @19 + 3684.50ns INFO [00003686] * RD COMPARE * port=0 adr=16 act=22E77B56 exp=22E77B56 + 3684.50ns INFO [00003686] * RD COMPARE * port=1 adr=09 act=852D9F82 exp=852D9F82 + 3685.50ns INFO [00003687] * RD COMPARE * port=1 adr=19 act=EFD85E92 exp=EFD85E92 + 3686.50ns INFO [00003688] Port=0 WR @15=B8EF74A8 + 3687.50ns INFO [00003689] Port=1 RD @1B + 3689.50ns INFO [00003691] * RD COMPARE * port=1 adr=1B act=34602B20 exp=34602B20 + 3689.50ns INFO [00003691] Port=0 RD @18 + 3689.50ns INFO [00003691] Port=1 RD @00 + 3690.50ns INFO [00003692] Port=0 RD @1F + 3691.50ns INFO [00003693] * RD COMPARE * port=0 adr=18 act=2EE4073D exp=2EE4073D + 3691.50ns INFO [00003693] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03 + 3691.50ns INFO [00003693] Port=0 RD @0C + 3691.50ns INFO [00003693] Port=1 RD @11 + 3692.50ns INFO [00003694] * RD COMPARE * port=0 adr=1F act=A505F7BA exp=A505F7BA + 3692.50ns INFO [00003694] Port=0 WR @19=5C7E17A9 + 3692.50ns INFO [00003694] Port=1 RD @13 + 3693.50ns INFO [00003695] * RD COMPARE * port=0 adr=0C act=32944E84 exp=32944E84 + 3693.50ns INFO [00003695] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788 + 3693.50ns INFO [00003695] Port=1 RD @0A + 3694.50ns INFO [00003696] * RD COMPARE * port=1 adr=13 act=A49F36A2 exp=A49F36A2 + 3694.50ns INFO [00003696] Port=1 RD @01 + 3695.50ns INFO [00003697] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289 + 3695.50ns INFO [00003697] Port=0 WR @19=B9F075EB + 3696.50ns INFO [00003698] * RD COMPARE * port=1 adr=01 act=E2387B30 exp=E2387B30 + 3696.50ns INFO [00003698] Port=0 WR @14=E4CABCA0 + 3696.50ns INFO [00003698] Port=0 RD @1C + 3698.00ns INFO [00003700] [00003700] ...tick... + 3698.50ns INFO [00003700] * RD COMPARE * port=0 adr=1C act=69C7C70A exp=69C7C70A + 3698.50ns INFO [00003700] Port=0 WR @0F=10355913 + 3698.50ns INFO [00003700] Port=0 RD @0A + 3699.50ns INFO [00003701] Port=0 WR @0F=D0F68D91 + 3700.50ns INFO [00003702] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289 + 3700.50ns INFO [00003702] Port=1 RD @0B + 3701.50ns INFO [00003703] Port=0 RD @03 + 3702.50ns INFO [00003704] * RD COMPARE * port=1 adr=0B act=0089B506 exp=0089B506 + 3702.50ns INFO [00003704] Port=0 WR @1D=A748524B + 3703.50ns INFO [00003705] * RD COMPARE * port=0 adr=03 act=7B9CAFEA exp=7B9CAFEA + 3703.50ns INFO [00003705] Port=0 WR @01=40250265 + 3703.50ns INFO [00003705] Port=0 RD @0C + 3704.50ns INFO [00003706] Port=0 RD @1D + 3704.50ns INFO [00003706] Port=1 RD @1D + 3705.50ns INFO [00003707] * RD COMPARE * port=0 adr=0C act=32944E84 exp=32944E84 + 3705.50ns INFO [00003707] Port=0 WR @02=9013ED01 + 3705.50ns INFO [00003707] Port=0 RD @04 + 3705.50ns INFO [00003707] Port=1 RD @00 + 3706.50ns INFO [00003708] * RD COMPARE * port=0 adr=1D act=A748524B exp=A748524B + 3706.50ns INFO [00003708] * RD COMPARE * port=1 adr=1D act=A748524B exp=A748524B + 3706.50ns INFO [00003708] Port=1 RD @05 + 3707.50ns INFO [00003709] * RD COMPARE * port=0 adr=04 act=12A2E1D4 exp=12A2E1D4 + 3707.50ns INFO [00003709] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03 + 3707.50ns INFO [00003709] Port=0 WR @09=75325115 + 3707.50ns INFO [00003709] Port=0 RD @1C + 3708.50ns INFO [00003710] * RD COMPARE * port=1 adr=05 act=3D2CFF8F exp=3D2CFF8F + 3708.50ns INFO [00003710] Port=0 RD @0E + 3709.50ns INFO [00003711] * RD COMPARE * port=0 adr=1C act=69C7C70A exp=69C7C70A + 3709.50ns INFO [00003711] Port=1 RD @1D + 3710.50ns INFO [00003712] * RD COMPARE * port=0 adr=0E act=8DBC11C5 exp=8DBC11C5 + 3710.50ns INFO [00003712] Port=0 WR @1D=F2404F9D + 3710.50ns INFO [00003712] Port=1 RD @10 + 3711.50ns INFO [00003713] * RD COMPARE * port=1 adr=1D act=A748524B exp=A748524B + 3712.50ns INFO [00003714] * RD COMPARE * port=1 adr=10 act=5243C0CC exp=5243C0CC + 3712.50ns INFO [00003714] Port=0 WR @16=5419B105 + 3712.50ns INFO [00003714] Port=1 RD @11 + 3713.50ns INFO [00003715] Port=0 WR @0F=FA135C30 + 3713.50ns INFO [00003715] Port=0 RD @0C + 3714.50ns INFO [00003716] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788 + 3714.50ns INFO [00003716] Port=1 RD @06 + 3715.50ns INFO [00003717] * RD COMPARE * port=0 adr=0C act=32944E84 exp=32944E84 + 3715.50ns INFO [00003717] Port=0 WR @13=936AA18B + 3716.50ns INFO [00003718] * RD COMPARE * port=1 adr=06 act=DB410929 exp=DB410929 + 3717.50ns INFO [00003719] Port=0 RD @1F + 3718.50ns INFO [00003720] Port=0 WR @09=33E325A8 + 3719.50ns INFO [00003721] * RD COMPARE * port=0 adr=1F act=A505F7BA exp=A505F7BA + 3719.50ns INFO [00003721] Port=0 RD @0F + 3720.50ns INFO [00003722] Port=0 WR @1E=CFDB5F02 + 3720.50ns INFO [00003722] Port=1 RD @10 + 3721.50ns INFO [00003723] * RD COMPARE * port=0 adr=0F act=FA135C30 exp=FA135C30 + 3721.50ns INFO [00003723] Port=0 RD @13 + 3722.50ns INFO [00003724] * RD COMPARE * port=1 adr=10 act=5243C0CC exp=5243C0CC + 3722.50ns INFO [00003724] Port=0 WR @09=6C6B36B6 + 3722.50ns INFO [00003724] Port=0 RD @0D + 3723.50ns INFO [00003725] * RD COMPARE * port=0 adr=13 act=936AA18B exp=936AA18B + 3723.50ns INFO [00003725] Port=0 WR @17=7C3EE5F7 + 3723.50ns INFO [00003725] Port=1 RD @00 + 3724.50ns INFO [00003726] * RD COMPARE * port=0 adr=0D act=6AEA76A7 exp=6AEA76A7 + 3724.50ns INFO [00003726] Port=1 RD @1C + 3725.50ns INFO [00003727] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03 + 3725.50ns INFO [00003727] Port=0 WR @0B=0C7A1158 + 3725.50ns INFO [00003727] Port=0 RD @17 + 3726.50ns INFO [00003728] * RD COMPARE * port=1 adr=1C act=69C7C70A exp=69C7C70A + 3726.50ns INFO [00003728] Port=0 WR @01=CE82D54E + 3726.50ns INFO [00003728] Port=0 RD @02 + 3727.50ns INFO [00003729] * RD COMPARE * port=0 adr=17 act=7C3EE5F7 exp=7C3EE5F7 + 3727.50ns INFO [00003729] Port=1 RD @13 + 3728.50ns INFO [00003730] * RD COMPARE * port=0 adr=02 act=9013ED01 exp=9013ED01 + 3728.50ns INFO [00003730] Port=0 WR @10=9B533746 + 3729.50ns INFO [00003731] * RD COMPARE * port=1 adr=13 act=936AA18B exp=936AA18B + 3729.50ns INFO [00003731] Port=0 WR @07=BFFDE3A5 + 3729.50ns INFO [00003731] Port=0 RD @11 + 3731.50ns INFO [00003733] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788 + 3732.50ns INFO [00003734] Port=1 RD @01 + 3733.50ns INFO [00003735] Port=0 WR @18=FA0A7F47 + 3733.50ns INFO [00003735] Port=0 RD @09 + 3734.50ns INFO [00003736] * RD COMPARE * port=1 adr=01 act=CE82D54E exp=CE82D54E + 3734.50ns INFO [00003736] Port=1 RD @13 + 3735.50ns INFO [00003737] * RD COMPARE * port=0 adr=09 act=6C6B36B6 exp=6C6B36B6 + 3735.50ns INFO [00003737] Port=0 WR @08=E1521F3B + 3736.50ns INFO [00003738] * RD COMPARE * port=1 adr=13 act=936AA18B exp=936AA18B + 3738.50ns INFO [00003740] Port=0 WR @03=AAF35B57 + 3738.50ns INFO [00003740] Port=0 RD @18 + 3739.50ns INFO [00003741] Port=0 WR @04=B653AC52 + 3740.50ns INFO [00003742] * RD COMPARE * port=0 adr=18 act=FA0A7F47 exp=FA0A7F47 + 3740.50ns INFO [00003742] Port=1 RD @16 + 3741.50ns INFO [00003743] Port=0 RD @05 + 3741.50ns INFO [00003743] Port=1 RD @0B + 3742.50ns INFO [00003744] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105 + 3742.50ns INFO [00003744] Port=0 WR @11=D32A0597 + 3743.50ns INFO [00003745] * RD COMPARE * port=0 adr=05 act=3D2CFF8F exp=3D2CFF8F + 3743.50ns INFO [00003745] * RD COMPARE * port=1 adr=0B act=0C7A1158 exp=0C7A1158 + 3743.50ns INFO [00003745] Port=0 WR @14=DACF7864 + 3743.50ns INFO [00003745] Port=1 RD @12 + 3744.50ns INFO [00003746] Port=0 RD @1B + 3744.50ns INFO [00003746] Port=1 RD @0F + 3745.50ns INFO [00003747] * RD COMPARE * port=1 adr=12 act=760FE161 exp=760FE161 + 3746.50ns INFO [00003748] * RD COMPARE * port=0 adr=1B act=34602B20 exp=34602B20 + 3746.50ns INFO [00003748] * RD COMPARE * port=1 adr=0F act=FA135C30 exp=FA135C30 + 3747.50ns INFO [00003749] Port=0 RD @0F + 3747.50ns INFO [00003749] Port=1 RD @1C + 3748.50ns INFO [00003750] Port=1 RD @06 + 3749.50ns INFO [00003751] * RD COMPARE * port=0 adr=0F act=FA135C30 exp=FA135C30 + 3749.50ns INFO [00003751] * RD COMPARE * port=1 adr=1C act=69C7C70A exp=69C7C70A + 3749.50ns INFO [00003751] Port=1 RD @13 + 3750.50ns INFO [00003752] * RD COMPARE * port=1 adr=06 act=DB410929 exp=DB410929 + 3750.50ns INFO [00003752] Port=0 RD @0D + 3751.50ns INFO [00003753] * RD COMPARE * port=1 adr=13 act=936AA18B exp=936AA18B + 3751.50ns INFO [00003753] Port=0 RD @17 + 3752.50ns INFO [00003754] * RD COMPARE * port=0 adr=0D act=6AEA76A7 exp=6AEA76A7 + 3752.50ns INFO [00003754] Port=0 RD @16 + 3753.50ns INFO [00003755] * RD COMPARE * port=0 adr=17 act=7C3EE5F7 exp=7C3EE5F7 + 3753.50ns INFO [00003755] Port=1 RD @0A + 3754.50ns INFO [00003756] * RD COMPARE * port=0 adr=16 act=5419B105 exp=5419B105 + 3754.50ns INFO [00003756] Port=0 WR @1E=BCD5B235 + 3754.50ns INFO [00003756] Port=0 RD @0A + 3754.50ns INFO [00003756] Port=1 RD @16 + 3755.50ns INFO [00003757] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289 + 3755.50ns INFO [00003757] Port=0 RD @0B + 3756.50ns INFO [00003758] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289 + 3756.50ns INFO [00003758] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105 + 3757.50ns INFO [00003759] * RD COMPARE * port=0 adr=0B act=0C7A1158 exp=0C7A1158 + 3757.50ns INFO [00003759] Port=0 WR @09=E69109E3 + 3758.50ns INFO [00003760] Port=0 WR @1F=C7B4D1D4 + 3758.50ns INFO [00003760] Port=0 RD @0D + 3760.50ns INFO [00003762] * RD COMPARE * port=0 adr=0D act=6AEA76A7 exp=6AEA76A7 + 3761.50ns INFO [00003763] Port=0 WR @05=EFBB84E3 + 3761.50ns INFO [00003763] Port=1 RD @16 + 3762.50ns INFO [00003764] Port=1 RD @0F + 3763.50ns INFO [00003765] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105 + 3763.50ns INFO [00003765] Port=0 RD @0F + 3764.50ns INFO [00003766] * RD COMPARE * port=1 adr=0F act=FA135C30 exp=FA135C30 + 3764.50ns INFO [00003766] Port=0 WR @04=C77E5AF9 + 3765.50ns INFO [00003767] * RD COMPARE * port=0 adr=0F act=FA135C30 exp=FA135C30 + 3765.50ns INFO [00003767] Port=0 WR @05=FD17FB70 + 3765.50ns INFO [00003767] Port=1 RD @03 + 3766.50ns INFO [00003768] Port=0 RD @14 + 3767.50ns INFO [00003769] * RD COMPARE * port=1 adr=03 act=AAF35B57 exp=AAF35B57 + 3767.50ns INFO [00003769] Port=0 WR @0E=320B7F5E + 3767.50ns INFO [00003769] Port=0 RD @14 + 3768.50ns INFO [00003770] * RD COMPARE * port=0 adr=14 act=DACF7864 exp=DACF7864 + 3768.50ns INFO [00003770] Port=0 WR @0E=A76A28D4 + 3768.50ns INFO [00003770] Port=1 RD @1A + 3769.50ns INFO [00003771] * RD COMPARE * port=0 adr=14 act=DACF7864 exp=DACF7864 + 3769.50ns INFO [00003771] Port=1 RD @03 + 3770.50ns INFO [00003772] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD + 3770.50ns INFO [00003772] Port=0 WR @19=9A9EBEA5 + 3770.50ns INFO [00003772] Port=0 RD @12 + 3771.50ns INFO [00003773] * RD COMPARE * port=1 adr=03 act=AAF35B57 exp=AAF35B57 + 3772.50ns INFO [00003774] * RD COMPARE * port=0 adr=12 act=760FE161 exp=760FE161 + 3772.50ns INFO [00003774] Port=0 WR @11=2664F908 + 3772.50ns INFO [00003774] Port=0 RD @19 + 3773.50ns INFO [00003775] Port=0 WR @06=14A1C407 + 3773.50ns INFO [00003775] Port=0 RD @1A + 3774.50ns INFO [00003776] * RD COMPARE * port=0 adr=19 act=9A9EBEA5 exp=9A9EBEA5 + 3774.50ns INFO [00003776] Port=0 WR @14=E750A216 + 3774.50ns INFO [00003776] Port=1 RD @1D + 3775.50ns INFO [00003777] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3775.50ns INFO [00003777] Port=1 RD @16 + 3776.50ns INFO [00003778] * RD COMPARE * port=1 adr=1D act=F2404F9D exp=F2404F9D + 3776.50ns INFO [00003778] Port=0 RD @11 + 3777.50ns INFO [00003779] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105 + 3777.50ns INFO [00003779] Port=0 RD @05 + 3777.50ns INFO [00003779] Port=1 RD @12 + 3778.50ns INFO [00003780] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908 + 3778.50ns INFO [00003780] Port=0 RD @0A + 3779.50ns INFO [00003781] * RD COMPARE * port=0 adr=05 act=FD17FB70 exp=FD17FB70 + 3779.50ns INFO [00003781] * RD COMPARE * port=1 adr=12 act=760FE161 exp=760FE161 + 3780.50ns INFO [00003782] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289 + 3780.50ns INFO [00003782] Port=0 RD @08 + 3782.50ns INFO [00003784] * RD COMPARE * port=0 adr=08 act=E1521F3B exp=E1521F3B + 3782.50ns INFO [00003784] Port=0 RD @1A + 3782.50ns INFO [00003784] Port=1 RD @1A + 3783.50ns INFO [00003785] Port=0 RD @12 + 3784.50ns INFO [00003786] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3784.50ns INFO [00003786] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD + 3784.50ns INFO [00003786] Port=0 WR @08=DBF344A9 + 3785.50ns INFO [00003787] * RD COMPARE * port=0 adr=12 act=760FE161 exp=760FE161 + 3786.50ns INFO [00003788] Port=0 WR @10=C1CF6065 + 3787.50ns INFO [00003789] Port=0 WR @04=1BA82C3D + 3787.50ns INFO [00003789] Port=0 RD @01 + 3787.50ns INFO [00003789] Port=1 RD @0A + 3788.50ns INFO [00003790] Port=0 RD @1D + 3789.50ns INFO [00003791] * RD COMPARE * port=0 adr=01 act=CE82D54E exp=CE82D54E + 3789.50ns INFO [00003791] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289 + 3789.50ns INFO [00003791] Port=0 RD @0F + 3790.50ns INFO [00003792] * RD COMPARE * port=0 adr=1D act=F2404F9D exp=F2404F9D + 3790.50ns INFO [00003792] Port=0 WR @02=8648D340 + 3790.50ns INFO [00003792] Port=1 RD @14 + 3791.50ns INFO [00003793] * RD COMPARE * port=0 adr=0F act=FA135C30 exp=FA135C30 + 3791.50ns INFO [00003793] Port=0 RD @07 + 3791.50ns INFO [00003793] Port=1 RD @05 + 3792.50ns INFO [00003794] * RD COMPARE * port=1 adr=14 act=E750A216 exp=E750A216 + 3792.50ns INFO [00003794] Port=0 WR @09=FD007D2A + 3792.50ns INFO [00003794] Port=0 RD @08 + 3792.50ns INFO [00003794] Port=1 RD @06 + 3793.50ns INFO [00003795] * RD COMPARE * port=0 adr=07 act=BFFDE3A5 exp=BFFDE3A5 + 3793.50ns INFO [00003795] * RD COMPARE * port=1 adr=05 act=FD17FB70 exp=FD17FB70 + 3793.50ns INFO [00003795] Port=0 WR @13=22FD0702 + 3794.50ns INFO [00003796] * RD COMPARE * port=0 adr=08 act=DBF344A9 exp=DBF344A9 + 3794.50ns INFO [00003796] * RD COMPARE * port=1 adr=06 act=14A1C407 exp=14A1C407 + 3794.50ns INFO [00003796] Port=0 RD @0A + 3796.50ns INFO [00003798] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289 + 3796.50ns INFO [00003798] Port=0 WR @1B=CB79E955 + 3796.50ns INFO [00003798] Port=1 RD @19 + 3797.50ns INFO [00003799] Port=0 RD @03 + 3798.00ns INFO [00003800] [00003800] ...tick... + 3798.50ns INFO [00003800] * RD COMPARE * port=1 adr=19 act=9A9EBEA5 exp=9A9EBEA5 + 3798.50ns INFO [00003800] Port=0 RD @0D + 3798.50ns INFO [00003800] Port=1 RD @07 + 3799.50ns INFO [00003801] * RD COMPARE * port=0 adr=03 act=AAF35B57 exp=AAF35B57 + 3799.50ns INFO [00003801] Port=0 WR @0F=6F882309 + 3799.50ns INFO [00003801] Port=1 RD @15 + 3800.50ns INFO [00003802] * RD COMPARE * port=0 adr=0D act=6AEA76A7 exp=6AEA76A7 + 3800.50ns INFO [00003802] * RD COMPARE * port=1 adr=07 act=BFFDE3A5 exp=BFFDE3A5 + 3801.50ns INFO [00003803] * RD COMPARE * port=1 adr=15 act=B8EF74A8 exp=B8EF74A8 + 3801.50ns INFO [00003803] Port=0 RD @1A + 3801.50ns INFO [00003803] Port=1 RD @19 + 3802.50ns INFO [00003804] Port=0 WR @1E=50236D61 + 3803.50ns INFO [00003805] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3803.50ns INFO [00003805] * RD COMPARE * port=1 adr=19 act=9A9EBEA5 exp=9A9EBEA5 + 3804.50ns INFO [00003806] Port=0 WR @08=11403530 + 3804.50ns INFO [00003806] Port=0 RD @18 + 3805.50ns INFO [00003807] Port=0 WR @04=C737E159 + 3805.50ns INFO [00003807] Port=1 RD @15 + 3806.50ns INFO [00003808] * RD COMPARE * port=0 adr=18 act=FA0A7F47 exp=FA0A7F47 + 3806.50ns INFO [00003808] Port=0 RD @01 + 3806.50ns INFO [00003808] Port=1 RD @1A + 3807.50ns INFO [00003809] * RD COMPARE * port=1 adr=15 act=B8EF74A8 exp=B8EF74A8 + 3807.50ns INFO [00003809] Port=0 RD @17 + 3808.50ns INFO [00003810] * RD COMPARE * port=0 adr=01 act=CE82D54E exp=CE82D54E + 3808.50ns INFO [00003810] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD + 3808.50ns INFO [00003810] Port=0 RD @1A + 3808.50ns INFO [00003810] Port=1 RD @08 + 3809.50ns INFO [00003811] * RD COMPARE * port=0 adr=17 act=7C3EE5F7 exp=7C3EE5F7 + 3809.50ns INFO [00003811] Port=0 WR @19=1DA85DDF + 3809.50ns INFO [00003811] Port=1 RD @1A + 3810.50ns INFO [00003812] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3810.50ns INFO [00003812] * RD COMPARE * port=1 adr=08 act=11403530 exp=11403530 + 3810.50ns INFO [00003812] Port=0 WR @19=9D7DF1E8 + 3810.50ns INFO [00003812] Port=0 RD @17 + 3811.50ns INFO [00003813] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD + 3811.50ns INFO [00003813] Port=0 WR @19=D9C5472B + 3811.50ns INFO [00003813] Port=1 RD @15 + 3812.50ns INFO [00003814] * RD COMPARE * port=0 adr=17 act=7C3EE5F7 exp=7C3EE5F7 + 3812.50ns INFO [00003814] Port=0 WR @1E=F02BDE6E + 3812.50ns INFO [00003814] Port=1 RD @14 + 3813.50ns INFO [00003815] * RD COMPARE * port=1 adr=15 act=B8EF74A8 exp=B8EF74A8 + 3813.50ns INFO [00003815] Port=0 WR @1B=1B9A104A + 3813.50ns INFO [00003815] Port=0 RD @16 + 3814.50ns INFO [00003816] * RD COMPARE * port=1 adr=14 act=E750A216 exp=E750A216 + 3814.50ns INFO [00003816] Port=0 WR @0C=8A32A16D + 3814.50ns INFO [00003816] Port=0 RD @18 + 3815.50ns INFO [00003817] * RD COMPARE * port=0 adr=16 act=5419B105 exp=5419B105 + 3815.50ns INFO [00003817] Port=0 RD @1A + 3815.50ns INFO [00003817] Port=1 RD @16 + 3816.50ns INFO [00003818] * RD COMPARE * port=0 adr=18 act=FA0A7F47 exp=FA0A7F47 + 3817.50ns INFO [00003819] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD + 3817.50ns INFO [00003819] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105 + 3817.50ns INFO [00003819] Port=0 RD @00 + 3819.50ns INFO [00003821] * RD COMPARE * port=0 adr=00 act=DE6CAC03 exp=DE6CAC03 + 3819.50ns INFO [00003821] Port=1 RD @10 + 3821.50ns INFO [00003823] * RD COMPARE * port=1 adr=10 act=C1CF6065 exp=C1CF6065 + 3821.50ns INFO [00003823] Port=1 RD @1A + 3822.50ns INFO [00003824] Port=0 WR @0B=331571E9 + 3822.50ns INFO [00003824] Port=1 RD @1E + 3823.50ns INFO [00003825] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD + 3823.50ns INFO [00003825] Port=0 RD @03 + 3824.50ns INFO [00003826] * RD COMPARE * port=1 adr=1E act=F02BDE6E exp=F02BDE6E + 3824.50ns INFO [00003826] Port=0 WR @0C=0DF08A50 + 3824.50ns INFO [00003826] Port=1 RD @09 + 3825.50ns INFO [00003827] * RD COMPARE * port=0 adr=03 act=AAF35B57 exp=AAF35B57 + 3825.50ns INFO [00003827] Port=0 WR @09=A568BF08 + 3826.50ns INFO [00003828] * RD COMPARE * port=1 adr=09 act=FD007D2A exp=FD007D2A + 3826.50ns INFO [00003828] Port=1 RD @10 + 3828.50ns INFO [00003830] * RD COMPARE * port=1 adr=10 act=C1CF6065 exp=C1CF6065 + 3828.50ns INFO [00003830] Port=0 RD @1D + 3829.50ns INFO [00003831] Port=0 RD @0A + 3830.50ns INFO [00003832] * RD COMPARE * port=0 adr=1D act=F2404F9D exp=F2404F9D + 3830.50ns INFO [00003832] Port=1 RD @1A + 3831.50ns INFO [00003833] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289 + 3831.50ns INFO [00003833] Port=0 RD @05 + 3831.50ns INFO [00003833] Port=1 RD @19 + 3832.50ns INFO [00003834] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD + 3832.50ns INFO [00003834] Port=0 WR @07=A645ECA1 + 3832.50ns INFO [00003834] Port=0 RD @0C + 3832.50ns INFO [00003834] Port=1 RD @02 + 3833.50ns INFO [00003835] * RD COMPARE * port=0 adr=05 act=FD17FB70 exp=FD17FB70 + 3833.50ns INFO [00003835] * RD COMPARE * port=1 adr=19 act=D9C5472B exp=D9C5472B + 3833.50ns INFO [00003835] Port=1 RD @17 + 3834.50ns INFO [00003836] * RD COMPARE * port=0 adr=0C act=0DF08A50 exp=0DF08A50 + 3834.50ns INFO [00003836] * RD COMPARE * port=1 adr=02 act=8648D340 exp=8648D340 + 3834.50ns INFO [00003836] Port=0 RD @02 + 3834.50ns INFO [00003836] Port=1 RD @16 + 3835.50ns INFO [00003837] * RD COMPARE * port=1 adr=17 act=7C3EE5F7 exp=7C3EE5F7 + 3835.50ns INFO [00003837] Port=0 WR @06=35E0F64A + 3835.50ns INFO [00003837] Port=0 RD @18 + 3836.50ns INFO [00003838] * RD COMPARE * port=0 adr=02 act=8648D340 exp=8648D340 + 3836.50ns INFO [00003838] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105 + 3836.50ns INFO [00003838] Port=1 RD @1F + 3837.50ns INFO [00003839] * RD COMPARE * port=0 adr=18 act=FA0A7F47 exp=FA0A7F47 + 3837.50ns INFO [00003839] Port=0 RD @12 + 3837.50ns INFO [00003839] Port=1 RD @0B + 3838.50ns INFO [00003840] * RD COMPARE * port=1 adr=1F act=C7B4D1D4 exp=C7B4D1D4 + 3839.50ns INFO [00003841] * RD COMPARE * port=0 adr=12 act=760FE161 exp=760FE161 + 3839.50ns INFO [00003841] * RD COMPARE * port=1 adr=0B act=331571E9 exp=331571E9 + 3839.50ns INFO [00003841] Port=0 WR @16=9EF3EF24 + 3839.50ns INFO [00003841] Port=1 RD @13 + 3840.50ns INFO [00003842] Port=1 RD @07 + 3841.50ns INFO [00003843] * RD COMPARE * port=1 adr=13 act=22FD0702 exp=22FD0702 + 3841.50ns INFO [00003843] Port=0 RD @04 + 3841.50ns INFO [00003843] Port=1 RD @00 + 3842.50ns INFO [00003844] * RD COMPARE * port=1 adr=07 act=A645ECA1 exp=A645ECA1 + 3842.50ns INFO [00003844] Port=0 WR @0E=81D08DD6 + 3843.50ns INFO [00003845] * RD COMPARE * port=0 adr=04 act=C737E159 exp=C737E159 + 3843.50ns INFO [00003845] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03 + 3843.50ns INFO [00003845] Port=1 RD @16 + 3844.50ns INFO [00003846] Port=1 RD @0F + 3845.50ns INFO [00003847] * RD COMPARE * port=1 adr=16 act=9EF3EF24 exp=9EF3EF24 + 3845.50ns INFO [00003847] Port=1 RD @1A + 3846.50ns INFO [00003848] * RD COMPARE * port=1 adr=0F act=6F882309 exp=6F882309 + 3846.50ns INFO [00003848] Port=0 RD @05 + 3846.50ns INFO [00003848] Port=1 RD @0E + 3847.50ns INFO [00003849] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD + 3848.50ns INFO [00003850] * RD COMPARE * port=0 adr=05 act=FD17FB70 exp=FD17FB70 + 3848.50ns INFO [00003850] * RD COMPARE * port=1 adr=0E act=81D08DD6 exp=81D08DD6 + 3848.50ns INFO [00003850] Port=0 RD @0D + 3848.50ns INFO [00003850] Port=1 RD @1C + 3849.50ns INFO [00003851] Port=0 WR @1C=0D915D00 + 3849.50ns INFO [00003851] Port=1 RD @0F + 3850.50ns INFO [00003852] * RD COMPARE * port=0 adr=0D act=6AEA76A7 exp=6AEA76A7 + 3850.50ns INFO [00003852] * RD COMPARE * port=1 adr=1C act=69C7C70A exp=69C7C70A + 3850.50ns INFO [00003852] Port=1 RD @11 + 3851.50ns INFO [00003853] * RD COMPARE * port=1 adr=0F act=6F882309 exp=6F882309 + 3851.50ns INFO [00003853] Port=0 WR @19=9531FD9E + 3852.50ns INFO [00003854] * RD COMPARE * port=1 adr=11 act=2664F908 exp=2664F908 + 3852.50ns INFO [00003854] Port=0 WR @0D=E94345A1 + 3852.50ns INFO [00003854] Port=1 RD @0F + 3853.50ns INFO [00003855] Port=0 WR @17=5EBFC715 + 3854.50ns INFO [00003856] * RD COMPARE * port=1 adr=0F act=6F882309 exp=6F882309 + 3854.50ns INFO [00003856] Port=0 WR @00=8EBECC0F + 3854.50ns INFO [00003856] Port=0 RD @0C + 3855.50ns INFO [00003857] Port=0 RD @05 + 3855.50ns INFO [00003857] Port=1 RD @16 + 3856.50ns INFO [00003858] * RD COMPARE * port=0 adr=0C act=0DF08A50 exp=0DF08A50 + 3856.50ns INFO [00003858] Port=1 RD @13 + 3857.50ns INFO [00003859] * RD COMPARE * port=0 adr=05 act=FD17FB70 exp=FD17FB70 + 3857.50ns INFO [00003859] * RD COMPARE * port=1 adr=16 act=9EF3EF24 exp=9EF3EF24 + 3857.50ns INFO [00003859] Port=0 RD @19 + 3858.50ns INFO [00003860] * RD COMPARE * port=1 adr=13 act=22FD0702 exp=22FD0702 + 3858.50ns INFO [00003860] Port=0 RD @0F + 3858.50ns INFO [00003860] Port=1 RD @17 + 3859.50ns INFO [00003861] * RD COMPARE * port=0 adr=19 act=9531FD9E exp=9531FD9E + 3859.50ns INFO [00003861] Port=0 RD @14 + 3860.50ns INFO [00003862] * RD COMPARE * port=0 adr=0F act=6F882309 exp=6F882309 + 3860.50ns INFO [00003862] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715 + 3860.50ns INFO [00003862] Port=0 WR @1A=60FE989B + 3860.50ns INFO [00003862] Port=0 RD @13 + 3861.50ns INFO [00003863] * RD COMPARE * port=0 adr=14 act=E750A216 exp=E750A216 + 3862.50ns INFO [00003864] * RD COMPARE * port=0 adr=13 act=22FD0702 exp=22FD0702 + 3863.50ns INFO [00003865] Port=0 WR @15=72679436 + 3866.50ns INFO [00003868] Port=1 RD @0B + 3867.50ns INFO [00003869] Port=0 WR @1E=B7C9C581 + 3868.50ns INFO [00003870] * RD COMPARE * port=1 adr=0B act=331571E9 exp=331571E9 + 3869.50ns INFO [00003871] Port=0 RD @09 + 3870.50ns INFO [00003872] Port=0 WR @04=603B3F65 + 3870.50ns INFO [00003872] Port=0 RD @00 + 3870.50ns INFO [00003872] Port=1 RD @1B + 3871.50ns INFO [00003873] * RD COMPARE * port=0 adr=09 act=A568BF08 exp=A568BF08 + 3872.50ns INFO [00003874] * RD COMPARE * port=0 adr=00 act=8EBECC0F exp=8EBECC0F + 3872.50ns INFO [00003874] * RD COMPARE * port=1 adr=1B act=1B9A104A exp=1B9A104A + 3872.50ns INFO [00003874] Port=0 WR @0A=6093707B + 3873.50ns INFO [00003875] Port=0 RD @04 + 3873.50ns INFO [00003875] Port=1 RD @13 + 3874.50ns INFO [00003876] Port=0 RD @11 + 3875.50ns INFO [00003877] * RD COMPARE * port=0 adr=04 act=603B3F65 exp=603B3F65 + 3875.50ns INFO [00003877] * RD COMPARE * port=1 adr=13 act=22FD0702 exp=22FD0702 + 3875.50ns INFO [00003877] Port=0 WR @08=A8CFC5A0 + 3875.50ns INFO [00003877] Port=0 RD @04 + 3876.50ns INFO [00003878] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908 + 3877.50ns INFO [00003879] * RD COMPARE * port=0 adr=04 act=603B3F65 exp=603B3F65 + 3877.50ns INFO [00003879] Port=0 RD @0B + 3879.50ns INFO [00003881] * RD COMPARE * port=0 adr=0B act=331571E9 exp=331571E9 + 3879.50ns INFO [00003881] Port=0 WR @0D=B044BB7D + 3879.50ns INFO [00003881] Port=1 RD @03 + 3880.50ns INFO [00003882] Port=0 RD @09 + 3880.50ns INFO [00003882] Port=1 RD @19 + 3881.50ns INFO [00003883] * RD COMPARE * port=1 adr=03 act=AAF35B57 exp=AAF35B57 + 3881.50ns INFO [00003883] Port=0 WR @15=6F3FEA4D + 3881.50ns INFO [00003883] Port=1 RD @17 + 3882.50ns INFO [00003884] * RD COMPARE * port=0 adr=09 act=A568BF08 exp=A568BF08 + 3882.50ns INFO [00003884] * RD COMPARE * port=1 adr=19 act=9531FD9E exp=9531FD9E + 3882.50ns INFO [00003884] Port=1 RD @05 + 3883.50ns INFO [00003885] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715 + 3883.50ns INFO [00003885] Port=0 RD @09 + 3884.50ns INFO [00003886] * RD COMPARE * port=1 adr=05 act=FD17FB70 exp=FD17FB70 + 3884.50ns INFO [00003886] Port=0 WR @03=B635258D + 3884.50ns INFO [00003886] Port=0 RD @06 + 3884.50ns INFO [00003886] Port=1 RD @05 + 3885.50ns INFO [00003887] * RD COMPARE * port=0 adr=09 act=A568BF08 exp=A568BF08 + 3885.50ns INFO [00003887] Port=0 RD @18 + 3886.50ns INFO [00003888] * RD COMPARE * port=0 adr=06 act=35E0F64A exp=35E0F64A + 3886.50ns INFO [00003888] * RD COMPARE * port=1 adr=05 act=FD17FB70 exp=FD17FB70 + 3886.50ns INFO [00003888] Port=0 WR @08=69C9054F + 3886.50ns INFO [00003888] Port=1 RD @0A + 3887.50ns INFO [00003889] * RD COMPARE * port=0 adr=18 act=FA0A7F47 exp=FA0A7F47 + 3887.50ns INFO [00003889] Port=0 WR @0F=354991A6 + 3887.50ns INFO [00003889] Port=0 RD @0B + 3888.50ns INFO [00003890] * RD COMPARE * port=1 adr=0A act=6093707B exp=6093707B + 3889.50ns INFO [00003891] * RD COMPARE * port=0 adr=0B act=331571E9 exp=331571E9 + 3890.50ns INFO [00003892] Port=1 RD @14 + 3891.50ns INFO [00003893] Port=0 RD @14 + 3892.50ns INFO [00003894] * RD COMPARE * port=1 adr=14 act=E750A216 exp=E750A216 + 3893.50ns INFO [00003895] * RD COMPARE * port=0 adr=14 act=E750A216 exp=E750A216 + 3893.50ns INFO [00003895] Port=0 WR @1F=8FB3251C + 3893.50ns INFO [00003895] Port=1 RD @1C + 3894.50ns INFO [00003896] Port=0 WR @14=3F2C48DE + 3895.50ns INFO [00003897] * RD COMPARE * port=1 adr=1C act=0D915D00 exp=0D915D00 + 3895.50ns INFO [00003897] Port=0 WR @02=554C201C + 3895.50ns INFO [00003897] Port=1 RD @04 + 3896.50ns INFO [00003898] Port=1 RD @07 + 3897.50ns INFO [00003899] * RD COMPARE * port=1 adr=04 act=603B3F65 exp=603B3F65 + 3898.00ns INFO [00003900] [00003900] ...tick... + 3898.50ns INFO [00003900] * RD COMPARE * port=1 adr=07 act=A645ECA1 exp=A645ECA1 + 3898.50ns INFO [00003900] Port=0 RD @01 + 3899.50ns INFO [00003901] Port=0 WR @0D=89BA0D89 + 3900.50ns INFO [00003902] * RD COMPARE * port=0 adr=01 act=CE82D54E exp=CE82D54E + 3901.50ns INFO [00003903] Port=0 WR @08=ED4EF47A + 3902.50ns INFO [00003904] Port=1 RD @16 + 3903.50ns INFO [00003905] Port=0 RD @1E + 3904.50ns INFO [00003906] * RD COMPARE * port=1 adr=16 act=9EF3EF24 exp=9EF3EF24 + 3905.50ns INFO [00003907] * RD COMPARE * port=0 adr=1E act=B7C9C581 exp=B7C9C581 + 3906.50ns INFO [00003908] Port=0 RD @0F + 3906.50ns INFO [00003908] Port=1 RD @1A + 3907.50ns INFO [00003909] Port=1 RD @0C + 3908.50ns INFO [00003910] * RD COMPARE * port=0 adr=0F act=354991A6 exp=354991A6 + 3908.50ns INFO [00003910] * RD COMPARE * port=1 adr=1A act=60FE989B exp=60FE989B + 3908.50ns INFO [00003910] Port=1 RD @0E + 3909.50ns INFO [00003911] * RD COMPARE * port=1 adr=0C act=0DF08A50 exp=0DF08A50 + 3909.50ns INFO [00003911] Port=0 RD @15 + 3909.50ns INFO [00003911] Port=1 RD @01 + 3910.50ns INFO [00003912] * RD COMPARE * port=1 adr=0E act=81D08DD6 exp=81D08DD6 + 3910.50ns INFO [00003912] Port=0 WR @1B=1C2709B7 + 3911.50ns INFO [00003913] * RD COMPARE * port=0 adr=15 act=6F3FEA4D exp=6F3FEA4D + 3911.50ns INFO [00003913] * RD COMPARE * port=1 adr=01 act=CE82D54E exp=CE82D54E + 3911.50ns INFO [00003913] Port=0 RD @0B + 3912.50ns INFO [00003914] Port=1 RD @00 + 3913.50ns INFO [00003915] * RD COMPARE * port=0 adr=0B act=331571E9 exp=331571E9 + 3913.50ns INFO [00003915] Port=1 RD @05 + 3914.50ns INFO [00003916] * RD COMPARE * port=1 adr=00 act=8EBECC0F exp=8EBECC0F + 3914.50ns INFO [00003916] Port=1 RD @09 + 3915.50ns INFO [00003917] * RD COMPARE * port=1 adr=05 act=FD17FB70 exp=FD17FB70 + 3915.50ns INFO [00003917] Port=0 WR @12=39FFD548 + 3916.50ns INFO [00003918] * RD COMPARE * port=1 adr=09 act=A568BF08 exp=A568BF08 + 3916.50ns INFO [00003918] Port=0 RD @06 + 3917.50ns INFO [00003919] Port=0 WR @0E=A574AC3A + 3917.50ns INFO [00003919] Port=0 RD @1C + 3917.50ns INFO [00003919] Port=1 RD @0A + 3918.50ns INFO [00003920] * RD COMPARE * port=0 adr=06 act=35E0F64A exp=35E0F64A + 3919.50ns INFO [00003921] * RD COMPARE * port=0 adr=1C act=0D915D00 exp=0D915D00 + 3919.50ns INFO [00003921] * RD COMPARE * port=1 adr=0A act=6093707B exp=6093707B + 3920.50ns INFO [00003922] Port=0 WR @18=A01BC068 + 3920.50ns INFO [00003922] Port=0 RD @08 + 3920.50ns INFO [00003922] Port=1 RD @1B + 3921.50ns INFO [00003923] Port=0 RD @01 + 3921.50ns INFO [00003923] Port=1 RD @18 + 3922.50ns INFO [00003924] * RD COMPARE * port=0 adr=08 act=ED4EF47A exp=ED4EF47A + 3922.50ns INFO [00003924] * RD COMPARE * port=1 adr=1B act=1C2709B7 exp=1C2709B7 + 3922.50ns INFO [00003924] Port=1 RD @01 + 3923.50ns INFO [00003925] * RD COMPARE * port=0 adr=01 act=CE82D54E exp=CE82D54E + 3923.50ns INFO [00003925] * RD COMPARE * port=1 adr=18 act=A01BC068 exp=A01BC068 + 3923.50ns INFO [00003925] Port=0 RD @1C + 3924.50ns INFO [00003926] * RD COMPARE * port=1 adr=01 act=CE82D54E exp=CE82D54E + 3925.50ns INFO [00003927] * RD COMPARE * port=0 adr=1C act=0D915D00 exp=0D915D00 + 3925.50ns INFO [00003927] Port=0 WR @1B=F8D4AADD + 3926.50ns INFO [00003928] Port=0 RD @14 + 3927.50ns INFO [00003929] Port=0 WR @05=DEF6B188 + 3928.50ns INFO [00003930] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE + 3929.50ns INFO [00003931] Port=0 RD @0D + 3930.50ns INFO [00003932] Port=0 WR @13=95DE6F3E + 3930.50ns INFO [00003932] Port=0 RD @19 + 3930.50ns INFO [00003932] Port=1 RD @17 + 3931.50ns INFO [00003933] * RD COMPARE * port=0 adr=0D act=89BA0D89 exp=89BA0D89 + 3931.50ns INFO [00003933] Port=0 WR @10=138AB034 + 3932.50ns INFO [00003934] * RD COMPARE * port=0 adr=19 act=9531FD9E exp=9531FD9E + 3932.50ns INFO [00003934] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715 + 3932.50ns INFO [00003934] Port=0 RD @0A + 3933.50ns INFO [00003935] Port=0 WR @09=FF91C3C2 + 3934.50ns INFO [00003936] * RD COMPARE * port=0 adr=0A act=6093707B exp=6093707B + 3934.50ns INFO [00003936] Port=0 WR @05=C307D30B + 3934.50ns INFO [00003936] Port=0 RD @10 + 3934.50ns INFO [00003936] Port=1 RD @07 + 3935.50ns INFO [00003937] Port=0 WR @16=D046523F + 3935.50ns INFO [00003937] Port=0 RD @1A + 3935.50ns INFO [00003937] Port=1 RD @0D + 3936.50ns INFO [00003938] * RD COMPARE * port=0 adr=10 act=138AB034 exp=138AB034 + 3936.50ns INFO [00003938] * RD COMPARE * port=1 adr=07 act=A645ECA1 exp=A645ECA1 + 3936.50ns INFO [00003938] Port=0 RD @1F + 3936.50ns INFO [00003938] Port=1 RD @03 + 3937.50ns INFO [00003939] * RD COMPARE * port=0 adr=1A act=60FE989B exp=60FE989B + 3937.50ns INFO [00003939] * RD COMPARE * port=1 adr=0D act=89BA0D89 exp=89BA0D89 + 3937.50ns INFO [00003939] Port=0 WR @15=AC67E4D3 + 3937.50ns INFO [00003939] Port=0 RD @1F + 3937.50ns INFO [00003939] Port=1 RD @1B + 3938.50ns INFO [00003940] * RD COMPARE * port=0 adr=1F act=8FB3251C exp=8FB3251C + 3938.50ns INFO [00003940] * RD COMPARE * port=1 adr=03 act=B635258D exp=B635258D + 3938.50ns INFO [00003940] Port=0 WR @1C=93BD7204 + 3939.50ns INFO [00003941] * RD COMPARE * port=0 adr=1F act=8FB3251C exp=8FB3251C + 3939.50ns INFO [00003941] * RD COMPARE * port=1 adr=1B act=F8D4AADD exp=F8D4AADD + 3940.50ns INFO [00003942] Port=0 WR @10=55A10187 + 3940.50ns INFO [00003942] Port=0 RD @06 + 3941.50ns INFO [00003943] Port=0 WR @10=6F3E2961 + 3941.50ns INFO [00003943] Port=1 RD @17 + 3942.50ns INFO [00003944] * RD COMPARE * port=0 adr=06 act=35E0F64A exp=35E0F64A + 3942.50ns INFO [00003944] Port=0 WR @1A=60E69F93 + 3942.50ns INFO [00003944] Port=1 RD @1C + 3943.50ns INFO [00003945] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715 + 3943.50ns INFO [00003945] Port=0 WR @10=9CC326D0 + 3943.50ns INFO [00003945] Port=0 RD @09 + 3944.50ns INFO [00003946] * RD COMPARE * port=1 adr=1C act=93BD7204 exp=93BD7204 + 3944.50ns INFO [00003946] Port=0 RD @07 + 3944.50ns INFO [00003946] Port=1 RD @17 + 3945.50ns INFO [00003947] * RD COMPARE * port=0 adr=09 act=FF91C3C2 exp=FF91C3C2 + 3945.50ns INFO [00003947] Port=0 WR @02=84D86974 + 3945.50ns INFO [00003947] Port=0 RD @1C + 3945.50ns INFO [00003947] Port=1 RD @09 + 3946.50ns INFO [00003948] * RD COMPARE * port=0 adr=07 act=A645ECA1 exp=A645ECA1 + 3946.50ns INFO [00003948] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715 + 3946.50ns INFO [00003948] Port=0 WR @19=2F0C640C + 3946.50ns INFO [00003948] Port=1 RD @0A + 3947.50ns INFO [00003949] * RD COMPARE * port=0 adr=1C act=93BD7204 exp=93BD7204 + 3947.50ns INFO [00003949] * RD COMPARE * port=1 adr=09 act=FF91C3C2 exp=FF91C3C2 + 3948.50ns INFO [00003950] * RD COMPARE * port=1 adr=0A act=6093707B exp=6093707B + 3948.50ns INFO [00003950] Port=0 WR @0F=D02A6407 + 3949.50ns INFO [00003951] Port=0 RD @1C + 3950.50ns INFO [00003952] Port=0 WR @1A=DE0E246F + 3950.50ns INFO [00003952] Port=0 RD @06 + 3950.50ns INFO [00003952] Port=1 RD @1E + 3951.50ns INFO [00003953] * RD COMPARE * port=0 adr=1C act=93BD7204 exp=93BD7204 + 3951.50ns INFO [00003953] Port=0 WR @07=18C31B14 + 3951.50ns INFO [00003953] Port=1 RD @05 + 3952.50ns INFO [00003954] * RD COMPARE * port=0 adr=06 act=35E0F64A exp=35E0F64A + 3952.50ns INFO [00003954] * RD COMPARE * port=1 adr=1E act=B7C9C581 exp=B7C9C581 + 3953.50ns INFO [00003955] * RD COMPARE * port=1 adr=05 act=C307D30B exp=C307D30B + 3954.50ns INFO [00003956] Port=0 RD @11 + 3955.50ns INFO [00003957] Port=0 RD @17 + 3956.50ns INFO [00003958] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908 + 3956.50ns INFO [00003958] Port=0 WR @02=07A37D65 + 3956.50ns INFO [00003958] Port=0 RD @05 + 3957.50ns INFO [00003959] * RD COMPARE * port=0 adr=17 act=5EBFC715 exp=5EBFC715 + 3957.50ns INFO [00003959] Port=0 RD @17 + 3958.50ns INFO [00003960] * RD COMPARE * port=0 adr=05 act=C307D30B exp=C307D30B + 3958.50ns INFO [00003960] Port=0 WR @0C=1521F22C + 3958.50ns INFO [00003960] Port=1 RD @11 + 3959.50ns INFO [00003961] * RD COMPARE * port=0 adr=17 act=5EBFC715 exp=5EBFC715 + 3960.50ns INFO [00003962] * RD COMPARE * port=1 adr=11 act=2664F908 exp=2664F908 + 3960.50ns INFO [00003962] Port=1 RD @15 + 3961.50ns INFO [00003963] Port=0 RD @11 + 3962.50ns INFO [00003964] * RD COMPARE * port=1 adr=15 act=AC67E4D3 exp=AC67E4D3 + 3962.50ns INFO [00003964] Port=0 WR @03=BF167089 + 3962.50ns INFO [00003964] Port=1 RD @0F + 3963.50ns INFO [00003965] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908 + 3963.50ns INFO [00003965] Port=0 RD @19 + 3964.50ns INFO [00003966] * RD COMPARE * port=1 adr=0F act=D02A6407 exp=D02A6407 + 3964.50ns INFO [00003966] Port=1 RD @11 + 3965.50ns INFO [00003967] * RD COMPARE * port=0 adr=19 act=2F0C640C exp=2F0C640C + 3965.50ns INFO [00003967] Port=0 RD @14 + 3966.50ns INFO [00003968] * RD COMPARE * port=1 adr=11 act=2664F908 exp=2664F908 + 3966.50ns INFO [00003968] Port=0 RD @0E + 3967.50ns INFO [00003969] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE + 3967.50ns INFO [00003969] Port=1 RD @11 + 3968.50ns INFO [00003970] * RD COMPARE * port=0 adr=0E act=A574AC3A exp=A574AC3A + 3968.50ns INFO [00003970] Port=0 WR @06=97D179EE + 3968.50ns INFO [00003970] Port=0 RD @0C + 3969.50ns INFO [00003971] * RD COMPARE * port=1 adr=11 act=2664F908 exp=2664F908 + 3969.50ns INFO [00003971] Port=0 RD @0A + 3969.50ns INFO [00003971] Port=1 RD @1C + 3970.50ns INFO [00003972] * RD COMPARE * port=0 adr=0C act=1521F22C exp=1521F22C + 3970.50ns INFO [00003972] Port=1 RD @17 + 3971.50ns INFO [00003973] * RD COMPARE * port=0 adr=0A act=6093707B exp=6093707B + 3971.50ns INFO [00003973] * RD COMPARE * port=1 adr=1C act=93BD7204 exp=93BD7204 + 3971.50ns INFO [00003973] Port=1 RD @01 + 3972.50ns INFO [00003974] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715 + 3972.50ns INFO [00003974] Port=0 RD @12 + 3973.50ns INFO [00003975] * RD COMPARE * port=1 adr=01 act=CE82D54E exp=CE82D54E + 3973.50ns INFO [00003975] Port=0 RD @16 + 3974.50ns INFO [00003976] * RD COMPARE * port=0 adr=12 act=39FFD548 exp=39FFD548 + 3974.50ns INFO [00003976] Port=0 WR @0E=7DD80135 + 3974.50ns INFO [00003976] Port=0 RD @10 + 3975.50ns INFO [00003977] * RD COMPARE * port=0 adr=16 act=D046523F exp=D046523F + 3975.50ns INFO [00003977] Port=0 RD @00 + 3976.50ns INFO [00003978] * RD COMPARE * port=0 adr=10 act=9CC326D0 exp=9CC326D0 + 3976.50ns INFO [00003978] Port=0 RD @1C + 3977.50ns INFO [00003979] * RD COMPARE * port=0 adr=00 act=8EBECC0F exp=8EBECC0F + 3977.50ns INFO [00003979] Port=0 WR @10=60DAA37E + 3977.50ns INFO [00003979] Port=0 RD @03 + 3977.50ns INFO [00003979] Port=1 RD @1D + 3978.50ns INFO [00003980] * RD COMPARE * port=0 adr=1C act=93BD7204 exp=93BD7204 + 3978.50ns INFO [00003980] Port=0 RD @02 + 3979.50ns INFO [00003981] * RD COMPARE * port=0 adr=03 act=BF167089 exp=BF167089 + 3979.50ns INFO [00003981] * RD COMPARE * port=1 adr=1D act=F2404F9D exp=F2404F9D + 3979.50ns INFO [00003981] Port=0 WR @1A=643CDED9 + 3979.50ns INFO [00003981] Port=0 RD @05 + 3979.50ns INFO [00003981] Port=1 RD @03 + 3980.50ns INFO [00003982] * RD COMPARE * port=0 adr=02 act=07A37D65 exp=07A37D65 + 3980.50ns INFO [00003982] Port=0 WR @18=E2F8A8D4 + 3981.50ns INFO [00003983] * RD COMPARE * port=0 adr=05 act=C307D30B exp=C307D30B + 3981.50ns INFO [00003983] * RD COMPARE * port=1 adr=03 act=BF167089 exp=BF167089 + 3982.50ns INFO [00003984] Port=0 RD @14 + 3982.50ns INFO [00003984] Port=1 RD @1F + 3984.50ns INFO [00003986] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE + 3984.50ns INFO [00003986] * RD COMPARE * port=1 adr=1F act=8FB3251C exp=8FB3251C + 3984.50ns INFO [00003986] Port=0 WR @0C=7C7F6136 + 3984.50ns INFO [00003986] Port=0 RD @0A + 3986.50ns INFO [00003988] * RD COMPARE * port=0 adr=0A act=6093707B exp=6093707B + 3986.50ns INFO [00003988] Port=0 RD @0D + 3987.50ns INFO [00003989] Port=0 WR @17=84D420B0 + 3987.50ns INFO [00003989] Port=1 RD @1B + 3988.50ns INFO [00003990] * RD COMPARE * port=0 adr=0D act=89BA0D89 exp=89BA0D89 + 3989.50ns INFO [00003991] * RD COMPARE * port=1 adr=1B act=F8D4AADD exp=F8D4AADD + 3989.50ns INFO [00003991] Port=0 RD @11 + 3991.50ns INFO [00003993] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908 + 3991.50ns INFO [00003993] Port=0 WR @0E=906C3E8E + 3991.50ns INFO [00003993] Port=1 RD @00 + 3993.50ns INFO [00003995] * RD COMPARE * port=1 adr=00 act=8EBECC0F exp=8EBECC0F + 3993.50ns INFO [00003995] Port=0 WR @1B=787F0137 + 3993.50ns INFO [00003995] Port=1 RD @16 + 3994.50ns INFO [00003996] Port=1 RD @08 + 3995.50ns INFO [00003997] * RD COMPARE * port=1 adr=16 act=D046523F exp=D046523F + 3995.50ns INFO [00003997] Port=0 RD @0C + 3996.50ns INFO [00003998] * RD COMPARE * port=1 adr=08 act=ED4EF47A exp=ED4EF47A + 3996.50ns INFO [00003998] Port=0 RD @0A + 3996.50ns INFO [00003998] Port=1 RD @06 + 3997.50ns INFO [00003999] * RD COMPARE * port=0 adr=0C act=7C7F6136 exp=7C7F6136 + 3997.50ns INFO [00003999] Port=1 RD @09 + 3998.00ns INFO [00004000] [00004000] ...tick... + 3998.50ns INFO [00004000] * RD COMPARE * port=0 adr=0A act=6093707B exp=6093707B + 3998.50ns INFO [00004000] * RD COMPARE * port=1 adr=06 act=97D179EE exp=97D179EE + 3998.50ns INFO [00004000] Port=0 WR @19=25E41252 + 3998.50ns INFO [00004000] Port=0 RD @06 + 3999.50ns INFO [00004001] * RD COMPARE * port=1 adr=09 act=FF91C3C2 exp=FF91C3C2 + 4000.50ns INFO [00004002] * RD COMPARE * port=0 adr=06 act=97D179EE exp=97D179EE + 4001.50ns INFO [00004003] Port=0 WR @04=E53BF743 + 4001.50ns INFO [00004003] Port=0 RD @10 + 4001.50ns INFO [00004003] Port=1 RD @1E + 4002.50ns INFO [00004004] Port=0 WR @1C=BE762915 + 4003.50ns INFO [00004005] * RD COMPARE * port=0 adr=10 act=60DAA37E exp=60DAA37E + 4003.50ns INFO [00004005] * RD COMPARE * port=1 adr=1E act=B7C9C581 exp=B7C9C581 + 4003.50ns INFO [00004005] Port=0 WR @1B=FD594224 + 4003.50ns INFO [00004005] Port=1 RD @12 + 4004.50ns INFO [00004006] Port=1 RD @0F + 4005.50ns INFO [00004007] * RD COMPARE * port=1 adr=12 act=39FFD548 exp=39FFD548 + 4005.50ns INFO [00004007] Port=0 WR @04=FE2490AA + 4005.50ns INFO [00004007] Port=0 RD @03 + 4006.50ns INFO [00004008] * RD COMPARE * port=1 adr=0F act=D02A6407 exp=D02A6407 + 4006.50ns INFO [00004008] Port=0 WR @1F=F793A2FC + 4007.50ns INFO [00004009] * RD COMPARE * port=0 adr=03 act=BF167089 exp=BF167089 + 4007.50ns INFO [00004009] Port=0 WR @1F=7C5F730A + 4008.50ns INFO [00004010] Port=0 WR @1C=365C4B6C + 4009.50ns INFO [00004011] Port=0 WR @1C=ECA0DAD3 + 4009.50ns INFO [00004011] Port=0 RD @18 + 4010.50ns INFO [00004012] Port=0 WR @03=8DC949E0 + 4010.50ns INFO [00004012] Port=1 RD @1A + 4011.50ns INFO [00004013] * RD COMPARE * port=0 adr=18 act=E2F8A8D4 exp=E2F8A8D4 + 4012.50ns INFO [00004014] * RD COMPARE * port=1 adr=1A act=643CDED9 exp=643CDED9 + 4012.50ns INFO [00004014] Port=0 RD @00 + 4012.50ns INFO [00004014] Port=1 RD @07 + 4013.50ns INFO [00004015] Port=0 WR @09=BA1A83E0 + 4013.50ns INFO [00004015] Port=1 RD @0F + 4014.50ns INFO [00004016] * RD COMPARE * port=0 adr=00 act=8EBECC0F exp=8EBECC0F + 4014.50ns INFO [00004016] * RD COMPARE * port=1 adr=07 act=18C31B14 exp=18C31B14 + 4014.50ns INFO [00004016] Port=0 WR @18=446657A0 + 4015.50ns INFO [00004017] * RD COMPARE * port=1 adr=0F act=D02A6407 exp=D02A6407 + 4015.50ns INFO [00004017] Port=0 WR @0D=1B5919BF + 4015.50ns INFO [00004017] Port=0 RD @1F + 4016.50ns INFO [00004018] Port=1 RD @01 + 4017.50ns INFO [00004019] * RD COMPARE * port=0 adr=1F act=7C5F730A exp=7C5F730A + 4017.50ns INFO [00004019] Port=0 WR @10=0BFB96D4 + 4017.50ns INFO [00004019] Port=0 RD @18 + 4018.50ns INFO [00004020] * RD COMPARE * port=1 adr=01 act=CE82D54E exp=CE82D54E + 4019.50ns INFO [00004021] * RD COMPARE * port=0 adr=18 act=446657A0 exp=446657A0 + 4019.50ns INFO [00004021] Port=0 RD @1F + 4019.50ns INFO [00004021] Port=1 RD @09 + 4020.50ns INFO [00004022] Port=0 RD @04 + 4020.50ns INFO [00004022] Port=1 RD @06 + 4021.50ns INFO [00004023] * RD COMPARE * port=0 adr=1F act=7C5F730A exp=7C5F730A + 4021.50ns INFO [00004023] * RD COMPARE * port=1 adr=09 act=BA1A83E0 exp=BA1A83E0 + 4021.50ns INFO [00004023] Port=0 WR @00=9F2340E0 + 4021.50ns INFO [00004023] Port=0 RD @1A + 4022.50ns INFO [00004024] * RD COMPARE * port=0 adr=04 act=FE2490AA exp=FE2490AA + 4022.50ns INFO [00004024] * RD COMPARE * port=1 adr=06 act=97D179EE exp=97D179EE + 4023.50ns INFO [00004025] * RD COMPARE * port=0 adr=1A act=643CDED9 exp=643CDED9 + 4023.50ns INFO [00004025] Port=0 WR @1B=01BFB9FF + 4023.50ns INFO [00004025] Port=0 RD @14 + 4025.50ns INFO [00004027] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE + 4025.50ns INFO [00004027] Port=0 WR @1D=89054ABD + 4026.50ns INFO [00004028] Port=0 RD @1B + 4027.50ns INFO [00004029] Port=1 RD @1F + 4028.50ns INFO [00004030] * RD COMPARE * port=0 adr=1B act=01BFB9FF exp=01BFB9FF + 4028.50ns INFO [00004030] Port=0 WR @19=23004339 + 4029.50ns INFO [00004031] * RD COMPARE * port=1 adr=1F act=7C5F730A exp=7C5F730A + 4029.50ns INFO [00004031] Port=0 WR @01=90D12761 + 4029.50ns INFO [00004031] Port=0 RD @1D + 4031.50ns INFO [00004033] * RD COMPARE * port=0 adr=1D act=89054ABD exp=89054ABD + 4032.50ns INFO [00004034] Port=0 WR @05=63E43187 + 4032.50ns INFO [00004034] Port=1 RD @10 + 4034.50ns INFO [00004036] * RD COMPARE * port=1 adr=10 act=0BFB96D4 exp=0BFB96D4 + 4034.50ns INFO [00004036] Port=0 WR @15=7C0CBB8F + 4036.50ns INFO [00004038] Port=0 WR @06=DD47562B + 4037.50ns INFO [00004039] Port=0 WR @12=EEA15BD3 + 4037.50ns INFO [00004039] Port=0 RD @15 + 4037.50ns INFO [00004039] Port=1 RD @14 + 4039.50ns INFO [00004041] * RD COMPARE * port=0 adr=15 act=7C0CBB8F exp=7C0CBB8F + 4039.50ns INFO [00004041] * RD COMPARE * port=1 adr=14 act=3F2C48DE exp=3F2C48DE + 4039.50ns INFO [00004041] Port=0 RD @19 + 4040.50ns INFO [00004042] Port=1 RD @01 + 4041.50ns INFO [00004043] * RD COMPARE * port=0 adr=19 act=23004339 exp=23004339 + 4042.50ns INFO [00004044] * RD COMPARE * port=1 adr=01 act=90D12761 exp=90D12761 + 4042.50ns INFO [00004044] Port=1 RD @12 + 4044.50ns INFO [00004046] * RD COMPARE * port=1 adr=12 act=EEA15BD3 exp=EEA15BD3 + 4044.50ns INFO [00004046] Port=0 WR @07=3298094B + 4044.50ns INFO [00004046] Port=1 RD @14 + 4045.50ns INFO [00004047] Port=0 RD @0D + 4046.50ns INFO [00004048] * RD COMPARE * port=1 adr=14 act=3F2C48DE exp=3F2C48DE + 4046.50ns INFO [00004048] Port=0 RD @09 + 4047.50ns INFO [00004049] * RD COMPARE * port=0 adr=0D act=1B5919BF exp=1B5919BF + 4048.50ns INFO [00004050] * RD COMPARE * port=0 adr=09 act=BA1A83E0 exp=BA1A83E0 + 4048.50ns INFO [00004050] Port=0 WR @03=8C4FF086 + 4048.50ns INFO [00004050] Port=0 RD @1A + 4048.50ns INFO [00004050] Port=1 RD @16 + 4049.50ns INFO [00004051] Port=0 WR @06=69C99BAA + 4049.50ns INFO [00004051] Port=0 RD @0F + 4050.50ns INFO [00004052] * RD COMPARE * port=0 adr=1A act=643CDED9 exp=643CDED9 + 4050.50ns INFO [00004052] * RD COMPARE * port=1 adr=16 act=D046523F exp=D046523F + 4050.50ns INFO [00004052] Port=0 WR @18=AA75215D + 4051.50ns INFO [00004053] * RD COMPARE * port=0 adr=0F act=D02A6407 exp=D02A6407 + 4051.50ns INFO [00004053] Port=0 WR @1D=9CA0FF38 + 4051.50ns INFO [00004053] Port=1 RD @0F + 4052.50ns INFO [00004054] Port=0 WR @08=13AD3081 + 4052.50ns INFO [00004054] Port=1 RD @0E + 4053.50ns INFO [00004055] * RD COMPARE * port=1 adr=0F act=D02A6407 exp=D02A6407 + 4053.50ns INFO [00004055] Port=1 RD @1B + 4054.50ns INFO [00004056] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E + 4054.50ns INFO [00004056] Port=0 WR @05=31EE3D4D + 4055.50ns INFO [00004057] * RD COMPARE * port=1 adr=1B act=01BFB9FF exp=01BFB9FF + 4055.50ns INFO [00004057] Port=0 WR @0D=A3C4E9D5 + 4055.50ns INFO [00004057] Port=0 RD @1E + 4056.50ns INFO [00004058] Port=0 WR @0F=82DADD88 + 4056.50ns INFO [00004058] Port=0 RD @1D + 4056.50ns INFO [00004058] Port=1 RD @03 + 4057.50ns INFO [00004059] * RD COMPARE * port=0 adr=1E act=B7C9C581 exp=B7C9C581 + 4058.50ns INFO [00004060] * RD COMPARE * port=0 adr=1D act=9CA0FF38 exp=9CA0FF38 + 4058.50ns INFO [00004060] * RD COMPARE * port=1 adr=03 act=8C4FF086 exp=8C4FF086 + 4058.50ns INFO [00004060] Port=0 RD @09 + 4058.50ns INFO [00004060] Port=1 RD @0E + 4059.50ns INFO [00004061] Port=0 WR @1F=492C23C7 + 4059.50ns INFO [00004061] Port=0 RD @05 + 4060.50ns INFO [00004062] * RD COMPARE * port=0 adr=09 act=BA1A83E0 exp=BA1A83E0 + 4060.50ns INFO [00004062] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E + 4060.50ns INFO [00004062] Port=0 RD @14 + 4060.50ns INFO [00004062] Port=1 RD @11 + 4061.50ns INFO [00004063] * RD COMPARE * port=0 adr=05 act=31EE3D4D exp=31EE3D4D + 4061.50ns INFO [00004063] Port=1 RD @16 + 4062.50ns INFO [00004064] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE + 4062.50ns INFO [00004064] * RD COMPARE * port=1 adr=11 act=2664F908 exp=2664F908 + 4063.50ns INFO [00004065] * RD COMPARE * port=1 adr=16 act=D046523F exp=D046523F + 4063.50ns INFO [00004065] Port=0 RD @15 + 4063.50ns INFO [00004065] Port=1 RD @0A + 4064.50ns INFO [00004066] Port=0 RD @03 + 4064.50ns INFO [00004066] Port=1 RD @0A + 4065.50ns INFO [00004067] * RD COMPARE * port=0 adr=15 act=7C0CBB8F exp=7C0CBB8F + 4065.50ns INFO [00004067] * RD COMPARE * port=1 adr=0A act=6093707B exp=6093707B + 4066.50ns INFO [00004068] * RD COMPARE * port=0 adr=03 act=8C4FF086 exp=8C4FF086 + 4066.50ns INFO [00004068] * RD COMPARE * port=1 adr=0A act=6093707B exp=6093707B + 4066.50ns INFO [00004068] Port=0 RD @07 + 4067.50ns INFO [00004069] Port=0 RD @10 + 4068.50ns INFO [00004070] * RD COMPARE * port=0 adr=07 act=3298094B exp=3298094B + 4068.50ns INFO [00004070] Port=0 RD @09 + 4068.50ns INFO [00004070] Port=1 RD @1F + 4069.50ns INFO [00004071] * RD COMPARE * port=0 adr=10 act=0BFB96D4 exp=0BFB96D4 + 4069.50ns INFO [00004071] Port=0 WR @00=31EEF69B + 4069.50ns INFO [00004071] Port=0 RD @01 + 4070.50ns INFO [00004072] * RD COMPARE * port=0 adr=09 act=BA1A83E0 exp=BA1A83E0 + 4070.50ns INFO [00004072] * RD COMPARE * port=1 adr=1F act=492C23C7 exp=492C23C7 + 4071.50ns INFO [00004073] * RD COMPARE * port=0 adr=01 act=90D12761 exp=90D12761 + 4071.50ns INFO [00004073] Port=1 RD @06 + 4072.50ns INFO [00004074] Port=0 RD @06 + 4072.50ns INFO [00004074] Port=1 RD @17 + 4073.50ns INFO [00004075] * RD COMPARE * port=1 adr=06 act=69C99BAA exp=69C99BAA + 4074.50ns INFO [00004076] * RD COMPARE * port=0 adr=06 act=69C99BAA exp=69C99BAA + 4074.50ns INFO [00004076] * RD COMPARE * port=1 adr=17 act=84D420B0 exp=84D420B0 + 4074.50ns INFO [00004076] Port=0 WR @16=3753AA76 + 4074.50ns INFO [00004076] Port=0 RD @14 + 4074.50ns INFO [00004076] Port=1 RD @08 + 4075.50ns INFO [00004077] Port=0 WR @10=F0D9BEA5 + 4075.50ns INFO [00004077] Port=1 RD @00 + 4076.50ns INFO [00004078] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE + 4076.50ns INFO [00004078] * RD COMPARE * port=1 adr=08 act=13AD3081 exp=13AD3081 + 4076.50ns INFO [00004078] Port=1 RD @17 + 4077.50ns INFO [00004079] * RD COMPARE * port=1 adr=00 act=31EEF69B exp=31EEF69B + 4077.50ns INFO [00004079] Port=0 RD @13 + 4078.50ns INFO [00004080] * RD COMPARE * port=1 adr=17 act=84D420B0 exp=84D420B0 + 4078.50ns INFO [00004080] Port=0 WR @1A=D99A46D4 + 4078.50ns INFO [00004080] Port=0 RD @01 + 4078.50ns INFO [00004080] Port=1 RD @09 + 4079.50ns INFO [00004081] * RD COMPARE * port=0 adr=13 act=95DE6F3E exp=95DE6F3E + 4080.50ns INFO [00004082] * RD COMPARE * port=0 adr=01 act=90D12761 exp=90D12761 + 4080.50ns INFO [00004082] * RD COMPARE * port=1 adr=09 act=BA1A83E0 exp=BA1A83E0 + 4080.50ns INFO [00004082] Port=0 RD @0D + 4080.50ns INFO [00004082] Port=1 RD @01 + 4082.50ns INFO [00004084] * RD COMPARE * port=0 adr=0D act=A3C4E9D5 exp=A3C4E9D5 + 4082.50ns INFO [00004084] * RD COMPARE * port=1 adr=01 act=90D12761 exp=90D12761 + 4082.50ns INFO [00004084] Port=0 WR @0A=D9BC5343 + 4082.50ns INFO [00004084] Port=0 RD @1C + 4084.50ns INFO [00004086] * RD COMPARE * port=0 adr=1C act=ECA0DAD3 exp=ECA0DAD3 + 4084.50ns INFO [00004086] Port=0 WR @1E=89CFE7B3 + 4084.50ns INFO [00004086] Port=1 RD @09 + 4085.50ns INFO [00004087] Port=0 WR @18=70842B97 + 4085.50ns INFO [00004087] Port=1 RD @1C + 4086.50ns INFO [00004088] * RD COMPARE * port=1 adr=09 act=BA1A83E0 exp=BA1A83E0 + 4087.50ns INFO [00004089] * RD COMPARE * port=1 adr=1C act=ECA0DAD3 exp=ECA0DAD3 + 4087.50ns INFO [00004089] Port=0 WR @04=061459F6 + 4088.50ns INFO [00004090] Port=0 WR @1B=E507E8F7 + 4088.50ns INFO [00004090] Port=1 RD @05 + 4089.50ns INFO [00004091] Port=0 RD @14 + 4089.50ns INFO [00004091] Port=1 RD @1E + 4090.50ns INFO [00004092] * RD COMPARE * port=1 adr=05 act=31EE3D4D exp=31EE3D4D + 4090.50ns INFO [00004092] Port=0 WR @10=ECB061CD + 4090.50ns INFO [00004092] Port=0 RD @16 + 4090.50ns INFO [00004092] Port=1 RD @15 + 4091.50ns INFO [00004093] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE + 4091.50ns INFO [00004093] * RD COMPARE * port=1 adr=1E act=89CFE7B3 exp=89CFE7B3 + 4091.50ns INFO [00004093] Port=0 RD @01 + 4092.50ns INFO [00004094] * RD COMPARE * port=0 adr=16 act=3753AA76 exp=3753AA76 + 4092.50ns INFO [00004094] * RD COMPARE * port=1 adr=15 act=7C0CBB8F exp=7C0CBB8F + 4093.50ns INFO [00004095] * RD COMPARE * port=0 adr=01 act=90D12761 exp=90D12761 + 4093.50ns INFO [00004095] Port=0 RD @14 + 4094.50ns INFO [00004096] Port=0 RD @06 + 4095.50ns INFO [00004097] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE + 4095.50ns INFO [00004097] Port=0 RD @1F + 4095.50ns INFO [00004097] Port=1 RD @0F + 4096.50ns INFO [00004098] * RD COMPARE * port=0 adr=06 act=69C99BAA exp=69C99BAA + 4096.50ns INFO [00004098] Port=0 WR @0C=64685B19 + 4097.50ns INFO [00004099] * RD COMPARE * port=0 adr=1F act=492C23C7 exp=492C23C7 + 4097.50ns INFO [00004099] * RD COMPARE * port=1 adr=0F act=82DADD88 exp=82DADD88 + 4097.50ns INFO [00004099] Port=0 RD @00 + 4097.50ns INFO [00004099] Port=1 RD @01 + 4098.00ns INFO [00004100] [00004100] ...tick... + 4098.50ns INFO [00004100] Port=1 RD @19 + 4099.50ns INFO [00004101] * RD COMPARE * port=0 adr=00 act=31EEF69B exp=31EEF69B + 4099.50ns INFO [00004101] * RD COMPARE * port=1 adr=01 act=90D12761 exp=90D12761 + 4099.50ns INFO [00004101] Port=0 RD @0D + 4100.50ns INFO [00004102] * RD COMPARE * port=1 adr=19 act=23004339 exp=23004339 + 4101.50ns INFO [00004103] * RD COMPARE * port=0 adr=0D act=A3C4E9D5 exp=A3C4E9D5 + 4102.50ns INFO [00004104] Port=1 RD @01 + 4103.50ns INFO [00004105] Port=1 RD @1B + 4104.50ns INFO [00004106] * RD COMPARE * port=1 adr=01 act=90D12761 exp=90D12761 + 4104.50ns INFO [00004106] Port=0 RD @0B + 4105.50ns INFO [00004107] * RD COMPARE * port=1 adr=1B act=E507E8F7 exp=E507E8F7 + 4106.50ns INFO [00004108] * RD COMPARE * port=0 adr=0B act=331571E9 exp=331571E9 + 4106.50ns INFO [00004108] Port=0 WR @02=CF9EC179 + 4107.50ns INFO [00004109] Port=0 RD @07 + 4108.50ns INFO [00004110] Port=0 RD @12 + 4108.50ns INFO [00004110] Port=1 RD @08 + 4109.50ns INFO [00004111] * RD COMPARE * port=0 adr=07 act=3298094B exp=3298094B + 4109.50ns INFO [00004111] Port=0 RD @06 + 4110.50ns INFO [00004112] * RD COMPARE * port=0 adr=12 act=EEA15BD3 exp=EEA15BD3 + 4110.50ns INFO [00004112] * RD COMPARE * port=1 adr=08 act=13AD3081 exp=13AD3081 + 4110.50ns INFO [00004112] Port=0 WR @1B=0555CC53 + 4110.50ns INFO [00004112] Port=0 RD @1E + 4111.50ns INFO [00004113] * RD COMPARE * port=0 adr=06 act=69C99BAA exp=69C99BAA + 4111.50ns INFO [00004113] Port=0 WR @08=7759918E + 4112.50ns INFO [00004114] * RD COMPARE * port=0 adr=1E act=89CFE7B3 exp=89CFE7B3 + 4112.50ns INFO [00004114] Port=0 RD @0D + 4113.50ns INFO [00004115] Port=0 WR @14=75BAD922 + 4113.50ns INFO [00004115] Port=0 RD @08 + 4113.50ns INFO [00004115] Port=1 RD @1C + 4114.50ns INFO [00004116] * RD COMPARE * port=0 adr=0D act=A3C4E9D5 exp=A3C4E9D5 + 4114.50ns INFO [00004116] Port=0 RD @1F + 4115.50ns INFO [00004117] * RD COMPARE * port=0 adr=08 act=7759918E exp=7759918E + 4115.50ns INFO [00004117] * RD COMPARE * port=1 adr=1C act=ECA0DAD3 exp=ECA0DAD3 + 4116.50ns INFO [00004118] * RD COMPARE * port=0 adr=1F act=492C23C7 exp=492C23C7 + 4116.50ns INFO [00004118] Port=0 WR @1A=BB9F4B01 + 4116.50ns INFO [00004118] Port=1 RD @08 + 4117.50ns INFO [00004119] Port=0 WR @0F=C4665F38 + 4118.50ns INFO [00004120] * RD COMPARE * port=1 adr=08 act=7759918E exp=7759918E + 4118.50ns INFO [00004120] Port=0 WR @1B=CDAFD5D1 + 4118.50ns INFO [00004120] Port=0 RD @13 + 4118.50ns INFO [00004120] Port=1 RD @17 + 4120.50ns INFO [00004122] * RD COMPARE * port=0 adr=13 act=95DE6F3E exp=95DE6F3E + 4120.50ns INFO [00004122] * RD COMPARE * port=1 adr=17 act=84D420B0 exp=84D420B0 + 4120.50ns INFO [00004122] Port=0 WR @07=0D539370 + 4120.50ns INFO [00004122] Port=1 RD @16 + 4121.50ns INFO [00004123] Port=0 WR @08=871CBA16 + 4122.50ns INFO [00004124] * RD COMPARE * port=1 adr=16 act=3753AA76 exp=3753AA76 + 4123.50ns INFO [00004125] Port=1 RD @1A + 4124.50ns INFO [00004126] Port=0 RD @19 + 4125.50ns INFO [00004127] * RD COMPARE * port=1 adr=1A act=BB9F4B01 exp=BB9F4B01 + 4125.50ns INFO [00004127] Port=0 RD @18 + 4125.50ns INFO [00004127] Port=1 RD @0D + 4126.50ns INFO [00004128] * RD COMPARE * port=0 adr=19 act=23004339 exp=23004339 + 4127.50ns INFO [00004129] * RD COMPARE * port=0 adr=18 act=70842B97 exp=70842B97 + 4127.50ns INFO [00004129] * RD COMPARE * port=1 adr=0D act=A3C4E9D5 exp=A3C4E9D5 + 4127.50ns INFO [00004129] Port=0 WR @1F=9C640E34 + 4127.50ns INFO [00004129] Port=1 RD @09 + 4128.50ns INFO [00004130] Port=0 WR @14=B0B7BD61 + 4128.50ns INFO [00004130] Port=1 RD @03 + 4129.50ns INFO [00004131] * RD COMPARE * port=1 adr=09 act=BA1A83E0 exp=BA1A83E0 + 4129.50ns INFO [00004131] Port=0 WR @1A=539A9B3F + 4129.50ns INFO [00004131] Port=0 RD @16 + 4130.50ns INFO [00004132] * RD COMPARE * port=1 adr=03 act=8C4FF086 exp=8C4FF086 + 4130.50ns INFO [00004132] Port=0 WR @0C=124D4A61 + 4130.50ns INFO [00004132] Port=0 RD @08 + 4131.50ns INFO [00004133] * RD COMPARE * port=0 adr=16 act=3753AA76 exp=3753AA76 + 4132.50ns INFO [00004134] * RD COMPARE * port=0 adr=08 act=871CBA16 exp=871CBA16 + 4133.50ns INFO [00004135] Port=0 RD @03 + 4133.50ns INFO [00004135] Port=1 RD @0D + 4134.50ns INFO [00004136] Port=0 WR @1A=B726ED6C + 4134.50ns INFO [00004136] Port=1 RD @14 + 4135.50ns INFO [00004137] * RD COMPARE * port=0 adr=03 act=8C4FF086 exp=8C4FF086 + 4135.50ns INFO [00004137] * RD COMPARE * port=1 adr=0D act=A3C4E9D5 exp=A3C4E9D5 + 4135.50ns INFO [00004137] Port=1 RD @17 + 4136.50ns INFO [00004138] * RD COMPARE * port=1 adr=14 act=B0B7BD61 exp=B0B7BD61 + 4136.50ns INFO [00004138] Port=0 WR @14=2DFC5EF1 + 4137.50ns INFO [00004139] * RD COMPARE * port=1 adr=17 act=84D420B0 exp=84D420B0 + 4138.50ns INFO [00004140] Port=0 WR @0C=82C28CC1 + 4140.50ns INFO [00004142] Port=0 RD @03 + 4140.50ns INFO [00004142] Port=1 RD @0F + 4141.50ns INFO [00004143] Port=0 RD @1B + 4142.50ns INFO [00004144] * RD COMPARE * port=0 adr=03 act=8C4FF086 exp=8C4FF086 + 4142.50ns INFO [00004144] * RD COMPARE * port=1 adr=0F act=C4665F38 exp=C4665F38 + 4142.50ns INFO [00004144] Port=0 RD @1F + 4142.50ns INFO [00004144] Port=1 RD @0E + 4143.50ns INFO [00004145] * RD COMPARE * port=0 adr=1B act=CDAFD5D1 exp=CDAFD5D1 + 4143.50ns INFO [00004145] Port=0 WR @1B=BE4A45BA + 4143.50ns INFO [00004145] Port=0 RD @1F + 4144.50ns INFO [00004146] * RD COMPARE * port=0 adr=1F act=9C640E34 exp=9C640E34 + 4144.50ns INFO [00004146] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E + 4144.50ns INFO [00004146] Port=1 RD @0E + 4145.50ns INFO [00004147] * RD COMPARE * port=0 adr=1F act=9C640E34 exp=9C640E34 + 4145.50ns INFO [00004147] Port=0 WR @0B=7D38DCB1 + 4146.50ns INFO [00004148] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E + 4150.50ns INFO [00004152] Port=0 WR @14=86ABEE51 + 4150.50ns INFO [00004152] Port=0 RD @00 + 4151.50ns INFO [00004153] Port=0 WR @03=DC37F403 + 4151.50ns INFO [00004153] Port=1 RD @0B + 4152.50ns INFO [00004154] * RD COMPARE * port=0 adr=00 act=31EEF69B exp=31EEF69B + 4153.50ns INFO [00004155] * RD COMPARE * port=1 adr=0B act=7D38DCB1 exp=7D38DCB1 + 4154.50ns INFO [00004156] Port=0 WR @17=795D0D0F + 4154.50ns INFO [00004156] Port=0 RD @1D + 4155.50ns INFO [00004157] Port=0 RD @1F + 4156.50ns INFO [00004158] * RD COMPARE * port=0 adr=1D act=9CA0FF38 exp=9CA0FF38 + 4156.50ns INFO [00004158] Port=0 RD @0A + 4157.50ns INFO [00004159] * RD COMPARE * port=0 adr=1F act=9C640E34 exp=9C640E34 + 4157.50ns INFO [00004159] Port=0 WR @0A=13B6D0AE + 4157.50ns INFO [00004159] Port=1 RD @0F + 4158.50ns INFO [00004160] * RD COMPARE * port=0 adr=0A act=D9BC5343 exp=D9BC5343 + 4158.50ns INFO [00004160] Port=1 RD @14 + 4159.50ns INFO [00004161] * RD COMPARE * port=1 adr=0F act=C4665F38 exp=C4665F38 + 4160.50ns INFO [00004162] * RD COMPARE * port=1 adr=14 act=86ABEE51 exp=86ABEE51 + 4162.50ns INFO [00004164] Port=0 WR @09=334F76DF + 4162.50ns INFO [00004164] Port=1 RD @01 + 4164.50ns INFO [00004166] * RD COMPARE * port=1 adr=01 act=90D12761 exp=90D12761 + 4164.50ns INFO [00004166] Port=0 RD @02 + 4165.50ns INFO [00004167] Port=0 WR @1A=4BF4A0A0 + 4165.50ns INFO [00004167] Port=1 RD @0E + 4166.50ns INFO [00004168] * RD COMPARE * port=0 adr=02 act=CF9EC179 exp=CF9EC179 + 4166.50ns INFO [00004168] Port=1 RD @09 + 4167.50ns INFO [00004169] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E + 4167.50ns INFO [00004169] Port=0 WR @17=3E3E11EB + 4168.50ns INFO [00004170] * RD COMPARE * port=1 adr=09 act=334F76DF exp=334F76DF + 4168.50ns INFO [00004170] Port=0 WR @13=B38D584E + 4170.50ns INFO [00004172] Port=0 RD @03 + 4171.50ns INFO [00004173] Port=0 WR @1C=D3945E30 + 4172.50ns INFO [00004174] * RD COMPARE * port=0 adr=03 act=DC37F403 exp=DC37F403 + 4172.50ns INFO [00004174] Port=0 WR @15=F063B1A4 + 4172.50ns INFO [00004174] Port=0 RD @0D + 4173.50ns INFO [00004175] Port=0 WR @10=C066A68F + 4173.50ns INFO [00004175] Port=0 RD @13 + 4174.50ns INFO [00004176] * RD COMPARE * port=0 adr=0D act=A3C4E9D5 exp=A3C4E9D5 + 4175.50ns INFO [00004177] * RD COMPARE * port=0 adr=13 act=B38D584E exp=B38D584E + 4175.50ns INFO [00004177] Port=1 RD @0C + 4176.50ns INFO [00004178] Port=0 RD @1B + 4176.50ns INFO [00004178] Port=1 RD @1C + 4177.50ns INFO [00004179] * RD COMPARE * port=1 adr=0C act=82C28CC1 exp=82C28CC1 + 4177.50ns INFO [00004179] Port=0 RD @00 + 4178.50ns INFO [00004180] * RD COMPARE * port=0 adr=1B act=BE4A45BA exp=BE4A45BA + 4178.50ns INFO [00004180] * RD COMPARE * port=1 adr=1C act=D3945E30 exp=D3945E30 + 4179.50ns INFO [00004181] * RD COMPARE * port=0 adr=00 act=31EEF69B exp=31EEF69B + 4179.50ns INFO [00004181] Port=0 WR @01=960D92C7 + 4179.50ns INFO [00004181] Port=0 RD @11 + 4181.50ns INFO [00004183] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908 + 4181.50ns INFO [00004183] Port=0 RD @04 + 4181.50ns INFO [00004183] Port=1 RD @18 + 4182.50ns INFO [00004184] Port=0 RD @06 + 4183.50ns INFO [00004185] * RD COMPARE * port=0 adr=04 act=061459F6 exp=061459F6 + 4183.50ns INFO [00004185] * RD COMPARE * port=1 adr=18 act=70842B97 exp=70842B97 + 4183.50ns INFO [00004185] Port=0 WR @01=2ADAC875 + 4183.50ns INFO [00004185] Port=1 RD @0A + 4184.50ns INFO [00004186] * RD COMPARE * port=0 adr=06 act=69C99BAA exp=69C99BAA + 4184.50ns INFO [00004186] Port=0 RD @19 + 4185.50ns INFO [00004187] * RD COMPARE * port=1 adr=0A act=13B6D0AE exp=13B6D0AE + 4185.50ns INFO [00004187] Port=0 RD @16 + 4186.50ns INFO [00004188] * RD COMPARE * port=0 adr=19 act=23004339 exp=23004339 + 4186.50ns INFO [00004188] Port=1 RD @0A + 4187.50ns INFO [00004189] * RD COMPARE * port=0 adr=16 act=3753AA76 exp=3753AA76 + 4188.50ns INFO [00004190] * RD COMPARE * port=1 adr=0A act=13B6D0AE exp=13B6D0AE + 4188.50ns INFO [00004190] Port=0 WR @1D=B1C577AC + 4188.50ns INFO [00004190] Port=0 RD @0A + 4188.50ns INFO [00004190] Port=1 RD @0F + 4189.50ns INFO [00004191] Port=0 WR @05=CF77FC9C + 4190.50ns INFO [00004192] * RD COMPARE * port=0 adr=0A act=13B6D0AE exp=13B6D0AE + 4190.50ns INFO [00004192] * RD COMPARE * port=1 adr=0F act=C4665F38 exp=C4665F38 + 4190.50ns INFO [00004192] Port=0 RD @03 + 4190.50ns INFO [00004192] Port=1 RD @04 + 4192.50ns INFO [00004194] * RD COMPARE * port=0 adr=03 act=DC37F403 exp=DC37F403 + 4192.50ns INFO [00004194] * RD COMPARE * port=1 adr=04 act=061459F6 exp=061459F6 + 4193.50ns INFO [00004195] Port=0 RD @05 + 4193.50ns INFO [00004195] Port=1 RD @06 + 4194.50ns INFO [00004196] Port=1 RD @01 + 4195.50ns INFO [00004197] * RD COMPARE * port=0 adr=05 act=CF77FC9C exp=CF77FC9C + 4195.50ns INFO [00004197] * RD COMPARE * port=1 adr=06 act=69C99BAA exp=69C99BAA + 4195.50ns INFO [00004197] Port=1 RD @04 + 4196.50ns INFO [00004198] * RD COMPARE * port=1 adr=01 act=2ADAC875 exp=2ADAC875 + 4196.50ns INFO [00004198] Port=1 RD @01 + 4197.50ns INFO [00004199] * RD COMPARE * port=1 adr=04 act=061459F6 exp=061459F6 + 4197.50ns INFO [00004199] Port=0 RD @05 + 4197.50ns INFO [00004199] Port=1 RD @05 + 4198.00ns INFO [00004200] [00004200] ...tick... + 4198.50ns INFO [00004200] * RD COMPARE * port=1 adr=01 act=2ADAC875 exp=2ADAC875 + 4199.50ns INFO [00004201] * RD COMPARE * port=0 adr=05 act=CF77FC9C exp=CF77FC9C + 4199.50ns INFO [00004201] * RD COMPARE * port=1 adr=05 act=CF77FC9C exp=CF77FC9C + 4199.50ns INFO [00004201] Port=0 WR @18=80367446 + 4202.50ns INFO [00004204] Port=0 WR @1F=4C91370D + 4202.50ns INFO [00004204] Port=1 RD @17 + 4203.50ns INFO [00004205] Port=0 WR @1F=6BB98440 + 4204.50ns INFO [00004206] * RD COMPARE * port=1 adr=17 act=3E3E11EB exp=3E3E11EB + 4205.50ns INFO [00004207] Port=0 RD @1E + 4205.50ns INFO [00004207] Port=1 RD @0E + 4206.50ns INFO [00004208] Port=1 RD @0D + 4207.50ns INFO [00004209] * RD COMPARE * port=0 adr=1E act=89CFE7B3 exp=89CFE7B3 + 4207.50ns INFO [00004209] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E + 4207.50ns INFO [00004209] Port=0 WR @0C=312F6F78 + 4208.50ns INFO [00004210] * RD COMPARE * port=1 adr=0D act=A3C4E9D5 exp=A3C4E9D5 + 4208.50ns INFO [00004210] Port=0 WR @1E=237E0C47 + 4208.50ns INFO [00004210] Port=1 RD @00 + 4209.50ns INFO [00004211] Port=0 RD @01 + 4209.50ns INFO [00004211] Port=1 RD @1C + 4210.50ns INFO [00004212] * RD COMPARE * port=1 adr=00 act=31EEF69B exp=31EEF69B + 4210.50ns INFO [00004212] Port=0 RD @1B + 4211.50ns INFO [00004213] * RD COMPARE * port=0 adr=01 act=2ADAC875 exp=2ADAC875 + 4211.50ns INFO [00004213] * RD COMPARE * port=1 adr=1C act=D3945E30 exp=D3945E30 + 4211.50ns INFO [00004213] Port=1 RD @06 + 4212.50ns INFO [00004214] * RD COMPARE * port=0 adr=1B act=BE4A45BA exp=BE4A45BA + 4212.50ns INFO [00004214] Port=0 WR @09=AE1BA197 + 4212.50ns INFO [00004214] Port=1 RD @01 + 4213.50ns INFO [00004215] * RD COMPARE * port=1 adr=06 act=69C99BAA exp=69C99BAA + 4213.50ns INFO [00004215] Port=0 RD @10 + 4214.50ns INFO [00004216] * RD COMPARE * port=1 adr=01 act=2ADAC875 exp=2ADAC875 + 4214.50ns INFO [00004216] Port=1 RD @01 + 4215.50ns INFO [00004217] * RD COMPARE * port=0 adr=10 act=C066A68F exp=C066A68F + 4215.50ns INFO [00004217] Port=1 RD @1B + 4216.50ns INFO [00004218] * RD COMPARE * port=1 adr=01 act=2ADAC875 exp=2ADAC875 + 4216.50ns INFO [00004218] Port=0 WR @17=23D22E1F + 4217.50ns INFO [00004219] * RD COMPARE * port=1 adr=1B act=BE4A45BA exp=BE4A45BA + 4220.50ns INFO [00004222] Port=1 RD @1A + 4221.50ns INFO [00004223] Port=0 WR @00=4156ACA7 + 4221.50ns INFO [00004223] Port=0 RD @18 + 4221.50ns INFO [00004223] Port=1 RD @08 + 4222.50ns INFO [00004224] * RD COMPARE * port=1 adr=1A act=4BF4A0A0 exp=4BF4A0A0 + 4223.50ns INFO [00004225] * RD COMPARE * port=0 adr=18 act=80367446 exp=80367446 + 4223.50ns INFO [00004225] * RD COMPARE * port=1 adr=08 act=871CBA16 exp=871CBA16 + 4224.50ns INFO [00004226] Port=0 WR @15=74329A7A + 4225.50ns INFO [00004227] Port=0 WR @14=54E4E07E + 4225.50ns INFO [00004227] Port=0 RD @02 + 4226.50ns INFO [00004228] Port=0 RD @12 + 4226.50ns INFO [00004228] Port=1 RD @09 + 4227.50ns INFO [00004229] * RD COMPARE * port=0 adr=02 act=CF9EC179 exp=CF9EC179 + 4227.50ns INFO [00004229] Port=0 WR @02=1044907C + 4228.50ns INFO [00004230] * RD COMPARE * port=0 adr=12 act=EEA15BD3 exp=EEA15BD3 + 4228.50ns INFO [00004230] * RD COMPARE * port=1 adr=09 act=AE1BA197 exp=AE1BA197 + 4229.50ns INFO [00004231] Port=0 RD @16 + 4231.50ns INFO [00004233] * RD COMPARE * port=0 adr=16 act=3753AA76 exp=3753AA76 + 4231.50ns INFO [00004233] Port=0 WR @0D=2DD66DD1 + 4231.50ns INFO [00004233] Port=0 RD @0F + 4231.50ns INFO [00004233] Port=1 RD @0B + 4232.50ns INFO [00004234] Port=1 RD @14 + 4233.50ns INFO [00004235] * RD COMPARE * port=0 adr=0F act=C4665F38 exp=C4665F38 + 4233.50ns INFO [00004235] * RD COMPARE * port=1 adr=0B act=7D38DCB1 exp=7D38DCB1 + 4233.50ns INFO [00004235] Port=0 RD @19 + 4234.50ns INFO [00004236] * RD COMPARE * port=1 adr=14 act=54E4E07E exp=54E4E07E + 4234.50ns INFO [00004236] Port=0 RD @0A + 4234.50ns INFO [00004236] Port=1 RD @17 + 4235.50ns INFO [00004237] * RD COMPARE * port=0 adr=19 act=23004339 exp=23004339 + 4236.50ns INFO [00004238] * RD COMPARE * port=0 adr=0A act=13B6D0AE exp=13B6D0AE + 4236.50ns INFO [00004238] * RD COMPARE * port=1 adr=17 act=23D22E1F exp=23D22E1F + 4236.50ns INFO [00004238] Port=1 RD @1B + 4238.50ns INFO [00004240] * RD COMPARE * port=1 adr=1B act=BE4A45BA exp=BE4A45BA + 4239.50ns INFO [00004241] Port=0 RD @03 + 4239.50ns INFO [00004241] Port=1 RD @07 + 4240.50ns INFO [00004242] Port=0 WR @1A=7913D938 + 4241.50ns INFO [00004243] * RD COMPARE * port=0 adr=03 act=DC37F403 exp=DC37F403 + 4241.50ns INFO [00004243] * RD COMPARE * port=1 adr=07 act=0D539370 exp=0D539370 + 4241.50ns INFO [00004243] Port=0 WR @1D=369A35C3 + 4241.50ns INFO [00004243] Port=0 RD @15 + 4242.50ns INFO [00004244] Port=0 RD @0D + 4242.50ns INFO [00004244] Port=1 RD @05 + 4243.50ns INFO [00004245] * RD COMPARE * port=0 adr=15 act=74329A7A exp=74329A7A + 4243.50ns INFO [00004245] Port=0 RD @1C + 4244.50ns INFO [00004246] * RD COMPARE * port=0 adr=0D act=2DD66DD1 exp=2DD66DD1 + 4244.50ns INFO [00004246] * RD COMPARE * port=1 adr=05 act=CF77FC9C exp=CF77FC9C + 4244.50ns INFO [00004246] Port=0 WR @14=1330E9BA + 4244.50ns INFO [00004246] Port=1 RD @0D + 4245.50ns INFO [00004247] * RD COMPARE * port=0 adr=1C act=D3945E30 exp=D3945E30 + 4245.50ns INFO [00004247] Port=0 WR @01=E85277D4 + 4245.50ns INFO [00004247] Port=0 RD @13 + 4246.50ns INFO [00004248] * RD COMPARE * port=1 adr=0D act=2DD66DD1 exp=2DD66DD1 + 4246.50ns INFO [00004248] Port=0 WR @12=62A7D3D5 + 4247.50ns INFO [00004249] * RD COMPARE * port=0 adr=13 act=B38D584E exp=B38D584E + 4248.50ns INFO [00004250] Port=0 RD @0B + 4248.50ns INFO [00004250] Port=1 RD @0F + 4249.50ns INFO [00004251] Port=0 WR @06=C29F0789 + 4250.50ns INFO [00004252] * RD COMPARE * port=0 adr=0B act=7D38DCB1 exp=7D38DCB1 + 4250.50ns INFO [00004252] * RD COMPARE * port=1 adr=0F act=C4665F38 exp=C4665F38 + 4250.50ns INFO [00004252] Port=0 RD @16 + 4251.50ns INFO [00004253] Port=0 RD @1A + 4251.50ns INFO [00004253] Port=1 RD @0A + 4252.50ns INFO [00004254] * RD COMPARE * port=0 adr=16 act=3753AA76 exp=3753AA76 + 4252.50ns INFO [00004254] Port=0 WR @1B=91C89EEE + 4252.50ns INFO [00004254] Port=0 RD @02 + 4252.50ns INFO [00004254] Port=1 RD @18 + 4253.50ns INFO [00004255] * RD COMPARE * port=0 adr=1A act=7913D938 exp=7913D938 + 4253.50ns INFO [00004255] * RD COMPARE * port=1 adr=0A act=13B6D0AE exp=13B6D0AE + 4253.50ns INFO [00004255] Port=0 WR @11=01CD44A0 + 4253.50ns INFO [00004255] Port=1 RD @18 + 4254.50ns INFO [00004256] * RD COMPARE * port=0 adr=02 act=1044907C exp=1044907C + 4254.50ns INFO [00004256] * RD COMPARE * port=1 adr=18 act=80367446 exp=80367446 + 4255.50ns INFO [00004257] * RD COMPARE * port=1 adr=18 act=80367446 exp=80367446 + 4255.50ns INFO [00004257] Port=0 WR @19=000110A5 + 4255.50ns INFO [00004257] Port=0 RD @08 + 4255.50ns INFO [00004257] Port=1 RD @06 + 4256.50ns INFO [00004258] Port=0 WR @19=85A6E96B + 4256.50ns INFO [00004258] Port=0 RD @08 + 4257.50ns INFO [00004259] * RD COMPARE * port=0 adr=08 act=871CBA16 exp=871CBA16 + 4257.50ns INFO [00004259] * RD COMPARE * port=1 adr=06 act=C29F0789 exp=C29F0789 + 4257.50ns INFO [00004259] Port=0 WR @16=1C5E32D9 + 4258.50ns INFO [00004260] * RD COMPARE * port=0 adr=08 act=871CBA16 exp=871CBA16 + 4258.50ns INFO [00004260] Port=0 RD @11 + 4259.50ns INFO [00004261] Port=0 WR @0B=35FBD7D2 + 4259.50ns INFO [00004261] Port=0 RD @06 + 4260.50ns INFO [00004262] * RD COMPARE * port=0 adr=11 act=01CD44A0 exp=01CD44A0 + 4261.50ns INFO [00004263] * RD COMPARE * port=0 adr=06 act=C29F0789 exp=C29F0789 + 4261.50ns INFO [00004263] Port=0 RD @18 + 4261.50ns INFO [00004263] Port=1 RD @00 + 4262.50ns INFO [00004264] Port=0 WR @1B=C324A4BE + 4262.50ns INFO [00004264] Port=0 RD @06 + 4262.50ns INFO [00004264] Port=1 RD @11 + 4263.50ns INFO [00004265] * RD COMPARE * port=0 adr=18 act=80367446 exp=80367446 + 4263.50ns INFO [00004265] * RD COMPARE * port=1 adr=00 act=4156ACA7 exp=4156ACA7 + 4263.50ns INFO [00004265] Port=0 WR @05=472B871C + 4264.50ns INFO [00004266] * RD COMPARE * port=0 adr=06 act=C29F0789 exp=C29F0789 + 4264.50ns INFO [00004266] * RD COMPARE * port=1 adr=11 act=01CD44A0 exp=01CD44A0 + 4264.50ns INFO [00004266] Port=0 WR @19=E19F6035 + 4264.50ns INFO [00004266] Port=0 RD @11 + 4265.50ns INFO [00004267] Port=1 RD @16 + 4266.50ns INFO [00004268] * RD COMPARE * port=0 adr=11 act=01CD44A0 exp=01CD44A0 + 4266.50ns INFO [00004268] Port=0 RD @17 + 4266.50ns INFO [00004268] Port=1 RD @1D + 4267.50ns INFO [00004269] * RD COMPARE * port=1 adr=16 act=1C5E32D9 exp=1C5E32D9 + 4267.50ns INFO [00004269] Port=0 WR @0E=2A356DBB + 4268.50ns INFO [00004270] * RD COMPARE * port=0 adr=17 act=23D22E1F exp=23D22E1F + 4268.50ns INFO [00004270] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3 + 4268.50ns INFO [00004270] Port=0 WR @0C=52E4C886 + 4268.50ns INFO [00004270] Port=0 RD @01 + 4269.50ns INFO [00004271] Port=0 WR @0A=7CA97811 + 4269.50ns INFO [00004271] Port=0 RD @1F + 4269.50ns INFO [00004271] Port=1 RD @07 + 4270.50ns INFO [00004272] * RD COMPARE * port=0 adr=01 act=E85277D4 exp=E85277D4 + 4270.50ns INFO [00004272] Port=0 RD @14 + 4271.50ns INFO [00004273] * RD COMPARE * port=0 adr=1F act=6BB98440 exp=6BB98440 + 4271.50ns INFO [00004273] * RD COMPARE * port=1 adr=07 act=0D539370 exp=0D539370 + 4271.50ns INFO [00004273] Port=0 WR @07=428EF6AE + 4272.50ns INFO [00004274] * RD COMPARE * port=0 adr=14 act=1330E9BA exp=1330E9BA + 4273.50ns INFO [00004275] Port=1 RD @11 + 4274.50ns INFO [00004276] Port=0 WR @14=D72FA290 + 4275.50ns INFO [00004277] * RD COMPARE * port=1 adr=11 act=01CD44A0 exp=01CD44A0 + 4276.50ns INFO [00004278] Port=0 WR @0F=CE8E107B + 4276.50ns INFO [00004278] Port=0 RD @12 + 4277.50ns INFO [00004279] Port=0 WR @0A=2BC23F91 + 4278.50ns INFO [00004280] * RD COMPARE * port=0 adr=12 act=62A7D3D5 exp=62A7D3D5 + 4278.50ns INFO [00004280] Port=1 RD @17 + 4279.50ns INFO [00004281] Port=1 RD @1D + 4280.50ns INFO [00004282] * RD COMPARE * port=1 adr=17 act=23D22E1F exp=23D22E1F + 4281.50ns INFO [00004283] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3 + 4281.50ns INFO [00004283] Port=0 WR @0F=3BEABAE4 + 4281.50ns INFO [00004283] Port=0 RD @15 + 4282.50ns INFO [00004284] Port=0 WR @1A=2C83CD1A + 4282.50ns INFO [00004284] Port=1 RD @08 + 4283.50ns INFO [00004285] * RD COMPARE * port=0 adr=15 act=74329A7A exp=74329A7A + 4283.50ns INFO [00004285] Port=1 RD @18 + 4284.50ns INFO [00004286] * RD COMPARE * port=1 adr=08 act=871CBA16 exp=871CBA16 + 4285.50ns INFO [00004287] * RD COMPARE * port=1 adr=18 act=80367446 exp=80367446 + 4285.50ns INFO [00004287] Port=0 WR @07=97FC140B + 4285.50ns INFO [00004287] Port=0 RD @18 + 4286.50ns INFO [00004288] Port=1 RD @16 + 4287.50ns INFO [00004289] * RD COMPARE * port=0 adr=18 act=80367446 exp=80367446 + 4287.50ns INFO [00004289] Port=0 WR @09=68B7FE52 + 4287.50ns INFO [00004289] Port=0 RD @0D + 4288.50ns INFO [00004290] * RD COMPARE * port=1 adr=16 act=1C5E32D9 exp=1C5E32D9 + 4288.50ns INFO [00004290] Port=1 RD @00 + 4289.50ns INFO [00004291] * RD COMPARE * port=0 adr=0D act=2DD66DD1 exp=2DD66DD1 + 4290.50ns INFO [00004292] * RD COMPARE * port=1 adr=00 act=4156ACA7 exp=4156ACA7 + 4291.50ns INFO [00004293] Port=0 RD @14 + 4291.50ns INFO [00004293] Port=1 RD @0A + 4293.50ns INFO [00004295] * RD COMPARE * port=0 adr=14 act=D72FA290 exp=D72FA290 + 4293.50ns INFO [00004295] * RD COMPARE * port=1 adr=0A act=2BC23F91 exp=2BC23F91 + 4293.50ns INFO [00004295] Port=0 RD @1D + 4294.50ns INFO [00004296] Port=0 RD @1F + 4295.50ns INFO [00004297] * RD COMPARE * port=0 adr=1D act=369A35C3 exp=369A35C3 + 4296.50ns INFO [00004298] * RD COMPARE * port=0 adr=1F act=6BB98440 exp=6BB98440 + 4296.50ns INFO [00004298] Port=0 WR @0B=C1DCB82E + 4296.50ns INFO [00004298] Port=1 RD @1D + 4298.00ns INFO [00004300] [00004300] ...tick... + 4298.50ns INFO [00004300] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3 + 4298.50ns INFO [00004300] Port=0 WR @04=82B4A45D + 4298.50ns INFO [00004300] Port=1 RD @1F + 4299.50ns INFO [00004301] Port=0 WR @03=97ADB20A + 4299.50ns INFO [00004301] Port=1 RD @15 + 4300.50ns INFO [00004302] * RD COMPARE * port=1 adr=1F act=6BB98440 exp=6BB98440 + 4300.50ns INFO [00004302] Port=0 RD @15 + 4301.50ns INFO [00004303] * RD COMPARE * port=1 adr=15 act=74329A7A exp=74329A7A + 4301.50ns INFO [00004303] Port=0 WR @03=B2225DDC + 4301.50ns INFO [00004303] Port=0 RD @0E + 4302.50ns INFO [00004304] * RD COMPARE * port=0 adr=15 act=74329A7A exp=74329A7A + 4302.50ns INFO [00004304] Port=1 RD @1D + 4303.50ns INFO [00004305] * RD COMPARE * port=0 adr=0E act=2A356DBB exp=2A356DBB + 4303.50ns INFO [00004305] Port=0 WR @03=E4279538 + 4303.50ns INFO [00004305] Port=0 RD @13 + 4303.50ns INFO [00004305] Port=1 RD @12 + 4304.50ns INFO [00004306] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3 + 4305.50ns INFO [00004307] * RD COMPARE * port=0 adr=13 act=B38D584E exp=B38D584E + 4305.50ns INFO [00004307] * RD COMPARE * port=1 adr=12 act=62A7D3D5 exp=62A7D3D5 + 4306.50ns INFO [00004308] Port=1 RD @12 + 4307.50ns INFO [00004309] Port=0 WR @07=F001E403 + 4308.50ns INFO [00004310] * RD COMPARE * port=1 adr=12 act=62A7D3D5 exp=62A7D3D5 + 4308.50ns INFO [00004310] Port=0 WR @18=C6BE184D + 4309.50ns INFO [00004311] Port=1 RD @1B + 4310.50ns INFO [00004312] Port=1 RD @0A + 4311.50ns INFO [00004313] * RD COMPARE * port=1 adr=1B act=C324A4BE exp=C324A4BE + 4311.50ns INFO [00004313] Port=0 WR @10=C7BF0615 + 4311.50ns INFO [00004313] Port=1 RD @08 + 4312.50ns INFO [00004314] * RD COMPARE * port=1 adr=0A act=2BC23F91 exp=2BC23F91 + 4312.50ns INFO [00004314] Port=0 WR @1F=CB7F7AB6 + 4312.50ns INFO [00004314] Port=0 RD @15 + 4312.50ns INFO [00004314] Port=1 RD @1A + 4313.50ns INFO [00004315] * RD COMPARE * port=1 adr=08 act=871CBA16 exp=871CBA16 + 4313.50ns INFO [00004315] Port=0 RD @11 + 4313.50ns INFO [00004315] Port=1 RD @13 + 4314.50ns INFO [00004316] * RD COMPARE * port=0 adr=15 act=74329A7A exp=74329A7A + 4314.50ns INFO [00004316] * RD COMPARE * port=1 adr=1A act=2C83CD1A exp=2C83CD1A + 4314.50ns INFO [00004316] Port=0 WR @07=618BFE4B + 4314.50ns INFO [00004316] Port=1 RD @0C + 4315.50ns INFO [00004317] * RD COMPARE * port=0 adr=11 act=01CD44A0 exp=01CD44A0 + 4315.50ns INFO [00004317] * RD COMPARE * port=1 adr=13 act=B38D584E exp=B38D584E + 4316.50ns INFO [00004318] * RD COMPARE * port=1 adr=0C act=52E4C886 exp=52E4C886 + 4316.50ns INFO [00004318] Port=0 RD @1B + 4318.50ns INFO [00004320] * RD COMPARE * port=0 adr=1B act=C324A4BE exp=C324A4BE + 4318.50ns INFO [00004320] Port=0 RD @19 + 4320.50ns INFO [00004322] * RD COMPARE * port=0 adr=19 act=E19F6035 exp=E19F6035 + 4320.50ns INFO [00004322] Port=0 WR @0A=C0A81757 + 4320.50ns INFO [00004322] Port=0 RD @13 + 4321.50ns INFO [00004323] Port=0 RD @19 + 4321.50ns INFO [00004323] Port=1 RD @11 + 4322.50ns INFO [00004324] * RD COMPARE * port=0 adr=13 act=B38D584E exp=B38D584E + 4322.50ns INFO [00004324] Port=1 RD @03 + 4323.50ns INFO [00004325] * RD COMPARE * port=0 adr=19 act=E19F6035 exp=E19F6035 + 4323.50ns INFO [00004325] * RD COMPARE * port=1 adr=11 act=01CD44A0 exp=01CD44A0 + 4324.50ns INFO [00004326] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538 + 4324.50ns INFO [00004326] Port=0 WR @13=3DAE3DCE + 4324.50ns INFO [00004326] Port=0 RD @1C + 4325.50ns INFO [00004327] Port=0 WR @1A=D309D8BC + 4326.50ns INFO [00004328] * RD COMPARE * port=0 adr=1C act=D3945E30 exp=D3945E30 + 4326.50ns INFO [00004328] Port=0 WR @1E=B0087FB7 + 4326.50ns INFO [00004328] Port=0 RD @19 + 4327.50ns INFO [00004329] Port=0 RD @16 + 4328.50ns INFO [00004330] * RD COMPARE * port=0 adr=19 act=E19F6035 exp=E19F6035 + 4328.50ns INFO [00004330] Port=1 RD @11 + 4329.50ns INFO [00004331] * RD COMPARE * port=0 adr=16 act=1C5E32D9 exp=1C5E32D9 + 4329.50ns INFO [00004331] Port=0 WR @1A=DC0454DD + 4329.50ns INFO [00004331] Port=0 RD @01 + 4329.50ns INFO [00004331] Port=1 RD @05 + 4330.50ns INFO [00004332] * RD COMPARE * port=1 adr=11 act=01CD44A0 exp=01CD44A0 + 4330.50ns INFO [00004332] Port=1 RD @02 + 4331.50ns INFO [00004333] * RD COMPARE * port=0 adr=01 act=E85277D4 exp=E85277D4 + 4331.50ns INFO [00004333] * RD COMPARE * port=1 adr=05 act=472B871C exp=472B871C + 4332.50ns INFO [00004334] * RD COMPARE * port=1 adr=02 act=1044907C exp=1044907C + 4332.50ns INFO [00004334] Port=0 WR @0F=8457A9DC + 4333.50ns INFO [00004335] Port=0 WR @1E=9AFEF50F + 4333.50ns INFO [00004335] Port=0 RD @08 + 4333.50ns INFO [00004335] Port=1 RD @12 + 4334.50ns INFO [00004336] Port=0 WR @0C=0F001761 + 4335.50ns INFO [00004337] * RD COMPARE * port=0 adr=08 act=871CBA16 exp=871CBA16 + 4335.50ns INFO [00004337] * RD COMPARE * port=1 adr=12 act=62A7D3D5 exp=62A7D3D5 + 4335.50ns INFO [00004337] Port=0 WR @13=B189A8B1 + 4337.50ns INFO [00004339] Port=0 WR @04=83AB82A2 + 4339.50ns INFO [00004341] Port=0 WR @13=E7A25BAA + 4340.50ns INFO [00004342] Port=0 WR @02=66C5429F + 4341.50ns INFO [00004343] Port=0 WR @01=F12B916C + 4341.50ns INFO [00004343] Port=1 RD @02 + 4343.50ns INFO [00004345] * RD COMPARE * port=1 adr=02 act=66C5429F exp=66C5429F + 4343.50ns INFO [00004345] Port=1 RD @0A + 4344.50ns INFO [00004346] Port=0 RD @01 + 4345.50ns INFO [00004347] * RD COMPARE * port=1 adr=0A act=C0A81757 exp=C0A81757 + 4345.50ns INFO [00004347] Port=0 WR @0F=5E0A62F9 + 4345.50ns INFO [00004347] Port=1 RD @05 + 4346.50ns INFO [00004348] * RD COMPARE * port=0 adr=01 act=F12B916C exp=F12B916C + 4346.50ns INFO [00004348] Port=1 RD @12 + 4347.50ns INFO [00004349] * RD COMPARE * port=1 adr=05 act=472B871C exp=472B871C + 4347.50ns INFO [00004349] Port=0 RD @1D + 4347.50ns INFO [00004349] Port=1 RD @17 + 4348.50ns INFO [00004350] * RD COMPARE * port=1 adr=12 act=62A7D3D5 exp=62A7D3D5 + 4348.50ns INFO [00004350] Port=0 WR @0B=6FC869D9 + 4348.50ns INFO [00004350] Port=0 RD @1C + 4348.50ns INFO [00004350] Port=1 RD @0D + 4349.50ns INFO [00004351] * RD COMPARE * port=0 adr=1D act=369A35C3 exp=369A35C3 + 4349.50ns INFO [00004351] * RD COMPARE * port=1 adr=17 act=23D22E1F exp=23D22E1F + 4350.50ns INFO [00004352] * RD COMPARE * port=0 adr=1C act=D3945E30 exp=D3945E30 + 4350.50ns INFO [00004352] * RD COMPARE * port=1 adr=0D act=2DD66DD1 exp=2DD66DD1 + 4350.50ns INFO [00004352] Port=0 RD @11 + 4350.50ns INFO [00004352] Port=1 RD @01 + 4351.50ns INFO [00004353] Port=0 WR @08=0D583856 + 4352.50ns INFO [00004354] * RD COMPARE * port=0 adr=11 act=01CD44A0 exp=01CD44A0 + 4352.50ns INFO [00004354] * RD COMPARE * port=1 adr=01 act=F12B916C exp=F12B916C + 4352.50ns INFO [00004354] Port=0 WR @10=C3F770DC + 4352.50ns INFO [00004354] Port=0 RD @1F + 4352.50ns INFO [00004354] Port=1 RD @0B + 4353.50ns INFO [00004355] Port=0 RD @1E + 4353.50ns INFO [00004355] Port=1 RD @1D + 4354.50ns INFO [00004356] * RD COMPARE * port=0 adr=1F act=CB7F7AB6 exp=CB7F7AB6 + 4354.50ns INFO [00004356] * RD COMPARE * port=1 adr=0B act=6FC869D9 exp=6FC869D9 + 4354.50ns INFO [00004356] Port=0 WR @06=E063AC8D + 4354.50ns INFO [00004356] Port=1 RD @0D + 4355.50ns INFO [00004357] * RD COMPARE * port=0 adr=1E act=9AFEF50F exp=9AFEF50F + 4355.50ns INFO [00004357] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3 + 4355.50ns INFO [00004357] Port=0 WR @0A=B733199D + 4356.50ns INFO [00004358] * RD COMPARE * port=1 adr=0D act=2DD66DD1 exp=2DD66DD1 + 4356.50ns INFO [00004358] Port=0 WR @04=51630686 + 4357.50ns INFO [00004359] Port=0 RD @0A + 4358.50ns INFO [00004360] Port=0 WR @1F=1B67F7D2 + 4358.50ns INFO [00004360] Port=0 RD @04 + 4359.50ns INFO [00004361] * RD COMPARE * port=0 adr=0A act=B733199D exp=B733199D + 4359.50ns INFO [00004361] Port=0 RD @05 + 4359.50ns INFO [00004361] Port=1 RD @03 + 4360.50ns INFO [00004362] * RD COMPARE * port=0 adr=04 act=51630686 exp=51630686 + 4360.50ns INFO [00004362] Port=1 RD @0D + 4361.50ns INFO [00004363] * RD COMPARE * port=0 adr=05 act=472B871C exp=472B871C + 4361.50ns INFO [00004363] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538 + 4361.50ns INFO [00004363] Port=0 RD @1D + 4361.50ns INFO [00004363] Port=1 RD @02 + 4362.50ns INFO [00004364] * RD COMPARE * port=1 adr=0D act=2DD66DD1 exp=2DD66DD1 + 4362.50ns INFO [00004364] Port=0 WR @0F=64BF5AB8 + 4363.50ns INFO [00004365] * RD COMPARE * port=0 adr=1D act=369A35C3 exp=369A35C3 + 4363.50ns INFO [00004365] * RD COMPARE * port=1 adr=02 act=66C5429F exp=66C5429F + 4363.50ns INFO [00004365] Port=0 WR @0E=53C56F2D + 4363.50ns INFO [00004365] Port=1 RD @04 + 4364.50ns INFO [00004366] Port=0 RD @05 + 4365.50ns INFO [00004367] * RD COMPARE * port=1 adr=04 act=51630686 exp=51630686 + 4365.50ns INFO [00004367] Port=0 WR @0D=05D6D688 + 4366.50ns INFO [00004368] * RD COMPARE * port=0 adr=05 act=472B871C exp=472B871C + 4366.50ns INFO [00004368] Port=0 WR @09=28952C1B + 4366.50ns INFO [00004368] Port=1 RD @15 + 4367.50ns INFO [00004369] Port=0 WR @16=EB31D0CD + 4367.50ns INFO [00004369] Port=0 RD @12 + 4367.50ns INFO [00004369] Port=1 RD @0A + 4368.50ns INFO [00004370] * RD COMPARE * port=1 adr=15 act=74329A7A exp=74329A7A + 4368.50ns INFO [00004370] Port=0 WR @08=F008E4B2 + 4369.50ns INFO [00004371] * RD COMPARE * port=0 adr=12 act=62A7D3D5 exp=62A7D3D5 + 4369.50ns INFO [00004371] * RD COMPARE * port=1 adr=0A act=B733199D exp=B733199D + 4369.50ns INFO [00004371] Port=0 WR @01=A1C1BA98 + 4370.50ns INFO [00004372] Port=0 WR @18=740ECF23 + 4370.50ns INFO [00004372] Port=0 RD @14 + 4370.50ns INFO [00004372] Port=1 RD @0F + 4372.50ns INFO [00004374] * RD COMPARE * port=0 adr=14 act=D72FA290 exp=D72FA290 + 4372.50ns INFO [00004374] * RD COMPARE * port=1 adr=0F act=64BF5AB8 exp=64BF5AB8 + 4372.50ns INFO [00004374] Port=0 WR @1E=D238AE47 + 4372.50ns INFO [00004374] Port=0 RD @0F + 4373.50ns INFO [00004375] Port=0 WR @01=01953C34 + 4373.50ns INFO [00004375] Port=1 RD @1A + 4374.50ns INFO [00004376] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8 + 4374.50ns INFO [00004376] Port=0 WR @09=419BF1E0 + 4374.50ns INFO [00004376] Port=1 RD @10 + 4375.50ns INFO [00004377] * RD COMPARE * port=1 adr=1A act=DC0454DD exp=DC0454DD + 4375.50ns INFO [00004377] Port=0 WR @02=E65ED25E + 4376.50ns INFO [00004378] * RD COMPARE * port=1 adr=10 act=C3F770DC exp=C3F770DC + 4376.50ns INFO [00004378] Port=0 WR @01=47F7051E + 4378.50ns INFO [00004380] Port=0 WR @17=8DEE0A68 + 4378.50ns INFO [00004380] Port=0 RD @12 + 4379.50ns INFO [00004381] Port=0 RD @01 + 4379.50ns INFO [00004381] Port=1 RD @0B + 4380.50ns INFO [00004382] * RD COMPARE * port=0 adr=12 act=62A7D3D5 exp=62A7D3D5 + 4380.50ns INFO [00004382] Port=0 WR @0A=33F8DDB2 + 4381.50ns INFO [00004383] * RD COMPARE * port=0 adr=01 act=47F7051E exp=47F7051E + 4381.50ns INFO [00004383] * RD COMPARE * port=1 adr=0B act=6FC869D9 exp=6FC869D9 + 4381.50ns INFO [00004383] Port=0 RD @1C + 4381.50ns INFO [00004383] Port=1 RD @1D + 4382.50ns INFO [00004384] Port=0 WR @08=2849FA8B + 4383.50ns INFO [00004385] * RD COMPARE * port=0 adr=1C act=D3945E30 exp=D3945E30 + 4383.50ns INFO [00004385] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3 + 4384.50ns INFO [00004386] Port=0 WR @1A=D5ECEEDD + 4384.50ns INFO [00004386] Port=1 RD @0B + 4385.50ns INFO [00004387] Port=1 RD @15 + 4386.50ns INFO [00004388] * RD COMPARE * port=1 adr=0B act=6FC869D9 exp=6FC869D9 + 4386.50ns INFO [00004388] Port=0 WR @06=C9EFE476 + 4386.50ns INFO [00004388] Port=0 RD @07 + 4387.50ns INFO [00004389] * RD COMPARE * port=1 adr=15 act=74329A7A exp=74329A7A + 4387.50ns INFO [00004389] Port=0 WR @11=7AE03EC9 + 4388.50ns INFO [00004390] * RD COMPARE * port=0 adr=07 act=618BFE4B exp=618BFE4B + 4388.50ns INFO [00004390] Port=0 WR @04=9F5FE1C5 + 4390.50ns INFO [00004392] Port=0 WR @19=F53D4F63 + 4390.50ns INFO [00004392] Port=0 RD @1B + 4390.50ns INFO [00004392] Port=1 RD @04 + 4391.50ns INFO [00004393] Port=1 RD @00 + 4392.50ns INFO [00004394] * RD COMPARE * port=0 adr=1B act=C324A4BE exp=C324A4BE + 4392.50ns INFO [00004394] * RD COMPARE * port=1 adr=04 act=9F5FE1C5 exp=9F5FE1C5 + 4392.50ns INFO [00004394] Port=0 WR @1C=89DCF834 + 4392.50ns INFO [00004394] Port=0 RD @14 + 4393.50ns INFO [00004395] * RD COMPARE * port=1 adr=00 act=4156ACA7 exp=4156ACA7 + 4393.50ns INFO [00004395] Port=0 WR @09=47A7A79E + 4393.50ns INFO [00004395] Port=0 RD @06 + 4394.50ns INFO [00004396] * RD COMPARE * port=0 adr=14 act=D72FA290 exp=D72FA290 + 4394.50ns INFO [00004396] Port=0 RD @18 + 4394.50ns INFO [00004396] Port=1 RD @12 + 4395.50ns INFO [00004397] * RD COMPARE * port=0 adr=06 act=C9EFE476 exp=C9EFE476 + 4396.50ns INFO [00004398] * RD COMPARE * port=0 adr=18 act=740ECF23 exp=740ECF23 + 4396.50ns INFO [00004398] * RD COMPARE * port=1 adr=12 act=62A7D3D5 exp=62A7D3D5 + 4397.50ns INFO [00004399] Port=0 RD @0B + 4397.50ns INFO [00004399] Port=1 RD @04 + 4398.00ns INFO [00004400] [00004400] ...tick... + 4399.50ns INFO [00004401] * RD COMPARE * port=0 adr=0B act=6FC869D9 exp=6FC869D9 + 4399.50ns INFO [00004401] * RD COMPARE * port=1 adr=04 act=9F5FE1C5 exp=9F5FE1C5 + 4400.50ns INFO [00004402] Port=1 RD @18 + 4402.50ns INFO [00004404] * RD COMPARE * port=1 adr=18 act=740ECF23 exp=740ECF23 + 4402.50ns INFO [00004404] Port=0 WR @1B=A70E4DE6 + 4402.50ns INFO [00004404] Port=0 RD @0C + 4402.50ns INFO [00004404] Port=1 RD @06 + 4403.50ns INFO [00004405] Port=1 RD @1F + 4404.50ns INFO [00004406] * RD COMPARE * port=0 adr=0C act=0F001761 exp=0F001761 + 4404.50ns INFO [00004406] * RD COMPARE * port=1 adr=06 act=C9EFE476 exp=C9EFE476 + 4404.50ns INFO [00004406] Port=0 WR @0C=A4065CFE + 4404.50ns INFO [00004406] Port=0 RD @0F + 4405.50ns INFO [00004407] * RD COMPARE * port=1 adr=1F act=1B67F7D2 exp=1B67F7D2 + 4405.50ns INFO [00004407] Port=0 WR @12=8898ABDA + 4405.50ns INFO [00004407] Port=1 RD @13 + 4406.50ns INFO [00004408] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8 + 4407.50ns INFO [00004409] * RD COMPARE * port=1 adr=13 act=E7A25BAA exp=E7A25BAA + 4407.50ns INFO [00004409] Port=0 RD @0B + 4408.50ns INFO [00004410] Port=0 RD @17 + 4409.50ns INFO [00004411] * RD COMPARE * port=0 adr=0B act=6FC869D9 exp=6FC869D9 + 4409.50ns INFO [00004411] Port=0 WR @09=AC622ED6 + 4409.50ns INFO [00004411] Port=0 RD @08 + 4410.50ns INFO [00004412] * RD COMPARE * port=0 adr=17 act=8DEE0A68 exp=8DEE0A68 + 4410.50ns INFO [00004412] Port=1 RD @1D + 4411.50ns INFO [00004413] * RD COMPARE * port=0 adr=08 act=2849FA8B exp=2849FA8B + 4412.50ns INFO [00004414] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3 + 4413.50ns INFO [00004415] Port=0 WR @0D=745A9FA4 + 4413.50ns INFO [00004415] Port=1 RD @02 + 4414.50ns INFO [00004416] Port=1 RD @1F + 4415.50ns INFO [00004417] * RD COMPARE * port=1 adr=02 act=E65ED25E exp=E65ED25E + 4416.50ns INFO [00004418] * RD COMPARE * port=1 adr=1F act=1B67F7D2 exp=1B67F7D2 + 4416.50ns INFO [00004418] Port=0 WR @1A=C2961495 + 4416.50ns INFO [00004418] Port=0 RD @0F + 4416.50ns INFO [00004418] Port=1 RD @03 + 4417.50ns INFO [00004419] Port=0 WR @19=2AF2C360 + 4418.50ns INFO [00004420] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8 + 4418.50ns INFO [00004420] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538 + 4418.50ns INFO [00004420] Port=0 WR @15=0ECBDECB + 4418.50ns INFO [00004420] Port=1 RD @1C + 4420.50ns INFO [00004422] * RD COMPARE * port=1 adr=1C act=89DCF834 exp=89DCF834 + 4420.50ns INFO [00004422] Port=0 WR @00=BE0025F2 + 4420.50ns INFO [00004422] Port=1 RD @0D + 4422.50ns INFO [00004424] * RD COMPARE * port=1 adr=0D act=745A9FA4 exp=745A9FA4 + 4422.50ns INFO [00004424] Port=0 WR @17=F3093B42 + 4422.50ns INFO [00004424] Port=0 RD @01 + 4422.50ns INFO [00004424] Port=1 RD @04 + 4423.50ns INFO [00004425] Port=1 RD @00 + 4424.50ns INFO [00004426] * RD COMPARE * port=0 adr=01 act=47F7051E exp=47F7051E + 4424.50ns INFO [00004426] * RD COMPARE * port=1 adr=04 act=9F5FE1C5 exp=9F5FE1C5 + 4425.50ns INFO [00004427] * RD COMPARE * port=1 adr=00 act=BE0025F2 exp=BE0025F2 + 4425.50ns INFO [00004427] Port=0 WR @0A=8F0931C0 + 4425.50ns INFO [00004427] Port=1 RD @16 + 4426.50ns INFO [00004428] Port=0 WR @11=01EC605A + 4426.50ns INFO [00004428] Port=0 RD @0F + 4427.50ns INFO [00004429] * RD COMPARE * port=1 adr=16 act=EB31D0CD exp=EB31D0CD + 4427.50ns INFO [00004429] Port=0 WR @15=0E2CB3C0 + 4427.50ns INFO [00004429] Port=1 RD @03 + 4428.50ns INFO [00004430] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8 + 4429.50ns INFO [00004431] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538 + 4429.50ns INFO [00004431] Port=0 RD @09 + 4429.50ns INFO [00004431] Port=1 RD @1B + 4430.50ns INFO [00004432] Port=0 RD @06 + 4430.50ns INFO [00004432] Port=1 RD @16 + 4431.50ns INFO [00004433] * RD COMPARE * port=0 adr=09 act=AC622ED6 exp=AC622ED6 + 4431.50ns INFO [00004433] * RD COMPARE * port=1 adr=1B act=A70E4DE6 exp=A70E4DE6 + 4432.50ns INFO [00004434] * RD COMPARE * port=0 adr=06 act=C9EFE476 exp=C9EFE476 + 4432.50ns INFO [00004434] * RD COMPARE * port=1 adr=16 act=EB31D0CD exp=EB31D0CD + 4432.50ns INFO [00004434] Port=1 RD @0E + 4434.50ns INFO [00004436] * RD COMPARE * port=1 adr=0E act=53C56F2D exp=53C56F2D + 4434.50ns INFO [00004436] Port=0 RD @12 + 4434.50ns INFO [00004436] Port=1 RD @16 + 4436.50ns INFO [00004438] * RD COMPARE * port=0 adr=12 act=8898ABDA exp=8898ABDA + 4436.50ns INFO [00004438] * RD COMPARE * port=1 adr=16 act=EB31D0CD exp=EB31D0CD + 4436.50ns INFO [00004438] Port=0 WR @18=0F807106 + 4436.50ns INFO [00004438] Port=0 RD @0F + 4436.50ns INFO [00004438] Port=1 RD @02 + 4437.50ns INFO [00004439] Port=0 WR @07=5D86A9DE + 4437.50ns INFO [00004439] Port=1 RD @09 + 4438.50ns INFO [00004440] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8 + 4438.50ns INFO [00004440] * RD COMPARE * port=1 adr=02 act=E65ED25E exp=E65ED25E + 4438.50ns INFO [00004440] Port=0 WR @17=D8E4A44E + 4439.50ns INFO [00004441] * RD COMPARE * port=1 adr=09 act=AC622ED6 exp=AC622ED6 + 4439.50ns INFO [00004441] Port=0 WR @0A=92E3CB1D + 4439.50ns INFO [00004441] Port=1 RD @05 + 4440.50ns INFO [00004442] Port=0 WR @11=8A79AFEA + 4440.50ns INFO [00004442] Port=1 RD @14 + 4441.50ns INFO [00004443] * RD COMPARE * port=1 adr=05 act=472B871C exp=472B871C + 4441.50ns INFO [00004443] Port=0 WR @1C=E30A7D7E + 4441.50ns INFO [00004443] Port=1 RD @0C + 4442.50ns INFO [00004444] * RD COMPARE * port=1 adr=14 act=D72FA290 exp=D72FA290 + 4442.50ns INFO [00004444] Port=0 WR @15=BB216444 + 4443.50ns INFO [00004445] * RD COMPARE * port=1 adr=0C act=A4065CFE exp=A4065CFE + 4443.50ns INFO [00004445] Port=0 RD @0E + 4444.50ns INFO [00004446] Port=1 RD @04 + 4445.50ns INFO [00004447] * RD COMPARE * port=0 adr=0E act=53C56F2D exp=53C56F2D + 4445.50ns INFO [00004447] Port=0 RD @0F + 4446.50ns INFO [00004448] * RD COMPARE * port=1 adr=04 act=9F5FE1C5 exp=9F5FE1C5 + 4446.50ns INFO [00004448] Port=0 RD @0F + 4447.50ns INFO [00004449] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8 + 4447.50ns INFO [00004449] Port=0 WR @1C=FFBD7BB9 + 4447.50ns INFO [00004449] Port=1 RD @08 + 4448.50ns INFO [00004450] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8 + 4449.50ns INFO [00004451] * RD COMPARE * port=1 adr=08 act=2849FA8B exp=2849FA8B + 4449.50ns INFO [00004451] Port=0 WR @1B=9C9B691B + 4449.50ns INFO [00004451] Port=1 RD @16 + 4450.50ns INFO [00004452] Port=0 WR @0F=540866B1 + 4451.50ns INFO [00004453] * RD COMPARE * port=1 adr=16 act=EB31D0CD exp=EB31D0CD + 4451.50ns INFO [00004453] Port=1 RD @0D + 4452.50ns INFO [00004454] Port=0 WR @10=3246F616 + 4452.50ns INFO [00004454] Port=1 RD @0B + 4453.50ns INFO [00004455] * RD COMPARE * port=1 adr=0D act=745A9FA4 exp=745A9FA4 + 4453.50ns INFO [00004455] Port=0 WR @0D=ABF3B2AE + 4453.50ns INFO [00004455] Port=0 RD @13 + 4453.50ns INFO [00004455] Port=1 RD @0A + 4454.50ns INFO [00004456] * RD COMPARE * port=1 adr=0B act=6FC869D9 exp=6FC869D9 + 4454.50ns INFO [00004456] Port=0 RD @0E + 4455.50ns INFO [00004457] * RD COMPARE * port=0 adr=13 act=E7A25BAA exp=E7A25BAA + 4455.50ns INFO [00004457] * RD COMPARE * port=1 adr=0A act=92E3CB1D exp=92E3CB1D + 4455.50ns INFO [00004457] Port=0 WR @0C=ABCE224B + 4455.50ns INFO [00004457] Port=0 RD @16 + 4455.50ns INFO [00004457] Port=1 RD @0F + 4456.50ns INFO [00004458] * RD COMPARE * port=0 adr=0E act=53C56F2D exp=53C56F2D + 4456.50ns INFO [00004458] Port=0 RD @06 + 4456.50ns INFO [00004458] Port=1 RD @12 + 4457.50ns INFO [00004459] * RD COMPARE * port=0 adr=16 act=EB31D0CD exp=EB31D0CD + 4457.50ns INFO [00004459] * RD COMPARE * port=1 adr=0F act=540866B1 exp=540866B1 + 4458.50ns INFO [00004460] * RD COMPARE * port=0 adr=06 act=C9EFE476 exp=C9EFE476 + 4458.50ns INFO [00004460] * RD COMPARE * port=1 adr=12 act=8898ABDA exp=8898ABDA + 4458.50ns INFO [00004460] Port=0 WR @1E=0E2E0212 + 4458.50ns INFO [00004460] Port=1 RD @03 + 4459.50ns INFO [00004461] Port=0 WR @15=9B4712CF + 4459.50ns INFO [00004461] Port=1 RD @1B + 4460.50ns INFO [00004462] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538 + 4460.50ns INFO [00004462] Port=0 RD @0C + 4461.50ns INFO [00004463] * RD COMPARE * port=1 adr=1B act=9C9B691B exp=9C9B691B + 4461.50ns INFO [00004463] Port=1 RD @1E + 4462.50ns INFO [00004464] * RD COMPARE * port=0 adr=0C act=ABCE224B exp=ABCE224B + 4462.50ns INFO [00004464] Port=0 WR @1F=E606AFEF + 4462.50ns INFO [00004464] Port=0 RD @15 + 4463.50ns INFO [00004465] * RD COMPARE * port=1 adr=1E act=0E2E0212 exp=0E2E0212 + 4463.50ns INFO [00004465] Port=1 RD @0D + 4464.50ns INFO [00004466] * RD COMPARE * port=0 adr=15 act=9B4712CF exp=9B4712CF + 4465.50ns INFO [00004467] * RD COMPARE * port=1 adr=0D act=ABF3B2AE exp=ABF3B2AE + 4465.50ns INFO [00004467] Port=0 WR @05=86EE1B90 + 4465.50ns INFO [00004467] Port=1 RD @0F + 4466.50ns INFO [00004468] Port=0 RD @19 + 4466.50ns INFO [00004468] Port=1 RD @1C + 4467.50ns INFO [00004469] * RD COMPARE * port=1 adr=0F act=540866B1 exp=540866B1 + 4468.50ns INFO [00004470] * RD COMPARE * port=0 adr=19 act=2AF2C360 exp=2AF2C360 + 4468.50ns INFO [00004470] * RD COMPARE * port=1 adr=1C act=FFBD7BB9 exp=FFBD7BB9 + 4468.50ns INFO [00004470] Port=0 WR @1D=587FE727 + 4469.50ns INFO [00004471] Port=0 WR @0D=E32499B2 + 4469.50ns INFO [00004471] Port=1 RD @08 + 4470.50ns INFO [00004472] Port=0 WR @08=FD1763DA + 4471.50ns INFO [00004473] * RD COMPARE * port=1 adr=08 act=2849FA8B exp=2849FA8B + 4471.50ns INFO [00004473] Port=0 RD @12 + 4471.50ns INFO [00004473] Port=1 RD @1C + 4472.50ns INFO [00004474] Port=0 WR @04=ED9E6BB7 + 4472.50ns INFO [00004474] Port=0 RD @03 + 4473.50ns INFO [00004475] * RD COMPARE * port=0 adr=12 act=8898ABDA exp=8898ABDA + 4473.50ns INFO [00004475] * RD COMPARE * port=1 adr=1C act=FFBD7BB9 exp=FFBD7BB9 + 4473.50ns INFO [00004475] Port=0 WR @16=3CD647E0 + 4473.50ns INFO [00004475] Port=0 RD @18 + 4474.50ns INFO [00004476] * RD COMPARE * port=0 adr=03 act=E4279538 exp=E4279538 + 4475.50ns INFO [00004477] * RD COMPARE * port=0 adr=18 act=0F807106 exp=0F807106 + 4475.50ns INFO [00004477] Port=0 WR @13=01395314 + 4476.50ns INFO [00004478] Port=0 RD @09 + 4477.50ns INFO [00004479] Port=0 RD @1A + 4478.50ns INFO [00004480] * RD COMPARE * port=0 adr=09 act=AC622ED6 exp=AC622ED6 + 4479.50ns INFO [00004481] * RD COMPARE * port=0 adr=1A act=C2961495 exp=C2961495 + 4480.50ns INFO [00004482] Port=1 RD @04 + 4481.50ns INFO [00004483] Port=0 RD @0B + 4481.50ns INFO [00004483] Port=1 RD @13 + 4482.50ns INFO [00004484] * RD COMPARE * port=1 adr=04 act=ED9E6BB7 exp=ED9E6BB7 + 4482.50ns INFO [00004484] Port=0 WR @06=5D7ACA68 + 4483.50ns INFO [00004485] * RD COMPARE * port=0 adr=0B act=6FC869D9 exp=6FC869D9 + 4483.50ns INFO [00004485] * RD COMPARE * port=1 adr=13 act=01395314 exp=01395314 + 4484.50ns INFO [00004486] Port=1 RD @05 + 4486.50ns INFO [00004488] * RD COMPARE * port=1 adr=05 act=86EE1B90 exp=86EE1B90 + 4486.50ns INFO [00004488] Port=1 RD @1C + 4487.50ns INFO [00004489] Port=0 WR @17=9ECB14BE + 4487.50ns INFO [00004489] Port=0 RD @03 + 4487.50ns INFO [00004489] Port=1 RD @02 + 4488.50ns INFO [00004490] * RD COMPARE * port=1 adr=1C act=FFBD7BB9 exp=FFBD7BB9 + 4488.50ns INFO [00004490] Port=0 WR @15=53CBC791 + 4489.50ns INFO [00004491] * RD COMPARE * port=0 adr=03 act=E4279538 exp=E4279538 + 4489.50ns INFO [00004491] * RD COMPARE * port=1 adr=02 act=E65ED25E exp=E65ED25E + 4491.50ns INFO [00004493] Port=1 RD @13 + 4492.50ns INFO [00004494] Port=0 RD @14 + 4492.50ns INFO [00004494] Port=1 RD @13 + 4493.50ns INFO [00004495] * RD COMPARE * port=1 adr=13 act=01395314 exp=01395314 + 4493.50ns INFO [00004495] Port=1 RD @03 + 4494.50ns INFO [00004496] * RD COMPARE * port=0 adr=14 act=D72FA290 exp=D72FA290 + 4494.50ns INFO [00004496] * RD COMPARE * port=1 adr=13 act=01395314 exp=01395314 + 4494.50ns INFO [00004496] Port=0 RD @11 + 4495.50ns INFO [00004497] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538 + 4495.50ns INFO [00004497] Port=1 RD @12 + 4496.50ns INFO [00004498] * RD COMPARE * port=0 adr=11 act=8A79AFEA exp=8A79AFEA + 4496.50ns INFO [00004498] Port=0 RD @07 + 4496.50ns INFO [00004498] Port=1 RD @1D + 4497.50ns INFO [00004499] * RD COMPARE * port=1 adr=12 act=8898ABDA exp=8898ABDA + 4497.50ns INFO [00004499] Port=0 RD @02 + 4498.00ns INFO [00004500] [00004500] ...tick... + 4498.50ns INFO [00004500] * RD COMPARE * port=0 adr=07 act=5D86A9DE exp=5D86A9DE + 4498.50ns INFO [00004500] * RD COMPARE * port=1 adr=1D act=587FE727 exp=587FE727 + 4498.50ns INFO [00004500] Port=1 RD @08 + 4499.50ns INFO [00004501] * RD COMPARE * port=0 adr=02 act=E65ED25E exp=E65ED25E + 4499.50ns INFO [00004501] Port=0 WR @13=E2414F42 + 4499.50ns INFO [00004501] Port=0 RD @15 + 4499.50ns INFO [00004501] Port=1 RD @01 + 4500.50ns INFO [00004502] * RD COMPARE * port=1 adr=08 act=FD1763DA exp=FD1763DA + 4500.50ns INFO [00004502] Port=0 WR @12=1C067A2C + 4501.50ns INFO [00004503] * RD COMPARE * port=0 adr=15 act=53CBC791 exp=53CBC791 + 4501.50ns INFO [00004503] * RD COMPARE * port=1 adr=01 act=47F7051E exp=47F7051E + 4501.50ns INFO [00004503] Port=1 RD @12 + 4503.50ns INFO [00004505] * RD COMPARE * port=1 adr=12 act=1C067A2C exp=1C067A2C + 4503.50ns INFO [00004505] Port=0 WR @1D=A9E71464 + 4503.50ns INFO [00004505] Port=0 RD @04 + 4503.50ns INFO [00004505] Port=1 RD @18 + 4504.50ns INFO [00004506] Port=0 WR @15=E1A93BDB + 4504.50ns INFO [00004506] Port=0 RD @1B + 4504.50ns INFO [00004506] Port=1 RD @03 + 4505.50ns INFO [00004507] * RD COMPARE * port=0 adr=04 act=ED9E6BB7 exp=ED9E6BB7 + 4505.50ns INFO [00004507] * RD COMPARE * port=1 adr=18 act=0F807106 exp=0F807106 + 4505.50ns INFO [00004507] Port=0 WR @0D=DCBD4322 + 4505.50ns INFO [00004507] Port=0 RD @0E + 4506.50ns INFO [00004508] * RD COMPARE * port=0 adr=1B act=9C9B691B exp=9C9B691B + 4506.50ns INFO [00004508] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538 + 4506.50ns INFO [00004508] Port=0 WR @04=A1E94F9B + 4506.50ns INFO [00004508] Port=0 RD @0B + 4507.50ns INFO [00004509] * RD COMPARE * port=0 adr=0E act=53C56F2D exp=53C56F2D + 4507.50ns INFO [00004509] Port=0 WR @1C=103BA92D + 4507.50ns INFO [00004509] Port=0 RD @0D + 4508.50ns INFO [00004510] * RD COMPARE * port=0 adr=0B act=6FC869D9 exp=6FC869D9 + 4508.50ns INFO [00004510] Port=0 RD @09 + 4508.50ns INFO [00004510] Port=1 RD @19 + 4509.50ns INFO [00004511] * RD COMPARE * port=0 adr=0D act=DCBD4322 exp=DCBD4322 + 4509.50ns INFO [00004511] Port=0 WR @15=952AB610 + 4510.50ns INFO [00004512] * RD COMPARE * port=0 adr=09 act=AC622ED6 exp=AC622ED6 + 4510.50ns INFO [00004512] * RD COMPARE * port=1 adr=19 act=2AF2C360 exp=2AF2C360 + 4511.50ns INFO [00004513] Port=0 WR @19=C155E8C5 + 4512.50ns INFO [00004514] Port=0 RD @07 + 4513.50ns INFO [00004515] Port=0 RD @0A + 4514.50ns INFO [00004516] * RD COMPARE * port=0 adr=07 act=5D86A9DE exp=5D86A9DE + 4514.50ns INFO [00004516] Port=0 WR @03=FFB6622C + 4515.50ns INFO [00004517] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D + 4517.50ns INFO [00004519] Port=0 WR @15=76D33D89 + 4518.50ns INFO [00004520] Port=0 RD @1D + 4518.50ns INFO [00004520] Port=1 RD @1E + 4519.50ns INFO [00004521] Port=0 RD @0A + 4520.50ns INFO [00004522] * RD COMPARE * port=0 adr=1D act=A9E71464 exp=A9E71464 + 4520.50ns INFO [00004522] * RD COMPARE * port=1 adr=1E act=0E2E0212 exp=0E2E0212 + 4521.50ns INFO [00004523] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D + 4521.50ns INFO [00004523] Port=0 RD @1F + 4523.50ns INFO [00004525] * RD COMPARE * port=0 adr=1F act=E606AFEF exp=E606AFEF + 4523.50ns INFO [00004525] Port=0 WR @05=73CD9A6B + 4523.50ns INFO [00004525] Port=0 RD @0B + 4524.50ns INFO [00004526] Port=0 WR @04=C5ABDEE2 + 4525.50ns INFO [00004527] * RD COMPARE * port=0 adr=0B act=6FC869D9 exp=6FC869D9 + 4527.50ns INFO [00004529] Port=1 RD @15 + 4528.50ns INFO [00004530] Port=0 RD @03 + 4529.50ns INFO [00004531] * RD COMPARE * port=1 adr=15 act=76D33D89 exp=76D33D89 + 4529.50ns INFO [00004531] Port=0 WR @1C=4637F828 + 4530.50ns INFO [00004532] * RD COMPARE * port=0 adr=03 act=FFB6622C exp=FFB6622C + 4530.50ns INFO [00004532] Port=0 WR @1B=09AAB1BE + 4530.50ns INFO [00004532] Port=1 RD @04 + 4531.50ns INFO [00004533] Port=1 RD @00 + 4532.50ns INFO [00004534] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2 + 4532.50ns INFO [00004534] Port=0 WR @02=72DC26B8 + 4532.50ns INFO [00004534] Port=0 RD @09 + 4533.50ns INFO [00004535] * RD COMPARE * port=1 adr=00 act=BE0025F2 exp=BE0025F2 + 4533.50ns INFO [00004535] Port=0 RD @00 + 4534.50ns INFO [00004536] * RD COMPARE * port=0 adr=09 act=AC622ED6 exp=AC622ED6 + 4534.50ns INFO [00004536] Port=0 WR @1D=59991688 + 4535.50ns INFO [00004537] * RD COMPARE * port=0 adr=00 act=BE0025F2 exp=BE0025F2 + 4535.50ns INFO [00004537] Port=0 WR @0B=4CF74A50 + 4535.50ns INFO [00004537] Port=1 RD @1F + 4536.50ns INFO [00004538] Port=0 RD @1B + 4537.50ns INFO [00004539] * RD COMPARE * port=1 adr=1F act=E606AFEF exp=E606AFEF + 4537.50ns INFO [00004539] Port=0 WR @17=0F5D6342 + 4537.50ns INFO [00004539] Port=1 RD @16 + 4538.50ns INFO [00004540] * RD COMPARE * port=0 adr=1B act=09AAB1BE exp=09AAB1BE + 4538.50ns INFO [00004540] Port=0 WR @05=82CE0FC9 + 4538.50ns INFO [00004540] Port=1 RD @04 + 4539.50ns INFO [00004541] * RD COMPARE * port=1 adr=16 act=3CD647E0 exp=3CD647E0 + 4540.50ns INFO [00004542] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2 + 4540.50ns INFO [00004542] Port=0 WR @06=914BC01E + 4540.50ns INFO [00004542] Port=1 RD @0E + 4541.50ns INFO [00004543] Port=1 RD @12 + 4542.50ns INFO [00004544] * RD COMPARE * port=1 adr=0E act=53C56F2D exp=53C56F2D + 4542.50ns INFO [00004544] Port=0 WR @02=05002234 + 4543.50ns INFO [00004545] * RD COMPARE * port=1 adr=12 act=1C067A2C exp=1C067A2C + 4543.50ns INFO [00004545] Port=0 RD @10 + 4544.50ns INFO [00004546] Port=0 WR @08=3336A44E + 4544.50ns INFO [00004546] Port=0 RD @0C + 4545.50ns INFO [00004547] * RD COMPARE * port=0 adr=10 act=3246F616 exp=3246F616 + 4545.50ns INFO [00004547] Port=1 RD @03 + 4546.50ns INFO [00004548] * RD COMPARE * port=0 adr=0C act=ABCE224B exp=ABCE224B + 4546.50ns INFO [00004548] Port=0 WR @14=1D360644 + 4547.50ns INFO [00004549] * RD COMPARE * port=1 adr=03 act=FFB6622C exp=FFB6622C + 4548.50ns INFO [00004550] Port=0 WR @1D=75D29FF7 + 4549.50ns INFO [00004551] Port=1 RD @0D + 4550.50ns INFO [00004552] Port=0 RD @1D + 4550.50ns INFO [00004552] Port=1 RD @09 + 4551.50ns INFO [00004553] * RD COMPARE * port=1 adr=0D act=DCBD4322 exp=DCBD4322 + 4551.50ns INFO [00004553] Port=0 WR @08=D3F03D1D + 4551.50ns INFO [00004553] Port=1 RD @14 + 4552.50ns INFO [00004554] * RD COMPARE * port=0 adr=1D act=75D29FF7 exp=75D29FF7 + 4552.50ns INFO [00004554] * RD COMPARE * port=1 adr=09 act=AC622ED6 exp=AC622ED6 + 4553.50ns INFO [00004555] * RD COMPARE * port=1 adr=14 act=1D360644 exp=1D360644 + 4553.50ns INFO [00004555] Port=0 RD @02 + 4553.50ns INFO [00004555] Port=1 RD @14 + 4555.50ns INFO [00004557] * RD COMPARE * port=0 adr=02 act=05002234 exp=05002234 + 4555.50ns INFO [00004557] * RD COMPARE * port=1 adr=14 act=1D360644 exp=1D360644 + 4556.50ns INFO [00004558] Port=0 WR @06=97F2CB60 + 4556.50ns INFO [00004558] Port=0 RD @0A + 4557.50ns INFO [00004559] Port=0 RD @0E + 4558.50ns INFO [00004560] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D + 4558.50ns INFO [00004560] Port=0 RD @0A + 4559.50ns INFO [00004561] * RD COMPARE * port=0 adr=0E act=53C56F2D exp=53C56F2D + 4559.50ns INFO [00004561] Port=0 WR @09=7C461CC9 + 4559.50ns INFO [00004561] Port=1 RD @07 + 4560.50ns INFO [00004562] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D + 4561.50ns INFO [00004563] * RD COMPARE * port=1 adr=07 act=5D86A9DE exp=5D86A9DE + 4561.50ns INFO [00004563] Port=1 RD @11 + 4562.50ns INFO [00004564] Port=0 WR @15=ECD5DA86 + 4562.50ns INFO [00004564] Port=1 RD @08 + 4563.50ns INFO [00004565] * RD COMPARE * port=1 adr=11 act=8A79AFEA exp=8A79AFEA + 4563.50ns INFO [00004565] Port=0 RD @04 + 4564.50ns INFO [00004566] * RD COMPARE * port=1 adr=08 act=D3F03D1D exp=D3F03D1D + 4564.50ns INFO [00004566] Port=0 RD @06 + 4565.50ns INFO [00004567] * RD COMPARE * port=0 adr=04 act=C5ABDEE2 exp=C5ABDEE2 + 4565.50ns INFO [00004567] Port=0 WR @16=50530870 + 4566.50ns INFO [00004568] * RD COMPARE * port=0 adr=06 act=97F2CB60 exp=97F2CB60 + 4568.50ns INFO [00004570] Port=0 WR @0B=F102B19C + 4568.50ns INFO [00004570] Port=1 RD @14 + 4569.50ns INFO [00004571] Port=0 WR @08=E5235E0C + 4569.50ns INFO [00004571] Port=1 RD @0E + 4570.50ns INFO [00004572] * RD COMPARE * port=1 adr=14 act=1D360644 exp=1D360644 + 4570.50ns INFO [00004572] Port=0 RD @1D + 4571.50ns INFO [00004573] * RD COMPARE * port=1 adr=0E act=53C56F2D exp=53C56F2D + 4571.50ns INFO [00004573] Port=0 WR @1F=B39458A9 + 4571.50ns INFO [00004573] Port=0 RD @08 + 4572.50ns INFO [00004574] * RD COMPARE * port=0 adr=1D act=75D29FF7 exp=75D29FF7 + 4572.50ns INFO [00004574] Port=0 WR @02=B515DB5D + 4572.50ns INFO [00004574] Port=0 RD @0B + 4573.50ns INFO [00004575] * RD COMPARE * port=0 adr=08 act=E5235E0C exp=E5235E0C + 4574.50ns INFO [00004576] * RD COMPARE * port=0 adr=0B act=F102B19C exp=F102B19C + 4575.50ns INFO [00004577] Port=0 RD @0C + 4575.50ns INFO [00004577] Port=1 RD @0B + 4576.50ns INFO [00004578] Port=0 RD @18 + 4577.50ns INFO [00004579] * RD COMPARE * port=0 adr=0C act=ABCE224B exp=ABCE224B + 4577.50ns INFO [00004579] * RD COMPARE * port=1 adr=0B act=F102B19C exp=F102B19C + 4577.50ns INFO [00004579] Port=0 WR @01=914DD8F8 + 4577.50ns INFO [00004579] Port=0 RD @09 + 4577.50ns INFO [00004579] Port=1 RD @10 + 4578.50ns INFO [00004580] * RD COMPARE * port=0 adr=18 act=0F807106 exp=0F807106 + 4578.50ns INFO [00004580] Port=0 RD @08 + 4578.50ns INFO [00004580] Port=1 RD @19 + 4579.50ns INFO [00004581] * RD COMPARE * port=0 adr=09 act=7C461CC9 exp=7C461CC9 + 4579.50ns INFO [00004581] * RD COMPARE * port=1 adr=10 act=3246F616 exp=3246F616 + 4579.50ns INFO [00004581] Port=1 RD @0C + 4580.50ns INFO [00004582] * RD COMPARE * port=0 adr=08 act=E5235E0C exp=E5235E0C + 4580.50ns INFO [00004582] * RD COMPARE * port=1 adr=19 act=C155E8C5 exp=C155E8C5 + 4580.50ns INFO [00004582] Port=0 WR @15=D75348BD + 4581.50ns INFO [00004583] * RD COMPARE * port=1 adr=0C act=ABCE224B exp=ABCE224B + 4582.50ns INFO [00004584] Port=1 RD @07 + 4583.50ns INFO [00004585] Port=0 WR @13=FDE64FB1 + 4584.50ns INFO [00004586] * RD COMPARE * port=1 adr=07 act=5D86A9DE exp=5D86A9DE + 4585.50ns INFO [00004587] Port=0 WR @0D=F09F04CF + 4585.50ns INFO [00004587] Port=0 RD @12 + 4587.50ns INFO [00004589] * RD COMPARE * port=0 adr=12 act=1C067A2C exp=1C067A2C + 4588.50ns INFO [00004590] Port=0 WR @1D=B787221F + 4588.50ns INFO [00004590] Port=1 RD @18 + 4589.50ns INFO [00004591] Port=0 WR @13=C5DF0A5F + 4590.50ns INFO [00004592] * RD COMPARE * port=1 adr=18 act=0F807106 exp=0F807106 + 4590.50ns INFO [00004592] Port=0 RD @06 + 4590.50ns INFO [00004592] Port=1 RD @09 + 4591.50ns INFO [00004593] Port=0 WR @00=BB5277BD + 4591.50ns INFO [00004593] Port=0 RD @15 + 4592.50ns INFO [00004594] * RD COMPARE * port=0 adr=06 act=97F2CB60 exp=97F2CB60 + 4592.50ns INFO [00004594] * RD COMPARE * port=1 adr=09 act=7C461CC9 exp=7C461CC9 + 4592.50ns INFO [00004594] Port=0 WR @11=71C80A5B + 4592.50ns INFO [00004594] Port=1 RD @03 + 4593.50ns INFO [00004595] * RD COMPARE * port=0 adr=15 act=D75348BD exp=D75348BD + 4594.50ns INFO [00004596] * RD COMPARE * port=1 adr=03 act=FFB6622C exp=FFB6622C + 4594.50ns INFO [00004596] Port=0 WR @09=B5C511DC + 4594.50ns INFO [00004596] Port=1 RD @17 + 4595.50ns INFO [00004597] Port=0 WR @18=D06F6802 + 4595.50ns INFO [00004597] Port=0 RD @0B + 4596.50ns INFO [00004598] * RD COMPARE * port=1 adr=17 act=0F5D6342 exp=0F5D6342 + 4596.50ns INFO [00004598] Port=0 WR @11=E0913A7D + 4597.50ns INFO [00004599] * RD COMPARE * port=0 adr=0B act=F102B19C exp=F102B19C + 4597.50ns INFO [00004599] Port=0 RD @1B + 4597.50ns INFO [00004599] Port=1 RD @18 + 4598.00ns INFO [00004600] [00004600] ...tick... + 4598.50ns INFO [00004600] Port=0 RD @09 + 4599.50ns INFO [00004601] * RD COMPARE * port=0 adr=1B act=09AAB1BE exp=09AAB1BE + 4599.50ns INFO [00004601] * RD COMPARE * port=1 adr=18 act=D06F6802 exp=D06F6802 + 4599.50ns INFO [00004601] Port=0 WR @0C=DA59FDD6 + 4599.50ns INFO [00004601] Port=0 RD @0A + 4599.50ns INFO [00004601] Port=1 RD @18 + 4600.50ns INFO [00004602] * RD COMPARE * port=0 adr=09 act=B5C511DC exp=B5C511DC + 4600.50ns INFO [00004602] Port=0 RD @07 + 4600.50ns INFO [00004602] Port=1 RD @07 + 4601.50ns INFO [00004603] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D + 4601.50ns INFO [00004603] * RD COMPARE * port=1 adr=18 act=D06F6802 exp=D06F6802 + 4601.50ns INFO [00004603] Port=0 WR @1C=E4E0E62D + 4602.50ns INFO [00004604] * RD COMPARE * port=0 adr=07 act=5D86A9DE exp=5D86A9DE + 4602.50ns INFO [00004604] * RD COMPARE * port=1 adr=07 act=5D86A9DE exp=5D86A9DE + 4603.50ns INFO [00004605] Port=0 WR @1B=5B44DDD4 + 4603.50ns INFO [00004605] Port=0 RD @1E + 4603.50ns INFO [00004605] Port=1 RD @16 + 4605.50ns INFO [00004607] * RD COMPARE * port=0 adr=1E act=0E2E0212 exp=0E2E0212 + 4605.50ns INFO [00004607] * RD COMPARE * port=1 adr=16 act=50530870 exp=50530870 + 4605.50ns INFO [00004607] Port=0 RD @02 + 4605.50ns INFO [00004607] Port=1 RD @19 + 4606.50ns INFO [00004608] Port=0 WR @19=38A96B3D + 4607.50ns INFO [00004609] * RD COMPARE * port=0 adr=02 act=B515DB5D exp=B515DB5D + 4607.50ns INFO [00004609] * RD COMPARE * port=1 adr=19 act=C155E8C5 exp=C155E8C5 + 4607.50ns INFO [00004609] Port=0 WR @01=26817019 + 4607.50ns INFO [00004609] Port=0 RD @17 + 4608.50ns INFO [00004610] Port=0 WR @08=82A3E4D5 + 4608.50ns INFO [00004610] Port=1 RD @12 + 4609.50ns INFO [00004611] * RD COMPARE * port=0 adr=17 act=0F5D6342 exp=0F5D6342 + 4609.50ns INFO [00004611] Port=1 RD @08 + 4610.50ns INFO [00004612] * RD COMPARE * port=1 adr=12 act=1C067A2C exp=1C067A2C + 4610.50ns INFO [00004612] Port=0 WR @08=551D115F + 4611.50ns INFO [00004613] * RD COMPARE * port=1 adr=08 act=82A3E4D5 exp=82A3E4D5 + 4611.50ns INFO [00004613] Port=0 WR @0E=3314D99E + 4611.50ns INFO [00004613] Port=1 RD @15 + 4612.50ns INFO [00004614] Port=0 WR @13=23C1D0E2 + 4612.50ns INFO [00004614] Port=0 RD @15 + 4613.50ns INFO [00004615] * RD COMPARE * port=1 adr=15 act=D75348BD exp=D75348BD + 4614.50ns INFO [00004616] * RD COMPARE * port=0 adr=15 act=D75348BD exp=D75348BD + 4614.50ns INFO [00004616] Port=0 WR @0C=772984F5 + 4615.50ns INFO [00004617] Port=0 WR @0C=67101AB5 + 4616.50ns INFO [00004618] Port=0 RD @18 + 4616.50ns INFO [00004618] Port=1 RD @0C + 4617.50ns INFO [00004619] Port=1 RD @13 + 4618.50ns INFO [00004620] * RD COMPARE * port=0 adr=18 act=D06F6802 exp=D06F6802 + 4618.50ns INFO [00004620] * RD COMPARE * port=1 adr=0C act=67101AB5 exp=67101AB5 + 4619.50ns INFO [00004621] * RD COMPARE * port=1 adr=13 act=23C1D0E2 exp=23C1D0E2 + 4621.50ns INFO [00004623] Port=0 WR @1E=C87E787D + 4621.50ns INFO [00004623] Port=0 RD @19 + 4622.50ns INFO [00004624] Port=0 WR @07=2844AB92 + 4622.50ns INFO [00004624] Port=0 RD @1A + 4622.50ns INFO [00004624] Port=1 RD @09 + 4623.50ns INFO [00004625] * RD COMPARE * port=0 adr=19 act=38A96B3D exp=38A96B3D + 4624.50ns INFO [00004626] * RD COMPARE * port=0 adr=1A act=C2961495 exp=C2961495 + 4624.50ns INFO [00004626] * RD COMPARE * port=1 adr=09 act=B5C511DC exp=B5C511DC + 4624.50ns INFO [00004626] Port=0 WR @0B=340FC874 + 4624.50ns INFO [00004626] Port=1 RD @11 + 4625.50ns INFO [00004627] Port=0 WR @0D=88F6AFC8 + 4625.50ns INFO [00004627] Port=0 RD @01 + 4625.50ns INFO [00004627] Port=1 RD @05 + 4626.50ns INFO [00004628] * RD COMPARE * port=1 adr=11 act=E0913A7D exp=E0913A7D + 4626.50ns INFO [00004628] Port=0 RD @19 + 4627.50ns INFO [00004629] * RD COMPARE * port=0 adr=01 act=26817019 exp=26817019 + 4627.50ns INFO [00004629] * RD COMPARE * port=1 adr=05 act=82CE0FC9 exp=82CE0FC9 + 4627.50ns INFO [00004629] Port=0 WR @09=611FD0D4 + 4627.50ns INFO [00004629] Port=1 RD @17 + 4628.50ns INFO [00004630] * RD COMPARE * port=0 adr=19 act=38A96B3D exp=38A96B3D + 4628.50ns INFO [00004630] Port=1 RD @0B + 4629.50ns INFO [00004631] * RD COMPARE * port=1 adr=17 act=0F5D6342 exp=0F5D6342 + 4629.50ns INFO [00004631] Port=0 RD @1F + 4629.50ns INFO [00004631] Port=1 RD @16 + 4630.50ns INFO [00004632] * RD COMPARE * port=1 adr=0B act=340FC874 exp=340FC874 + 4630.50ns INFO [00004632] Port=1 RD @04 + 4631.50ns INFO [00004633] * RD COMPARE * port=0 adr=1F act=B39458A9 exp=B39458A9 + 4631.50ns INFO [00004633] * RD COMPARE * port=1 adr=16 act=50530870 exp=50530870 + 4631.50ns INFO [00004633] Port=0 WR @1C=958188BD + 4632.50ns INFO [00004634] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2 + 4633.50ns INFO [00004635] Port=1 RD @00 + 4634.50ns INFO [00004636] Port=0 WR @1C=AE0995B8 + 4635.50ns INFO [00004637] * RD COMPARE * port=1 adr=00 act=BB5277BD exp=BB5277BD + 4636.50ns INFO [00004638] Port=0 WR @19=BFDBBD98 + 4636.50ns INFO [00004638] Port=1 RD @0F + 4637.50ns INFO [00004639] Port=0 RD @05 + 4637.50ns INFO [00004639] Port=1 RD @18 + 4638.50ns INFO [00004640] * RD COMPARE * port=1 adr=0F act=540866B1 exp=540866B1 + 4638.50ns INFO [00004640] Port=0 RD @0A + 4638.50ns INFO [00004640] Port=1 RD @00 + 4639.50ns INFO [00004641] * RD COMPARE * port=0 adr=05 act=82CE0FC9 exp=82CE0FC9 + 4639.50ns INFO [00004641] * RD COMPARE * port=1 adr=18 act=D06F6802 exp=D06F6802 + 4639.50ns INFO [00004641] Port=0 RD @16 + 4640.50ns INFO [00004642] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D + 4640.50ns INFO [00004642] * RD COMPARE * port=1 adr=00 act=BB5277BD exp=BB5277BD + 4640.50ns INFO [00004642] Port=1 RD @09 + 4641.50ns INFO [00004643] * RD COMPARE * port=0 adr=16 act=50530870 exp=50530870 + 4641.50ns INFO [00004643] Port=0 WR @13=851E9D1F + 4641.50ns INFO [00004643] Port=0 RD @08 + 4642.50ns INFO [00004644] * RD COMPARE * port=1 adr=09 act=611FD0D4 exp=611FD0D4 + 4643.50ns INFO [00004645] * RD COMPARE * port=0 adr=08 act=551D115F exp=551D115F + 4643.50ns INFO [00004645] Port=0 WR @00=2B7D3CF0 + 4645.50ns INFO [00004647] Port=0 RD @11 + 4647.50ns INFO [00004649] * RD COMPARE * port=0 adr=11 act=E0913A7D exp=E0913A7D + 4647.50ns INFO [00004649] Port=0 RD @06 + 4648.50ns INFO [00004650] Port=0 RD @0B + 4648.50ns INFO [00004650] Port=1 RD @11 + 4649.50ns INFO [00004651] * RD COMPARE * port=0 adr=06 act=97F2CB60 exp=97F2CB60 + 4649.50ns INFO [00004651] Port=0 WR @07=17930A93 + 4650.50ns INFO [00004652] * RD COMPARE * port=0 adr=0B act=340FC874 exp=340FC874 + 4650.50ns INFO [00004652] * RD COMPARE * port=1 adr=11 act=E0913A7D exp=E0913A7D + 4650.50ns INFO [00004652] Port=0 WR @14=78C3730C + 4650.50ns INFO [00004652] Port=0 RD @07 + 4651.50ns INFO [00004653] Port=0 RD @12 + 4652.50ns INFO [00004654] * RD COMPARE * port=0 adr=07 act=17930A93 exp=17930A93 + 4652.50ns INFO [00004654] Port=0 RD @01 + 4652.50ns INFO [00004654] Port=1 RD @0A + 4653.50ns INFO [00004655] * RD COMPARE * port=0 adr=12 act=1C067A2C exp=1C067A2C + 4653.50ns INFO [00004655] Port=0 WR @02=4A239CEE + 4653.50ns INFO [00004655] Port=0 RD @14 + 4653.50ns INFO [00004655] Port=1 RD @01 + 4654.50ns INFO [00004656] * RD COMPARE * port=0 adr=01 act=26817019 exp=26817019 + 4654.50ns INFO [00004656] * RD COMPARE * port=1 adr=0A act=92E3CB1D exp=92E3CB1D + 4654.50ns INFO [00004656] Port=0 RD @02 + 4655.50ns INFO [00004657] * RD COMPARE * port=0 adr=14 act=78C3730C exp=78C3730C + 4655.50ns INFO [00004657] * RD COMPARE * port=1 adr=01 act=26817019 exp=26817019 + 4655.50ns INFO [00004657] Port=0 WR @19=C64A0F92 + 4655.50ns INFO [00004657] Port=1 RD @17 + 4656.50ns INFO [00004658] * RD COMPARE * port=0 adr=02 act=4A239CEE exp=4A239CEE + 4656.50ns INFO [00004658] Port=0 WR @07=21F7BD18 + 4657.50ns INFO [00004659] * RD COMPARE * port=1 adr=17 act=0F5D6342 exp=0F5D6342 + 4658.50ns INFO [00004660] Port=0 RD @18 + 4660.50ns INFO [00004662] * RD COMPARE * port=0 adr=18 act=D06F6802 exp=D06F6802 + 4660.50ns INFO [00004662] Port=0 WR @01=A3234453 + 4660.50ns INFO [00004662] Port=1 RD @09 + 4661.50ns INFO [00004663] Port=0 RD @05 + 4661.50ns INFO [00004663] Port=1 RD @10 + 4662.50ns INFO [00004664] * RD COMPARE * port=1 adr=09 act=611FD0D4 exp=611FD0D4 + 4663.50ns INFO [00004665] * RD COMPARE * port=0 adr=05 act=82CE0FC9 exp=82CE0FC9 + 4663.50ns INFO [00004665] * RD COMPARE * port=1 adr=10 act=3246F616 exp=3246F616 + 4663.50ns INFO [00004665] Port=0 RD @12 + 4663.50ns INFO [00004665] Port=1 RD @03 + 4664.50ns INFO [00004666] Port=1 RD @03 + 4665.50ns INFO [00004667] * RD COMPARE * port=0 adr=12 act=1C067A2C exp=1C067A2C + 4665.50ns INFO [00004667] * RD COMPARE * port=1 adr=03 act=FFB6622C exp=FFB6622C + 4666.50ns INFO [00004668] * RD COMPARE * port=1 adr=03 act=FFB6622C exp=FFB6622C + 4667.50ns INFO [00004669] Port=0 WR @1F=8FA3EF22 + 4667.50ns INFO [00004669] Port=1 RD @07 + 4668.50ns INFO [00004670] Port=0 RD @07 + 4669.50ns INFO [00004671] * RD COMPARE * port=1 adr=07 act=21F7BD18 exp=21F7BD18 + 4669.50ns INFO [00004671] Port=0 RD @06 + 4670.50ns INFO [00004672] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18 + 4670.50ns INFO [00004672] Port=0 WR @1E=3A556FAA + 4671.50ns INFO [00004673] * RD COMPARE * port=0 adr=06 act=97F2CB60 exp=97F2CB60 + 4671.50ns INFO [00004673] Port=0 WR @13=56BD3D00 + 4671.50ns INFO [00004673] Port=0 RD @00 + 4671.50ns INFO [00004673] Port=1 RD @04 + 4672.50ns INFO [00004674] Port=0 RD @10 + 4673.50ns INFO [00004675] * RD COMPARE * port=0 adr=00 act=2B7D3CF0 exp=2B7D3CF0 + 4673.50ns INFO [00004675] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2 + 4673.50ns INFO [00004675] Port=0 WR @19=591DAD4B + 4674.50ns INFO [00004676] * RD COMPARE * port=0 adr=10 act=3246F616 exp=3246F616 + 4674.50ns INFO [00004676] Port=0 WR @03=41463810 + 4675.50ns INFO [00004677] Port=0 WR @18=AA2BC0E0 + 4676.50ns INFO [00004678] Port=0 WR @1C=85F2D9EB + 4676.50ns INFO [00004678] Port=1 RD @02 + 4677.50ns INFO [00004679] Port=0 WR @06=CD056F33 + 4677.50ns INFO [00004679] Port=1 RD @17 + 4678.50ns INFO [00004680] * RD COMPARE * port=1 adr=02 act=4A239CEE exp=4A239CEE + 4679.50ns INFO [00004681] * RD COMPARE * port=1 adr=17 act=0F5D6342 exp=0F5D6342 + 4679.50ns INFO [00004681] Port=0 RD @1A + 4680.50ns INFO [00004682] Port=0 WR @13=DBE652FA + 4680.50ns INFO [00004682] Port=0 RD @05 + 4681.50ns INFO [00004683] * RD COMPARE * port=0 adr=1A act=C2961495 exp=C2961495 + 4682.50ns INFO [00004684] * RD COMPARE * port=0 adr=05 act=82CE0FC9 exp=82CE0FC9 + 4682.50ns INFO [00004684] Port=1 RD @19 + 4683.50ns INFO [00004685] Port=0 RD @12 + 4684.50ns INFO [00004686] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B + 4684.50ns INFO [00004686] Port=0 RD @14 + 4684.50ns INFO [00004686] Port=1 RD @1F + 4685.50ns INFO [00004687] * RD COMPARE * port=0 adr=12 act=1C067A2C exp=1C067A2C + 4686.50ns INFO [00004688] * RD COMPARE * port=0 adr=14 act=78C3730C exp=78C3730C + 4686.50ns INFO [00004688] * RD COMPARE * port=1 adr=1F act=8FA3EF22 exp=8FA3EF22 + 4686.50ns INFO [00004688] Port=0 WR @13=666902F6 + 4687.50ns INFO [00004689] Port=0 RD @1B + 4687.50ns INFO [00004689] Port=1 RD @09 + 4688.50ns INFO [00004690] Port=0 RD @0D + 4688.50ns INFO [00004690] Port=1 RD @18 + 4689.50ns INFO [00004691] * RD COMPARE * port=0 adr=1B act=5B44DDD4 exp=5B44DDD4 + 4689.50ns INFO [00004691] * RD COMPARE * port=1 adr=09 act=611FD0D4 exp=611FD0D4 + 4690.50ns INFO [00004692] * RD COMPARE * port=0 adr=0D act=88F6AFC8 exp=88F6AFC8 + 4690.50ns INFO [00004692] * RD COMPARE * port=1 adr=18 act=AA2BC0E0 exp=AA2BC0E0 + 4690.50ns INFO [00004692] Port=0 RD @11 + 4690.50ns INFO [00004692] Port=1 RD @19 + 4691.50ns INFO [00004693] Port=0 RD @0E + 4691.50ns INFO [00004693] Port=1 RD @06 + 4692.50ns INFO [00004694] * RD COMPARE * port=0 adr=11 act=E0913A7D exp=E0913A7D + 4692.50ns INFO [00004694] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B + 4693.50ns INFO [00004695] * RD COMPARE * port=0 adr=0E act=3314D99E exp=3314D99E + 4693.50ns INFO [00004695] * RD COMPARE * port=1 adr=06 act=CD056F33 exp=CD056F33 + 4694.50ns INFO [00004696] Port=0 WR @0F=EF565C77 + 4694.50ns INFO [00004696] Port=0 RD @10 + 4694.50ns INFO [00004696] Port=1 RD @1B + 4695.50ns INFO [00004697] Port=0 WR @16=0746892A + 4695.50ns INFO [00004697] Port=0 RD @0A + 4696.50ns INFO [00004698] * RD COMPARE * port=0 adr=10 act=3246F616 exp=3246F616 + 4696.50ns INFO [00004698] * RD COMPARE * port=1 adr=1B act=5B44DDD4 exp=5B44DDD4 + 4696.50ns INFO [00004698] Port=0 RD @0B + 4697.50ns INFO [00004699] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D + 4697.50ns INFO [00004699] Port=0 WR @1A=87175E01 + 4697.50ns INFO [00004699] Port=1 RD @13 + 4698.00ns INFO [00004700] [00004700] ...tick... + 4698.50ns INFO [00004700] * RD COMPARE * port=0 adr=0B act=340FC874 exp=340FC874 + 4699.50ns INFO [00004701] * RD COMPARE * port=1 adr=13 act=666902F6 exp=666902F6 + 4699.50ns INFO [00004701] Port=0 WR @02=C289F351 + 4700.50ns INFO [00004702] Port=0 WR @0E=EC2F0F9A + 4703.50ns INFO [00004705] Port=0 RD @18 + 4703.50ns INFO [00004705] Port=1 RD @00 + 4704.50ns INFO [00004706] Port=1 RD @04 + 4705.50ns INFO [00004707] * RD COMPARE * port=0 adr=18 act=AA2BC0E0 exp=AA2BC0E0 + 4705.50ns INFO [00004707] * RD COMPARE * port=1 adr=00 act=2B7D3CF0 exp=2B7D3CF0 + 4705.50ns INFO [00004707] Port=0 WR @17=3DA48B7C + 4705.50ns INFO [00004707] Port=1 RD @07 + 4706.50ns INFO [00004708] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2 + 4706.50ns INFO [00004708] Port=0 WR @0A=0D9C3B4C + 4707.50ns INFO [00004709] * RD COMPARE * port=1 adr=07 act=21F7BD18 exp=21F7BD18 + 4707.50ns INFO [00004709] Port=0 RD @19 + 4709.50ns INFO [00004711] * RD COMPARE * port=0 adr=19 act=591DAD4B exp=591DAD4B + 4710.50ns INFO [00004712] Port=0 WR @06=802028F0 + 4710.50ns INFO [00004712] Port=0 RD @0D + 4711.50ns INFO [00004713] Port=0 WR @00=851DBCC3 + 4711.50ns INFO [00004713] Port=0 RD @1C + 4712.50ns INFO [00004714] * RD COMPARE * port=0 adr=0D act=88F6AFC8 exp=88F6AFC8 + 4713.50ns INFO [00004715] * RD COMPARE * port=0 adr=1C act=85F2D9EB exp=85F2D9EB + 4713.50ns INFO [00004715] Port=0 WR @1B=7A4BB075 + 4715.50ns INFO [00004717] Port=0 WR @0D=ECF8942D + 4718.50ns INFO [00004720] Port=0 WR @05=B029E257 + 4719.50ns INFO [00004721] Port=0 RD @15 + 4720.50ns INFO [00004722] Port=1 RD @17 + 4721.50ns INFO [00004723] * RD COMPARE * port=0 adr=15 act=D75348BD exp=D75348BD + 4721.50ns INFO [00004723] Port=0 WR @0C=5394BE19 + 4721.50ns INFO [00004723] Port=1 RD @0A + 4722.50ns INFO [00004724] * RD COMPARE * port=1 adr=17 act=3DA48B7C exp=3DA48B7C + 4722.50ns INFO [00004724] Port=0 WR @11=A48DCA1B + 4722.50ns INFO [00004724] Port=0 RD @0E + 4722.50ns INFO [00004724] Port=1 RD @04 + 4723.50ns INFO [00004725] * RD COMPARE * port=1 adr=0A act=0D9C3B4C exp=0D9C3B4C + 4723.50ns INFO [00004725] Port=0 WR @10=5B140ED0 + 4723.50ns INFO [00004725] Port=0 RD @12 + 4724.50ns INFO [00004726] * RD COMPARE * port=0 adr=0E act=EC2F0F9A exp=EC2F0F9A + 4724.50ns INFO [00004726] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2 + 4724.50ns INFO [00004726] Port=1 RD @13 + 4725.50ns INFO [00004727] * RD COMPARE * port=0 adr=12 act=1C067A2C exp=1C067A2C + 4725.50ns INFO [00004727] Port=1 RD @06 + 4726.50ns INFO [00004728] * RD COMPARE * port=1 adr=13 act=666902F6 exp=666902F6 + 4726.50ns INFO [00004728] Port=1 RD @16 + 4727.50ns INFO [00004729] * RD COMPARE * port=1 adr=06 act=802028F0 exp=802028F0 + 4727.50ns INFO [00004729] Port=0 RD @0C + 4728.50ns INFO [00004730] * RD COMPARE * port=1 adr=16 act=0746892A exp=0746892A + 4729.50ns INFO [00004731] * RD COMPARE * port=0 adr=0C act=5394BE19 exp=5394BE19 + 4729.50ns INFO [00004731] Port=0 WR @0A=EF802D53 + 4729.50ns INFO [00004731] Port=1 RD @0C + 4731.50ns INFO [00004733] * RD COMPARE * port=1 adr=0C act=5394BE19 exp=5394BE19 + 4732.50ns INFO [00004734] Port=0 RD @10 + 4732.50ns INFO [00004734] Port=1 RD @17 + 4733.50ns INFO [00004735] Port=0 WR @1F=53EBBDCB + 4733.50ns INFO [00004735] Port=1 RD @19 + 4734.50ns INFO [00004736] * RD COMPARE * port=0 adr=10 act=5B140ED0 exp=5B140ED0 + 4734.50ns INFO [00004736] * RD COMPARE * port=1 adr=17 act=3DA48B7C exp=3DA48B7C + 4734.50ns INFO [00004736] Port=0 RD @18 + 4734.50ns INFO [00004736] Port=1 RD @0E + 4735.50ns INFO [00004737] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B + 4735.50ns INFO [00004737] Port=0 WR @12=5D03A29E + 4735.50ns INFO [00004737] Port=1 RD @19 + 4736.50ns INFO [00004738] * RD COMPARE * port=0 adr=18 act=AA2BC0E0 exp=AA2BC0E0 + 4736.50ns INFO [00004738] * RD COMPARE * port=1 adr=0E act=EC2F0F9A exp=EC2F0F9A + 4736.50ns INFO [00004738] Port=1 RD @10 + 4737.50ns INFO [00004739] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B + 4737.50ns INFO [00004739] Port=0 WR @09=171F5D5A + 4737.50ns INFO [00004739] Port=1 RD @13 + 4738.50ns INFO [00004740] * RD COMPARE * port=1 adr=10 act=5B140ED0 exp=5B140ED0 + 4738.50ns INFO [00004740] Port=0 RD @0D + 4738.50ns INFO [00004740] Port=1 RD @05 + 4739.50ns INFO [00004741] * RD COMPARE * port=1 adr=13 act=666902F6 exp=666902F6 + 4739.50ns INFO [00004741] Port=0 WR @03=8910A1C9 + 4740.50ns INFO [00004742] * RD COMPARE * port=0 adr=0D act=ECF8942D exp=ECF8942D + 4740.50ns INFO [00004742] * RD COMPARE * port=1 adr=05 act=B029E257 exp=B029E257 + 4740.50ns INFO [00004742] Port=0 WR @08=45CB11AE + 4740.50ns INFO [00004742] Port=0 RD @0D + 4740.50ns INFO [00004742] Port=1 RD @0D + 4742.50ns INFO [00004744] * RD COMPARE * port=0 adr=0D act=ECF8942D exp=ECF8942D + 4742.50ns INFO [00004744] * RD COMPARE * port=1 adr=0D act=ECF8942D exp=ECF8942D + 4742.50ns INFO [00004744] Port=0 RD @1C + 4743.50ns INFO [00004745] Port=0 WR @12=43390902 + 4744.50ns INFO [00004746] * RD COMPARE * port=0 adr=1C act=85F2D9EB exp=85F2D9EB + 4745.50ns INFO [00004747] Port=1 RD @19 + 4747.50ns INFO [00004749] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B + 4747.50ns INFO [00004749] Port=0 WR @11=C631E869 + 4748.50ns INFO [00004750] Port=0 WR @01=FF84CB30 + 4749.50ns INFO [00004751] Port=1 RD @0E + 4750.50ns INFO [00004752] Port=0 RD @0A + 4750.50ns INFO [00004752] Port=1 RD @0C + 4751.50ns INFO [00004753] * RD COMPARE * port=1 adr=0E act=EC2F0F9A exp=EC2F0F9A + 4751.50ns INFO [00004753] Port=0 WR @1F=3251CF1F + 4752.50ns INFO [00004754] * RD COMPARE * port=0 adr=0A act=EF802D53 exp=EF802D53 + 4752.50ns INFO [00004754] * RD COMPARE * port=1 adr=0C act=5394BE19 exp=5394BE19 + 4752.50ns INFO [00004754] Port=1 RD @02 + 4754.50ns INFO [00004756] * RD COMPARE * port=1 adr=02 act=C289F351 exp=C289F351 + 4755.50ns INFO [00004757] Port=0 RD @1E + 4755.50ns INFO [00004757] Port=1 RD @08 + 4757.50ns INFO [00004759] * RD COMPARE * port=0 adr=1E act=3A556FAA exp=3A556FAA + 4757.50ns INFO [00004759] * RD COMPARE * port=1 adr=08 act=45CB11AE exp=45CB11AE + 4757.50ns INFO [00004759] Port=0 RD @01 + 4757.50ns INFO [00004759] Port=1 RD @11 + 4759.50ns INFO [00004761] * RD COMPARE * port=0 adr=01 act=FF84CB30 exp=FF84CB30 + 4759.50ns INFO [00004761] * RD COMPARE * port=1 adr=11 act=C631E869 exp=C631E869 + 4760.50ns INFO [00004762] Port=0 RD @0A + 4762.50ns INFO [00004764] * RD COMPARE * port=0 adr=0A act=EF802D53 exp=EF802D53 + 4762.50ns INFO [00004764] Port=0 WR @00=8E677FD2 + 4762.50ns INFO [00004764] Port=0 RD @1E + 4763.50ns INFO [00004765] Port=0 RD @17 + 4763.50ns INFO [00004765] Port=1 RD @0F + 4764.50ns INFO [00004766] * RD COMPARE * port=0 adr=1E act=3A556FAA exp=3A556FAA + 4764.50ns INFO [00004766] Port=1 RD @17 + 4765.50ns INFO [00004767] * RD COMPARE * port=0 adr=17 act=3DA48B7C exp=3DA48B7C + 4765.50ns INFO [00004767] * RD COMPARE * port=1 adr=0F act=EF565C77 exp=EF565C77 + 4766.50ns INFO [00004768] * RD COMPARE * port=1 adr=17 act=3DA48B7C exp=3DA48B7C + 4767.50ns INFO [00004769] Port=1 RD @01 + 4768.50ns INFO [00004770] Port=0 WR @14=80474751 + 4768.50ns INFO [00004770] Port=1 RD @07 + 4769.50ns INFO [00004771] * RD COMPARE * port=1 adr=01 act=FF84CB30 exp=FF84CB30 + 4769.50ns INFO [00004771] Port=0 RD @15 + 4769.50ns INFO [00004771] Port=1 RD @0A + 4770.50ns INFO [00004772] * RD COMPARE * port=1 adr=07 act=21F7BD18 exp=21F7BD18 + 4770.50ns INFO [00004772] Port=0 WR @17=92BACECA + 4770.50ns INFO [00004772] Port=0 RD @15 + 4771.50ns INFO [00004773] * RD COMPARE * port=0 adr=15 act=D75348BD exp=D75348BD + 4771.50ns INFO [00004773] * RD COMPARE * port=1 adr=0A act=EF802D53 exp=EF802D53 + 4771.50ns INFO [00004773] Port=1 RD @1B + 4772.50ns INFO [00004774] * RD COMPARE * port=0 adr=15 act=D75348BD exp=D75348BD + 4772.50ns INFO [00004774] Port=0 RD @16 + 4773.50ns INFO [00004775] * RD COMPARE * port=1 adr=1B act=7A4BB075 exp=7A4BB075 + 4773.50ns INFO [00004775] Port=1 RD @0B + 4774.50ns INFO [00004776] * RD COMPARE * port=0 adr=16 act=0746892A exp=0746892A + 4774.50ns INFO [00004776] Port=0 WR @13=C17751E7 + 4775.50ns INFO [00004777] * RD COMPARE * port=1 adr=0B act=340FC874 exp=340FC874 + 4775.50ns INFO [00004777] Port=0 WR @1F=7F7EF94F + 4776.50ns INFO [00004778] Port=0 WR @15=3D9EF2C3 + 4777.50ns INFO [00004779] Port=0 WR @16=97306974 + 4777.50ns INFO [00004779] Port=0 RD @1B + 4779.50ns INFO [00004781] * RD COMPARE * port=0 adr=1B act=7A4BB075 exp=7A4BB075 + 4779.50ns INFO [00004781] Port=0 WR @0A=4BFC48B0 + 4779.50ns INFO [00004781] Port=1 RD @01 + 4780.50ns INFO [00004782] Port=0 RD @0F + 4781.50ns INFO [00004783] * RD COMPARE * port=1 adr=01 act=FF84CB30 exp=FF84CB30 + 4781.50ns INFO [00004783] Port=1 RD @02 + 4782.50ns INFO [00004784] * RD COMPARE * port=0 adr=0F act=EF565C77 exp=EF565C77 + 4783.50ns INFO [00004785] * RD COMPARE * port=1 adr=02 act=C289F351 exp=C289F351 + 4783.50ns INFO [00004785] Port=0 WR @11=E2BAB1C9 + 4783.50ns INFO [00004785] Port=1 RD @1F + 4784.50ns INFO [00004786] Port=0 WR @15=A7A2C2C5 + 4784.50ns INFO [00004786] Port=0 RD @0B + 4785.50ns INFO [00004787] * RD COMPARE * port=1 adr=1F act=7F7EF94F exp=7F7EF94F + 4785.50ns INFO [00004787] Port=0 WR @1B=7391CAE1 + 4785.50ns INFO [00004787] Port=0 RD @1A + 4786.50ns INFO [00004788] * RD COMPARE * port=0 adr=0B act=340FC874 exp=340FC874 + 4786.50ns INFO [00004788] Port=0 WR @08=1B4835F8 + 4787.50ns INFO [00004789] * RD COMPARE * port=0 adr=1A act=87175E01 exp=87175E01 + 4787.50ns INFO [00004789] Port=1 RD @0C + 4788.50ns INFO [00004790] Port=0 WR @04=BC0E2D3E + 4788.50ns INFO [00004790] Port=0 RD @1A + 4788.50ns INFO [00004790] Port=1 RD @15 + 4789.50ns INFO [00004791] * RD COMPARE * port=1 adr=0C act=5394BE19 exp=5394BE19 + 4790.50ns INFO [00004792] * RD COMPARE * port=0 adr=1A act=87175E01 exp=87175E01 + 4790.50ns INFO [00004792] * RD COMPARE * port=1 adr=15 act=A7A2C2C5 exp=A7A2C2C5 + 4791.50ns INFO [00004793] Port=0 WR @1B=8E1C42EC + 4792.50ns INFO [00004794] Port=0 WR @12=8BC017CC + 4794.50ns INFO [00004796] Port=0 WR @1B=9BCA6116 + 4794.50ns INFO [00004796] Port=0 RD @16 + 4795.50ns INFO [00004797] Port=0 WR @18=E6EB3492 + 4795.50ns INFO [00004797] Port=0 RD @0D + 4796.50ns INFO [00004798] * RD COMPARE * port=0 adr=16 act=97306974 exp=97306974 + 4796.50ns INFO [00004798] Port=0 WR @16=1AC6116C + 4797.50ns INFO [00004799] * RD COMPARE * port=0 adr=0D act=ECF8942D exp=ECF8942D + 4797.50ns INFO [00004799] Port=0 WR @0A=94A7C31F + 4797.50ns INFO [00004799] Port=1 RD @19 + 4798.00ns INFO [00004800] [00004800] ...tick... + 4798.50ns INFO [00004800] Port=1 RD @01 + 4799.50ns INFO [00004801] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B + 4799.50ns INFO [00004801] Port=0 WR @15=C78E2266 + 4800.50ns INFO [00004802] * RD COMPARE * port=1 adr=01 act=FF84CB30 exp=FF84CB30 + 4800.50ns INFO [00004802] Port=0 WR @09=1D09970A + 4800.50ns INFO [00004802] Port=0 RD @03 + 4801.50ns INFO [00004803] Port=0 WR @02=5C852DF0 + 4802.50ns INFO [00004804] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9 + 4802.50ns INFO [00004804] Port=0 RD @08 + 4803.50ns INFO [00004805] Port=0 WR @0A=0C1A3932 + 4803.50ns INFO [00004805] Port=1 RD @1E + 4804.50ns INFO [00004806] * RD COMPARE * port=0 adr=08 act=1B4835F8 exp=1B4835F8 + 4804.50ns INFO [00004806] Port=1 RD @1A + 4805.50ns INFO [00004807] * RD COMPARE * port=1 adr=1E act=3A556FAA exp=3A556FAA + 4805.50ns INFO [00004807] Port=0 WR @06=7AE2974F + 4806.50ns INFO [00004808] * RD COMPARE * port=1 adr=1A act=87175E01 exp=87175E01 + 4807.50ns INFO [00004809] Port=0 WR @1D=13F6CDC4 + 4807.50ns INFO [00004809] Port=0 RD @03 + 4807.50ns INFO [00004809] Port=1 RD @10 + 4808.50ns INFO [00004810] Port=0 WR @06=0AFE6673 + 4809.50ns INFO [00004811] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9 + 4809.50ns INFO [00004811] * RD COMPARE * port=1 adr=10 act=5B140ED0 exp=5B140ED0 + 4810.50ns INFO [00004812] Port=0 WR @1B=615B3B7A + 4810.50ns INFO [00004812] Port=1 RD @0B + 4811.50ns INFO [00004813] Port=0 RD @1F + 4811.50ns INFO [00004813] Port=1 RD @0F + 4812.50ns INFO [00004814] * RD COMPARE * port=1 adr=0B act=340FC874 exp=340FC874 + 4812.50ns INFO [00004814] Port=0 WR @09=489CAEF9 + 4812.50ns INFO [00004814] Port=0 RD @07 + 4812.50ns INFO [00004814] Port=1 RD @1F + 4813.50ns INFO [00004815] * RD COMPARE * port=0 adr=1F act=7F7EF94F exp=7F7EF94F + 4813.50ns INFO [00004815] * RD COMPARE * port=1 adr=0F act=EF565C77 exp=EF565C77 + 4813.50ns INFO [00004815] Port=0 WR @13=36CAFD00 + 4813.50ns INFO [00004815] Port=1 RD @02 + 4814.50ns INFO [00004816] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18 + 4814.50ns INFO [00004816] * RD COMPARE * port=1 adr=1F act=7F7EF94F exp=7F7EF94F + 4814.50ns INFO [00004816] Port=0 WR @1B=3BCE5568 + 4814.50ns INFO [00004816] Port=0 RD @1A + 4814.50ns INFO [00004816] Port=1 RD @16 + 4815.50ns INFO [00004817] * RD COMPARE * port=1 adr=02 act=5C852DF0 exp=5C852DF0 + 4815.50ns INFO [00004817] Port=0 WR @1A=F125DB13 + 4815.50ns INFO [00004817] Port=0 RD @05 + 4815.50ns INFO [00004817] Port=1 RD @1F + 4816.50ns INFO [00004818] * RD COMPARE * port=0 adr=1A act=87175E01 exp=87175E01 + 4816.50ns INFO [00004818] * RD COMPARE * port=1 adr=16 act=1AC6116C exp=1AC6116C + 4816.50ns INFO [00004818] Port=1 RD @16 + 4817.50ns INFO [00004819] * RD COMPARE * port=0 adr=05 act=B029E257 exp=B029E257 + 4817.50ns INFO [00004819] * RD COMPARE * port=1 adr=1F act=7F7EF94F exp=7F7EF94F + 4818.50ns INFO [00004820] * RD COMPARE * port=1 adr=16 act=1AC6116C exp=1AC6116C + 4818.50ns INFO [00004820] Port=0 RD @03 + 4818.50ns INFO [00004820] Port=1 RD @12 + 4819.50ns INFO [00004821] Port=0 RD @14 + 4820.50ns INFO [00004822] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9 + 4820.50ns INFO [00004822] * RD COMPARE * port=1 adr=12 act=8BC017CC exp=8BC017CC + 4821.50ns INFO [00004823] * RD COMPARE * port=0 adr=14 act=80474751 exp=80474751 + 4821.50ns INFO [00004823] Port=0 RD @1A + 4822.50ns INFO [00004824] Port=0 RD @0E + 4823.50ns INFO [00004825] * RD COMPARE * port=0 adr=1A act=F125DB13 exp=F125DB13 + 4823.50ns INFO [00004825] Port=0 RD @17 + 4823.50ns INFO [00004825] Port=1 RD @1E + 4824.50ns INFO [00004826] * RD COMPARE * port=0 adr=0E act=EC2F0F9A exp=EC2F0F9A + 4825.50ns INFO [00004827] * RD COMPARE * port=0 adr=17 act=92BACECA exp=92BACECA + 4825.50ns INFO [00004827] * RD COMPARE * port=1 adr=1E act=3A556FAA exp=3A556FAA + 4825.50ns INFO [00004827] Port=0 WR @10=DF6DE4FF + 4825.50ns INFO [00004827] Port=1 RD @02 + 4826.50ns INFO [00004828] Port=0 RD @13 + 4827.50ns INFO [00004829] * RD COMPARE * port=1 adr=02 act=5C852DF0 exp=5C852DF0 + 4827.50ns INFO [00004829] Port=0 WR @10=34CED22D + 4828.50ns INFO [00004830] * RD COMPARE * port=0 adr=13 act=36CAFD00 exp=36CAFD00 + 4829.50ns INFO [00004831] Port=0 RD @05 + 4829.50ns INFO [00004831] Port=1 RD @17 + 4830.50ns INFO [00004832] Port=0 WR @08=52742C31 + 4830.50ns INFO [00004832] Port=1 RD @03 + 4831.50ns INFO [00004833] * RD COMPARE * port=0 adr=05 act=B029E257 exp=B029E257 + 4831.50ns INFO [00004833] * RD COMPARE * port=1 adr=17 act=92BACECA exp=92BACECA + 4831.50ns INFO [00004833] Port=0 WR @16=3A6A9DAC + 4832.50ns INFO [00004834] * RD COMPARE * port=1 adr=03 act=8910A1C9 exp=8910A1C9 + 4832.50ns INFO [00004834] Port=0 WR @1C=9B3A4A9B + 4835.50ns INFO [00004837] Port=0 RD @07 + 4836.50ns INFO [00004838] Port=0 RD @03 + 4836.50ns INFO [00004838] Port=1 RD @03 + 4837.50ns INFO [00004839] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18 + 4838.50ns INFO [00004840] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9 + 4838.50ns INFO [00004840] * RD COMPARE * port=1 adr=03 act=8910A1C9 exp=8910A1C9 + 4838.50ns INFO [00004840] Port=0 WR @05=9A90669A + 4838.50ns INFO [00004840] Port=1 RD @0B + 4839.50ns INFO [00004841] Port=0 WR @14=B847BF1E + 4839.50ns INFO [00004841] Port=1 RD @1D + 4840.50ns INFO [00004842] * RD COMPARE * port=1 adr=0B act=340FC874 exp=340FC874 + 4840.50ns INFO [00004842] Port=0 WR @0D=AEB1AEFB + 4840.50ns INFO [00004842] Port=0 RD @19 + 4840.50ns INFO [00004842] Port=1 RD @19 + 4841.50ns INFO [00004843] * RD COMPARE * port=1 adr=1D act=13F6CDC4 exp=13F6CDC4 + 4841.50ns INFO [00004843] Port=0 RD @1B + 4841.50ns INFO [00004843] Port=1 RD @0D + 4842.50ns INFO [00004844] * RD COMPARE * port=0 adr=19 act=591DAD4B exp=591DAD4B + 4842.50ns INFO [00004844] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B + 4842.50ns INFO [00004844] Port=0 WR @02=187B7BC6 + 4842.50ns INFO [00004844] Port=1 RD @1B + 4843.50ns INFO [00004845] * RD COMPARE * port=0 adr=1B act=3BCE5568 exp=3BCE5568 + 4843.50ns INFO [00004845] * RD COMPARE * port=1 adr=0D act=AEB1AEFB exp=AEB1AEFB + 4843.50ns INFO [00004845] Port=0 RD @12 + 4844.50ns INFO [00004846] * RD COMPARE * port=1 adr=1B act=3BCE5568 exp=3BCE5568 + 4845.50ns INFO [00004847] * RD COMPARE * port=0 adr=12 act=8BC017CC exp=8BC017CC + 4846.50ns INFO [00004848] Port=1 RD @1F + 4847.50ns INFO [00004849] Port=0 WR @0C=43F1CFFD + 4848.50ns INFO [00004850] * RD COMPARE * port=1 adr=1F act=7F7EF94F exp=7F7EF94F + 4848.50ns INFO [00004850] Port=1 RD @04 + 4849.50ns INFO [00004851] Port=0 RD @07 + 4850.50ns INFO [00004852] * RD COMPARE * port=1 adr=04 act=BC0E2D3E exp=BC0E2D3E + 4851.50ns INFO [00004853] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18 + 4852.50ns INFO [00004854] Port=0 WR @0D=7254B884 + 4852.50ns INFO [00004854] Port=0 RD @17 + 4854.50ns INFO [00004856] * RD COMPARE * port=0 adr=17 act=92BACECA exp=92BACECA + 4854.50ns INFO [00004856] Port=0 WR @1E=35B660DE + 4854.50ns INFO [00004856] Port=0 RD @08 + 4854.50ns INFO [00004856] Port=1 RD @10 + 4856.50ns INFO [00004858] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 4856.50ns INFO [00004858] * RD COMPARE * port=1 adr=10 act=34CED22D exp=34CED22D + 4857.50ns INFO [00004859] Port=1 RD @01 + 4858.50ns INFO [00004860] Port=1 RD @05 + 4859.50ns INFO [00004861] * RD COMPARE * port=1 adr=01 act=FF84CB30 exp=FF84CB30 + 4860.50ns INFO [00004862] * RD COMPARE * port=1 adr=05 act=9A90669A exp=9A90669A + 4860.50ns INFO [00004862] Port=0 WR @00=856C5E48 + 4860.50ns INFO [00004862] Port=1 RD @1A + 4861.50ns INFO [00004863] Port=0 RD @07 + 4861.50ns INFO [00004863] Port=1 RD @16 + 4862.50ns INFO [00004864] * RD COMPARE * port=1 adr=1A act=F125DB13 exp=F125DB13 + 4862.50ns INFO [00004864] Port=0 RD @16 + 4863.50ns INFO [00004865] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18 + 4863.50ns INFO [00004865] * RD COMPARE * port=1 adr=16 act=3A6A9DAC exp=3A6A9DAC + 4864.50ns INFO [00004866] * RD COMPARE * port=0 adr=16 act=3A6A9DAC exp=3A6A9DAC + 4864.50ns INFO [00004866] Port=0 WR @06=EC91D225 + 4864.50ns INFO [00004866] Port=0 RD @0F + 4866.50ns INFO [00004868] * RD COMPARE * port=0 adr=0F act=EF565C77 exp=EF565C77 + 4867.50ns INFO [00004869] Port=0 WR @17=0F31F274 + 4867.50ns INFO [00004869] Port=0 RD @12 + 4868.50ns INFO [00004870] Port=0 RD @1B + 4868.50ns INFO [00004870] Port=1 RD @0E + 4869.50ns INFO [00004871] * RD COMPARE * port=0 adr=12 act=8BC017CC exp=8BC017CC + 4869.50ns INFO [00004871] Port=0 WR @1F=4515983B + 4869.50ns INFO [00004871] Port=0 RD @03 + 4870.50ns INFO [00004872] * RD COMPARE * port=0 adr=1B act=3BCE5568 exp=3BCE5568 + 4870.50ns INFO [00004872] * RD COMPARE * port=1 adr=0E act=EC2F0F9A exp=EC2F0F9A + 4870.50ns INFO [00004872] Port=0 RD @13 + 4871.50ns INFO [00004873] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9 + 4871.50ns INFO [00004873] Port=0 WR @01=5FB401F4 + 4871.50ns INFO [00004873] Port=1 RD @1A + 4872.50ns INFO [00004874] * RD COMPARE * port=0 adr=13 act=36CAFD00 exp=36CAFD00 + 4872.50ns INFO [00004874] Port=1 RD @1E + 4873.50ns INFO [00004875] * RD COMPARE * port=1 adr=1A act=F125DB13 exp=F125DB13 + 4873.50ns INFO [00004875] Port=0 RD @02 + 4873.50ns INFO [00004875] Port=1 RD @1F + 4874.50ns INFO [00004876] * RD COMPARE * port=1 adr=1E act=35B660DE exp=35B660DE + 4875.50ns INFO [00004877] * RD COMPARE * port=0 adr=02 act=187B7BC6 exp=187B7BC6 + 4875.50ns INFO [00004877] * RD COMPARE * port=1 adr=1F act=4515983B exp=4515983B + 4875.50ns INFO [00004877] Port=0 WR @1F=46C0C0E1 + 4875.50ns INFO [00004877] Port=0 RD @09 + 4877.50ns INFO [00004879] * RD COMPARE * port=0 adr=09 act=489CAEF9 exp=489CAEF9 + 4877.50ns INFO [00004879] Port=0 RD @0A + 4878.50ns INFO [00004880] Port=0 WR @0F=9C9C152B + 4879.50ns INFO [00004881] * RD COMPARE * port=0 adr=0A act=0C1A3932 exp=0C1A3932 + 4879.50ns INFO [00004881] Port=0 WR @01=190B00B5 + 4881.50ns INFO [00004883] Port=0 WR @14=AAACCC3E + 4881.50ns INFO [00004883] Port=1 RD @10 + 4882.50ns INFO [00004884] Port=0 WR @0D=E7158945 + 4882.50ns INFO [00004884] Port=0 RD @03 + 4883.50ns INFO [00004885] * RD COMPARE * port=1 adr=10 act=34CED22D exp=34CED22D + 4883.50ns INFO [00004885] Port=0 WR @17=58B0A704 + 4884.50ns INFO [00004886] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9 + 4884.50ns INFO [00004886] Port=0 RD @16 + 4885.50ns INFO [00004887] Port=1 RD @16 + 4886.50ns INFO [00004888] * RD COMPARE * port=0 adr=16 act=3A6A9DAC exp=3A6A9DAC + 4886.50ns INFO [00004888] Port=0 WR @15=2343657E + 4887.50ns INFO [00004889] * RD COMPARE * port=1 adr=16 act=3A6A9DAC exp=3A6A9DAC + 4888.50ns INFO [00004890] Port=0 RD @02 + 4888.50ns INFO [00004890] Port=1 RD @1A + 4889.50ns INFO [00004891] Port=0 WR @19=5AE02CA8 + 4889.50ns INFO [00004891] Port=1 RD @06 + 4890.50ns INFO [00004892] * RD COMPARE * port=0 adr=02 act=187B7BC6 exp=187B7BC6 + 4890.50ns INFO [00004892] * RD COMPARE * port=1 adr=1A act=F125DB13 exp=F125DB13 + 4890.50ns INFO [00004892] Port=0 RD @07 + 4891.50ns INFO [00004893] * RD COMPARE * port=1 adr=06 act=EC91D225 exp=EC91D225 + 4891.50ns INFO [00004893] Port=0 WR @1E=BA559893 + 4891.50ns INFO [00004893] Port=0 RD @1A + 4892.50ns INFO [00004894] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18 + 4892.50ns INFO [00004894] Port=0 RD @02 + 4892.50ns INFO [00004894] Port=1 RD @10 + 4893.50ns INFO [00004895] * RD COMPARE * port=0 adr=1A act=F125DB13 exp=F125DB13 + 4894.50ns INFO [00004896] * RD COMPARE * port=0 adr=02 act=187B7BC6 exp=187B7BC6 + 4894.50ns INFO [00004896] * RD COMPARE * port=1 adr=10 act=34CED22D exp=34CED22D + 4894.50ns INFO [00004896] Port=0 RD @0A + 4894.50ns INFO [00004896] Port=1 RD @06 + 4895.50ns INFO [00004897] Port=0 WR @06=3A9E858F + 4895.50ns INFO [00004897] Port=1 RD @0A + 4896.50ns INFO [00004898] * RD COMPARE * port=0 adr=0A act=0C1A3932 exp=0C1A3932 + 4896.50ns INFO [00004898] * RD COMPARE * port=1 adr=06 act=EC91D225 exp=EC91D225 + 4896.50ns INFO [00004898] Port=0 WR @1B=3F520B6B + 4897.50ns INFO [00004899] * RD COMPARE * port=1 adr=0A act=0C1A3932 exp=0C1A3932 + 4897.50ns INFO [00004899] Port=1 RD @02 + 4898.00ns INFO [00004900] [00004900] ...tick... + 4898.50ns INFO [00004900] Port=0 WR @0D=A2A589BB + 4899.50ns INFO [00004901] * RD COMPARE * port=1 adr=02 act=187B7BC6 exp=187B7BC6 + 4899.50ns INFO [00004901] Port=0 RD @0E + 4899.50ns INFO [00004901] Port=1 RD @07 + 4901.50ns INFO [00004903] * RD COMPARE * port=0 adr=0E act=EC2F0F9A exp=EC2F0F9A + 4901.50ns INFO [00004903] * RD COMPARE * port=1 adr=07 act=21F7BD18 exp=21F7BD18 + 4901.50ns INFO [00004903] Port=0 WR @03=F28A1B74 + 4902.50ns INFO [00004904] Port=1 RD @05 + 4903.50ns INFO [00004905] Port=0 WR @01=46B14509 + 4904.50ns INFO [00004906] * RD COMPARE * port=1 adr=05 act=9A90669A exp=9A90669A + 4904.50ns INFO [00004906] Port=0 WR @1B=83EAE9CC + 4905.50ns INFO [00004907] Port=0 RD @1F + 4906.50ns INFO [00004908] Port=0 RD @15 + 4906.50ns INFO [00004908] Port=1 RD @02 + 4907.50ns INFO [00004909] * RD COMPARE * port=0 adr=1F act=46C0C0E1 exp=46C0C0E1 + 4907.50ns INFO [00004909] Port=0 WR @16=7D4CCCD7 + 4907.50ns INFO [00004909] Port=1 RD @0D + 4908.50ns INFO [00004910] * RD COMPARE * port=0 adr=15 act=2343657E exp=2343657E + 4908.50ns INFO [00004910] * RD COMPARE * port=1 adr=02 act=187B7BC6 exp=187B7BC6 + 4909.50ns INFO [00004911] * RD COMPARE * port=1 adr=0D act=A2A589BB exp=A2A589BB + 4909.50ns INFO [00004911] Port=0 RD @16 + 4910.50ns INFO [00004912] Port=0 WR @0E=174AF134 + 4911.50ns INFO [00004913] * RD COMPARE * port=0 adr=16 act=7D4CCCD7 exp=7D4CCCD7 + 4911.50ns INFO [00004913] Port=1 RD @08 + 4912.50ns INFO [00004914] Port=0 RD @13 + 4913.50ns INFO [00004915] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 4913.50ns INFO [00004915] Port=0 RD @07 + 4914.50ns INFO [00004916] * RD COMPARE * port=0 adr=13 act=36CAFD00 exp=36CAFD00 + 4914.50ns INFO [00004916] Port=0 WR @16=C9691D00 + 4914.50ns INFO [00004916] Port=0 RD @02 + 4915.50ns INFO [00004917] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18 + 4915.50ns INFO [00004917] Port=0 WR @0E=C98595FA + 4916.50ns INFO [00004918] * RD COMPARE * port=0 adr=02 act=187B7BC6 exp=187B7BC6 + 4916.50ns INFO [00004918] Port=0 RD @17 + 4917.50ns INFO [00004919] Port=0 WR @19=B9217D0F + 4918.50ns INFO [00004920] * RD COMPARE * port=0 adr=17 act=58B0A704 exp=58B0A704 + 4918.50ns INFO [00004920] Port=0 RD @11 + 4919.50ns INFO [00004921] Port=0 RD @0C + 4920.50ns INFO [00004922] * RD COMPARE * port=0 adr=11 act=E2BAB1C9 exp=E2BAB1C9 + 4920.50ns INFO [00004922] Port=0 RD @06 + 4921.50ns INFO [00004923] * RD COMPARE * port=0 adr=0C act=43F1CFFD exp=43F1CFFD + 4921.50ns INFO [00004923] Port=0 RD @07 + 4922.50ns INFO [00004924] * RD COMPARE * port=0 adr=06 act=3A9E858F exp=3A9E858F + 4922.50ns INFO [00004924] Port=0 RD @12 + 4922.50ns INFO [00004924] Port=1 RD @08 + 4923.50ns INFO [00004925] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18 + 4923.50ns INFO [00004925] Port=0 WR @09=9F46C603 + 4923.50ns INFO [00004925] Port=0 RD @14 + 4924.50ns INFO [00004926] * RD COMPARE * port=0 adr=12 act=8BC017CC exp=8BC017CC + 4924.50ns INFO [00004926] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 4924.50ns INFO [00004926] Port=0 RD @17 + 4925.50ns INFO [00004927] * RD COMPARE * port=0 adr=14 act=AAACCC3E exp=AAACCC3E + 4926.50ns INFO [00004928] * RD COMPARE * port=0 adr=17 act=58B0A704 exp=58B0A704 + 4927.50ns INFO [00004929] Port=0 WR @0F=EB8A0185 + 4927.50ns INFO [00004929] Port=0 RD @03 + 4927.50ns INFO [00004929] Port=1 RD @1A + 4928.50ns INFO [00004930] Port=0 WR @0C=40D7F38D + 4929.50ns INFO [00004931] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74 + 4929.50ns INFO [00004931] * RD COMPARE * port=1 adr=1A act=F125DB13 exp=F125DB13 + 4930.50ns INFO [00004932] Port=0 WR @0E=628D7F14 + 4931.50ns INFO [00004933] Port=0 WR @15=80EBEA7F + 4931.50ns INFO [00004933] Port=1 RD @1D + 4932.50ns INFO [00004934] Port=0 RD @0E + 4933.50ns INFO [00004935] * RD COMPARE * port=1 adr=1D act=13F6CDC4 exp=13F6CDC4 + 4933.50ns INFO [00004935] Port=0 WR @04=FBB8221C + 4934.50ns INFO [00004936] * RD COMPARE * port=0 adr=0E act=628D7F14 exp=628D7F14 + 4934.50ns INFO [00004936] Port=0 RD @1D + 4935.50ns INFO [00004937] Port=0 RD @03 + 4936.50ns INFO [00004938] * RD COMPARE * port=0 adr=1D act=13F6CDC4 exp=13F6CDC4 + 4936.50ns INFO [00004938] Port=0 RD @1F + 4937.50ns INFO [00004939] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74 + 4937.50ns INFO [00004939] Port=0 RD @1C + 4938.50ns INFO [00004940] * RD COMPARE * port=0 adr=1F act=46C0C0E1 exp=46C0C0E1 + 4938.50ns INFO [00004940] Port=0 RD @0F + 4939.50ns INFO [00004941] * RD COMPARE * port=0 adr=1C act=9B3A4A9B exp=9B3A4A9B + 4939.50ns INFO [00004941] Port=0 WR @13=96218472 + 4940.50ns INFO [00004942] * RD COMPARE * port=0 adr=0F act=EB8A0185 exp=EB8A0185 + 4940.50ns INFO [00004942] Port=1 RD @10 + 4941.50ns INFO [00004943] Port=0 WR @00=FA8F1515 + 4941.50ns INFO [00004943] Port=0 RD @1F + 4942.50ns INFO [00004944] * RD COMPARE * port=1 adr=10 act=34CED22D exp=34CED22D + 4942.50ns INFO [00004944] Port=0 RD @15 + 4943.50ns INFO [00004945] * RD COMPARE * port=0 adr=1F act=46C0C0E1 exp=46C0C0E1 + 4943.50ns INFO [00004945] Port=0 WR @14=5EBA21DB + 4943.50ns INFO [00004945] Port=0 RD @10 + 4944.50ns INFO [00004946] * RD COMPARE * port=0 adr=15 act=80EBEA7F exp=80EBEA7F + 4944.50ns INFO [00004946] Port=0 RD @1B + 4944.50ns INFO [00004946] Port=1 RD @03 + 4945.50ns INFO [00004947] * RD COMPARE * port=0 adr=10 act=34CED22D exp=34CED22D + 4945.50ns INFO [00004947] Port=1 RD @17 + 4946.50ns INFO [00004948] * RD COMPARE * port=0 adr=1B act=83EAE9CC exp=83EAE9CC + 4946.50ns INFO [00004948] * RD COMPARE * port=1 adr=03 act=F28A1B74 exp=F28A1B74 + 4946.50ns INFO [00004948] Port=0 WR @11=1DEE5E8E + 4946.50ns INFO [00004948] Port=1 RD @03 + 4947.50ns INFO [00004949] * RD COMPARE * port=1 adr=17 act=58B0A704 exp=58B0A704 + 4947.50ns INFO [00004949] Port=1 RD @08 + 4948.50ns INFO [00004950] * RD COMPARE * port=1 adr=03 act=F28A1B74 exp=F28A1B74 + 4948.50ns INFO [00004950] Port=0 RD @02 + 4948.50ns INFO [00004950] Port=1 RD @09 + 4949.50ns INFO [00004951] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 4949.50ns INFO [00004951] Port=1 RD @0D + 4950.50ns INFO [00004952] * RD COMPARE * port=0 adr=02 act=187B7BC6 exp=187B7BC6 + 4950.50ns INFO [00004952] * RD COMPARE * port=1 adr=09 act=9F46C603 exp=9F46C603 + 4950.50ns INFO [00004952] Port=0 WR @05=13E832F2 + 4950.50ns INFO [00004952] Port=0 RD @14 + 4951.50ns INFO [00004953] * RD COMPARE * port=1 adr=0D act=A2A589BB exp=A2A589BB + 4951.50ns INFO [00004953] Port=1 RD @0A + 4952.50ns INFO [00004954] * RD COMPARE * port=0 adr=14 act=5EBA21DB exp=5EBA21DB + 4952.50ns INFO [00004954] Port=1 RD @1F + 4953.50ns INFO [00004955] * RD COMPARE * port=1 adr=0A act=0C1A3932 exp=0C1A3932 + 4953.50ns INFO [00004955] Port=1 RD @1B + 4954.50ns INFO [00004956] * RD COMPARE * port=1 adr=1F act=46C0C0E1 exp=46C0C0E1 + 4954.50ns INFO [00004956] Port=1 RD @0B + 4955.50ns INFO [00004957] * RD COMPARE * port=1 adr=1B act=83EAE9CC exp=83EAE9CC + 4955.50ns INFO [00004957] Port=0 WR @19=E32C56F7 + 4956.50ns INFO [00004958] * RD COMPARE * port=1 adr=0B act=340FC874 exp=340FC874 + 4957.50ns INFO [00004959] Port=0 WR @1B=043E2BAE + 4957.50ns INFO [00004959] Port=0 RD @10 + 4958.50ns INFO [00004960] Port=0 RD @12 + 4958.50ns INFO [00004960] Port=1 RD @1F + 4959.50ns INFO [00004961] * RD COMPARE * port=0 adr=10 act=34CED22D exp=34CED22D + 4960.50ns INFO [00004962] * RD COMPARE * port=0 adr=12 act=8BC017CC exp=8BC017CC + 4960.50ns INFO [00004962] * RD COMPARE * port=1 adr=1F act=46C0C0E1 exp=46C0C0E1 + 4960.50ns INFO [00004962] Port=1 RD @01 + 4961.50ns INFO [00004963] Port=0 WR @09=5CA0AB67 + 4961.50ns INFO [00004963] Port=0 RD @05 + 4961.50ns INFO [00004963] Port=1 RD @1C + 4962.50ns INFO [00004964] * RD COMPARE * port=1 adr=01 act=46B14509 exp=46B14509 + 4963.50ns INFO [00004965] * RD COMPARE * port=0 adr=05 act=13E832F2 exp=13E832F2 + 4963.50ns INFO [00004965] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B + 4963.50ns INFO [00004965] Port=0 WR @10=25D3DEDE + 4967.50ns INFO [00004969] Port=0 WR @17=341841E8 + 4967.50ns INFO [00004969] Port=1 RD @05 + 4968.50ns INFO [00004970] Port=0 RD @07 + 4969.50ns INFO [00004971] * RD COMPARE * port=1 adr=05 act=13E832F2 exp=13E832F2 + 4969.50ns INFO [00004971] Port=0 WR @16=91F15125 + 4969.50ns INFO [00004971] Port=1 RD @01 + 4970.50ns INFO [00004972] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18 + 4970.50ns INFO [00004972] Port=0 RD @16 + 4971.50ns INFO [00004973] * RD COMPARE * port=1 adr=01 act=46B14509 exp=46B14509 + 4971.50ns INFO [00004973] Port=0 RD @15 + 4971.50ns INFO [00004973] Port=1 RD @1C + 4972.50ns INFO [00004974] * RD COMPARE * port=0 adr=16 act=91F15125 exp=91F15125 + 4972.50ns INFO [00004974] Port=0 WR @1F=8289EAFA + 4973.50ns INFO [00004975] * RD COMPARE * port=0 adr=15 act=80EBEA7F exp=80EBEA7F + 4973.50ns INFO [00004975] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B + 4973.50ns INFO [00004975] Port=1 RD @08 + 4975.50ns INFO [00004977] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 4975.50ns INFO [00004977] Port=0 WR @09=77AD18D7 + 4975.50ns INFO [00004977] Port=0 RD @11 + 4976.50ns INFO [00004978] Port=1 RD @1A + 4977.50ns INFO [00004979] * RD COMPARE * port=0 adr=11 act=1DEE5E8E exp=1DEE5E8E + 4977.50ns INFO [00004979] Port=1 RD @05 + 4978.50ns INFO [00004980] * RD COMPARE * port=1 adr=1A act=F125DB13 exp=F125DB13 + 4979.50ns INFO [00004981] * RD COMPARE * port=1 adr=05 act=13E832F2 exp=13E832F2 + 4979.50ns INFO [00004981] Port=0 WR @17=5D34D111 + 4979.50ns INFO [00004981] Port=0 RD @1F + 4981.50ns INFO [00004983] * RD COMPARE * port=0 adr=1F act=8289EAFA exp=8289EAFA + 4981.50ns INFO [00004983] Port=0 RD @05 + 4982.50ns INFO [00004984] Port=0 RD @15 + 4983.50ns INFO [00004985] * RD COMPARE * port=0 adr=05 act=13E832F2 exp=13E832F2 + 4983.50ns INFO [00004985] Port=0 WR @1A=0C6C53AF + 4983.50ns INFO [00004985] Port=1 RD @15 + 4984.50ns INFO [00004986] * RD COMPARE * port=0 adr=15 act=80EBEA7F exp=80EBEA7F + 4984.50ns INFO [00004986] Port=0 RD @09 + 4985.50ns INFO [00004987] * RD COMPARE * port=1 adr=15 act=80EBEA7F exp=80EBEA7F + 4985.50ns INFO [00004987] Port=0 WR @10=07B0E33A + 4986.50ns INFO [00004988] * RD COMPARE * port=0 adr=09 act=77AD18D7 exp=77AD18D7 + 4986.50ns INFO [00004988] Port=0 WR @0D=E6C3A1E4 + 4986.50ns INFO [00004988] Port=1 RD @19 + 4988.50ns INFO [00004990] * RD COMPARE * port=1 adr=19 act=E32C56F7 exp=E32C56F7 + 4988.50ns INFO [00004990] Port=0 WR @1B=1C14F7AC + 4988.50ns INFO [00004990] Port=0 RD @10 + 4990.50ns INFO [00004992] * RD COMPARE * port=0 adr=10 act=07B0E33A exp=07B0E33A + 4991.50ns INFO [00004993] Port=0 WR @13=54D79C82 + 4991.50ns INFO [00004993] Port=0 RD @19 + 4991.50ns INFO [00004993] Port=1 RD @1F + 4992.50ns INFO [00004994] Port=0 RD @0E + 4992.50ns INFO [00004994] Port=1 RD @0D + 4993.50ns INFO [00004995] * RD COMPARE * port=0 adr=19 act=E32C56F7 exp=E32C56F7 + 4993.50ns INFO [00004995] * RD COMPARE * port=1 adr=1F act=8289EAFA exp=8289EAFA + 4993.50ns INFO [00004995] Port=1 RD @1C + 4994.50ns INFO [00004996] * RD COMPARE * port=0 adr=0E act=628D7F14 exp=628D7F14 + 4994.50ns INFO [00004996] * RD COMPARE * port=1 adr=0D act=E6C3A1E4 exp=E6C3A1E4 + 4994.50ns INFO [00004996] Port=0 WR @13=C945AD8B + 4994.50ns INFO [00004996] Port=0 RD @03 + 4995.50ns INFO [00004997] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B + 4995.50ns INFO [00004997] Port=1 RD @06 + 4996.50ns INFO [00004998] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74 + 4996.50ns INFO [00004998] Port=0 RD @08 + 4996.50ns INFO [00004998] Port=1 RD @11 + 4997.50ns INFO [00004999] * RD COMPARE * port=1 adr=06 act=3A9E858F exp=3A9E858F + 4997.50ns INFO [00004999] Port=0 WR @10=507798CB + 4998.00ns INFO [00005000] [00005000] ...tick... + 4998.50ns INFO [00005000] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 4998.50ns INFO [00005000] * RD COMPARE * port=1 adr=11 act=1DEE5E8E exp=1DEE5E8E + 4998.50ns INFO [00005000] Port=0 RD @10 + 4998.50ns INFO [00005000] Port=1 RD @05 + 4999.50ns INFO [00005001] Port=0 RD @04 + 5000.50ns INFO [00005002] * RD COMPARE * port=0 adr=10 act=507798CB exp=507798CB + 5000.50ns INFO [00005002] * RD COMPARE * port=1 adr=05 act=13E832F2 exp=13E832F2 + 5000.50ns INFO [00005002] Port=0 WR @01=E207E633 + 5001.50ns INFO [00005003] * RD COMPARE * port=0 adr=04 act=FBB8221C exp=FBB8221C + 5001.50ns INFO [00005003] Port=0 WR @05=124911EA + 5002.50ns INFO [00005004] Port=0 WR @1A=B8BFE2B6 + 5003.50ns INFO [00005005] Port=1 RD @17 + 5004.50ns INFO [00005006] Port=0 WR @1E=1230871A + 5005.50ns INFO [00005007] * RD COMPARE * port=1 adr=17 act=5D34D111 exp=5D34D111 + 5005.50ns INFO [00005007] Port=0 RD @06 + 5005.50ns INFO [00005007] Port=1 RD @06 + 5006.50ns INFO [00005008] Port=0 WR @02=4165C672 + 5007.50ns INFO [00005009] * RD COMPARE * port=0 adr=06 act=3A9E858F exp=3A9E858F + 5007.50ns INFO [00005009] * RD COMPARE * port=1 adr=06 act=3A9E858F exp=3A9E858F + 5007.50ns INFO [00005009] Port=1 RD @0E + 5008.50ns INFO [00005010] Port=0 WR @0A=6B567526 + 5008.50ns INFO [00005010] Port=0 RD @1F + 5008.50ns INFO [00005010] Port=1 RD @1C + 5009.50ns INFO [00005011] * RD COMPARE * port=1 adr=0E act=628D7F14 exp=628D7F14 + 5009.50ns INFO [00005011] Port=0 WR @18=1FC3B050 + 5010.50ns INFO [00005012] * RD COMPARE * port=0 adr=1F act=8289EAFA exp=8289EAFA + 5010.50ns INFO [00005012] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B + 5010.50ns INFO [00005012] Port=0 WR @00=B7A47A92 + 5011.50ns INFO [00005013] Port=0 WR @17=333FA6A2 + 5011.50ns INFO [00005013] Port=0 RD @01 + 5011.50ns INFO [00005013] Port=1 RD @08 + 5012.50ns INFO [00005014] Port=0 WR @0B=1AF78B9D + 5012.50ns INFO [00005014] Port=1 RD @1E + 5013.50ns INFO [00005015] * RD COMPARE * port=0 adr=01 act=E207E633 exp=E207E633 + 5013.50ns INFO [00005015] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 5013.50ns INFO [00005015] Port=0 WR @01=F8D34F80 + 5013.50ns INFO [00005015] Port=1 RD @17 + 5014.50ns INFO [00005016] * RD COMPARE * port=1 adr=1E act=1230871A exp=1230871A + 5015.50ns INFO [00005017] * RD COMPARE * port=1 adr=17 act=333FA6A2 exp=333FA6A2 + 5015.50ns INFO [00005017] Port=0 RD @18 + 5015.50ns INFO [00005017] Port=1 RD @18 + 5016.50ns INFO [00005018] Port=0 WR @1F=0653DB11 + 5017.50ns INFO [00005019] * RD COMPARE * port=0 adr=18 act=1FC3B050 exp=1FC3B050 + 5017.50ns INFO [00005019] * RD COMPARE * port=1 adr=18 act=1FC3B050 exp=1FC3B050 + 5017.50ns INFO [00005019] Port=0 RD @03 + 5018.50ns INFO [00005020] Port=0 WR @0B=DCAE6297 + 5019.50ns INFO [00005021] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74 + 5019.50ns INFO [00005021] Port=0 WR @07=A0912676 + 5020.50ns INFO [00005022] Port=0 WR @0E=AF80C38C + 5020.50ns INFO [00005022] Port=1 RD @03 + 5022.50ns INFO [00005024] * RD COMPARE * port=1 adr=03 act=F28A1B74 exp=F28A1B74 + 5024.50ns INFO [00005026] Port=0 WR @19=499964C4 + 5024.50ns INFO [00005026] Port=0 RD @15 + 5025.50ns INFO [00005027] Port=1 RD @13 + 5026.50ns INFO [00005028] * RD COMPARE * port=0 adr=15 act=80EBEA7F exp=80EBEA7F + 5027.50ns INFO [00005029] * RD COMPARE * port=1 adr=13 act=C945AD8B exp=C945AD8B + 5027.50ns INFO [00005029] Port=0 RD @03 + 5028.50ns INFO [00005030] Port=0 WR @0F=85785028 + 5028.50ns INFO [00005030] Port=0 RD @01 + 5029.50ns INFO [00005031] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74 + 5029.50ns INFO [00005031] Port=1 RD @08 + 5030.50ns INFO [00005032] * RD COMPARE * port=0 adr=01 act=F8D34F80 exp=F8D34F80 + 5031.50ns INFO [00005033] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 5031.50ns INFO [00005033] Port=0 RD @1E + 5032.50ns INFO [00005034] Port=0 RD @19 + 5033.50ns INFO [00005035] * RD COMPARE * port=0 adr=1E act=1230871A exp=1230871A + 5033.50ns INFO [00005035] Port=0 RD @18 + 5034.50ns INFO [00005036] * RD COMPARE * port=0 adr=19 act=499964C4 exp=499964C4 + 5034.50ns INFO [00005036] Port=1 RD @1F + 5035.50ns INFO [00005037] * RD COMPARE * port=0 adr=18 act=1FC3B050 exp=1FC3B050 + 5035.50ns INFO [00005037] Port=0 WR @0A=197AD9D4 + 5035.50ns INFO [00005037] Port=1 RD @12 + 5036.50ns INFO [00005038] * RD COMPARE * port=1 adr=1F act=0653DB11 exp=0653DB11 + 5037.50ns INFO [00005039] * RD COMPARE * port=1 adr=12 act=8BC017CC exp=8BC017CC + 5037.50ns INFO [00005039] Port=0 WR @15=25416884 + 5037.50ns INFO [00005039] Port=1 RD @10 + 5038.50ns INFO [00005040] Port=0 WR @10=7B43BD66 + 5038.50ns INFO [00005040] Port=0 RD @0D + 5038.50ns INFO [00005040] Port=1 RD @09 + 5039.50ns INFO [00005041] * RD COMPARE * port=1 adr=10 act=507798CB exp=507798CB + 5039.50ns INFO [00005041] Port=0 WR @01=D786AAC3 + 5039.50ns INFO [00005041] Port=1 RD @14 + 5040.50ns INFO [00005042] * RD COMPARE * port=0 adr=0D act=E6C3A1E4 exp=E6C3A1E4 + 5040.50ns INFO [00005042] * RD COMPARE * port=1 adr=09 act=77AD18D7 exp=77AD18D7 + 5040.50ns INFO [00005042] Port=1 RD @01 + 5041.50ns INFO [00005043] * RD COMPARE * port=1 adr=14 act=5EBA21DB exp=5EBA21DB + 5041.50ns INFO [00005043] Port=1 RD @11 + 5042.50ns INFO [00005044] * RD COMPARE * port=1 adr=01 act=D786AAC3 exp=D786AAC3 + 5043.50ns INFO [00005045] * RD COMPARE * port=1 adr=11 act=1DEE5E8E exp=1DEE5E8E + 5044.50ns INFO [00005046] Port=1 RD @0D + 5045.50ns INFO [00005047] Port=0 WR @17=250F1FB1 + 5045.50ns INFO [00005047] Port=1 RD @0B + 5046.50ns INFO [00005048] * RD COMPARE * port=1 adr=0D act=E6C3A1E4 exp=E6C3A1E4 + 5046.50ns INFO [00005048] Port=1 RD @06 + 5047.50ns INFO [00005049] * RD COMPARE * port=1 adr=0B act=DCAE6297 exp=DCAE6297 + 5048.50ns INFO [00005050] * RD COMPARE * port=1 adr=06 act=3A9E858F exp=3A9E858F + 5049.50ns INFO [00005051] Port=0 WR @0F=FC444DE3 + 5052.50ns INFO [00005054] Port=0 WR @0B=5957F46A + 5052.50ns INFO [00005054] Port=0 RD @0F + 5053.50ns INFO [00005055] Port=0 WR @18=B54E489D + 5054.50ns INFO [00005056] * RD COMPARE * port=0 adr=0F act=FC444DE3 exp=FC444DE3 + 5054.50ns INFO [00005056] Port=1 RD @06 + 5055.50ns INFO [00005057] Port=0 RD @05 + 5055.50ns INFO [00005057] Port=1 RD @1F + 5056.50ns INFO [00005058] * RD COMPARE * port=1 adr=06 act=3A9E858F exp=3A9E858F + 5056.50ns INFO [00005058] Port=0 WR @12=4AB91F32 + 5056.50ns INFO [00005058] Port=1 RD @1D + 5057.50ns INFO [00005059] * RD COMPARE * port=0 adr=05 act=124911EA exp=124911EA + 5057.50ns INFO [00005059] * RD COMPARE * port=1 adr=1F act=0653DB11 exp=0653DB11 + 5057.50ns INFO [00005059] Port=0 RD @07 + 5057.50ns INFO [00005059] Port=1 RD @00 + 5058.50ns INFO [00005060] * RD COMPARE * port=1 adr=1D act=13F6CDC4 exp=13F6CDC4 + 5058.50ns INFO [00005060] Port=0 RD @04 + 5058.50ns INFO [00005060] Port=1 RD @1C + 5059.50ns INFO [00005061] * RD COMPARE * port=0 adr=07 act=A0912676 exp=A0912676 + 5059.50ns INFO [00005061] * RD COMPARE * port=1 adr=00 act=B7A47A92 exp=B7A47A92 + 5059.50ns INFO [00005061] Port=1 RD @0B + 5060.50ns INFO [00005062] * RD COMPARE * port=0 adr=04 act=FBB8221C exp=FBB8221C + 5060.50ns INFO [00005062] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B + 5060.50ns INFO [00005062] Port=0 WR @05=0750E0DE + 5060.50ns INFO [00005062] Port=0 RD @1F + 5060.50ns INFO [00005062] Port=1 RD @1C + 5061.50ns INFO [00005063] * RD COMPARE * port=1 adr=0B act=5957F46A exp=5957F46A + 5061.50ns INFO [00005063] Port=0 RD @15 + 5062.50ns INFO [00005064] * RD COMPARE * port=0 adr=1F act=0653DB11 exp=0653DB11 + 5062.50ns INFO [00005064] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B + 5062.50ns INFO [00005064] Port=0 WR @0F=6058DCD6 + 5063.50ns INFO [00005065] * RD COMPARE * port=0 adr=15 act=25416884 exp=25416884 + 5063.50ns INFO [00005065] Port=0 WR @02=3D456E91 + 5063.50ns INFO [00005065] Port=0 RD @1C + 5063.50ns INFO [00005065] Port=1 RD @00 + 5064.50ns INFO [00005066] Port=0 WR @1C=6CA5F5A1 + 5064.50ns INFO [00005066] Port=0 RD @0D + 5065.50ns INFO [00005067] * RD COMPARE * port=0 adr=1C act=9B3A4A9B exp=9B3A4A9B + 5065.50ns INFO [00005067] * RD COMPARE * port=1 adr=00 act=B7A47A92 exp=B7A47A92 + 5066.50ns INFO [00005068] * RD COMPARE * port=0 adr=0D act=E6C3A1E4 exp=E6C3A1E4 + 5066.50ns INFO [00005068] Port=0 WR @16=C7BFAFE2 + 5066.50ns INFO [00005068] Port=0 RD @05 + 5066.50ns INFO [00005068] Port=1 RD @1E + 5067.50ns INFO [00005069] Port=0 WR @1B=152D4C36 + 5068.50ns INFO [00005070] * RD COMPARE * port=0 adr=05 act=0750E0DE exp=0750E0DE + 5068.50ns INFO [00005070] * RD COMPARE * port=1 adr=1E act=1230871A exp=1230871A + 5068.50ns INFO [00005070] Port=0 RD @0E + 5069.50ns INFO [00005071] Port=0 WR @06=30E93D07 + 5070.50ns INFO [00005072] * RD COMPARE * port=0 adr=0E act=AF80C38C exp=AF80C38C + 5070.50ns INFO [00005072] Port=0 WR @05=EE4D5E5B + 5070.50ns INFO [00005072] Port=1 RD @1C + 5071.50ns INFO [00005073] Port=0 WR @0A=AB1D3F11 + 5071.50ns INFO [00005073] Port=1 RD @00 + 5072.50ns INFO [00005074] * RD COMPARE * port=1 adr=1C act=6CA5F5A1 exp=6CA5F5A1 + 5072.50ns INFO [00005074] Port=1 RD @0A + 5073.50ns INFO [00005075] * RD COMPARE * port=1 adr=00 act=B7A47A92 exp=B7A47A92 + 5073.50ns INFO [00005075] Port=0 WR @0B=D914EA52 + 5073.50ns INFO [00005075] Port=0 RD @08 + 5073.50ns INFO [00005075] Port=1 RD @14 + 5074.50ns INFO [00005076] * RD COMPARE * port=1 adr=0A act=AB1D3F11 exp=AB1D3F11 + 5075.50ns INFO [00005077] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 5075.50ns INFO [00005077] * RD COMPARE * port=1 adr=14 act=5EBA21DB exp=5EBA21DB + 5077.50ns INFO [00005079] Port=0 WR @04=54478986 + 5078.50ns INFO [00005080] Port=0 WR @0C=BAE15181 + 5079.50ns INFO [00005081] Port=0 WR @04=CF78DD2B + 5079.50ns INFO [00005081] Port=0 RD @17 + 5080.50ns INFO [00005082] Port=0 WR @09=D63DAB53 + 5081.50ns INFO [00005083] * RD COMPARE * port=0 adr=17 act=250F1FB1 exp=250F1FB1 + 5082.50ns INFO [00005084] Port=0 WR @00=EE3ADC83 + 5082.50ns INFO [00005084] Port=1 RD @12 + 5083.50ns INFO [00005085] Port=0 WR @00=5FCA3FDC + 5083.50ns INFO [00005085] Port=1 RD @13 + 5084.50ns INFO [00005086] * RD COMPARE * port=1 adr=12 act=4AB91F32 exp=4AB91F32 + 5084.50ns INFO [00005086] Port=0 RD @0B + 5085.50ns INFO [00005087] * RD COMPARE * port=1 adr=13 act=C945AD8B exp=C945AD8B + 5085.50ns INFO [00005087] Port=0 WR @0C=A2313E57 + 5085.50ns INFO [00005087] Port=0 RD @0A + 5085.50ns INFO [00005087] Port=1 RD @0F + 5086.50ns INFO [00005088] * RD COMPARE * port=0 adr=0B act=D914EA52 exp=D914EA52 + 5086.50ns INFO [00005088] Port=1 RD @19 + 5087.50ns INFO [00005089] * RD COMPARE * port=0 adr=0A act=AB1D3F11 exp=AB1D3F11 + 5087.50ns INFO [00005089] * RD COMPARE * port=1 adr=0F act=6058DCD6 exp=6058DCD6 + 5088.50ns INFO [00005090] * RD COMPARE * port=1 adr=19 act=499964C4 exp=499964C4 + 5089.50ns INFO [00005091] Port=0 RD @08 + 5090.50ns INFO [00005092] Port=0 WR @1A=F9011864 + 5091.50ns INFO [00005093] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 5091.50ns INFO [00005093] Port=0 WR @11=41BB7566 + 5091.50ns INFO [00005093] Port=0 RD @08 + 5092.50ns INFO [00005094] Port=0 WR @18=9D6848D1 + 5092.50ns INFO [00005094] Port=1 RD @02 + 5093.50ns INFO [00005095] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 5093.50ns INFO [00005095] Port=0 RD @04 + 5093.50ns INFO [00005095] Port=1 RD @05 + 5094.50ns INFO [00005096] * RD COMPARE * port=1 adr=02 act=3D456E91 exp=3D456E91 + 5095.50ns INFO [00005097] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5095.50ns INFO [00005097] * RD COMPARE * port=1 adr=05 act=EE4D5E5B exp=EE4D5E5B + 5095.50ns INFO [00005097] Port=0 WR @12=916D03D7 + 5095.50ns INFO [00005097] Port=0 RD @00 + 5095.50ns INFO [00005097] Port=1 RD @01 + 5096.50ns INFO [00005098] Port=0 WR @15=8A37821F + 5097.50ns INFO [00005099] * RD COMPARE * port=0 adr=00 act=5FCA3FDC exp=5FCA3FDC + 5097.50ns INFO [00005099] * RD COMPARE * port=1 adr=01 act=D786AAC3 exp=D786AAC3 + 5097.50ns INFO [00005099] Port=0 WR @05=8CAC597B + 5097.50ns INFO [00005099] Port=0 RD @14 + 5098.00ns INFO [00005100] [00005100] ...tick... + 5098.50ns INFO [00005100] Port=0 RD @0D + 5099.50ns INFO [00005101] * RD COMPARE * port=0 adr=14 act=5EBA21DB exp=5EBA21DB + 5099.50ns INFO [00005101] Port=0 WR @19=F2046953 + 5099.50ns INFO [00005101] Port=1 RD @1E + 5100.50ns INFO [00005102] * RD COMPARE * port=0 adr=0D act=E6C3A1E4 exp=E6C3A1E4 + 5100.50ns INFO [00005102] Port=1 RD @17 + 5101.50ns INFO [00005103] * RD COMPARE * port=1 adr=1E act=1230871A exp=1230871A + 5101.50ns INFO [00005103] Port=0 WR @0D=B90633DF + 5101.50ns INFO [00005103] Port=0 RD @0B + 5102.50ns INFO [00005104] * RD COMPARE * port=1 adr=17 act=250F1FB1 exp=250F1FB1 + 5102.50ns INFO [00005104] Port=0 WR @16=A79E9597 + 5102.50ns INFO [00005104] Port=1 RD @1C + 5103.50ns INFO [00005105] * RD COMPARE * port=0 adr=0B act=D914EA52 exp=D914EA52 + 5104.50ns INFO [00005106] * RD COMPARE * port=1 adr=1C act=6CA5F5A1 exp=6CA5F5A1 + 5106.50ns INFO [00005108] Port=0 RD @04 + 5106.50ns INFO [00005108] Port=1 RD @15 + 5107.50ns INFO [00005109] Port=0 RD @0A + 5107.50ns INFO [00005109] Port=1 RD @0A + 5108.50ns INFO [00005110] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5108.50ns INFO [00005110] * RD COMPARE * port=1 adr=15 act=8A37821F exp=8A37821F + 5108.50ns INFO [00005110] Port=0 WR @1A=F9B2D430 + 5108.50ns INFO [00005110] Port=0 RD @00 + 5109.50ns INFO [00005111] * RD COMPARE * port=0 adr=0A act=AB1D3F11 exp=AB1D3F11 + 5109.50ns INFO [00005111] * RD COMPARE * port=1 adr=0A act=AB1D3F11 exp=AB1D3F11 + 5109.50ns INFO [00005111] Port=0 RD @13 + 5109.50ns INFO [00005111] Port=1 RD @02 + 5110.50ns INFO [00005112] * RD COMPARE * port=0 adr=00 act=5FCA3FDC exp=5FCA3FDC + 5110.50ns INFO [00005112] Port=0 RD @16 + 5111.50ns INFO [00005113] * RD COMPARE * port=0 adr=13 act=C945AD8B exp=C945AD8B + 5111.50ns INFO [00005113] * RD COMPARE * port=1 adr=02 act=3D456E91 exp=3D456E91 + 5111.50ns INFO [00005113] Port=0 RD @04 + 5111.50ns INFO [00005113] Port=1 RD @15 + 5112.50ns INFO [00005114] * RD COMPARE * port=0 adr=16 act=A79E9597 exp=A79E9597 + 5112.50ns INFO [00005114] Port=0 RD @08 + 5112.50ns INFO [00005114] Port=1 RD @07 + 5113.50ns INFO [00005115] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5113.50ns INFO [00005115] * RD COMPARE * port=1 adr=15 act=8A37821F exp=8A37821F + 5114.50ns INFO [00005116] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 5114.50ns INFO [00005116] * RD COMPARE * port=1 adr=07 act=A0912676 exp=A0912676 + 5115.50ns INFO [00005117] Port=0 RD @02 + 5115.50ns INFO [00005117] Port=1 RD @0B + 5117.50ns INFO [00005119] * RD COMPARE * port=0 adr=02 act=3D456E91 exp=3D456E91 + 5117.50ns INFO [00005119] * RD COMPARE * port=1 adr=0B act=D914EA52 exp=D914EA52 + 5117.50ns INFO [00005119] Port=1 RD @1A + 5118.50ns INFO [00005120] Port=0 RD @1F + 5118.50ns INFO [00005120] Port=1 RD @1A + 5119.50ns INFO [00005121] * RD COMPARE * port=1 adr=1A act=F9B2D430 exp=F9B2D430 + 5120.50ns INFO [00005122] * RD COMPARE * port=0 adr=1F act=0653DB11 exp=0653DB11 + 5120.50ns INFO [00005122] * RD COMPARE * port=1 adr=1A act=F9B2D430 exp=F9B2D430 + 5120.50ns INFO [00005122] Port=0 RD @1A + 5121.50ns INFO [00005123] Port=0 WR @1B=37C6E50E + 5121.50ns INFO [00005123] Port=0 RD @0C + 5121.50ns INFO [00005123] Port=1 RD @0A + 5122.50ns INFO [00005124] * RD COMPARE * port=0 adr=1A act=F9B2D430 exp=F9B2D430 + 5122.50ns INFO [00005124] Port=0 RD @07 + 5123.50ns INFO [00005125] * RD COMPARE * port=0 adr=0C act=A2313E57 exp=A2313E57 + 5123.50ns INFO [00005125] * RD COMPARE * port=1 adr=0A act=AB1D3F11 exp=AB1D3F11 + 5123.50ns INFO [00005125] Port=1 RD @0A + 5124.50ns INFO [00005126] * RD COMPARE * port=0 adr=07 act=A0912676 exp=A0912676 + 5124.50ns INFO [00005126] Port=0 RD @01 + 5125.50ns INFO [00005127] * RD COMPARE * port=1 adr=0A act=AB1D3F11 exp=AB1D3F11 + 5125.50ns INFO [00005127] Port=0 RD @1B + 5126.50ns INFO [00005128] * RD COMPARE * port=0 adr=01 act=D786AAC3 exp=D786AAC3 + 5127.50ns INFO [00005129] * RD COMPARE * port=0 adr=1B act=37C6E50E exp=37C6E50E + 5128.50ns INFO [00005130] Port=1 RD @04 + 5129.50ns INFO [00005131] Port=0 WR @14=15F1808C + 5129.50ns INFO [00005131] Port=1 RD @0D + 5130.50ns INFO [00005132] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B + 5130.50ns INFO [00005132] Port=1 RD @0F + 5131.50ns INFO [00005133] * RD COMPARE * port=1 adr=0D act=B90633DF exp=B90633DF + 5132.50ns INFO [00005134] * RD COMPARE * port=1 adr=0F act=6058DCD6 exp=6058DCD6 + 5132.50ns INFO [00005134] Port=0 WR @06=6EC146C4 + 5133.50ns INFO [00005135] Port=0 WR @1D=7A58EE09 + 5133.50ns INFO [00005135] Port=0 RD @10 + 5133.50ns INFO [00005135] Port=1 RD @16 + 5135.50ns INFO [00005137] * RD COMPARE * port=0 adr=10 act=7B43BD66 exp=7B43BD66 + 5135.50ns INFO [00005137] * RD COMPARE * port=1 adr=16 act=A79E9597 exp=A79E9597 + 5136.50ns INFO [00005138] Port=0 WR @15=6DB32A7C + 5136.50ns INFO [00005138] Port=1 RD @0B + 5137.50ns INFO [00005139] Port=1 RD @0F + 5138.50ns INFO [00005140] * RD COMPARE * port=1 adr=0B act=D914EA52 exp=D914EA52 + 5138.50ns INFO [00005140] Port=0 WR @0C=4778169C + 5139.50ns INFO [00005141] * RD COMPARE * port=1 adr=0F act=6058DCD6 exp=6058DCD6 + 5140.50ns INFO [00005142] Port=0 WR @1B=F0746763 + 5140.50ns INFO [00005142] Port=1 RD @03 + 5141.50ns INFO [00005143] Port=0 RD @06 + 5142.50ns INFO [00005144] * RD COMPARE * port=1 adr=03 act=F28A1B74 exp=F28A1B74 + 5142.50ns INFO [00005144] Port=0 WR @19=C40F3217 + 5143.50ns INFO [00005145] * RD COMPARE * port=0 adr=06 act=6EC146C4 exp=6EC146C4 + 5143.50ns INFO [00005145] Port=0 WR @0A=19D5F612 + 5144.50ns INFO [00005146] Port=1 RD @05 + 5145.50ns INFO [00005147] Port=0 RD @13 + 5145.50ns INFO [00005147] Port=1 RD @1B + 5146.50ns INFO [00005148] * RD COMPARE * port=1 adr=05 act=8CAC597B exp=8CAC597B + 5146.50ns INFO [00005148] Port=0 RD @00 + 5146.50ns INFO [00005148] Port=1 RD @0B + 5147.50ns INFO [00005149] * RD COMPARE * port=0 adr=13 act=C945AD8B exp=C945AD8B + 5147.50ns INFO [00005149] * RD COMPARE * port=1 adr=1B act=F0746763 exp=F0746763 + 5147.50ns INFO [00005149] Port=0 WR @0E=D4ACDA0E + 5147.50ns INFO [00005149] Port=0 RD @1A + 5148.50ns INFO [00005150] * RD COMPARE * port=0 adr=00 act=5FCA3FDC exp=5FCA3FDC + 5148.50ns INFO [00005150] * RD COMPARE * port=1 adr=0B act=D914EA52 exp=D914EA52 + 5148.50ns INFO [00005150] Port=0 WR @06=FEEF971F + 5149.50ns INFO [00005151] * RD COMPARE * port=0 adr=1A act=F9B2D430 exp=F9B2D430 + 5149.50ns INFO [00005151] Port=0 WR @02=64648A11 + 5149.50ns INFO [00005151] Port=0 RD @07 + 5149.50ns INFO [00005151] Port=1 RD @04 + 5150.50ns INFO [00005152] Port=0 WR @06=830A6277 + 5151.50ns INFO [00005153] * RD COMPARE * port=0 adr=07 act=A0912676 exp=A0912676 + 5151.50ns INFO [00005153] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B + 5151.50ns INFO [00005153] Port=0 RD @14 + 5151.50ns INFO [00005153] Port=1 RD @12 + 5152.50ns INFO [00005154] Port=0 WR @05=D2AF43CE + 5153.50ns INFO [00005155] * RD COMPARE * port=0 adr=14 act=15F1808C exp=15F1808C + 5153.50ns INFO [00005155] * RD COMPARE * port=1 adr=12 act=916D03D7 exp=916D03D7 + 5154.50ns INFO [00005156] Port=0 RD @13 + 5155.50ns INFO [00005157] Port=1 RD @1B + 5156.50ns INFO [00005158] * RD COMPARE * port=0 adr=13 act=C945AD8B exp=C945AD8B + 5156.50ns INFO [00005158] Port=0 WR @0D=BBE3A913 + 5156.50ns INFO [00005158] Port=1 RD @16 + 5157.50ns INFO [00005159] * RD COMPARE * port=1 adr=1B act=F0746763 exp=F0746763 + 5157.50ns INFO [00005159] Port=0 WR @15=74413D66 + 5157.50ns INFO [00005159] Port=0 RD @0C + 5157.50ns INFO [00005159] Port=1 RD @16 + 5158.50ns INFO [00005160] * RD COMPARE * port=1 adr=16 act=A79E9597 exp=A79E9597 + 5158.50ns INFO [00005160] Port=0 RD @1D + 5158.50ns INFO [00005160] Port=1 RD @1F + 5159.50ns INFO [00005161] * RD COMPARE * port=0 adr=0C act=4778169C exp=4778169C + 5159.50ns INFO [00005161] * RD COMPARE * port=1 adr=16 act=A79E9597 exp=A79E9597 + 5160.50ns INFO [00005162] * RD COMPARE * port=0 adr=1D act=7A58EE09 exp=7A58EE09 + 5160.50ns INFO [00005162] * RD COMPARE * port=1 adr=1F act=0653DB11 exp=0653DB11 + 5160.50ns INFO [00005162] Port=0 WR @0F=637C1268 + 5161.50ns INFO [00005163] Port=0 WR @1C=2A6AE6A7 + 5161.50ns INFO [00005163] Port=0 RD @05 + 5162.50ns INFO [00005164] Port=0 RD @06 + 5163.50ns INFO [00005165] * RD COMPARE * port=0 adr=05 act=D2AF43CE exp=D2AF43CE + 5163.50ns INFO [00005165] Port=0 WR @15=806A0542 + 5163.50ns INFO [00005165] Port=0 RD @0B + 5164.50ns INFO [00005166] * RD COMPARE * port=0 adr=06 act=830A6277 exp=830A6277 + 5164.50ns INFO [00005166] Port=0 RD @10 + 5165.50ns INFO [00005167] * RD COMPARE * port=0 adr=0B act=D914EA52 exp=D914EA52 + 5165.50ns INFO [00005167] Port=0 WR @05=3EDC8182 + 5165.50ns INFO [00005167] Port=1 RD @16 + 5166.50ns INFO [00005168] * RD COMPARE * port=0 adr=10 act=7B43BD66 exp=7B43BD66 + 5166.50ns INFO [00005168] Port=1 RD @1F + 5167.50ns INFO [00005169] * RD COMPARE * port=1 adr=16 act=A79E9597 exp=A79E9597 + 5168.50ns INFO [00005170] * RD COMPARE * port=1 adr=1F act=0653DB11 exp=0653DB11 + 5168.50ns INFO [00005170] Port=0 RD @0E + 5168.50ns INFO [00005170] Port=1 RD @0C + 5169.50ns INFO [00005171] Port=0 WR @0F=9FCEC08C + 5169.50ns INFO [00005171] Port=0 RD @11 + 5170.50ns INFO [00005172] * RD COMPARE * port=0 adr=0E act=D4ACDA0E exp=D4ACDA0E + 5170.50ns INFO [00005172] * RD COMPARE * port=1 adr=0C act=4778169C exp=4778169C + 5170.50ns INFO [00005172] Port=1 RD @08 + 5171.50ns INFO [00005173] * RD COMPARE * port=0 adr=11 act=41BB7566 exp=41BB7566 + 5171.50ns INFO [00005173] Port=1 RD @02 + 5172.50ns INFO [00005174] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 5172.50ns INFO [00005174] Port=0 RD @03 + 5172.50ns INFO [00005174] Port=1 RD @1F + 5173.50ns INFO [00005175] * RD COMPARE * port=1 adr=02 act=64648A11 exp=64648A11 + 5173.50ns INFO [00005175] Port=0 WR @14=AAE07A69 + 5173.50ns INFO [00005175] Port=0 RD @1B + 5174.50ns INFO [00005176] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74 + 5174.50ns INFO [00005176] * RD COMPARE * port=1 adr=1F act=0653DB11 exp=0653DB11 + 5174.50ns INFO [00005176] Port=0 WR @1F=6D1E4044 + 5174.50ns INFO [00005176] Port=0 RD @07 + 5175.50ns INFO [00005177] * RD COMPARE * port=0 adr=1B act=F0746763 exp=F0746763 + 5176.50ns INFO [00005178] * RD COMPARE * port=0 adr=07 act=A0912676 exp=A0912676 + 5176.50ns INFO [00005178] Port=0 WR @11=290A98D8 + 5177.50ns INFO [00005179] Port=0 WR @13=14B3AC77 + 5177.50ns INFO [00005179] Port=0 RD @11 + 5178.50ns INFO [00005180] Port=0 WR @14=E59C5762 + 5178.50ns INFO [00005180] Port=0 RD @15 + 5179.50ns INFO [00005181] * RD COMPARE * port=0 adr=11 act=290A98D8 exp=290A98D8 + 5179.50ns INFO [00005181] Port=0 WR @1B=232B42C7 + 5179.50ns INFO [00005181] Port=0 RD @0F + 5180.50ns INFO [00005182] * RD COMPARE * port=0 adr=15 act=806A0542 exp=806A0542 + 5180.50ns INFO [00005182] Port=0 WR @0A=E0657826 + 5180.50ns INFO [00005182] Port=1 RD @02 + 5181.50ns INFO [00005183] * RD COMPARE * port=0 adr=0F act=9FCEC08C exp=9FCEC08C + 5181.50ns INFO [00005183] Port=0 WR @16=D608B71E + 5181.50ns INFO [00005183] Port=0 RD @0B + 5182.50ns INFO [00005184] * RD COMPARE * port=1 adr=02 act=64648A11 exp=64648A11 + 5182.50ns INFO [00005184] Port=0 RD @19 + 5183.50ns INFO [00005185] * RD COMPARE * port=0 adr=0B act=D914EA52 exp=D914EA52 + 5183.50ns INFO [00005185] Port=0 WR @06=7AB9AC9C + 5183.50ns INFO [00005185] Port=1 RD @0D + 5184.50ns INFO [00005186] * RD COMPARE * port=0 adr=19 act=C40F3217 exp=C40F3217 + 5184.50ns INFO [00005186] Port=0 RD @03 + 5185.50ns INFO [00005187] * RD COMPARE * port=1 adr=0D act=BBE3A913 exp=BBE3A913 + 5185.50ns INFO [00005187] Port=0 RD @1E + 5186.50ns INFO [00005188] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74 + 5186.50ns INFO [00005188] Port=0 RD @1B + 5187.50ns INFO [00005189] * RD COMPARE * port=0 adr=1E act=1230871A exp=1230871A + 5187.50ns INFO [00005189] Port=1 RD @1C + 5188.50ns INFO [00005190] * RD COMPARE * port=0 adr=1B act=232B42C7 exp=232B42C7 + 5188.50ns INFO [00005190] Port=0 WR @17=F455461E + 5188.50ns INFO [00005190] Port=0 RD @12 + 5189.50ns INFO [00005191] * RD COMPARE * port=1 adr=1C act=2A6AE6A7 exp=2A6AE6A7 + 5190.50ns INFO [00005192] * RD COMPARE * port=0 adr=12 act=916D03D7 exp=916D03D7 + 5191.50ns INFO [00005193] Port=0 WR @1E=ADDA8028 + 5193.50ns INFO [00005195] Port=0 WR @0D=5DAC4430 + 5194.50ns INFO [00005196] Port=0 WR @09=DE076290 + 5194.50ns INFO [00005196] Port=0 RD @0F + 5195.50ns INFO [00005197] Port=0 RD @08 + 5196.50ns INFO [00005198] * RD COMPARE * port=0 adr=0F act=9FCEC08C exp=9FCEC08C + 5196.50ns INFO [00005198] Port=0 WR @0A=421E29B0 + 5196.50ns INFO [00005198] Port=0 RD @02 + 5197.50ns INFO [00005199] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 5198.00ns INFO [00005200] [00005200] ...tick... + 5198.50ns INFO [00005200] * RD COMPARE * port=0 adr=02 act=64648A11 exp=64648A11 + 5199.50ns INFO [00005201] Port=0 RD @0D + 5199.50ns INFO [00005201] Port=1 RD @18 + 5200.50ns INFO [00005202] Port=0 RD @09 + 5200.50ns INFO [00005202] Port=1 RD @0A + 5201.50ns INFO [00005203] * RD COMPARE * port=0 adr=0D act=5DAC4430 exp=5DAC4430 + 5201.50ns INFO [00005203] * RD COMPARE * port=1 adr=18 act=9D6848D1 exp=9D6848D1 + 5202.50ns INFO [00005204] * RD COMPARE * port=0 adr=09 act=DE076290 exp=DE076290 + 5202.50ns INFO [00005204] * RD COMPARE * port=1 adr=0A act=421E29B0 exp=421E29B0 + 5202.50ns INFO [00005204] Port=0 RD @1F + 5203.50ns INFO [00005205] Port=0 WR @0D=7A5BEE1D + 5203.50ns INFO [00005205] Port=0 RD @00 + 5203.50ns INFO [00005205] Port=1 RD @09 + 5204.50ns INFO [00005206] * RD COMPARE * port=0 adr=1F act=6D1E4044 exp=6D1E4044 + 5204.50ns INFO [00005206] Port=0 WR @05=C48E05DD + 5205.50ns INFO [00005207] * RD COMPARE * port=0 adr=00 act=5FCA3FDC exp=5FCA3FDC + 5205.50ns INFO [00005207] * RD COMPARE * port=1 adr=09 act=DE076290 exp=DE076290 + 5206.50ns INFO [00005208] Port=0 WR @0E=0376DB44 + 5206.50ns INFO [00005208] Port=0 RD @0C + 5207.50ns INFO [00005209] Port=0 WR @0B=773B06D1 + 5207.50ns INFO [00005209] Port=1 RD @0A + 5208.50ns INFO [00005210] * RD COMPARE * port=0 adr=0C act=4778169C exp=4778169C + 5208.50ns INFO [00005210] Port=0 RD @08 + 5209.50ns INFO [00005211] * RD COMPARE * port=1 adr=0A act=421E29B0 exp=421E29B0 + 5210.50ns INFO [00005212] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 5211.50ns INFO [00005213] Port=1 RD @09 + 5212.50ns INFO [00005214] Port=0 WR @1B=68E8BBE7 + 5212.50ns INFO [00005214] Port=1 RD @10 + 5213.50ns INFO [00005215] * RD COMPARE * port=1 adr=09 act=DE076290 exp=DE076290 + 5213.50ns INFO [00005215] Port=1 RD @09 + 5214.50ns INFO [00005216] * RD COMPARE * port=1 adr=10 act=7B43BD66 exp=7B43BD66 + 5214.50ns INFO [00005216] Port=0 WR @1C=101D41B8 + 5215.50ns INFO [00005217] * RD COMPARE * port=1 adr=09 act=DE076290 exp=DE076290 + 5215.50ns INFO [00005217] Port=0 RD @11 + 5216.50ns INFO [00005218] Port=0 WR @16=DE36F4A9 + 5216.50ns INFO [00005218] Port=1 RD @1D + 5217.50ns INFO [00005219] * RD COMPARE * port=0 adr=11 act=290A98D8 exp=290A98D8 + 5217.50ns INFO [00005219] Port=0 WR @09=9F621555 + 5217.50ns INFO [00005219] Port=1 RD @02 + 5218.50ns INFO [00005220] * RD COMPARE * port=1 adr=1D act=7A58EE09 exp=7A58EE09 + 5218.50ns INFO [00005220] Port=1 RD @0B + 5219.50ns INFO [00005221] * RD COMPARE * port=1 adr=02 act=64648A11 exp=64648A11 + 5219.50ns INFO [00005221] Port=1 RD @02 + 5220.50ns INFO [00005222] * RD COMPARE * port=1 adr=0B act=773B06D1 exp=773B06D1 + 5220.50ns INFO [00005222] Port=0 WR @1E=6061BB6E + 5220.50ns INFO [00005222] Port=1 RD @00 + 5221.50ns INFO [00005223] * RD COMPARE * port=1 adr=02 act=64648A11 exp=64648A11 + 5221.50ns INFO [00005223] Port=1 RD @10 + 5222.50ns INFO [00005224] * RD COMPARE * port=1 adr=00 act=5FCA3FDC exp=5FCA3FDC + 5222.50ns INFO [00005224] Port=0 WR @1D=2420922B + 5222.50ns INFO [00005224] Port=1 RD @0F + 5223.50ns INFO [00005225] * RD COMPARE * port=1 adr=10 act=7B43BD66 exp=7B43BD66 + 5223.50ns INFO [00005225] Port=0 WR @17=6473000D + 5224.50ns INFO [00005226] * RD COMPARE * port=1 adr=0F act=9FCEC08C exp=9FCEC08C + 5225.50ns INFO [00005227] Port=0 RD @01 + 5226.50ns INFO [00005228] Port=0 RD @16 + 5226.50ns INFO [00005228] Port=1 RD @18 + 5227.50ns INFO [00005229] * RD COMPARE * port=0 adr=01 act=D786AAC3 exp=D786AAC3 + 5227.50ns INFO [00005229] Port=0 RD @12 + 5228.50ns INFO [00005230] * RD COMPARE * port=0 adr=16 act=DE36F4A9 exp=DE36F4A9 + 5228.50ns INFO [00005230] * RD COMPARE * port=1 adr=18 act=9D6848D1 exp=9D6848D1 + 5228.50ns INFO [00005230] Port=0 WR @10=89F2B4DC + 5229.50ns INFO [00005231] * RD COMPARE * port=0 adr=12 act=916D03D7 exp=916D03D7 + 5229.50ns INFO [00005231] Port=0 WR @18=B70E8413 + 5229.50ns INFO [00005231] Port=0 RD @0D + 5229.50ns INFO [00005231] Port=1 RD @1E + 5230.50ns INFO [00005232] Port=0 WR @19=1BA2BACE + 5231.50ns INFO [00005233] * RD COMPARE * port=0 adr=0D act=7A5BEE1D exp=7A5BEE1D + 5231.50ns INFO [00005233] * RD COMPARE * port=1 adr=1E act=6061BB6E exp=6061BB6E + 5231.50ns INFO [00005233] Port=1 RD @1E + 5232.50ns INFO [00005234] Port=0 WR @01=99840CBF + 5233.50ns INFO [00005235] * RD COMPARE * port=1 adr=1E act=6061BB6E exp=6061BB6E + 5233.50ns INFO [00005235] Port=1 RD @02 + 5234.50ns INFO [00005236] Port=0 RD @1B + 5235.50ns INFO [00005237] * RD COMPARE * port=1 adr=02 act=64648A11 exp=64648A11 + 5236.50ns INFO [00005238] * RD COMPARE * port=0 adr=1B act=68E8BBE7 exp=68E8BBE7 + 5237.50ns INFO [00005239] Port=0 RD @0E + 5238.50ns INFO [00005240] Port=0 RD @00 + 5238.50ns INFO [00005240] Port=1 RD @1F + 5239.50ns INFO [00005241] * RD COMPARE * port=0 adr=0E act=0376DB44 exp=0376DB44 + 5239.50ns INFO [00005241] Port=0 WR @13=2409E236 + 5239.50ns INFO [00005241] Port=0 RD @16 + 5240.50ns INFO [00005242] * RD COMPARE * port=0 adr=00 act=5FCA3FDC exp=5FCA3FDC + 5240.50ns INFO [00005242] * RD COMPARE * port=1 adr=1F act=6D1E4044 exp=6D1E4044 + 5240.50ns INFO [00005242] Port=0 WR @0D=F4B54233 + 5240.50ns INFO [00005242] Port=0 RD @0A + 5241.50ns INFO [00005243] * RD COMPARE * port=0 adr=16 act=DE36F4A9 exp=DE36F4A9 + 5241.50ns INFO [00005243] Port=0 RD @0E + 5242.50ns INFO [00005244] * RD COMPARE * port=0 adr=0A act=421E29B0 exp=421E29B0 + 5243.50ns INFO [00005245] * RD COMPARE * port=0 adr=0E act=0376DB44 exp=0376DB44 + 5243.50ns INFO [00005245] Port=0 WR @14=EC2B93BD + 5243.50ns INFO [00005245] Port=1 RD @12 + 5244.50ns INFO [00005246] Port=0 WR @07=50C54CF5 + 5244.50ns INFO [00005246] Port=0 RD @11 + 5245.50ns INFO [00005247] * RD COMPARE * port=1 adr=12 act=916D03D7 exp=916D03D7 + 5245.50ns INFO [00005247] Port=0 WR @13=04DF459D + 5246.50ns INFO [00005248] * RD COMPARE * port=0 adr=11 act=290A98D8 exp=290A98D8 + 5246.50ns INFO [00005248] Port=0 WR @12=1ADED745 + 5246.50ns INFO [00005248] Port=0 RD @08 + 5247.50ns INFO [00005249] Port=0 WR @10=517C4C56 + 5248.50ns INFO [00005250] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 5249.50ns INFO [00005251] Port=1 RD @09 + 5250.50ns INFO [00005252] Port=0 WR @18=64D9AFCB + 5251.50ns INFO [00005253] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555 + 5251.50ns INFO [00005253] Port=0 WR @07=4F8F31B2 + 5252.50ns INFO [00005254] Port=0 WR @02=16D15C8C + 5253.50ns INFO [00005255] Port=0 RD @04 + 5254.50ns INFO [00005256] Port=0 RD @01 + 5255.50ns INFO [00005257] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5255.50ns INFO [00005257] Port=1 RD @10 + 5256.50ns INFO [00005258] * RD COMPARE * port=0 adr=01 act=99840CBF exp=99840CBF + 5256.50ns INFO [00005258] Port=1 RD @1B + 5257.50ns INFO [00005259] * RD COMPARE * port=1 adr=10 act=517C4C56 exp=517C4C56 + 5257.50ns INFO [00005259] Port=1 RD @0A + 5258.50ns INFO [00005260] * RD COMPARE * port=1 adr=1B act=68E8BBE7 exp=68E8BBE7 + 5258.50ns INFO [00005260] Port=0 WR @00=B3F0268E + 5259.50ns INFO [00005261] * RD COMPARE * port=1 adr=0A act=421E29B0 exp=421E29B0 + 5259.50ns INFO [00005261] Port=0 RD @10 + 5261.50ns INFO [00005263] * RD COMPARE * port=0 adr=10 act=517C4C56 exp=517C4C56 + 5262.50ns INFO [00005264] Port=0 WR @13=2FF7BACA + 5263.50ns INFO [00005265] Port=0 RD @1B + 5264.50ns INFO [00005266] Port=0 WR @19=BED9EE99 + 5264.50ns INFO [00005266] Port=0 RD @11 + 5264.50ns INFO [00005266] Port=1 RD @00 + 5265.50ns INFO [00005267] * RD COMPARE * port=0 adr=1B act=68E8BBE7 exp=68E8BBE7 + 5265.50ns INFO [00005267] Port=0 WR @1D=7FE9A539 + 5265.50ns INFO [00005267] Port=1 RD @0D + 5266.50ns INFO [00005268] * RD COMPARE * port=0 adr=11 act=290A98D8 exp=290A98D8 + 5266.50ns INFO [00005268] * RD COMPARE * port=1 adr=00 act=B3F0268E exp=B3F0268E + 5266.50ns INFO [00005268] Port=0 WR @05=2968A70C + 5266.50ns INFO [00005268] Port=0 RD @0E + 5267.50ns INFO [00005269] * RD COMPARE * port=1 adr=0D act=F4B54233 exp=F4B54233 + 5267.50ns INFO [00005269] Port=0 WR @03=F0B6CF3D + 5268.50ns INFO [00005270] * RD COMPARE * port=0 adr=0E act=0376DB44 exp=0376DB44 + 5270.50ns INFO [00005272] Port=0 WR @05=D2541DD9 + 5271.50ns INFO [00005273] Port=0 WR @00=F3401C9D + 5271.50ns INFO [00005273] Port=0 RD @0A + 5273.50ns INFO [00005275] * RD COMPARE * port=0 adr=0A act=421E29B0 exp=421E29B0 + 5273.50ns INFO [00005275] Port=0 WR @0A=4CAD4EB5 + 5273.50ns INFO [00005275] Port=0 RD @04 + 5274.50ns INFO [00005276] Port=0 WR @15=0E3350C9 + 5274.50ns INFO [00005276] Port=0 RD @19 + 5275.50ns INFO [00005277] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5275.50ns INFO [00005277] Port=0 WR @0E=571D8A69 + 5276.50ns INFO [00005278] * RD COMPARE * port=0 adr=19 act=BED9EE99 exp=BED9EE99 + 5277.50ns INFO [00005279] Port=0 WR @12=607CA7C6 + 5277.50ns INFO [00005279] Port=0 RD @02 + 5277.50ns INFO [00005279] Port=1 RD @03 + 5279.50ns INFO [00005281] * RD COMPARE * port=0 adr=02 act=16D15C8C exp=16D15C8C + 5279.50ns INFO [00005281] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D + 5279.50ns INFO [00005281] Port=0 RD @16 + 5279.50ns INFO [00005281] Port=1 RD @08 + 5281.50ns INFO [00005283] * RD COMPARE * port=0 adr=16 act=DE36F4A9 exp=DE36F4A9 + 5281.50ns INFO [00005283] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 5281.50ns INFO [00005283] Port=0 WR @0C=455563B5 + 5281.50ns INFO [00005283] Port=0 RD @1F + 5282.50ns INFO [00005284] Port=0 RD @13 + 5283.50ns INFO [00005285] * RD COMPARE * port=0 adr=1F act=6D1E4044 exp=6D1E4044 + 5283.50ns INFO [00005285] Port=1 RD @04 + 5284.50ns INFO [00005286] * RD COMPARE * port=0 adr=13 act=2FF7BACA exp=2FF7BACA + 5284.50ns INFO [00005286] Port=0 WR @0D=80466522 + 5284.50ns INFO [00005286] Port=1 RD @11 + 5285.50ns INFO [00005287] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B + 5285.50ns INFO [00005287] Port=0 WR @07=D1FB192D + 5285.50ns INFO [00005287] Port=0 RD @15 + 5286.50ns INFO [00005288] * RD COMPARE * port=1 adr=11 act=290A98D8 exp=290A98D8 + 5287.50ns INFO [00005289] * RD COMPARE * port=0 adr=15 act=0E3350C9 exp=0E3350C9 + 5287.50ns INFO [00005289] Port=1 RD @0E + 5288.50ns INFO [00005290] Port=0 WR @05=E7A9212C + 5288.50ns INFO [00005290] Port=0 RD @03 + 5289.50ns INFO [00005291] * RD COMPARE * port=1 adr=0E act=571D8A69 exp=571D8A69 + 5289.50ns INFO [00005291] Port=0 WR @12=0F1C7D3B + 5289.50ns INFO [00005291] Port=1 RD @08 + 5290.50ns INFO [00005292] * RD COMPARE * port=0 adr=03 act=F0B6CF3D exp=F0B6CF3D + 5290.50ns INFO [00005292] Port=0 WR @19=EA25CADF + 5290.50ns INFO [00005292] Port=0 RD @12 + 5290.50ns INFO [00005292] Port=1 RD @17 + 5291.50ns INFO [00005293] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 5292.50ns INFO [00005294] * RD COMPARE * port=0 adr=12 act=0F1C7D3B exp=0F1C7D3B + 5292.50ns INFO [00005294] * RD COMPARE * port=1 adr=17 act=6473000D exp=6473000D + 5293.50ns INFO [00005295] Port=0 WR @0F=21122439 + 5293.50ns INFO [00005295] Port=0 RD @13 + 5294.50ns INFO [00005296] Port=1 RD @16 + 5295.50ns INFO [00005297] * RD COMPARE * port=0 adr=13 act=2FF7BACA exp=2FF7BACA + 5296.50ns INFO [00005298] * RD COMPARE * port=1 adr=16 act=DE36F4A9 exp=DE36F4A9 + 5296.50ns INFO [00005298] Port=1 RD @09 + 5297.50ns INFO [00005299] Port=1 RD @11 + 5298.00ns INFO [00005300] [00005300] ...tick... + 5298.50ns INFO [00005300] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555 + 5298.50ns INFO [00005300] Port=0 WR @1F=1E809DAB + 5298.50ns INFO [00005300] Port=0 RD @17 + 5299.50ns INFO [00005301] * RD COMPARE * port=1 adr=11 act=290A98D8 exp=290A98D8 + 5299.50ns INFO [00005301] Port=0 RD @1A + 5299.50ns INFO [00005301] Port=1 RD @13 + 5300.50ns INFO [00005302] * RD COMPARE * port=0 adr=17 act=6473000D exp=6473000D + 5300.50ns INFO [00005302] Port=0 RD @1B + 5300.50ns INFO [00005302] Port=1 RD @08 + 5301.50ns INFO [00005303] * RD COMPARE * port=0 adr=1A act=F9B2D430 exp=F9B2D430 + 5301.50ns INFO [00005303] * RD COMPARE * port=1 adr=13 act=2FF7BACA exp=2FF7BACA + 5301.50ns INFO [00005303] Port=0 WR @11=0BE4EB55 + 5301.50ns INFO [00005303] Port=1 RD @14 + 5302.50ns INFO [00005304] * RD COMPARE * port=0 adr=1B act=68E8BBE7 exp=68E8BBE7 + 5302.50ns INFO [00005304] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 5302.50ns INFO [00005304] Port=0 RD @1C + 5303.50ns INFO [00005305] * RD COMPARE * port=1 adr=14 act=EC2B93BD exp=EC2B93BD + 5304.50ns INFO [00005306] * RD COMPARE * port=0 adr=1C act=101D41B8 exp=101D41B8 + 5304.50ns INFO [00005306] Port=0 WR @13=C12895B2 + 5305.50ns INFO [00005307] Port=0 WR @05=0A197138 + 5306.50ns INFO [00005308] Port=1 RD @19 + 5307.50ns INFO [00005309] Port=0 RD @0C + 5308.50ns INFO [00005310] * RD COMPARE * port=1 adr=19 act=EA25CADF exp=EA25CADF + 5308.50ns INFO [00005310] Port=1 RD @10 + 5309.50ns INFO [00005311] * RD COMPARE * port=0 adr=0C act=455563B5 exp=455563B5 + 5309.50ns INFO [00005311] Port=0 RD @10 + 5310.50ns INFO [00005312] * RD COMPARE * port=1 adr=10 act=517C4C56 exp=517C4C56 + 5310.50ns INFO [00005312] Port=1 RD @05 + 5311.50ns INFO [00005313] * RD COMPARE * port=0 adr=10 act=517C4C56 exp=517C4C56 + 5312.50ns INFO [00005314] * RD COMPARE * port=1 adr=05 act=0A197138 exp=0A197138 + 5312.50ns INFO [00005314] Port=1 RD @0B + 5313.50ns INFO [00005315] Port=0 WR @1F=2FF4867F + 5314.50ns INFO [00005316] * RD COMPARE * port=1 adr=0B act=773B06D1 exp=773B06D1 + 5314.50ns INFO [00005316] Port=0 RD @1A + 5315.50ns INFO [00005317] Port=0 RD @17 + 5316.50ns INFO [00005318] * RD COMPARE * port=0 adr=1A act=F9B2D430 exp=F9B2D430 + 5316.50ns INFO [00005318] Port=0 WR @07=CCCED6C3 + 5316.50ns INFO [00005318] Port=0 RD @14 + 5317.50ns INFO [00005319] * RD COMPARE * port=0 adr=17 act=6473000D exp=6473000D + 5317.50ns INFO [00005319] Port=0 WR @0B=A64E9634 + 5318.50ns INFO [00005320] * RD COMPARE * port=0 adr=14 act=EC2B93BD exp=EC2B93BD + 5318.50ns INFO [00005320] Port=0 WR @17=5A14250B + 5319.50ns INFO [00005321] Port=0 WR @0E=DE422317 + 5319.50ns INFO [00005321] Port=0 RD @08 + 5320.50ns INFO [00005322] Port=0 RD @16 + 5320.50ns INFO [00005322] Port=1 RD @13 + 5321.50ns INFO [00005323] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 5322.50ns INFO [00005324] * RD COMPARE * port=0 adr=16 act=DE36F4A9 exp=DE36F4A9 + 5322.50ns INFO [00005324] * RD COMPARE * port=1 adr=13 act=C12895B2 exp=C12895B2 + 5322.50ns INFO [00005324] Port=0 WR @01=6FA6561B + 5322.50ns INFO [00005324] Port=1 RD @12 + 5323.50ns INFO [00005325] Port=0 WR @01=89168CE5 + 5324.50ns INFO [00005326] * RD COMPARE * port=1 adr=12 act=0F1C7D3B exp=0F1C7D3B + 5324.50ns INFO [00005326] Port=1 RD @08 + 5325.50ns INFO [00005327] Port=0 WR @02=9E80BA61 + 5325.50ns INFO [00005327] Port=0 RD @15 + 5325.50ns INFO [00005327] Port=1 RD @18 + 5326.50ns INFO [00005328] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 5326.50ns INFO [00005328] Port=0 WR @0D=E0AE7F5D + 5326.50ns INFO [00005328] Port=0 RD @06 + 5327.50ns INFO [00005329] * RD COMPARE * port=0 adr=15 act=0E3350C9 exp=0E3350C9 + 5327.50ns INFO [00005329] * RD COMPARE * port=1 adr=18 act=64D9AFCB exp=64D9AFCB + 5327.50ns INFO [00005329] Port=0 WR @07=9812EA8C + 5327.50ns INFO [00005329] Port=0 RD @04 + 5328.50ns INFO [00005330] * RD COMPARE * port=0 adr=06 act=7AB9AC9C exp=7AB9AC9C + 5328.50ns INFO [00005330] Port=0 RD @17 + 5328.50ns INFO [00005330] Port=1 RD @1F + 5329.50ns INFO [00005331] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5330.50ns INFO [00005332] * RD COMPARE * port=0 adr=17 act=5A14250B exp=5A14250B + 5330.50ns INFO [00005332] * RD COMPARE * port=1 adr=1F act=2FF4867F exp=2FF4867F + 5330.50ns INFO [00005332] Port=0 RD @0A + 5331.50ns INFO [00005333] Port=0 WR @0A=AF161A4B + 5331.50ns INFO [00005333] Port=0 RD @06 + 5332.50ns INFO [00005334] * RD COMPARE * port=0 adr=0A act=4CAD4EB5 exp=4CAD4EB5 + 5332.50ns INFO [00005334] Port=0 RD @10 + 5332.50ns INFO [00005334] Port=1 RD @02 + 5333.50ns INFO [00005335] * RD COMPARE * port=0 adr=06 act=7AB9AC9C exp=7AB9AC9C + 5334.50ns INFO [00005336] * RD COMPARE * port=0 adr=10 act=517C4C56 exp=517C4C56 + 5334.50ns INFO [00005336] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61 + 5335.50ns INFO [00005337] Port=1 RD @08 + 5337.50ns INFO [00005339] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 5337.50ns INFO [00005339] Port=0 WR @1B=5B69825B + 5337.50ns INFO [00005339] Port=0 RD @0D + 5338.50ns INFO [00005340] Port=0 RD @0A + 5338.50ns INFO [00005340] Port=1 RD @1D + 5339.50ns INFO [00005341] * RD COMPARE * port=0 adr=0D act=E0AE7F5D exp=E0AE7F5D + 5340.50ns INFO [00005342] * RD COMPARE * port=0 adr=0A act=AF161A4B exp=AF161A4B + 5340.50ns INFO [00005342] * RD COMPARE * port=1 adr=1D act=7FE9A539 exp=7FE9A539 + 5340.50ns INFO [00005342] Port=0 RD @14 + 5341.50ns INFO [00005343] Port=0 WR @1F=37582378 + 5342.50ns INFO [00005344] * RD COMPARE * port=0 adr=14 act=EC2B93BD exp=EC2B93BD + 5346.50ns INFO [00005348] Port=0 RD @11 + 5347.50ns INFO [00005349] Port=0 RD @00 + 5348.50ns INFO [00005350] * RD COMPARE * port=0 adr=11 act=0BE4EB55 exp=0BE4EB55 + 5349.50ns INFO [00005351] * RD COMPARE * port=0 adr=00 act=F3401C9D exp=F3401C9D + 5349.50ns INFO [00005351] Port=0 RD @10 + 5349.50ns INFO [00005351] Port=1 RD @0B + 5351.50ns INFO [00005353] * RD COMPARE * port=0 adr=10 act=517C4C56 exp=517C4C56 + 5351.50ns INFO [00005353] * RD COMPARE * port=1 adr=0B act=A64E9634 exp=A64E9634 + 5352.50ns INFO [00005354] Port=0 RD @02 + 5352.50ns INFO [00005354] Port=1 RD @11 + 5353.50ns INFO [00005355] Port=0 RD @04 + 5353.50ns INFO [00005355] Port=1 RD @11 + 5354.50ns INFO [00005356] * RD COMPARE * port=0 adr=02 act=9E80BA61 exp=9E80BA61 + 5354.50ns INFO [00005356] * RD COMPARE * port=1 adr=11 act=0BE4EB55 exp=0BE4EB55 + 5354.50ns INFO [00005356] Port=0 WR @10=7EAA063B + 5354.50ns INFO [00005356] Port=1 RD @18 + 5355.50ns INFO [00005357] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5355.50ns INFO [00005357] * RD COMPARE * port=1 adr=11 act=0BE4EB55 exp=0BE4EB55 + 5355.50ns INFO [00005357] Port=0 WR @07=FEBD49B8 + 5355.50ns INFO [00005357] Port=1 RD @19 + 5356.50ns INFO [00005358] * RD COMPARE * port=1 adr=18 act=64D9AFCB exp=64D9AFCB + 5356.50ns INFO [00005358] Port=0 WR @13=A8579E48 + 5356.50ns INFO [00005358] Port=0 RD @1C + 5357.50ns INFO [00005359] * RD COMPARE * port=1 adr=19 act=EA25CADF exp=EA25CADF + 5357.50ns INFO [00005359] Port=0 WR @1B=5A339781 + 5357.50ns INFO [00005359] Port=0 RD @05 + 5358.50ns INFO [00005360] * RD COMPARE * port=0 adr=1C act=101D41B8 exp=101D41B8 + 5358.50ns INFO [00005360] Port=1 RD @06 + 5359.50ns INFO [00005361] * RD COMPARE * port=0 adr=05 act=0A197138 exp=0A197138 + 5359.50ns INFO [00005361] Port=0 WR @1C=ECFCA852 + 5360.50ns INFO [00005362] * RD COMPARE * port=1 adr=06 act=7AB9AC9C exp=7AB9AC9C + 5361.50ns INFO [00005363] Port=1 RD @03 + 5362.50ns INFO [00005364] Port=0 WR @14=0239C67F + 5362.50ns INFO [00005364] Port=0 RD @17 + 5362.50ns INFO [00005364] Port=1 RD @1E + 5363.50ns INFO [00005365] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D + 5364.50ns INFO [00005366] * RD COMPARE * port=0 adr=17 act=5A14250B exp=5A14250B + 5364.50ns INFO [00005366] * RD COMPARE * port=1 adr=1E act=6061BB6E exp=6061BB6E + 5364.50ns INFO [00005366] Port=1 RD @02 + 5365.50ns INFO [00005367] Port=0 RD @1B + 5366.50ns INFO [00005368] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61 + 5366.50ns INFO [00005368] Port=0 WR @15=17F0CE45 + 5366.50ns INFO [00005368] Port=0 RD @08 + 5366.50ns INFO [00005368] Port=1 RD @08 + 5367.50ns INFO [00005369] * RD COMPARE * port=0 adr=1B act=5A339781 exp=5A339781 + 5368.50ns INFO [00005370] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31 + 5368.50ns INFO [00005370] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31 + 5369.50ns INFO [00005371] Port=0 RD @02 + 5369.50ns INFO [00005371] Port=1 RD @03 + 5370.50ns INFO [00005372] Port=1 RD @19 + 5371.50ns INFO [00005373] * RD COMPARE * port=0 adr=02 act=9E80BA61 exp=9E80BA61 + 5371.50ns INFO [00005373] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D + 5371.50ns INFO [00005373] Port=0 WR @00=4C8306D7 + 5372.50ns INFO [00005374] * RD COMPARE * port=1 adr=19 act=EA25CADF exp=EA25CADF + 5372.50ns INFO [00005374] Port=0 WR @07=33992709 + 5373.50ns INFO [00005375] Port=0 RD @0B + 5373.50ns INFO [00005375] Port=1 RD @0C + 5374.50ns INFO [00005376] Port=0 RD @04 + 5375.50ns INFO [00005377] * RD COMPARE * port=0 adr=0B act=A64E9634 exp=A64E9634 + 5375.50ns INFO [00005377] * RD COMPARE * port=1 adr=0C act=455563B5 exp=455563B5 + 5376.50ns INFO [00005378] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5376.50ns INFO [00005378] Port=0 WR @1D=18552F0E + 5376.50ns INFO [00005378] Port=0 RD @13 + 5376.50ns INFO [00005378] Port=1 RD @02 + 5377.50ns INFO [00005379] Port=1 RD @04 + 5378.50ns INFO [00005380] * RD COMPARE * port=0 adr=13 act=A8579E48 exp=A8579E48 + 5378.50ns INFO [00005380] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61 + 5378.50ns INFO [00005380] Port=0 RD @05 + 5378.50ns INFO [00005380] Port=1 RD @0C + 5379.50ns INFO [00005381] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B + 5379.50ns INFO [00005381] Port=0 RD @0E + 5379.50ns INFO [00005381] Port=1 RD @15 + 5380.50ns INFO [00005382] * RD COMPARE * port=0 adr=05 act=0A197138 exp=0A197138 + 5380.50ns INFO [00005382] * RD COMPARE * port=1 adr=0C act=455563B5 exp=455563B5 + 5380.50ns INFO [00005382] Port=1 RD @00 + 5381.50ns INFO [00005383] * RD COMPARE * port=0 adr=0E act=DE422317 exp=DE422317 + 5381.50ns INFO [00005383] * RD COMPARE * port=1 adr=15 act=17F0CE45 exp=17F0CE45 + 5381.50ns INFO [00005383] Port=1 RD @09 + 5382.50ns INFO [00005384] * RD COMPARE * port=1 adr=00 act=4C8306D7 exp=4C8306D7 + 5382.50ns INFO [00005384] Port=1 RD @13 + 5383.50ns INFO [00005385] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555 + 5384.50ns INFO [00005386] * RD COMPARE * port=1 adr=13 act=A8579E48 exp=A8579E48 + 5384.50ns INFO [00005386] Port=0 WR @08=57BD3FF4 + 5384.50ns INFO [00005386] Port=1 RD @09 + 5385.50ns INFO [00005387] Port=0 WR @17=6E638B6C + 5386.50ns INFO [00005388] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555 + 5386.50ns INFO [00005388] Port=0 WR @01=EDDEEBD4 + 5387.50ns INFO [00005389] Port=0 WR @13=0EC84DB0 + 5387.50ns INFO [00005389] Port=1 RD @19 + 5389.50ns INFO [00005391] * RD COMPARE * port=1 adr=19 act=EA25CADF exp=EA25CADF + 5389.50ns INFO [00005391] Port=0 RD @15 + 5390.50ns INFO [00005392] Port=0 RD @13 + 5390.50ns INFO [00005392] Port=1 RD @00 + 5391.50ns INFO [00005393] * RD COMPARE * port=0 adr=15 act=17F0CE45 exp=17F0CE45 + 5391.50ns INFO [00005393] Port=0 RD @0D + 5392.50ns INFO [00005394] * RD COMPARE * port=0 adr=13 act=0EC84DB0 exp=0EC84DB0 + 5392.50ns INFO [00005394] * RD COMPARE * port=1 adr=00 act=4C8306D7 exp=4C8306D7 + 5392.50ns INFO [00005394] Port=1 RD @02 + 5393.50ns INFO [00005395] * RD COMPARE * port=0 adr=0D act=E0AE7F5D exp=E0AE7F5D + 5393.50ns INFO [00005395] Port=0 RD @04 + 5393.50ns INFO [00005395] Port=1 RD @02 + 5394.50ns INFO [00005396] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61 + 5394.50ns INFO [00005396] Port=0 RD @07 + 5395.50ns INFO [00005397] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5395.50ns INFO [00005397] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61 + 5395.50ns INFO [00005397] Port=0 WR @15=1200EFB7 + 5395.50ns INFO [00005397] Port=0 RD @14 + 5396.50ns INFO [00005398] * RD COMPARE * port=0 adr=07 act=33992709 exp=33992709 + 5396.50ns INFO [00005398] Port=1 RD @09 + 5397.50ns INFO [00005399] * RD COMPARE * port=0 adr=14 act=0239C67F exp=0239C67F + 5397.50ns INFO [00005399] Port=0 RD @0D + 5398.00ns INFO [00005400] [00005400] ...tick... + 5398.50ns INFO [00005400] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555 + 5398.50ns INFO [00005400] Port=0 RD @03 + 5398.50ns INFO [00005400] Port=1 RD @04 + 5399.50ns INFO [00005401] * RD COMPARE * port=0 adr=0D act=E0AE7F5D exp=E0AE7F5D + 5399.50ns INFO [00005401] Port=0 WR @11=958EEE0D + 5399.50ns INFO [00005401] Port=0 RD @10 + 5399.50ns INFO [00005401] Port=1 RD @0A + 5400.50ns INFO [00005402] * RD COMPARE * port=0 adr=03 act=F0B6CF3D exp=F0B6CF3D + 5400.50ns INFO [00005402] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B + 5400.50ns INFO [00005402] Port=1 RD @0C + 5401.50ns INFO [00005403] * RD COMPARE * port=0 adr=10 act=7EAA063B exp=7EAA063B + 5401.50ns INFO [00005403] * RD COMPARE * port=1 adr=0A act=AF161A4B exp=AF161A4B + 5401.50ns INFO [00005403] Port=0 WR @07=57C9EE5A + 5401.50ns INFO [00005403] Port=1 RD @1B + 5402.50ns INFO [00005404] * RD COMPARE * port=1 adr=0C act=455563B5 exp=455563B5 + 5402.50ns INFO [00005404] Port=0 WR @1E=B368B042 + 5402.50ns INFO [00005404] Port=1 RD @05 + 5403.50ns INFO [00005405] * RD COMPARE * port=1 adr=1B act=5A339781 exp=5A339781 + 5403.50ns INFO [00005405] Port=0 WR @1E=8CCA25FA + 5403.50ns INFO [00005405] Port=0 RD @13 + 5403.50ns INFO [00005405] Port=1 RD @06 + 5404.50ns INFO [00005406] * RD COMPARE * port=1 adr=05 act=0A197138 exp=0A197138 + 5404.50ns INFO [00005406] Port=0 WR @14=52706EBA + 5405.50ns INFO [00005407] * RD COMPARE * port=0 adr=13 act=0EC84DB0 exp=0EC84DB0 + 5405.50ns INFO [00005407] * RD COMPARE * port=1 adr=06 act=7AB9AC9C exp=7AB9AC9C + 5405.50ns INFO [00005407] Port=0 RD @05 + 5406.50ns INFO [00005408] Port=0 WR @01=AC4029BB + 5406.50ns INFO [00005408] Port=0 RD @13 + 5407.50ns INFO [00005409] * RD COMPARE * port=0 adr=05 act=0A197138 exp=0A197138 + 5407.50ns INFO [00005409] Port=0 WR @14=099887B0 + 5407.50ns INFO [00005409] Port=0 RD @09 + 5408.50ns INFO [00005410] * RD COMPARE * port=0 adr=13 act=0EC84DB0 exp=0EC84DB0 + 5408.50ns INFO [00005410] Port=0 WR @05=B388CE89 + 5408.50ns INFO [00005410] Port=0 RD @0D + 5409.50ns INFO [00005411] * RD COMPARE * port=0 adr=09 act=9F621555 exp=9F621555 + 5409.50ns INFO [00005411] Port=1 RD @05 + 5410.50ns INFO [00005412] * RD COMPARE * port=0 adr=0D act=E0AE7F5D exp=E0AE7F5D + 5410.50ns INFO [00005412] Port=0 WR @1A=BBA0E005 + 5411.50ns INFO [00005413] * RD COMPARE * port=1 adr=05 act=B388CE89 exp=B388CE89 + 5411.50ns INFO [00005413] Port=1 RD @0F + 5412.50ns INFO [00005414] Port=0 WR @15=A92B382F + 5412.50ns INFO [00005414] Port=1 RD @0F + 5413.50ns INFO [00005415] * RD COMPARE * port=1 adr=0F act=21122439 exp=21122439 + 5413.50ns INFO [00005415] Port=1 RD @19 + 5414.50ns INFO [00005416] * RD COMPARE * port=1 adr=0F act=21122439 exp=21122439 + 5414.50ns INFO [00005416] Port=1 RD @16 + 5415.50ns INFO [00005417] * RD COMPARE * port=1 adr=19 act=EA25CADF exp=EA25CADF + 5415.50ns INFO [00005417] Port=0 WR @00=A8E79891 + 5415.50ns INFO [00005417] Port=1 RD @09 + 5416.50ns INFO [00005418] * RD COMPARE * port=1 adr=16 act=DE36F4A9 exp=DE36F4A9 + 5416.50ns INFO [00005418] Port=0 RD @18 + 5417.50ns INFO [00005419] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555 + 5418.50ns INFO [00005420] * RD COMPARE * port=0 adr=18 act=64D9AFCB exp=64D9AFCB + 5418.50ns INFO [00005420] Port=0 WR @05=F2A242AF + 5418.50ns INFO [00005420] Port=0 RD @1C + 5419.50ns INFO [00005421] Port=0 RD @0E + 5419.50ns INFO [00005421] Port=1 RD @0F + 5420.50ns INFO [00005422] * RD COMPARE * port=0 adr=1C act=ECFCA852 exp=ECFCA852 + 5420.50ns INFO [00005422] Port=0 WR @06=4C42765B + 5420.50ns INFO [00005422] Port=1 RD @02 + 5421.50ns INFO [00005423] * RD COMPARE * port=0 adr=0E act=DE422317 exp=DE422317 + 5421.50ns INFO [00005423] * RD COMPARE * port=1 adr=0F act=21122439 exp=21122439 + 5421.50ns INFO [00005423] Port=0 WR @1A=9FD2B19C + 5422.50ns INFO [00005424] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61 + 5422.50ns INFO [00005424] Port=0 WR @16=DECF8B0D + 5422.50ns INFO [00005424] Port=0 RD @1F + 5422.50ns INFO [00005424] Port=1 RD @01 + 5423.50ns INFO [00005425] Port=0 RD @1F + 5424.50ns INFO [00005426] * RD COMPARE * port=0 adr=1F act=37582378 exp=37582378 + 5424.50ns INFO [00005426] * RD COMPARE * port=1 adr=01 act=AC4029BB exp=AC4029BB + 5424.50ns INFO [00005426] Port=0 WR @08=CBED7E82 + 5424.50ns INFO [00005426] Port=0 RD @0E + 5425.50ns INFO [00005427] * RD COMPARE * port=0 adr=1F act=37582378 exp=37582378 + 5426.50ns INFO [00005428] * RD COMPARE * port=0 adr=0E act=DE422317 exp=DE422317 + 5426.50ns INFO [00005428] Port=0 WR @19=B7897883 + 5426.50ns INFO [00005428] Port=1 RD @05 + 5427.50ns INFO [00005429] Port=0 RD @08 + 5428.50ns INFO [00005430] * RD COMPARE * port=1 adr=05 act=F2A242AF exp=F2A242AF + 5429.50ns INFO [00005431] * RD COMPARE * port=0 adr=08 act=CBED7E82 exp=CBED7E82 + 5429.50ns INFO [00005431] Port=0 RD @1F + 5430.50ns INFO [00005432] Port=0 RD @0C + 5431.50ns INFO [00005433] * RD COMPARE * port=0 adr=1F act=37582378 exp=37582378 + 5431.50ns INFO [00005433] Port=0 WR @1F=8AE7AC2F + 5431.50ns INFO [00005433] Port=1 RD @18 + 5432.50ns INFO [00005434] * RD COMPARE * port=0 adr=0C act=455563B5 exp=455563B5 + 5433.50ns INFO [00005435] * RD COMPARE * port=1 adr=18 act=64D9AFCB exp=64D9AFCB + 5433.50ns INFO [00005435] Port=0 RD @0F + 5434.50ns INFO [00005436] Port=0 WR @02=D6078056 + 5434.50ns INFO [00005436] Port=0 RD @1D + 5435.50ns INFO [00005437] * RD COMPARE * port=0 adr=0F act=21122439 exp=21122439 + 5435.50ns INFO [00005437] Port=1 RD @05 + 5436.50ns INFO [00005438] * RD COMPARE * port=0 adr=1D act=18552F0E exp=18552F0E + 5436.50ns INFO [00005438] Port=0 WR @18=C4B6407C + 5436.50ns INFO [00005438] Port=1 RD @10 + 5437.50ns INFO [00005439] * RD COMPARE * port=1 adr=05 act=F2A242AF exp=F2A242AF + 5437.50ns INFO [00005439] Port=0 WR @1B=F68004F6 + 5438.50ns INFO [00005440] * RD COMPARE * port=1 adr=10 act=7EAA063B exp=7EAA063B + 5438.50ns INFO [00005440] Port=1 RD @18 + 5439.50ns INFO [00005441] Port=0 WR @07=B076ACE5 + 5439.50ns INFO [00005441] Port=0 RD @16 + 5440.50ns INFO [00005442] * RD COMPARE * port=1 adr=18 act=C4B6407C exp=C4B6407C + 5440.50ns INFO [00005442] Port=0 RD @1C + 5440.50ns INFO [00005442] Port=1 RD @1C + 5441.50ns INFO [00005443] * RD COMPARE * port=0 adr=16 act=DECF8B0D exp=DECF8B0D + 5441.50ns INFO [00005443] Port=0 RD @0A + 5441.50ns INFO [00005443] Port=1 RD @0E + 5442.50ns INFO [00005444] * RD COMPARE * port=0 adr=1C act=ECFCA852 exp=ECFCA852 + 5442.50ns INFO [00005444] * RD COMPARE * port=1 adr=1C act=ECFCA852 exp=ECFCA852 + 5442.50ns INFO [00005444] Port=0 RD @08 + 5442.50ns INFO [00005444] Port=1 RD @0D + 5443.50ns INFO [00005445] * RD COMPARE * port=0 adr=0A act=AF161A4B exp=AF161A4B + 5443.50ns INFO [00005445] * RD COMPARE * port=1 adr=0E act=DE422317 exp=DE422317 + 5443.50ns INFO [00005445] Port=0 RD @13 + 5443.50ns INFO [00005445] Port=1 RD @1A + 5444.50ns INFO [00005446] * RD COMPARE * port=0 adr=08 act=CBED7E82 exp=CBED7E82 + 5444.50ns INFO [00005446] * RD COMPARE * port=1 adr=0D act=E0AE7F5D exp=E0AE7F5D + 5445.50ns INFO [00005447] * RD COMPARE * port=0 adr=13 act=0EC84DB0 exp=0EC84DB0 + 5445.50ns INFO [00005447] * RD COMPARE * port=1 adr=1A act=9FD2B19C exp=9FD2B19C + 5445.50ns INFO [00005447] Port=0 WR @12=4AC08DDF + 5445.50ns INFO [00005447] Port=1 RD @0F + 5447.50ns INFO [00005449] * RD COMPARE * port=1 adr=0F act=21122439 exp=21122439 + 5448.50ns INFO [00005450] Port=0 WR @1B=2FFDC02F + 5448.50ns INFO [00005450] Port=0 RD @09 + 5449.50ns INFO [00005451] Port=1 RD @1E + 5450.50ns INFO [00005452] * RD COMPARE * port=0 adr=09 act=9F621555 exp=9F621555 + 5450.50ns INFO [00005452] Port=0 RD @0B + 5451.50ns INFO [00005453] * RD COMPARE * port=1 adr=1E act=8CCA25FA exp=8CCA25FA + 5451.50ns INFO [00005453] Port=0 RD @07 + 5451.50ns INFO [00005453] Port=1 RD @03 + 5452.50ns INFO [00005454] * RD COMPARE * port=0 adr=0B act=A64E9634 exp=A64E9634 + 5452.50ns INFO [00005454] Port=0 RD @0C + 5453.50ns INFO [00005455] * RD COMPARE * port=0 adr=07 act=B076ACE5 exp=B076ACE5 + 5453.50ns INFO [00005455] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D + 5453.50ns INFO [00005455] Port=0 WR @16=D12B8C01 + 5454.50ns INFO [00005456] * RD COMPARE * port=0 adr=0C act=455563B5 exp=455563B5 + 5454.50ns INFO [00005456] Port=0 WR @1A=7DB41DB5 + 5454.50ns INFO [00005456] Port=0 RD @0B + 5455.50ns INFO [00005457] Port=1 RD @1D + 5456.50ns INFO [00005458] * RD COMPARE * port=0 adr=0B act=A64E9634 exp=A64E9634 + 5456.50ns INFO [00005458] Port=0 WR @09=54EE4666 + 5457.50ns INFO [00005459] * RD COMPARE * port=1 adr=1D act=18552F0E exp=18552F0E + 5457.50ns INFO [00005459] Port=0 WR @17=CBE6C4A1 + 5458.50ns INFO [00005460] Port=0 RD @12 + 5458.50ns INFO [00005460] Port=1 RD @17 + 5459.50ns INFO [00005461] Port=0 WR @18=89E3483C + 5460.50ns INFO [00005462] * RD COMPARE * port=0 adr=12 act=4AC08DDF exp=4AC08DDF + 5460.50ns INFO [00005462] * RD COMPARE * port=1 adr=17 act=CBE6C4A1 exp=CBE6C4A1 + 5460.50ns INFO [00005462] Port=0 RD @11 + 5460.50ns INFO [00005462] Port=1 RD @19 + 5461.50ns INFO [00005463] Port=1 RD @03 + 5462.50ns INFO [00005464] * RD COMPARE * port=0 adr=11 act=958EEE0D exp=958EEE0D + 5462.50ns INFO [00005464] * RD COMPARE * port=1 adr=19 act=B7897883 exp=B7897883 + 5462.50ns INFO [00005464] Port=0 WR @07=B47544CA + 5462.50ns INFO [00005464] Port=0 RD @01 + 5462.50ns INFO [00005464] Port=1 RD @00 + 5463.50ns INFO [00005465] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D + 5463.50ns INFO [00005465] Port=0 WR @09=81C3ED8A + 5464.50ns INFO [00005466] * RD COMPARE * port=0 adr=01 act=AC4029BB exp=AC4029BB + 5464.50ns INFO [00005466] * RD COMPARE * port=1 adr=00 act=A8E79891 exp=A8E79891 + 5464.50ns INFO [00005466] Port=1 RD @04 + 5465.50ns INFO [00005467] Port=0 RD @16 + 5465.50ns INFO [00005467] Port=1 RD @0E + 5466.50ns INFO [00005468] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B + 5466.50ns INFO [00005468] Port=1 RD @1D + 5467.50ns INFO [00005469] * RD COMPARE * port=0 adr=16 act=D12B8C01 exp=D12B8C01 + 5467.50ns INFO [00005469] * RD COMPARE * port=1 adr=0E act=DE422317 exp=DE422317 + 5468.50ns INFO [00005470] * RD COMPARE * port=1 adr=1D act=18552F0E exp=18552F0E + 5468.50ns INFO [00005470] Port=0 WR @0F=4D3072AF + 5468.50ns INFO [00005470] Port=0 RD @05 + 5468.50ns INFO [00005470] Port=1 RD @07 + 5469.50ns INFO [00005471] Port=0 WR @18=F5BBFA30 + 5470.50ns INFO [00005472] * RD COMPARE * port=0 adr=05 act=F2A242AF exp=F2A242AF + 5470.50ns INFO [00005472] * RD COMPARE * port=1 adr=07 act=B47544CA exp=B47544CA + 5470.50ns INFO [00005472] Port=0 WR @00=A497E11D + 5470.50ns INFO [00005472] Port=1 RD @0D + 5471.50ns INFO [00005473] Port=0 WR @0E=24CFC146 + 5471.50ns INFO [00005473] Port=0 RD @0F + 5471.50ns INFO [00005473] Port=1 RD @0B + 5472.50ns INFO [00005474] * RD COMPARE * port=1 adr=0D act=E0AE7F5D exp=E0AE7F5D + 5472.50ns INFO [00005474] Port=0 WR @0A=E3F6321F + 5472.50ns INFO [00005474] Port=0 RD @0F + 5473.50ns INFO [00005475] * RD COMPARE * port=0 adr=0F act=4D3072AF exp=4D3072AF + 5473.50ns INFO [00005475] * RD COMPARE * port=1 adr=0B act=A64E9634 exp=A64E9634 + 5473.50ns INFO [00005475] Port=0 WR @0B=F24A01AF + 5473.50ns INFO [00005475] Port=0 RD @12 + 5473.50ns INFO [00005475] Port=1 RD @1B + 5474.50ns INFO [00005476] * RD COMPARE * port=0 adr=0F act=4D3072AF exp=4D3072AF + 5474.50ns INFO [00005476] Port=0 RD @12 + 5475.50ns INFO [00005477] * RD COMPARE * port=0 adr=12 act=4AC08DDF exp=4AC08DDF + 5475.50ns INFO [00005477] * RD COMPARE * port=1 adr=1B act=2FFDC02F exp=2FFDC02F + 5476.50ns INFO [00005478] * RD COMPARE * port=0 adr=12 act=4AC08DDF exp=4AC08DDF + 5476.50ns INFO [00005478] Port=0 RD @0F + 5477.50ns INFO [00005479] Port=0 WR @19=24C11182 + 5478.50ns INFO [00005480] * RD COMPARE * port=0 adr=0F act=4D3072AF exp=4D3072AF + 5479.50ns INFO [00005481] Port=0 WR @1C=64C0B739 + 5479.50ns INFO [00005481] Port=0 RD @1E + 5479.50ns INFO [00005481] Port=1 RD @12 + 5480.50ns INFO [00005482] Port=0 WR @1D=BB0A0006 + 5480.50ns INFO [00005482] Port=0 RD @03 + 5480.50ns INFO [00005482] Port=1 RD @09 + 5481.50ns INFO [00005483] * RD COMPARE * port=0 adr=1E act=8CCA25FA exp=8CCA25FA + 5481.50ns INFO [00005483] * RD COMPARE * port=1 adr=12 act=4AC08DDF exp=4AC08DDF + 5481.50ns INFO [00005483] Port=0 WR @10=F290EF44 + 5481.50ns INFO [00005483] Port=0 RD @18 + 5481.50ns INFO [00005483] Port=1 RD @01 + 5482.50ns INFO [00005484] * RD COMPARE * port=0 adr=03 act=F0B6CF3D exp=F0B6CF3D + 5482.50ns INFO [00005484] * RD COMPARE * port=1 adr=09 act=81C3ED8A exp=81C3ED8A + 5482.50ns INFO [00005484] Port=0 RD @10 + 5482.50ns INFO [00005484] Port=1 RD @12 + 5483.50ns INFO [00005485] * RD COMPARE * port=0 adr=18 act=F5BBFA30 exp=F5BBFA30 + 5483.50ns INFO [00005485] * RD COMPARE * port=1 adr=01 act=AC4029BB exp=AC4029BB + 5484.50ns INFO [00005486] * RD COMPARE * port=0 adr=10 act=F290EF44 exp=F290EF44 + 5484.50ns INFO [00005486] * RD COMPARE * port=1 adr=12 act=4AC08DDF exp=4AC08DDF + 5484.50ns INFO [00005486] Port=0 RD @10 + 5484.50ns INFO [00005486] Port=1 RD @1F + 5486.50ns INFO [00005488] * RD COMPARE * port=0 adr=10 act=F290EF44 exp=F290EF44 + 5486.50ns INFO [00005488] * RD COMPARE * port=1 adr=1F act=8AE7AC2F exp=8AE7AC2F + 5486.50ns INFO [00005488] Port=0 WR @1E=3D6DB61E + 5486.50ns INFO [00005488] Port=1 RD @1A + 5487.50ns INFO [00005489] Port=0 WR @1E=84E23EA6 + 5487.50ns INFO [00005489] Port=0 RD @1B + 5488.50ns INFO [00005490] * RD COMPARE * port=1 adr=1A act=7DB41DB5 exp=7DB41DB5 + 5488.50ns INFO [00005490] Port=0 WR @08=9E1839CC + 5488.50ns INFO [00005490] Port=0 RD @14 + 5489.50ns INFO [00005491] * RD COMPARE * port=0 adr=1B act=2FFDC02F exp=2FFDC02F + 5490.50ns INFO [00005492] * RD COMPARE * port=0 adr=14 act=099887B0 exp=099887B0 + 5490.50ns INFO [00005492] Port=1 RD @04 + 5491.50ns INFO [00005493] Port=0 WR @0D=A23C3B5F + 5491.50ns INFO [00005493] Port=1 RD @1B + 5492.50ns INFO [00005494] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B + 5492.50ns INFO [00005494] Port=1 RD @1B + 5493.50ns INFO [00005495] * RD COMPARE * port=1 adr=1B act=2FFDC02F exp=2FFDC02F + 5493.50ns INFO [00005495] Port=0 WR @0F=94FE023C + 5493.50ns INFO [00005495] Port=0 RD @15 + 5493.50ns INFO [00005495] Port=1 RD @13 + 5494.50ns INFO [00005496] * RD COMPARE * port=1 adr=1B act=2FFDC02F exp=2FFDC02F + 5495.50ns INFO [00005497] * RD COMPARE * port=0 adr=15 act=A92B382F exp=A92B382F + 5495.50ns INFO [00005497] * RD COMPARE * port=1 adr=13 act=0EC84DB0 exp=0EC84DB0 + 5495.50ns INFO [00005497] Port=0 WR @16=511552CD + 5495.50ns INFO [00005497] Port=1 RD @13 + 5496.50ns INFO [00005498] Port=0 WR @0C=80B1C5EF + 5496.50ns INFO [00005498] Port=0 RD @04 + 5496.50ns INFO [00005498] Port=1 RD @09 + 5497.50ns INFO [00005499] * RD COMPARE * port=1 adr=13 act=0EC84DB0 exp=0EC84DB0 + 5498.00ns INFO [00005500] [00005500] ...tick... + 5498.50ns INFO [00005500] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5498.50ns INFO [00005500] * RD COMPARE * port=1 adr=09 act=81C3ED8A exp=81C3ED8A + 5499.50ns INFO [00005501] Port=0 WR @12=85A1AA44 + 5499.50ns INFO [00005501] Port=0 RD @17 + 5500.50ns INFO [00005502] Port=0 WR @01=813D26AA + 5501.50ns INFO [00005503] * RD COMPARE * port=0 adr=17 act=CBE6C4A1 exp=CBE6C4A1 + 5501.50ns INFO [00005503] Port=0 WR @13=3C399B61 + 5501.50ns INFO [00005503] Port=1 RD @18 + 5503.50ns INFO [00005505] * RD COMPARE * port=1 adr=18 act=F5BBFA30 exp=F5BBFA30 + 5503.50ns INFO [00005505] Port=0 RD @16 + 5504.50ns INFO [00005506] Port=0 WR @14=2A18A77C + 5504.50ns INFO [00005506] Port=1 RD @11 + 5505.50ns INFO [00005507] * RD COMPARE * port=0 adr=16 act=511552CD exp=511552CD + 5506.50ns INFO [00005508] * RD COMPARE * port=1 adr=11 act=958EEE0D exp=958EEE0D + 5506.50ns INFO [00005508] Port=1 RD @10 + 5508.50ns INFO [00005510] * RD COMPARE * port=1 adr=10 act=F290EF44 exp=F290EF44 + 5510.50ns INFO [00005512] Port=0 WR @1E=4922835B + 5512.50ns INFO [00005514] Port=0 WR @18=1F8CB1D4 + 5512.50ns INFO [00005514] Port=1 RD @1A + 5514.50ns INFO [00005516] * RD COMPARE * port=1 adr=1A act=7DB41DB5 exp=7DB41DB5 + 5514.50ns INFO [00005516] Port=0 WR @11=971DA71D + 5514.50ns INFO [00005516] Port=0 RD @1E + 5516.50ns INFO [00005518] * RD COMPARE * port=0 adr=1E act=4922835B exp=4922835B + 5516.50ns INFO [00005518] Port=0 WR @00=5119A0D5 + 5517.50ns INFO [00005519] Port=0 WR @10=CF38B13B + 5517.50ns INFO [00005519] Port=1 RD @00 + 5519.50ns INFO [00005521] * RD COMPARE * port=1 adr=00 act=5119A0D5 exp=5119A0D5 + 5519.50ns INFO [00005521] Port=1 RD @02 + 5520.50ns INFO [00005522] Port=1 RD @11 + 5521.50ns INFO [00005523] * RD COMPARE * port=1 adr=02 act=D6078056 exp=D6078056 + 5521.50ns INFO [00005523] Port=1 RD @1B + 5522.50ns INFO [00005524] * RD COMPARE * port=1 adr=11 act=971DA71D exp=971DA71D + 5522.50ns INFO [00005524] Port=1 RD @03 + 5523.50ns INFO [00005525] * RD COMPARE * port=1 adr=1B act=2FFDC02F exp=2FFDC02F + 5524.50ns INFO [00005526] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D + 5525.50ns INFO [00005527] Port=0 WR @01=F1EA5850 + 5525.50ns INFO [00005527] Port=1 RD @19 + 5526.50ns INFO [00005528] Port=0 RD @0E + 5527.50ns INFO [00005529] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182 + 5528.50ns INFO [00005530] * RD COMPARE * port=0 adr=0E act=24CFC146 exp=24CFC146 + 5528.50ns INFO [00005530] Port=1 RD @0A + 5529.50ns INFO [00005531] Port=0 RD @11 + 5529.50ns INFO [00005531] Port=1 RD @0F + 5530.50ns INFO [00005532] * RD COMPARE * port=1 adr=0A act=E3F6321F exp=E3F6321F + 5530.50ns INFO [00005532] Port=0 RD @1B + 5530.50ns INFO [00005532] Port=1 RD @0A + 5531.50ns INFO [00005533] * RD COMPARE * port=0 adr=11 act=971DA71D exp=971DA71D + 5531.50ns INFO [00005533] * RD COMPARE * port=1 adr=0F act=94FE023C exp=94FE023C + 5531.50ns INFO [00005533] Port=0 WR @00=A8EB8116 + 5531.50ns INFO [00005533] Port=0 RD @12 + 5532.50ns INFO [00005534] * RD COMPARE * port=0 adr=1B act=2FFDC02F exp=2FFDC02F + 5532.50ns INFO [00005534] * RD COMPARE * port=1 adr=0A act=E3F6321F exp=E3F6321F + 5532.50ns INFO [00005534] Port=0 RD @1C + 5533.50ns INFO [00005535] * RD COMPARE * port=0 adr=12 act=85A1AA44 exp=85A1AA44 + 5533.50ns INFO [00005535] Port=0 RD @0B + 5534.50ns INFO [00005536] * RD COMPARE * port=0 adr=1C act=64C0B739 exp=64C0B739 + 5535.50ns INFO [00005537] * RD COMPARE * port=0 adr=0B act=F24A01AF exp=F24A01AF + 5535.50ns INFO [00005537] Port=0 WR @0C=DA9C6427 + 5535.50ns INFO [00005537] Port=1 RD @0D + 5536.50ns INFO [00005538] Port=0 WR @14=03E968F6 + 5537.50ns INFO [00005539] * RD COMPARE * port=1 adr=0D act=A23C3B5F exp=A23C3B5F + 5537.50ns INFO [00005539] Port=0 WR @12=25B15E52 + 5538.50ns INFO [00005540] Port=0 WR @02=8A5FFF47 + 5538.50ns INFO [00005540] Port=1 RD @10 + 5539.50ns INFO [00005541] Port=0 RD @07 + 5540.50ns INFO [00005542] * RD COMPARE * port=1 adr=10 act=CF38B13B exp=CF38B13B + 5540.50ns INFO [00005542] Port=0 WR @14=25DAFD27 + 5540.50ns INFO [00005542] Port=0 RD @1C + 5541.50ns INFO [00005543] * RD COMPARE * port=0 adr=07 act=B47544CA exp=B47544CA + 5541.50ns INFO [00005543] Port=0 WR @07=9BD0054A + 5541.50ns INFO [00005543] Port=0 RD @1C + 5542.50ns INFO [00005544] * RD COMPARE * port=0 adr=1C act=64C0B739 exp=64C0B739 + 5542.50ns INFO [00005544] Port=1 RD @1F + 5543.50ns INFO [00005545] * RD COMPARE * port=0 adr=1C act=64C0B739 exp=64C0B739 + 5543.50ns INFO [00005545] Port=0 WR @0A=E6A8EB43 + 5544.50ns INFO [00005546] * RD COMPARE * port=1 adr=1F act=8AE7AC2F exp=8AE7AC2F + 5544.50ns INFO [00005546] Port=0 WR @1C=E5A83D65 + 5546.50ns INFO [00005548] Port=0 WR @05=9C6F3AAF + 5546.50ns INFO [00005548] Port=0 RD @14 + 5547.50ns INFO [00005549] Port=0 WR @08=AD7533C4 + 5547.50ns INFO [00005549] Port=0 RD @09 + 5548.50ns INFO [00005550] * RD COMPARE * port=0 adr=14 act=25DAFD27 exp=25DAFD27 + 5548.50ns INFO [00005550] Port=0 WR @02=8D0AD41C + 5549.50ns INFO [00005551] * RD COMPARE * port=0 adr=09 act=81C3ED8A exp=81C3ED8A + 5549.50ns INFO [00005551] Port=0 WR @1C=8DA942D2 + 5550.50ns INFO [00005552] Port=0 RD @0A + 5550.50ns INFO [00005552] Port=1 RD @0F + 5552.50ns INFO [00005554] * RD COMPARE * port=0 adr=0A act=E6A8EB43 exp=E6A8EB43 + 5552.50ns INFO [00005554] * RD COMPARE * port=1 adr=0F act=94FE023C exp=94FE023C + 5553.50ns INFO [00005555] Port=0 RD @14 + 5553.50ns INFO [00005555] Port=1 RD @1D + 5554.50ns INFO [00005556] Port=0 WR @06=1929BE3D + 5554.50ns INFO [00005556] Port=0 RD @1D + 5554.50ns INFO [00005556] Port=1 RD @1A + 5555.50ns INFO [00005557] * RD COMPARE * port=0 adr=14 act=25DAFD27 exp=25DAFD27 + 5555.50ns INFO [00005557] * RD COMPARE * port=1 adr=1D act=BB0A0006 exp=BB0A0006 + 5555.50ns INFO [00005557] Port=0 RD @1E + 5556.50ns INFO [00005558] * RD COMPARE * port=0 adr=1D act=BB0A0006 exp=BB0A0006 + 5556.50ns INFO [00005558] * RD COMPARE * port=1 adr=1A act=7DB41DB5 exp=7DB41DB5 + 5556.50ns INFO [00005558] Port=0 RD @18 + 5557.50ns INFO [00005559] * RD COMPARE * port=0 adr=1E act=4922835B exp=4922835B + 5557.50ns INFO [00005559] Port=0 WR @0F=6E3F4892 + 5557.50ns INFO [00005559] Port=1 RD @06 + 5558.50ns INFO [00005560] * RD COMPARE * port=0 adr=18 act=1F8CB1D4 exp=1F8CB1D4 + 5558.50ns INFO [00005560] Port=0 RD @01 + 5558.50ns INFO [00005560] Port=1 RD @18 + 5559.50ns INFO [00005561] * RD COMPARE * port=1 adr=06 act=1929BE3D exp=1929BE3D + 5560.50ns INFO [00005562] * RD COMPARE * port=0 adr=01 act=F1EA5850 exp=F1EA5850 + 5560.50ns INFO [00005562] * RD COMPARE * port=1 adr=18 act=1F8CB1D4 exp=1F8CB1D4 + 5560.50ns INFO [00005562] Port=1 RD @05 + 5561.50ns INFO [00005563] Port=0 WR @14=55782C9B + 5562.50ns INFO [00005564] * RD COMPARE * port=1 adr=05 act=9C6F3AAF exp=9C6F3AAF + 5562.50ns INFO [00005564] Port=0 RD @09 + 5563.50ns INFO [00005565] Port=1 RD @10 + 5564.50ns INFO [00005566] * RD COMPARE * port=0 adr=09 act=81C3ED8A exp=81C3ED8A + 5564.50ns INFO [00005566] Port=0 WR @1E=091C1707 + 5564.50ns INFO [00005566] Port=0 RD @13 + 5564.50ns INFO [00005566] Port=1 RD @08 + 5565.50ns INFO [00005567] * RD COMPARE * port=1 adr=10 act=CF38B13B exp=CF38B13B + 5565.50ns INFO [00005567] Port=0 RD @10 + 5565.50ns INFO [00005567] Port=1 RD @17 + 5566.50ns INFO [00005568] * RD COMPARE * port=0 adr=13 act=3C399B61 exp=3C399B61 + 5566.50ns INFO [00005568] * RD COMPARE * port=1 adr=08 act=AD7533C4 exp=AD7533C4 + 5567.50ns INFO [00005569] * RD COMPARE * port=0 adr=10 act=CF38B13B exp=CF38B13B + 5567.50ns INFO [00005569] * RD COMPARE * port=1 adr=17 act=CBE6C4A1 exp=CBE6C4A1 + 5567.50ns INFO [00005569] Port=1 RD @1D + 5569.50ns INFO [00005571] * RD COMPARE * port=1 adr=1D act=BB0A0006 exp=BB0A0006 + 5569.50ns INFO [00005571] Port=0 WR @15=B5D84A88 + 5569.50ns INFO [00005571] Port=0 RD @02 + 5569.50ns INFO [00005571] Port=1 RD @14 + 5571.50ns INFO [00005573] * RD COMPARE * port=0 adr=02 act=8D0AD41C exp=8D0AD41C + 5571.50ns INFO [00005573] * RD COMPARE * port=1 adr=14 act=55782C9B exp=55782C9B + 5572.50ns INFO [00005574] Port=0 WR @17=914819B2 + 5573.50ns INFO [00005575] Port=0 RD @1F + 5574.50ns INFO [00005576] Port=0 RD @01 + 5575.50ns INFO [00005577] * RD COMPARE * port=0 adr=1F act=8AE7AC2F exp=8AE7AC2F + 5575.50ns INFO [00005577] Port=0 WR @14=1F146486 + 5575.50ns INFO [00005577] Port=0 RD @00 + 5575.50ns INFO [00005577] Port=1 RD @12 + 5576.50ns INFO [00005578] * RD COMPARE * port=0 adr=01 act=F1EA5850 exp=F1EA5850 + 5576.50ns INFO [00005578] Port=0 RD @1F + 5576.50ns INFO [00005578] Port=1 RD @05 + 5577.50ns INFO [00005579] * RD COMPARE * port=0 adr=00 act=A8EB8116 exp=A8EB8116 + 5577.50ns INFO [00005579] * RD COMPARE * port=1 adr=12 act=25B15E52 exp=25B15E52 + 5577.50ns INFO [00005579] Port=0 RD @1D + 5577.50ns INFO [00005579] Port=1 RD @1A + 5578.50ns INFO [00005580] * RD COMPARE * port=0 adr=1F act=8AE7AC2F exp=8AE7AC2F + 5578.50ns INFO [00005580] * RD COMPARE * port=1 adr=05 act=9C6F3AAF exp=9C6F3AAF + 5578.50ns INFO [00005580] Port=0 WR @08=42AB8001 + 5579.50ns INFO [00005581] * RD COMPARE * port=0 adr=1D act=BB0A0006 exp=BB0A0006 + 5579.50ns INFO [00005581] * RD COMPARE * port=1 adr=1A act=7DB41DB5 exp=7DB41DB5 + 5579.50ns INFO [00005581] Port=0 RD @11 + 5580.50ns INFO [00005582] Port=0 WR @03=2F3E8BDC + 5580.50ns INFO [00005582] Port=0 RD @0B + 5581.50ns INFO [00005583] * RD COMPARE * port=0 adr=11 act=971DA71D exp=971DA71D + 5581.50ns INFO [00005583] Port=0 WR @06=B56C53E4 + 5582.50ns INFO [00005584] * RD COMPARE * port=0 adr=0B act=F24A01AF exp=F24A01AF + 5582.50ns INFO [00005584] Port=1 RD @14 + 5583.50ns INFO [00005585] Port=0 WR @1E=126FF06C + 5583.50ns INFO [00005585] Port=1 RD @15 + 5584.50ns INFO [00005586] * RD COMPARE * port=1 adr=14 act=1F146486 exp=1F146486 + 5584.50ns INFO [00005586] Port=0 WR @00=6FBE2D5D + 5585.50ns INFO [00005587] * RD COMPARE * port=1 adr=15 act=B5D84A88 exp=B5D84A88 + 5585.50ns INFO [00005587] Port=1 RD @01 + 5586.50ns INFO [00005588] Port=0 WR @1B=B5858A7C + 5587.50ns INFO [00005589] * RD COMPARE * port=1 adr=01 act=F1EA5850 exp=F1EA5850 + 5587.50ns INFO [00005589] Port=1 RD @11 + 5588.50ns INFO [00005590] Port=0 WR @09=DC85BD2B + 5589.50ns INFO [00005591] * RD COMPARE * port=1 adr=11 act=971DA71D exp=971DA71D + 5589.50ns INFO [00005591] Port=1 RD @19 + 5590.50ns INFO [00005592] Port=0 RD @0D + 5590.50ns INFO [00005592] Port=1 RD @11 + 5591.50ns INFO [00005593] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182 + 5591.50ns INFO [00005593] Port=0 WR @1A=BB1A4E30 + 5591.50ns INFO [00005593] Port=0 RD @19 + 5592.50ns INFO [00005594] * RD COMPARE * port=0 adr=0D act=A23C3B5F exp=A23C3B5F + 5592.50ns INFO [00005594] * RD COMPARE * port=1 adr=11 act=971DA71D exp=971DA71D + 5592.50ns INFO [00005594] Port=0 RD @11 + 5593.50ns INFO [00005595] * RD COMPARE * port=0 adr=19 act=24C11182 exp=24C11182 + 5593.50ns INFO [00005595] Port=0 WR @12=472E1AC4 + 5593.50ns INFO [00005595] Port=1 RD @1A + 5594.50ns INFO [00005596] * RD COMPARE * port=0 adr=11 act=971DA71D exp=971DA71D + 5594.50ns INFO [00005596] Port=0 WR @1C=B7A0545F + 5595.50ns INFO [00005597] * RD COMPARE * port=1 adr=1A act=BB1A4E30 exp=BB1A4E30 + 5595.50ns INFO [00005597] Port=0 RD @0E + 5595.50ns INFO [00005597] Port=1 RD @1E + 5596.50ns INFO [00005598] Port=0 RD @17 + 5596.50ns INFO [00005598] Port=1 RD @17 + 5597.50ns INFO [00005599] * RD COMPARE * port=0 adr=0E act=24CFC146 exp=24CFC146 + 5597.50ns INFO [00005599] * RD COMPARE * port=1 adr=1E act=126FF06C exp=126FF06C + 5597.50ns INFO [00005599] Port=0 WR @07=F67C7AC3 + 5597.50ns INFO [00005599] Port=0 RD @0C + 5597.50ns INFO [00005599] Port=1 RD @16 + 5598.00ns INFO [00005600] [00005600] ...tick... + 5598.50ns INFO [00005600] * RD COMPARE * port=0 adr=17 act=914819B2 exp=914819B2 + 5598.50ns INFO [00005600] * RD COMPARE * port=1 adr=17 act=914819B2 exp=914819B2 + 5598.50ns INFO [00005600] Port=0 WR @00=8A0BEB6F + 5598.50ns INFO [00005600] Port=0 RD @04 + 5599.50ns INFO [00005601] * RD COMPARE * port=0 adr=0C act=DA9C6427 exp=DA9C6427 + 5599.50ns INFO [00005601] * RD COMPARE * port=1 adr=16 act=511552CD exp=511552CD + 5600.50ns INFO [00005602] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B + 5601.50ns INFO [00005603] Port=0 WR @13=E98BF009 + 5601.50ns INFO [00005603] Port=0 RD @0F + 5601.50ns INFO [00005603] Port=1 RD @00 + 5603.50ns INFO [00005605] * RD COMPARE * port=0 adr=0F act=6E3F4892 exp=6E3F4892 + 5603.50ns INFO [00005605] * RD COMPARE * port=1 adr=00 act=8A0BEB6F exp=8A0BEB6F + 5603.50ns INFO [00005605] Port=1 RD @1A + 5604.50ns INFO [00005606] Port=0 WR @07=27DD4AE8 + 5604.50ns INFO [00005606] Port=1 RD @06 + 5605.50ns INFO [00005607] * RD COMPARE * port=1 adr=1A act=BB1A4E30 exp=BB1A4E30 + 5605.50ns INFO [00005607] Port=0 RD @0C + 5606.50ns INFO [00005608] * RD COMPARE * port=1 adr=06 act=B56C53E4 exp=B56C53E4 + 5607.50ns INFO [00005609] * RD COMPARE * port=0 adr=0C act=DA9C6427 exp=DA9C6427 + 5607.50ns INFO [00005609] Port=1 RD @0D + 5608.50ns INFO [00005610] Port=0 RD @1C + 5608.50ns INFO [00005610] Port=1 RD @14 + 5609.50ns INFO [00005611] * RD COMPARE * port=1 adr=0D act=A23C3B5F exp=A23C3B5F + 5609.50ns INFO [00005611] Port=0 RD @00 + 5610.50ns INFO [00005612] * RD COMPARE * port=0 adr=1C act=B7A0545F exp=B7A0545F + 5610.50ns INFO [00005612] * RD COMPARE * port=1 adr=14 act=1F146486 exp=1F146486 + 5610.50ns INFO [00005612] Port=0 WR @1F=E5A9F032 + 5610.50ns INFO [00005612] Port=1 RD @09 + 5611.50ns INFO [00005613] * RD COMPARE * port=0 adr=00 act=8A0BEB6F exp=8A0BEB6F + 5612.50ns INFO [00005614] * RD COMPARE * port=1 adr=09 act=DC85BD2B exp=DC85BD2B + 5612.50ns INFO [00005614] Port=0 RD @12 + 5613.50ns INFO [00005615] Port=0 WR @16=46ECCE3C + 5613.50ns INFO [00005615] Port=0 RD @1A + 5614.50ns INFO [00005616] * RD COMPARE * port=0 adr=12 act=472E1AC4 exp=472E1AC4 + 5614.50ns INFO [00005616] Port=0 WR @13=6DC6ABDF + 5614.50ns INFO [00005616] Port=1 RD @0B + 5615.50ns INFO [00005617] * RD COMPARE * port=0 adr=1A act=BB1A4E30 exp=BB1A4E30 + 5616.50ns INFO [00005618] * RD COMPARE * port=1 adr=0B act=F24A01AF exp=F24A01AF + 5616.50ns INFO [00005618] Port=0 WR @16=0F4E1F8F + 5618.50ns INFO [00005620] Port=1 RD @0C + 5619.50ns INFO [00005621] Port=0 WR @0F=0E4CA6AB + 5619.50ns INFO [00005621] Port=1 RD @14 + 5620.50ns INFO [00005622] * RD COMPARE * port=1 adr=0C act=DA9C6427 exp=DA9C6427 + 5620.50ns INFO [00005622] Port=0 RD @17 + 5621.50ns INFO [00005623] * RD COMPARE * port=1 adr=14 act=1F146486 exp=1F146486 + 5621.50ns INFO [00005623] Port=0 WR @1E=AAD6DE2F + 5621.50ns INFO [00005623] Port=0 RD @1B + 5621.50ns INFO [00005623] Port=1 RD @10 + 5622.50ns INFO [00005624] * RD COMPARE * port=0 adr=17 act=914819B2 exp=914819B2 + 5623.50ns INFO [00005625] * RD COMPARE * port=0 adr=1B act=B5858A7C exp=B5858A7C + 5623.50ns INFO [00005625] * RD COMPARE * port=1 adr=10 act=CF38B13B exp=CF38B13B + 5623.50ns INFO [00005625] Port=0 WR @0E=4CA2FB5C + 5623.50ns INFO [00005625] Port=0 RD @1F + 5624.50ns INFO [00005626] Port=0 RD @1C + 5625.50ns INFO [00005627] * RD COMPARE * port=0 adr=1F act=E5A9F032 exp=E5A9F032 + 5625.50ns INFO [00005627] Port=1 RD @0E + 5626.50ns INFO [00005628] * RD COMPARE * port=0 adr=1C act=B7A0545F exp=B7A0545F + 5627.50ns INFO [00005629] * RD COMPARE * port=1 adr=0E act=4CA2FB5C exp=4CA2FB5C + 5627.50ns INFO [00005629] Port=1 RD @00 + 5628.50ns INFO [00005630] Port=0 WR @14=F9C8BF9B + 5628.50ns INFO [00005630] Port=1 RD @0F + 5629.50ns INFO [00005631] * RD COMPARE * port=1 adr=00 act=8A0BEB6F exp=8A0BEB6F + 5629.50ns INFO [00005631] Port=0 WR @11=711291D4 + 5630.50ns INFO [00005632] * RD COMPARE * port=1 adr=0F act=0E4CA6AB exp=0E4CA6AB + 5630.50ns INFO [00005632] Port=0 WR @08=3CDB2E46 + 5631.50ns INFO [00005633] Port=1 RD @1B + 5632.50ns INFO [00005634] Port=0 WR @1D=6B06FEDF + 5633.50ns INFO [00005635] * RD COMPARE * port=1 adr=1B act=B5858A7C exp=B5858A7C + 5633.50ns INFO [00005635] Port=0 WR @0A=8809B9E9 + 5633.50ns INFO [00005635] Port=0 RD @08 + 5634.50ns INFO [00005636] Port=0 WR @1A=375D17B3 + 5635.50ns INFO [00005637] * RD COMPARE * port=0 adr=08 act=3CDB2E46 exp=3CDB2E46 + 5635.50ns INFO [00005637] Port=0 WR @0E=D8D25CDF + 5636.50ns INFO [00005638] Port=1 RD @1D + 5638.50ns INFO [00005640] * RD COMPARE * port=1 adr=1D act=6B06FEDF exp=6B06FEDF + 5639.50ns INFO [00005641] Port=0 RD @1A + 5640.50ns INFO [00005642] Port=0 WR @17=0E96CFE3 + 5641.50ns INFO [00005643] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3 + 5641.50ns INFO [00005643] Port=0 RD @0E + 5642.50ns INFO [00005644] Port=0 WR @00=05345864 + 5643.50ns INFO [00005645] * RD COMPARE * port=0 adr=0E act=D8D25CDF exp=D8D25CDF + 5644.50ns INFO [00005646] Port=0 WR @08=073196FB + 5644.50ns INFO [00005646] Port=0 RD @13 + 5646.50ns INFO [00005648] * RD COMPARE * port=0 adr=13 act=6DC6ABDF exp=6DC6ABDF + 5646.50ns INFO [00005648] Port=0 WR @07=64C24796 + 5646.50ns INFO [00005648] Port=0 RD @1E + 5647.50ns INFO [00005649] Port=0 RD @0B + 5648.50ns INFO [00005650] * RD COMPARE * port=0 adr=1E act=AAD6DE2F exp=AAD6DE2F + 5649.50ns INFO [00005651] * RD COMPARE * port=0 adr=0B act=F24A01AF exp=F24A01AF + 5649.50ns INFO [00005651] Port=0 RD @05 + 5649.50ns INFO [00005651] Port=1 RD @1B + 5650.50ns INFO [00005652] Port=1 RD @03 + 5651.50ns INFO [00005653] * RD COMPARE * port=0 adr=05 act=9C6F3AAF exp=9C6F3AAF + 5651.50ns INFO [00005653] * RD COMPARE * port=1 adr=1B act=B5858A7C exp=B5858A7C + 5651.50ns INFO [00005653] Port=0 RD @1E + 5651.50ns INFO [00005653] Port=1 RD @08 + 5652.50ns INFO [00005654] * RD COMPARE * port=1 adr=03 act=2F3E8BDC exp=2F3E8BDC + 5652.50ns INFO [00005654] Port=0 WR @1E=2BCBD73E + 5652.50ns INFO [00005654] Port=0 RD @17 + 5652.50ns INFO [00005654] Port=1 RD @1B + 5653.50ns INFO [00005655] * RD COMPARE * port=0 adr=1E act=AAD6DE2F exp=AAD6DE2F + 5653.50ns INFO [00005655] * RD COMPARE * port=1 adr=08 act=073196FB exp=073196FB + 5653.50ns INFO [00005655] Port=0 RD @07 + 5653.50ns INFO [00005655] Port=1 RD @01 + 5654.50ns INFO [00005656] * RD COMPARE * port=0 adr=17 act=0E96CFE3 exp=0E96CFE3 + 5654.50ns INFO [00005656] * RD COMPARE * port=1 adr=1B act=B5858A7C exp=B5858A7C + 5654.50ns INFO [00005656] Port=0 RD @0F + 5654.50ns INFO [00005656] Port=1 RD @1D + 5655.50ns INFO [00005657] * RD COMPARE * port=0 adr=07 act=64C24796 exp=64C24796 + 5655.50ns INFO [00005657] * RD COMPARE * port=1 adr=01 act=F1EA5850 exp=F1EA5850 + 5655.50ns INFO [00005657] Port=0 WR @10=6525DF20 + 5655.50ns INFO [00005657] Port=1 RD @17 + 5656.50ns INFO [00005658] * RD COMPARE * port=0 adr=0F act=0E4CA6AB exp=0E4CA6AB + 5656.50ns INFO [00005658] * RD COMPARE * port=1 adr=1D act=6B06FEDF exp=6B06FEDF + 5656.50ns INFO [00005658] Port=0 WR @1C=68FFFDDC + 5657.50ns INFO [00005659] * RD COMPARE * port=1 adr=17 act=0E96CFE3 exp=0E96CFE3 + 5657.50ns INFO [00005659] Port=0 WR @04=01B308D6 + 5657.50ns INFO [00005659] Port=1 RD @12 + 5658.50ns INFO [00005660] Port=0 RD @0F + 5659.50ns INFO [00005661] * RD COMPARE * port=1 adr=12 act=472E1AC4 exp=472E1AC4 + 5660.50ns INFO [00005662] * RD COMPARE * port=0 adr=0F act=0E4CA6AB exp=0E4CA6AB + 5660.50ns INFO [00005662] Port=1 RD @0D + 5661.50ns INFO [00005663] Port=0 WR @01=D3E5C3D7 + 5662.50ns INFO [00005664] * RD COMPARE * port=1 adr=0D act=A23C3B5F exp=A23C3B5F + 5662.50ns INFO [00005664] Port=0 WR @11=426E07E3 + 5663.50ns INFO [00005665] Port=0 WR @17=35E560CE + 5664.50ns INFO [00005666] Port=0 WR @1F=182BCEB0 + 5664.50ns INFO [00005666] Port=1 RD @07 + 5665.50ns INFO [00005667] Port=0 WR @0B=8D932B4C + 5665.50ns INFO [00005667] Port=0 RD @1E + 5666.50ns INFO [00005668] * RD COMPARE * port=1 adr=07 act=64C24796 exp=64C24796 + 5667.50ns INFO [00005669] * RD COMPARE * port=0 adr=1E act=2BCBD73E exp=2BCBD73E + 5667.50ns INFO [00005669] Port=0 WR @0D=574CEF3D + 5667.50ns INFO [00005669] Port=0 RD @1D + 5668.50ns INFO [00005670] Port=0 WR @08=CF1F4686 + 5669.50ns INFO [00005671] * RD COMPARE * port=0 adr=1D act=6B06FEDF exp=6B06FEDF + 5669.50ns INFO [00005671] Port=1 RD @0D + 5670.50ns INFO [00005672] Port=1 RD @15 + 5671.50ns INFO [00005673] * RD COMPARE * port=1 adr=0D act=574CEF3D exp=574CEF3D + 5671.50ns INFO [00005673] Port=1 RD @1E + 5672.50ns INFO [00005674] * RD COMPARE * port=1 adr=15 act=B5D84A88 exp=B5D84A88 + 5672.50ns INFO [00005674] Port=1 RD @0B + 5673.50ns INFO [00005675] * RD COMPARE * port=1 adr=1E act=2BCBD73E exp=2BCBD73E + 5673.50ns INFO [00005675] Port=0 RD @0C + 5673.50ns INFO [00005675] Port=1 RD @18 + 5674.50ns INFO [00005676] * RD COMPARE * port=1 adr=0B act=8D932B4C exp=8D932B4C + 5674.50ns INFO [00005676] Port=0 WR @17=D54F08D4 + 5674.50ns INFO [00005676] Port=0 RD @1E + 5674.50ns INFO [00005676] Port=1 RD @06 + 5675.50ns INFO [00005677] * RD COMPARE * port=0 adr=0C act=DA9C6427 exp=DA9C6427 + 5675.50ns INFO [00005677] * RD COMPARE * port=1 adr=18 act=1F8CB1D4 exp=1F8CB1D4 + 5675.50ns INFO [00005677] Port=0 WR @13=F0BCDD88 + 5676.50ns INFO [00005678] * RD COMPARE * port=0 adr=1E act=2BCBD73E exp=2BCBD73E + 5676.50ns INFO [00005678] * RD COMPARE * port=1 adr=06 act=B56C53E4 exp=B56C53E4 + 5676.50ns INFO [00005678] Port=1 RD @04 + 5677.50ns INFO [00005679] Port=0 RD @1E + 5678.50ns INFO [00005680] * RD COMPARE * port=1 adr=04 act=01B308D6 exp=01B308D6 + 5678.50ns INFO [00005680] Port=0 WR @1C=03C5420C + 5678.50ns INFO [00005680] Port=0 RD @01 + 5678.50ns INFO [00005680] Port=1 RD @1D + 5679.50ns INFO [00005681] * RD COMPARE * port=0 adr=1E act=2BCBD73E exp=2BCBD73E + 5679.50ns INFO [00005681] Port=0 WR @17=E3324224 + 5680.50ns INFO [00005682] * RD COMPARE * port=0 adr=01 act=D3E5C3D7 exp=D3E5C3D7 + 5680.50ns INFO [00005682] * RD COMPARE * port=1 adr=1D act=6B06FEDF exp=6B06FEDF + 5680.50ns INFO [00005682] Port=0 WR @0F=20474481 + 5680.50ns INFO [00005682] Port=0 RD @1F + 5681.50ns INFO [00005683] Port=1 RD @16 + 5682.50ns INFO [00005684] * RD COMPARE * port=0 adr=1F act=182BCEB0 exp=182BCEB0 + 5683.50ns INFO [00005685] * RD COMPARE * port=1 adr=16 act=0F4E1F8F exp=0F4E1F8F + 5684.50ns INFO [00005686] Port=0 WR @1D=DBB24E9D + 5684.50ns INFO [00005686] Port=1 RD @17 + 5685.50ns INFO [00005687] Port=0 WR @0E=1ECC7112 + 5685.50ns INFO [00005687] Port=0 RD @0B + 5686.50ns INFO [00005688] * RD COMPARE * port=1 adr=17 act=E3324224 exp=E3324224 + 5686.50ns INFO [00005688] Port=1 RD @03 + 5687.50ns INFO [00005689] * RD COMPARE * port=0 adr=0B act=8D932B4C exp=8D932B4C + 5688.50ns INFO [00005690] * RD COMPARE * port=1 adr=03 act=2F3E8BDC exp=2F3E8BDC + 5691.50ns INFO [00005693] Port=0 RD @1A + 5692.50ns INFO [00005694] Port=0 RD @15 + 5692.50ns INFO [00005694] Port=1 RD @11 + 5693.50ns INFO [00005695] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3 + 5693.50ns INFO [00005695] Port=1 RD @01 + 5694.50ns INFO [00005696] * RD COMPARE * port=0 adr=15 act=B5D84A88 exp=B5D84A88 + 5694.50ns INFO [00005696] * RD COMPARE * port=1 adr=11 act=426E07E3 exp=426E07E3 + 5694.50ns INFO [00005696] Port=0 RD @16 + 5694.50ns INFO [00005696] Port=1 RD @13 + 5695.50ns INFO [00005697] * RD COMPARE * port=1 adr=01 act=D3E5C3D7 exp=D3E5C3D7 + 5695.50ns INFO [00005697] Port=0 RD @13 + 5695.50ns INFO [00005697] Port=1 RD @16 + 5696.50ns INFO [00005698] * RD COMPARE * port=0 adr=16 act=0F4E1F8F exp=0F4E1F8F + 5696.50ns INFO [00005698] * RD COMPARE * port=1 adr=13 act=F0BCDD88 exp=F0BCDD88 + 5696.50ns INFO [00005698] Port=0 RD @06 + 5697.50ns INFO [00005699] * RD COMPARE * port=0 adr=13 act=F0BCDD88 exp=F0BCDD88 + 5697.50ns INFO [00005699] * RD COMPARE * port=1 adr=16 act=0F4E1F8F exp=0F4E1F8F + 5698.00ns INFO [00005700] [00005700] ...tick... + 5698.50ns INFO [00005700] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4 + 5698.50ns INFO [00005700] Port=0 WR @01=BEBB69D7 + 5698.50ns INFO [00005700] Port=0 RD @06 + 5699.50ns INFO [00005701] Port=0 WR @13=E5948AEF + 5699.50ns INFO [00005701] Port=0 RD @1A + 5699.50ns INFO [00005701] Port=1 RD @19 + 5700.50ns INFO [00005702] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4 + 5700.50ns INFO [00005702] Port=0 RD @05 + 5700.50ns INFO [00005702] Port=1 RD @08 + 5701.50ns INFO [00005703] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3 + 5701.50ns INFO [00005703] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182 + 5701.50ns INFO [00005703] Port=0 WR @13=CE753BBA + 5701.50ns INFO [00005703] Port=0 RD @1F + 5702.50ns INFO [00005704] * RD COMPARE * port=0 adr=05 act=9C6F3AAF exp=9C6F3AAF + 5702.50ns INFO [00005704] * RD COMPARE * port=1 adr=08 act=CF1F4686 exp=CF1F4686 + 5702.50ns INFO [00005704] Port=1 RD @1F + 5703.50ns INFO [00005705] * RD COMPARE * port=0 adr=1F act=182BCEB0 exp=182BCEB0 + 5703.50ns INFO [00005705] Port=1 RD @1D + 5704.50ns INFO [00005706] * RD COMPARE * port=1 adr=1F act=182BCEB0 exp=182BCEB0 + 5704.50ns INFO [00005706] Port=0 WR @10=B07CE1CA + 5704.50ns INFO [00005706] Port=0 RD @15 + 5704.50ns INFO [00005706] Port=1 RD @03 + 5705.50ns INFO [00005707] * RD COMPARE * port=1 adr=1D act=DBB24E9D exp=DBB24E9D + 5706.50ns INFO [00005708] * RD COMPARE * port=0 adr=15 act=B5D84A88 exp=B5D84A88 + 5706.50ns INFO [00005708] * RD COMPARE * port=1 adr=03 act=2F3E8BDC exp=2F3E8BDC + 5706.50ns INFO [00005708] Port=1 RD @19 + 5707.50ns INFO [00005709] Port=0 RD @14 + 5708.50ns INFO [00005710] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182 + 5708.50ns INFO [00005710] Port=0 WR @1C=7DAA3C9F + 5708.50ns INFO [00005710] Port=0 RD @10 + 5708.50ns INFO [00005710] Port=1 RD @17 + 5709.50ns INFO [00005711] * RD COMPARE * port=0 adr=14 act=F9C8BF9B exp=F9C8BF9B + 5709.50ns INFO [00005711] Port=0 WR @01=9D66AB45 + 5709.50ns INFO [00005711] Port=0 RD @15 + 5710.50ns INFO [00005712] * RD COMPARE * port=0 adr=10 act=B07CE1CA exp=B07CE1CA + 5710.50ns INFO [00005712] * RD COMPARE * port=1 adr=17 act=E3324224 exp=E3324224 + 5710.50ns INFO [00005712] Port=0 WR @1F=3947A34B + 5710.50ns INFO [00005712] Port=1 RD @14 + 5711.50ns INFO [00005713] * RD COMPARE * port=0 adr=15 act=B5D84A88 exp=B5D84A88 + 5711.50ns INFO [00005713] Port=0 WR @07=7D6D2031 + 5711.50ns INFO [00005713] Port=0 RD @13 + 5711.50ns INFO [00005713] Port=1 RD @1F + 5712.50ns INFO [00005714] * RD COMPARE * port=1 adr=14 act=F9C8BF9B exp=F9C8BF9B + 5712.50ns INFO [00005714] Port=0 RD @0F + 5713.50ns INFO [00005715] * RD COMPARE * port=0 adr=13 act=CE753BBA exp=CE753BBA + 5713.50ns INFO [00005715] * RD COMPARE * port=1 adr=1F act=3947A34B exp=3947A34B + 5713.50ns INFO [00005715] Port=0 WR @11=F6D96055 + 5713.50ns INFO [00005715] Port=1 RD @1F + 5714.50ns INFO [00005716] * RD COMPARE * port=0 adr=0F act=20474481 exp=20474481 + 5714.50ns INFO [00005716] Port=1 RD @1C + 5715.50ns INFO [00005717] * RD COMPARE * port=1 adr=1F act=3947A34B exp=3947A34B + 5716.50ns INFO [00005718] * RD COMPARE * port=1 adr=1C act=7DAA3C9F exp=7DAA3C9F + 5716.50ns INFO [00005718] Port=0 RD @11 + 5718.50ns INFO [00005720] * RD COMPARE * port=0 adr=11 act=F6D96055 exp=F6D96055 + 5718.50ns INFO [00005720] Port=1 RD @10 + 5719.50ns INFO [00005721] Port=0 RD @00 + 5720.50ns INFO [00005722] * RD COMPARE * port=1 adr=10 act=B07CE1CA exp=B07CE1CA + 5720.50ns INFO [00005722] Port=0 WR @1C=9B8510FC + 5720.50ns INFO [00005722] Port=1 RD @03 + 5721.50ns INFO [00005723] * RD COMPARE * port=0 adr=00 act=05345864 exp=05345864 + 5721.50ns INFO [00005723] Port=0 WR @07=46BBD368 + 5721.50ns INFO [00005723] Port=1 RD @03 + 5722.50ns INFO [00005724] * RD COMPARE * port=1 adr=03 act=2F3E8BDC exp=2F3E8BDC + 5722.50ns INFO [00005724] Port=0 RD @11 + 5722.50ns INFO [00005724] Port=1 RD @14 + 5723.50ns INFO [00005725] * RD COMPARE * port=1 adr=03 act=2F3E8BDC exp=2F3E8BDC + 5723.50ns INFO [00005725] Port=0 RD @1A + 5723.50ns INFO [00005725] Port=1 RD @05 + 5724.50ns INFO [00005726] * RD COMPARE * port=0 adr=11 act=F6D96055 exp=F6D96055 + 5724.50ns INFO [00005726] * RD COMPARE * port=1 adr=14 act=F9C8BF9B exp=F9C8BF9B + 5724.50ns INFO [00005726] Port=0 RD @10 + 5725.50ns INFO [00005727] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3 + 5725.50ns INFO [00005727] * RD COMPARE * port=1 adr=05 act=9C6F3AAF exp=9C6F3AAF + 5726.50ns INFO [00005728] * RD COMPARE * port=0 adr=10 act=B07CE1CA exp=B07CE1CA + 5727.50ns INFO [00005729] Port=1 RD @19 + 5728.50ns INFO [00005730] Port=0 WR @14=7D6B3701 + 5728.50ns INFO [00005730] Port=1 RD @1C + 5729.50ns INFO [00005731] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182 + 5729.50ns INFO [00005731] Port=0 WR @1B=49945BE1 + 5730.50ns INFO [00005732] * RD COMPARE * port=1 adr=1C act=9B8510FC exp=9B8510FC + 5730.50ns INFO [00005732] Port=1 RD @08 + 5731.50ns INFO [00005733] Port=0 WR @15=C10740EA + 5731.50ns INFO [00005733] Port=1 RD @1C + 5732.50ns INFO [00005734] * RD COMPARE * port=1 adr=08 act=CF1F4686 exp=CF1F4686 + 5732.50ns INFO [00005734] Port=0 WR @0B=B09E6D78 + 5732.50ns INFO [00005734] Port=0 RD @0E + 5733.50ns INFO [00005735] * RD COMPARE * port=1 adr=1C act=9B8510FC exp=9B8510FC + 5733.50ns INFO [00005735] Port=0 WR @15=43B6552C + 5734.50ns INFO [00005736] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112 + 5734.50ns INFO [00005736] Port=0 WR @16=5AA81673 + 5734.50ns INFO [00005736] Port=1 RD @09 + 5735.50ns INFO [00005737] Port=0 RD @18 + 5736.50ns INFO [00005738] * RD COMPARE * port=1 adr=09 act=DC85BD2B exp=DC85BD2B + 5736.50ns INFO [00005738] Port=1 RD @0B + 5737.50ns INFO [00005739] * RD COMPARE * port=0 adr=18 act=1F8CB1D4 exp=1F8CB1D4 + 5737.50ns INFO [00005739] Port=0 WR @1F=688BFC55 + 5737.50ns INFO [00005739] Port=0 RD @03 + 5738.50ns INFO [00005740] * RD COMPARE * port=1 adr=0B act=B09E6D78 exp=B09E6D78 + 5739.50ns INFO [00005741] * RD COMPARE * port=0 adr=03 act=2F3E8BDC exp=2F3E8BDC + 5741.50ns INFO [00005743] Port=0 WR @03=7518F9FB + 5741.50ns INFO [00005743] Port=0 RD @07 + 5741.50ns INFO [00005743] Port=1 RD @13 + 5742.50ns INFO [00005744] Port=0 WR @16=00EB6718 + 5742.50ns INFO [00005744] Port=0 RD @04 + 5742.50ns INFO [00005744] Port=1 RD @18 + 5743.50ns INFO [00005745] * RD COMPARE * port=0 adr=07 act=46BBD368 exp=46BBD368 + 5743.50ns INFO [00005745] * RD COMPARE * port=1 adr=13 act=CE753BBA exp=CE753BBA + 5743.50ns INFO [00005745] Port=0 RD @1F + 5744.50ns INFO [00005746] * RD COMPARE * port=0 adr=04 act=01B308D6 exp=01B308D6 + 5744.50ns INFO [00005746] * RD COMPARE * port=1 adr=18 act=1F8CB1D4 exp=1F8CB1D4 + 5744.50ns INFO [00005746] Port=0 WR @1C=B4516225 + 5744.50ns INFO [00005746] Port=0 RD @0D + 5745.50ns INFO [00005747] * RD COMPARE * port=0 adr=1F act=688BFC55 exp=688BFC55 + 5745.50ns INFO [00005747] Port=1 RD @1C + 5746.50ns INFO [00005748] * RD COMPARE * port=0 adr=0D act=574CEF3D exp=574CEF3D + 5747.50ns INFO [00005749] * RD COMPARE * port=1 adr=1C act=B4516225 exp=B4516225 + 5748.50ns INFO [00005750] Port=0 RD @1A + 5749.50ns INFO [00005751] Port=1 RD @01 + 5750.50ns INFO [00005752] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3 + 5750.50ns INFO [00005752] Port=0 WR @01=D891C732 + 5750.50ns INFO [00005752] Port=0 RD @0E + 5750.50ns INFO [00005752] Port=1 RD @16 + 5751.50ns INFO [00005753] * RD COMPARE * port=1 adr=01 act=9D66AB45 exp=9D66AB45 + 5751.50ns INFO [00005753] Port=0 WR @03=3846EA24 + 5751.50ns INFO [00005753] Port=1 RD @19 + 5752.50ns INFO [00005754] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112 + 5752.50ns INFO [00005754] * RD COMPARE * port=1 adr=16 act=00EB6718 exp=00EB6718 + 5752.50ns INFO [00005754] Port=0 WR @01=BD543A2C + 5752.50ns INFO [00005754] Port=0 RD @18 + 5752.50ns INFO [00005754] Port=1 RD @10 + 5753.50ns INFO [00005755] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182 + 5753.50ns INFO [00005755] Port=1 RD @0E + 5754.50ns INFO [00005756] * RD COMPARE * port=0 adr=18 act=1F8CB1D4 exp=1F8CB1D4 + 5754.50ns INFO [00005756] * RD COMPARE * port=1 adr=10 act=B07CE1CA exp=B07CE1CA + 5754.50ns INFO [00005756] Port=0 WR @12=38189AD3 + 5755.50ns INFO [00005757] * RD COMPARE * port=1 adr=0E act=1ECC7112 exp=1ECC7112 + 5756.50ns INFO [00005758] Port=0 WR @15=561F12EB + 5758.50ns INFO [00005760] Port=0 WR @11=5F271149 + 5758.50ns INFO [00005760] Port=0 RD @06 + 5759.50ns INFO [00005761] Port=0 WR @1C=800C55CD + 5759.50ns INFO [00005761] Port=1 RD @1B + 5760.50ns INFO [00005762] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4 + 5760.50ns INFO [00005762] Port=1 RD @0C + 5761.50ns INFO [00005763] * RD COMPARE * port=1 adr=1B act=49945BE1 exp=49945BE1 + 5762.50ns INFO [00005764] * RD COMPARE * port=1 adr=0C act=DA9C6427 exp=DA9C6427 + 5762.50ns INFO [00005764] Port=0 WR @12=A374B199 + 5762.50ns INFO [00005764] Port=1 RD @15 + 5763.50ns INFO [00005765] Port=0 RD @1F + 5764.50ns INFO [00005766] * RD COMPARE * port=1 adr=15 act=561F12EB exp=561F12EB + 5764.50ns INFO [00005766] Port=0 WR @09=923CB9F2 + 5764.50ns INFO [00005766] Port=0 RD @1C + 5765.50ns INFO [00005767] * RD COMPARE * port=0 adr=1F act=688BFC55 exp=688BFC55 + 5765.50ns INFO [00005767] Port=0 RD @1F + 5766.50ns INFO [00005768] * RD COMPARE * port=0 adr=1C act=800C55CD exp=800C55CD + 5766.50ns INFO [00005768] Port=0 WR @0B=083DC4AB + 5766.50ns INFO [00005768] Port=1 RD @1D + 5767.50ns INFO [00005769] * RD COMPARE * port=0 adr=1F act=688BFC55 exp=688BFC55 + 5767.50ns INFO [00005769] Port=0 WR @02=B5DC4A4D + 5768.50ns INFO [00005770] * RD COMPARE * port=1 adr=1D act=DBB24E9D exp=DBB24E9D + 5768.50ns INFO [00005770] Port=0 WR @1C=07378AFF + 5768.50ns INFO [00005770] Port=0 RD @15 + 5769.50ns INFO [00005771] Port=0 WR @1B=4C8CEE95 + 5770.50ns INFO [00005772] * RD COMPARE * port=0 adr=15 act=561F12EB exp=561F12EB + 5770.50ns INFO [00005772] Port=0 WR @11=D4F50E07 + 5771.50ns INFO [00005773] Port=0 WR @13=1BC6396B + 5771.50ns INFO [00005773] Port=1 RD @19 + 5772.50ns INFO [00005774] Port=1 RD @00 + 5773.50ns INFO [00005775] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182 + 5774.50ns INFO [00005776] * RD COMPARE * port=1 adr=00 act=05345864 exp=05345864 + 5774.50ns INFO [00005776] Port=0 WR @0F=E409752D + 5775.50ns INFO [00005777] Port=0 RD @1F + 5777.50ns INFO [00005779] * RD COMPARE * port=0 adr=1F act=688BFC55 exp=688BFC55 + 5778.50ns INFO [00005780] Port=0 WR @0C=BAB4D77D + 5778.50ns INFO [00005780] Port=1 RD @08 + 5780.50ns INFO [00005782] * RD COMPARE * port=1 adr=08 act=CF1F4686 exp=CF1F4686 + 5781.50ns INFO [00005783] Port=0 WR @11=9AE9E49A + 5781.50ns INFO [00005783] Port=1 RD @07 + 5783.50ns INFO [00005785] * RD COMPARE * port=1 adr=07 act=46BBD368 exp=46BBD368 + 5786.50ns INFO [00005788] Port=0 RD @08 + 5787.50ns INFO [00005789] Port=1 RD @04 + 5788.50ns INFO [00005790] * RD COMPARE * port=0 adr=08 act=CF1F4686 exp=CF1F4686 + 5788.50ns INFO [00005790] Port=0 RD @0F + 5788.50ns INFO [00005790] Port=1 RD @08 + 5789.50ns INFO [00005791] * RD COMPARE * port=1 adr=04 act=01B308D6 exp=01B308D6 + 5790.50ns INFO [00005792] * RD COMPARE * port=0 adr=0F act=E409752D exp=E409752D + 5790.50ns INFO [00005792] * RD COMPARE * port=1 adr=08 act=CF1F4686 exp=CF1F4686 + 5791.50ns INFO [00005793] Port=0 RD @05 + 5792.50ns INFO [00005794] Port=0 WR @09=75646939 + 5793.50ns INFO [00005795] * RD COMPARE * port=0 adr=05 act=9C6F3AAF exp=9C6F3AAF + 5793.50ns INFO [00005795] Port=0 RD @06 + 5793.50ns INFO [00005795] Port=1 RD @0C + 5795.50ns INFO [00005797] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4 + 5795.50ns INFO [00005797] * RD COMPARE * port=1 adr=0C act=BAB4D77D exp=BAB4D77D + 5795.50ns INFO [00005797] Port=0 WR @1C=F1E23F9E + 5795.50ns INFO [00005797] Port=0 RD @11 + 5796.50ns INFO [00005798] Port=0 WR @15=01D8CA26 + 5796.50ns INFO [00005798] Port=1 RD @13 + 5797.50ns INFO [00005799] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A + 5797.50ns INFO [00005799] Port=0 WR @10=B1287B61 + 5797.50ns INFO [00005799] Port=1 RD @11 + 5798.00ns INFO [00005800] [00005800] ...tick... + 5798.50ns INFO [00005800] * RD COMPARE * port=1 adr=13 act=1BC6396B exp=1BC6396B + 5798.50ns INFO [00005800] Port=0 WR @08=69871736 + 5798.50ns INFO [00005800] Port=1 RD @04 + 5799.50ns INFO [00005801] * RD COMPARE * port=1 adr=11 act=9AE9E49A exp=9AE9E49A + 5799.50ns INFO [00005801] Port=0 RD @05 + 5800.50ns INFO [00005802] * RD COMPARE * port=1 adr=04 act=01B308D6 exp=01B308D6 + 5800.50ns INFO [00005802] Port=0 WR @08=7A9ED4E1 + 5800.50ns INFO [00005802] Port=0 RD @0B + 5801.50ns INFO [00005803] * RD COMPARE * port=0 adr=05 act=9C6F3AAF exp=9C6F3AAF + 5801.50ns INFO [00005803] Port=0 RD @1E + 5802.50ns INFO [00005804] * RD COMPARE * port=0 adr=0B act=083DC4AB exp=083DC4AB + 5802.50ns INFO [00005804] Port=0 WR @00=EF910152 + 5802.50ns INFO [00005804] Port=0 RD @0D + 5802.50ns INFO [00005804] Port=1 RD @1C + 5803.50ns INFO [00005805] * RD COMPARE * port=0 adr=1E act=2BCBD73E exp=2BCBD73E + 5804.50ns INFO [00005806] * RD COMPARE * port=0 adr=0D act=574CEF3D exp=574CEF3D + 5804.50ns INFO [00005806] * RD COMPARE * port=1 adr=1C act=F1E23F9E exp=F1E23F9E + 5805.50ns INFO [00005807] Port=0 WR @09=0D157DA1 + 5805.50ns INFO [00005807] Port=0 RD @10 + 5807.50ns INFO [00005809] * RD COMPARE * port=0 adr=10 act=B1287B61 exp=B1287B61 + 5807.50ns INFO [00005809] Port=0 WR @14=A469CB56 + 5807.50ns INFO [00005809] Port=0 RD @0A + 5807.50ns INFO [00005809] Port=1 RD @12 + 5809.50ns INFO [00005811] * RD COMPARE * port=0 adr=0A act=8809B9E9 exp=8809B9E9 + 5809.50ns INFO [00005811] * RD COMPARE * port=1 adr=12 act=A374B199 exp=A374B199 + 5810.50ns INFO [00005812] Port=1 RD @07 + 5811.50ns INFO [00005813] Port=0 WR @00=6FF8876C + 5811.50ns INFO [00005813] Port=0 RD @1F + 5811.50ns INFO [00005813] Port=1 RD @02 + 5812.50ns INFO [00005814] * RD COMPARE * port=1 adr=07 act=46BBD368 exp=46BBD368 + 5812.50ns INFO [00005814] Port=1 RD @15 + 5813.50ns INFO [00005815] * RD COMPARE * port=0 adr=1F act=688BFC55 exp=688BFC55 + 5813.50ns INFO [00005815] * RD COMPARE * port=1 adr=02 act=B5DC4A4D exp=B5DC4A4D + 5813.50ns INFO [00005815] Port=0 RD @05 + 5814.50ns INFO [00005816] * RD COMPARE * port=1 adr=15 act=01D8CA26 exp=01D8CA26 + 5814.50ns INFO [00005816] Port=0 WR @1E=96EF283B + 5814.50ns INFO [00005816] Port=0 RD @08 + 5815.50ns INFO [00005817] * RD COMPARE * port=0 adr=05 act=9C6F3AAF exp=9C6F3AAF + 5815.50ns INFO [00005817] Port=0 RD @0D + 5816.50ns INFO [00005818] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1 + 5816.50ns INFO [00005818] Port=0 WR @16=D639226A + 5816.50ns INFO [00005818] Port=0 RD @0F + 5817.50ns INFO [00005819] * RD COMPARE * port=0 adr=0D act=574CEF3D exp=574CEF3D + 5817.50ns INFO [00005819] Port=0 WR @1C=B40E7CEB + 5817.50ns INFO [00005819] Port=0 RD @0E + 5817.50ns INFO [00005819] Port=1 RD @0F + 5818.50ns INFO [00005820] * RD COMPARE * port=0 adr=0F act=E409752D exp=E409752D + 5818.50ns INFO [00005820] Port=0 WR @00=52860905 + 5818.50ns INFO [00005820] Port=0 RD @0E + 5818.50ns INFO [00005820] Port=1 RD @1F + 5819.50ns INFO [00005821] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112 + 5819.50ns INFO [00005821] * RD COMPARE * port=1 adr=0F act=E409752D exp=E409752D + 5819.50ns INFO [00005821] Port=1 RD @18 + 5820.50ns INFO [00005822] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112 + 5820.50ns INFO [00005822] * RD COMPARE * port=1 adr=1F act=688BFC55 exp=688BFC55 + 5820.50ns INFO [00005822] Port=1 RD @0E + 5821.50ns INFO [00005823] * RD COMPARE * port=1 adr=18 act=1F8CB1D4 exp=1F8CB1D4 + 5822.50ns INFO [00005824] * RD COMPARE * port=1 adr=0E act=1ECC7112 exp=1ECC7112 + 5822.50ns INFO [00005824] Port=1 RD @1B + 5823.50ns INFO [00005825] Port=0 WR @14=98E022E8 + 5823.50ns INFO [00005825] Port=1 RD @09 + 5824.50ns INFO [00005826] * RD COMPARE * port=1 adr=1B act=4C8CEE95 exp=4C8CEE95 + 5824.50ns INFO [00005826] Port=0 WR @01=FE314F87 + 5825.50ns INFO [00005827] * RD COMPARE * port=1 adr=09 act=0D157DA1 exp=0D157DA1 + 5825.50ns INFO [00005827] Port=0 WR @0C=9DF0CBDF + 5825.50ns INFO [00005827] Port=0 RD @19 + 5827.50ns INFO [00005829] * RD COMPARE * port=0 adr=19 act=24C11182 exp=24C11182 + 5828.50ns INFO [00005830] Port=0 RD @08 + 5828.50ns INFO [00005830] Port=1 RD @0F + 5829.50ns INFO [00005831] Port=0 WR @10=ABFF3465 + 5829.50ns INFO [00005831] Port=1 RD @0B + 5830.50ns INFO [00005832] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1 + 5830.50ns INFO [00005832] * RD COMPARE * port=1 adr=0F act=E409752D exp=E409752D + 5831.50ns INFO [00005833] * RD COMPARE * port=1 adr=0B act=083DC4AB exp=083DC4AB + 5831.50ns INFO [00005833] Port=0 RD @0E + 5832.50ns INFO [00005834] Port=0 WR @1C=701D8F70 + 5833.50ns INFO [00005835] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112 + 5833.50ns INFO [00005835] Port=0 WR @02=2A4C6475 + 5834.50ns INFO [00005836] Port=0 RD @08 + 5834.50ns INFO [00005836] Port=1 RD @02 + 5835.50ns INFO [00005837] Port=0 WR @1D=4A3BEB5B + 5835.50ns INFO [00005837] Port=1 RD @0A + 5836.50ns INFO [00005838] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1 + 5836.50ns INFO [00005838] * RD COMPARE * port=1 adr=02 act=2A4C6475 exp=2A4C6475 + 5836.50ns INFO [00005838] Port=0 WR @0D=54365409 + 5836.50ns INFO [00005838] Port=0 RD @15 + 5837.50ns INFO [00005839] * RD COMPARE * port=1 adr=0A act=8809B9E9 exp=8809B9E9 + 5838.50ns INFO [00005840] * RD COMPARE * port=0 adr=15 act=01D8CA26 exp=01D8CA26 + 5838.50ns INFO [00005840] Port=0 RD @18 + 5838.50ns INFO [00005840] Port=1 RD @0B + 5839.50ns INFO [00005841] Port=1 RD @04 + 5840.50ns INFO [00005842] * RD COMPARE * port=0 adr=18 act=1F8CB1D4 exp=1F8CB1D4 + 5840.50ns INFO [00005842] * RD COMPARE * port=1 adr=0B act=083DC4AB exp=083DC4AB + 5840.50ns INFO [00005842] Port=0 WR @03=8AD58CD8 + 5840.50ns INFO [00005842] Port=0 RD @0E + 5840.50ns INFO [00005842] Port=1 RD @1E + 5841.50ns INFO [00005843] * RD COMPARE * port=1 adr=04 act=01B308D6 exp=01B308D6 + 5841.50ns INFO [00005843] Port=0 WR @19=41A7B643 + 5841.50ns INFO [00005843] Port=1 RD @07 + 5842.50ns INFO [00005844] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112 + 5842.50ns INFO [00005844] * RD COMPARE * port=1 adr=1E act=96EF283B exp=96EF283B + 5842.50ns INFO [00005844] Port=0 WR @05=1A05FC09 + 5842.50ns INFO [00005844] Port=0 RD @1A + 5843.50ns INFO [00005845] * RD COMPARE * port=1 adr=07 act=46BBD368 exp=46BBD368 + 5843.50ns INFO [00005845] Port=0 WR @03=0EDF3D3E + 5844.50ns INFO [00005846] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3 + 5844.50ns INFO [00005846] Port=1 RD @0E + 5845.50ns INFO [00005847] Port=0 RD @08 + 5845.50ns INFO [00005847] Port=1 RD @1C + 5846.50ns INFO [00005848] * RD COMPARE * port=1 adr=0E act=1ECC7112 exp=1ECC7112 + 5846.50ns INFO [00005848] Port=0 RD @0B + 5847.50ns INFO [00005849] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1 + 5847.50ns INFO [00005849] * RD COMPARE * port=1 adr=1C act=701D8F70 exp=701D8F70 + 5847.50ns INFO [00005849] Port=1 RD @10 + 5848.50ns INFO [00005850] * RD COMPARE * port=0 adr=0B act=083DC4AB exp=083DC4AB + 5848.50ns INFO [00005850] Port=0 RD @1E + 5848.50ns INFO [00005850] Port=1 RD @12 + 5849.50ns INFO [00005851] * RD COMPARE * port=1 adr=10 act=ABFF3465 exp=ABFF3465 + 5849.50ns INFO [00005851] Port=0 WR @04=49BA032C + 5849.50ns INFO [00005851] Port=0 RD @06 + 5849.50ns INFO [00005851] Port=1 RD @1D + 5850.50ns INFO [00005852] * RD COMPARE * port=0 adr=1E act=96EF283B exp=96EF283B + 5850.50ns INFO [00005852] * RD COMPARE * port=1 adr=12 act=A374B199 exp=A374B199 + 5850.50ns INFO [00005852] Port=0 WR @07=7DD963A4 + 5851.50ns INFO [00005853] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4 + 5851.50ns INFO [00005853] * RD COMPARE * port=1 adr=1D act=4A3BEB5B exp=4A3BEB5B + 5851.50ns INFO [00005853] Port=0 WR @0B=E6C03F79 + 5851.50ns INFO [00005853] Port=1 RD @07 + 5853.50ns INFO [00005855] * RD COMPARE * port=1 adr=07 act=7DD963A4 exp=7DD963A4 + 5853.50ns INFO [00005855] Port=0 RD @05 + 5853.50ns INFO [00005855] Port=1 RD @13 + 5854.50ns INFO [00005856] Port=0 WR @00=9CC86A96 + 5855.50ns INFO [00005857] * RD COMPARE * port=0 adr=05 act=1A05FC09 exp=1A05FC09 + 5855.50ns INFO [00005857] * RD COMPARE * port=1 adr=13 act=1BC6396B exp=1BC6396B + 5857.50ns INFO [00005859] Port=1 RD @05 + 5858.50ns INFO [00005860] Port=0 WR @0C=87117D47 + 5858.50ns INFO [00005860] Port=0 RD @01 + 5858.50ns INFO [00005860] Port=1 RD @0E + 5859.50ns INFO [00005861] * RD COMPARE * port=1 adr=05 act=1A05FC09 exp=1A05FC09 + 5860.50ns INFO [00005862] * RD COMPARE * port=0 adr=01 act=FE314F87 exp=FE314F87 + 5860.50ns INFO [00005862] * RD COMPARE * port=1 adr=0E act=1ECC7112 exp=1ECC7112 + 5860.50ns INFO [00005862] Port=0 RD @08 + 5862.50ns INFO [00005864] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1 + 5862.50ns INFO [00005864] Port=0 WR @02=19F042DD + 5862.50ns INFO [00005864] Port=0 RD @0C + 5862.50ns INFO [00005864] Port=1 RD @06 + 5864.50ns INFO [00005866] * RD COMPARE * port=0 adr=0C act=87117D47 exp=87117D47 + 5864.50ns INFO [00005866] * RD COMPARE * port=1 adr=06 act=B56C53E4 exp=B56C53E4 + 5866.50ns INFO [00005868] Port=0 RD @04 + 5867.50ns INFO [00005869] Port=0 WR @1E=A1A81E19 + 5868.50ns INFO [00005870] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C + 5869.50ns INFO [00005871] Port=0 WR @0E=02134EB8 + 5869.50ns INFO [00005871] Port=0 RD @19 + 5870.50ns INFO [00005872] Port=0 WR @1F=5325B10B + 5871.50ns INFO [00005873] * RD COMPARE * port=0 adr=19 act=41A7B643 exp=41A7B643 + 5871.50ns INFO [00005873] Port=0 WR @07=861C4B07 + 5871.50ns INFO [00005873] Port=0 RD @19 + 5872.50ns INFO [00005874] Port=0 RD @00 + 5872.50ns INFO [00005874] Port=1 RD @04 + 5873.50ns INFO [00005875] * RD COMPARE * port=0 adr=19 act=41A7B643 exp=41A7B643 + 5873.50ns INFO [00005875] Port=0 RD @0F + 5874.50ns INFO [00005876] * RD COMPARE * port=0 adr=00 act=9CC86A96 exp=9CC86A96 + 5874.50ns INFO [00005876] * RD COMPARE * port=1 adr=04 act=49BA032C exp=49BA032C + 5875.50ns INFO [00005877] * RD COMPARE * port=0 adr=0F act=E409752D exp=E409752D + 5875.50ns INFO [00005877] Port=0 WR @12=07BD8226 + 5876.50ns INFO [00005878] Port=1 RD @0D + 5877.50ns INFO [00005879] Port=0 WR @1B=A7F4CD3E + 5878.50ns INFO [00005880] * RD COMPARE * port=1 adr=0D act=54365409 exp=54365409 + 5878.50ns INFO [00005880] Port=0 WR @0D=1E82BED4 + 5878.50ns INFO [00005880] Port=0 RD @1A + 5879.50ns INFO [00005881] Port=0 RD @03 + 5880.50ns INFO [00005882] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3 + 5880.50ns INFO [00005882] Port=0 RD @11 + 5880.50ns INFO [00005882] Port=1 RD @0C + 5881.50ns INFO [00005883] * RD COMPARE * port=0 adr=03 act=0EDF3D3E exp=0EDF3D3E + 5881.50ns INFO [00005883] Port=0 WR @10=041AD8AE + 5881.50ns INFO [00005883] Port=0 RD @18 + 5881.50ns INFO [00005883] Port=1 RD @14 + 5882.50ns INFO [00005884] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A + 5882.50ns INFO [00005884] * RD COMPARE * port=1 adr=0C act=87117D47 exp=87117D47 + 5882.50ns INFO [00005884] Port=0 WR @07=F098B69D + 5882.50ns INFO [00005884] Port=0 RD @06 + 5883.50ns INFO [00005885] * RD COMPARE * port=0 adr=18 act=1F8CB1D4 exp=1F8CB1D4 + 5883.50ns INFO [00005885] * RD COMPARE * port=1 adr=14 act=98E022E8 exp=98E022E8 + 5883.50ns INFO [00005885] Port=1 RD @06 + 5884.50ns INFO [00005886] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4 + 5884.50ns INFO [00005886] Port=0 WR @18=10E369F6 + 5884.50ns INFO [00005886] Port=0 RD @08 + 5884.50ns INFO [00005886] Port=1 RD @0E + 5885.50ns INFO [00005887] * RD COMPARE * port=1 adr=06 act=B56C53E4 exp=B56C53E4 + 5885.50ns INFO [00005887] Port=0 WR @07=CC46885F + 5885.50ns INFO [00005887] Port=1 RD @0B + 5886.50ns INFO [00005888] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1 + 5886.50ns INFO [00005888] * RD COMPARE * port=1 adr=0E act=02134EB8 exp=02134EB8 + 5886.50ns INFO [00005888] Port=1 RD @00 + 5887.50ns INFO [00005889] * RD COMPARE * port=1 adr=0B act=E6C03F79 exp=E6C03F79 + 5887.50ns INFO [00005889] Port=0 WR @16=8229AF39 + 5887.50ns INFO [00005889] Port=0 RD @11 + 5888.50ns INFO [00005890] * RD COMPARE * port=1 adr=00 act=9CC86A96 exp=9CC86A96 + 5888.50ns INFO [00005890] Port=0 RD @08 + 5889.50ns INFO [00005891] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A + 5889.50ns INFO [00005891] Port=0 WR @1C=74FEDB96 + 5890.50ns INFO [00005892] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1 + 5890.50ns INFO [00005892] Port=0 WR @0C=972B483F + 5890.50ns INFO [00005892] Port=0 RD @11 + 5892.50ns INFO [00005894] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A + 5892.50ns INFO [00005894] Port=1 RD @0C + 5893.50ns INFO [00005895] Port=0 WR @1F=0C575E05 + 5894.50ns INFO [00005896] * RD COMPARE * port=1 adr=0C act=972B483F exp=972B483F + 5894.50ns INFO [00005896] Port=1 RD @09 + 5895.50ns INFO [00005897] Port=0 WR @17=31564360 + 5895.50ns INFO [00005897] Port=0 RD @1E + 5895.50ns INFO [00005897] Port=1 RD @09 + 5896.50ns INFO [00005898] * RD COMPARE * port=1 adr=09 act=0D157DA1 exp=0D157DA1 + 5896.50ns INFO [00005898] Port=0 WR @10=814851AB + 5896.50ns INFO [00005898] Port=0 RD @13 + 5897.50ns INFO [00005899] * RD COMPARE * port=0 adr=1E act=A1A81E19 exp=A1A81E19 + 5897.50ns INFO [00005899] * RD COMPARE * port=1 adr=09 act=0D157DA1 exp=0D157DA1 + 5898.00ns INFO [00005900] [00005900] ...tick... + 5898.50ns INFO [00005900] * RD COMPARE * port=0 adr=13 act=1BC6396B exp=1BC6396B + 5898.50ns INFO [00005900] Port=0 WR @06=5F212BA2 + 5898.50ns INFO [00005900] Port=1 RD @03 + 5899.50ns INFO [00005901] Port=0 RD @04 + 5899.50ns INFO [00005901] Port=1 RD @15 + 5900.50ns INFO [00005902] * RD COMPARE * port=1 adr=03 act=0EDF3D3E exp=0EDF3D3E + 5901.50ns INFO [00005903] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C + 5901.50ns INFO [00005903] * RD COMPARE * port=1 adr=15 act=01D8CA26 exp=01D8CA26 + 5901.50ns INFO [00005903] Port=0 RD @0E + 5902.50ns INFO [00005904] Port=0 RD @11 + 5903.50ns INFO [00005905] * RD COMPARE * port=0 adr=0E act=02134EB8 exp=02134EB8 + 5903.50ns INFO [00005905] Port=0 WR @07=298ABC53 + 5903.50ns INFO [00005905] Port=0 RD @11 + 5904.50ns INFO [00005906] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A + 5904.50ns INFO [00005906] Port=0 RD @02 + 5905.50ns INFO [00005907] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A + 5906.50ns INFO [00005908] * RD COMPARE * port=0 adr=02 act=19F042DD exp=19F042DD + 5906.50ns INFO [00005908] Port=0 RD @05 + 5908.50ns INFO [00005910] * RD COMPARE * port=0 adr=05 act=1A05FC09 exp=1A05FC09 + 5908.50ns INFO [00005910] Port=0 WR @03=2E4432D7 + 5910.50ns INFO [00005912] Port=0 RD @0D + 5911.50ns INFO [00005913] Port=0 WR @0D=8EBD323A + 5911.50ns INFO [00005913] Port=1 RD @03 + 5912.50ns INFO [00005914] * RD COMPARE * port=0 adr=0D act=1E82BED4 exp=1E82BED4 + 5912.50ns INFO [00005914] Port=1 RD @01 + 5913.50ns INFO [00005915] * RD COMPARE * port=1 adr=03 act=2E4432D7 exp=2E4432D7 + 5914.50ns INFO [00005916] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87 + 5914.50ns INFO [00005916] Port=1 RD @07 + 5916.50ns INFO [00005918] * RD COMPARE * port=1 adr=07 act=298ABC53 exp=298ABC53 + 5916.50ns INFO [00005918] Port=0 RD @0B + 5916.50ns INFO [00005918] Port=1 RD @01 + 5917.50ns INFO [00005919] Port=0 WR @19=2CBCA633 + 5917.50ns INFO [00005919] Port=0 RD @14 + 5917.50ns INFO [00005919] Port=1 RD @10 + 5918.50ns INFO [00005920] * RD COMPARE * port=0 adr=0B act=E6C03F79 exp=E6C03F79 + 5918.50ns INFO [00005920] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87 + 5919.50ns INFO [00005921] * RD COMPARE * port=0 adr=14 act=98E022E8 exp=98E022E8 + 5919.50ns INFO [00005921] * RD COMPARE * port=1 adr=10 act=814851AB exp=814851AB + 5919.50ns INFO [00005921] Port=1 RD @00 + 5921.50ns INFO [00005923] * RD COMPARE * port=1 adr=00 act=9CC86A96 exp=9CC86A96 + 5921.50ns INFO [00005923] Port=0 WR @17=C9B50CF8 + 5921.50ns INFO [00005923] Port=0 RD @1D + 5922.50ns INFO [00005924] Port=1 RD @02 + 5923.50ns INFO [00005925] * RD COMPARE * port=0 adr=1D act=4A3BEB5B exp=4A3BEB5B + 5924.50ns INFO [00005926] * RD COMPARE * port=1 adr=02 act=19F042DD exp=19F042DD + 5924.50ns INFO [00005926] Port=0 WR @03=DD72F82E + 5924.50ns INFO [00005926] Port=0 RD @0F + 5924.50ns INFO [00005926] Port=1 RD @01 + 5926.50ns INFO [00005928] * RD COMPARE * port=0 adr=0F act=E409752D exp=E409752D + 5926.50ns INFO [00005928] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87 + 5926.50ns INFO [00005928] Port=0 RD @07 + 5926.50ns INFO [00005928] Port=1 RD @1A + 5928.50ns INFO [00005930] * RD COMPARE * port=0 adr=07 act=298ABC53 exp=298ABC53 + 5928.50ns INFO [00005930] * RD COMPARE * port=1 adr=1A act=375D17B3 exp=375D17B3 + 5929.50ns INFO [00005931] Port=1 RD @17 + 5930.50ns INFO [00005932] Port=0 RD @19 + 5930.50ns INFO [00005932] Port=1 RD @13 + 5931.50ns INFO [00005933] * RD COMPARE * port=1 adr=17 act=C9B50CF8 exp=C9B50CF8 + 5931.50ns INFO [00005933] Port=0 WR @0C=7134D5B5 + 5931.50ns INFO [00005933] Port=0 RD @02 + 5931.50ns INFO [00005933] Port=1 RD @03 + 5932.50ns INFO [00005934] * RD COMPARE * port=0 adr=19 act=2CBCA633 exp=2CBCA633 + 5932.50ns INFO [00005934] * RD COMPARE * port=1 adr=13 act=1BC6396B exp=1BC6396B + 5932.50ns INFO [00005934] Port=0 WR @07=3DCE1A0C + 5932.50ns INFO [00005934] Port=0 RD @0C + 5932.50ns INFO [00005934] Port=1 RD @05 + 5933.50ns INFO [00005935] * RD COMPARE * port=0 adr=02 act=19F042DD exp=19F042DD + 5933.50ns INFO [00005935] * RD COMPARE * port=1 adr=03 act=DD72F82E exp=DD72F82E + 5933.50ns INFO [00005935] Port=0 WR @06=D8001E20 + 5934.50ns INFO [00005936] * RD COMPARE * port=0 adr=0C act=7134D5B5 exp=7134D5B5 + 5934.50ns INFO [00005936] * RD COMPARE * port=1 adr=05 act=1A05FC09 exp=1A05FC09 + 5934.50ns INFO [00005936] Port=1 RD @03 + 5935.50ns INFO [00005937] Port=0 WR @0B=FA6CB3C7 + 5935.50ns INFO [00005937] Port=1 RD @00 + 5936.50ns INFO [00005938] * RD COMPARE * port=1 adr=03 act=DD72F82E exp=DD72F82E + 5936.50ns INFO [00005938] Port=0 RD @03 + 5936.50ns INFO [00005938] Port=1 RD @0D + 5937.50ns INFO [00005939] * RD COMPARE * port=1 adr=00 act=9CC86A96 exp=9CC86A96 + 5938.50ns INFO [00005940] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E + 5938.50ns INFO [00005940] * RD COMPARE * port=1 adr=0D act=8EBD323A exp=8EBD323A + 5938.50ns INFO [00005940] Port=0 RD @03 + 5938.50ns INFO [00005940] Port=1 RD @07 + 5939.50ns INFO [00005941] Port=0 WR @1B=5FEBDC0C + 5939.50ns INFO [00005941] Port=0 RD @13 + 5939.50ns INFO [00005941] Port=1 RD @19 + 5940.50ns INFO [00005942] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E + 5940.50ns INFO [00005942] * RD COMPARE * port=1 adr=07 act=3DCE1A0C exp=3DCE1A0C + 5940.50ns INFO [00005942] Port=0 WR @08=F1EAA825 + 5941.50ns INFO [00005943] * RD COMPARE * port=0 adr=13 act=1BC6396B exp=1BC6396B + 5941.50ns INFO [00005943] * RD COMPARE * port=1 adr=19 act=2CBCA633 exp=2CBCA633 + 5942.50ns INFO [00005944] Port=0 WR @14=4FD0D582 + 5942.50ns INFO [00005944] Port=1 RD @08 + 5943.50ns INFO [00005945] Port=0 WR @05=73EC0A38 + 5943.50ns INFO [00005945] Port=0 RD @1B + 5944.50ns INFO [00005946] * RD COMPARE * port=1 adr=08 act=F1EAA825 exp=F1EAA825 + 5945.50ns INFO [00005947] * RD COMPARE * port=0 adr=1B act=5FEBDC0C exp=5FEBDC0C + 5945.50ns INFO [00005947] Port=0 RD @01 + 5946.50ns INFO [00005948] Port=0 WR @0A=54CA9FC8 + 5946.50ns INFO [00005948] Port=0 RD @16 + 5946.50ns INFO [00005948] Port=1 RD @1C + 5947.50ns INFO [00005949] * RD COMPARE * port=0 adr=01 act=FE314F87 exp=FE314F87 + 5947.50ns INFO [00005949] Port=0 RD @15 + 5948.50ns INFO [00005950] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39 + 5948.50ns INFO [00005950] * RD COMPARE * port=1 adr=1C act=74FEDB96 exp=74FEDB96 + 5948.50ns INFO [00005950] Port=0 RD @13 + 5949.50ns INFO [00005951] * RD COMPARE * port=0 adr=15 act=01D8CA26 exp=01D8CA26 + 5949.50ns INFO [00005951] Port=0 RD @1B + 5949.50ns INFO [00005951] Port=1 RD @18 + 5950.50ns INFO [00005952] * RD COMPARE * port=0 adr=13 act=1BC6396B exp=1BC6396B + 5950.50ns INFO [00005952] Port=0 WR @1C=C3160C25 + 5950.50ns INFO [00005952] Port=0 RD @1E + 5951.50ns INFO [00005953] * RD COMPARE * port=0 adr=1B act=5FEBDC0C exp=5FEBDC0C + 5951.50ns INFO [00005953] * RD COMPARE * port=1 adr=18 act=10E369F6 exp=10E369F6 + 5952.50ns INFO [00005954] * RD COMPARE * port=0 adr=1E act=A1A81E19 exp=A1A81E19 + 5952.50ns INFO [00005954] Port=0 RD @04 + 5952.50ns INFO [00005954] Port=1 RD @0B + 5954.50ns INFO [00005956] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C + 5954.50ns INFO [00005956] * RD COMPARE * port=1 adr=0B act=FA6CB3C7 exp=FA6CB3C7 + 5954.50ns INFO [00005956] Port=0 RD @0C + 5956.50ns INFO [00005958] * RD COMPARE * port=0 adr=0C act=7134D5B5 exp=7134D5B5 + 5956.50ns INFO [00005958] Port=0 WR @19=77E5F63C + 5956.50ns INFO [00005958] Port=1 RD @0B + 5957.50ns INFO [00005959] Port=0 WR @11=EF59B860 + 5957.50ns INFO [00005959] Port=0 RD @16 + 5957.50ns INFO [00005959] Port=1 RD @04 + 5958.50ns INFO [00005960] * RD COMPARE * port=1 adr=0B act=FA6CB3C7 exp=FA6CB3C7 + 5958.50ns INFO [00005960] Port=0 RD @01 + 5958.50ns INFO [00005960] Port=1 RD @1C + 5959.50ns INFO [00005961] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39 + 5959.50ns INFO [00005961] * RD COMPARE * port=1 adr=04 act=49BA032C exp=49BA032C + 5959.50ns INFO [00005961] Port=1 RD @1F + 5960.50ns INFO [00005962] * RD COMPARE * port=0 adr=01 act=FE314F87 exp=FE314F87 + 5960.50ns INFO [00005962] * RD COMPARE * port=1 adr=1C act=C3160C25 exp=C3160C25 + 5960.50ns INFO [00005962] Port=0 RD @1E + 5961.50ns INFO [00005963] * RD COMPARE * port=1 adr=1F act=0C575E05 exp=0C575E05 + 5961.50ns INFO [00005963] Port=0 RD @03 + 5961.50ns INFO [00005963] Port=1 RD @12 + 5962.50ns INFO [00005964] * RD COMPARE * port=0 adr=1E act=A1A81E19 exp=A1A81E19 + 5963.50ns INFO [00005965] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E + 5963.50ns INFO [00005965] * RD COMPARE * port=1 adr=12 act=07BD8226 exp=07BD8226 + 5965.50ns INFO [00005967] Port=0 RD @09 + 5967.50ns INFO [00005969] * RD COMPARE * port=0 adr=09 act=0D157DA1 exp=0D157DA1 + 5967.50ns INFO [00005969] Port=1 RD @16 + 5968.50ns INFO [00005970] Port=0 WR @15=81C8C6C8 + 5969.50ns INFO [00005971] * RD COMPARE * port=1 adr=16 act=8229AF39 exp=8229AF39 + 5969.50ns INFO [00005971] Port=0 WR @0F=80031F78 + 5969.50ns INFO [00005971] Port=0 RD @1D + 5970.50ns INFO [00005972] Port=0 WR @19=889897A8 + 5971.50ns INFO [00005973] * RD COMPARE * port=0 adr=1D act=4A3BEB5B exp=4A3BEB5B + 5971.50ns INFO [00005973] Port=0 WR @1E=D212BE0E + 5971.50ns INFO [00005973] Port=0 RD @07 + 5972.50ns INFO [00005974] Port=0 RD @08 + 5972.50ns INFO [00005974] Port=1 RD @17 + 5973.50ns INFO [00005975] * RD COMPARE * port=0 adr=07 act=3DCE1A0C exp=3DCE1A0C + 5973.50ns INFO [00005975] Port=0 WR @11=E8B2AA17 + 5974.50ns INFO [00005976] * RD COMPARE * port=0 adr=08 act=F1EAA825 exp=F1EAA825 + 5974.50ns INFO [00005976] * RD COMPARE * port=1 adr=17 act=C9B50CF8 exp=C9B50CF8 + 5974.50ns INFO [00005976] Port=0 WR @02=8B003340 + 5974.50ns INFO [00005976] Port=1 RD @14 + 5975.50ns INFO [00005977] Port=0 RD @03 + 5976.50ns INFO [00005978] * RD COMPARE * port=1 adr=14 act=4FD0D582 exp=4FD0D582 + 5976.50ns INFO [00005978] Port=0 RD @0E + 5976.50ns INFO [00005978] Port=1 RD @05 + 5977.50ns INFO [00005979] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E + 5977.50ns INFO [00005979] Port=0 WR @10=D5FC9564 + 5977.50ns INFO [00005979] Port=1 RD @01 + 5978.50ns INFO [00005980] * RD COMPARE * port=0 adr=0E act=02134EB8 exp=02134EB8 + 5978.50ns INFO [00005980] * RD COMPARE * port=1 adr=05 act=73EC0A38 exp=73EC0A38 + 5978.50ns INFO [00005980] Port=0 WR @1D=71667BF5 + 5978.50ns INFO [00005980] Port=0 RD @1C + 5978.50ns INFO [00005980] Port=1 RD @10 + 5979.50ns INFO [00005981] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87 + 5979.50ns INFO [00005981] Port=0 WR @1C=C67BA65A + 5979.50ns INFO [00005981] Port=0 RD @0C + 5980.50ns INFO [00005982] * RD COMPARE * port=0 adr=1C act=C3160C25 exp=C3160C25 + 5980.50ns INFO [00005982] * RD COMPARE * port=1 adr=10 act=D5FC9564 exp=D5FC9564 + 5980.50ns INFO [00005982] Port=0 WR @13=97450AE6 + 5981.50ns INFO [00005983] * RD COMPARE * port=0 adr=0C act=7134D5B5 exp=7134D5B5 + 5981.50ns INFO [00005983] Port=0 RD @17 + 5982.50ns INFO [00005984] Port=0 RD @13 + 5982.50ns INFO [00005984] Port=1 RD @01 + 5983.50ns INFO [00005985] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8 + 5983.50ns INFO [00005985] Port=0 WR @0E=8EA2F2D5 + 5983.50ns INFO [00005985] Port=0 RD @08 + 5984.50ns INFO [00005986] * RD COMPARE * port=0 adr=13 act=97450AE6 exp=97450AE6 + 5984.50ns INFO [00005986] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87 + 5984.50ns INFO [00005986] Port=0 RD @0A + 5985.50ns INFO [00005987] * RD COMPARE * port=0 adr=08 act=F1EAA825 exp=F1EAA825 + 5985.50ns INFO [00005987] Port=0 WR @0D=9FD16918 + 5985.50ns INFO [00005987] Port=0 RD @1F + 5986.50ns INFO [00005988] * RD COMPARE * port=0 adr=0A act=54CA9FC8 exp=54CA9FC8 + 5986.50ns INFO [00005988] Port=1 RD @01 + 5987.50ns INFO [00005989] * RD COMPARE * port=0 adr=1F act=0C575E05 exp=0C575E05 + 5987.50ns INFO [00005989] Port=1 RD @0C + 5988.50ns INFO [00005990] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87 + 5988.50ns INFO [00005990] Port=0 WR @14=921111D7 + 5988.50ns INFO [00005990] Port=1 RD @10 + 5989.50ns INFO [00005991] * RD COMPARE * port=1 adr=0C act=7134D5B5 exp=7134D5B5 + 5989.50ns INFO [00005991] Port=0 RD @1D + 5990.50ns INFO [00005992] * RD COMPARE * port=1 adr=10 act=D5FC9564 exp=D5FC9564 + 5991.50ns INFO [00005993] * RD COMPARE * port=0 adr=1D act=71667BF5 exp=71667BF5 + 5991.50ns INFO [00005993] Port=1 RD @19 + 5992.50ns INFO [00005994] Port=0 RD @1C + 5993.50ns INFO [00005995] * RD COMPARE * port=1 adr=19 act=889897A8 exp=889897A8 + 5993.50ns INFO [00005995] Port=0 RD @09 + 5993.50ns INFO [00005995] Port=1 RD @06 + 5994.50ns INFO [00005996] * RD COMPARE * port=0 adr=1C act=C67BA65A exp=C67BA65A + 5994.50ns INFO [00005996] Port=0 RD @06 + 5994.50ns INFO [00005996] Port=1 RD @1A + 5995.50ns INFO [00005997] * RD COMPARE * port=0 adr=09 act=0D157DA1 exp=0D157DA1 + 5995.50ns INFO [00005997] * RD COMPARE * port=1 adr=06 act=D8001E20 exp=D8001E20 + 5995.50ns INFO [00005997] Port=1 RD @0E + 5996.50ns INFO [00005998] * RD COMPARE * port=0 adr=06 act=D8001E20 exp=D8001E20 + 5996.50ns INFO [00005998] * RD COMPARE * port=1 adr=1A act=375D17B3 exp=375D17B3 + 5996.50ns INFO [00005998] Port=0 WR @09=828F5876 + 5997.50ns INFO [00005999] * RD COMPARE * port=1 adr=0E act=8EA2F2D5 exp=8EA2F2D5 + 5997.50ns INFO [00005999] Port=0 WR @07=A78C2E51 + 5998.00ns INFO [00006000] [00006000] ...tick... + 5998.50ns INFO [00006000] Port=1 RD @05 + 6000.50ns INFO [00006002] * RD COMPARE * port=1 adr=05 act=73EC0A38 exp=73EC0A38 + 6000.50ns INFO [00006002] Port=0 WR @0B=F836350E + 6000.50ns INFO [00006002] Port=0 RD @08 + 6002.50ns INFO [00006004] * RD COMPARE * port=0 adr=08 act=F1EAA825 exp=F1EAA825 + 6002.50ns INFO [00006004] Port=1 RD @0A + 6003.50ns INFO [00006005] Port=0 WR @0E=5A28B3A8 + 6004.50ns INFO [00006006] * RD COMPARE * port=1 adr=0A act=54CA9FC8 exp=54CA9FC8 + 6004.50ns INFO [00006006] Port=0 RD @0C + 6004.50ns INFO [00006006] Port=1 RD @0E + 6006.50ns INFO [00006008] * RD COMPARE * port=0 adr=0C act=7134D5B5 exp=7134D5B5 + 6006.50ns INFO [00006008] * RD COMPARE * port=1 adr=0E act=5A28B3A8 exp=5A28B3A8 + 6006.50ns INFO [00006008] Port=0 RD @03 + 6007.50ns INFO [00006009] Port=0 WR @1B=34A71DCB + 6007.50ns INFO [00006009] Port=0 RD @12 + 6008.50ns INFO [00006010] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E + 6009.50ns INFO [00006011] * RD COMPARE * port=0 adr=12 act=07BD8226 exp=07BD8226 + 6010.50ns INFO [00006012] Port=0 WR @19=F26972D4 + 6011.50ns INFO [00006013] Port=0 WR @0A=C9D1DC03 + 6012.50ns INFO [00006014] Port=0 RD @19 + 6013.50ns INFO [00006015] Port=0 RD @08 + 6013.50ns INFO [00006015] Port=1 RD @0A + 6014.50ns INFO [00006016] * RD COMPARE * port=0 adr=19 act=F26972D4 exp=F26972D4 + 6014.50ns INFO [00006016] Port=0 WR @0B=40857E27 + 6015.50ns INFO [00006017] * RD COMPARE * port=0 adr=08 act=F1EAA825 exp=F1EAA825 + 6015.50ns INFO [00006017] * RD COMPARE * port=1 adr=0A act=C9D1DC03 exp=C9D1DC03 + 6017.50ns INFO [00006019] Port=0 WR @1B=08EAA2DC + 6017.50ns INFO [00006019] Port=0 RD @01 + 6017.50ns INFO [00006019] Port=1 RD @1F + 6018.50ns INFO [00006020] Port=0 WR @19=4CC4CCC8 + 6018.50ns INFO [00006020] Port=1 RD @10 + 6019.50ns INFO [00006021] * RD COMPARE * port=0 adr=01 act=FE314F87 exp=FE314F87 + 6019.50ns INFO [00006021] * RD COMPARE * port=1 adr=1F act=0C575E05 exp=0C575E05 + 6019.50ns INFO [00006021] Port=0 WR @0F=E90B07F0 + 6019.50ns INFO [00006021] Port=0 RD @04 + 6019.50ns INFO [00006021] Port=1 RD @1A + 6020.50ns INFO [00006022] * RD COMPARE * port=1 adr=10 act=D5FC9564 exp=D5FC9564 + 6020.50ns INFO [00006022] Port=0 WR @06=5DA2F81A + 6020.50ns INFO [00006022] Port=1 RD @1D + 6021.50ns INFO [00006023] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C + 6021.50ns INFO [00006023] * RD COMPARE * port=1 adr=1A act=375D17B3 exp=375D17B3 + 6021.50ns INFO [00006023] Port=0 RD @04 + 6021.50ns INFO [00006023] Port=1 RD @12 + 6022.50ns INFO [00006024] * RD COMPARE * port=1 adr=1D act=71667BF5 exp=71667BF5 + 6023.50ns INFO [00006025] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C + 6023.50ns INFO [00006025] * RD COMPARE * port=1 adr=12 act=07BD8226 exp=07BD8226 + 6023.50ns INFO [00006025] Port=0 WR @0F=60F520B7 + 6023.50ns INFO [00006025] Port=0 RD @14 + 6023.50ns INFO [00006025] Port=1 RD @1D + 6024.50ns INFO [00006026] Port=1 RD @1A + 6025.50ns INFO [00006027] * RD COMPARE * port=0 adr=14 act=921111D7 exp=921111D7 + 6025.50ns INFO [00006027] * RD COMPARE * port=1 adr=1D act=71667BF5 exp=71667BF5 + 6025.50ns INFO [00006027] Port=0 WR @14=F5204EDC + 6025.50ns INFO [00006027] Port=0 RD @03 + 6026.50ns INFO [00006028] * RD COMPARE * port=1 adr=1A act=375D17B3 exp=375D17B3 + 6027.50ns INFO [00006029] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E + 6027.50ns INFO [00006029] Port=0 RD @16 + 6028.50ns INFO [00006030] Port=1 RD @1B + 6029.50ns INFO [00006031] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39 + 6029.50ns INFO [00006031] Port=0 WR @1D=BD207217 + 6030.50ns INFO [00006032] * RD COMPARE * port=1 adr=1B act=08EAA2DC exp=08EAA2DC + 6030.50ns INFO [00006032] Port=0 WR @0F=25ADC75B + 6030.50ns INFO [00006032] Port=1 RD @14 + 6032.50ns INFO [00006034] * RD COMPARE * port=1 adr=14 act=F5204EDC exp=F5204EDC + 6032.50ns INFO [00006034] Port=0 WR @03=76D4EDAE + 6032.50ns INFO [00006034] Port=1 RD @09 + 6033.50ns INFO [00006035] Port=0 RD @0D + 6034.50ns INFO [00006036] * RD COMPARE * port=1 adr=09 act=828F5876 exp=828F5876 + 6034.50ns INFO [00006036] Port=0 RD @11 + 6034.50ns INFO [00006036] Port=1 RD @02 + 6035.50ns INFO [00006037] * RD COMPARE * port=0 adr=0D act=9FD16918 exp=9FD16918 + 6035.50ns INFO [00006037] Port=1 RD @15 + 6036.50ns INFO [00006038] * RD COMPARE * port=0 adr=11 act=E8B2AA17 exp=E8B2AA17 + 6036.50ns INFO [00006038] * RD COMPARE * port=1 adr=02 act=8B003340 exp=8B003340 + 6036.50ns INFO [00006038] Port=0 RD @07 + 6037.50ns INFO [00006039] * RD COMPARE * port=1 adr=15 act=81C8C6C8 exp=81C8C6C8 + 6038.50ns INFO [00006040] * RD COMPARE * port=0 adr=07 act=A78C2E51 exp=A78C2E51 + 6040.50ns INFO [00006042] Port=0 RD @10 + 6040.50ns INFO [00006042] Port=1 RD @1F + 6041.50ns INFO [00006043] Port=0 WR @05=CC394F4F + 6041.50ns INFO [00006043] Port=0 RD @08 + 6042.50ns INFO [00006044] * RD COMPARE * port=0 adr=10 act=D5FC9564 exp=D5FC9564 + 6042.50ns INFO [00006044] * RD COMPARE * port=1 adr=1F act=0C575E05 exp=0C575E05 + 6042.50ns INFO [00006044] Port=1 RD @08 + 6043.50ns INFO [00006045] * RD COMPARE * port=0 adr=08 act=F1EAA825 exp=F1EAA825 + 6044.50ns INFO [00006046] * RD COMPARE * port=1 adr=08 act=F1EAA825 exp=F1EAA825 + 6044.50ns INFO [00006046] Port=0 WR @19=69C3D215 + 6044.50ns INFO [00006046] Port=0 RD @01 + 6044.50ns INFO [00006046] Port=1 RD @0D + 6045.50ns INFO [00006047] Port=1 RD @15 + 6046.50ns INFO [00006048] * RD COMPARE * port=0 adr=01 act=FE314F87 exp=FE314F87 + 6046.50ns INFO [00006048] * RD COMPARE * port=1 adr=0D act=9FD16918 exp=9FD16918 + 6047.50ns INFO [00006049] * RD COMPARE * port=1 adr=15 act=81C8C6C8 exp=81C8C6C8 + 6047.50ns INFO [00006049] Port=0 WR @07=75F7F35D + 6047.50ns INFO [00006049] Port=0 RD @19 + 6047.50ns INFO [00006049] Port=1 RD @1C + 6048.50ns INFO [00006050] Port=0 WR @0D=8CFE078F + 6048.50ns INFO [00006050] Port=1 RD @1B + 6049.50ns INFO [00006051] * RD COMPARE * port=0 adr=19 act=69C3D215 exp=69C3D215 + 6049.50ns INFO [00006051] * RD COMPARE * port=1 adr=1C act=C67BA65A exp=C67BA65A + 6049.50ns INFO [00006051] Port=0 RD @13 + 6049.50ns INFO [00006051] Port=1 RD @00 + 6050.50ns INFO [00006052] * RD COMPARE * port=1 adr=1B act=08EAA2DC exp=08EAA2DC + 6050.50ns INFO [00006052] Port=1 RD @0A + 6051.50ns INFO [00006053] * RD COMPARE * port=0 adr=13 act=97450AE6 exp=97450AE6 + 6051.50ns INFO [00006053] * RD COMPARE * port=1 adr=00 act=9CC86A96 exp=9CC86A96 + 6051.50ns INFO [00006053] Port=0 WR @0B=815C980A + 6052.50ns INFO [00006054] * RD COMPARE * port=1 adr=0A act=C9D1DC03 exp=C9D1DC03 + 6052.50ns INFO [00006054] Port=0 RD @19 + 6052.50ns INFO [00006054] Port=1 RD @02 + 6053.50ns INFO [00006055] Port=0 WR @12=005A9ACC + 6053.50ns INFO [00006055] Port=1 RD @02 + 6054.50ns INFO [00006056] * RD COMPARE * port=0 adr=19 act=69C3D215 exp=69C3D215 + 6054.50ns INFO [00006056] * RD COMPARE * port=1 adr=02 act=8B003340 exp=8B003340 + 6054.50ns INFO [00006056] Port=0 WR @0F=E2F06FB0 + 6054.50ns INFO [00006056] Port=1 RD @04 + 6055.50ns INFO [00006057] * RD COMPARE * port=1 adr=02 act=8B003340 exp=8B003340 + 6056.50ns INFO [00006058] * RD COMPARE * port=1 adr=04 act=49BA032C exp=49BA032C + 6056.50ns INFO [00006058] Port=1 RD @0C + 6057.50ns INFO [00006059] Port=0 WR @0C=91CE6B72 + 6057.50ns INFO [00006059] Port=0 RD @1C + 6058.50ns INFO [00006060] * RD COMPARE * port=1 adr=0C act=7134D5B5 exp=7134D5B5 + 6058.50ns INFO [00006060] Port=1 RD @0A + 6059.50ns INFO [00006061] * RD COMPARE * port=0 adr=1C act=C67BA65A exp=C67BA65A + 6059.50ns INFO [00006061] Port=0 RD @04 + 6060.50ns INFO [00006062] * RD COMPARE * port=1 adr=0A act=C9D1DC03 exp=C9D1DC03 + 6060.50ns INFO [00006062] Port=0 WR @1F=B0C9576E + 6060.50ns INFO [00006062] Port=1 RD @0C + 6061.50ns INFO [00006063] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C + 6061.50ns INFO [00006063] Port=1 RD @07 + 6062.50ns INFO [00006064] * RD COMPARE * port=1 adr=0C act=91CE6B72 exp=91CE6B72 + 6062.50ns INFO [00006064] Port=0 RD @10 + 6062.50ns INFO [00006064] Port=1 RD @0B + 6063.50ns INFO [00006065] * RD COMPARE * port=1 adr=07 act=75F7F35D exp=75F7F35D + 6063.50ns INFO [00006065] Port=1 RD @12 + 6064.50ns INFO [00006066] * RD COMPARE * port=0 adr=10 act=D5FC9564 exp=D5FC9564 + 6064.50ns INFO [00006066] * RD COMPARE * port=1 adr=0B act=815C980A exp=815C980A + 6065.50ns INFO [00006067] * RD COMPARE * port=1 adr=12 act=005A9ACC exp=005A9ACC + 6066.50ns INFO [00006068] Port=0 RD @0B + 6066.50ns INFO [00006068] Port=1 RD @1D + 6067.50ns INFO [00006069] Port=0 RD @0A + 6068.50ns INFO [00006070] * RD COMPARE * port=0 adr=0B act=815C980A exp=815C980A + 6068.50ns INFO [00006070] * RD COMPARE * port=1 adr=1D act=BD207217 exp=BD207217 + 6068.50ns INFO [00006070] Port=0 WR @01=1B998866 + 6069.50ns INFO [00006071] * RD COMPARE * port=0 adr=0A act=C9D1DC03 exp=C9D1DC03 + 6070.50ns INFO [00006072] Port=0 RD @16 + 6071.50ns INFO [00006073] Port=0 WR @15=732D5664 + 6071.50ns INFO [00006073] Port=0 RD @1D + 6072.50ns INFO [00006074] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39 + 6072.50ns INFO [00006074] Port=0 WR @0E=B52644BC + 6073.50ns INFO [00006075] * RD COMPARE * port=0 adr=1D act=BD207217 exp=BD207217 + 6073.50ns INFO [00006075] Port=1 RD @0C + 6074.50ns INFO [00006076] Port=0 RD @10 + 6074.50ns INFO [00006076] Port=1 RD @11 + 6075.50ns INFO [00006077] * RD COMPARE * port=1 adr=0C act=91CE6B72 exp=91CE6B72 + 6075.50ns INFO [00006077] Port=0 WR @05=BB6AC4A5 + 6075.50ns INFO [00006077] Port=1 RD @1C + 6076.50ns INFO [00006078] * RD COMPARE * port=0 adr=10 act=D5FC9564 exp=D5FC9564 + 6076.50ns INFO [00006078] * RD COMPARE * port=1 adr=11 act=E8B2AA17 exp=E8B2AA17 + 6076.50ns INFO [00006078] Port=0 WR @14=1DAB7332 + 6076.50ns INFO [00006078] Port=1 RD @08 + 6077.50ns INFO [00006079] * RD COMPARE * port=1 adr=1C act=C67BA65A exp=C67BA65A + 6078.50ns INFO [00006080] * RD COMPARE * port=1 adr=08 act=F1EAA825 exp=F1EAA825 + 6078.50ns INFO [00006080] Port=0 RD @0F + 6079.50ns INFO [00006081] Port=0 WR @1D=3FB46B80 + 6079.50ns INFO [00006081] Port=0 RD @17 + 6079.50ns INFO [00006081] Port=1 RD @13 + 6080.50ns INFO [00006082] * RD COMPARE * port=0 adr=0F act=E2F06FB0 exp=E2F06FB0 + 6080.50ns INFO [00006082] Port=0 RD @0C + 6080.50ns INFO [00006082] Port=1 RD @0C + 6081.50ns INFO [00006083] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8 + 6081.50ns INFO [00006083] * RD COMPARE * port=1 adr=13 act=97450AE6 exp=97450AE6 + 6081.50ns INFO [00006083] Port=0 RD @06 + 6082.50ns INFO [00006084] * RD COMPARE * port=0 adr=0C act=91CE6B72 exp=91CE6B72 + 6082.50ns INFO [00006084] * RD COMPARE * port=1 adr=0C act=91CE6B72 exp=91CE6B72 + 6082.50ns INFO [00006084] Port=0 RD @03 + 6083.50ns INFO [00006085] * RD COMPARE * port=0 adr=06 act=5DA2F81A exp=5DA2F81A + 6083.50ns INFO [00006085] Port=0 WR @0E=0C6001DD + 6083.50ns INFO [00006085] Port=0 RD @04 + 6084.50ns INFO [00006086] * RD COMPARE * port=0 adr=03 act=76D4EDAE exp=76D4EDAE + 6084.50ns INFO [00006086] Port=0 RD @16 + 6085.50ns INFO [00006087] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C + 6085.50ns INFO [00006087] Port=0 WR @15=9298CA70 + 6085.50ns INFO [00006087] Port=1 RD @1C + 6086.50ns INFO [00006088] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39 + 6087.50ns INFO [00006089] * RD COMPARE * port=1 adr=1C act=C67BA65A exp=C67BA65A + 6088.50ns INFO [00006090] Port=0 WR @1C=578B8F56 + 6088.50ns INFO [00006090] Port=1 RD @1D + 6089.50ns INFO [00006091] Port=0 WR @1F=B85DB9C0 + 6089.50ns INFO [00006091] Port=0 RD @1A + 6090.50ns INFO [00006092] * RD COMPARE * port=1 adr=1D act=3FB46B80 exp=3FB46B80 + 6091.50ns INFO [00006093] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3 + 6092.50ns INFO [00006094] Port=0 WR @1E=070B7FED + 6092.50ns INFO [00006094] Port=0 RD @04 + 6093.50ns INFO [00006095] Port=1 RD @1F + 6094.50ns INFO [00006096] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C + 6094.50ns INFO [00006096] Port=0 WR @15=01AAB79C + 6094.50ns INFO [00006096] Port=1 RD @19 + 6095.50ns INFO [00006097] * RD COMPARE * port=1 adr=1F act=B85DB9C0 exp=B85DB9C0 + 6095.50ns INFO [00006097] Port=0 WR @1D=629A5C92 + 6096.50ns INFO [00006098] * RD COMPARE * port=1 adr=19 act=69C3D215 exp=69C3D215 + 6096.50ns INFO [00006098] Port=0 RD @02 + 6097.50ns INFO [00006099] Port=0 WR @00=670179E0 + 6097.50ns INFO [00006099] Port=1 RD @08 + 6098.00ns INFO [00006100] [00006100] ...tick... + 6098.50ns INFO [00006100] * RD COMPARE * port=0 adr=02 act=8B003340 exp=8B003340 + 6099.50ns INFO [00006101] * RD COMPARE * port=1 adr=08 act=F1EAA825 exp=F1EAA825 + 6099.50ns INFO [00006101] Port=1 RD @18 + 6100.50ns INFO [00006102] Port=1 RD @19 + 6101.50ns INFO [00006103] * RD COMPARE * port=1 adr=18 act=10E369F6 exp=10E369F6 + 6101.50ns INFO [00006103] Port=0 RD @17 + 6101.50ns INFO [00006103] Port=1 RD @17 + 6102.50ns INFO [00006104] * RD COMPARE * port=1 adr=19 act=69C3D215 exp=69C3D215 + 6102.50ns INFO [00006104] Port=0 RD @18 + 6103.50ns INFO [00006105] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8 + 6103.50ns INFO [00006105] * RD COMPARE * port=1 adr=17 act=C9B50CF8 exp=C9B50CF8 + 6104.50ns INFO [00006106] * RD COMPARE * port=0 adr=18 act=10E369F6 exp=10E369F6 + 6104.50ns INFO [00006106] Port=0 RD @0A + 6106.50ns INFO [00006108] * RD COMPARE * port=0 adr=0A act=C9D1DC03 exp=C9D1DC03 + 6106.50ns INFO [00006108] Port=0 RD @18 + 6107.50ns INFO [00006109] Port=0 WR @0B=65E626DE + 6107.50ns INFO [00006109] Port=0 RD @00 + 6108.50ns INFO [00006110] * RD COMPARE * port=0 adr=18 act=10E369F6 exp=10E369F6 + 6108.50ns INFO [00006110] Port=0 WR @02=CC473C50 + 6108.50ns INFO [00006110] Port=0 RD @14 + 6108.50ns INFO [00006110] Port=1 RD @04 + 6109.50ns INFO [00006111] * RD COMPARE * port=0 adr=00 act=670179E0 exp=670179E0 + 6109.50ns INFO [00006111] Port=0 WR @0D=DEB51A71 + 6110.50ns INFO [00006112] * RD COMPARE * port=0 adr=14 act=1DAB7332 exp=1DAB7332 + 6110.50ns INFO [00006112] * RD COMPARE * port=1 adr=04 act=49BA032C exp=49BA032C + 6110.50ns INFO [00006112] Port=0 WR @1A=DDAC7637 + 6111.50ns INFO [00006113] Port=0 RD @13 + 6112.50ns INFO [00006114] Port=0 WR @18=5B476EFF + 6112.50ns INFO [00006114] Port=0 RD @01 + 6113.50ns INFO [00006115] * RD COMPARE * port=0 adr=13 act=97450AE6 exp=97450AE6 + 6113.50ns INFO [00006115] Port=0 WR @0B=D3B9363B + 6113.50ns INFO [00006115] Port=0 RD @17 + 6114.50ns INFO [00006116] * RD COMPARE * port=0 adr=01 act=1B998866 exp=1B998866 + 6115.50ns INFO [00006117] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8 + 6115.50ns INFO [00006117] Port=1 RD @12 + 6117.50ns INFO [00006119] * RD COMPARE * port=1 adr=12 act=005A9ACC exp=005A9ACC + 6117.50ns INFO [00006119] Port=0 RD @12 + 6117.50ns INFO [00006119] Port=1 RD @0A + 6118.50ns INFO [00006120] Port=0 RD @1D + 6119.50ns INFO [00006121] * RD COMPARE * port=0 adr=12 act=005A9ACC exp=005A9ACC + 6119.50ns INFO [00006121] * RD COMPARE * port=1 adr=0A act=C9D1DC03 exp=C9D1DC03 + 6119.50ns INFO [00006121] Port=1 RD @12 + 6120.50ns INFO [00006122] * RD COMPARE * port=0 adr=1D act=629A5C92 exp=629A5C92 + 6120.50ns INFO [00006122] Port=1 RD @0C + 6121.50ns INFO [00006123] * RD COMPARE * port=1 adr=12 act=005A9ACC exp=005A9ACC + 6122.50ns INFO [00006124] * RD COMPARE * port=1 adr=0C act=91CE6B72 exp=91CE6B72 + 6122.50ns INFO [00006124] Port=0 WR @01=D8F8BB9A + 6123.50ns INFO [00006125] Port=0 WR @0A=61F161A7 + 6123.50ns INFO [00006125] Port=0 RD @1E + 6125.50ns INFO [00006127] * RD COMPARE * port=0 adr=1E act=070B7FED exp=070B7FED + 6125.50ns INFO [00006127] Port=0 WR @10=37E75300 + 6125.50ns INFO [00006127] Port=0 RD @03 + 6126.50ns INFO [00006128] Port=0 WR @03=3A108B0E + 6126.50ns INFO [00006128] Port=1 RD @1B + 6127.50ns INFO [00006129] * RD COMPARE * port=0 adr=03 act=76D4EDAE exp=76D4EDAE + 6127.50ns INFO [00006129] Port=0 WR @08=C0C7F134 + 6128.50ns INFO [00006130] * RD COMPARE * port=1 adr=1B act=08EAA2DC exp=08EAA2DC + 6128.50ns INFO [00006130] Port=0 WR @09=FC5690D5 + 6128.50ns INFO [00006130] Port=1 RD @0E + 6129.50ns INFO [00006131] Port=0 RD @0B + 6129.50ns INFO [00006131] Port=1 RD @1E + 6130.50ns INFO [00006132] * RD COMPARE * port=1 adr=0E act=0C6001DD exp=0C6001DD + 6130.50ns INFO [00006132] Port=1 RD @0D + 6131.50ns INFO [00006133] * RD COMPARE * port=0 adr=0B act=D3B9363B exp=D3B9363B + 6131.50ns INFO [00006133] * RD COMPARE * port=1 adr=1E act=070B7FED exp=070B7FED + 6131.50ns INFO [00006133] Port=0 WR @0A=B574253E + 6131.50ns INFO [00006133] Port=0 RD @1F + 6132.50ns INFO [00006134] * RD COMPARE * port=1 adr=0D act=DEB51A71 exp=DEB51A71 + 6132.50ns INFO [00006134] Port=0 RD @13 + 6133.50ns INFO [00006135] * RD COMPARE * port=0 adr=1F act=B85DB9C0 exp=B85DB9C0 + 6133.50ns INFO [00006135] Port=0 WR @11=B11C04D7 + 6134.50ns INFO [00006136] * RD COMPARE * port=0 adr=13 act=97450AE6 exp=97450AE6 + 6134.50ns INFO [00006136] Port=0 WR @0D=6835ED45 + 6134.50ns INFO [00006136] Port=0 RD @1F + 6135.50ns INFO [00006137] Port=0 WR @0B=B1D064C2 + 6136.50ns INFO [00006138] * RD COMPARE * port=0 adr=1F act=B85DB9C0 exp=B85DB9C0 + 6136.50ns INFO [00006138] Port=0 WR @11=AA6404A4 + 6136.50ns INFO [00006138] Port=0 RD @1F + 6137.50ns INFO [00006139] Port=0 RD @1F + 6138.50ns INFO [00006140] * RD COMPARE * port=0 adr=1F act=B85DB9C0 exp=B85DB9C0 + 6138.50ns INFO [00006140] Port=0 WR @1D=2739723A + 6138.50ns INFO [00006140] Port=1 RD @01 + 6139.50ns INFO [00006141] * RD COMPARE * port=0 adr=1F act=B85DB9C0 exp=B85DB9C0 + 6139.50ns INFO [00006141] Port=0 WR @11=D69656DC + 6139.50ns INFO [00006141] Port=0 RD @19 + 6140.50ns INFO [00006142] * RD COMPARE * port=1 adr=01 act=D8F8BB9A exp=D8F8BB9A + 6140.50ns INFO [00006142] Port=0 WR @0E=548C30BD + 6141.50ns INFO [00006143] * RD COMPARE * port=0 adr=19 act=69C3D215 exp=69C3D215 + 6141.50ns INFO [00006143] Port=1 RD @19 + 6142.50ns INFO [00006144] Port=1 RD @08 + 6143.50ns INFO [00006145] * RD COMPARE * port=1 adr=19 act=69C3D215 exp=69C3D215 + 6144.50ns INFO [00006146] * RD COMPARE * port=1 adr=08 act=C0C7F134 exp=C0C7F134 + 6146.50ns INFO [00006148] Port=0 WR @11=96441567 + 6148.50ns INFO [00006150] Port=0 WR @11=72B66997 + 6153.50ns INFO [00006155] Port=0 WR @13=7C3606A0 + 6154.50ns INFO [00006156] Port=1 RD @0B + 6155.50ns INFO [00006157] Port=0 WR @11=EE6C55F1 + 6155.50ns INFO [00006157] Port=0 RD @04 + 6155.50ns INFO [00006157] Port=1 RD @1D + 6156.50ns INFO [00006158] * RD COMPARE * port=1 adr=0B act=B1D064C2 exp=B1D064C2 + 6156.50ns INFO [00006158] Port=0 WR @0C=47D15E19 + 6156.50ns INFO [00006158] Port=1 RD @08 + 6157.50ns INFO [00006159] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C + 6157.50ns INFO [00006159] * RD COMPARE * port=1 adr=1D act=2739723A exp=2739723A + 6157.50ns INFO [00006159] Port=0 WR @0E=CCE2A98F + 6157.50ns INFO [00006159] Port=1 RD @00 + 6158.50ns INFO [00006160] * RD COMPARE * port=1 adr=08 act=C0C7F134 exp=C0C7F134 + 6158.50ns INFO [00006160] Port=0 RD @01 + 6159.50ns INFO [00006161] * RD COMPARE * port=1 adr=00 act=670179E0 exp=670179E0 + 6160.50ns INFO [00006162] * RD COMPARE * port=0 adr=01 act=D8F8BB9A exp=D8F8BB9A + 6160.50ns INFO [00006162] Port=1 RD @0C + 6161.50ns INFO [00006163] Port=0 RD @0F + 6162.50ns INFO [00006164] * RD COMPARE * port=1 adr=0C act=47D15E19 exp=47D15E19 + 6162.50ns INFO [00006164] Port=0 RD @15 + 6163.50ns INFO [00006165] * RD COMPARE * port=0 adr=0F act=E2F06FB0 exp=E2F06FB0 + 6163.50ns INFO [00006165] Port=0 RD @03 + 6163.50ns INFO [00006165] Port=1 RD @03 + 6164.50ns INFO [00006166] * RD COMPARE * port=0 adr=15 act=01AAB79C exp=01AAB79C + 6164.50ns INFO [00006166] Port=0 WR @15=0467985A + 6164.50ns INFO [00006166] Port=0 RD @14 + 6165.50ns INFO [00006167] * RD COMPARE * port=0 adr=03 act=3A108B0E exp=3A108B0E + 6165.50ns INFO [00006167] * RD COMPARE * port=1 adr=03 act=3A108B0E exp=3A108B0E + 6165.50ns INFO [00006167] Port=0 RD @0D + 6166.50ns INFO [00006168] * RD COMPARE * port=0 adr=14 act=1DAB7332 exp=1DAB7332 + 6166.50ns INFO [00006168] Port=0 WR @19=4611E770 + 6166.50ns INFO [00006168] Port=0 RD @17 + 6167.50ns INFO [00006169] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45 + 6167.50ns INFO [00006169] Port=0 WR @06=55D6CC05 + 6167.50ns INFO [00006169] Port=0 RD @18 + 6167.50ns INFO [00006169] Port=1 RD @16 + 6168.50ns INFO [00006170] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8 + 6168.50ns INFO [00006170] Port=0 RD @1B + 6168.50ns INFO [00006170] Port=1 RD @03 + 6169.50ns INFO [00006171] * RD COMPARE * port=0 adr=18 act=5B476EFF exp=5B476EFF + 6169.50ns INFO [00006171] * RD COMPARE * port=1 adr=16 act=8229AF39 exp=8229AF39 + 6169.50ns INFO [00006171] Port=1 RD @03 + 6170.50ns INFO [00006172] * RD COMPARE * port=0 adr=1B act=08EAA2DC exp=08EAA2DC + 6170.50ns INFO [00006172] * RD COMPARE * port=1 adr=03 act=3A108B0E exp=3A108B0E + 6170.50ns INFO [00006172] Port=0 RD @05 + 6171.50ns INFO [00006173] * RD COMPARE * port=1 adr=03 act=3A108B0E exp=3A108B0E + 6172.50ns INFO [00006174] * RD COMPARE * port=0 adr=05 act=BB6AC4A5 exp=BB6AC4A5 + 6172.50ns INFO [00006174] Port=1 RD @0A + 6174.50ns INFO [00006176] * RD COMPARE * port=1 adr=0A act=B574253E exp=B574253E + 6174.50ns INFO [00006176] Port=0 RD @0E + 6175.50ns INFO [00006177] Port=0 WR @14=1EF4AF0C + 6175.50ns INFO [00006177] Port=1 RD @0F + 6176.50ns INFO [00006178] * RD COMPARE * port=0 adr=0E act=CCE2A98F exp=CCE2A98F + 6176.50ns INFO [00006178] Port=1 RD @0E + 6177.50ns INFO [00006179] * RD COMPARE * port=1 adr=0F act=E2F06FB0 exp=E2F06FB0 + 6177.50ns INFO [00006179] Port=1 RD @1D + 6178.50ns INFO [00006180] * RD COMPARE * port=1 adr=0E act=CCE2A98F exp=CCE2A98F + 6178.50ns INFO [00006180] Port=1 RD @0F + 6179.50ns INFO [00006181] * RD COMPARE * port=1 adr=1D act=2739723A exp=2739723A + 6179.50ns INFO [00006181] Port=0 RD @17 + 6180.50ns INFO [00006182] * RD COMPARE * port=1 adr=0F act=E2F06FB0 exp=E2F06FB0 + 6181.50ns INFO [00006183] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8 + 6182.50ns INFO [00006184] Port=0 WR @04=C27BB0B6 + 6182.50ns INFO [00006184] Port=0 RD @00 + 6183.50ns INFO [00006185] Port=0 RD @16 + 6184.50ns INFO [00006186] * RD COMPARE * port=0 adr=00 act=670179E0 exp=670179E0 + 6184.50ns INFO [00006186] Port=0 WR @08=A0320091 + 6185.50ns INFO [00006187] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39 + 6185.50ns INFO [00006187] Port=0 WR @04=267B2C5D + 6185.50ns INFO [00006187] Port=1 RD @0C + 6186.50ns INFO [00006188] Port=0 RD @05 + 6186.50ns INFO [00006188] Port=1 RD @05 + 6187.50ns INFO [00006189] * RD COMPARE * port=1 adr=0C act=47D15E19 exp=47D15E19 + 6187.50ns INFO [00006189] Port=0 WR @10=92ED5710 + 6187.50ns INFO [00006189] Port=1 RD @0C + 6188.50ns INFO [00006190] * RD COMPARE * port=0 adr=05 act=BB6AC4A5 exp=BB6AC4A5 + 6188.50ns INFO [00006190] * RD COMPARE * port=1 adr=05 act=BB6AC4A5 exp=BB6AC4A5 + 6188.50ns INFO [00006190] Port=0 WR @11=0E3B1BD6 + 6188.50ns INFO [00006190] Port=0 RD @1F + 6189.50ns INFO [00006191] * RD COMPARE * port=1 adr=0C act=47D15E19 exp=47D15E19 + 6189.50ns INFO [00006191] Port=1 RD @03 + 6190.50ns INFO [00006192] * RD COMPARE * port=0 adr=1F act=B85DB9C0 exp=B85DB9C0 + 6190.50ns INFO [00006192] Port=1 RD @1A + 6191.50ns INFO [00006193] * RD COMPARE * port=1 adr=03 act=3A108B0E exp=3A108B0E + 6191.50ns INFO [00006193] Port=0 WR @1C=7A685C72 + 6191.50ns INFO [00006193] Port=0 RD @01 + 6191.50ns INFO [00006193] Port=1 RD @01 + 6192.50ns INFO [00006194] * RD COMPARE * port=1 adr=1A act=DDAC7637 exp=DDAC7637 + 6192.50ns INFO [00006194] Port=1 RD @07 + 6193.50ns INFO [00006195] * RD COMPARE * port=0 adr=01 act=D8F8BB9A exp=D8F8BB9A + 6193.50ns INFO [00006195] * RD COMPARE * port=1 adr=01 act=D8F8BB9A exp=D8F8BB9A + 6193.50ns INFO [00006195] Port=0 RD @04 + 6194.50ns INFO [00006196] * RD COMPARE * port=1 adr=07 act=75F7F35D exp=75F7F35D + 6194.50ns INFO [00006196] Port=0 RD @0B + 6195.50ns INFO [00006197] * RD COMPARE * port=0 adr=04 act=267B2C5D exp=267B2C5D + 6195.50ns INFO [00006197] Port=0 WR @1B=884046BF + 6195.50ns INFO [00006197] Port=1 RD @0A + 6196.50ns INFO [00006198] * RD COMPARE * port=0 adr=0B act=B1D064C2 exp=B1D064C2 + 6197.50ns INFO [00006199] * RD COMPARE * port=1 adr=0A act=B574253E exp=B574253E + 6197.50ns INFO [00006199] Port=0 WR @1F=A0236B56 + 6197.50ns INFO [00006199] Port=0 RD @00 + 6198.00ns INFO [00006200] [00006200] ...tick... + 6199.50ns INFO [00006201] * RD COMPARE * port=0 adr=00 act=670179E0 exp=670179E0 + 6199.50ns INFO [00006201] Port=0 WR @13=B9E74DA6 + 6200.50ns INFO [00006202] Port=0 WR @01=66FCA7EF + 6201.50ns INFO [00006203] Port=0 WR @00=35D495A7 + 6202.50ns INFO [00006204] Port=0 RD @0D + 6202.50ns INFO [00006204] Port=1 RD @06 + 6203.50ns INFO [00006205] Port=0 RD @06 + 6203.50ns INFO [00006205] Port=1 RD @0C + 6204.50ns INFO [00006206] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45 + 6204.50ns INFO [00006206] * RD COMPARE * port=1 adr=06 act=55D6CC05 exp=55D6CC05 + 6204.50ns INFO [00006206] Port=0 RD @09 + 6205.50ns INFO [00006207] * RD COMPARE * port=0 adr=06 act=55D6CC05 exp=55D6CC05 + 6205.50ns INFO [00006207] * RD COMPARE * port=1 adr=0C act=47D15E19 exp=47D15E19 + 6205.50ns INFO [00006207] Port=1 RD @0D + 6206.50ns INFO [00006208] * RD COMPARE * port=0 adr=09 act=FC5690D5 exp=FC5690D5 + 6206.50ns INFO [00006208] Port=0 WR @0F=C832A8B7 + 6206.50ns INFO [00006208] Port=0 RD @0E + 6206.50ns INFO [00006208] Port=1 RD @02 + 6207.50ns INFO [00006209] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45 + 6207.50ns INFO [00006209] Port=0 WR @1E=6B78E916 + 6207.50ns INFO [00006209] Port=0 RD @06 + 6207.50ns INFO [00006209] Port=1 RD @1A + 6208.50ns INFO [00006210] * RD COMPARE * port=0 adr=0E act=CCE2A98F exp=CCE2A98F + 6208.50ns INFO [00006210] * RD COMPARE * port=1 adr=02 act=CC473C50 exp=CC473C50 + 6208.50ns INFO [00006210] Port=1 RD @0A + 6209.50ns INFO [00006211] * RD COMPARE * port=0 adr=06 act=55D6CC05 exp=55D6CC05 + 6209.50ns INFO [00006211] * RD COMPARE * port=1 adr=1A act=DDAC7637 exp=DDAC7637 + 6209.50ns INFO [00006211] Port=0 WR @18=7CF267E0 + 6209.50ns INFO [00006211] Port=1 RD @02 + 6210.50ns INFO [00006212] * RD COMPARE * port=1 adr=0A act=B574253E exp=B574253E + 6210.50ns INFO [00006212] Port=0 RD @00 + 6210.50ns INFO [00006212] Port=1 RD @12 + 6211.50ns INFO [00006213] * RD COMPARE * port=1 adr=02 act=CC473C50 exp=CC473C50 + 6211.50ns INFO [00006213] Port=0 WR @04=04768FEB + 6211.50ns INFO [00006213] Port=1 RD @1A + 6212.50ns INFO [00006214] * RD COMPARE * port=0 adr=00 act=35D495A7 exp=35D495A7 + 6212.50ns INFO [00006214] * RD COMPARE * port=1 adr=12 act=005A9ACC exp=005A9ACC + 6213.50ns INFO [00006215] * RD COMPARE * port=1 adr=1A act=DDAC7637 exp=DDAC7637 + 6213.50ns INFO [00006215] Port=0 RD @1D + 6214.50ns INFO [00006216] Port=0 RD @18 + 6215.50ns INFO [00006217] * RD COMPARE * port=0 adr=1D act=2739723A exp=2739723A + 6215.50ns INFO [00006217] Port=0 RD @02 + 6216.50ns INFO [00006218] * RD COMPARE * port=0 adr=18 act=7CF267E0 exp=7CF267E0 + 6216.50ns INFO [00006218] Port=0 WR @10=C5DD5253 + 6216.50ns INFO [00006218] Port=1 RD @01 + 6217.50ns INFO [00006219] * RD COMPARE * port=0 adr=02 act=CC473C50 exp=CC473C50 + 6217.50ns INFO [00006219] Port=0 RD @14 + 6217.50ns INFO [00006219] Port=1 RD @15 + 6218.50ns INFO [00006220] * RD COMPARE * port=1 adr=01 act=66FCA7EF exp=66FCA7EF + 6218.50ns INFO [00006220] Port=1 RD @05 + 6219.50ns INFO [00006221] * RD COMPARE * port=0 adr=14 act=1EF4AF0C exp=1EF4AF0C + 6219.50ns INFO [00006221] * RD COMPARE * port=1 adr=15 act=0467985A exp=0467985A + 6219.50ns INFO [00006221] Port=0 RD @0B + 6220.50ns INFO [00006222] * RD COMPARE * port=1 adr=05 act=BB6AC4A5 exp=BB6AC4A5 + 6220.50ns INFO [00006222] Port=0 RD @1C + 6221.50ns INFO [00006223] * RD COMPARE * port=0 adr=0B act=B1D064C2 exp=B1D064C2 + 6222.50ns INFO [00006224] * RD COMPARE * port=0 adr=1C act=7A685C72 exp=7A685C72 + 6222.50ns INFO [00006224] Port=0 WR @08=C6A4D530 + 6223.50ns INFO [00006225] Port=0 WR @1A=6AB229DE + 6224.50ns INFO [00006226] Port=0 WR @1F=0DC78F91 + 6224.50ns INFO [00006226] Port=0 RD @02 + 6225.50ns INFO [00006227] Port=0 WR @16=29BC2EE5 + 6226.50ns INFO [00006228] * RD COMPARE * port=0 adr=02 act=CC473C50 exp=CC473C50 + 6226.50ns INFO [00006228] Port=0 WR @06=FC9BFECF + 6226.50ns INFO [00006228] Port=0 RD @10 + 6226.50ns INFO [00006228] Port=1 RD @1C + 6227.50ns INFO [00006229] Port=0 RD @18 + 6227.50ns INFO [00006229] Port=1 RD @18 + 6228.50ns INFO [00006230] * RD COMPARE * port=0 adr=10 act=C5DD5253 exp=C5DD5253 + 6228.50ns INFO [00006230] * RD COMPARE * port=1 adr=1C act=7A685C72 exp=7A685C72 + 6228.50ns INFO [00006230] Port=0 RD @11 + 6229.50ns INFO [00006231] * RD COMPARE * port=0 adr=18 act=7CF267E0 exp=7CF267E0 + 6229.50ns INFO [00006231] * RD COMPARE * port=1 adr=18 act=7CF267E0 exp=7CF267E0 + 6229.50ns INFO [00006231] Port=0 WR @04=24A8F089 + 6229.50ns INFO [00006231] Port=0 RD @00 + 6229.50ns INFO [00006231] Port=1 RD @11 + 6230.50ns INFO [00006232] * RD COMPARE * port=0 adr=11 act=0E3B1BD6 exp=0E3B1BD6 + 6230.50ns INFO [00006232] Port=0 WR @12=F8DA3DAB + 6230.50ns INFO [00006232] Port=0 RD @1B + 6231.50ns INFO [00006233] * RD COMPARE * port=0 adr=00 act=35D495A7 exp=35D495A7 + 6231.50ns INFO [00006233] * RD COMPARE * port=1 adr=11 act=0E3B1BD6 exp=0E3B1BD6 + 6231.50ns INFO [00006233] Port=0 WR @04=268C6F94 + 6231.50ns INFO [00006233] Port=0 RD @00 + 6231.50ns INFO [00006233] Port=1 RD @0D + 6232.50ns INFO [00006234] * RD COMPARE * port=0 adr=1B act=884046BF exp=884046BF + 6233.50ns INFO [00006235] * RD COMPARE * port=0 adr=00 act=35D495A7 exp=35D495A7 + 6233.50ns INFO [00006235] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45 + 6233.50ns INFO [00006235] Port=0 RD @1F + 6233.50ns INFO [00006235] Port=1 RD @14 + 6234.50ns INFO [00006236] Port=0 WR @01=D3710841 + 6235.50ns INFO [00006237] * RD COMPARE * port=0 adr=1F act=0DC78F91 exp=0DC78F91 + 6235.50ns INFO [00006237] * RD COMPARE * port=1 adr=14 act=1EF4AF0C exp=1EF4AF0C + 6235.50ns INFO [00006237] Port=0 WR @00=A7C1B631 + 6235.50ns INFO [00006237] Port=0 RD @0F + 6236.50ns INFO [00006238] Port=0 WR @07=D42C87FF + 6237.50ns INFO [00006239] * RD COMPARE * port=0 adr=0F act=C832A8B7 exp=C832A8B7 + 6238.50ns INFO [00006240] Port=0 WR @02=C02918B7 + 6238.50ns INFO [00006240] Port=0 RD @03 + 6239.50ns INFO [00006241] Port=0 RD @0C + 6239.50ns INFO [00006241] Port=1 RD @01 + 6240.50ns INFO [00006242] * RD COMPARE * port=0 adr=03 act=3A108B0E exp=3A108B0E + 6240.50ns INFO [00006242] Port=0 WR @1C=696E746E + 6241.50ns INFO [00006243] * RD COMPARE * port=0 adr=0C act=47D15E19 exp=47D15E19 + 6241.50ns INFO [00006243] * RD COMPARE * port=1 adr=01 act=D3710841 exp=D3710841 + 6241.50ns INFO [00006243] Port=0 WR @0E=4612CF74 + 6242.50ns INFO [00006244] Port=0 WR @00=413C2142 + 6242.50ns INFO [00006244] Port=1 RD @12 + 6244.50ns INFO [00006246] * RD COMPARE * port=1 adr=12 act=F8DA3DAB exp=F8DA3DAB + 6244.50ns INFO [00006246] Port=0 WR @03=63B2EB3D + 6244.50ns INFO [00006246] Port=0 RD @06 + 6244.50ns INFO [00006246] Port=1 RD @05 + 6246.50ns INFO [00006248] * RD COMPARE * port=0 adr=06 act=FC9BFECF exp=FC9BFECF + 6246.50ns INFO [00006248] * RD COMPARE * port=1 adr=05 act=BB6AC4A5 exp=BB6AC4A5 + 6248.50ns INFO [00006250] Port=0 WR @0F=0B3F32E5 + 6248.50ns INFO [00006250] Port=0 RD @1C + 6248.50ns INFO [00006250] Port=1 RD @06 + 6249.50ns INFO [00006251] Port=0 WR @0B=00AD27AA + 6249.50ns INFO [00006251] Port=1 RD @10 + 6250.50ns INFO [00006252] * RD COMPARE * port=0 adr=1C act=696E746E exp=696E746E + 6250.50ns INFO [00006252] * RD COMPARE * port=1 adr=06 act=FC9BFECF exp=FC9BFECF + 6250.50ns INFO [00006252] Port=1 RD @0B + 6251.50ns INFO [00006253] * RD COMPARE * port=1 adr=10 act=C5DD5253 exp=C5DD5253 + 6252.50ns INFO [00006254] * RD COMPARE * port=1 adr=0B act=00AD27AA exp=00AD27AA + 6252.50ns INFO [00006254] Port=0 WR @15=3B08C770 + 6252.50ns INFO [00006254] Port=0 RD @01 + 6253.50ns INFO [00006255] Port=0 RD @12 + 6254.50ns INFO [00006256] * RD COMPARE * port=0 adr=01 act=D3710841 exp=D3710841 + 6254.50ns INFO [00006256] Port=0 WR @09=FB6415A3 + 6254.50ns INFO [00006256] Port=0 RD @0A + 6254.50ns INFO [00006256] Port=1 RD @11 + 6255.50ns INFO [00006257] * RD COMPARE * port=0 adr=12 act=F8DA3DAB exp=F8DA3DAB + 6255.50ns INFO [00006257] Port=0 WR @0E=A238E10A + 6255.50ns INFO [00006257] Port=0 RD @02 + 6256.50ns INFO [00006258] * RD COMPARE * port=0 adr=0A act=B574253E exp=B574253E + 6256.50ns INFO [00006258] * RD COMPARE * port=1 adr=11 act=0E3B1BD6 exp=0E3B1BD6 + 6256.50ns INFO [00006258] Port=0 WR @10=328CCC1B + 6257.50ns INFO [00006259] * RD COMPARE * port=0 adr=02 act=C02918B7 exp=C02918B7 + 6258.50ns INFO [00006260] Port=0 WR @1E=E093E59E + 6258.50ns INFO [00006260] Port=1 RD @10 + 6260.50ns INFO [00006262] * RD COMPARE * port=1 adr=10 act=328CCC1B exp=328CCC1B + 6260.50ns INFO [00006262] Port=0 WR @04=8EFE22BF + 6260.50ns INFO [00006262] Port=0 RD @08 + 6260.50ns INFO [00006262] Port=1 RD @01 + 6261.50ns INFO [00006263] Port=0 WR @00=6A7C1D6A + 6261.50ns INFO [00006263] Port=0 RD @08 + 6261.50ns INFO [00006263] Port=1 RD @0B + 6262.50ns INFO [00006264] * RD COMPARE * port=0 adr=08 act=C6A4D530 exp=C6A4D530 + 6262.50ns INFO [00006264] * RD COMPARE * port=1 adr=01 act=D3710841 exp=D3710841 + 6262.50ns INFO [00006264] Port=0 WR @11=B458842A + 6263.50ns INFO [00006265] * RD COMPARE * port=0 adr=08 act=C6A4D530 exp=C6A4D530 + 6263.50ns INFO [00006265] * RD COMPARE * port=1 adr=0B act=00AD27AA exp=00AD27AA + 6264.50ns INFO [00006266] Port=0 WR @07=2695DBAA + 6264.50ns INFO [00006266] Port=1 RD @04 + 6265.50ns INFO [00006267] Port=1 RD @1E + 6266.50ns INFO [00006268] * RD COMPARE * port=1 adr=04 act=8EFE22BF exp=8EFE22BF + 6267.50ns INFO [00006269] * RD COMPARE * port=1 adr=1E act=E093E59E exp=E093E59E + 6268.50ns INFO [00006270] Port=0 WR @18=CE7658A6 + 6268.50ns INFO [00006270] Port=0 RD @0A + 6268.50ns INFO [00006270] Port=1 RD @00 + 6269.50ns INFO [00006271] Port=0 WR @13=C4238810 + 6269.50ns INFO [00006271] Port=1 RD @0F + 6270.50ns INFO [00006272] * RD COMPARE * port=0 adr=0A act=B574253E exp=B574253E + 6270.50ns INFO [00006272] * RD COMPARE * port=1 adr=00 act=6A7C1D6A exp=6A7C1D6A + 6271.50ns INFO [00006273] * RD COMPARE * port=1 adr=0F act=0B3F32E5 exp=0B3F32E5 + 6271.50ns INFO [00006273] Port=1 RD @03 + 6272.50ns INFO [00006274] Port=0 WR @02=4238DF35 + 6272.50ns INFO [00006274] Port=0 RD @1D + 6273.50ns INFO [00006275] * RD COMPARE * port=1 adr=03 act=63B2EB3D exp=63B2EB3D + 6273.50ns INFO [00006275] Port=0 RD @16 + 6273.50ns INFO [00006275] Port=1 RD @0A + 6274.50ns INFO [00006276] * RD COMPARE * port=0 adr=1D act=2739723A exp=2739723A + 6274.50ns INFO [00006276] Port=1 RD @0E + 6275.50ns INFO [00006277] * RD COMPARE * port=0 adr=16 act=29BC2EE5 exp=29BC2EE5 + 6275.50ns INFO [00006277] * RD COMPARE * port=1 adr=0A act=B574253E exp=B574253E + 6275.50ns INFO [00006277] Port=0 WR @0A=8A8E63E0 + 6276.50ns INFO [00006278] * RD COMPARE * port=1 adr=0E act=A238E10A exp=A238E10A + 6276.50ns INFO [00006278] Port=0 WR @0B=B032C01D + 6277.50ns INFO [00006279] Port=0 RD @1D + 6278.50ns INFO [00006280] Port=0 WR @1C=734DD2E8 + 6278.50ns INFO [00006280] Port=0 RD @15 + 6279.50ns INFO [00006281] * RD COMPARE * port=0 adr=1D act=2739723A exp=2739723A + 6280.50ns INFO [00006282] * RD COMPARE * port=0 adr=15 act=3B08C770 exp=3B08C770 + 6280.50ns INFO [00006282] Port=1 RD @10 + 6282.50ns INFO [00006284] * RD COMPARE * port=1 adr=10 act=328CCC1B exp=328CCC1B + 6283.50ns INFO [00006285] Port=0 WR @0C=12FD012E + 6283.50ns INFO [00006285] Port=1 RD @02 + 6284.50ns INFO [00006286] Port=0 WR @05=AAE6FBFC + 6284.50ns INFO [00006286] Port=1 RD @04 + 6285.50ns INFO [00006287] * RD COMPARE * port=1 adr=02 act=4238DF35 exp=4238DF35 + 6286.50ns INFO [00006288] * RD COMPARE * port=1 adr=04 act=8EFE22BF exp=8EFE22BF + 6286.50ns INFO [00006288] Port=0 WR @06=1201365C + 6290.50ns INFO [00006292] Port=0 RD @04 + 6291.50ns INFO [00006293] Port=0 WR @02=9C6F1B95 + 6291.50ns INFO [00006293] Port=0 RD @04 + 6292.50ns INFO [00006294] * RD COMPARE * port=0 adr=04 act=8EFE22BF exp=8EFE22BF + 6292.50ns INFO [00006294] Port=0 WR @14=B43EAF1D + 6292.50ns INFO [00006294] Port=0 RD @0B + 6292.50ns INFO [00006294] Port=1 RD @1D + 6293.50ns INFO [00006295] * RD COMPARE * port=0 adr=04 act=8EFE22BF exp=8EFE22BF + 6293.50ns INFO [00006295] Port=0 WR @02=5C26AC49 + 6293.50ns INFO [00006295] Port=1 RD @04 + 6294.50ns INFO [00006296] * RD COMPARE * port=0 adr=0B act=B032C01D exp=B032C01D + 6294.50ns INFO [00006296] * RD COMPARE * port=1 adr=1D act=2739723A exp=2739723A + 6294.50ns INFO [00006296] Port=0 WR @1C=947B945A + 6294.50ns INFO [00006296] Port=0 RD @19 + 6295.50ns INFO [00006297] * RD COMPARE * port=1 adr=04 act=8EFE22BF exp=8EFE22BF + 6295.50ns INFO [00006297] Port=1 RD @1A + 6296.50ns INFO [00006298] * RD COMPARE * port=0 adr=19 act=4611E770 exp=4611E770 + 6296.50ns INFO [00006298] Port=0 WR @15=87A3FB57 + 6296.50ns INFO [00006298] Port=0 RD @03 + 6297.50ns INFO [00006299] * RD COMPARE * port=1 adr=1A act=6AB229DE exp=6AB229DE + 6297.50ns INFO [00006299] Port=0 WR @1F=5DD88243 + 6297.50ns INFO [00006299] Port=0 RD @14 + 6297.50ns INFO [00006299] Port=1 RD @1A + 6298.00ns INFO [00006300] [00006300] ...tick... + 6298.50ns INFO [00006300] * RD COMPARE * port=0 adr=03 act=63B2EB3D exp=63B2EB3D + 6298.50ns INFO [00006300] Port=1 RD @1E + 6299.50ns INFO [00006301] * RD COMPARE * port=0 adr=14 act=B43EAF1D exp=B43EAF1D + 6299.50ns INFO [00006301] * RD COMPARE * port=1 adr=1A act=6AB229DE exp=6AB229DE + 6300.50ns INFO [00006302] * RD COMPARE * port=1 adr=1E act=E093E59E exp=E093E59E + 6300.50ns INFO [00006302] Port=0 WR @19=60A3AB59 + 6300.50ns INFO [00006302] Port=0 RD @10 + 6301.50ns INFO [00006303] Port=0 RD @0E + 6301.50ns INFO [00006303] Port=1 RD @16 + 6302.50ns INFO [00006304] * RD COMPARE * port=0 adr=10 act=328CCC1B exp=328CCC1B + 6302.50ns INFO [00006304] Port=0 RD @06 + 6303.50ns INFO [00006305] * RD COMPARE * port=0 adr=0E act=A238E10A exp=A238E10A + 6303.50ns INFO [00006305] * RD COMPARE * port=1 adr=16 act=29BC2EE5 exp=29BC2EE5 + 6303.50ns INFO [00006305] Port=0 RD @12 + 6303.50ns INFO [00006305] Port=1 RD @1B + 6304.50ns INFO [00006306] * RD COMPARE * port=0 adr=06 act=1201365C exp=1201365C + 6304.50ns INFO [00006306] Port=0 RD @15 + 6305.50ns INFO [00006307] * RD COMPARE * port=0 adr=12 act=F8DA3DAB exp=F8DA3DAB + 6305.50ns INFO [00006307] * RD COMPARE * port=1 adr=1B act=884046BF exp=884046BF + 6306.50ns INFO [00006308] * RD COMPARE * port=0 adr=15 act=87A3FB57 exp=87A3FB57 + 6306.50ns INFO [00006308] Port=0 WR @18=34F0B948 + 6307.50ns INFO [00006309] Port=1 RD @00 + 6308.50ns INFO [00006310] Port=0 RD @0C + 6309.50ns INFO [00006311] * RD COMPARE * port=1 adr=00 act=6A7C1D6A exp=6A7C1D6A + 6309.50ns INFO [00006311] Port=0 WR @12=6FB2C584 + 6309.50ns INFO [00006311] Port=0 RD @13 + 6310.50ns INFO [00006312] * RD COMPARE * port=0 adr=0C act=12FD012E exp=12FD012E + 6310.50ns INFO [00006312] Port=1 RD @13 + 6311.50ns INFO [00006313] * RD COMPARE * port=0 adr=13 act=C4238810 exp=C4238810 + 6311.50ns INFO [00006313] Port=0 WR @1A=0B3A24B4 + 6311.50ns INFO [00006313] Port=0 RD @0A + 6312.50ns INFO [00006314] * RD COMPARE * port=1 adr=13 act=C4238810 exp=C4238810 + 6313.50ns INFO [00006315] * RD COMPARE * port=0 adr=0A act=8A8E63E0 exp=8A8E63E0 + 6313.50ns INFO [00006315] Port=0 RD @15 + 6315.50ns INFO [00006317] * RD COMPARE * port=0 adr=15 act=87A3FB57 exp=87A3FB57 + 6315.50ns INFO [00006317] Port=0 RD @1B + 6316.50ns INFO [00006318] Port=1 RD @0B + 6317.50ns INFO [00006319] * RD COMPARE * port=0 adr=1B act=884046BF exp=884046BF + 6317.50ns INFO [00006319] Port=0 RD @0D + 6317.50ns INFO [00006319] Port=1 RD @1E + 6318.50ns INFO [00006320] * RD COMPARE * port=1 adr=0B act=B032C01D exp=B032C01D + 6318.50ns INFO [00006320] Port=0 WR @07=DB23E9D3 + 6318.50ns INFO [00006320] Port=0 RD @17 + 6318.50ns INFO [00006320] Port=1 RD @1D + 6319.50ns INFO [00006321] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45 + 6319.50ns INFO [00006321] * RD COMPARE * port=1 adr=1E act=E093E59E exp=E093E59E + 6319.50ns INFO [00006321] Port=1 RD @09 + 6320.50ns INFO [00006322] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8 + 6320.50ns INFO [00006322] * RD COMPARE * port=1 adr=1D act=2739723A exp=2739723A + 6320.50ns INFO [00006322] Port=1 RD @13 + 6321.50ns INFO [00006323] * RD COMPARE * port=1 adr=09 act=FB6415A3 exp=FB6415A3 + 6321.50ns INFO [00006323] Port=0 WR @02=379DE3B4 + 6322.50ns INFO [00006324] * RD COMPARE * port=1 adr=13 act=C4238810 exp=C4238810 + 6322.50ns INFO [00006324] Port=0 WR @0F=2E4C86C8 + 6322.50ns INFO [00006324] Port=0 RD @09 + 6324.50ns INFO [00006326] * RD COMPARE * port=0 adr=09 act=FB6415A3 exp=FB6415A3 + 6325.50ns INFO [00006327] Port=0 WR @1E=E24BC369 + 6325.50ns INFO [00006327] Port=0 RD @1B + 6326.50ns INFO [00006328] Port=1 RD @0C + 6327.50ns INFO [00006329] * RD COMPARE * port=0 adr=1B act=884046BF exp=884046BF + 6327.50ns INFO [00006329] Port=0 WR @1A=972140E7 + 6327.50ns INFO [00006329] Port=1 RD @05 + 6328.50ns INFO [00006330] * RD COMPARE * port=1 adr=0C act=12FD012E exp=12FD012E + 6328.50ns INFO [00006330] Port=0 WR @1B=93DB5011 + 6329.50ns INFO [00006331] * RD COMPARE * port=1 adr=05 act=AAE6FBFC exp=AAE6FBFC + 6329.50ns INFO [00006331] Port=0 WR @18=A311C769 + 6329.50ns INFO [00006331] Port=0 RD @0A + 6331.50ns INFO [00006333] * RD COMPARE * port=0 adr=0A act=8A8E63E0 exp=8A8E63E0 + 6333.50ns INFO [00006335] Port=1 RD @08 + 6334.50ns INFO [00006336] Port=1 RD @0C + 6335.50ns INFO [00006337] * RD COMPARE * port=1 adr=08 act=C6A4D530 exp=C6A4D530 + 6336.50ns INFO [00006338] * RD COMPARE * port=1 adr=0C act=12FD012E exp=12FD012E + 6336.50ns INFO [00006338] Port=0 WR @1F=383DADBE + 6336.50ns INFO [00006338] Port=0 RD @0F + 6336.50ns INFO [00006338] Port=1 RD @09 + 6337.50ns INFO [00006339] Port=0 WR @17=C8420404 + 6338.50ns INFO [00006340] * RD COMPARE * port=0 adr=0F act=2E4C86C8 exp=2E4C86C8 + 6338.50ns INFO [00006340] * RD COMPARE * port=1 adr=09 act=FB6415A3 exp=FB6415A3 + 6339.50ns INFO [00006341] Port=0 WR @1D=B3981103 + 6339.50ns INFO [00006341] Port=0 RD @00 + 6341.50ns INFO [00006343] * RD COMPARE * port=0 adr=00 act=6A7C1D6A exp=6A7C1D6A + 6341.50ns INFO [00006343] Port=0 RD @08 + 6341.50ns INFO [00006343] Port=1 RD @0D + 6343.50ns INFO [00006345] * RD COMPARE * port=0 adr=08 act=C6A4D530 exp=C6A4D530 + 6343.50ns INFO [00006345] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45 + 6343.50ns INFO [00006345] Port=0 RD @17 + 6343.50ns INFO [00006345] Port=1 RD @18 + 6345.50ns INFO [00006347] * RD COMPARE * port=0 adr=17 act=C8420404 exp=C8420404 + 6345.50ns INFO [00006347] * RD COMPARE * port=1 adr=18 act=A311C769 exp=A311C769 + 6345.50ns INFO [00006347] Port=0 RD @0D + 6345.50ns INFO [00006347] Port=1 RD @02 + 6346.50ns INFO [00006348] Port=0 RD @01 + 6346.50ns INFO [00006348] Port=1 RD @10 + 6347.50ns INFO [00006349] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45 + 6347.50ns INFO [00006349] * RD COMPARE * port=1 adr=02 act=379DE3B4 exp=379DE3B4 + 6347.50ns INFO [00006349] Port=0 WR @08=BEAE1260 + 6348.50ns INFO [00006350] * RD COMPARE * port=0 adr=01 act=D3710841 exp=D3710841 + 6348.50ns INFO [00006350] * RD COMPARE * port=1 adr=10 act=328CCC1B exp=328CCC1B + 6348.50ns INFO [00006350] Port=0 RD @1F + 6348.50ns INFO [00006350] Port=1 RD @0E + 6349.50ns INFO [00006351] Port=0 WR @03=54484E1D + 6349.50ns INFO [00006351] Port=0 RD @07 + 6350.50ns INFO [00006352] * RD COMPARE * port=0 adr=1F act=383DADBE exp=383DADBE + 6350.50ns INFO [00006352] * RD COMPARE * port=1 adr=0E act=A238E10A exp=A238E10A + 6350.50ns INFO [00006352] Port=0 RD @18 + 6351.50ns INFO [00006353] * RD COMPARE * port=0 adr=07 act=DB23E9D3 exp=DB23E9D3 + 6351.50ns INFO [00006353] Port=0 WR @13=E789F0BD + 6351.50ns INFO [00006353] Port=0 RD @18 + 6351.50ns INFO [00006353] Port=1 RD @05 + 6352.50ns INFO [00006354] * RD COMPARE * port=0 adr=18 act=A311C769 exp=A311C769 + 6353.50ns INFO [00006355] * RD COMPARE * port=0 adr=18 act=A311C769 exp=A311C769 + 6353.50ns INFO [00006355] * RD COMPARE * port=1 adr=05 act=AAE6FBFC exp=AAE6FBFC + 6353.50ns INFO [00006355] Port=0 RD @03 + 6353.50ns INFO [00006355] Port=1 RD @17 + 6354.50ns INFO [00006356] Port=0 WR @14=D84BA276 + 6355.50ns INFO [00006357] * RD COMPARE * port=0 adr=03 act=54484E1D exp=54484E1D + 6355.50ns INFO [00006357] * RD COMPARE * port=1 adr=17 act=C8420404 exp=C8420404 + 6355.50ns INFO [00006357] Port=0 RD @00 + 6356.50ns INFO [00006358] Port=0 WR @0A=D794D1D7 + 6356.50ns INFO [00006358] Port=1 RD @06 + 6357.50ns INFO [00006359] * RD COMPARE * port=0 adr=00 act=6A7C1D6A exp=6A7C1D6A + 6358.50ns INFO [00006360] * RD COMPARE * port=1 adr=06 act=1201365C exp=1201365C + 6358.50ns INFO [00006360] Port=0 RD @15 + 6358.50ns INFO [00006360] Port=1 RD @19 + 6360.50ns INFO [00006362] * RD COMPARE * port=0 adr=15 act=87A3FB57 exp=87A3FB57 + 6360.50ns INFO [00006362] * RD COMPARE * port=1 adr=19 act=60A3AB59 exp=60A3AB59 + 6360.50ns INFO [00006362] Port=0 WR @04=C4DBA111 + 6360.50ns INFO [00006362] Port=0 RD @02 + 6360.50ns INFO [00006362] Port=1 RD @09 + 6361.50ns INFO [00006363] Port=0 WR @1D=023943A8 + 6361.50ns INFO [00006363] Port=1 RD @15 + 6362.50ns INFO [00006364] * RD COMPARE * port=0 adr=02 act=379DE3B4 exp=379DE3B4 + 6362.50ns INFO [00006364] * RD COMPARE * port=1 adr=09 act=FB6415A3 exp=FB6415A3 + 6362.50ns INFO [00006364] Port=0 WR @0C=6591CB1B + 6362.50ns INFO [00006364] Port=0 RD @12 + 6363.50ns INFO [00006365] * RD COMPARE * port=1 adr=15 act=87A3FB57 exp=87A3FB57 + 6364.50ns INFO [00006366] * RD COMPARE * port=0 adr=12 act=6FB2C584 exp=6FB2C584 + 6364.50ns INFO [00006366] Port=0 WR @04=AD1E5820 + 6365.50ns INFO [00006367] Port=0 WR @17=1C059FBC + 6370.50ns INFO [00006372] Port=1 RD @0C + 6371.50ns INFO [00006373] Port=1 RD @10 + 6372.50ns INFO [00006374] * RD COMPARE * port=1 adr=0C act=6591CB1B exp=6591CB1B + 6373.50ns INFO [00006375] * RD COMPARE * port=1 adr=10 act=328CCC1B exp=328CCC1B + 6373.50ns INFO [00006375] Port=0 WR @04=A0FA7A55 + 6373.50ns INFO [00006375] Port=1 RD @1B + 6374.50ns INFO [00006376] Port=0 WR @1B=1812ADCB + 6375.50ns INFO [00006377] * RD COMPARE * port=1 adr=1B act=93DB5011 exp=93DB5011 + 6375.50ns INFO [00006377] Port=0 RD @04 + 6376.50ns INFO [00006378] Port=0 RD @12 + 6377.50ns INFO [00006379] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55 + 6377.50ns INFO [00006379] Port=0 WR @13=D0646998 + 6378.50ns INFO [00006380] * RD COMPARE * port=0 adr=12 act=6FB2C584 exp=6FB2C584 + 6378.50ns INFO [00006380] Port=1 RD @1B + 6380.50ns INFO [00006382] * RD COMPARE * port=1 adr=1B act=1812ADCB exp=1812ADCB + 6380.50ns INFO [00006382] Port=0 RD @0D + 6380.50ns INFO [00006382] Port=1 RD @06 + 6381.50ns INFO [00006383] Port=0 WR @0C=E5EB0909 + 6381.50ns INFO [00006383] Port=1 RD @1B + 6382.50ns INFO [00006384] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45 + 6382.50ns INFO [00006384] * RD COMPARE * port=1 adr=06 act=1201365C exp=1201365C + 6383.50ns INFO [00006385] * RD COMPARE * port=1 adr=1B act=1812ADCB exp=1812ADCB + 6384.50ns INFO [00006386] Port=0 WR @11=1C4A0ECE + 6384.50ns INFO [00006386] Port=0 RD @15 + 6385.50ns INFO [00006387] Port=0 RD @13 + 6385.50ns INFO [00006387] Port=1 RD @08 + 6386.50ns INFO [00006388] * RD COMPARE * port=0 adr=15 act=87A3FB57 exp=87A3FB57 + 6386.50ns INFO [00006388] Port=0 RD @0B + 6386.50ns INFO [00006388] Port=1 RD @0E + 6387.50ns INFO [00006389] * RD COMPARE * port=0 adr=13 act=D0646998 exp=D0646998 + 6387.50ns INFO [00006389] * RD COMPARE * port=1 adr=08 act=BEAE1260 exp=BEAE1260 + 6387.50ns INFO [00006389] Port=0 RD @18 + 6387.50ns INFO [00006389] Port=1 RD @1C + 6388.50ns INFO [00006390] * RD COMPARE * port=0 adr=0B act=B032C01D exp=B032C01D + 6388.50ns INFO [00006390] * RD COMPARE * port=1 adr=0E act=A238E10A exp=A238E10A + 6389.50ns INFO [00006391] * RD COMPARE * port=0 adr=18 act=A311C769 exp=A311C769 + 6389.50ns INFO [00006391] * RD COMPARE * port=1 adr=1C act=947B945A exp=947B945A + 6389.50ns INFO [00006391] Port=0 WR @00=AA85BF47 + 6389.50ns INFO [00006391] Port=0 RD @04 + 6390.50ns INFO [00006392] Port=0 WR @1B=5BB8F32E + 6391.50ns INFO [00006393] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55 + 6391.50ns INFO [00006393] Port=1 RD @1F + 6392.50ns INFO [00006394] Port=0 WR @1F=70E9D397 + 6392.50ns INFO [00006394] Port=1 RD @11 + 6393.50ns INFO [00006395] * RD COMPARE * port=1 adr=1F act=383DADBE exp=383DADBE + 6393.50ns INFO [00006395] Port=0 WR @01=DCE72B52 + 6393.50ns INFO [00006395] Port=1 RD @00 + 6394.50ns INFO [00006396] * RD COMPARE * port=1 adr=11 act=1C4A0ECE exp=1C4A0ECE + 6394.50ns INFO [00006396] Port=0 WR @12=A09EA82B + 6395.50ns INFO [00006397] * RD COMPARE * port=1 adr=00 act=AA85BF47 exp=AA85BF47 + 6395.50ns INFO [00006397] Port=1 RD @12 + 6396.50ns INFO [00006398] Port=0 WR @00=F68FDAFF + 6396.50ns INFO [00006398] Port=1 RD @1B + 6397.50ns INFO [00006399] * RD COMPARE * port=1 adr=12 act=A09EA82B exp=A09EA82B + 6398.00ns INFO [00006400] [00006400] ...tick... + 6398.50ns INFO [00006400] * RD COMPARE * port=1 adr=1B act=5BB8F32E exp=5BB8F32E + 6399.50ns INFO [00006401] Port=0 WR @1C=16BDBAF4 + 6399.50ns INFO [00006401] Port=0 RD @01 + 6399.50ns INFO [00006401] Port=1 RD @05 + 6400.50ns INFO [00006402] Port=0 WR @1D=B9878E54 + 6400.50ns INFO [00006402] Port=0 RD @05 + 6401.50ns INFO [00006403] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52 + 6401.50ns INFO [00006403] * RD COMPARE * port=1 adr=05 act=AAE6FBFC exp=AAE6FBFC + 6401.50ns INFO [00006403] Port=0 WR @1D=53285445 + 6401.50ns INFO [00006403] Port=1 RD @0F + 6402.50ns INFO [00006404] * RD COMPARE * port=0 adr=05 act=AAE6FBFC exp=AAE6FBFC + 6402.50ns INFO [00006404] Port=0 WR @1F=A4D77E43 + 6402.50ns INFO [00006404] Port=0 RD @17 + 6402.50ns INFO [00006404] Port=1 RD @17 + 6403.50ns INFO [00006405] * RD COMPARE * port=1 adr=0F act=2E4C86C8 exp=2E4C86C8 + 6403.50ns INFO [00006405] Port=0 WR @0C=67ADFA8B + 6404.50ns INFO [00006406] * RD COMPARE * port=0 adr=17 act=1C059FBC exp=1C059FBC + 6404.50ns INFO [00006406] * RD COMPARE * port=1 adr=17 act=1C059FBC exp=1C059FBC + 6404.50ns INFO [00006406] Port=1 RD @17 + 6406.50ns INFO [00006408] * RD COMPARE * port=1 adr=17 act=1C059FBC exp=1C059FBC + 6406.50ns INFO [00006408] Port=1 RD @00 + 6407.50ns INFO [00006409] Port=0 WR @0B=4C0C1F4F + 6408.50ns INFO [00006410] * RD COMPARE * port=1 adr=00 act=F68FDAFF exp=F68FDAFF + 6409.50ns INFO [00006411] Port=0 WR @0F=3E50D06F + 6410.50ns INFO [00006412] Port=0 RD @0A + 6410.50ns INFO [00006412] Port=1 RD @11 + 6411.50ns INFO [00006413] Port=0 RD @1B + 6411.50ns INFO [00006413] Port=1 RD @1F + 6412.50ns INFO [00006414] * RD COMPARE * port=0 adr=0A act=D794D1D7 exp=D794D1D7 + 6412.50ns INFO [00006414] * RD COMPARE * port=1 adr=11 act=1C4A0ECE exp=1C4A0ECE + 6413.50ns INFO [00006415] * RD COMPARE * port=0 adr=1B act=5BB8F32E exp=5BB8F32E + 6413.50ns INFO [00006415] * RD COMPARE * port=1 adr=1F act=A4D77E43 exp=A4D77E43 + 6413.50ns INFO [00006415] Port=0 RD @03 + 6414.50ns INFO [00006416] Port=0 WR @10=5E9D0FFE + 6415.50ns INFO [00006417] * RD COMPARE * port=0 adr=03 act=54484E1D exp=54484E1D + 6415.50ns INFO [00006417] Port=0 RD @06 + 6416.50ns INFO [00006418] Port=1 RD @11 + 6417.50ns INFO [00006419] * RD COMPARE * port=0 adr=06 act=1201365C exp=1201365C + 6418.50ns INFO [00006420] * RD COMPARE * port=1 adr=11 act=1C4A0ECE exp=1C4A0ECE + 6418.50ns INFO [00006420] Port=0 WR @1A=777DAAB3 + 6418.50ns INFO [00006420] Port=0 RD @1C + 6418.50ns INFO [00006420] Port=1 RD @04 + 6420.50ns INFO [00006422] * RD COMPARE * port=0 adr=1C act=16BDBAF4 exp=16BDBAF4 + 6420.50ns INFO [00006422] * RD COMPARE * port=1 adr=04 act=A0FA7A55 exp=A0FA7A55 + 6421.50ns INFO [00006423] Port=0 RD @19 + 6421.50ns INFO [00006423] Port=1 RD @02 + 6422.50ns INFO [00006424] Port=0 WR @10=FE3844A7 + 6423.50ns INFO [00006425] * RD COMPARE * port=0 adr=19 act=60A3AB59 exp=60A3AB59 + 6423.50ns INFO [00006425] * RD COMPARE * port=1 adr=02 act=379DE3B4 exp=379DE3B4 + 6423.50ns INFO [00006425] Port=0 WR @17=422F0F98 + 6423.50ns INFO [00006425] Port=0 RD @14 + 6424.50ns INFO [00006426] Port=0 RD @0C + 6425.50ns INFO [00006427] * RD COMPARE * port=0 adr=14 act=D84BA276 exp=D84BA276 + 6426.50ns INFO [00006428] * RD COMPARE * port=0 adr=0C act=67ADFA8B exp=67ADFA8B + 6426.50ns INFO [00006428] Port=0 WR @19=D2C778EB + 6426.50ns INFO [00006428] Port=0 RD @00 + 6426.50ns INFO [00006428] Port=1 RD @0A + 6427.50ns INFO [00006429] Port=0 RD @1E + 6428.50ns INFO [00006430] * RD COMPARE * port=0 adr=00 act=F68FDAFF exp=F68FDAFF + 6428.50ns INFO [00006430] * RD COMPARE * port=1 adr=0A act=D794D1D7 exp=D794D1D7 + 6429.50ns INFO [00006431] * RD COMPARE * port=0 adr=1E act=E24BC369 exp=E24BC369 + 6430.50ns INFO [00006432] Port=0 WR @14=DBD80A0F + 6430.50ns INFO [00006432] Port=0 RD @04 + 6431.50ns INFO [00006433] Port=0 RD @1D + 6432.50ns INFO [00006434] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55 + 6432.50ns INFO [00006434] Port=0 WR @12=F8928EB3 + 6432.50ns INFO [00006434] Port=1 RD @1B + 6433.50ns INFO [00006435] * RD COMPARE * port=0 adr=1D act=53285445 exp=53285445 + 6434.50ns INFO [00006436] * RD COMPARE * port=1 adr=1B act=5BB8F32E exp=5BB8F32E + 6434.50ns INFO [00006436] Port=0 WR @16=86345183 + 6434.50ns INFO [00006436] Port=0 RD @1A + 6435.50ns INFO [00006437] Port=0 WR @08=9C2B3D2A + 6435.50ns INFO [00006437] Port=1 RD @1D + 6436.50ns INFO [00006438] * RD COMPARE * port=0 adr=1A act=777DAAB3 exp=777DAAB3 + 6436.50ns INFO [00006438] Port=0 WR @1E=EED4BFC7 + 6436.50ns INFO [00006438] Port=0 RD @02 + 6437.50ns INFO [00006439] * RD COMPARE * port=1 adr=1D act=53285445 exp=53285445 + 6438.50ns INFO [00006440] * RD COMPARE * port=0 adr=02 act=379DE3B4 exp=379DE3B4 + 6438.50ns INFO [00006440] Port=0 WR @1C=41CC4B2E + 6439.50ns INFO [00006441] Port=0 RD @0B + 6440.50ns INFO [00006442] Port=0 RD @10 + 6440.50ns INFO [00006442] Port=1 RD @1D + 6441.50ns INFO [00006443] * RD COMPARE * port=0 adr=0B act=4C0C1F4F exp=4C0C1F4F + 6441.50ns INFO [00006443] Port=0 WR @12=23FC5E13 + 6442.50ns INFO [00006444] * RD COMPARE * port=0 adr=10 act=FE3844A7 exp=FE3844A7 + 6442.50ns INFO [00006444] * RD COMPARE * port=1 adr=1D act=53285445 exp=53285445 + 6442.50ns INFO [00006444] Port=0 RD @1D + 6442.50ns INFO [00006444] Port=1 RD @0F + 6443.50ns INFO [00006445] Port=0 WR @1B=332083EA + 6443.50ns INFO [00006445] Port=0 RD @0F + 6443.50ns INFO [00006445] Port=1 RD @17 + 6444.50ns INFO [00006446] * RD COMPARE * port=0 adr=1D act=53285445 exp=53285445 + 6444.50ns INFO [00006446] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F + 6444.50ns INFO [00006446] Port=0 RD @0E + 6444.50ns INFO [00006446] Port=1 RD @06 + 6445.50ns INFO [00006447] * RD COMPARE * port=0 adr=0F act=3E50D06F exp=3E50D06F + 6445.50ns INFO [00006447] * RD COMPARE * port=1 adr=17 act=422F0F98 exp=422F0F98 + 6445.50ns INFO [00006447] Port=1 RD @0A + 6446.50ns INFO [00006448] * RD COMPARE * port=0 adr=0E act=A238E10A exp=A238E10A + 6446.50ns INFO [00006448] * RD COMPARE * port=1 adr=06 act=1201365C exp=1201365C + 6446.50ns INFO [00006448] Port=0 WR @10=2692F9A0 + 6446.50ns INFO [00006448] Port=1 RD @0D + 6447.50ns INFO [00006449] * RD COMPARE * port=1 adr=0A act=D794D1D7 exp=D794D1D7 + 6447.50ns INFO [00006449] Port=0 WR @11=D8BC81FF + 6447.50ns INFO [00006449] Port=1 RD @18 + 6448.50ns INFO [00006450] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45 + 6448.50ns INFO [00006450] Port=1 RD @1D + 6449.50ns INFO [00006451] * RD COMPARE * port=1 adr=18 act=A311C769 exp=A311C769 + 6450.50ns INFO [00006452] * RD COMPARE * port=1 adr=1D act=53285445 exp=53285445 + 6450.50ns INFO [00006452] Port=0 RD @03 + 6450.50ns INFO [00006452] Port=1 RD @02 + 6451.50ns INFO [00006453] Port=0 WR @02=61F787A8 + 6451.50ns INFO [00006453] Port=1 RD @0A + 6452.50ns INFO [00006454] * RD COMPARE * port=0 adr=03 act=54484E1D exp=54484E1D + 6452.50ns INFO [00006454] * RD COMPARE * port=1 adr=02 act=379DE3B4 exp=379DE3B4 + 6452.50ns INFO [00006454] Port=0 WR @1E=1957E151 + 6453.50ns INFO [00006455] * RD COMPARE * port=1 adr=0A act=D794D1D7 exp=D794D1D7 + 6453.50ns INFO [00006455] Port=0 WR @05=FDAF6618 + 6453.50ns INFO [00006455] Port=1 RD @19 + 6455.50ns INFO [00006457] * RD COMPARE * port=1 adr=19 act=D2C778EB exp=D2C778EB + 6455.50ns INFO [00006457] Port=0 RD @12 + 6456.50ns INFO [00006458] Port=0 WR @11=AD0FB073 + 6456.50ns INFO [00006458] Port=0 RD @0A + 6456.50ns INFO [00006458] Port=1 RD @0F + 6457.50ns INFO [00006459] * RD COMPARE * port=0 adr=12 act=23FC5E13 exp=23FC5E13 + 6457.50ns INFO [00006459] Port=0 RD @1C + 6457.50ns INFO [00006459] Port=1 RD @02 + 6458.50ns INFO [00006460] * RD COMPARE * port=0 adr=0A act=D794D1D7 exp=D794D1D7 + 6458.50ns INFO [00006460] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F + 6458.50ns INFO [00006460] Port=0 WR @0E=0D20D7FD + 6458.50ns INFO [00006460] Port=1 RD @0F + 6459.50ns INFO [00006461] * RD COMPARE * port=0 adr=1C act=41CC4B2E exp=41CC4B2E + 6459.50ns INFO [00006461] * RD COMPARE * port=1 adr=02 act=61F787A8 exp=61F787A8 + 6459.50ns INFO [00006461] Port=0 WR @05=FFC63C81 + 6459.50ns INFO [00006461] Port=0 RD @07 + 6460.50ns INFO [00006462] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F + 6461.50ns INFO [00006463] * RD COMPARE * port=0 adr=07 act=DB23E9D3 exp=DB23E9D3 + 6461.50ns INFO [00006463] Port=0 RD @09 + 6462.50ns INFO [00006464] Port=0 WR @12=BB40A548 + 6462.50ns INFO [00006464] Port=1 RD @1C + 6463.50ns INFO [00006465] * RD COMPARE * port=0 adr=09 act=FB6415A3 exp=FB6415A3 + 6463.50ns INFO [00006465] Port=0 RD @1F + 6464.50ns INFO [00006466] * RD COMPARE * port=1 adr=1C act=41CC4B2E exp=41CC4B2E + 6464.50ns INFO [00006466] Port=0 WR @03=DBD3A4B1 + 6464.50ns INFO [00006466] Port=1 RD @08 + 6465.50ns INFO [00006467] * RD COMPARE * port=0 adr=1F act=A4D77E43 exp=A4D77E43 + 6465.50ns INFO [00006467] Port=0 RD @1E + 6466.50ns INFO [00006468] * RD COMPARE * port=1 adr=08 act=9C2B3D2A exp=9C2B3D2A + 6466.50ns INFO [00006468] Port=0 WR @10=B168F5A6 + 6467.50ns INFO [00006469] * RD COMPARE * port=0 adr=1E act=1957E151 exp=1957E151 + 6467.50ns INFO [00006469] Port=0 RD @0A + 6467.50ns INFO [00006469] Port=1 RD @03 + 6469.50ns INFO [00006471] * RD COMPARE * port=0 adr=0A act=D794D1D7 exp=D794D1D7 + 6469.50ns INFO [00006471] * RD COMPARE * port=1 adr=03 act=DBD3A4B1 exp=DBD3A4B1 + 6469.50ns INFO [00006471] Port=0 RD @16 + 6470.50ns INFO [00006472] Port=0 WR @0C=13A6F146 + 6470.50ns INFO [00006472] Port=0 RD @1A + 6470.50ns INFO [00006472] Port=1 RD @12 + 6471.50ns INFO [00006473] * RD COMPARE * port=0 adr=16 act=86345183 exp=86345183 + 6471.50ns INFO [00006473] Port=0 RD @0C + 6471.50ns INFO [00006473] Port=1 RD @0D + 6472.50ns INFO [00006474] * RD COMPARE * port=0 adr=1A act=777DAAB3 exp=777DAAB3 + 6472.50ns INFO [00006474] * RD COMPARE * port=1 adr=12 act=BB40A548 exp=BB40A548 + 6472.50ns INFO [00006474] Port=0 RD @1B + 6472.50ns INFO [00006474] Port=1 RD @07 + 6473.50ns INFO [00006475] * RD COMPARE * port=0 adr=0C act=13A6F146 exp=13A6F146 + 6473.50ns INFO [00006475] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45 + 6473.50ns INFO [00006475] Port=0 RD @18 + 6474.50ns INFO [00006476] * RD COMPARE * port=0 adr=1B act=332083EA exp=332083EA + 6474.50ns INFO [00006476] * RD COMPARE * port=1 adr=07 act=DB23E9D3 exp=DB23E9D3 + 6474.50ns INFO [00006476] Port=1 RD @10 + 6475.50ns INFO [00006477] * RD COMPARE * port=0 adr=18 act=A311C769 exp=A311C769 + 6475.50ns INFO [00006477] Port=0 RD @07 + 6475.50ns INFO [00006477] Port=1 RD @0E + 6476.50ns INFO [00006478] * RD COMPARE * port=1 adr=10 act=B168F5A6 exp=B168F5A6 + 6476.50ns INFO [00006478] Port=0 WR @19=A1CC386A + 6476.50ns INFO [00006478] Port=0 RD @08 + 6477.50ns INFO [00006479] * RD COMPARE * port=0 adr=07 act=DB23E9D3 exp=DB23E9D3 + 6477.50ns INFO [00006479] * RD COMPARE * port=1 adr=0E act=0D20D7FD exp=0D20D7FD + 6477.50ns INFO [00006479] Port=1 RD @1A + 6478.50ns INFO [00006480] * RD COMPARE * port=0 adr=08 act=9C2B3D2A exp=9C2B3D2A + 6478.50ns INFO [00006480] Port=0 WR @10=B84B0A43 + 6479.50ns INFO [00006481] * RD COMPARE * port=1 adr=1A act=777DAAB3 exp=777DAAB3 + 6479.50ns INFO [00006481] Port=0 RD @1C + 6479.50ns INFO [00006481] Port=1 RD @15 + 6480.50ns INFO [00006482] Port=0 RD @03 + 6481.50ns INFO [00006483] * RD COMPARE * port=0 adr=1C act=41CC4B2E exp=41CC4B2E + 6481.50ns INFO [00006483] * RD COMPARE * port=1 adr=15 act=87A3FB57 exp=87A3FB57 + 6481.50ns INFO [00006483] Port=0 WR @1D=FD6AFD1A + 6481.50ns INFO [00006483] Port=1 RD @1A + 6482.50ns INFO [00006484] * RD COMPARE * port=0 adr=03 act=DBD3A4B1 exp=DBD3A4B1 + 6482.50ns INFO [00006484] Port=0 RD @01 + 6483.50ns INFO [00006485] * RD COMPARE * port=1 adr=1A act=777DAAB3 exp=777DAAB3 + 6483.50ns INFO [00006485] Port=0 WR @17=58E7991D + 6483.50ns INFO [00006485] Port=0 RD @1C + 6483.50ns INFO [00006485] Port=1 RD @09 + 6484.50ns INFO [00006486] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52 + 6484.50ns INFO [00006486] Port=0 RD @19 + 6485.50ns INFO [00006487] * RD COMPARE * port=0 adr=1C act=41CC4B2E exp=41CC4B2E + 6485.50ns INFO [00006487] * RD COMPARE * port=1 adr=09 act=FB6415A3 exp=FB6415A3 + 6486.50ns INFO [00006488] * RD COMPARE * port=0 adr=19 act=A1CC386A exp=A1CC386A + 6486.50ns INFO [00006488] Port=0 WR @11=AF050869 + 6486.50ns INFO [00006488] Port=1 RD @0A + 6488.50ns INFO [00006490] * RD COMPARE * port=1 adr=0A act=D794D1D7 exp=D794D1D7 + 6488.50ns INFO [00006490] Port=0 RD @04 + 6488.50ns INFO [00006490] Port=1 RD @00 + 6489.50ns INFO [00006491] Port=0 RD @0F + 6489.50ns INFO [00006491] Port=1 RD @13 + 6490.50ns INFO [00006492] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55 + 6490.50ns INFO [00006492] * RD COMPARE * port=1 adr=00 act=F68FDAFF exp=F68FDAFF + 6490.50ns INFO [00006492] Port=0 RD @1D + 6491.50ns INFO [00006493] * RD COMPARE * port=0 adr=0F act=3E50D06F exp=3E50D06F + 6491.50ns INFO [00006493] * RD COMPARE * port=1 adr=13 act=D0646998 exp=D0646998 + 6491.50ns INFO [00006493] Port=0 WR @1C=BCC3A452 + 6491.50ns INFO [00006493] Port=1 RD @1B + 6492.50ns INFO [00006494] * RD COMPARE * port=0 adr=1D act=FD6AFD1A exp=FD6AFD1A + 6492.50ns INFO [00006494] Port=0 RD @16 + 6493.50ns INFO [00006495] * RD COMPARE * port=1 adr=1B act=332083EA exp=332083EA + 6493.50ns INFO [00006495] Port=0 RD @1E + 6493.50ns INFO [00006495] Port=1 RD @0B + 6494.50ns INFO [00006496] * RD COMPARE * port=0 adr=16 act=86345183 exp=86345183 + 6494.50ns INFO [00006496] Port=0 WR @1F=DC3E58DF + 6494.50ns INFO [00006496] Port=0 RD @0E + 6494.50ns INFO [00006496] Port=1 RD @14 + 6495.50ns INFO [00006497] * RD COMPARE * port=0 adr=1E act=1957E151 exp=1957E151 + 6495.50ns INFO [00006497] * RD COMPARE * port=1 adr=0B act=4C0C1F4F exp=4C0C1F4F + 6496.50ns INFO [00006498] * RD COMPARE * port=0 adr=0E act=0D20D7FD exp=0D20D7FD + 6496.50ns INFO [00006498] * RD COMPARE * port=1 adr=14 act=DBD80A0F exp=DBD80A0F + 6496.50ns INFO [00006498] Port=0 WR @19=F4AC3CD6 + 6497.50ns INFO [00006499] Port=0 WR @1A=410149BD + 6497.50ns INFO [00006499] Port=1 RD @0B + 6498.00ns INFO [00006500] [00006500] ...tick... + 6499.50ns INFO [00006501] * RD COMPARE * port=1 adr=0B act=4C0C1F4F exp=4C0C1F4F + 6500.50ns INFO [00006502] Port=0 WR @14=83E0C2CA + 6500.50ns INFO [00006502] Port=1 RD @04 + 6501.50ns INFO [00006503] Port=0 WR @05=3C5FCA62 + 6501.50ns INFO [00006503] Port=1 RD @1F + 6502.50ns INFO [00006504] * RD COMPARE * port=1 adr=04 act=A0FA7A55 exp=A0FA7A55 + 6502.50ns INFO [00006504] Port=0 WR @1C=0406E502 + 6503.50ns INFO [00006505] * RD COMPARE * port=1 adr=1F act=DC3E58DF exp=DC3E58DF + 6505.50ns INFO [00006507] Port=1 RD @07 + 6507.50ns INFO [00006509] * RD COMPARE * port=1 adr=07 act=DB23E9D3 exp=DB23E9D3 + 6507.50ns INFO [00006509] Port=0 RD @1D + 6507.50ns INFO [00006509] Port=1 RD @11 + 6508.50ns INFO [00006510] Port=0 RD @12 + 6509.50ns INFO [00006511] * RD COMPARE * port=0 adr=1D act=FD6AFD1A exp=FD6AFD1A + 6509.50ns INFO [00006511] * RD COMPARE * port=1 adr=11 act=AF050869 exp=AF050869 + 6509.50ns INFO [00006511] Port=0 WR @05=BC2CDBCE + 6510.50ns INFO [00006512] * RD COMPARE * port=0 adr=12 act=BB40A548 exp=BB40A548 + 6511.50ns INFO [00006513] Port=0 RD @01 + 6512.50ns INFO [00006514] Port=0 WR @02=53EBA29C + 6512.50ns INFO [00006514] Port=1 RD @03 + 6513.50ns INFO [00006515] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52 + 6513.50ns INFO [00006515] Port=0 WR @18=4DBEDD21 + 6513.50ns INFO [00006515] Port=1 RD @11 + 6514.50ns INFO [00006516] * RD COMPARE * port=1 adr=03 act=DBD3A4B1 exp=DBD3A4B1 + 6514.50ns INFO [00006516] Port=1 RD @0F + 6515.50ns INFO [00006517] * RD COMPARE * port=1 adr=11 act=AF050869 exp=AF050869 + 6515.50ns INFO [00006517] Port=0 WR @12=74C947FD + 6516.50ns INFO [00006518] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F + 6516.50ns INFO [00006518] Port=0 WR @0E=B09843A0 + 6516.50ns INFO [00006518] Port=0 RD @0C + 6516.50ns INFO [00006518] Port=1 RD @0C + 6517.50ns INFO [00006519] Port=0 RD @07 + 6518.50ns INFO [00006520] * RD COMPARE * port=0 adr=0C act=13A6F146 exp=13A6F146 + 6518.50ns INFO [00006520] * RD COMPARE * port=1 adr=0C act=13A6F146 exp=13A6F146 + 6518.50ns INFO [00006520] Port=0 RD @1A + 6518.50ns INFO [00006520] Port=1 RD @1C + 6519.50ns INFO [00006521] * RD COMPARE * port=0 adr=07 act=DB23E9D3 exp=DB23E9D3 + 6519.50ns INFO [00006521] Port=0 WR @07=1BC655C6 + 6519.50ns INFO [00006521] Port=1 RD @0F + 6520.50ns INFO [00006522] * RD COMPARE * port=0 adr=1A act=410149BD exp=410149BD + 6520.50ns INFO [00006522] * RD COMPARE * port=1 adr=1C act=0406E502 exp=0406E502 + 6520.50ns INFO [00006522] Port=0 WR @05=96797C4A + 6520.50ns INFO [00006522] Port=0 RD @14 + 6521.50ns INFO [00006523] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F + 6521.50ns INFO [00006523] Port=0 WR @1C=9730A9B4 + 6521.50ns INFO [00006523] Port=0 RD @18 + 6522.50ns INFO [00006524] * RD COMPARE * port=0 adr=14 act=83E0C2CA exp=83E0C2CA + 6522.50ns INFO [00006524] Port=0 WR @0C=36FCF990 + 6523.50ns INFO [00006525] * RD COMPARE * port=0 adr=18 act=4DBEDD21 exp=4DBEDD21 + 6525.50ns INFO [00006527] Port=0 WR @16=8EE1BB20 + 6525.50ns INFO [00006527] Port=1 RD @13 + 6526.50ns INFO [00006528] Port=0 WR @0A=00E22466 + 6526.50ns INFO [00006528] Port=1 RD @1D + 6527.50ns INFO [00006529] * RD COMPARE * port=1 adr=13 act=D0646998 exp=D0646998 + 6527.50ns INFO [00006529] Port=0 WR @1B=276CE7AE + 6527.50ns INFO [00006529] Port=1 RD @1C + 6528.50ns INFO [00006530] * RD COMPARE * port=1 adr=1D act=FD6AFD1A exp=FD6AFD1A + 6528.50ns INFO [00006530] Port=1 RD @11 + 6529.50ns INFO [00006531] * RD COMPARE * port=1 adr=1C act=9730A9B4 exp=9730A9B4 + 6529.50ns INFO [00006531] Port=0 RD @04 + 6529.50ns INFO [00006531] Port=1 RD @1B + 6530.50ns INFO [00006532] * RD COMPARE * port=1 adr=11 act=AF050869 exp=AF050869 + 6530.50ns INFO [00006532] Port=0 RD @12 + 6531.50ns INFO [00006533] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55 + 6531.50ns INFO [00006533] * RD COMPARE * port=1 adr=1B act=276CE7AE exp=276CE7AE + 6531.50ns INFO [00006533] Port=1 RD @03 + 6532.50ns INFO [00006534] * RD COMPARE * port=0 adr=12 act=74C947FD exp=74C947FD + 6532.50ns INFO [00006534] Port=0 RD @17 + 6533.50ns INFO [00006535] * RD COMPARE * port=1 adr=03 act=DBD3A4B1 exp=DBD3A4B1 + 6534.50ns INFO [00006536] * RD COMPARE * port=0 adr=17 act=58E7991D exp=58E7991D + 6534.50ns INFO [00006536] Port=0 WR @17=D657116E + 6534.50ns INFO [00006536] Port=1 RD @0D + 6535.50ns INFO [00006537] Port=0 RD @13 + 6536.50ns INFO [00006538] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45 + 6536.50ns INFO [00006538] Port=0 WR @1B=B90BE625 + 6536.50ns INFO [00006538] Port=1 RD @16 + 6537.50ns INFO [00006539] * RD COMPARE * port=0 adr=13 act=D0646998 exp=D0646998 + 6537.50ns INFO [00006539] Port=1 RD @0B + 6538.50ns INFO [00006540] * RD COMPARE * port=1 adr=16 act=8EE1BB20 exp=8EE1BB20 + 6539.50ns INFO [00006541] * RD COMPARE * port=1 adr=0B act=4C0C1F4F exp=4C0C1F4F + 6540.50ns INFO [00006542] Port=0 WR @1F=F1283809 + 6540.50ns INFO [00006542] Port=0 RD @1A + 6540.50ns INFO [00006542] Port=1 RD @17 + 6542.50ns INFO [00006544] * RD COMPARE * port=0 adr=1A act=410149BD exp=410149BD + 6542.50ns INFO [00006544] * RD COMPARE * port=1 adr=17 act=D657116E exp=D657116E + 6542.50ns INFO [00006544] Port=0 WR @14=45ACD8A4 + 6542.50ns INFO [00006544] Port=1 RD @09 + 6543.50ns INFO [00006545] Port=0 RD @01 + 6544.50ns INFO [00006546] * RD COMPARE * port=1 adr=09 act=FB6415A3 exp=FB6415A3 + 6544.50ns INFO [00006546] Port=0 WR @06=89B256D0 + 6544.50ns INFO [00006546] Port=0 RD @04 + 6545.50ns INFO [00006547] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52 + 6545.50ns INFO [00006547] Port=0 WR @19=90FCB67C + 6545.50ns INFO [00006547] Port=0 RD @1A + 6546.50ns INFO [00006548] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55 + 6546.50ns INFO [00006548] Port=1 RD @10 + 6547.50ns INFO [00006549] * RD COMPARE * port=0 adr=1A act=410149BD exp=410149BD + 6547.50ns INFO [00006549] Port=1 RD @13 + 6548.50ns INFO [00006550] * RD COMPARE * port=1 adr=10 act=B84B0A43 exp=B84B0A43 + 6548.50ns INFO [00006550] Port=1 RD @12 + 6549.50ns INFO [00006551] * RD COMPARE * port=1 adr=13 act=D0646998 exp=D0646998 + 6549.50ns INFO [00006551] Port=0 WR @10=999B4B90 + 6549.50ns INFO [00006551] Port=1 RD @1D + 6550.50ns INFO [00006552] * RD COMPARE * port=1 adr=12 act=74C947FD exp=74C947FD + 6550.50ns INFO [00006552] Port=0 WR @10=464B234F + 6550.50ns INFO [00006552] Port=0 RD @0A + 6551.50ns INFO [00006553] * RD COMPARE * port=1 adr=1D act=FD6AFD1A exp=FD6AFD1A + 6551.50ns INFO [00006553] Port=0 WR @06=997936E1 + 6551.50ns INFO [00006553] Port=1 RD @1A + 6552.50ns INFO [00006554] * RD COMPARE * port=0 adr=0A act=00E22466 exp=00E22466 + 6552.50ns INFO [00006554] Port=0 WR @1A=92C8CC2B + 6552.50ns INFO [00006554] Port=0 RD @0E + 6553.50ns INFO [00006555] * RD COMPARE * port=1 adr=1A act=410149BD exp=410149BD + 6553.50ns INFO [00006555] Port=0 RD @17 + 6553.50ns INFO [00006555] Port=1 RD @11 + 6554.50ns INFO [00006556] * RD COMPARE * port=0 adr=0E act=B09843A0 exp=B09843A0 + 6554.50ns INFO [00006556] Port=0 WR @0E=9529A469 + 6555.50ns INFO [00006557] * RD COMPARE * port=0 adr=17 act=D657116E exp=D657116E + 6555.50ns INFO [00006557] * RD COMPARE * port=1 adr=11 act=AF050869 exp=AF050869 + 6557.50ns INFO [00006559] Port=0 WR @06=B6703137 + 6557.50ns INFO [00006559] Port=0 RD @1B + 6557.50ns INFO [00006559] Port=1 RD @02 + 6559.50ns INFO [00006561] * RD COMPARE * port=0 adr=1B act=B90BE625 exp=B90BE625 + 6559.50ns INFO [00006561] * RD COMPARE * port=1 adr=02 act=53EBA29C exp=53EBA29C + 6559.50ns INFO [00006561] Port=1 RD @00 + 6560.50ns INFO [00006562] Port=0 RD @05 + 6560.50ns INFO [00006562] Port=1 RD @00 + 6561.50ns INFO [00006563] * RD COMPARE * port=1 adr=00 act=F68FDAFF exp=F68FDAFF + 6562.50ns INFO [00006564] * RD COMPARE * port=0 adr=05 act=96797C4A exp=96797C4A + 6562.50ns INFO [00006564] * RD COMPARE * port=1 adr=00 act=F68FDAFF exp=F68FDAFF + 6562.50ns INFO [00006564] Port=1 RD @17 + 6563.50ns INFO [00006565] Port=0 WR @13=4FEE5953 + 6563.50ns INFO [00006565] Port=1 RD @1F + 6564.50ns INFO [00006566] * RD COMPARE * port=1 adr=17 act=D657116E exp=D657116E + 6565.50ns INFO [00006567] * RD COMPARE * port=1 adr=1F act=F1283809 exp=F1283809 + 6565.50ns INFO [00006567] Port=1 RD @07 + 6566.50ns INFO [00006568] Port=1 RD @18 + 6567.50ns INFO [00006569] * RD COMPARE * port=1 adr=07 act=1BC655C6 exp=1BC655C6 + 6567.50ns INFO [00006569] Port=0 WR @0C=2CE2E7BE + 6568.50ns INFO [00006570] * RD COMPARE * port=1 adr=18 act=4DBEDD21 exp=4DBEDD21 + 6568.50ns INFO [00006570] Port=1 RD @0F + 6569.50ns INFO [00006571] Port=0 WR @18=C6EDA7BE + 6569.50ns INFO [00006571] Port=1 RD @16 + 6570.50ns INFO [00006572] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F + 6570.50ns INFO [00006572] Port=0 WR @1F=CD23ACCF + 6570.50ns INFO [00006572] Port=0 RD @17 + 6571.50ns INFO [00006573] * RD COMPARE * port=1 adr=16 act=8EE1BB20 exp=8EE1BB20 + 6571.50ns INFO [00006573] Port=0 RD @1F + 6572.50ns INFO [00006574] * RD COMPARE * port=0 adr=17 act=D657116E exp=D657116E + 6572.50ns INFO [00006574] Port=1 RD @0B + 6573.50ns INFO [00006575] * RD COMPARE * port=0 adr=1F act=CD23ACCF exp=CD23ACCF + 6574.50ns INFO [00006576] * RD COMPARE * port=1 adr=0B act=4C0C1F4F exp=4C0C1F4F + 6575.50ns INFO [00006577] Port=0 WR @07=94AAEA59 + 6576.50ns INFO [00006578] Port=1 RD @04 + 6578.50ns INFO [00006580] * RD COMPARE * port=1 adr=04 act=A0FA7A55 exp=A0FA7A55 + 6578.50ns INFO [00006580] Port=0 WR @00=EE341FA5 + 6578.50ns INFO [00006580] Port=0 RD @0D + 6579.50ns INFO [00006581] Port=0 WR @19=AED98637 + 6580.50ns INFO [00006582] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45 + 6580.50ns INFO [00006582] Port=0 WR @04=022FD40D + 6581.50ns INFO [00006583] Port=1 RD @17 + 6582.50ns INFO [00006584] Port=0 WR @08=8B55B4A1 + 6583.50ns INFO [00006585] * RD COMPARE * port=1 adr=17 act=D657116E exp=D657116E + 6583.50ns INFO [00006585] Port=0 RD @07 + 6584.50ns INFO [00006586] Port=0 WR @00=78CB9824 + 6584.50ns INFO [00006586] Port=1 RD @0C + 6585.50ns INFO [00006587] * RD COMPARE * port=0 adr=07 act=94AAEA59 exp=94AAEA59 + 6586.50ns INFO [00006588] * RD COMPARE * port=1 adr=0C act=2CE2E7BE exp=2CE2E7BE + 6586.50ns INFO [00006588] Port=0 RD @08 + 6587.50ns INFO [00006589] Port=0 WR @0C=94448ADC + 6588.50ns INFO [00006590] * RD COMPARE * port=0 adr=08 act=8B55B4A1 exp=8B55B4A1 + 6588.50ns INFO [00006590] Port=0 WR @09=DEEA82D1 + 6589.50ns INFO [00006591] Port=0 WR @16=933B6552 + 6589.50ns INFO [00006591] Port=1 RD @12 + 6590.50ns INFO [00006592] Port=0 WR @1A=6A08D8A1 + 6591.50ns INFO [00006593] * RD COMPARE * port=1 adr=12 act=74C947FD exp=74C947FD + 6593.50ns INFO [00006595] Port=0 WR @10=95B45AB9 + 6594.50ns INFO [00006596] Port=0 RD @0D + 6596.50ns INFO [00006598] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45 + 6596.50ns INFO [00006598] Port=1 RD @1A + 6597.50ns INFO [00006599] Port=0 WR @03=32CFDE9C + 6598.00ns INFO [00006600] [00006600] ...tick... + 6598.50ns INFO [00006600] * RD COMPARE * port=1 adr=1A act=6A08D8A1 exp=6A08D8A1 + 6598.50ns INFO [00006600] Port=0 WR @00=27267651 + 6598.50ns INFO [00006600] Port=0 RD @0D + 6599.50ns INFO [00006601] Port=0 WR @1A=1DA2DE9F + 6599.50ns INFO [00006601] Port=1 RD @05 + 6600.50ns INFO [00006602] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45 + 6600.50ns INFO [00006602] Port=0 WR @00=3A2B5A34 + 6600.50ns INFO [00006602] Port=0 RD @07 + 6600.50ns INFO [00006602] Port=1 RD @14 + 6601.50ns INFO [00006603] * RD COMPARE * port=1 adr=05 act=96797C4A exp=96797C4A + 6602.50ns INFO [00006604] * RD COMPARE * port=0 adr=07 act=94AAEA59 exp=94AAEA59 + 6602.50ns INFO [00006604] * RD COMPARE * port=1 adr=14 act=45ACD8A4 exp=45ACD8A4 + 6602.50ns INFO [00006604] Port=0 RD @18 + 6603.50ns INFO [00006605] Port=1 RD @00 + 6604.50ns INFO [00006606] * RD COMPARE * port=0 adr=18 act=C6EDA7BE exp=C6EDA7BE + 6604.50ns INFO [00006606] Port=0 WR @19=B4EC523B + 6604.50ns INFO [00006606] Port=1 RD @00 + 6605.50ns INFO [00006607] * RD COMPARE * port=1 adr=00 act=3A2B5A34 exp=3A2B5A34 + 6605.50ns INFO [00006607] Port=0 RD @08 + 6606.50ns INFO [00006608] * RD COMPARE * port=1 adr=00 act=3A2B5A34 exp=3A2B5A34 + 6606.50ns INFO [00006608] Port=1 RD @1C + 6607.50ns INFO [00006609] * RD COMPARE * port=0 adr=08 act=8B55B4A1 exp=8B55B4A1 + 6607.50ns INFO [00006609] Port=0 RD @1A + 6607.50ns INFO [00006609] Port=1 RD @0D + 6608.50ns INFO [00006610] * RD COMPARE * port=1 adr=1C act=9730A9B4 exp=9730A9B4 + 6608.50ns INFO [00006610] Port=0 WR @18=825ECA51 + 6608.50ns INFO [00006610] Port=0 RD @13 + 6609.50ns INFO [00006611] * RD COMPARE * port=0 adr=1A act=1DA2DE9F exp=1DA2DE9F + 6609.50ns INFO [00006611] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45 + 6609.50ns INFO [00006611] Port=0 WR @06=4C9EAC0C + 6609.50ns INFO [00006611] Port=1 RD @10 + 6610.50ns INFO [00006612] * RD COMPARE * port=0 adr=13 act=4FEE5953 exp=4FEE5953 + 6610.50ns INFO [00006612] Port=0 RD @14 + 6611.50ns INFO [00006613] * RD COMPARE * port=1 adr=10 act=95B45AB9 exp=95B45AB9 + 6612.50ns INFO [00006614] * RD COMPARE * port=0 adr=14 act=45ACD8A4 exp=45ACD8A4 + 6613.50ns INFO [00006615] Port=0 WR @0A=8F5A4854 + 6613.50ns INFO [00006615] Port=0 RD @1A + 6614.50ns INFO [00006616] Port=0 RD @12 + 6615.50ns INFO [00006617] * RD COMPARE * port=0 adr=1A act=1DA2DE9F exp=1DA2DE9F + 6616.50ns INFO [00006618] * RD COMPARE * port=0 adr=12 act=74C947FD exp=74C947FD + 6616.50ns INFO [00006618] Port=0 RD @05 + 6616.50ns INFO [00006618] Port=1 RD @18 + 6617.50ns INFO [00006619] Port=0 RD @09 + 6618.50ns INFO [00006620] * RD COMPARE * port=0 adr=05 act=96797C4A exp=96797C4A + 6618.50ns INFO [00006620] * RD COMPARE * port=1 adr=18 act=825ECA51 exp=825ECA51 + 6619.50ns INFO [00006621] * RD COMPARE * port=0 adr=09 act=DEEA82D1 exp=DEEA82D1 + 6620.50ns INFO [00006622] Port=0 RD @1E + 6620.50ns INFO [00006622] Port=1 RD @06 + 6621.50ns INFO [00006623] Port=0 RD @17 + 6621.50ns INFO [00006623] Port=1 RD @08 + 6622.50ns INFO [00006624] * RD COMPARE * port=0 adr=1E act=1957E151 exp=1957E151 + 6622.50ns INFO [00006624] * RD COMPARE * port=1 adr=06 act=4C9EAC0C exp=4C9EAC0C + 6623.50ns INFO [00006625] * RD COMPARE * port=0 adr=17 act=D657116E exp=D657116E + 6623.50ns INFO [00006625] * RD COMPARE * port=1 adr=08 act=8B55B4A1 exp=8B55B4A1 + 6624.50ns INFO [00006626] Port=0 WR @05=911120C7 + 6624.50ns INFO [00006626] Port=1 RD @1D + 6625.50ns INFO [00006627] Port=0 WR @13=068F0CA1 + 6625.50ns INFO [00006627] Port=0 RD @02 + 6625.50ns INFO [00006627] Port=1 RD @1F + 6626.50ns INFO [00006628] * RD COMPARE * port=1 adr=1D act=FD6AFD1A exp=FD6AFD1A + 6627.50ns INFO [00006629] * RD COMPARE * port=0 adr=02 act=53EBA29C exp=53EBA29C + 6627.50ns INFO [00006629] * RD COMPARE * port=1 adr=1F act=CD23ACCF exp=CD23ACCF + 6627.50ns INFO [00006629] Port=0 RD @1F + 6627.50ns INFO [00006629] Port=1 RD @1F + 6628.50ns INFO [00006630] Port=0 WR @12=1C7A1452 + 6628.50ns INFO [00006630] Port=1 RD @07 + 6629.50ns INFO [00006631] * RD COMPARE * port=0 adr=1F act=CD23ACCF exp=CD23ACCF + 6629.50ns INFO [00006631] * RD COMPARE * port=1 adr=1F act=CD23ACCF exp=CD23ACCF + 6630.50ns INFO [00006632] * RD COMPARE * port=1 adr=07 act=94AAEA59 exp=94AAEA59 + 6631.50ns INFO [00006633] Port=0 WR @05=D0475FC7 + 6632.50ns INFO [00006634] Port=0 RD @13 + 6634.50ns INFO [00006636] * RD COMPARE * port=0 adr=13 act=068F0CA1 exp=068F0CA1 + 6634.50ns INFO [00006636] Port=0 WR @1A=0852C1C6 + 6634.50ns INFO [00006636] Port=1 RD @1B + 6636.50ns INFO [00006638] * RD COMPARE * port=1 adr=1B act=B90BE625 exp=B90BE625 + 6636.50ns INFO [00006638] Port=0 WR @1C=5AB44143 + 6636.50ns INFO [00006638] Port=0 RD @1F + 6638.50ns INFO [00006640] * RD COMPARE * port=0 adr=1F act=CD23ACCF exp=CD23ACCF + 6641.50ns INFO [00006643] Port=0 RD @10 + 6641.50ns INFO [00006643] Port=1 RD @14 + 6642.50ns INFO [00006644] Port=0 WR @09=A8CFA11B + 6642.50ns INFO [00006644] Port=0 RD @04 + 6643.50ns INFO [00006645] * RD COMPARE * port=0 adr=10 act=95B45AB9 exp=95B45AB9 + 6643.50ns INFO [00006645] * RD COMPARE * port=1 adr=14 act=45ACD8A4 exp=45ACD8A4 + 6643.50ns INFO [00006645] Port=0 WR @1A=087FA28D + 6644.50ns INFO [00006646] * RD COMPARE * port=0 adr=04 act=022FD40D exp=022FD40D + 6644.50ns INFO [00006646] Port=0 WR @02=816E009E + 6644.50ns INFO [00006646] Port=1 RD @10 + 6645.50ns INFO [00006647] Port=0 WR @0F=543FDBE1 + 6646.50ns INFO [00006648] * RD COMPARE * port=1 adr=10 act=95B45AB9 exp=95B45AB9 + 6646.50ns INFO [00006648] Port=1 RD @1F + 6647.50ns INFO [00006649] Port=1 RD @13 + 6648.50ns INFO [00006650] * RD COMPARE * port=1 adr=1F act=CD23ACCF exp=CD23ACCF + 6648.50ns INFO [00006650] Port=0 WR @1F=4BF38625 + 6648.50ns INFO [00006650] Port=1 RD @08 + 6649.50ns INFO [00006651] * RD COMPARE * port=1 adr=13 act=068F0CA1 exp=068F0CA1 + 6649.50ns INFO [00006651] Port=0 RD @1D + 6649.50ns INFO [00006651] Port=1 RD @12 + 6650.50ns INFO [00006652] * RD COMPARE * port=1 adr=08 act=8B55B4A1 exp=8B55B4A1 + 6650.50ns INFO [00006652] Port=0 RD @1F + 6650.50ns INFO [00006652] Port=1 RD @1E + 6651.50ns INFO [00006653] * RD COMPARE * port=0 adr=1D act=FD6AFD1A exp=FD6AFD1A + 6651.50ns INFO [00006653] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452 + 6651.50ns INFO [00006653] Port=0 RD @01 + 6651.50ns INFO [00006653] Port=1 RD @0E + 6652.50ns INFO [00006654] * RD COMPARE * port=0 adr=1F act=4BF38625 exp=4BF38625 + 6652.50ns INFO [00006654] * RD COMPARE * port=1 adr=1E act=1957E151 exp=1957E151 + 6652.50ns INFO [00006654] Port=0 WR @19=DFF94845 + 6653.50ns INFO [00006655] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52 + 6653.50ns INFO [00006655] * RD COMPARE * port=1 adr=0E act=9529A469 exp=9529A469 + 6653.50ns INFO [00006655] Port=0 RD @1C + 6654.50ns INFO [00006656] Port=0 WR @18=E772707D + 6654.50ns INFO [00006656] Port=0 RD @01 + 6654.50ns INFO [00006656] Port=1 RD @1A + 6655.50ns INFO [00006657] * RD COMPARE * port=0 adr=1C act=5AB44143 exp=5AB44143 + 6655.50ns INFO [00006657] Port=0 WR @04=6742D186 + 6655.50ns INFO [00006657] Port=0 RD @08 + 6656.50ns INFO [00006658] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52 + 6656.50ns INFO [00006658] * RD COMPARE * port=1 adr=1A act=087FA28D exp=087FA28D + 6656.50ns INFO [00006658] Port=0 WR @08=AFCCD6E4 + 6656.50ns INFO [00006658] Port=0 RD @03 + 6656.50ns INFO [00006658] Port=1 RD @0F + 6657.50ns INFO [00006659] * RD COMPARE * port=0 adr=08 act=8B55B4A1 exp=8B55B4A1 + 6657.50ns INFO [00006659] Port=0 WR @10=26706390 + 6658.50ns INFO [00006660] * RD COMPARE * port=0 adr=03 act=32CFDE9C exp=32CFDE9C + 6658.50ns INFO [00006660] * RD COMPARE * port=1 adr=0F act=543FDBE1 exp=543FDBE1 + 6658.50ns INFO [00006660] Port=0 WR @19=06E8BD2F + 6658.50ns INFO [00006660] Port=1 RD @18 + 6659.50ns INFO [00006661] Port=0 RD @03 + 6660.50ns INFO [00006662] * RD COMPARE * port=1 adr=18 act=E772707D exp=E772707D + 6660.50ns INFO [00006662] Port=0 WR @17=C1054E31 + 6661.50ns INFO [00006663] * RD COMPARE * port=0 adr=03 act=32CFDE9C exp=32CFDE9C + 6661.50ns INFO [00006663] Port=0 RD @07 + 6662.50ns INFO [00006664] Port=0 WR @1D=81154CA1 + 6662.50ns INFO [00006664] Port=1 RD @0F + 6663.50ns INFO [00006665] * RD COMPARE * port=0 adr=07 act=94AAEA59 exp=94AAEA59 + 6664.50ns INFO [00006666] * RD COMPARE * port=1 adr=0F act=543FDBE1 exp=543FDBE1 + 6664.50ns INFO [00006666] Port=0 WR @0F=08698759 + 6664.50ns INFO [00006666] Port=1 RD @1E + 6666.50ns INFO [00006668] * RD COMPARE * port=1 adr=1E act=1957E151 exp=1957E151 + 6666.50ns INFO [00006668] Port=0 WR @16=AFF827F2 + 6667.50ns INFO [00006669] Port=1 RD @10 + 6668.50ns INFO [00006670] Port=0 WR @0C=518A4E8C + 6669.50ns INFO [00006671] * RD COMPARE * port=1 adr=10 act=26706390 exp=26706390 + 6671.50ns INFO [00006673] Port=0 WR @02=6A058485 + 6671.50ns INFO [00006673] Port=0 RD @0A + 6671.50ns INFO [00006673] Port=1 RD @0D + 6672.50ns INFO [00006674] Port=0 WR @17=5D01A9E3 + 6673.50ns INFO [00006675] * RD COMPARE * port=0 adr=0A act=8F5A4854 exp=8F5A4854 + 6673.50ns INFO [00006675] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45 + 6673.50ns INFO [00006675] Port=0 RD @0F + 6675.50ns INFO [00006677] * RD COMPARE * port=0 adr=0F act=08698759 exp=08698759 + 6676.50ns INFO [00006678] Port=0 RD @04 + 6676.50ns INFO [00006678] Port=1 RD @16 + 6678.50ns INFO [00006680] * RD COMPARE * port=0 adr=04 act=6742D186 exp=6742D186 + 6678.50ns INFO [00006680] * RD COMPARE * port=1 adr=16 act=AFF827F2 exp=AFF827F2 + 6678.50ns INFO [00006680] Port=0 WR @0B=1B491493 + 6679.50ns INFO [00006681] Port=1 RD @0F + 6680.50ns INFO [00006682] Port=0 RD @11 + 6680.50ns INFO [00006682] Port=1 RD @15 + 6681.50ns INFO [00006683] * RD COMPARE * port=1 adr=0F act=08698759 exp=08698759 + 6681.50ns INFO [00006683] Port=0 WR @1E=0830F018 + 6681.50ns INFO [00006683] Port=0 RD @06 + 6682.50ns INFO [00006684] * RD COMPARE * port=0 adr=11 act=AF050869 exp=AF050869 + 6682.50ns INFO [00006684] * RD COMPARE * port=1 adr=15 act=87A3FB57 exp=87A3FB57 + 6682.50ns INFO [00006684] Port=0 RD @02 + 6683.50ns INFO [00006685] * RD COMPARE * port=0 adr=06 act=4C9EAC0C exp=4C9EAC0C + 6684.50ns INFO [00006686] * RD COMPARE * port=0 adr=02 act=6A058485 exp=6A058485 + 6684.50ns INFO [00006686] Port=1 RD @0E + 6686.50ns INFO [00006688] * RD COMPARE * port=1 adr=0E act=9529A469 exp=9529A469 + 6686.50ns INFO [00006688] Port=0 RD @14 + 6687.50ns INFO [00006689] Port=0 WR @0D=9E678607 + 6687.50ns INFO [00006689] Port=1 RD @0E + 6688.50ns INFO [00006690] * RD COMPARE * port=0 adr=14 act=45ACD8A4 exp=45ACD8A4 + 6688.50ns INFO [00006690] Port=0 RD @03 + 6689.50ns INFO [00006691] * RD COMPARE * port=1 adr=0E act=9529A469 exp=9529A469 + 6689.50ns INFO [00006691] Port=0 RD @1C + 6689.50ns INFO [00006691] Port=1 RD @1A + 6690.50ns INFO [00006692] * RD COMPARE * port=0 adr=03 act=32CFDE9C exp=32CFDE9C + 6691.50ns INFO [00006693] * RD COMPARE * port=0 adr=1C act=5AB44143 exp=5AB44143 + 6691.50ns INFO [00006693] * RD COMPARE * port=1 adr=1A act=087FA28D exp=087FA28D + 6691.50ns INFO [00006693] Port=0 RD @04 + 6691.50ns INFO [00006693] Port=1 RD @15 + 6692.50ns INFO [00006694] Port=0 WR @11=258AA418 + 6693.50ns INFO [00006695] * RD COMPARE * port=0 adr=04 act=6742D186 exp=6742D186 + 6693.50ns INFO [00006695] * RD COMPARE * port=1 adr=15 act=87A3FB57 exp=87A3FB57 + 6693.50ns INFO [00006695] Port=1 RD @13 + 6694.50ns INFO [00006696] Port=0 WR @13=B76224FF + 6695.50ns INFO [00006697] * RD COMPARE * port=1 adr=13 act=068F0CA1 exp=068F0CA1 + 6695.50ns INFO [00006697] Port=1 RD @13 + 6696.50ns INFO [00006698] Port=0 WR @07=AD9B507E + 6696.50ns INFO [00006698] Port=0 RD @1A + 6696.50ns INFO [00006698] Port=1 RD @19 + 6697.50ns INFO [00006699] * RD COMPARE * port=1 adr=13 act=B76224FF exp=B76224FF + 6697.50ns INFO [00006699] Port=0 WR @1A=27C187B7 + 6698.00ns INFO [00006700] [00006700] ...tick... + 6698.50ns INFO [00006700] * RD COMPARE * port=0 adr=1A act=087FA28D exp=087FA28D + 6698.50ns INFO [00006700] * RD COMPARE * port=1 adr=19 act=06E8BD2F exp=06E8BD2F + 6698.50ns INFO [00006700] Port=1 RD @10 + 6699.50ns INFO [00006701] Port=1 RD @0D + 6700.50ns INFO [00006702] * RD COMPARE * port=1 adr=10 act=26706390 exp=26706390 + 6700.50ns INFO [00006702] Port=0 RD @0E + 6701.50ns INFO [00006703] * RD COMPARE * port=1 adr=0D act=9E678607 exp=9E678607 + 6701.50ns INFO [00006703] Port=0 WR @0D=2054D2EE + 6701.50ns INFO [00006703] Port=0 RD @0B + 6702.50ns INFO [00006704] * RD COMPARE * port=0 adr=0E act=9529A469 exp=9529A469 + 6702.50ns INFO [00006704] Port=1 RD @19 + 6703.50ns INFO [00006705] * RD COMPARE * port=0 adr=0B act=1B491493 exp=1B491493 + 6703.50ns INFO [00006705] Port=1 RD @06 + 6704.50ns INFO [00006706] * RD COMPARE * port=1 adr=19 act=06E8BD2F exp=06E8BD2F + 6705.50ns INFO [00006707] * RD COMPARE * port=1 adr=06 act=4C9EAC0C exp=4C9EAC0C + 6705.50ns INFO [00006707] Port=1 RD @09 + 6706.50ns INFO [00006708] Port=0 RD @09 + 6707.50ns INFO [00006709] * RD COMPARE * port=1 adr=09 act=A8CFA11B exp=A8CFA11B + 6707.50ns INFO [00006709] Port=0 RD @16 + 6708.50ns INFO [00006710] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B + 6708.50ns INFO [00006710] Port=1 RD @19 + 6709.50ns INFO [00006711] * RD COMPARE * port=0 adr=16 act=AFF827F2 exp=AFF827F2 + 6709.50ns INFO [00006711] Port=1 RD @02 + 6710.50ns INFO [00006712] * RD COMPARE * port=1 adr=19 act=06E8BD2F exp=06E8BD2F + 6710.50ns INFO [00006712] Port=0 RD @1C + 6711.50ns INFO [00006713] * RD COMPARE * port=1 adr=02 act=6A058485 exp=6A058485 + 6711.50ns INFO [00006713] Port=1 RD @19 + 6712.50ns INFO [00006714] * RD COMPARE * port=0 adr=1C act=5AB44143 exp=5AB44143 + 6712.50ns INFO [00006714] Port=0 WR @14=9DFDEF44 + 6712.50ns INFO [00006714] Port=0 RD @19 + 6713.50ns INFO [00006715] * RD COMPARE * port=1 adr=19 act=06E8BD2F exp=06E8BD2F + 6713.50ns INFO [00006715] Port=0 RD @11 + 6713.50ns INFO [00006715] Port=1 RD @1C + 6714.50ns INFO [00006716] * RD COMPARE * port=0 adr=19 act=06E8BD2F exp=06E8BD2F + 6714.50ns INFO [00006716] Port=1 RD @18 + 6715.50ns INFO [00006717] * RD COMPARE * port=0 adr=11 act=258AA418 exp=258AA418 + 6715.50ns INFO [00006717] * RD COMPARE * port=1 adr=1C act=5AB44143 exp=5AB44143 + 6715.50ns INFO [00006717] Port=0 RD @19 + 6715.50ns INFO [00006717] Port=1 RD @17 + 6716.50ns INFO [00006718] * RD COMPARE * port=1 adr=18 act=E772707D exp=E772707D + 6717.50ns INFO [00006719] * RD COMPARE * port=0 adr=19 act=06E8BD2F exp=06E8BD2F + 6717.50ns INFO [00006719] * RD COMPARE * port=1 adr=17 act=5D01A9E3 exp=5D01A9E3 + 6717.50ns INFO [00006719] Port=0 WR @0F=D95E5316 + 6717.50ns INFO [00006719] Port=0 RD @1B + 6718.50ns INFO [00006720] Port=0 RD @18 + 6719.50ns INFO [00006721] * RD COMPARE * port=0 adr=1B act=B90BE625 exp=B90BE625 + 6720.50ns INFO [00006722] * RD COMPARE * port=0 adr=18 act=E772707D exp=E772707D + 6720.50ns INFO [00006722] Port=1 RD @05 + 6721.50ns INFO [00006723] Port=0 RD @1F + 6722.50ns INFO [00006724] * RD COMPARE * port=1 adr=05 act=D0475FC7 exp=D0475FC7 + 6722.50ns INFO [00006724] Port=0 RD @17 + 6723.50ns INFO [00006725] * RD COMPARE * port=0 adr=1F act=4BF38625 exp=4BF38625 + 6723.50ns INFO [00006725] Port=0 WR @0D=BCE955BF + 6724.50ns INFO [00006726] * RD COMPARE * port=0 adr=17 act=5D01A9E3 exp=5D01A9E3 + 6724.50ns INFO [00006726] Port=0 WR @1A=452D5F98 + 6724.50ns INFO [00006726] Port=1 RD @08 + 6725.50ns INFO [00006727] Port=0 WR @15=E48041C3 + 6725.50ns INFO [00006727] Port=0 RD @09 + 6726.50ns INFO [00006728] * RD COMPARE * port=1 adr=08 act=AFCCD6E4 exp=AFCCD6E4 + 6726.50ns INFO [00006728] Port=0 WR @17=BE3A0AA7 + 6726.50ns INFO [00006728] Port=0 RD @08 + 6727.50ns INFO [00006729] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B + 6727.50ns INFO [00006729] Port=0 RD @0E + 6728.50ns INFO [00006730] * RD COMPARE * port=0 adr=08 act=AFCCD6E4 exp=AFCCD6E4 + 6728.50ns INFO [00006730] Port=0 WR @1B=9DB730E8 + 6728.50ns INFO [00006730] Port=0 RD @14 + 6728.50ns INFO [00006730] Port=1 RD @17 + 6729.50ns INFO [00006731] * RD COMPARE * port=0 adr=0E act=9529A469 exp=9529A469 + 6730.50ns INFO [00006732] * RD COMPARE * port=0 adr=14 act=9DFDEF44 exp=9DFDEF44 + 6730.50ns INFO [00006732] * RD COMPARE * port=1 adr=17 act=BE3A0AA7 exp=BE3A0AA7 + 6730.50ns INFO [00006732] Port=0 RD @0A + 6731.50ns INFO [00006733] Port=0 WR @0A=08064F1A + 6731.50ns INFO [00006733] Port=1 RD @1F + 6732.50ns INFO [00006734] * RD COMPARE * port=0 adr=0A act=8F5A4854 exp=8F5A4854 + 6732.50ns INFO [00006734] Port=0 RD @17 + 6732.50ns INFO [00006734] Port=1 RD @13 + 6733.50ns INFO [00006735] * RD COMPARE * port=1 adr=1F act=4BF38625 exp=4BF38625 + 6733.50ns INFO [00006735] Port=0 RD @18 + 6733.50ns INFO [00006735] Port=1 RD @00 + 6734.50ns INFO [00006736] * RD COMPARE * port=0 adr=17 act=BE3A0AA7 exp=BE3A0AA7 + 6734.50ns INFO [00006736] * RD COMPARE * port=1 adr=13 act=B76224FF exp=B76224FF + 6734.50ns INFO [00006736] Port=0 WR @16=F22CD130 + 6734.50ns INFO [00006736] Port=0 RD @06 + 6735.50ns INFO [00006737] * RD COMPARE * port=0 adr=18 act=E772707D exp=E772707D + 6735.50ns INFO [00006737] * RD COMPARE * port=1 adr=00 act=3A2B5A34 exp=3A2B5A34 + 6736.50ns INFO [00006738] * RD COMPARE * port=0 adr=06 act=4C9EAC0C exp=4C9EAC0C + 6737.50ns INFO [00006739] Port=1 RD @02 + 6738.50ns INFO [00006740] Port=0 WR @0A=DCE6FBDE + 6739.50ns INFO [00006741] * RD COMPARE * port=1 adr=02 act=6A058485 exp=6A058485 + 6739.50ns INFO [00006741] Port=0 RD @09 + 6740.50ns INFO [00006742] Port=0 WR @13=9A71DD57 + 6740.50ns INFO [00006742] Port=1 RD @12 + 6741.50ns INFO [00006743] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B + 6742.50ns INFO [00006744] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452 + 6742.50ns INFO [00006744] Port=0 RD @07 + 6742.50ns INFO [00006744] Port=1 RD @17 + 6743.50ns INFO [00006745] Port=1 RD @17 + 6744.50ns INFO [00006746] * RD COMPARE * port=0 adr=07 act=AD9B507E exp=AD9B507E + 6744.50ns INFO [00006746] * RD COMPARE * port=1 adr=17 act=BE3A0AA7 exp=BE3A0AA7 + 6745.50ns INFO [00006747] * RD COMPARE * port=1 adr=17 act=BE3A0AA7 exp=BE3A0AA7 + 6745.50ns INFO [00006747] Port=0 WR @1C=2C4C5B07 + 6745.50ns INFO [00006747] Port=1 RD @17 + 6746.50ns INFO [00006748] Port=0 RD @1E + 6747.50ns INFO [00006749] * RD COMPARE * port=1 adr=17 act=BE3A0AA7 exp=BE3A0AA7 + 6747.50ns INFO [00006749] Port=1 RD @09 + 6748.50ns INFO [00006750] * RD COMPARE * port=0 adr=1E act=0830F018 exp=0830F018 + 6748.50ns INFO [00006750] Port=0 WR @1F=C21A5818 + 6748.50ns INFO [00006750] Port=0 RD @17 + 6748.50ns INFO [00006750] Port=1 RD @0E + 6749.50ns INFO [00006751] * RD COMPARE * port=1 adr=09 act=A8CFA11B exp=A8CFA11B + 6749.50ns INFO [00006751] Port=0 RD @19 + 6749.50ns INFO [00006751] Port=1 RD @1C + 6750.50ns INFO [00006752] * RD COMPARE * port=0 adr=17 act=BE3A0AA7 exp=BE3A0AA7 + 6750.50ns INFO [00006752] * RD COMPARE * port=1 adr=0E act=9529A469 exp=9529A469 + 6750.50ns INFO [00006752] Port=0 WR @0C=0B488661 + 6751.50ns INFO [00006753] * RD COMPARE * port=0 adr=19 act=06E8BD2F exp=06E8BD2F + 6751.50ns INFO [00006753] * RD COMPARE * port=1 adr=1C act=2C4C5B07 exp=2C4C5B07 + 6752.50ns INFO [00006754] Port=1 RD @07 + 6753.50ns INFO [00006755] Port=0 RD @00 + 6753.50ns INFO [00006755] Port=1 RD @07 + 6754.50ns INFO [00006756] * RD COMPARE * port=1 adr=07 act=AD9B507E exp=AD9B507E + 6754.50ns INFO [00006756] Port=0 WR @16=E4855BF1 + 6754.50ns INFO [00006756] Port=1 RD @0F + 6755.50ns INFO [00006757] * RD COMPARE * port=0 adr=00 act=3A2B5A34 exp=3A2B5A34 + 6755.50ns INFO [00006757] * RD COMPARE * port=1 adr=07 act=AD9B507E exp=AD9B507E + 6755.50ns INFO [00006757] Port=0 WR @01=B15E3A87 + 6755.50ns INFO [00006757] Port=1 RD @02 + 6756.50ns INFO [00006758] * RD COMPARE * port=1 adr=0F act=D95E5316 exp=D95E5316 + 6756.50ns INFO [00006758] Port=1 RD @1B + 6757.50ns INFO [00006759] * RD COMPARE * port=1 adr=02 act=6A058485 exp=6A058485 + 6757.50ns INFO [00006759] Port=0 WR @14=C653DA5A + 6757.50ns INFO [00006759] Port=0 RD @1A + 6758.50ns INFO [00006760] * RD COMPARE * port=1 adr=1B act=9DB730E8 exp=9DB730E8 + 6759.50ns INFO [00006761] * RD COMPARE * port=0 adr=1A act=452D5F98 exp=452D5F98 + 6759.50ns INFO [00006761] Port=0 WR @11=8C2D5B04 + 6759.50ns INFO [00006761] Port=0 RD @06 + 6760.50ns INFO [00006762] Port=1 RD @1F + 6761.50ns INFO [00006763] * RD COMPARE * port=0 adr=06 act=4C9EAC0C exp=4C9EAC0C + 6762.50ns INFO [00006764] * RD COMPARE * port=1 adr=1F act=C21A5818 exp=C21A5818 + 6762.50ns INFO [00006764] Port=0 WR @0D=9730B397 + 6763.50ns INFO [00006765] Port=0 WR @15=D740AF97 + 6763.50ns INFO [00006765] Port=0 RD @02 + 6763.50ns INFO [00006765] Port=1 RD @01 + 6764.50ns INFO [00006766] Port=1 RD @1F + 6765.50ns INFO [00006767] * RD COMPARE * port=0 adr=02 act=6A058485 exp=6A058485 + 6765.50ns INFO [00006767] * RD COMPARE * port=1 adr=01 act=B15E3A87 exp=B15E3A87 + 6766.50ns INFO [00006768] * RD COMPARE * port=1 adr=1F act=C21A5818 exp=C21A5818 + 6766.50ns INFO [00006768] Port=0 WR @00=629D780E + 6767.50ns INFO [00006769] Port=0 WR @0A=419F2CD6 + 6769.50ns INFO [00006771] Port=0 WR @10=BFB2F42C + 6769.50ns INFO [00006771] Port=1 RD @04 + 6770.50ns INFO [00006772] Port=0 WR @17=B48B261B + 6770.50ns INFO [00006772] Port=0 RD @15 + 6771.50ns INFO [00006773] * RD COMPARE * port=1 adr=04 act=6742D186 exp=6742D186 + 6771.50ns INFO [00006773] Port=0 RD @09 + 6771.50ns INFO [00006773] Port=1 RD @1F + 6772.50ns INFO [00006774] * RD COMPARE * port=0 adr=15 act=D740AF97 exp=D740AF97 + 6772.50ns INFO [00006774] Port=1 RD @0D + 6773.50ns INFO [00006775] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B + 6773.50ns INFO [00006775] * RD COMPARE * port=1 adr=1F act=C21A5818 exp=C21A5818 + 6773.50ns INFO [00006775] Port=0 WR @0D=4745C105 + 6774.50ns INFO [00006776] * RD COMPARE * port=1 adr=0D act=9730B397 exp=9730B397 + 6774.50ns INFO [00006776] Port=0 RD @12 + 6774.50ns INFO [00006776] Port=1 RD @0F + 6775.50ns INFO [00006777] Port=0 RD @11 + 6775.50ns INFO [00006777] Port=1 RD @12 + 6776.50ns INFO [00006778] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452 + 6776.50ns INFO [00006778] * RD COMPARE * port=1 adr=0F act=D95E5316 exp=D95E5316 + 6776.50ns INFO [00006778] Port=0 WR @1E=C4785191 + 6776.50ns INFO [00006778] Port=0 RD @0A + 6776.50ns INFO [00006778] Port=1 RD @05 + 6777.50ns INFO [00006779] * RD COMPARE * port=0 adr=11 act=8C2D5B04 exp=8C2D5B04 + 6777.50ns INFO [00006779] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452 + 6778.50ns INFO [00006780] * RD COMPARE * port=0 adr=0A act=419F2CD6 exp=419F2CD6 + 6778.50ns INFO [00006780] * RD COMPARE * port=1 adr=05 act=D0475FC7 exp=D0475FC7 + 6778.50ns INFO [00006780] Port=0 WR @06=DEEFEDD2 + 6778.50ns INFO [00006780] Port=0 RD @0B + 6779.50ns INFO [00006781] Port=0 WR @03=9D45AE7D + 6780.50ns INFO [00006782] * RD COMPARE * port=0 adr=0B act=1B491493 exp=1B491493 + 6780.50ns INFO [00006782] Port=0 WR @1E=DF3E2CF6 + 6780.50ns INFO [00006782] Port=1 RD @1F + 6781.50ns INFO [00006783] Port=1 RD @0A + 6782.50ns INFO [00006784] * RD COMPARE * port=1 adr=1F act=C21A5818 exp=C21A5818 + 6783.50ns INFO [00006785] * RD COMPARE * port=1 adr=0A act=419F2CD6 exp=419F2CD6 + 6783.50ns INFO [00006785] Port=0 WR @15=062C057F + 6784.50ns INFO [00006786] Port=0 WR @1D=D3755A7F + 6785.50ns INFO [00006787] Port=0 WR @1D=F14EE4AD + 6786.50ns INFO [00006788] Port=0 RD @0D + 6787.50ns INFO [00006789] Port=0 RD @17 + 6787.50ns INFO [00006789] Port=1 RD @13 + 6788.50ns INFO [00006790] * RD COMPARE * port=0 adr=0D act=4745C105 exp=4745C105 + 6788.50ns INFO [00006790] Port=1 RD @08 + 6789.50ns INFO [00006791] * RD COMPARE * port=0 adr=17 act=B48B261B exp=B48B261B + 6789.50ns INFO [00006791] * RD COMPARE * port=1 adr=13 act=9A71DD57 exp=9A71DD57 + 6789.50ns INFO [00006791] Port=1 RD @0F + 6790.50ns INFO [00006792] * RD COMPARE * port=1 adr=08 act=AFCCD6E4 exp=AFCCD6E4 + 6790.50ns INFO [00006792] Port=1 RD @08 + 6791.50ns INFO [00006793] * RD COMPARE * port=1 adr=0F act=D95E5316 exp=D95E5316 + 6792.50ns INFO [00006794] * RD COMPARE * port=1 adr=08 act=AFCCD6E4 exp=AFCCD6E4 + 6792.50ns INFO [00006794] Port=0 WR @14=6DF4B71D + 6792.50ns INFO [00006794] Port=0 RD @05 + 6792.50ns INFO [00006794] Port=1 RD @19 + 6793.50ns INFO [00006795] Port=0 WR @0E=AE61FEAD + 6793.50ns INFO [00006795] Port=0 RD @16 + 6793.50ns INFO [00006795] Port=1 RD @1A + 6794.50ns INFO [00006796] * RD COMPARE * port=0 adr=05 act=D0475FC7 exp=D0475FC7 + 6794.50ns INFO [00006796] * RD COMPARE * port=1 adr=19 act=06E8BD2F exp=06E8BD2F + 6795.50ns INFO [00006797] * RD COMPARE * port=0 adr=16 act=E4855BF1 exp=E4855BF1 + 6795.50ns INFO [00006797] * RD COMPARE * port=1 adr=1A act=452D5F98 exp=452D5F98 + 6795.50ns INFO [00006797] Port=1 RD @06 + 6796.50ns INFO [00006798] Port=0 WR @13=BA20668E + 6796.50ns INFO [00006798] Port=1 RD @0A + 6797.50ns INFO [00006799] * RD COMPARE * port=1 adr=06 act=DEEFEDD2 exp=DEEFEDD2 + 6798.00ns INFO [00006800] [00006800] ...tick... + 6798.50ns INFO [00006800] * RD COMPARE * port=1 adr=0A act=419F2CD6 exp=419F2CD6 + 6798.50ns INFO [00006800] Port=0 WR @15=900CF9BD + 6798.50ns INFO [00006800] Port=1 RD @13 + 6799.50ns INFO [00006801] Port=0 WR @02=E28B2BE2 + 6799.50ns INFO [00006801] Port=0 RD @14 + 6799.50ns INFO [00006801] Port=1 RD @12 + 6800.50ns INFO [00006802] * RD COMPARE * port=1 adr=13 act=BA20668E exp=BA20668E + 6800.50ns INFO [00006802] Port=0 WR @02=E1C12B2C + 6800.50ns INFO [00006802] Port=1 RD @06 + 6801.50ns INFO [00006803] * RD COMPARE * port=0 adr=14 act=6DF4B71D exp=6DF4B71D + 6801.50ns INFO [00006803] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452 + 6801.50ns INFO [00006803] Port=1 RD @0F + 6802.50ns INFO [00006804] * RD COMPARE * port=1 adr=06 act=DEEFEDD2 exp=DEEFEDD2 + 6802.50ns INFO [00006804] Port=0 WR @15=36FE02D0 + 6802.50ns INFO [00006804] Port=1 RD @01 + 6803.50ns INFO [00006805] * RD COMPARE * port=1 adr=0F act=D95E5316 exp=D95E5316 + 6803.50ns INFO [00006805] Port=0 WR @0C=B8D077C6 + 6803.50ns INFO [00006805] Port=0 RD @04 + 6803.50ns INFO [00006805] Port=1 RD @04 + 6804.50ns INFO [00006806] * RD COMPARE * port=1 adr=01 act=B15E3A87 exp=B15E3A87 + 6804.50ns INFO [00006806] Port=0 RD @00 + 6805.50ns INFO [00006807] * RD COMPARE * port=0 adr=04 act=6742D186 exp=6742D186 + 6805.50ns INFO [00006807] * RD COMPARE * port=1 adr=04 act=6742D186 exp=6742D186 + 6805.50ns INFO [00006807] Port=0 WR @00=B82AE60C + 6806.50ns INFO [00006808] * RD COMPARE * port=0 adr=00 act=629D780E exp=629D780E + 6806.50ns INFO [00006808] Port=0 WR @07=04CE302A + 6806.50ns INFO [00006808] Port=0 RD @08 + 6806.50ns INFO [00006808] Port=1 RD @01 + 6808.50ns INFO [00006810] * RD COMPARE * port=0 adr=08 act=AFCCD6E4 exp=AFCCD6E4 + 6808.50ns INFO [00006810] * RD COMPARE * port=1 adr=01 act=B15E3A87 exp=B15E3A87 + 6808.50ns INFO [00006810] Port=0 WR @10=F4C8071B + 6808.50ns INFO [00006810] Port=1 RD @12 + 6810.50ns INFO [00006812] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452 + 6810.50ns INFO [00006812] Port=0 WR @1A=6F699E2D + 6810.50ns INFO [00006812] Port=1 RD @11 + 6811.50ns INFO [00006813] Port=0 WR @04=FAF1BA24 + 6811.50ns INFO [00006813] Port=1 RD @06 + 6812.50ns INFO [00006814] * RD COMPARE * port=1 adr=11 act=8C2D5B04 exp=8C2D5B04 + 6812.50ns INFO [00006814] Port=0 WR @1B=121E0D26 + 6812.50ns INFO [00006814] Port=0 RD @16 + 6813.50ns INFO [00006815] * RD COMPARE * port=1 adr=06 act=DEEFEDD2 exp=DEEFEDD2 + 6814.50ns INFO [00006816] * RD COMPARE * port=0 adr=16 act=E4855BF1 exp=E4855BF1 + 6814.50ns INFO [00006816] Port=0 WR @0E=7D424062 + 6815.50ns INFO [00006817] Port=1 RD @01 + 6816.50ns INFO [00006818] Port=1 RD @13 + 6817.50ns INFO [00006819] * RD COMPARE * port=1 adr=01 act=B15E3A87 exp=B15E3A87 + 6817.50ns INFO [00006819] Port=1 RD @11 + 6818.50ns INFO [00006820] * RD COMPARE * port=1 adr=13 act=BA20668E exp=BA20668E + 6819.50ns INFO [00006821] * RD COMPARE * port=1 adr=11 act=8C2D5B04 exp=8C2D5B04 + 6819.50ns INFO [00006821] Port=1 RD @0E + 6821.50ns INFO [00006823] * RD COMPARE * port=1 adr=0E act=7D424062 exp=7D424062 + 6821.50ns INFO [00006823] Port=0 RD @1A + 6822.50ns INFO [00006824] Port=0 WR @13=22C752B1 + 6822.50ns INFO [00006824] Port=0 RD @18 + 6822.50ns INFO [00006824] Port=1 RD @18 + 6823.50ns INFO [00006825] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D + 6823.50ns INFO [00006825] Port=0 WR @19=294C01A6 + 6823.50ns INFO [00006825] Port=1 RD @16 + 6824.50ns INFO [00006826] * RD COMPARE * port=0 adr=18 act=E772707D exp=E772707D + 6824.50ns INFO [00006826] * RD COMPARE * port=1 adr=18 act=E772707D exp=E772707D + 6824.50ns INFO [00006826] Port=0 RD @12 + 6824.50ns INFO [00006826] Port=1 RD @00 + 6825.50ns INFO [00006827] * RD COMPARE * port=1 adr=16 act=E4855BF1 exp=E4855BF1 + 6825.50ns INFO [00006827] Port=1 RD @04 + 6826.50ns INFO [00006828] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452 + 6826.50ns INFO [00006828] * RD COMPARE * port=1 adr=00 act=B82AE60C exp=B82AE60C + 6826.50ns INFO [00006828] Port=0 WR @03=EB8D6286 + 6826.50ns INFO [00006828] Port=0 RD @01 + 6827.50ns INFO [00006829] * RD COMPARE * port=1 adr=04 act=FAF1BA24 exp=FAF1BA24 + 6827.50ns INFO [00006829] Port=1 RD @1F + 6828.50ns INFO [00006830] * RD COMPARE * port=0 adr=01 act=B15E3A87 exp=B15E3A87 + 6828.50ns INFO [00006830] Port=0 WR @19=EF2DF5F4 + 6828.50ns INFO [00006830] Port=0 RD @14 + 6828.50ns INFO [00006830] Port=1 RD @18 + 6829.50ns INFO [00006831] * RD COMPARE * port=1 adr=1F act=C21A5818 exp=C21A5818 + 6829.50ns INFO [00006831] Port=0 RD @0E + 6829.50ns INFO [00006831] Port=1 RD @18 + 6830.50ns INFO [00006832] * RD COMPARE * port=0 adr=14 act=6DF4B71D exp=6DF4B71D + 6830.50ns INFO [00006832] * RD COMPARE * port=1 adr=18 act=E772707D exp=E772707D + 6830.50ns INFO [00006832] Port=0 WR @08=216B4F70 + 6831.50ns INFO [00006833] * RD COMPARE * port=0 adr=0E act=7D424062 exp=7D424062 + 6831.50ns INFO [00006833] * RD COMPARE * port=1 adr=18 act=E772707D exp=E772707D + 6832.50ns INFO [00006834] Port=0 WR @00=CAA5E214 + 6832.50ns INFO [00006834] Port=0 RD @12 + 6832.50ns INFO [00006834] Port=1 RD @0C + 6833.50ns INFO [00006835] Port=0 RD @02 + 6834.50ns INFO [00006836] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452 + 6834.50ns INFO [00006836] * RD COMPARE * port=1 adr=0C act=B8D077C6 exp=B8D077C6 + 6834.50ns INFO [00006836] Port=1 RD @10 + 6835.50ns INFO [00006837] * RD COMPARE * port=0 adr=02 act=E1C12B2C exp=E1C12B2C + 6836.50ns INFO [00006838] * RD COMPARE * port=1 adr=10 act=F4C8071B exp=F4C8071B + 6838.50ns INFO [00006840] Port=0 RD @07 + 6839.50ns INFO [00006841] Port=1 RD @1E + 6840.50ns INFO [00006842] * RD COMPARE * port=0 adr=07 act=04CE302A exp=04CE302A + 6841.50ns INFO [00006843] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 6841.50ns INFO [00006843] Port=0 RD @01 + 6841.50ns INFO [00006843] Port=1 RD @13 + 6842.50ns INFO [00006844] Port=0 WR @0B=B2D6BBB8 + 6842.50ns INFO [00006844] Port=0 RD @0A + 6842.50ns INFO [00006844] Port=1 RD @1D + 6843.50ns INFO [00006845] * RD COMPARE * port=0 adr=01 act=B15E3A87 exp=B15E3A87 + 6843.50ns INFO [00006845] * RD COMPARE * port=1 adr=13 act=22C752B1 exp=22C752B1 + 6843.50ns INFO [00006845] Port=0 WR @07=6C73B594 + 6843.50ns INFO [00006845] Port=0 RD @09 + 6844.50ns INFO [00006846] * RD COMPARE * port=0 adr=0A act=419F2CD6 exp=419F2CD6 + 6844.50ns INFO [00006846] * RD COMPARE * port=1 adr=1D act=F14EE4AD exp=F14EE4AD + 6844.50ns INFO [00006846] Port=1 RD @02 + 6845.50ns INFO [00006847] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B + 6845.50ns INFO [00006847] Port=0 RD @17 + 6845.50ns INFO [00006847] Port=1 RD @0C + 6846.50ns INFO [00006848] * RD COMPARE * port=1 adr=02 act=E1C12B2C exp=E1C12B2C + 6846.50ns INFO [00006848] Port=0 WR @05=8936E108 + 6847.50ns INFO [00006849] * RD COMPARE * port=0 adr=17 act=B48B261B exp=B48B261B + 6847.50ns INFO [00006849] * RD COMPARE * port=1 adr=0C act=B8D077C6 exp=B8D077C6 + 6850.50ns INFO [00006852] Port=0 WR @1D=2CECFEA4 + 6850.50ns INFO [00006852] Port=0 RD @07 + 6850.50ns INFO [00006852] Port=1 RD @10 + 6851.50ns INFO [00006853] Port=0 WR @13=FA1A4767 + 6852.50ns INFO [00006854] * RD COMPARE * port=0 adr=07 act=6C73B594 exp=6C73B594 + 6852.50ns INFO [00006854] * RD COMPARE * port=1 adr=10 act=F4C8071B exp=F4C8071B + 6852.50ns INFO [00006854] Port=0 RD @0A + 6852.50ns INFO [00006854] Port=1 RD @06 + 6853.50ns INFO [00006855] Port=0 RD @1C + 6853.50ns INFO [00006855] Port=1 RD @17 + 6854.50ns INFO [00006856] * RD COMPARE * port=0 adr=0A act=419F2CD6 exp=419F2CD6 + 6854.50ns INFO [00006856] * RD COMPARE * port=1 adr=06 act=DEEFEDD2 exp=DEEFEDD2 + 6854.50ns INFO [00006856] Port=0 RD @16 + 6855.50ns INFO [00006857] * RD COMPARE * port=0 adr=1C act=2C4C5B07 exp=2C4C5B07 + 6855.50ns INFO [00006857] * RD COMPARE * port=1 adr=17 act=B48B261B exp=B48B261B + 6855.50ns INFO [00006857] Port=0 WR @02=99C851A9 + 6855.50ns INFO [00006857] Port=1 RD @01 + 6856.50ns INFO [00006858] * RD COMPARE * port=0 adr=16 act=E4855BF1 exp=E4855BF1 + 6856.50ns INFO [00006858] Port=0 WR @1D=CF5321F2 + 6856.50ns INFO [00006858] Port=0 RD @09 + 6856.50ns INFO [00006858] Port=1 RD @16 + 6857.50ns INFO [00006859] * RD COMPARE * port=1 adr=01 act=B15E3A87 exp=B15E3A87 + 6858.50ns INFO [00006860] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B + 6858.50ns INFO [00006860] * RD COMPARE * port=1 adr=16 act=E4855BF1 exp=E4855BF1 + 6858.50ns INFO [00006860] Port=0 WR @0B=CEAC8866 + 6858.50ns INFO [00006860] Port=0 RD @1B + 6859.50ns INFO [00006861] Port=1 RD @1E + 6860.50ns INFO [00006862] * RD COMPARE * port=0 adr=1B act=121E0D26 exp=121E0D26 + 6861.50ns INFO [00006863] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 6862.50ns INFO [00006864] Port=1 RD @0A + 6864.50ns INFO [00006866] * RD COMPARE * port=1 adr=0A act=419F2CD6 exp=419F2CD6 + 6864.50ns INFO [00006866] Port=0 RD @1E + 6865.50ns INFO [00006867] Port=0 RD @13 + 6866.50ns INFO [00006868] * RD COMPARE * port=0 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 6867.50ns INFO [00006869] * RD COMPARE * port=0 adr=13 act=FA1A4767 exp=FA1A4767 + 6867.50ns INFO [00006869] Port=1 RD @0C + 6868.50ns INFO [00006870] Port=0 RD @03 + 6869.50ns INFO [00006871] * RD COMPARE * port=1 adr=0C act=B8D077C6 exp=B8D077C6 + 6869.50ns INFO [00006871] Port=0 WR @1B=A15413B0 + 6869.50ns INFO [00006871] Port=0 RD @03 + 6870.50ns INFO [00006872] * RD COMPARE * port=0 adr=03 act=EB8D6286 exp=EB8D6286 + 6870.50ns INFO [00006872] Port=0 WR @19=71C24B58 + 6871.50ns INFO [00006873] * RD COMPARE * port=0 adr=03 act=EB8D6286 exp=EB8D6286 + 6871.50ns INFO [00006873] Port=0 RD @17 + 6871.50ns INFO [00006873] Port=1 RD @15 + 6873.50ns INFO [00006875] * RD COMPARE * port=0 adr=17 act=B48B261B exp=B48B261B + 6873.50ns INFO [00006875] * RD COMPARE * port=1 adr=15 act=36FE02D0 exp=36FE02D0 + 6873.50ns INFO [00006875] Port=0 WR @17=96AC868F + 6873.50ns INFO [00006875] Port=1 RD @0A + 6874.50ns INFO [00006876] Port=0 WR @01=072A6CAF + 6874.50ns INFO [00006876] Port=0 RD @04 + 6875.50ns INFO [00006877] * RD COMPARE * port=1 adr=0A act=419F2CD6 exp=419F2CD6 + 6875.50ns INFO [00006877] Port=0 WR @0D=ACDB59B7 + 6875.50ns INFO [00006877] Port=0 RD @1D + 6876.50ns INFO [00006878] * RD COMPARE * port=0 adr=04 act=FAF1BA24 exp=FAF1BA24 + 6876.50ns INFO [00006878] Port=0 WR @01=8B501DEC + 6876.50ns INFO [00006878] Port=0 RD @0A + 6877.50ns INFO [00006879] * RD COMPARE * port=0 adr=1D act=CF5321F2 exp=CF5321F2 + 6878.50ns INFO [00006880] * RD COMPARE * port=0 adr=0A act=419F2CD6 exp=419F2CD6 + 6879.50ns INFO [00006881] Port=0 WR @18=F06C8A25 + 6879.50ns INFO [00006881] Port=0 RD @1C + 6879.50ns INFO [00006881] Port=1 RD @16 + 6880.50ns INFO [00006882] Port=1 RD @02 + 6881.50ns INFO [00006883] * RD COMPARE * port=0 adr=1C act=2C4C5B07 exp=2C4C5B07 + 6881.50ns INFO [00006883] * RD COMPARE * port=1 adr=16 act=E4855BF1 exp=E4855BF1 + 6881.50ns INFO [00006883] Port=0 WR @11=8FA78E33 + 6881.50ns INFO [00006883] Port=0 RD @1B + 6881.50ns INFO [00006883] Port=1 RD @1A + 6882.50ns INFO [00006884] * RD COMPARE * port=1 adr=02 act=99C851A9 exp=99C851A9 + 6882.50ns INFO [00006884] Port=1 RD @07 + 6883.50ns INFO [00006885] * RD COMPARE * port=0 adr=1B act=A15413B0 exp=A15413B0 + 6883.50ns INFO [00006885] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D + 6884.50ns INFO [00006886] * RD COMPARE * port=1 adr=07 act=6C73B594 exp=6C73B594 + 6884.50ns INFO [00006886] Port=0 WR @1C=BFA605B8 + 6884.50ns INFO [00006886] Port=0 RD @01 + 6884.50ns INFO [00006886] Port=1 RD @07 + 6885.50ns INFO [00006887] Port=1 RD @13 + 6886.50ns INFO [00006888] * RD COMPARE * port=0 adr=01 act=8B501DEC exp=8B501DEC + 6886.50ns INFO [00006888] * RD COMPARE * port=1 adr=07 act=6C73B594 exp=6C73B594 + 6886.50ns INFO [00006888] Port=0 WR @04=5511FDCA + 6886.50ns INFO [00006888] Port=0 RD @10 + 6887.50ns INFO [00006889] * RD COMPARE * port=1 adr=13 act=FA1A4767 exp=FA1A4767 + 6887.50ns INFO [00006889] Port=0 WR @14=0B8EC3B4 + 6888.50ns INFO [00006890] * RD COMPARE * port=0 adr=10 act=F4C8071B exp=F4C8071B + 6888.50ns INFO [00006890] Port=0 RD @13 + 6889.50ns INFO [00006891] Port=1 RD @14 + 6890.50ns INFO [00006892] * RD COMPARE * port=0 adr=13 act=FA1A4767 exp=FA1A4767 + 6890.50ns INFO [00006892] Port=0 WR @0C=0A440F63 + 6891.50ns INFO [00006893] * RD COMPARE * port=1 adr=14 act=0B8EC3B4 exp=0B8EC3B4 + 6891.50ns INFO [00006893] Port=0 WR @04=C811CDA4 + 6892.50ns INFO [00006894] Port=0 RD @0B + 6893.50ns INFO [00006895] Port=0 RD @03 + 6894.50ns INFO [00006896] * RD COMPARE * port=0 adr=0B act=CEAC8866 exp=CEAC8866 + 6894.50ns INFO [00006896] Port=0 RD @17 + 6894.50ns INFO [00006896] Port=1 RD @09 + 6895.50ns INFO [00006897] * RD COMPARE * port=0 adr=03 act=EB8D6286 exp=EB8D6286 + 6896.50ns INFO [00006898] * RD COMPARE * port=0 adr=17 act=96AC868F exp=96AC868F + 6896.50ns INFO [00006898] * RD COMPARE * port=1 adr=09 act=A8CFA11B exp=A8CFA11B + 6896.50ns INFO [00006898] Port=1 RD @1E + 6897.50ns INFO [00006899] Port=0 RD @1D + 6898.00ns INFO [00006900] [00006900] ...tick... + 6898.50ns INFO [00006900] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 6898.50ns INFO [00006900] Port=0 WR @17=9DFA7C6B + 6898.50ns INFO [00006900] Port=0 RD @0C + 6898.50ns INFO [00006900] Port=1 RD @0D + 6899.50ns INFO [00006901] * RD COMPARE * port=0 adr=1D act=CF5321F2 exp=CF5321F2 + 6899.50ns INFO [00006901] Port=1 RD @13 + 6900.50ns INFO [00006902] * RD COMPARE * port=0 adr=0C act=0A440F63 exp=0A440F63 + 6900.50ns INFO [00006902] * RD COMPARE * port=1 adr=0D act=ACDB59B7 exp=ACDB59B7 + 6900.50ns INFO [00006902] Port=0 WR @0D=953069E8 + 6900.50ns INFO [00006902] Port=1 RD @10 + 6901.50ns INFO [00006903] * RD COMPARE * port=1 adr=13 act=FA1A4767 exp=FA1A4767 + 6901.50ns INFO [00006903] Port=0 WR @0B=2696E7A0 + 6901.50ns INFO [00006903] Port=0 RD @17 + 6901.50ns INFO [00006903] Port=1 RD @0D + 6902.50ns INFO [00006904] * RD COMPARE * port=1 adr=10 act=F4C8071B exp=F4C8071B + 6902.50ns INFO [00006904] Port=0 RD @0C + 6902.50ns INFO [00006904] Port=1 RD @13 + 6903.50ns INFO [00006905] * RD COMPARE * port=0 adr=17 act=9DFA7C6B exp=9DFA7C6B + 6903.50ns INFO [00006905] * RD COMPARE * port=1 adr=0D act=953069E8 exp=953069E8 + 6903.50ns INFO [00006905] Port=0 RD @15 + 6903.50ns INFO [00006905] Port=1 RD @05 + 6904.50ns INFO [00006906] * RD COMPARE * port=0 adr=0C act=0A440F63 exp=0A440F63 + 6904.50ns INFO [00006906] * RD COMPARE * port=1 adr=13 act=FA1A4767 exp=FA1A4767 + 6904.50ns INFO [00006906] Port=0 WR @0C=4E55CCF2 + 6905.50ns INFO [00006907] * RD COMPARE * port=0 adr=15 act=36FE02D0 exp=36FE02D0 + 6905.50ns INFO [00006907] * RD COMPARE * port=1 adr=05 act=8936E108 exp=8936E108 + 6905.50ns INFO [00006907] Port=0 WR @18=E92F7828 + 6905.50ns INFO [00006907] Port=0 RD @0D + 6905.50ns INFO [00006907] Port=1 RD @02 + 6906.50ns INFO [00006908] Port=1 RD @11 + 6907.50ns INFO [00006909] * RD COMPARE * port=0 adr=0D act=953069E8 exp=953069E8 + 6907.50ns INFO [00006909] * RD COMPARE * port=1 adr=02 act=99C851A9 exp=99C851A9 + 6907.50ns INFO [00006909] Port=1 RD @09 + 6908.50ns INFO [00006910] * RD COMPARE * port=1 adr=11 act=8FA78E33 exp=8FA78E33 + 6909.50ns INFO [00006911] * RD COMPARE * port=1 adr=09 act=A8CFA11B exp=A8CFA11B + 6910.50ns INFO [00006912] Port=1 RD @08 + 6911.50ns INFO [00006913] Port=1 RD @02 + 6912.50ns INFO [00006914] * RD COMPARE * port=1 adr=08 act=216B4F70 exp=216B4F70 + 6912.50ns INFO [00006914] Port=0 RD @0F + 6912.50ns INFO [00006914] Port=1 RD @10 + 6913.50ns INFO [00006915] * RD COMPARE * port=1 adr=02 act=99C851A9 exp=99C851A9 + 6913.50ns INFO [00006915] Port=1 RD @07 + 6914.50ns INFO [00006916] * RD COMPARE * port=0 adr=0F act=D95E5316 exp=D95E5316 + 6914.50ns INFO [00006916] * RD COMPARE * port=1 adr=10 act=F4C8071B exp=F4C8071B + 6914.50ns INFO [00006916] Port=0 RD @1E + 6915.50ns INFO [00006917] * RD COMPARE * port=1 adr=07 act=6C73B594 exp=6C73B594 + 6916.50ns INFO [00006918] * RD COMPARE * port=0 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 6917.50ns INFO [00006919] Port=0 RD @0F + 6919.50ns INFO [00006921] * RD COMPARE * port=0 adr=0F act=D95E5316 exp=D95E5316 + 6919.50ns INFO [00006921] Port=1 RD @13 + 6921.50ns INFO [00006923] * RD COMPARE * port=1 adr=13 act=FA1A4767 exp=FA1A4767 + 6921.50ns INFO [00006923] Port=0 WR @0D=E335D4C6 + 6921.50ns INFO [00006923] Port=1 RD @0F + 6922.50ns INFO [00006924] Port=0 RD @0B + 6923.50ns INFO [00006925] * RD COMPARE * port=1 adr=0F act=D95E5316 exp=D95E5316 + 6923.50ns INFO [00006925] Port=0 WR @0F=739B4DB6 + 6924.50ns INFO [00006926] * RD COMPARE * port=0 adr=0B act=2696E7A0 exp=2696E7A0 + 6925.50ns INFO [00006927] Port=0 RD @15 + 6926.50ns INFO [00006928] Port=0 WR @13=00B8B0FA + 6926.50ns INFO [00006928] Port=0 RD @14 + 6927.50ns INFO [00006929] * RD COMPARE * port=0 adr=15 act=36FE02D0 exp=36FE02D0 + 6927.50ns INFO [00006929] Port=1 RD @0D + 6928.50ns INFO [00006930] * RD COMPARE * port=0 adr=14 act=0B8EC3B4 exp=0B8EC3B4 + 6929.50ns INFO [00006931] * RD COMPARE * port=1 adr=0D act=E335D4C6 exp=E335D4C6 + 6931.50ns INFO [00006933] Port=1 RD @13 + 6932.50ns INFO [00006934] Port=0 WR @16=A9C6EB59 + 6932.50ns INFO [00006934] Port=1 RD @02 + 6933.50ns INFO [00006935] * RD COMPARE * port=1 adr=13 act=00B8B0FA exp=00B8B0FA + 6934.50ns INFO [00006936] * RD COMPARE * port=1 adr=02 act=99C851A9 exp=99C851A9 + 6934.50ns INFO [00006936] Port=0 RD @0B + 6936.50ns INFO [00006938] * RD COMPARE * port=0 adr=0B act=2696E7A0 exp=2696E7A0 + 6936.50ns INFO [00006938] Port=0 WR @0E=6CD929D0 + 6937.50ns INFO [00006939] Port=0 WR @08=4D1A3F1D + 6938.50ns INFO [00006940] Port=0 WR @05=C90F0841 + 6938.50ns INFO [00006940] Port=0 RD @00 + 6938.50ns INFO [00006940] Port=1 RD @01 + 6939.50ns INFO [00006941] Port=0 WR @02=ECEC17F8 + 6939.50ns INFO [00006941] Port=0 RD @0C + 6939.50ns INFO [00006941] Port=1 RD @13 + 6940.50ns INFO [00006942] * RD COMPARE * port=0 adr=00 act=CAA5E214 exp=CAA5E214 + 6940.50ns INFO [00006942] * RD COMPARE * port=1 adr=01 act=8B501DEC exp=8B501DEC + 6940.50ns INFO [00006942] Port=0 WR @1D=0072AE41 + 6940.50ns INFO [00006942] Port=0 RD @04 + 6941.50ns INFO [00006943] * RD COMPARE * port=0 adr=0C act=4E55CCF2 exp=4E55CCF2 + 6941.50ns INFO [00006943] * RD COMPARE * port=1 adr=13 act=00B8B0FA exp=00B8B0FA + 6941.50ns INFO [00006943] Port=0 WR @1F=2D18AA86 + 6941.50ns INFO [00006943] Port=1 RD @06 + 6942.50ns INFO [00006944] * RD COMPARE * port=0 adr=04 act=C811CDA4 exp=C811CDA4 + 6943.50ns INFO [00006945] * RD COMPARE * port=1 adr=06 act=DEEFEDD2 exp=DEEFEDD2 + 6944.50ns INFO [00006946] Port=0 WR @16=164B68E9 + 6944.50ns INFO [00006946] Port=0 RD @18 + 6945.50ns INFO [00006947] Port=0 RD @1E + 6945.50ns INFO [00006947] Port=1 RD @02 + 6946.50ns INFO [00006948] * RD COMPARE * port=0 adr=18 act=E92F7828 exp=E92F7828 + 6946.50ns INFO [00006948] Port=0 WR @1C=49E82EA5 + 6946.50ns INFO [00006948] Port=1 RD @08 + 6947.50ns INFO [00006949] * RD COMPARE * port=0 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 6947.50ns INFO [00006949] * RD COMPARE * port=1 adr=02 act=ECEC17F8 exp=ECEC17F8 + 6948.50ns INFO [00006950] * RD COMPARE * port=1 adr=08 act=4D1A3F1D exp=4D1A3F1D + 6948.50ns INFO [00006950] Port=0 RD @1A + 6948.50ns INFO [00006950] Port=1 RD @1C + 6949.50ns INFO [00006951] Port=0 WR @06=FBA5ADAF + 6950.50ns INFO [00006952] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D + 6950.50ns INFO [00006952] * RD COMPARE * port=1 adr=1C act=49E82EA5 exp=49E82EA5 + 6950.50ns INFO [00006952] Port=0 WR @14=C27F2045 + 6950.50ns INFO [00006952] Port=0 RD @11 + 6950.50ns INFO [00006952] Port=1 RD @1E + 6951.50ns INFO [00006953] Port=0 RD @02 + 6951.50ns INFO [00006953] Port=1 RD @18 + 6952.50ns INFO [00006954] * RD COMPARE * port=0 adr=11 act=8FA78E33 exp=8FA78E33 + 6952.50ns INFO [00006954] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 6952.50ns INFO [00006954] Port=0 RD @04 + 6953.50ns INFO [00006955] * RD COMPARE * port=0 adr=02 act=ECEC17F8 exp=ECEC17F8 + 6953.50ns INFO [00006955] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828 + 6953.50ns INFO [00006955] Port=1 RD @11 + 6954.50ns INFO [00006956] * RD COMPARE * port=0 adr=04 act=C811CDA4 exp=C811CDA4 + 6955.50ns INFO [00006957] * RD COMPARE * port=1 adr=11 act=8FA78E33 exp=8FA78E33 + 6956.50ns INFO [00006958] Port=0 RD @09 + 6957.50ns INFO [00006959] Port=0 WR @09=0CE6936F + 6958.50ns INFO [00006960] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B + 6958.50ns INFO [00006960] Port=0 WR @1F=1AC75BB9 + 6958.50ns INFO [00006960] Port=0 RD @01 + 6959.50ns INFO [00006961] Port=1 RD @09 + 6960.50ns INFO [00006962] * RD COMPARE * port=0 adr=01 act=8B501DEC exp=8B501DEC + 6961.50ns INFO [00006963] * RD COMPARE * port=1 adr=09 act=0CE6936F exp=0CE6936F + 6962.50ns INFO [00006964] Port=0 RD @17 + 6963.50ns INFO [00006965] Port=0 RD @17 + 6963.50ns INFO [00006965] Port=1 RD @1F + 6964.50ns INFO [00006966] * RD COMPARE * port=0 adr=17 act=9DFA7C6B exp=9DFA7C6B + 6964.50ns INFO [00006966] Port=0 WR @0F=82397D39 + 6964.50ns INFO [00006966] Port=1 RD @19 + 6965.50ns INFO [00006967] * RD COMPARE * port=0 adr=17 act=9DFA7C6B exp=9DFA7C6B + 6965.50ns INFO [00006967] * RD COMPARE * port=1 adr=1F act=1AC75BB9 exp=1AC75BB9 + 6965.50ns INFO [00006967] Port=0 WR @1D=7E3994A9 + 6965.50ns INFO [00006967] Port=1 RD @15 + 6966.50ns INFO [00006968] * RD COMPARE * port=1 adr=19 act=71C24B58 exp=71C24B58 + 6966.50ns INFO [00006968] Port=0 WR @19=C2419203 + 6966.50ns INFO [00006968] Port=0 RD @0E + 6967.50ns INFO [00006969] * RD COMPARE * port=1 adr=15 act=36FE02D0 exp=36FE02D0 + 6968.50ns INFO [00006970] * RD COMPARE * port=0 adr=0E act=6CD929D0 exp=6CD929D0 + 6968.50ns INFO [00006970] Port=0 RD @00 + 6969.50ns INFO [00006971] Port=1 RD @14 + 6970.50ns INFO [00006972] * RD COMPARE * port=0 adr=00 act=CAA5E214 exp=CAA5E214 + 6970.50ns INFO [00006972] Port=0 RD @1A + 6971.50ns INFO [00006973] * RD COMPARE * port=1 adr=14 act=C27F2045 exp=C27F2045 + 6972.50ns INFO [00006974] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D + 6972.50ns INFO [00006974] Port=1 RD @0B + 6973.50ns INFO [00006975] Port=0 WR @1F=DA7DEFFE + 6973.50ns INFO [00006975] Port=1 RD @0C + 6974.50ns INFO [00006976] * RD COMPARE * port=1 adr=0B act=2696E7A0 exp=2696E7A0 + 6974.50ns INFO [00006976] Port=0 WR @07=937FFEA8 + 6975.50ns INFO [00006977] * RD COMPARE * port=1 adr=0C act=4E55CCF2 exp=4E55CCF2 + 6975.50ns INFO [00006977] Port=0 WR @0F=E6F6FECB + 6977.50ns INFO [00006979] Port=0 RD @1E + 6977.50ns INFO [00006979] Port=1 RD @12 + 6978.50ns INFO [00006980] Port=0 RD @00 + 6978.50ns INFO [00006980] Port=1 RD @19 + 6979.50ns INFO [00006981] * RD COMPARE * port=0 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 6979.50ns INFO [00006981] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452 + 6979.50ns INFO [00006981] Port=0 WR @02=984AA8FC + 6980.50ns INFO [00006982] * RD COMPARE * port=0 adr=00 act=CAA5E214 exp=CAA5E214 + 6980.50ns INFO [00006982] * RD COMPARE * port=1 adr=19 act=C2419203 exp=C2419203 + 6981.50ns INFO [00006983] Port=0 RD @0B + 6981.50ns INFO [00006983] Port=1 RD @01 + 6982.50ns INFO [00006984] Port=0 WR @17=7795F60F + 6982.50ns INFO [00006984] Port=0 RD @1A + 6983.50ns INFO [00006985] * RD COMPARE * port=0 adr=0B act=2696E7A0 exp=2696E7A0 + 6983.50ns INFO [00006985] * RD COMPARE * port=1 adr=01 act=8B501DEC exp=8B501DEC + 6983.50ns INFO [00006985] Port=0 RD @12 + 6983.50ns INFO [00006985] Port=1 RD @11 + 6984.50ns INFO [00006986] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D + 6985.50ns INFO [00006987] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452 + 6985.50ns INFO [00006987] * RD COMPARE * port=1 adr=11 act=8FA78E33 exp=8FA78E33 + 6985.50ns INFO [00006987] Port=0 RD @0A + 6985.50ns INFO [00006987] Port=1 RD @0B + 6986.50ns INFO [00006988] Port=0 WR @1F=048758B5 + 6987.50ns INFO [00006989] * RD COMPARE * port=0 adr=0A act=419F2CD6 exp=419F2CD6 + 6987.50ns INFO [00006989] * RD COMPARE * port=1 adr=0B act=2696E7A0 exp=2696E7A0 + 6987.50ns INFO [00006989] Port=0 WR @1D=62A81767 + 6987.50ns INFO [00006989] Port=1 RD @1F + 6989.50ns INFO [00006991] * RD COMPARE * port=1 adr=1F act=048758B5 exp=048758B5 + 6989.50ns INFO [00006991] Port=0 WR @15=FE407EDB + 6989.50ns INFO [00006991] Port=0 RD @18 + 6990.50ns INFO [00006992] Port=0 WR @0A=313401E4 + 6990.50ns INFO [00006992] Port=0 RD @1B + 6991.50ns INFO [00006993] * RD COMPARE * port=0 adr=18 act=E92F7828 exp=E92F7828 + 6991.50ns INFO [00006993] Port=0 WR @05=AEF309B9 + 6991.50ns INFO [00006993] Port=1 RD @08 + 6992.50ns INFO [00006994] * RD COMPARE * port=0 adr=1B act=A15413B0 exp=A15413B0 + 6993.50ns INFO [00006995] * RD COMPARE * port=1 adr=08 act=4D1A3F1D exp=4D1A3F1D + 6993.50ns INFO [00006995] Port=0 WR @00=C9589AC6 + 6993.50ns INFO [00006995] Port=0 RD @1F + 6993.50ns INFO [00006995] Port=1 RD @0B + 6994.50ns INFO [00006996] Port=0 WR @1F=0909238A + 6995.50ns INFO [00006997] * RD COMPARE * port=0 adr=1F act=048758B5 exp=048758B5 + 6995.50ns INFO [00006997] * RD COMPARE * port=1 adr=0B act=2696E7A0 exp=2696E7A0 + 6996.50ns INFO [00006998] Port=1 RD @16 + 6997.50ns INFO [00006999] Port=0 WR @1D=98D3C783 + 6998.00ns INFO [00007000] [00007000] ...tick... + 6998.50ns INFO [00007000] * RD COMPARE * port=1 adr=16 act=164B68E9 exp=164B68E9 + 7000.50ns INFO [00007002] Port=1 RD @1E + 7001.50ns INFO [00007003] Port=0 WR @1C=C45D871E + 7001.50ns INFO [00007003] Port=0 RD @13 + 7001.50ns INFO [00007003] Port=1 RD @00 + 7002.50ns INFO [00007004] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 7002.50ns INFO [00007004] Port=0 WR @09=50C85229 + 7002.50ns INFO [00007004] Port=0 RD @12 + 7003.50ns INFO [00007005] * RD COMPARE * port=0 adr=13 act=00B8B0FA exp=00B8B0FA + 7003.50ns INFO [00007005] * RD COMPARE * port=1 adr=00 act=C9589AC6 exp=C9589AC6 + 7004.50ns INFO [00007006] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452 + 7004.50ns INFO [00007006] Port=0 WR @02=56CF86BB + 7006.50ns INFO [00007008] Port=0 RD @1B + 7006.50ns INFO [00007008] Port=1 RD @0D + 7007.50ns INFO [00007009] Port=0 RD @02 + 7008.50ns INFO [00007010] * RD COMPARE * port=0 adr=1B act=A15413B0 exp=A15413B0 + 7008.50ns INFO [00007010] * RD COMPARE * port=1 adr=0D act=E335D4C6 exp=E335D4C6 + 7009.50ns INFO [00007011] * RD COMPARE * port=0 adr=02 act=56CF86BB exp=56CF86BB + 7011.50ns INFO [00007013] Port=0 WR @19=321FE69F + 7011.50ns INFO [00007013] Port=1 RD @1A + 7012.50ns INFO [00007014] Port=0 WR @0C=30D1F75C + 7012.50ns INFO [00007014] Port=0 RD @19 + 7012.50ns INFO [00007014] Port=1 RD @10 + 7013.50ns INFO [00007015] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D + 7013.50ns INFO [00007015] Port=0 WR @11=A5D5A3B9 + 7014.50ns INFO [00007016] * RD COMPARE * port=0 adr=19 act=321FE69F exp=321FE69F + 7014.50ns INFO [00007016] * RD COMPARE * port=1 adr=10 act=F4C8071B exp=F4C8071B + 7014.50ns INFO [00007016] Port=0 WR @01=469F4F1A + 7014.50ns INFO [00007016] Port=0 RD @0A + 7014.50ns INFO [00007016] Port=1 RD @1D + 7015.50ns INFO [00007017] Port=0 RD @11 + 7016.50ns INFO [00007018] * RD COMPARE * port=0 adr=0A act=313401E4 exp=313401E4 + 7016.50ns INFO [00007018] * RD COMPARE * port=1 adr=1D act=98D3C783 exp=98D3C783 + 7016.50ns INFO [00007018] Port=0 WR @03=33FB7D5B + 7016.50ns INFO [00007018] Port=0 RD @1F + 7016.50ns INFO [00007018] Port=1 RD @0F + 7017.50ns INFO [00007019] * RD COMPARE * port=0 adr=11 act=A5D5A3B9 exp=A5D5A3B9 + 7017.50ns INFO [00007019] Port=1 RD @08 + 7018.50ns INFO [00007020] * RD COMPARE * port=0 adr=1F act=0909238A exp=0909238A + 7018.50ns INFO [00007020] * RD COMPARE * port=1 adr=0F act=E6F6FECB exp=E6F6FECB + 7018.50ns INFO [00007020] Port=1 RD @16 + 7019.50ns INFO [00007021] * RD COMPARE * port=1 adr=08 act=4D1A3F1D exp=4D1A3F1D + 7020.50ns INFO [00007022] * RD COMPARE * port=1 adr=16 act=164B68E9 exp=164B68E9 + 7023.50ns INFO [00007025] Port=1 RD @1E + 7024.50ns INFO [00007026] Port=0 WR @04=C98E534E + 7024.50ns INFO [00007026] Port=1 RD @0C + 7025.50ns INFO [00007027] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 7025.50ns INFO [00007027] Port=0 WR @10=16420C8C + 7026.50ns INFO [00007028] * RD COMPARE * port=1 adr=0C act=30D1F75C exp=30D1F75C + 7027.50ns INFO [00007029] Port=0 WR @0F=1E558A3E + 7027.50ns INFO [00007029] Port=0 RD @15 + 7027.50ns INFO [00007029] Port=1 RD @03 + 7028.50ns INFO [00007030] Port=0 WR @10=63917004 + 7028.50ns INFO [00007030] Port=1 RD @03 + 7029.50ns INFO [00007031] * RD COMPARE * port=0 adr=15 act=FE407EDB exp=FE407EDB + 7029.50ns INFO [00007031] * RD COMPARE * port=1 adr=03 act=33FB7D5B exp=33FB7D5B + 7029.50ns INFO [00007031] Port=0 WR @17=C1E90405 + 7030.50ns INFO [00007032] * RD COMPARE * port=1 adr=03 act=33FB7D5B exp=33FB7D5B + 7030.50ns INFO [00007032] Port=0 RD @1B + 7031.50ns INFO [00007033] Port=1 RD @13 + 7032.50ns INFO [00007034] * RD COMPARE * port=0 adr=1B act=A15413B0 exp=A15413B0 + 7032.50ns INFO [00007034] Port=0 WR @17=D122ACA4 + 7033.50ns INFO [00007035] * RD COMPARE * port=1 adr=13 act=00B8B0FA exp=00B8B0FA + 7035.50ns INFO [00007037] Port=0 WR @07=BD2319A0 + 7036.50ns INFO [00007038] Port=0 WR @11=DA1348A6 + 7036.50ns INFO [00007038] Port=0 RD @0A + 7037.50ns INFO [00007039] Port=1 RD @0D + 7038.50ns INFO [00007040] * RD COMPARE * port=0 adr=0A act=313401E4 exp=313401E4 + 7038.50ns INFO [00007040] Port=0 RD @1F + 7038.50ns INFO [00007040] Port=1 RD @19 + 7039.50ns INFO [00007041] * RD COMPARE * port=1 adr=0D act=E335D4C6 exp=E335D4C6 + 7039.50ns INFO [00007041] Port=0 RD @1C + 7039.50ns INFO [00007041] Port=1 RD @1F + 7040.50ns INFO [00007042] * RD COMPARE * port=0 adr=1F act=0909238A exp=0909238A + 7040.50ns INFO [00007042] * RD COMPARE * port=1 adr=19 act=321FE69F exp=321FE69F + 7041.50ns INFO [00007043] * RD COMPARE * port=0 adr=1C act=C45D871E exp=C45D871E + 7041.50ns INFO [00007043] * RD COMPARE * port=1 adr=1F act=0909238A exp=0909238A + 7041.50ns INFO [00007043] Port=0 WR @0A=DFCFDE15 + 7042.50ns INFO [00007044] Port=0 WR @03=CDCAA92B + 7042.50ns INFO [00007044] Port=0 RD @0B + 7042.50ns INFO [00007044] Port=1 RD @00 + 7043.50ns INFO [00007045] Port=0 RD @17 + 7043.50ns INFO [00007045] Port=1 RD @0D + 7044.50ns INFO [00007046] * RD COMPARE * port=0 adr=0B act=2696E7A0 exp=2696E7A0 + 7044.50ns INFO [00007046] * RD COMPARE * port=1 adr=00 act=C9589AC6 exp=C9589AC6 + 7044.50ns INFO [00007046] Port=0 RD @03 + 7044.50ns INFO [00007046] Port=1 RD @01 + 7045.50ns INFO [00007047] * RD COMPARE * port=0 adr=17 act=D122ACA4 exp=D122ACA4 + 7045.50ns INFO [00007047] * RD COMPARE * port=1 adr=0D act=E335D4C6 exp=E335D4C6 + 7045.50ns INFO [00007047] Port=0 RD @03 + 7046.50ns INFO [00007048] * RD COMPARE * port=0 adr=03 act=CDCAA92B exp=CDCAA92B + 7046.50ns INFO [00007048] * RD COMPARE * port=1 adr=01 act=469F4F1A exp=469F4F1A + 7046.50ns INFO [00007048] Port=0 RD @03 + 7046.50ns INFO [00007048] Port=1 RD @00 + 7047.50ns INFO [00007049] * RD COMPARE * port=0 adr=03 act=CDCAA92B exp=CDCAA92B + 7047.50ns INFO [00007049] Port=0 WR @16=13E66340 + 7047.50ns INFO [00007049] Port=1 RD @08 + 7048.50ns INFO [00007050] * RD COMPARE * port=0 adr=03 act=CDCAA92B exp=CDCAA92B + 7048.50ns INFO [00007050] * RD COMPARE * port=1 adr=00 act=C9589AC6 exp=C9589AC6 + 7048.50ns INFO [00007050] Port=0 RD @04 + 7049.50ns INFO [00007051] * RD COMPARE * port=1 adr=08 act=4D1A3F1D exp=4D1A3F1D + 7049.50ns INFO [00007051] Port=0 RD @17 + 7050.50ns INFO [00007052] * RD COMPARE * port=0 adr=04 act=C98E534E exp=C98E534E + 7050.50ns INFO [00007052] Port=1 RD @0E + 7051.50ns INFO [00007053] * RD COMPARE * port=0 adr=17 act=D122ACA4 exp=D122ACA4 + 7052.50ns INFO [00007054] * RD COMPARE * port=1 adr=0E act=6CD929D0 exp=6CD929D0 + 7052.50ns INFO [00007054] Port=1 RD @0F + 7053.50ns INFO [00007055] Port=0 RD @15 + 7054.50ns INFO [00007056] * RD COMPARE * port=1 adr=0F act=1E558A3E exp=1E558A3E + 7054.50ns INFO [00007056] Port=0 WR @0F=3F8CA66D + 7055.50ns INFO [00007057] * RD COMPARE * port=0 adr=15 act=FE407EDB exp=FE407EDB + 7055.50ns INFO [00007057] Port=0 WR @15=7D561176 + 7055.50ns INFO [00007057] Port=1 RD @05 + 7056.50ns INFO [00007058] Port=0 WR @15=926179FC + 7056.50ns INFO [00007058] Port=0 RD @1D + 7056.50ns INFO [00007058] Port=1 RD @1E + 7057.50ns INFO [00007059] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9 + 7058.50ns INFO [00007060] * RD COMPARE * port=0 adr=1D act=98D3C783 exp=98D3C783 + 7058.50ns INFO [00007060] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 7058.50ns INFO [00007060] Port=0 WR @0B=176D1F6D + 7058.50ns INFO [00007060] Port=1 RD @11 + 7059.50ns INFO [00007061] Port=0 WR @16=9A0735DF + 7059.50ns INFO [00007061] Port=0 RD @15 + 7060.50ns INFO [00007062] * RD COMPARE * port=1 adr=11 act=DA1348A6 exp=DA1348A6 + 7060.50ns INFO [00007062] Port=0 RD @12 + 7060.50ns INFO [00007062] Port=1 RD @04 + 7061.50ns INFO [00007063] * RD COMPARE * port=0 adr=15 act=926179FC exp=926179FC + 7061.50ns INFO [00007063] Port=0 RD @12 + 7062.50ns INFO [00007064] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452 + 7062.50ns INFO [00007064] * RD COMPARE * port=1 adr=04 act=C98E534E exp=C98E534E + 7062.50ns INFO [00007064] Port=0 WR @17=B39C1F10 + 7062.50ns INFO [00007064] Port=0 RD @07 + 7063.50ns INFO [00007065] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452 + 7063.50ns INFO [00007065] Port=0 WR @03=DA434327 + 7063.50ns INFO [00007065] Port=0 RD @0E + 7064.50ns INFO [00007066] * RD COMPARE * port=0 adr=07 act=BD2319A0 exp=BD2319A0 + 7065.50ns INFO [00007067] * RD COMPARE * port=0 adr=0E act=6CD929D0 exp=6CD929D0 + 7065.50ns INFO [00007067] Port=0 RD @1E + 7065.50ns INFO [00007067] Port=1 RD @0B + 7066.50ns INFO [00007068] Port=0 WR @0B=7209A637 + 7067.50ns INFO [00007069] * RD COMPARE * port=0 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 7067.50ns INFO [00007069] * RD COMPARE * port=1 adr=0B act=176D1F6D exp=176D1F6D + 7067.50ns INFO [00007069] Port=0 WR @08=D790F4CD + 7068.50ns INFO [00007070] Port=1 RD @17 + 7069.50ns INFO [00007071] Port=0 RD @01 + 7070.50ns INFO [00007072] * RD COMPARE * port=1 adr=17 act=B39C1F10 exp=B39C1F10 + 7070.50ns INFO [00007072] Port=0 WR @01=06B0EA97 + 7070.50ns INFO [00007072] Port=0 RD @1A + 7070.50ns INFO [00007072] Port=1 RD @1D + 7071.50ns INFO [00007073] * RD COMPARE * port=0 adr=01 act=469F4F1A exp=469F4F1A + 7072.50ns INFO [00007074] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D + 7072.50ns INFO [00007074] * RD COMPARE * port=1 adr=1D act=98D3C783 exp=98D3C783 + 7072.50ns INFO [00007074] Port=0 RD @06 + 7073.50ns INFO [00007075] Port=0 RD @08 + 7074.50ns INFO [00007076] * RD COMPARE * port=0 adr=06 act=FBA5ADAF exp=FBA5ADAF + 7075.50ns INFO [00007077] * RD COMPARE * port=0 adr=08 act=D790F4CD exp=D790F4CD + 7075.50ns INFO [00007077] Port=0 RD @15 + 7076.50ns INFO [00007078] Port=0 RD @1D + 7076.50ns INFO [00007078] Port=1 RD @16 + 7077.50ns INFO [00007079] * RD COMPARE * port=0 adr=15 act=926179FC exp=926179FC + 7077.50ns INFO [00007079] Port=0 WR @0A=FE38140E + 7077.50ns INFO [00007079] Port=0 RD @0E + 7078.50ns INFO [00007080] * RD COMPARE * port=0 adr=1D act=98D3C783 exp=98D3C783 + 7078.50ns INFO [00007080] * RD COMPARE * port=1 adr=16 act=9A0735DF exp=9A0735DF + 7078.50ns INFO [00007080] Port=0 RD @10 + 7078.50ns INFO [00007080] Port=1 RD @0F + 7079.50ns INFO [00007081] * RD COMPARE * port=0 adr=0E act=6CD929D0 exp=6CD929D0 + 7079.50ns INFO [00007081] Port=0 WR @0E=F895C1FC + 7079.50ns INFO [00007081] Port=0 RD @0A + 7079.50ns INFO [00007081] Port=1 RD @1A + 7080.50ns INFO [00007082] * RD COMPARE * port=0 adr=10 act=63917004 exp=63917004 + 7080.50ns INFO [00007082] * RD COMPARE * port=1 adr=0F act=3F8CA66D exp=3F8CA66D + 7080.50ns INFO [00007082] Port=0 WR @1C=15BA01D7 + 7080.50ns INFO [00007082] Port=1 RD @1A + 7081.50ns INFO [00007083] * RD COMPARE * port=0 adr=0A act=FE38140E exp=FE38140E + 7081.50ns INFO [00007083] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D + 7081.50ns INFO [00007083] Port=0 WR @04=D0447881 + 7081.50ns INFO [00007083] Port=1 RD @17 + 7082.50ns INFO [00007084] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D + 7083.50ns INFO [00007085] * RD COMPARE * port=1 adr=17 act=B39C1F10 exp=B39C1F10 + 7084.50ns INFO [00007086] Port=0 WR @11=F5A1CFDE + 7085.50ns INFO [00007087] Port=0 WR @16=4B8E6DC1 + 7085.50ns INFO [00007087] Port=1 RD @17 + 7086.50ns INFO [00007088] Port=0 WR @0D=76B3564B + 7087.50ns INFO [00007089] * RD COMPARE * port=1 adr=17 act=B39C1F10 exp=B39C1F10 + 7087.50ns INFO [00007089] Port=0 RD @12 + 7088.50ns INFO [00007090] Port=0 WR @16=20C60CC0 + 7089.50ns INFO [00007091] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452 + 7089.50ns INFO [00007091] Port=0 RD @16 + 7090.50ns INFO [00007092] Port=0 RD @18 + 7091.50ns INFO [00007093] * RD COMPARE * port=0 adr=16 act=20C60CC0 exp=20C60CC0 + 7092.50ns INFO [00007094] * RD COMPARE * port=0 adr=18 act=E92F7828 exp=E92F7828 + 7092.50ns INFO [00007094] Port=0 WR @1B=8E387E1E + 7092.50ns INFO [00007094] Port=1 RD @0A + 7093.50ns INFO [00007095] Port=1 RD @08 + 7094.50ns INFO [00007096] * RD COMPARE * port=1 adr=0A act=FE38140E exp=FE38140E + 7094.50ns INFO [00007096] Port=0 WR @06=8E563ED3 + 7094.50ns INFO [00007096] Port=1 RD @0F + 7095.50ns INFO [00007097] * RD COMPARE * port=1 adr=08 act=D790F4CD exp=D790F4CD + 7095.50ns INFO [00007097] Port=0 WR @0A=C1BB4D0B + 7095.50ns INFO [00007097] Port=1 RD @01 + 7096.50ns INFO [00007098] * RD COMPARE * port=1 adr=0F act=3F8CA66D exp=3F8CA66D + 7096.50ns INFO [00007098] Port=0 WR @12=768A1839 + 7096.50ns INFO [00007098] Port=1 RD @15 + 7097.50ns INFO [00007099] * RD COMPARE * port=1 adr=01 act=06B0EA97 exp=06B0EA97 + 7097.50ns INFO [00007099] Port=0 RD @0A + 7097.50ns INFO [00007099] Port=1 RD @0A + 7098.00ns INFO [00007100] [00007100] ...tick... + 7098.50ns INFO [00007100] * RD COMPARE * port=1 adr=15 act=926179FC exp=926179FC + 7098.50ns INFO [00007100] Port=0 RD @03 + 7098.50ns INFO [00007100] Port=1 RD @1F + 7099.50ns INFO [00007101] * RD COMPARE * port=0 adr=0A act=C1BB4D0B exp=C1BB4D0B + 7099.50ns INFO [00007101] * RD COMPARE * port=1 adr=0A act=C1BB4D0B exp=C1BB4D0B + 7100.50ns INFO [00007102] * RD COMPARE * port=0 adr=03 act=DA434327 exp=DA434327 + 7100.50ns INFO [00007102] * RD COMPARE * port=1 adr=1F act=0909238A exp=0909238A + 7100.50ns INFO [00007102] Port=1 RD @17 + 7101.50ns INFO [00007103] Port=0 WR @14=B4B92B34 + 7101.50ns INFO [00007103] Port=1 RD @1A + 7102.50ns INFO [00007104] * RD COMPARE * port=1 adr=17 act=B39C1F10 exp=B39C1F10 + 7102.50ns INFO [00007104] Port=0 RD @0B + 7102.50ns INFO [00007104] Port=1 RD @0E + 7103.50ns INFO [00007105] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D + 7103.50ns INFO [00007105] Port=0 WR @08=38DB1FC8 + 7103.50ns INFO [00007105] Port=0 RD @04 + 7103.50ns INFO [00007105] Port=1 RD @19 + 7104.50ns INFO [00007106] * RD COMPARE * port=0 adr=0B act=7209A637 exp=7209A637 + 7104.50ns INFO [00007106] * RD COMPARE * port=1 adr=0E act=F895C1FC exp=F895C1FC + 7104.50ns INFO [00007106] Port=0 WR @0C=1FF33D25 + 7105.50ns INFO [00007107] * RD COMPARE * port=0 adr=04 act=D0447881 exp=D0447881 + 7105.50ns INFO [00007107] * RD COMPARE * port=1 adr=19 act=321FE69F exp=321FE69F + 7105.50ns INFO [00007107] Port=0 RD @04 + 7105.50ns INFO [00007107] Port=1 RD @19 + 7106.50ns INFO [00007108] Port=0 WR @0E=B92C627F + 7106.50ns INFO [00007108] Port=1 RD @03 + 7107.50ns INFO [00007109] * RD COMPARE * port=0 adr=04 act=D0447881 exp=D0447881 + 7107.50ns INFO [00007109] * RD COMPARE * port=1 adr=19 act=321FE69F exp=321FE69F + 7107.50ns INFO [00007109] Port=0 WR @03=372FC6C6 + 7107.50ns INFO [00007109] Port=0 RD @13 + 7107.50ns INFO [00007109] Port=1 RD @15 + 7108.50ns INFO [00007110] * RD COMPARE * port=1 adr=03 act=DA434327 exp=DA434327 + 7108.50ns INFO [00007110] Port=0 WR @0A=BB9E623B + 7109.50ns INFO [00007111] * RD COMPARE * port=0 adr=13 act=00B8B0FA exp=00B8B0FA + 7109.50ns INFO [00007111] * RD COMPARE * port=1 adr=15 act=926179FC exp=926179FC + 7109.50ns INFO [00007111] Port=1 RD @08 + 7110.50ns INFO [00007112] Port=0 RD @16 + 7111.50ns INFO [00007113] * RD COMPARE * port=1 adr=08 act=38DB1FC8 exp=38DB1FC8 + 7111.50ns INFO [00007113] Port=1 RD @00 + 7112.50ns INFO [00007114] * RD COMPARE * port=0 adr=16 act=20C60CC0 exp=20C60CC0 + 7113.50ns INFO [00007115] * RD COMPARE * port=1 adr=00 act=C9589AC6 exp=C9589AC6 + 7113.50ns INFO [00007115] Port=0 WR @0C=3C0164F2 + 7113.50ns INFO [00007115] Port=1 RD @05 + 7115.50ns INFO [00007117] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9 + 7116.50ns INFO [00007118] Port=0 RD @0C + 7116.50ns INFO [00007118] Port=1 RD @11 + 7117.50ns INFO [00007119] Port=0 RD @00 + 7117.50ns INFO [00007119] Port=1 RD @0F + 7118.50ns INFO [00007120] * RD COMPARE * port=0 adr=0C act=3C0164F2 exp=3C0164F2 + 7118.50ns INFO [00007120] * RD COMPARE * port=1 adr=11 act=F5A1CFDE exp=F5A1CFDE + 7118.50ns INFO [00007120] Port=0 WR @17=6EE43DA3 + 7119.50ns INFO [00007121] * RD COMPARE * port=0 adr=00 act=C9589AC6 exp=C9589AC6 + 7119.50ns INFO [00007121] * RD COMPARE * port=1 adr=0F act=3F8CA66D exp=3F8CA66D + 7119.50ns INFO [00007121] Port=0 WR @19=0E272C6E + 7119.50ns INFO [00007121] Port=0 RD @1D + 7120.50ns INFO [00007122] Port=0 RD @0B + 7121.50ns INFO [00007123] * RD COMPARE * port=0 adr=1D act=98D3C783 exp=98D3C783 + 7121.50ns INFO [00007123] Port=1 RD @12 + 7122.50ns INFO [00007124] * RD COMPARE * port=0 adr=0B act=7209A637 exp=7209A637 + 7122.50ns INFO [00007124] Port=0 RD @16 + 7122.50ns INFO [00007124] Port=1 RD @1F + 7123.50ns INFO [00007125] * RD COMPARE * port=1 adr=12 act=768A1839 exp=768A1839 + 7123.50ns INFO [00007125] Port=0 RD @1D + 7124.50ns INFO [00007126] * RD COMPARE * port=0 adr=16 act=20C60CC0 exp=20C60CC0 + 7124.50ns INFO [00007126] * RD COMPARE * port=1 adr=1F act=0909238A exp=0909238A + 7124.50ns INFO [00007126] Port=0 WR @17=966F5520 + 7125.50ns INFO [00007127] * RD COMPARE * port=0 adr=1D act=98D3C783 exp=98D3C783 + 7125.50ns INFO [00007127] Port=1 RD @00 + 7126.50ns INFO [00007128] Port=0 WR @15=47F51C6D + 7126.50ns INFO [00007128] Port=0 RD @07 + 7127.50ns INFO [00007129] * RD COMPARE * port=1 adr=00 act=C9589AC6 exp=C9589AC6 + 7127.50ns INFO [00007129] Port=1 RD @12 + 7128.50ns INFO [00007130] * RD COMPARE * port=0 adr=07 act=BD2319A0 exp=BD2319A0 + 7128.50ns INFO [00007130] Port=0 WR @1F=E6D4BE23 + 7128.50ns INFO [00007130] Port=0 RD @0D + 7128.50ns INFO [00007130] Port=1 RD @0B + 7129.50ns INFO [00007131] * RD COMPARE * port=1 adr=12 act=768A1839 exp=768A1839 + 7130.50ns INFO [00007132] * RD COMPARE * port=0 adr=0D act=76B3564B exp=76B3564B + 7130.50ns INFO [00007132] * RD COMPARE * port=1 adr=0B act=7209A637 exp=7209A637 + 7130.50ns INFO [00007132] Port=0 WR @03=69161806 + 7132.50ns INFO [00007134] Port=0 WR @1C=5F55E328 + 7132.50ns INFO [00007134] Port=1 RD @07 + 7133.50ns INFO [00007135] Port=0 WR @17=451466E8 + 7133.50ns INFO [00007135] Port=1 RD @10 + 7134.50ns INFO [00007136] * RD COMPARE * port=1 adr=07 act=BD2319A0 exp=BD2319A0 + 7134.50ns INFO [00007136] Port=1 RD @13 + 7135.50ns INFO [00007137] * RD COMPARE * port=1 adr=10 act=63917004 exp=63917004 + 7135.50ns INFO [00007137] Port=0 WR @06=BBCCFAB9 + 7136.50ns INFO [00007138] * RD COMPARE * port=1 adr=13 act=00B8B0FA exp=00B8B0FA + 7136.50ns INFO [00007138] Port=0 WR @11=94FC1CAF + 7136.50ns INFO [00007138] Port=0 RD @1A + 7137.50ns INFO [00007139] Port=0 WR @13=23C4DB1B + 7138.50ns INFO [00007140] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D + 7138.50ns INFO [00007140] Port=0 RD @19 + 7138.50ns INFO [00007140] Port=1 RD @1A + 7140.50ns INFO [00007142] * RD COMPARE * port=0 adr=19 act=0E272C6E exp=0E272C6E + 7140.50ns INFO [00007142] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D + 7140.50ns INFO [00007142] Port=0 RD @0E + 7141.50ns INFO [00007143] Port=0 WR @0A=9244BAD2 + 7141.50ns INFO [00007143] Port=1 RD @1A + 7142.50ns INFO [00007144] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F + 7142.50ns INFO [00007144] Port=0 WR @0D=D0C69B03 + 7143.50ns INFO [00007145] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D + 7144.50ns INFO [00007146] Port=0 WR @11=01EA7FC9 + 7144.50ns INFO [00007146] Port=0 RD @03 + 7146.50ns INFO [00007148] * RD COMPARE * port=0 adr=03 act=69161806 exp=69161806 + 7146.50ns INFO [00007148] Port=1 RD @13 + 7147.50ns INFO [00007149] Port=0 WR @1F=6C882C8B + 7147.50ns INFO [00007149] Port=0 RD @0C + 7148.50ns INFO [00007150] * RD COMPARE * port=1 adr=13 act=23C4DB1B exp=23C4DB1B + 7148.50ns INFO [00007150] Port=0 WR @1D=C83E5D69 + 7148.50ns INFO [00007150] Port=0 RD @03 + 7149.50ns INFO [00007151] * RD COMPARE * port=0 adr=0C act=3C0164F2 exp=3C0164F2 + 7149.50ns INFO [00007151] Port=0 WR @0F=7D83EB76 + 7149.50ns INFO [00007151] Port=1 RD @1B + 7150.50ns INFO [00007152] * RD COMPARE * port=0 adr=03 act=69161806 exp=69161806 + 7150.50ns INFO [00007152] Port=0 WR @17=137D9DCA + 7150.50ns INFO [00007152] Port=1 RD @03 + 7151.50ns INFO [00007153] * RD COMPARE * port=1 adr=1B act=8E387E1E exp=8E387E1E + 7152.50ns INFO [00007154] * RD COMPARE * port=1 adr=03 act=69161806 exp=69161806 + 7152.50ns INFO [00007154] Port=1 RD @15 + 7153.50ns INFO [00007155] Port=1 RD @0A + 7154.50ns INFO [00007156] * RD COMPARE * port=1 adr=15 act=47F51C6D exp=47F51C6D + 7154.50ns INFO [00007156] Port=0 WR @0D=6B3998E2 + 7155.50ns INFO [00007157] * RD COMPARE * port=1 adr=0A act=9244BAD2 exp=9244BAD2 + 7155.50ns INFO [00007157] Port=0 WR @13=AEB1E308 + 7157.50ns INFO [00007159] Port=0 WR @14=E955EC28 + 7158.50ns INFO [00007160] Port=0 WR @0C=08DE731F + 7159.50ns INFO [00007161] Port=0 RD @14 + 7159.50ns INFO [00007161] Port=1 RD @05 + 7160.50ns INFO [00007162] Port=0 RD @02 + 7160.50ns INFO [00007162] Port=1 RD @11 + 7161.50ns INFO [00007163] * RD COMPARE * port=0 adr=14 act=E955EC28 exp=E955EC28 + 7161.50ns INFO [00007163] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9 + 7161.50ns INFO [00007163] Port=0 WR @0A=3F8F34A2 + 7161.50ns INFO [00007163] Port=1 RD @14 + 7162.50ns INFO [00007164] * RD COMPARE * port=0 adr=02 act=56CF86BB exp=56CF86BB + 7162.50ns INFO [00007164] * RD COMPARE * port=1 adr=11 act=01EA7FC9 exp=01EA7FC9 + 7162.50ns INFO [00007164] Port=0 WR @1C=C87272B4 + 7162.50ns INFO [00007164] Port=1 RD @10 + 7163.50ns INFO [00007165] * RD COMPARE * port=1 adr=14 act=E955EC28 exp=E955EC28 + 7163.50ns INFO [00007165] Port=0 WR @12=E022EEAC + 7163.50ns INFO [00007165] Port=0 RD @07 + 7164.50ns INFO [00007166] * RD COMPARE * port=1 adr=10 act=63917004 exp=63917004 + 7164.50ns INFO [00007166] Port=0 WR @13=38A7AB62 + 7164.50ns INFO [00007166] Port=1 RD @1E + 7165.50ns INFO [00007167] * RD COMPARE * port=0 adr=07 act=BD2319A0 exp=BD2319A0 + 7165.50ns INFO [00007167] Port=0 WR @1E=F07104E0 + 7165.50ns INFO [00007167] Port=0 RD @0C + 7165.50ns INFO [00007167] Port=1 RD @03 + 7166.50ns INFO [00007168] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6 + 7166.50ns INFO [00007168] Port=0 WR @11=9C1D9292 + 7166.50ns INFO [00007168] Port=0 RD @06 + 7166.50ns INFO [00007168] Port=1 RD @0B + 7167.50ns INFO [00007169] * RD COMPARE * port=0 adr=0C act=08DE731F exp=08DE731F + 7167.50ns INFO [00007169] * RD COMPARE * port=1 adr=03 act=69161806 exp=69161806 + 7167.50ns INFO [00007169] Port=0 WR @1A=2FC2E50C + 7167.50ns INFO [00007169] Port=1 RD @18 + 7168.50ns INFO [00007170] * RD COMPARE * port=0 adr=06 act=BBCCFAB9 exp=BBCCFAB9 + 7168.50ns INFO [00007170] * RD COMPARE * port=1 adr=0B act=7209A637 exp=7209A637 + 7168.50ns INFO [00007170] Port=1 RD @13 + 7169.50ns INFO [00007171] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828 + 7169.50ns INFO [00007171] Port=0 WR @07=F6827CAD + 7170.50ns INFO [00007172] * RD COMPARE * port=1 adr=13 act=38A7AB62 exp=38A7AB62 + 7170.50ns INFO [00007172] Port=0 WR @14=130B1159 + 7170.50ns INFO [00007172] Port=1 RD @1C + 7171.50ns INFO [00007173] Port=1 RD @09 + 7172.50ns INFO [00007174] * RD COMPARE * port=1 adr=1C act=C87272B4 exp=C87272B4 + 7172.50ns INFO [00007174] Port=0 WR @03=EF638BC9 + 7172.50ns INFO [00007174] Port=1 RD @0B + 7173.50ns INFO [00007175] * RD COMPARE * port=1 adr=09 act=50C85229 exp=50C85229 + 7173.50ns INFO [00007175] Port=0 WR @17=1AFF64BB + 7173.50ns INFO [00007175] Port=1 RD @0A + 7174.50ns INFO [00007176] * RD COMPARE * port=1 adr=0B act=7209A637 exp=7209A637 + 7174.50ns INFO [00007176] Port=0 WR @00=E221492C + 7174.50ns INFO [00007176] Port=1 RD @1A + 7175.50ns INFO [00007177] * RD COMPARE * port=1 adr=0A act=3F8F34A2 exp=3F8F34A2 + 7175.50ns INFO [00007177] Port=0 RD @0D + 7176.50ns INFO [00007178] * RD COMPARE * port=1 adr=1A act=2FC2E50C exp=2FC2E50C + 7176.50ns INFO [00007178] Port=0 WR @09=D059496D + 7176.50ns INFO [00007178] Port=1 RD @18 + 7177.50ns INFO [00007179] * RD COMPARE * port=0 adr=0D act=6B3998E2 exp=6B3998E2 + 7177.50ns INFO [00007179] Port=0 WR @00=E353B7E8 + 7177.50ns INFO [00007179] Port=1 RD @08 + 7178.50ns INFO [00007180] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828 + 7178.50ns INFO [00007180] Port=0 RD @0D + 7178.50ns INFO [00007180] Port=1 RD @00 + 7179.50ns INFO [00007181] * RD COMPARE * port=1 adr=08 act=38DB1FC8 exp=38DB1FC8 + 7179.50ns INFO [00007181] Port=0 WR @06=3DBD0214 + 7179.50ns INFO [00007181] Port=0 RD @09 + 7180.50ns INFO [00007182] * RD COMPARE * port=0 adr=0D act=6B3998E2 exp=6B3998E2 + 7180.50ns INFO [00007182] * RD COMPARE * port=1 adr=00 act=E353B7E8 exp=E353B7E8 + 7180.50ns INFO [00007182] Port=0 WR @0F=9DD593AD + 7180.50ns INFO [00007182] Port=1 RD @01 + 7181.50ns INFO [00007183] * RD COMPARE * port=0 adr=09 act=D059496D exp=D059496D + 7182.50ns INFO [00007184] * RD COMPARE * port=1 adr=01 act=06B0EA97 exp=06B0EA97 + 7182.50ns INFO [00007184] Port=0 WR @11=2F81BBA4 + 7182.50ns INFO [00007184] Port=0 RD @14 + 7182.50ns INFO [00007184] Port=1 RD @07 + 7183.50ns INFO [00007185] Port=1 RD @06 + 7184.50ns INFO [00007186] * RD COMPARE * port=0 adr=14 act=130B1159 exp=130B1159 + 7184.50ns INFO [00007186] * RD COMPARE * port=1 adr=07 act=F6827CAD exp=F6827CAD + 7184.50ns INFO [00007186] Port=1 RD @1F + 7185.50ns INFO [00007187] * RD COMPARE * port=1 adr=06 act=3DBD0214 exp=3DBD0214 + 7185.50ns INFO [00007187] Port=0 RD @0A + 7185.50ns INFO [00007187] Port=1 RD @0F + 7186.50ns INFO [00007188] * RD COMPARE * port=1 adr=1F act=6C882C8B exp=6C882C8B + 7187.50ns INFO [00007189] * RD COMPARE * port=0 adr=0A act=3F8F34A2 exp=3F8F34A2 + 7187.50ns INFO [00007189] * RD COMPARE * port=1 adr=0F act=9DD593AD exp=9DD593AD + 7187.50ns INFO [00007189] Port=0 RD @00 + 7188.50ns INFO [00007190] Port=0 WR @09=CD1FF96D + 7188.50ns INFO [00007190] Port=0 RD @08 + 7189.50ns INFO [00007191] * RD COMPARE * port=0 adr=00 act=E353B7E8 exp=E353B7E8 + 7189.50ns INFO [00007191] Port=0 WR @19=37375995 + 7189.50ns INFO [00007191] Port=1 RD @12 + 7190.50ns INFO [00007192] * RD COMPARE * port=0 adr=08 act=38DB1FC8 exp=38DB1FC8 + 7190.50ns INFO [00007192] Port=0 WR @10=DDC1DF2C + 7191.50ns INFO [00007193] * RD COMPARE * port=1 adr=12 act=E022EEAC exp=E022EEAC + 7191.50ns INFO [00007193] Port=1 RD @06 + 7193.50ns INFO [00007195] * RD COMPARE * port=1 adr=06 act=3DBD0214 exp=3DBD0214 + 7193.50ns INFO [00007195] Port=0 WR @08=660E2A91 + 7193.50ns INFO [00007195] Port=0 RD @1E + 7193.50ns INFO [00007195] Port=1 RD @06 + 7194.50ns INFO [00007196] Port=0 RD @07 + 7195.50ns INFO [00007197] * RD COMPARE * port=0 adr=1E act=F07104E0 exp=F07104E0 + 7195.50ns INFO [00007197] * RD COMPARE * port=1 adr=06 act=3DBD0214 exp=3DBD0214 + 7196.50ns INFO [00007198] * RD COMPARE * port=0 adr=07 act=F6827CAD exp=F6827CAD + 7196.50ns INFO [00007198] Port=0 WR @17=D9E95E92 + 7197.50ns INFO [00007199] Port=0 WR @10=1473D82B + 7197.50ns INFO [00007199] Port=0 RD @1D + 7197.50ns INFO [00007199] Port=1 RD @1B + 7198.00ns INFO [00007200] [00007200] ...tick... + 7198.50ns INFO [00007200] Port=0 WR @06=61E26C61 + 7199.50ns INFO [00007201] * RD COMPARE * port=0 adr=1D act=C83E5D69 exp=C83E5D69 + 7199.50ns INFO [00007201] * RD COMPARE * port=1 adr=1B act=8E387E1E exp=8E387E1E + 7199.50ns INFO [00007201] Port=1 RD @1F + 7200.50ns INFO [00007202] Port=0 RD @1C + 7201.50ns INFO [00007203] * RD COMPARE * port=1 adr=1F act=6C882C8B exp=6C882C8B + 7201.50ns INFO [00007203] Port=0 RD @13 + 7202.50ns INFO [00007204] * RD COMPARE * port=0 adr=1C act=C87272B4 exp=C87272B4 + 7203.50ns INFO [00007205] * RD COMPARE * port=0 adr=13 act=38A7AB62 exp=38A7AB62 + 7203.50ns INFO [00007205] Port=0 WR @01=C1DB4362 + 7204.50ns INFO [00007206] Port=0 RD @12 + 7204.50ns INFO [00007206] Port=1 RD @18 + 7205.50ns INFO [00007207] Port=0 RD @0D + 7206.50ns INFO [00007208] * RD COMPARE * port=0 adr=12 act=E022EEAC exp=E022EEAC + 7206.50ns INFO [00007208] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828 + 7206.50ns INFO [00007208] Port=1 RD @0D + 7207.50ns INFO [00007209] * RD COMPARE * port=0 adr=0D act=6B3998E2 exp=6B3998E2 + 7207.50ns INFO [00007209] Port=0 WR @01=91A2F073 + 7207.50ns INFO [00007209] Port=0 RD @05 + 7208.50ns INFO [00007210] * RD COMPARE * port=1 adr=0D act=6B3998E2 exp=6B3998E2 + 7208.50ns INFO [00007210] Port=0 RD @11 + 7208.50ns INFO [00007210] Port=1 RD @10 + 7209.50ns INFO [00007211] * RD COMPARE * port=0 adr=05 act=AEF309B9 exp=AEF309B9 + 7209.50ns INFO [00007211] Port=0 WR @00=4EDE4D7D + 7210.50ns INFO [00007212] * RD COMPARE * port=0 adr=11 act=2F81BBA4 exp=2F81BBA4 + 7210.50ns INFO [00007212] * RD COMPARE * port=1 adr=10 act=1473D82B exp=1473D82B + 7210.50ns INFO [00007212] Port=0 RD @0E + 7211.50ns INFO [00007213] Port=0 RD @0C + 7211.50ns INFO [00007213] Port=1 RD @09 + 7212.50ns INFO [00007214] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F + 7213.50ns INFO [00007215] * RD COMPARE * port=0 adr=0C act=08DE731F exp=08DE731F + 7213.50ns INFO [00007215] * RD COMPARE * port=1 adr=09 act=CD1FF96D exp=CD1FF96D + 7213.50ns INFO [00007215] Port=0 WR @01=B27692BD + 7213.50ns INFO [00007215] Port=0 RD @02 + 7213.50ns INFO [00007215] Port=1 RD @0B + 7215.50ns INFO [00007217] * RD COMPARE * port=0 adr=02 act=56CF86BB exp=56CF86BB + 7215.50ns INFO [00007217] * RD COMPARE * port=1 adr=0B act=7209A637 exp=7209A637 + 7215.50ns INFO [00007217] Port=0 WR @11=56C9AAD5 + 7215.50ns INFO [00007217] Port=0 RD @08 + 7216.50ns INFO [00007218] Port=0 WR @0B=DF09C025 + 7216.50ns INFO [00007218] Port=0 RD @1F + 7217.50ns INFO [00007219] * RD COMPARE * port=0 adr=08 act=660E2A91 exp=660E2A91 + 7218.50ns INFO [00007220] * RD COMPARE * port=0 adr=1F act=6C882C8B exp=6C882C8B + 7219.50ns INFO [00007221] Port=0 WR @14=6E2B9E81 + 7219.50ns INFO [00007221] Port=1 RD @0C + 7221.50ns INFO [00007223] * RD COMPARE * port=1 adr=0C act=08DE731F exp=08DE731F + 7221.50ns INFO [00007223] Port=0 WR @0D=729AA7FD + 7221.50ns INFO [00007223] Port=1 RD @00 + 7222.50ns INFO [00007224] Port=1 RD @08 + 7223.50ns INFO [00007225] * RD COMPARE * port=1 adr=00 act=4EDE4D7D exp=4EDE4D7D + 7223.50ns INFO [00007225] Port=1 RD @04 + 7224.50ns INFO [00007226] * RD COMPARE * port=1 adr=08 act=660E2A91 exp=660E2A91 + 7224.50ns INFO [00007226] Port=0 RD @0B + 7224.50ns INFO [00007226] Port=1 RD @11 + 7225.50ns INFO [00007227] * RD COMPARE * port=1 adr=04 act=D0447881 exp=D0447881 + 7225.50ns INFO [00007227] Port=0 WR @0C=D49F816C + 7225.50ns INFO [00007227] Port=0 RD @19 + 7226.50ns INFO [00007228] * RD COMPARE * port=0 adr=0B act=DF09C025 exp=DF09C025 + 7226.50ns INFO [00007228] * RD COMPARE * port=1 adr=11 act=56C9AAD5 exp=56C9AAD5 + 7226.50ns INFO [00007228] Port=1 RD @03 + 7227.50ns INFO [00007229] * RD COMPARE * port=0 adr=19 act=37375995 exp=37375995 + 7227.50ns INFO [00007229] Port=0 WR @0B=395C06FD + 7227.50ns INFO [00007229] Port=1 RD @18 + 7228.50ns INFO [00007230] * RD COMPARE * port=1 adr=03 act=EF638BC9 exp=EF638BC9 + 7228.50ns INFO [00007230] Port=0 WR @02=8163346E + 7228.50ns INFO [00007230] Port=0 RD @06 + 7229.50ns INFO [00007231] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828 + 7230.50ns INFO [00007232] * RD COMPARE * port=0 adr=06 act=61E26C61 exp=61E26C61 + 7230.50ns INFO [00007232] Port=0 RD @19 + 7230.50ns INFO [00007232] Port=1 RD @05 + 7231.50ns INFO [00007233] Port=0 RD @05 + 7231.50ns INFO [00007233] Port=1 RD @14 + 7232.50ns INFO [00007234] * RD COMPARE * port=0 adr=19 act=37375995 exp=37375995 + 7232.50ns INFO [00007234] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9 + 7232.50ns INFO [00007234] Port=0 WR @11=7C682FB4 + 7232.50ns INFO [00007234] Port=0 RD @0D + 7233.50ns INFO [00007235] * RD COMPARE * port=0 adr=05 act=AEF309B9 exp=AEF309B9 + 7233.50ns INFO [00007235] * RD COMPARE * port=1 adr=14 act=6E2B9E81 exp=6E2B9E81 + 7233.50ns INFO [00007235] Port=0 RD @10 + 7234.50ns INFO [00007236] * RD COMPARE * port=0 adr=0D act=729AA7FD exp=729AA7FD + 7235.50ns INFO [00007237] * RD COMPARE * port=0 adr=10 act=1473D82B exp=1473D82B + 7236.50ns INFO [00007238] Port=0 RD @0F + 7237.50ns INFO [00007239] Port=1 RD @0E + 7238.50ns INFO [00007240] * RD COMPARE * port=0 adr=0F act=9DD593AD exp=9DD593AD + 7239.50ns INFO [00007241] * RD COMPARE * port=1 adr=0E act=B92C627F exp=B92C627F + 7239.50ns INFO [00007241] Port=1 RD @1A + 7240.50ns INFO [00007242] Port=0 RD @04 + 7240.50ns INFO [00007242] Port=1 RD @1A + 7241.50ns INFO [00007243] * RD COMPARE * port=1 adr=1A act=2FC2E50C exp=2FC2E50C + 7241.50ns INFO [00007243] Port=0 RD @12 + 7242.50ns INFO [00007244] * RD COMPARE * port=0 adr=04 act=D0447881 exp=D0447881 + 7242.50ns INFO [00007244] * RD COMPARE * port=1 adr=1A act=2FC2E50C exp=2FC2E50C + 7242.50ns INFO [00007244] Port=0 RD @15 + 7243.50ns INFO [00007245] * RD COMPARE * port=0 adr=12 act=E022EEAC exp=E022EEAC + 7243.50ns INFO [00007245] Port=0 RD @03 + 7244.50ns INFO [00007246] * RD COMPARE * port=0 adr=15 act=47F51C6D exp=47F51C6D + 7244.50ns INFO [00007246] Port=0 WR @0B=E0178F87 + 7245.50ns INFO [00007247] * RD COMPARE * port=0 adr=03 act=EF638BC9 exp=EF638BC9 + 7245.50ns INFO [00007247] Port=0 RD @02 + 7246.50ns INFO [00007248] Port=0 WR @19=D8737E5E + 7246.50ns INFO [00007248] Port=0 RD @15 + 7246.50ns INFO [00007248] Port=1 RD @1C + 7247.50ns INFO [00007249] * RD COMPARE * port=0 adr=02 act=8163346E exp=8163346E + 7247.50ns INFO [00007249] Port=0 RD @15 + 7247.50ns INFO [00007249] Port=1 RD @1B + 7248.50ns INFO [00007250] * RD COMPARE * port=0 adr=15 act=47F51C6D exp=47F51C6D + 7248.50ns INFO [00007250] * RD COMPARE * port=1 adr=1C act=C87272B4 exp=C87272B4 + 7248.50ns INFO [00007250] Port=0 WR @08=F883E9D1 + 7249.50ns INFO [00007251] * RD COMPARE * port=0 adr=15 act=47F51C6D exp=47F51C6D + 7249.50ns INFO [00007251] * RD COMPARE * port=1 adr=1B act=8E387E1E exp=8E387E1E + 7249.50ns INFO [00007251] Port=0 RD @0E + 7250.50ns INFO [00007252] Port=0 RD @14 + 7251.50ns INFO [00007253] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F + 7252.50ns INFO [00007254] * RD COMPARE * port=0 adr=14 act=6E2B9E81 exp=6E2B9E81 + 7252.50ns INFO [00007254] Port=0 WR @1F=7424E57D + 7252.50ns INFO [00007254] Port=0 RD @03 + 7252.50ns INFO [00007254] Port=1 RD @13 + 7254.50ns INFO [00007256] * RD COMPARE * port=0 adr=03 act=EF638BC9 exp=EF638BC9 + 7254.50ns INFO [00007256] * RD COMPARE * port=1 adr=13 act=38A7AB62 exp=38A7AB62 + 7254.50ns INFO [00007256] Port=0 WR @17=0A139EF3 + 7255.50ns INFO [00007257] Port=0 WR @10=ECD0680D + 7255.50ns INFO [00007257] Port=1 RD @03 + 7256.50ns INFO [00007258] Port=0 RD @00 + 7257.50ns INFO [00007259] * RD COMPARE * port=1 adr=03 act=EF638BC9 exp=EF638BC9 + 7257.50ns INFO [00007259] Port=0 WR @02=11E440DE + 7257.50ns INFO [00007259] Port=0 RD @13 + 7257.50ns INFO [00007259] Port=1 RD @00 + 7258.50ns INFO [00007260] * RD COMPARE * port=0 adr=00 act=4EDE4D7D exp=4EDE4D7D + 7258.50ns INFO [00007260] Port=0 WR @06=E9B865E6 + 7258.50ns INFO [00007260] Port=0 RD @1A + 7259.50ns INFO [00007261] * RD COMPARE * port=0 adr=13 act=38A7AB62 exp=38A7AB62 + 7259.50ns INFO [00007261] * RD COMPARE * port=1 adr=00 act=4EDE4D7D exp=4EDE4D7D + 7259.50ns INFO [00007261] Port=0 WR @1D=AF623A97 + 7259.50ns INFO [00007261] Port=0 RD @0E + 7259.50ns INFO [00007261] Port=1 RD @1E + 7260.50ns INFO [00007262] * RD COMPARE * port=0 adr=1A act=2FC2E50C exp=2FC2E50C + 7260.50ns INFO [00007262] Port=0 WR @15=C148CBA8 + 7261.50ns INFO [00007263] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F + 7261.50ns INFO [00007263] * RD COMPARE * port=1 adr=1E act=F07104E0 exp=F07104E0 + 7261.50ns INFO [00007263] Port=1 RD @12 + 7262.50ns INFO [00007264] Port=0 WR @00=6C752446 + 7263.50ns INFO [00007265] * RD COMPARE * port=1 adr=12 act=E022EEAC exp=E022EEAC + 7263.50ns INFO [00007265] Port=0 WR @03=982F9E42 + 7264.50ns INFO [00007266] Port=0 RD @1F + 7265.50ns INFO [00007267] Port=0 WR @1B=054E1E29 + 7265.50ns INFO [00007267] Port=0 RD @1C + 7265.50ns INFO [00007267] Port=1 RD @13 + 7266.50ns INFO [00007268] * RD COMPARE * port=0 adr=1F act=7424E57D exp=7424E57D + 7266.50ns INFO [00007268] Port=0 RD @16 + 7267.50ns INFO [00007269] * RD COMPARE * port=0 adr=1C act=C87272B4 exp=C87272B4 + 7267.50ns INFO [00007269] * RD COMPARE * port=1 adr=13 act=38A7AB62 exp=38A7AB62 + 7267.50ns INFO [00007269] Port=0 WR @0A=46656B9A + 7267.50ns INFO [00007269] Port=0 RD @19 + 7268.50ns INFO [00007270] * RD COMPARE * port=0 adr=16 act=20C60CC0 exp=20C60CC0 + 7268.50ns INFO [00007270] Port=0 WR @06=C407716F + 7269.50ns INFO [00007271] * RD COMPARE * port=0 adr=19 act=D8737E5E exp=D8737E5E + 7270.50ns INFO [00007272] Port=0 WR @1F=178FFB07 + 7271.50ns INFO [00007273] Port=0 WR @0B=E919CB70 + 7272.50ns INFO [00007274] Port=0 RD @1D + 7274.50ns INFO [00007276] * RD COMPARE * port=0 adr=1D act=AF623A97 exp=AF623A97 + 7276.50ns INFO [00007278] Port=0 RD @04 + 7277.50ns INFO [00007279] Port=0 WR @1D=0FBD8F22 + 7277.50ns INFO [00007279] Port=0 RD @0E + 7278.50ns INFO [00007280] * RD COMPARE * port=0 adr=04 act=D0447881 exp=D0447881 + 7278.50ns INFO [00007280] Port=0 WR @04=9D69488B + 7278.50ns INFO [00007280] Port=0 RD @1B + 7279.50ns INFO [00007281] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F + 7279.50ns INFO [00007281] Port=0 RD @05 + 7279.50ns INFO [00007281] Port=1 RD @14 + 7280.50ns INFO [00007282] * RD COMPARE * port=0 adr=1B act=054E1E29 exp=054E1E29 + 7280.50ns INFO [00007282] Port=0 RD @0D + 7280.50ns INFO [00007282] Port=1 RD @04 + 7281.50ns INFO [00007283] * RD COMPARE * port=0 adr=05 act=AEF309B9 exp=AEF309B9 + 7281.50ns INFO [00007283] * RD COMPARE * port=1 adr=14 act=6E2B9E81 exp=6E2B9E81 + 7281.50ns INFO [00007283] Port=0 WR @19=EEED3CE3 + 7282.50ns INFO [00007284] * RD COMPARE * port=0 adr=0D act=729AA7FD exp=729AA7FD + 7282.50ns INFO [00007284] * RD COMPARE * port=1 adr=04 act=9D69488B exp=9D69488B + 7282.50ns INFO [00007284] Port=0 RD @17 + 7282.50ns INFO [00007284] Port=1 RD @13 + 7283.50ns INFO [00007285] Port=0 RD @01 + 7284.50ns INFO [00007286] * RD COMPARE * port=0 adr=17 act=0A139EF3 exp=0A139EF3 + 7284.50ns INFO [00007286] * RD COMPARE * port=1 adr=13 act=38A7AB62 exp=38A7AB62 + 7285.50ns INFO [00007287] * RD COMPARE * port=0 adr=01 act=B27692BD exp=B27692BD + 7285.50ns INFO [00007287] Port=0 WR @1B=D243D752 + 7285.50ns INFO [00007287] Port=1 RD @12 + 7286.50ns INFO [00007288] Port=0 WR @1D=D91DFC74 + 7287.50ns INFO [00007289] * RD COMPARE * port=1 adr=12 act=E022EEAC exp=E022EEAC + 7287.50ns INFO [00007289] Port=1 RD @00 + 7288.50ns INFO [00007290] Port=1 RD @07 + 7289.50ns INFO [00007291] * RD COMPARE * port=1 adr=00 act=6C752446 exp=6C752446 + 7289.50ns INFO [00007291] Port=0 WR @15=9B6F0528 + 7290.50ns INFO [00007292] * RD COMPARE * port=1 adr=07 act=F6827CAD exp=F6827CAD + 7290.50ns INFO [00007292] Port=0 WR @0B=EE669348 + 7290.50ns INFO [00007292] Port=0 RD @03 + 7291.50ns INFO [00007293] Port=0 RD @1B + 7291.50ns INFO [00007293] Port=1 RD @19 + 7292.50ns INFO [00007294] * RD COMPARE * port=0 adr=03 act=982F9E42 exp=982F9E42 + 7292.50ns INFO [00007294] Port=0 RD @16 + 7293.50ns INFO [00007295] * RD COMPARE * port=0 adr=1B act=D243D752 exp=D243D752 + 7293.50ns INFO [00007295] * RD COMPARE * port=1 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7294.50ns INFO [00007296] * RD COMPARE * port=0 adr=16 act=20C60CC0 exp=20C60CC0 + 7294.50ns INFO [00007296] Port=0 WR @11=51750601 + 7294.50ns INFO [00007296] Port=1 RD @0C + 7295.50ns INFO [00007297] Port=0 WR @1D=2866D4B1 + 7296.50ns INFO [00007298] * RD COMPARE * port=1 adr=0C act=D49F816C exp=D49F816C + 7296.50ns INFO [00007298] Port=0 RD @0E + 7296.50ns INFO [00007298] Port=1 RD @01 + 7297.50ns INFO [00007299] Port=1 RD @13 + 7298.00ns INFO [00007300] [00007300] ...tick... + 7298.50ns INFO [00007300] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F + 7298.50ns INFO [00007300] * RD COMPARE * port=1 adr=01 act=B27692BD exp=B27692BD + 7298.50ns INFO [00007300] Port=0 RD @0B + 7299.50ns INFO [00007301] * RD COMPARE * port=1 adr=13 act=38A7AB62 exp=38A7AB62 + 7299.50ns INFO [00007301] Port=1 RD @1B + 7300.50ns INFO [00007302] * RD COMPARE * port=0 adr=0B act=EE669348 exp=EE669348 + 7300.50ns INFO [00007302] Port=0 WR @1E=CC044516 + 7300.50ns INFO [00007302] Port=0 RD @11 + 7301.50ns INFO [00007303] * RD COMPARE * port=1 adr=1B act=D243D752 exp=D243D752 + 7301.50ns INFO [00007303] Port=0 RD @1E + 7302.50ns INFO [00007304] * RD COMPARE * port=0 adr=11 act=51750601 exp=51750601 + 7302.50ns INFO [00007304] Port=0 WR @13=2E1C6439 + 7302.50ns INFO [00007304] Port=0 RD @00 + 7302.50ns INFO [00007304] Port=1 RD @1A + 7303.50ns INFO [00007305] * RD COMPARE * port=0 adr=1E act=CC044516 exp=CC044516 + 7304.50ns INFO [00007306] * RD COMPARE * port=0 adr=00 act=6C752446 exp=6C752446 + 7304.50ns INFO [00007306] * RD COMPARE * port=1 adr=1A act=2FC2E50C exp=2FC2E50C + 7304.50ns INFO [00007306] Port=0 WR @1F=880B2011 + 7304.50ns INFO [00007306] Port=0 RD @1E + 7305.50ns INFO [00007307] Port=0 WR @1F=13C8A0E7 + 7306.50ns INFO [00007308] * RD COMPARE * port=0 adr=1E act=CC044516 exp=CC044516 + 7307.50ns INFO [00007309] Port=0 WR @12=B6BC4BDC + 7308.50ns INFO [00007310] Port=0 WR @0F=BAC0ABD4 + 7308.50ns INFO [00007310] Port=0 RD @1D + 7308.50ns INFO [00007310] Port=1 RD @14 + 7310.50ns INFO [00007312] * RD COMPARE * port=0 adr=1D act=2866D4B1 exp=2866D4B1 + 7310.50ns INFO [00007312] * RD COMPARE * port=1 adr=14 act=6E2B9E81 exp=6E2B9E81 + 7310.50ns INFO [00007312] Port=0 WR @0F=2D630307 + 7312.50ns INFO [00007314] Port=0 RD @13 + 7312.50ns INFO [00007314] Port=1 RD @14 + 7314.50ns INFO [00007316] * RD COMPARE * port=0 adr=13 act=2E1C6439 exp=2E1C6439 + 7314.50ns INFO [00007316] * RD COMPARE * port=1 adr=14 act=6E2B9E81 exp=6E2B9E81 + 7314.50ns INFO [00007316] Port=0 WR @0B=8B2B7115 + 7316.50ns INFO [00007318] Port=0 RD @17 + 7316.50ns INFO [00007318] Port=1 RD @0D + 7317.50ns INFO [00007319] Port=0 WR @0B=4F4D2B01 + 7317.50ns INFO [00007319] Port=1 RD @0C + 7318.50ns INFO [00007320] * RD COMPARE * port=0 adr=17 act=0A139EF3 exp=0A139EF3 + 7318.50ns INFO [00007320] * RD COMPARE * port=1 adr=0D act=729AA7FD exp=729AA7FD + 7318.50ns INFO [00007320] Port=0 WR @15=2D62E6E3 + 7318.50ns INFO [00007320] Port=1 RD @08 + 7319.50ns INFO [00007321] * RD COMPARE * port=1 adr=0C act=D49F816C exp=D49F816C + 7319.50ns INFO [00007321] Port=0 WR @17=8C3F5D9E + 7320.50ns INFO [00007322] * RD COMPARE * port=1 adr=08 act=F883E9D1 exp=F883E9D1 + 7320.50ns INFO [00007322] Port=1 RD @0D + 7321.50ns INFO [00007323] Port=1 RD @00 + 7322.50ns INFO [00007324] * RD COMPARE * port=1 adr=0D act=729AA7FD exp=729AA7FD + 7322.50ns INFO [00007324] Port=0 RD @06 + 7323.50ns INFO [00007325] * RD COMPARE * port=1 adr=00 act=6C752446 exp=6C752446 + 7323.50ns INFO [00007325] Port=0 WR @08=729A7BE4 + 7324.50ns INFO [00007326] * RD COMPARE * port=0 adr=06 act=C407716F exp=C407716F + 7324.50ns INFO [00007326] Port=0 RD @1A + 7325.50ns INFO [00007327] Port=1 RD @10 + 7326.50ns INFO [00007328] * RD COMPARE * port=0 adr=1A act=2FC2E50C exp=2FC2E50C + 7327.50ns INFO [00007329] * RD COMPARE * port=1 adr=10 act=ECD0680D exp=ECD0680D + 7329.50ns INFO [00007331] Port=0 RD @13 + 7329.50ns INFO [00007331] Port=1 RD @08 + 7331.50ns INFO [00007333] * RD COMPARE * port=0 adr=13 act=2E1C6439 exp=2E1C6439 + 7331.50ns INFO [00007333] * RD COMPARE * port=1 adr=08 act=729A7BE4 exp=729A7BE4 + 7332.50ns INFO [00007334] Port=1 RD @09 + 7333.50ns INFO [00007335] Port=0 RD @01 + 7334.50ns INFO [00007336] * RD COMPARE * port=1 adr=09 act=CD1FF96D exp=CD1FF96D + 7335.50ns INFO [00007337] * RD COMPARE * port=0 adr=01 act=B27692BD exp=B27692BD + 7335.50ns INFO [00007337] Port=1 RD @11 + 7336.50ns INFO [00007338] Port=1 RD @1E + 7337.50ns INFO [00007339] * RD COMPARE * port=1 adr=11 act=51750601 exp=51750601 + 7337.50ns INFO [00007339] Port=1 RD @18 + 7338.50ns INFO [00007340] * RD COMPARE * port=1 adr=1E act=CC044516 exp=CC044516 + 7338.50ns INFO [00007340] Port=0 RD @1C + 7339.50ns INFO [00007341] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828 + 7340.50ns INFO [00007342] * RD COMPARE * port=0 adr=1C act=C87272B4 exp=C87272B4 + 7340.50ns INFO [00007342] Port=1 RD @03 + 7341.50ns INFO [00007343] Port=1 RD @13 + 7342.50ns INFO [00007344] * RD COMPARE * port=1 adr=03 act=982F9E42 exp=982F9E42 + 7342.50ns INFO [00007344] Port=0 WR @1B=EB9B4D48 + 7343.50ns INFO [00007345] * RD COMPARE * port=1 adr=13 act=2E1C6439 exp=2E1C6439 + 7343.50ns INFO [00007345] Port=0 RD @14 + 7343.50ns INFO [00007345] Port=1 RD @07 + 7345.50ns INFO [00007347] * RD COMPARE * port=0 adr=14 act=6E2B9E81 exp=6E2B9E81 + 7345.50ns INFO [00007347] * RD COMPARE * port=1 adr=07 act=F6827CAD exp=F6827CAD + 7346.50ns INFO [00007348] Port=0 WR @06=ED467D5B + 7347.50ns INFO [00007349] Port=0 WR @18=3F0B9BB0 + 7349.50ns INFO [00007351] Port=1 RD @10 + 7350.50ns INFO [00007352] Port=0 WR @07=F1A21D6C + 7350.50ns INFO [00007352] Port=0 RD @19 + 7350.50ns INFO [00007352] Port=1 RD @0F + 7351.50ns INFO [00007353] * RD COMPARE * port=1 adr=10 act=ECD0680D exp=ECD0680D + 7351.50ns INFO [00007353] Port=1 RD @05 + 7352.50ns INFO [00007354] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7352.50ns INFO [00007354] * RD COMPARE * port=1 adr=0F act=2D630307 exp=2D630307 + 7352.50ns INFO [00007354] Port=0 WR @01=F8592827 + 7353.50ns INFO [00007355] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9 + 7353.50ns INFO [00007355] Port=0 WR @11=FF263821 + 7353.50ns INFO [00007355] Port=1 RD @15 + 7354.50ns INFO [00007356] Port=0 WR @1F=6CF83455 + 7354.50ns INFO [00007356] Port=0 RD @04 + 7355.50ns INFO [00007357] * RD COMPARE * port=1 adr=15 act=2D62E6E3 exp=2D62E6E3 + 7355.50ns INFO [00007357] Port=1 RD @17 + 7356.50ns INFO [00007358] * RD COMPARE * port=0 adr=04 act=9D69488B exp=9D69488B + 7356.50ns INFO [00007358] Port=1 RD @1C + 7357.50ns INFO [00007359] * RD COMPARE * port=1 adr=17 act=8C3F5D9E exp=8C3F5D9E + 7357.50ns INFO [00007359] Port=0 RD @1C + 7357.50ns INFO [00007359] Port=1 RD @05 + 7358.50ns INFO [00007360] * RD COMPARE * port=1 adr=1C act=C87272B4 exp=C87272B4 + 7358.50ns INFO [00007360] Port=0 WR @1B=1AFC1253 + 7358.50ns INFO [00007360] Port=0 RD @18 + 7359.50ns INFO [00007361] * RD COMPARE * port=0 adr=1C act=C87272B4 exp=C87272B4 + 7359.50ns INFO [00007361] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9 + 7359.50ns INFO [00007361] Port=0 WR @17=308FB190 + 7360.50ns INFO [00007362] * RD COMPARE * port=0 adr=18 act=3F0B9BB0 exp=3F0B9BB0 + 7360.50ns INFO [00007362] Port=0 WR @11=2E5EDD26 + 7361.50ns INFO [00007363] Port=0 WR @03=9BD003E9 + 7362.50ns INFO [00007364] Port=0 WR @12=8BC42C60 + 7363.50ns INFO [00007365] Port=0 WR @16=9865BFCF + 7363.50ns INFO [00007365] Port=0 RD @00 + 7363.50ns INFO [00007365] Port=1 RD @0D + 7364.50ns INFO [00007366] Port=1 RD @1F + 7365.50ns INFO [00007367] * RD COMPARE * port=0 adr=00 act=6C752446 exp=6C752446 + 7365.50ns INFO [00007367] * RD COMPARE * port=1 adr=0D act=729AA7FD exp=729AA7FD + 7365.50ns INFO [00007367] Port=0 WR @09=49036444 + 7365.50ns INFO [00007367] Port=1 RD @1D + 7366.50ns INFO [00007368] * RD COMPARE * port=1 adr=1F act=6CF83455 exp=6CF83455 + 7366.50ns INFO [00007368] Port=0 RD @19 + 7367.50ns INFO [00007369] * RD COMPARE * port=1 adr=1D act=2866D4B1 exp=2866D4B1 + 7367.50ns INFO [00007369] Port=0 RD @1F + 7367.50ns INFO [00007369] Port=1 RD @0A + 7368.50ns INFO [00007370] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7368.50ns INFO [00007370] Port=1 RD @0F + 7369.50ns INFO [00007371] * RD COMPARE * port=0 adr=1F act=6CF83455 exp=6CF83455 + 7369.50ns INFO [00007371] * RD COMPARE * port=1 adr=0A act=46656B9A exp=46656B9A + 7370.50ns INFO [00007372] * RD COMPARE * port=1 adr=0F act=2D630307 exp=2D630307 + 7370.50ns INFO [00007372] Port=0 RD @1F + 7371.50ns INFO [00007373] Port=1 RD @10 + 7372.50ns INFO [00007374] * RD COMPARE * port=0 adr=1F act=6CF83455 exp=6CF83455 + 7372.50ns INFO [00007374] Port=1 RD @0E + 7373.50ns INFO [00007375] * RD COMPARE * port=1 adr=10 act=ECD0680D exp=ECD0680D + 7373.50ns INFO [00007375] Port=0 WR @13=70B27F29 + 7373.50ns INFO [00007375] Port=1 RD @07 + 7374.50ns INFO [00007376] * RD COMPARE * port=1 adr=0E act=B92C627F exp=B92C627F + 7374.50ns INFO [00007376] Port=0 RD @06 + 7374.50ns INFO [00007376] Port=1 RD @12 + 7375.50ns INFO [00007377] * RD COMPARE * port=1 adr=07 act=F1A21D6C exp=F1A21D6C + 7375.50ns INFO [00007377] Port=0 RD @07 + 7375.50ns INFO [00007377] Port=1 RD @19 + 7376.50ns INFO [00007378] * RD COMPARE * port=0 adr=06 act=ED467D5B exp=ED467D5B + 7376.50ns INFO [00007378] * RD COMPARE * port=1 adr=12 act=8BC42C60 exp=8BC42C60 + 7376.50ns INFO [00007378] Port=1 RD @0B + 7377.50ns INFO [00007379] * RD COMPARE * port=0 adr=07 act=F1A21D6C exp=F1A21D6C + 7377.50ns INFO [00007379] * RD COMPARE * port=1 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7377.50ns INFO [00007379] Port=0 RD @0D + 7378.50ns INFO [00007380] * RD COMPARE * port=1 adr=0B act=4F4D2B01 exp=4F4D2B01 + 7378.50ns INFO [00007380] Port=0 WR @0D=E029E100 + 7378.50ns INFO [00007380] Port=0 RD @02 + 7379.50ns INFO [00007381] * RD COMPARE * port=0 adr=0D act=729AA7FD exp=729AA7FD + 7380.50ns INFO [00007382] * RD COMPARE * port=0 adr=02 act=11E440DE exp=11E440DE + 7381.50ns INFO [00007383] Port=0 WR @13=AD8BC841 + 7381.50ns INFO [00007383] Port=0 RD @03 + 7381.50ns INFO [00007383] Port=1 RD @00 + 7382.50ns INFO [00007384] Port=1 RD @06 + 7383.50ns INFO [00007385] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9 + 7383.50ns INFO [00007385] * RD COMPARE * port=1 adr=00 act=6C752446 exp=6C752446 + 7383.50ns INFO [00007385] Port=0 RD @18 + 7384.50ns INFO [00007386] * RD COMPARE * port=1 adr=06 act=ED467D5B exp=ED467D5B + 7385.50ns INFO [00007387] * RD COMPARE * port=0 adr=18 act=3F0B9BB0 exp=3F0B9BB0 + 7385.50ns INFO [00007387] Port=0 RD @1D + 7385.50ns INFO [00007387] Port=1 RD @05 + 7386.50ns INFO [00007388] Port=1 RD @12 + 7387.50ns INFO [00007389] * RD COMPARE * port=0 adr=1D act=2866D4B1 exp=2866D4B1 + 7387.50ns INFO [00007389] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9 + 7387.50ns INFO [00007389] Port=0 WR @14=EC582706 + 7387.50ns INFO [00007389] Port=1 RD @19 + 7388.50ns INFO [00007390] * RD COMPARE * port=1 adr=12 act=8BC42C60 exp=8BC42C60 + 7388.50ns INFO [00007390] Port=0 RD @19 + 7388.50ns INFO [00007390] Port=1 RD @08 + 7389.50ns INFO [00007391] * RD COMPARE * port=1 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7389.50ns INFO [00007391] Port=0 RD @0D + 7390.50ns INFO [00007392] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7390.50ns INFO [00007392] * RD COMPARE * port=1 adr=08 act=729A7BE4 exp=729A7BE4 + 7390.50ns INFO [00007392] Port=1 RD @1D + 7391.50ns INFO [00007393] * RD COMPARE * port=0 adr=0D act=E029E100 exp=E029E100 + 7391.50ns INFO [00007393] Port=1 RD @1B + 7392.50ns INFO [00007394] * RD COMPARE * port=1 adr=1D act=2866D4B1 exp=2866D4B1 + 7392.50ns INFO [00007394] Port=1 RD @0D + 7393.50ns INFO [00007395] * RD COMPARE * port=1 adr=1B act=1AFC1253 exp=1AFC1253 + 7393.50ns INFO [00007395] Port=1 RD @1A + 7394.50ns INFO [00007396] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100 + 7395.50ns INFO [00007397] * RD COMPARE * port=1 adr=1A act=2FC2E50C exp=2FC2E50C + 7396.50ns INFO [00007398] Port=1 RD @0A + 7397.50ns INFO [00007399] Port=0 WR @1E=1B21A479 + 7398.00ns INFO [00007400] [00007400] ...tick... + 7398.50ns INFO [00007400] * RD COMPARE * port=1 adr=0A act=46656B9A exp=46656B9A + 7399.50ns INFO [00007401] Port=0 WR @17=016FF9B0 + 7399.50ns INFO [00007401] Port=0 RD @15 + 7399.50ns INFO [00007401] Port=1 RD @18 + 7400.50ns INFO [00007402] Port=0 WR @17=EC418D28 + 7400.50ns INFO [00007402] Port=0 RD @12 + 7401.50ns INFO [00007403] * RD COMPARE * port=0 adr=15 act=2D62E6E3 exp=2D62E6E3 + 7401.50ns INFO [00007403] * RD COMPARE * port=1 adr=18 act=3F0B9BB0 exp=3F0B9BB0 + 7401.50ns INFO [00007403] Port=0 RD @03 + 7401.50ns INFO [00007403] Port=1 RD @05 + 7402.50ns INFO [00007404] * RD COMPARE * port=0 adr=12 act=8BC42C60 exp=8BC42C60 + 7402.50ns INFO [00007404] Port=0 WR @00=8D678891 + 7403.50ns INFO [00007405] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9 + 7403.50ns INFO [00007405] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9 + 7404.50ns INFO [00007406] Port=1 RD @05 + 7406.50ns INFO [00007408] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9 + 7406.50ns INFO [00007408] Port=0 RD @1F + 7407.50ns INFO [00007409] Port=0 WR @09=EE44AECA + 7407.50ns INFO [00007409] Port=1 RD @08 + 7408.50ns INFO [00007410] * RD COMPARE * port=0 adr=1F act=6CF83455 exp=6CF83455 + 7408.50ns INFO [00007410] Port=0 RD @0F + 7409.50ns INFO [00007411] * RD COMPARE * port=1 adr=08 act=729A7BE4 exp=729A7BE4 + 7409.50ns INFO [00007411] Port=0 RD @03 + 7409.50ns INFO [00007411] Port=1 RD @12 + 7410.50ns INFO [00007412] * RD COMPARE * port=0 adr=0F act=2D630307 exp=2D630307 + 7410.50ns INFO [00007412] Port=1 RD @17 + 7411.50ns INFO [00007413] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9 + 7411.50ns INFO [00007413] * RD COMPARE * port=1 adr=12 act=8BC42C60 exp=8BC42C60 + 7411.50ns INFO [00007413] Port=0 RD @05 + 7412.50ns INFO [00007414] * RD COMPARE * port=1 adr=17 act=EC418D28 exp=EC418D28 + 7412.50ns INFO [00007414] Port=0 WR @0E=AACAE805 + 7412.50ns INFO [00007414] Port=0 RD @19 + 7413.50ns INFO [00007415] * RD COMPARE * port=0 adr=05 act=AEF309B9 exp=AEF309B9 + 7413.50ns INFO [00007415] Port=1 RD @0D + 7414.50ns INFO [00007416] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7415.50ns INFO [00007417] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100 + 7415.50ns INFO [00007417] Port=0 WR @1F=471C6061 + 7416.50ns INFO [00007418] Port=0 WR @05=F945D2A8 + 7417.50ns INFO [00007419] Port=1 RD @0D + 7418.50ns INFO [00007420] Port=0 WR @1C=A664F57E + 7418.50ns INFO [00007420] Port=0 RD @18 + 7419.50ns INFO [00007421] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100 + 7419.50ns INFO [00007421] Port=0 WR @0E=8C3F4F9C + 7419.50ns INFO [00007421] Port=0 RD @0B + 7419.50ns INFO [00007421] Port=1 RD @05 + 7420.50ns INFO [00007422] * RD COMPARE * port=0 adr=18 act=3F0B9BB0 exp=3F0B9BB0 + 7420.50ns INFO [00007422] Port=1 RD @0D + 7421.50ns INFO [00007423] * RD COMPARE * port=0 adr=0B act=4F4D2B01 exp=4F4D2B01 + 7421.50ns INFO [00007423] * RD COMPARE * port=1 adr=05 act=F945D2A8 exp=F945D2A8 + 7421.50ns INFO [00007423] Port=0 WR @08=1ED790D3 + 7422.50ns INFO [00007424] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100 + 7422.50ns INFO [00007424] Port=0 WR @06=362B23C4 + 7423.50ns INFO [00007425] Port=0 WR @01=9850E6F3 + 7424.50ns INFO [00007426] Port=0 RD @04 + 7425.50ns INFO [00007427] Port=0 RD @0B + 7425.50ns INFO [00007427] Port=1 RD @0C + 7426.50ns INFO [00007428] * RD COMPARE * port=0 adr=04 act=9D69488B exp=9D69488B + 7427.50ns INFO [00007429] * RD COMPARE * port=0 adr=0B act=4F4D2B01 exp=4F4D2B01 + 7427.50ns INFO [00007429] * RD COMPARE * port=1 adr=0C act=D49F816C exp=D49F816C + 7427.50ns INFO [00007429] Port=0 WR @0C=1102D7CF + 7428.50ns INFO [00007430] Port=0 WR @00=F13C27F8 + 7429.50ns INFO [00007431] Port=0 WR @05=92F151D3 + 7429.50ns INFO [00007431] Port=0 RD @15 + 7429.50ns INFO [00007431] Port=1 RD @03 + 7431.50ns INFO [00007433] * RD COMPARE * port=0 adr=15 act=2D62E6E3 exp=2D62E6E3 + 7431.50ns INFO [00007433] * RD COMPARE * port=1 adr=03 act=9BD003E9 exp=9BD003E9 + 7431.50ns INFO [00007433] Port=0 WR @1A=F5CC55DF + 7432.50ns INFO [00007434] Port=0 RD @12 + 7434.50ns INFO [00007436] * RD COMPARE * port=0 adr=12 act=8BC42C60 exp=8BC42C60 + 7435.50ns INFO [00007437] Port=0 WR @0C=8F48C811 + 7436.50ns INFO [00007438] Port=0 WR @18=4482FF20 + 7436.50ns INFO [00007438] Port=0 RD @1D + 7437.50ns INFO [00007439] Port=0 WR @05=E5CB6F66 + 7438.50ns INFO [00007440] * RD COMPARE * port=0 adr=1D act=2866D4B1 exp=2866D4B1 + 7438.50ns INFO [00007440] Port=0 RD @0A + 7439.50ns INFO [00007441] Port=0 RD @0C + 7440.50ns INFO [00007442] * RD COMPARE * port=0 adr=0A act=46656B9A exp=46656B9A + 7441.50ns INFO [00007443] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811 + 7441.50ns INFO [00007443] Port=0 WR @05=89E7915D + 7442.50ns INFO [00007444] Port=0 RD @05 + 7442.50ns INFO [00007444] Port=1 RD @0D + 7443.50ns INFO [00007445] Port=0 WR @01=863CA7CF + 7443.50ns INFO [00007445] Port=0 RD @12 + 7443.50ns INFO [00007445] Port=1 RD @1A + 7444.50ns INFO [00007446] * RD COMPARE * port=0 adr=05 act=89E7915D exp=89E7915D + 7444.50ns INFO [00007446] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100 + 7444.50ns INFO [00007446] Port=0 WR @0B=00C4CEA4 + 7444.50ns INFO [00007446] Port=1 RD @05 + 7445.50ns INFO [00007447] * RD COMPARE * port=0 adr=12 act=8BC42C60 exp=8BC42C60 + 7445.50ns INFO [00007447] * RD COMPARE * port=1 adr=1A act=F5CC55DF exp=F5CC55DF + 7445.50ns INFO [00007447] Port=0 RD @06 + 7446.50ns INFO [00007448] * RD COMPARE * port=1 adr=05 act=89E7915D exp=89E7915D + 7446.50ns INFO [00007448] Port=0 WR @0B=5F0E5BDA + 7446.50ns INFO [00007448] Port=0 RD @0F + 7447.50ns INFO [00007449] * RD COMPARE * port=0 adr=06 act=362B23C4 exp=362B23C4 + 7447.50ns INFO [00007449] Port=0 RD @01 + 7448.50ns INFO [00007450] * RD COMPARE * port=0 adr=0F act=2D630307 exp=2D630307 + 7448.50ns INFO [00007450] Port=1 RD @1F + 7449.50ns INFO [00007451] * RD COMPARE * port=0 adr=01 act=863CA7CF exp=863CA7CF + 7449.50ns INFO [00007451] Port=0 RD @1D + 7449.50ns INFO [00007451] Port=1 RD @01 + 7450.50ns INFO [00007452] * RD COMPARE * port=1 adr=1F act=471C6061 exp=471C6061 + 7451.50ns INFO [00007453] * RD COMPARE * port=0 adr=1D act=2866D4B1 exp=2866D4B1 + 7451.50ns INFO [00007453] * RD COMPARE * port=1 adr=01 act=863CA7CF exp=863CA7CF + 7451.50ns INFO [00007453] Port=1 RD @14 + 7452.50ns INFO [00007454] Port=0 RD @1B + 7452.50ns INFO [00007454] Port=1 RD @1C + 7453.50ns INFO [00007455] * RD COMPARE * port=1 adr=14 act=EC582706 exp=EC582706 + 7453.50ns INFO [00007455] Port=0 WR @16=3E61A0DA + 7454.50ns INFO [00007456] * RD COMPARE * port=0 adr=1B act=1AFC1253 exp=1AFC1253 + 7454.50ns INFO [00007456] * RD COMPARE * port=1 adr=1C act=A664F57E exp=A664F57E + 7454.50ns INFO [00007456] Port=1 RD @03 + 7455.50ns INFO [00007457] Port=0 WR @04=F9819642 + 7455.50ns INFO [00007457] Port=0 RD @18 + 7456.50ns INFO [00007458] * RD COMPARE * port=1 adr=03 act=9BD003E9 exp=9BD003E9 + 7456.50ns INFO [00007458] Port=0 WR @0F=30A6AC51 + 7457.50ns INFO [00007459] * RD COMPARE * port=0 adr=18 act=4482FF20 exp=4482FF20 + 7458.50ns INFO [00007460] Port=0 WR @14=08C28120 + 7458.50ns INFO [00007460] Port=0 RD @0F + 7459.50ns INFO [00007461] Port=0 RD @1A + 7459.50ns INFO [00007461] Port=1 RD @0B + 7460.50ns INFO [00007462] * RD COMPARE * port=0 adr=0F act=30A6AC51 exp=30A6AC51 + 7460.50ns INFO [00007462] Port=0 WR @15=9831BE90 + 7460.50ns INFO [00007462] Port=0 RD @01 + 7461.50ns INFO [00007463] * RD COMPARE * port=0 adr=1A act=F5CC55DF exp=F5CC55DF + 7461.50ns INFO [00007463] * RD COMPARE * port=1 adr=0B act=5F0E5BDA exp=5F0E5BDA + 7461.50ns INFO [00007463] Port=0 RD @05 + 7462.50ns INFO [00007464] * RD COMPARE * port=0 adr=01 act=863CA7CF exp=863CA7CF + 7462.50ns INFO [00007464] Port=0 WR @15=7F12E167 + 7462.50ns INFO [00007464] Port=1 RD @1C + 7463.50ns INFO [00007465] * RD COMPARE * port=0 adr=05 act=89E7915D exp=89E7915D + 7463.50ns INFO [00007465] Port=1 RD @0B + 7464.50ns INFO [00007466] * RD COMPARE * port=1 adr=1C act=A664F57E exp=A664F57E + 7465.50ns INFO [00007467] * RD COMPARE * port=1 adr=0B act=5F0E5BDA exp=5F0E5BDA + 7469.50ns INFO [00007471] Port=0 RD @1F + 7469.50ns INFO [00007471] Port=1 RD @1F + 7470.50ns INFO [00007472] Port=1 RD @01 + 7471.50ns INFO [00007473] * RD COMPARE * port=0 adr=1F act=471C6061 exp=471C6061 + 7471.50ns INFO [00007473] * RD COMPARE * port=1 adr=1F act=471C6061 exp=471C6061 + 7472.50ns INFO [00007474] * RD COMPARE * port=1 adr=01 act=863CA7CF exp=863CA7CF + 7473.50ns INFO [00007475] Port=0 RD @01 + 7473.50ns INFO [00007475] Port=1 RD @03 + 7474.50ns INFO [00007476] Port=0 WR @09=24869891 + 7475.50ns INFO [00007477] * RD COMPARE * port=0 adr=01 act=863CA7CF exp=863CA7CF + 7475.50ns INFO [00007477] * RD COMPARE * port=1 adr=03 act=9BD003E9 exp=9BD003E9 + 7476.50ns INFO [00007478] Port=0 RD @0B + 7477.50ns INFO [00007479] Port=0 WR @13=A27FC6B2 + 7477.50ns INFO [00007479] Port=0 RD @02 + 7477.50ns INFO [00007479] Port=1 RD @01 + 7478.50ns INFO [00007480] * RD COMPARE * port=0 adr=0B act=5F0E5BDA exp=5F0E5BDA + 7478.50ns INFO [00007480] Port=0 WR @15=478C589A + 7478.50ns INFO [00007480] Port=0 RD @1D + 7479.50ns INFO [00007481] * RD COMPARE * port=0 adr=02 act=11E440DE exp=11E440DE + 7479.50ns INFO [00007481] * RD COMPARE * port=1 adr=01 act=863CA7CF exp=863CA7CF + 7479.50ns INFO [00007481] Port=0 WR @06=96E030DA + 7479.50ns INFO [00007481] Port=0 RD @03 + 7480.50ns INFO [00007482] * RD COMPARE * port=0 adr=1D act=2866D4B1 exp=2866D4B1 + 7480.50ns INFO [00007482] Port=0 RD @04 + 7481.50ns INFO [00007483] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9 + 7481.50ns INFO [00007483] Port=1 RD @01 + 7482.50ns INFO [00007484] * RD COMPARE * port=0 adr=04 act=F9819642 exp=F9819642 + 7483.50ns INFO [00007485] * RD COMPARE * port=1 adr=01 act=863CA7CF exp=863CA7CF + 7483.50ns INFO [00007485] Port=0 WR @14=B743696C + 7483.50ns INFO [00007485] Port=1 RD @0D + 7484.50ns INFO [00007486] Port=0 WR @0B=C5AD741B + 7485.50ns INFO [00007487] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100 + 7485.50ns INFO [00007487] Port=0 RD @0D + 7485.50ns INFO [00007487] Port=1 RD @1F + 7486.50ns INFO [00007488] Port=0 RD @1A + 7487.50ns INFO [00007489] * RD COMPARE * port=0 adr=0D act=E029E100 exp=E029E100 + 7487.50ns INFO [00007489] * RD COMPARE * port=1 adr=1F act=471C6061 exp=471C6061 + 7487.50ns INFO [00007489] Port=0 RD @19 + 7488.50ns INFO [00007490] * RD COMPARE * port=0 adr=1A act=F5CC55DF exp=F5CC55DF + 7488.50ns INFO [00007490] Port=0 RD @18 + 7488.50ns INFO [00007490] Port=1 RD @09 + 7489.50ns INFO [00007491] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7490.50ns INFO [00007492] * RD COMPARE * port=0 adr=18 act=4482FF20 exp=4482FF20 + 7490.50ns INFO [00007492] * RD COMPARE * port=1 adr=09 act=24869891 exp=24869891 + 7490.50ns INFO [00007492] Port=0 RD @1E + 7490.50ns INFO [00007492] Port=1 RD @19 + 7491.50ns INFO [00007493] Port=0 RD @0E + 7491.50ns INFO [00007493] Port=1 RD @1B + 7492.50ns INFO [00007494] * RD COMPARE * port=0 adr=1E act=1B21A479 exp=1B21A479 + 7492.50ns INFO [00007494] * RD COMPARE * port=1 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7492.50ns INFO [00007494] Port=0 RD @0A + 7493.50ns INFO [00007495] * RD COMPARE * port=0 adr=0E act=8C3F4F9C exp=8C3F4F9C + 7493.50ns INFO [00007495] * RD COMPARE * port=1 adr=1B act=1AFC1253 exp=1AFC1253 + 7493.50ns INFO [00007495] Port=0 RD @0A + 7493.50ns INFO [00007495] Port=1 RD @1E + 7494.50ns INFO [00007496] * RD COMPARE * port=0 adr=0A act=46656B9A exp=46656B9A + 7494.50ns INFO [00007496] Port=1 RD @02 + 7495.50ns INFO [00007497] * RD COMPARE * port=0 adr=0A act=46656B9A exp=46656B9A + 7495.50ns INFO [00007497] * RD COMPARE * port=1 adr=1E act=1B21A479 exp=1B21A479 + 7496.50ns INFO [00007498] * RD COMPARE * port=1 adr=02 act=11E440DE exp=11E440DE + 7496.50ns INFO [00007498] Port=0 WR @10=B92E9A24 + 7496.50ns INFO [00007498] Port=0 RD @07 + 7496.50ns INFO [00007498] Port=1 RD @19 + 7497.50ns INFO [00007499] Port=0 RD @13 + 7498.00ns INFO [00007500] [00007500] ...tick... + 7498.50ns INFO [00007500] * RD COMPARE * port=0 adr=07 act=F1A21D6C exp=F1A21D6C + 7498.50ns INFO [00007500] * RD COMPARE * port=1 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7498.50ns INFO [00007500] Port=1 RD @02 + 7499.50ns INFO [00007501] * RD COMPARE * port=0 adr=13 act=A27FC6B2 exp=A27FC6B2 + 7499.50ns INFO [00007501] Port=0 WR @0B=03EECEF0 + 7499.50ns INFO [00007501] Port=1 RD @08 + 7500.50ns INFO [00007502] * RD COMPARE * port=1 adr=02 act=11E440DE exp=11E440DE + 7500.50ns INFO [00007502] Port=0 WR @02=7D057A9E + 7500.50ns INFO [00007502] Port=0 RD @16 + 7501.50ns INFO [00007503] * RD COMPARE * port=1 adr=08 act=1ED790D3 exp=1ED790D3 + 7501.50ns INFO [00007503] Port=0 WR @1E=8F300693 + 7502.50ns INFO [00007504] * RD COMPARE * port=0 adr=16 act=3E61A0DA exp=3E61A0DA + 7502.50ns INFO [00007504] Port=0 RD @17 + 7504.50ns INFO [00007506] * RD COMPARE * port=0 adr=17 act=EC418D28 exp=EC418D28 + 7504.50ns INFO [00007506] Port=0 WR @1D=F96DB92A + 7504.50ns INFO [00007506] Port=1 RD @1A + 7505.50ns INFO [00007507] Port=0 WR @11=510C98A0 + 7505.50ns INFO [00007507] Port=1 RD @1E + 7506.50ns INFO [00007508] * RD COMPARE * port=1 adr=1A act=F5CC55DF exp=F5CC55DF + 7506.50ns INFO [00007508] Port=0 RD @13 + 7506.50ns INFO [00007508] Port=1 RD @0F + 7507.50ns INFO [00007509] * RD COMPARE * port=1 adr=1E act=8F300693 exp=8F300693 + 7508.50ns INFO [00007510] * RD COMPARE * port=0 adr=13 act=A27FC6B2 exp=A27FC6B2 + 7508.50ns INFO [00007510] * RD COMPARE * port=1 adr=0F act=30A6AC51 exp=30A6AC51 + 7508.50ns INFO [00007510] Port=0 WR @1A=3748AE15 + 7509.50ns INFO [00007511] Port=0 WR @0A=73DEC569 + 7509.50ns INFO [00007511] Port=0 RD @1D + 7510.50ns INFO [00007512] Port=0 WR @07=A663425C + 7510.50ns INFO [00007512] Port=1 RD @1B + 7511.50ns INFO [00007513] * RD COMPARE * port=0 adr=1D act=F96DB92A exp=F96DB92A + 7512.50ns INFO [00007514] * RD COMPARE * port=1 adr=1B act=1AFC1253 exp=1AFC1253 + 7512.50ns INFO [00007514] Port=0 WR @14=B3EF581D + 7512.50ns INFO [00007514] Port=0 RD @0B + 7512.50ns INFO [00007514] Port=1 RD @00 + 7514.50ns INFO [00007516] * RD COMPARE * port=0 adr=0B act=03EECEF0 exp=03EECEF0 + 7514.50ns INFO [00007516] * RD COMPARE * port=1 adr=00 act=F13C27F8 exp=F13C27F8 + 7514.50ns INFO [00007516] Port=1 RD @01 + 7515.50ns INFO [00007517] Port=1 RD @02 + 7516.50ns INFO [00007518] * RD COMPARE * port=1 adr=01 act=863CA7CF exp=863CA7CF + 7516.50ns INFO [00007518] Port=0 RD @1A + 7517.50ns INFO [00007519] * RD COMPARE * port=1 adr=02 act=7D057A9E exp=7D057A9E + 7517.50ns INFO [00007519] Port=0 RD @1D + 7517.50ns INFO [00007519] Port=1 RD @10 + 7518.50ns INFO [00007520] * RD COMPARE * port=0 adr=1A act=3748AE15 exp=3748AE15 + 7518.50ns INFO [00007520] Port=0 WR @0E=62001086 + 7519.50ns INFO [00007521] * RD COMPARE * port=0 adr=1D act=F96DB92A exp=F96DB92A + 7519.50ns INFO [00007521] * RD COMPARE * port=1 adr=10 act=B92E9A24 exp=B92E9A24 + 7519.50ns INFO [00007521] Port=0 WR @12=39268F0A + 7519.50ns INFO [00007521] Port=0 RD @0C + 7520.50ns INFO [00007522] Port=0 WR @04=F364A052 + 7521.50ns INFO [00007523] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811 + 7524.50ns INFO [00007526] Port=0 WR @17=C1CE6F91 + 7524.50ns INFO [00007526] Port=1 RD @07 + 7525.50ns INFO [00007527] Port=0 WR @05=7A9538FA + 7526.50ns INFO [00007528] * RD COMPARE * port=1 adr=07 act=A663425C exp=A663425C + 7526.50ns INFO [00007528] Port=0 WR @0B=AFCC4331 + 7526.50ns INFO [00007528] Port=1 RD @0D + 7527.50ns INFO [00007529] Port=1 RD @09 + 7528.50ns INFO [00007530] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100 + 7528.50ns INFO [00007530] Port=0 RD @1C + 7529.50ns INFO [00007531] * RD COMPARE * port=1 adr=09 act=24869891 exp=24869891 + 7529.50ns INFO [00007531] Port=0 RD @1A + 7529.50ns INFO [00007531] Port=1 RD @13 + 7530.50ns INFO [00007532] * RD COMPARE * port=0 adr=1C act=A664F57E exp=A664F57E + 7530.50ns INFO [00007532] Port=0 WR @0D=0FFC3DD3 + 7530.50ns INFO [00007532] Port=0 RD @09 + 7531.50ns INFO [00007533] * RD COMPARE * port=0 adr=1A act=3748AE15 exp=3748AE15 + 7531.50ns INFO [00007533] * RD COMPARE * port=1 adr=13 act=A27FC6B2 exp=A27FC6B2 + 7531.50ns INFO [00007533] Port=0 RD @03 + 7532.50ns INFO [00007534] * RD COMPARE * port=0 adr=09 act=24869891 exp=24869891 + 7532.50ns INFO [00007534] Port=1 RD @07 + 7533.50ns INFO [00007535] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9 + 7533.50ns INFO [00007535] Port=0 RD @08 + 7533.50ns INFO [00007535] Port=1 RD @06 + 7534.50ns INFO [00007536] * RD COMPARE * port=1 adr=07 act=A663425C exp=A663425C + 7535.50ns INFO [00007537] * RD COMPARE * port=0 adr=08 act=1ED790D3 exp=1ED790D3 + 7535.50ns INFO [00007537] * RD COMPARE * port=1 adr=06 act=96E030DA exp=96E030DA + 7535.50ns INFO [00007537] Port=0 WR @09=7A00BBCA + 7536.50ns INFO [00007538] Port=1 RD @18 + 7537.50ns INFO [00007539] Port=1 RD @18 + 7538.50ns INFO [00007540] * RD COMPARE * port=1 adr=18 act=4482FF20 exp=4482FF20 + 7538.50ns INFO [00007540] Port=0 RD @0C + 7538.50ns INFO [00007540] Port=1 RD @08 + 7539.50ns INFO [00007541] * RD COMPARE * port=1 adr=18 act=4482FF20 exp=4482FF20 + 7539.50ns INFO [00007541] Port=0 WR @1F=7D661F5E + 7539.50ns INFO [00007541] Port=0 RD @19 + 7540.50ns INFO [00007542] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811 + 7540.50ns INFO [00007542] * RD COMPARE * port=1 adr=08 act=1ED790D3 exp=1ED790D3 + 7540.50ns INFO [00007542] Port=1 RD @04 + 7541.50ns INFO [00007543] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7541.50ns INFO [00007543] Port=1 RD @1E + 7542.50ns INFO [00007544] * RD COMPARE * port=1 adr=04 act=F364A052 exp=F364A052 + 7543.50ns INFO [00007545] * RD COMPARE * port=1 adr=1E act=8F300693 exp=8F300693 + 7543.50ns INFO [00007545] Port=0 WR @1C=FF4231BF + 7544.50ns INFO [00007546] Port=0 RD @1F + 7546.50ns INFO [00007548] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E + 7546.50ns INFO [00007548] Port=0 RD @07 + 7546.50ns INFO [00007548] Port=1 RD @09 + 7547.50ns INFO [00007549] Port=0 WR @00=51BD4C1F + 7547.50ns INFO [00007549] Port=1 RD @07 + 7548.50ns INFO [00007550] * RD COMPARE * port=0 adr=07 act=A663425C exp=A663425C + 7548.50ns INFO [00007550] * RD COMPARE * port=1 adr=09 act=7A00BBCA exp=7A00BBCA + 7548.50ns INFO [00007550] Port=0 WR @1A=45F8D6FB + 7548.50ns INFO [00007550] Port=1 RD @18 + 7549.50ns INFO [00007551] * RD COMPARE * port=1 adr=07 act=A663425C exp=A663425C + 7549.50ns INFO [00007551] Port=0 WR @0A=6A12090D + 7549.50ns INFO [00007551] Port=0 RD @01 + 7549.50ns INFO [00007551] Port=1 RD @16 + 7550.50ns INFO [00007552] * RD COMPARE * port=1 adr=18 act=4482FF20 exp=4482FF20 + 7551.50ns INFO [00007553] * RD COMPARE * port=0 adr=01 act=863CA7CF exp=863CA7CF + 7551.50ns INFO [00007553] * RD COMPARE * port=1 adr=16 act=3E61A0DA exp=3E61A0DA + 7551.50ns INFO [00007553] Port=0 WR @0F=8C7AA64B + 7552.50ns INFO [00007554] Port=0 RD @0C + 7553.50ns INFO [00007555] Port=0 WR @1D=ED9C184B + 7553.50ns INFO [00007555] Port=1 RD @03 + 7554.50ns INFO [00007556] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811 + 7554.50ns INFO [00007556] Port=0 WR @05=EF5229A9 + 7555.50ns INFO [00007557] * RD COMPARE * port=1 adr=03 act=9BD003E9 exp=9BD003E9 + 7555.50ns INFO [00007557] Port=0 WR @1D=B52F0BEC + 7556.50ns INFO [00007558] Port=0 WR @06=C434BAAB + 7557.50ns INFO [00007559] Port=0 RD @16 + 7557.50ns INFO [00007559] Port=1 RD @18 + 7558.50ns INFO [00007560] Port=1 RD @07 + 7559.50ns INFO [00007561] * RD COMPARE * port=0 adr=16 act=3E61A0DA exp=3E61A0DA + 7559.50ns INFO [00007561] * RD COMPARE * port=1 adr=18 act=4482FF20 exp=4482FF20 + 7559.50ns INFO [00007561] Port=0 WR @0F=CE9D66BE + 7559.50ns INFO [00007561] Port=0 RD @0C + 7559.50ns INFO [00007561] Port=1 RD @17 + 7560.50ns INFO [00007562] * RD COMPARE * port=1 adr=07 act=A663425C exp=A663425C + 7560.50ns INFO [00007562] Port=0 RD @0D + 7561.50ns INFO [00007563] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811 + 7561.50ns INFO [00007563] * RD COMPARE * port=1 adr=17 act=C1CE6F91 exp=C1CE6F91 + 7561.50ns INFO [00007563] Port=1 RD @17 + 7562.50ns INFO [00007564] * RD COMPARE * port=0 adr=0D act=0FFC3DD3 exp=0FFC3DD3 + 7563.50ns INFO [00007565] * RD COMPARE * port=1 adr=17 act=C1CE6F91 exp=C1CE6F91 + 7563.50ns INFO [00007565] Port=0 WR @08=06242247 + 7563.50ns INFO [00007565] Port=0 RD @07 + 7563.50ns INFO [00007565] Port=1 RD @1E + 7564.50ns INFO [00007566] Port=1 RD @13 + 7565.50ns INFO [00007567] * RD COMPARE * port=0 adr=07 act=A663425C exp=A663425C + 7565.50ns INFO [00007567] * RD COMPARE * port=1 adr=1E act=8F300693 exp=8F300693 + 7565.50ns INFO [00007567] Port=0 RD @15 + 7565.50ns INFO [00007567] Port=1 RD @15 + 7566.50ns INFO [00007568] * RD COMPARE * port=1 adr=13 act=A27FC6B2 exp=A27FC6B2 + 7566.50ns INFO [00007568] Port=0 WR @0F=496BBBD1 + 7566.50ns INFO [00007568] Port=0 RD @1F + 7566.50ns INFO [00007568] Port=1 RD @13 + 7567.50ns INFO [00007569] * RD COMPARE * port=0 adr=15 act=478C589A exp=478C589A + 7567.50ns INFO [00007569] * RD COMPARE * port=1 adr=15 act=478C589A exp=478C589A + 7568.50ns INFO [00007570] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E + 7568.50ns INFO [00007570] * RD COMPARE * port=1 adr=13 act=A27FC6B2 exp=A27FC6B2 + 7568.50ns INFO [00007570] Port=0 RD @05 + 7568.50ns INFO [00007570] Port=1 RD @1D + 7569.50ns INFO [00007571] Port=0 WR @01=C29B455A + 7570.50ns INFO [00007572] * RD COMPARE * port=0 adr=05 act=EF5229A9 exp=EF5229A9 + 7570.50ns INFO [00007572] * RD COMPARE * port=1 adr=1D act=B52F0BEC exp=B52F0BEC + 7570.50ns INFO [00007572] Port=0 WR @09=5D65FAF0 + 7570.50ns INFO [00007572] Port=1 RD @14 + 7571.50ns INFO [00007573] Port=0 WR @0F=0469507E + 7572.50ns INFO [00007574] * RD COMPARE * port=1 adr=14 act=B3EF581D exp=B3EF581D + 7573.50ns INFO [00007575] Port=0 RD @06 + 7573.50ns INFO [00007575] Port=1 RD @1C + 7574.50ns INFO [00007576] Port=0 RD @02 + 7575.50ns INFO [00007577] * RD COMPARE * port=0 adr=06 act=C434BAAB exp=C434BAAB + 7575.50ns INFO [00007577] * RD COMPARE * port=1 adr=1C act=FF4231BF exp=FF4231BF + 7575.50ns INFO [00007577] Port=0 RD @01 + 7576.50ns INFO [00007578] * RD COMPARE * port=0 adr=02 act=7D057A9E exp=7D057A9E + 7577.50ns INFO [00007579] * RD COMPARE * port=0 adr=01 act=C29B455A exp=C29B455A + 7577.50ns INFO [00007579] Port=1 RD @04 + 7579.50ns INFO [00007581] * RD COMPARE * port=1 adr=04 act=F364A052 exp=F364A052 + 7579.50ns INFO [00007581] Port=0 WR @0E=8B02E5C5 + 7580.50ns INFO [00007582] Port=0 WR @12=BCA79B3F + 7580.50ns INFO [00007582] Port=0 RD @1B + 7582.50ns INFO [00007584] * RD COMPARE * port=0 adr=1B act=1AFC1253 exp=1AFC1253 + 7582.50ns INFO [00007584] Port=0 WR @07=863AAA70 + 7582.50ns INFO [00007584] Port=1 RD @16 + 7583.50ns INFO [00007585] Port=1 RD @1D + 7584.50ns INFO [00007586] * RD COMPARE * port=1 adr=16 act=3E61A0DA exp=3E61A0DA + 7584.50ns INFO [00007586] Port=0 WR @0E=AC965FF3 + 7585.50ns INFO [00007587] * RD COMPARE * port=1 adr=1D act=B52F0BEC exp=B52F0BEC + 7586.50ns INFO [00007588] Port=1 RD @01 + 7587.50ns INFO [00007589] Port=1 RD @14 + 7588.50ns INFO [00007590] * RD COMPARE * port=1 adr=01 act=C29B455A exp=C29B455A + 7588.50ns INFO [00007590] Port=0 RD @12 + 7589.50ns INFO [00007591] * RD COMPARE * port=1 adr=14 act=B3EF581D exp=B3EF581D + 7589.50ns INFO [00007591] Port=0 RD @1D + 7590.50ns INFO [00007592] * RD COMPARE * port=0 adr=12 act=BCA79B3F exp=BCA79B3F + 7590.50ns INFO [00007592] Port=0 WR @15=7D89196C + 7591.50ns INFO [00007593] * RD COMPARE * port=0 adr=1D act=B52F0BEC exp=B52F0BEC + 7591.50ns INFO [00007593] Port=0 WR @00=F836EA16 + 7591.50ns INFO [00007593] Port=0 RD @14 + 7593.50ns INFO [00007595] * RD COMPARE * port=0 adr=14 act=B3EF581D exp=B3EF581D + 7593.50ns INFO [00007595] Port=0 RD @1B + 7593.50ns INFO [00007595] Port=1 RD @13 + 7594.50ns INFO [00007596] Port=0 RD @18 + 7594.50ns INFO [00007596] Port=1 RD @0B + 7595.50ns INFO [00007597] * RD COMPARE * port=0 adr=1B act=1AFC1253 exp=1AFC1253 + 7595.50ns INFO [00007597] * RD COMPARE * port=1 adr=13 act=A27FC6B2 exp=A27FC6B2 + 7595.50ns INFO [00007597] Port=0 WR @17=F2461FCE + 7595.50ns INFO [00007597] Port=1 RD @10 + 7596.50ns INFO [00007598] * RD COMPARE * port=0 adr=18 act=4482FF20 exp=4482FF20 + 7596.50ns INFO [00007598] * RD COMPARE * port=1 adr=0B act=AFCC4331 exp=AFCC4331 + 7596.50ns INFO [00007598] Port=0 WR @1D=BE459F34 + 7596.50ns INFO [00007598] Port=1 RD @0A + 7597.50ns INFO [00007599] * RD COMPARE * port=1 adr=10 act=B92E9A24 exp=B92E9A24 + 7597.50ns INFO [00007599] Port=0 WR @0A=83045381 + 7597.50ns INFO [00007599] Port=0 RD @15 + 7598.00ns INFO [00007600] [00007600] ...tick... + 7598.50ns INFO [00007600] * RD COMPARE * port=1 adr=0A act=6A12090D exp=6A12090D + 7599.50ns INFO [00007601] * RD COMPARE * port=0 adr=15 act=7D89196C exp=7D89196C + 7600.50ns INFO [00007602] Port=0 WR @11=2D4B006B + 7602.50ns INFO [00007604] Port=0 WR @02=FA2D0010 + 7602.50ns INFO [00007604] Port=0 RD @05 + 7602.50ns INFO [00007604] Port=1 RD @0F + 7603.50ns INFO [00007605] Port=0 WR @17=6626937B + 7604.50ns INFO [00007606] * RD COMPARE * port=0 adr=05 act=EF5229A9 exp=EF5229A9 + 7604.50ns INFO [00007606] * RD COMPARE * port=1 adr=0F act=0469507E exp=0469507E + 7604.50ns INFO [00007606] Port=0 RD @13 + 7604.50ns INFO [00007606] Port=1 RD @0D + 7605.50ns INFO [00007607] Port=1 RD @07 + 7606.50ns INFO [00007608] * RD COMPARE * port=0 adr=13 act=A27FC6B2 exp=A27FC6B2 + 7606.50ns INFO [00007608] * RD COMPARE * port=1 adr=0D act=0FFC3DD3 exp=0FFC3DD3 + 7606.50ns INFO [00007608] Port=0 RD @1F + 7607.50ns INFO [00007609] * RD COMPARE * port=1 adr=07 act=863AAA70 exp=863AAA70 + 7607.50ns INFO [00007609] Port=0 RD @07 + 7608.50ns INFO [00007610] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E + 7609.50ns INFO [00007611] * RD COMPARE * port=0 adr=07 act=863AAA70 exp=863AAA70 + 7609.50ns INFO [00007611] Port=0 WR @0E=205AAA16 + 7609.50ns INFO [00007611] Port=1 RD @09 + 7610.50ns INFO [00007612] Port=0 WR @05=7FE97E28 + 7610.50ns INFO [00007612] Port=0 RD @14 + 7611.50ns INFO [00007613] * RD COMPARE * port=1 adr=09 act=5D65FAF0 exp=5D65FAF0 + 7611.50ns INFO [00007613] Port=0 WR @0A=98BF4B36 + 7611.50ns INFO [00007613] Port=1 RD @02 + 7612.50ns INFO [00007614] * RD COMPARE * port=0 adr=14 act=B3EF581D exp=B3EF581D + 7612.50ns INFO [00007614] Port=0 WR @09=B7B7E186 + 7612.50ns INFO [00007614] Port=1 RD @1E + 7613.50ns INFO [00007615] * RD COMPARE * port=1 adr=02 act=FA2D0010 exp=FA2D0010 + 7613.50ns INFO [00007615] Port=0 RD @1D + 7613.50ns INFO [00007615] Port=1 RD @0E + 7614.50ns INFO [00007616] * RD COMPARE * port=1 adr=1E act=8F300693 exp=8F300693 + 7615.50ns INFO [00007617] * RD COMPARE * port=0 adr=1D act=BE459F34 exp=BE459F34 + 7615.50ns INFO [00007617] * RD COMPARE * port=1 adr=0E act=205AAA16 exp=205AAA16 + 7615.50ns INFO [00007617] Port=0 WR @00=F988544C + 7615.50ns INFO [00007617] Port=0 RD @1C + 7617.50ns INFO [00007619] * RD COMPARE * port=0 adr=1C act=FF4231BF exp=FF4231BF + 7617.50ns INFO [00007619] Port=0 WR @04=8377BE9A + 7618.50ns INFO [00007620] Port=0 WR @06=E62D1E16 + 7618.50ns INFO [00007620] Port=0 RD @11 + 7619.50ns INFO [00007621] Port=0 WR @05=94BE35E6 + 7620.50ns INFO [00007622] * RD COMPARE * port=0 adr=11 act=2D4B006B exp=2D4B006B + 7620.50ns INFO [00007622] Port=1 RD @02 + 7621.50ns INFO [00007623] Port=0 WR @1D=47E32D6B + 7621.50ns INFO [00007623] Port=0 RD @06 + 7622.50ns INFO [00007624] * RD COMPARE * port=1 adr=02 act=FA2D0010 exp=FA2D0010 + 7622.50ns INFO [00007624] Port=0 WR @09=7FDD6239 + 7622.50ns INFO [00007624] Port=1 RD @18 + 7623.50ns INFO [00007625] * RD COMPARE * port=0 adr=06 act=E62D1E16 exp=E62D1E16 + 7623.50ns INFO [00007625] Port=0 WR @09=C81EC3DC + 7623.50ns INFO [00007625] Port=1 RD @0B + 7624.50ns INFO [00007626] * RD COMPARE * port=1 adr=18 act=4482FF20 exp=4482FF20 + 7624.50ns INFO [00007626] Port=1 RD @08 + 7625.50ns INFO [00007627] * RD COMPARE * port=1 adr=0B act=AFCC4331 exp=AFCC4331 + 7625.50ns INFO [00007627] Port=0 RD @05 + 7625.50ns INFO [00007627] Port=1 RD @16 + 7626.50ns INFO [00007628] * RD COMPARE * port=1 adr=08 act=06242247 exp=06242247 + 7626.50ns INFO [00007628] Port=1 RD @1B + 7627.50ns INFO [00007629] * RD COMPARE * port=0 adr=05 act=94BE35E6 exp=94BE35E6 + 7627.50ns INFO [00007629] * RD COMPARE * port=1 adr=16 act=3E61A0DA exp=3E61A0DA + 7628.50ns INFO [00007630] * RD COMPARE * port=1 adr=1B act=1AFC1253 exp=1AFC1253 + 7628.50ns INFO [00007630] Port=0 RD @0F + 7630.50ns INFO [00007632] * RD COMPARE * port=0 adr=0F act=0469507E exp=0469507E + 7631.50ns INFO [00007633] Port=0 RD @1A + 7633.50ns INFO [00007635] * RD COMPARE * port=0 adr=1A act=45F8D6FB exp=45F8D6FB + 7633.50ns INFO [00007635] Port=1 RD @1D + 7634.50ns INFO [00007636] Port=0 WR @18=CA128C08 + 7634.50ns INFO [00007636] Port=1 RD @08 + 7635.50ns INFO [00007637] * RD COMPARE * port=1 adr=1D act=47E32D6B exp=47E32D6B + 7635.50ns INFO [00007637] Port=0 RD @1B + 7636.50ns INFO [00007638] * RD COMPARE * port=1 adr=08 act=06242247 exp=06242247 + 7636.50ns INFO [00007638] Port=0 WR @1B=B6D6193D + 7637.50ns INFO [00007639] * RD COMPARE * port=0 adr=1B act=1AFC1253 exp=1AFC1253 + 7637.50ns INFO [00007639] Port=0 RD @1F + 7638.50ns INFO [00007640] Port=0 WR @12=B3102C19 + 7638.50ns INFO [00007640] Port=0 RD @17 + 7639.50ns INFO [00007641] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E + 7640.50ns INFO [00007642] * RD COMPARE * port=0 adr=17 act=6626937B exp=6626937B + 7641.50ns INFO [00007643] Port=0 WR @1E=134D68B9 + 7641.50ns INFO [00007643] Port=1 RD @15 + 7642.50ns INFO [00007644] Port=0 WR @13=ABE216DD + 7642.50ns INFO [00007644] Port=1 RD @14 + 7643.50ns INFO [00007645] * RD COMPARE * port=1 adr=15 act=7D89196C exp=7D89196C + 7644.50ns INFO [00007646] * RD COMPARE * port=1 adr=14 act=B3EF581D exp=B3EF581D + 7645.50ns INFO [00007647] Port=0 RD @12 + 7645.50ns INFO [00007647] Port=1 RD @07 + 7646.50ns INFO [00007648] Port=0 RD @03 + 7647.50ns INFO [00007649] * RD COMPARE * port=0 adr=12 act=B3102C19 exp=B3102C19 + 7647.50ns INFO [00007649] * RD COMPARE * port=1 adr=07 act=863AAA70 exp=863AAA70 + 7647.50ns INFO [00007649] Port=0 WR @00=4671A17D + 7647.50ns INFO [00007649] Port=0 RD @07 + 7648.50ns INFO [00007650] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9 + 7649.50ns INFO [00007651] * RD COMPARE * port=0 adr=07 act=863AAA70 exp=863AAA70 + 7649.50ns INFO [00007651] Port=0 RD @04 + 7649.50ns INFO [00007651] Port=1 RD @03 + 7650.50ns INFO [00007652] Port=0 WR @03=15031C14 + 7651.50ns INFO [00007653] * RD COMPARE * port=0 adr=04 act=8377BE9A exp=8377BE9A + 7651.50ns INFO [00007653] * RD COMPARE * port=1 adr=03 act=9BD003E9 exp=9BD003E9 + 7652.50ns INFO [00007654] Port=0 WR @04=1E939911 + 7652.50ns INFO [00007654] Port=1 RD @06 + 7653.50ns INFO [00007655] Port=0 WR @1E=D47CF199 + 7653.50ns INFO [00007655] Port=1 RD @11 + 7654.50ns INFO [00007656] * RD COMPARE * port=1 adr=06 act=E62D1E16 exp=E62D1E16 + 7654.50ns INFO [00007656] Port=0 WR @14=36A34F5E + 7655.50ns INFO [00007657] * RD COMPARE * port=1 adr=11 act=2D4B006B exp=2D4B006B + 7655.50ns INFO [00007657] Port=0 WR @1C=828601FC + 7655.50ns INFO [00007657] Port=1 RD @0F + 7656.50ns INFO [00007658] Port=0 WR @15=F710A783 + 7656.50ns INFO [00007658] Port=0 RD @13 + 7656.50ns INFO [00007658] Port=1 RD @14 + 7657.50ns INFO [00007659] * RD COMPARE * port=1 adr=0F act=0469507E exp=0469507E + 7657.50ns INFO [00007659] Port=0 WR @1D=4A47C13E + 7658.50ns INFO [00007660] * RD COMPARE * port=0 adr=13 act=ABE216DD exp=ABE216DD + 7658.50ns INFO [00007660] * RD COMPARE * port=1 adr=14 act=36A34F5E exp=36A34F5E + 7658.50ns INFO [00007660] Port=0 WR @0E=6047FF10 + 7658.50ns INFO [00007660] Port=0 RD @1E + 7658.50ns INFO [00007660] Port=1 RD @11 + 7660.50ns INFO [00007662] * RD COMPARE * port=0 adr=1E act=D47CF199 exp=D47CF199 + 7660.50ns INFO [00007662] * RD COMPARE * port=1 adr=11 act=2D4B006B exp=2D4B006B + 7662.50ns INFO [00007664] Port=1 RD @1B + 7663.50ns INFO [00007665] Port=1 RD @08 + 7664.50ns INFO [00007666] * RD COMPARE * port=1 adr=1B act=B6D6193D exp=B6D6193D + 7664.50ns INFO [00007666] Port=0 WR @1D=6FAE18A0 + 7664.50ns INFO [00007666] Port=0 RD @1B + 7665.50ns INFO [00007667] * RD COMPARE * port=1 adr=08 act=06242247 exp=06242247 + 7665.50ns INFO [00007667] Port=1 RD @04 + 7666.50ns INFO [00007668] * RD COMPARE * port=0 adr=1B act=B6D6193D exp=B6D6193D + 7666.50ns INFO [00007668] Port=0 WR @1C=0314C360 + 7666.50ns INFO [00007668] Port=0 RD @14 + 7666.50ns INFO [00007668] Port=1 RD @09 + 7667.50ns INFO [00007669] * RD COMPARE * port=1 adr=04 act=1E939911 exp=1E939911 + 7667.50ns INFO [00007669] Port=0 WR @10=274EE69B + 7667.50ns INFO [00007669] Port=1 RD @0A + 7668.50ns INFO [00007670] * RD COMPARE * port=0 adr=14 act=36A34F5E exp=36A34F5E + 7668.50ns INFO [00007670] * RD COMPARE * port=1 adr=09 act=C81EC3DC exp=C81EC3DC + 7668.50ns INFO [00007670] Port=0 WR @12=8A2DB95B + 7669.50ns INFO [00007671] * RD COMPARE * port=1 adr=0A act=98BF4B36 exp=98BF4B36 + 7669.50ns INFO [00007671] Port=0 WR @02=36A12437 + 7669.50ns INFO [00007671] Port=0 RD @0C + 7669.50ns INFO [00007671] Port=1 RD @0E + 7671.50ns INFO [00007673] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811 + 7671.50ns INFO [00007673] * RD COMPARE * port=1 adr=0E act=6047FF10 exp=6047FF10 + 7671.50ns INFO [00007673] Port=0 RD @02 + 7671.50ns INFO [00007673] Port=1 RD @1C + 7672.50ns INFO [00007674] Port=0 RD @08 + 7672.50ns INFO [00007674] Port=1 RD @01 + 7673.50ns INFO [00007675] * RD COMPARE * port=0 adr=02 act=36A12437 exp=36A12437 + 7673.50ns INFO [00007675] * RD COMPARE * port=1 adr=1C act=0314C360 exp=0314C360 + 7673.50ns INFO [00007675] Port=1 RD @11 + 7674.50ns INFO [00007676] * RD COMPARE * port=0 adr=08 act=06242247 exp=06242247 + 7674.50ns INFO [00007676] * RD COMPARE * port=1 adr=01 act=C29B455A exp=C29B455A + 7674.50ns INFO [00007676] Port=0 WR @09=3AA79D3A + 7674.50ns INFO [00007676] Port=0 RD @01 + 7675.50ns INFO [00007677] * RD COMPARE * port=1 adr=11 act=2D4B006B exp=2D4B006B + 7675.50ns INFO [00007677] Port=1 RD @0D + 7676.50ns INFO [00007678] * RD COMPARE * port=0 adr=01 act=C29B455A exp=C29B455A + 7676.50ns INFO [00007678] Port=0 WR @0B=0B0C8616 + 7676.50ns INFO [00007678] Port=0 RD @19 + 7677.50ns INFO [00007679] * RD COMPARE * port=1 adr=0D act=0FFC3DD3 exp=0FFC3DD3 + 7677.50ns INFO [00007679] Port=0 WR @13=340E7066 + 7677.50ns INFO [00007679] Port=1 RD @0C + 7678.50ns INFO [00007680] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3 + 7678.50ns INFO [00007680] Port=1 RD @0D + 7679.50ns INFO [00007681] * RD COMPARE * port=1 adr=0C act=8F48C811 exp=8F48C811 + 7679.50ns INFO [00007681] Port=0 WR @19=996E3B43 + 7680.50ns INFO [00007682] * RD COMPARE * port=1 adr=0D act=0FFC3DD3 exp=0FFC3DD3 + 7680.50ns INFO [00007682] Port=0 WR @0D=6243CCDF + 7681.50ns INFO [00007683] Port=0 RD @08 + 7681.50ns INFO [00007683] Port=1 RD @0B + 7682.50ns INFO [00007684] Port=0 RD @1E + 7683.50ns INFO [00007685] * RD COMPARE * port=0 adr=08 act=06242247 exp=06242247 + 7683.50ns INFO [00007685] * RD COMPARE * port=1 adr=0B act=0B0C8616 exp=0B0C8616 + 7684.50ns INFO [00007686] * RD COMPARE * port=0 adr=1E act=D47CF199 exp=D47CF199 + 7685.50ns INFO [00007687] Port=0 WR @01=2EB02C07 + 7685.50ns INFO [00007687] Port=0 RD @11 + 7685.50ns INFO [00007687] Port=1 RD @12 + 7686.50ns INFO [00007688] Port=0 WR @04=66C742B6 + 7686.50ns INFO [00007688] Port=0 RD @0E + 7687.50ns INFO [00007689] * RD COMPARE * port=0 adr=11 act=2D4B006B exp=2D4B006B + 7687.50ns INFO [00007689] * RD COMPARE * port=1 adr=12 act=8A2DB95B exp=8A2DB95B + 7687.50ns INFO [00007689] Port=0 RD @19 + 7688.50ns INFO [00007690] * RD COMPARE * port=0 adr=0E act=6047FF10 exp=6047FF10 + 7688.50ns INFO [00007690] Port=1 RD @04 + 7689.50ns INFO [00007691] * RD COMPARE * port=0 adr=19 act=996E3B43 exp=996E3B43 + 7689.50ns INFO [00007691] Port=0 WR @1E=CB71B237 + 7689.50ns INFO [00007691] Port=0 RD @0F + 7689.50ns INFO [00007691] Port=1 RD @01 + 7690.50ns INFO [00007692] * RD COMPARE * port=1 adr=04 act=66C742B6 exp=66C742B6 + 7690.50ns INFO [00007692] Port=1 RD @07 + 7691.50ns INFO [00007693] * RD COMPARE * port=0 adr=0F act=0469507E exp=0469507E + 7691.50ns INFO [00007693] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07 + 7691.50ns INFO [00007693] Port=0 RD @00 + 7691.50ns INFO [00007693] Port=1 RD @16 + 7692.50ns INFO [00007694] * RD COMPARE * port=1 adr=07 act=863AAA70 exp=863AAA70 + 7692.50ns INFO [00007694] Port=0 RD @05 + 7693.50ns INFO [00007695] * RD COMPARE * port=0 adr=00 act=4671A17D exp=4671A17D + 7693.50ns INFO [00007695] * RD COMPARE * port=1 adr=16 act=3E61A0DA exp=3E61A0DA + 7693.50ns INFO [00007695] Port=0 WR @1A=64878985 + 7694.50ns INFO [00007696] * RD COMPARE * port=0 adr=05 act=94BE35E6 exp=94BE35E6 + 7694.50ns INFO [00007696] Port=0 WR @1D=F6553DE3 + 7694.50ns INFO [00007696] Port=0 RD @02 + 7695.50ns INFO [00007697] Port=0 RD @11 + 7696.50ns INFO [00007698] * RD COMPARE * port=0 adr=02 act=36A12437 exp=36A12437 + 7697.50ns INFO [00007699] * RD COMPARE * port=0 adr=11 act=2D4B006B exp=2D4B006B + 7697.50ns INFO [00007699] Port=0 WR @02=91FD2CF8 + 7697.50ns INFO [00007699] Port=1 RD @1A + 7698.00ns INFO [00007700] [00007700] ...tick... + 7698.50ns INFO [00007700] Port=0 WR @17=9949ADA5 + 7698.50ns INFO [00007700] Port=0 RD @03 + 7699.50ns INFO [00007701] * RD COMPARE * port=1 adr=1A act=64878985 exp=64878985 + 7699.50ns INFO [00007701] Port=0 RD @0D + 7699.50ns INFO [00007701] Port=1 RD @08 + 7700.50ns INFO [00007702] * RD COMPARE * port=0 adr=03 act=15031C14 exp=15031C14 + 7700.50ns INFO [00007702] Port=0 WR @17=0226E0F7 + 7700.50ns INFO [00007702] Port=0 RD @07 + 7701.50ns INFO [00007703] * RD COMPARE * port=0 adr=0D act=6243CCDF exp=6243CCDF + 7701.50ns INFO [00007703] * RD COMPARE * port=1 adr=08 act=06242247 exp=06242247 + 7701.50ns INFO [00007703] Port=0 WR @00=62B5D8B5 + 7702.50ns INFO [00007704] * RD COMPARE * port=0 adr=07 act=863AAA70 exp=863AAA70 + 7703.50ns INFO [00007705] Port=0 RD @1C + 7703.50ns INFO [00007705] Port=1 RD @17 + 7704.50ns INFO [00007706] Port=0 WR @14=F1A26CFB + 7704.50ns INFO [00007706] Port=0 RD @13 + 7705.50ns INFO [00007707] * RD COMPARE * port=0 adr=1C act=0314C360 exp=0314C360 + 7705.50ns INFO [00007707] * RD COMPARE * port=1 adr=17 act=0226E0F7 exp=0226E0F7 + 7705.50ns INFO [00007707] Port=0 WR @05=F2F74A9C + 7705.50ns INFO [00007707] Port=0 RD @09 + 7706.50ns INFO [00007708] * RD COMPARE * port=0 adr=13 act=340E7066 exp=340E7066 + 7706.50ns INFO [00007708] Port=0 RD @12 + 7707.50ns INFO [00007709] * RD COMPARE * port=0 adr=09 act=3AA79D3A exp=3AA79D3A + 7708.50ns INFO [00007710] * RD COMPARE * port=0 adr=12 act=8A2DB95B exp=8A2DB95B + 7708.50ns INFO [00007710] Port=0 RD @13 + 7708.50ns INFO [00007710] Port=1 RD @1A + 7709.50ns INFO [00007711] Port=0 WR @19=C178D966 + 7710.50ns INFO [00007712] * RD COMPARE * port=0 adr=13 act=340E7066 exp=340E7066 + 7710.50ns INFO [00007712] * RD COMPARE * port=1 adr=1A act=64878985 exp=64878985 + 7710.50ns INFO [00007712] Port=0 RD @14 + 7710.50ns INFO [00007712] Port=1 RD @19 + 7711.50ns INFO [00007713] Port=0 RD @05 + 7711.50ns INFO [00007713] Port=1 RD @09 + 7712.50ns INFO [00007714] * RD COMPARE * port=0 adr=14 act=F1A26CFB exp=F1A26CFB + 7712.50ns INFO [00007714] * RD COMPARE * port=1 adr=19 act=C178D966 exp=C178D966 + 7712.50ns INFO [00007714] Port=0 WR @02=7A009ACA + 7712.50ns INFO [00007714] Port=0 RD @03 + 7713.50ns INFO [00007715] * RD COMPARE * port=0 adr=05 act=F2F74A9C exp=F2F74A9C + 7713.50ns INFO [00007715] * RD COMPARE * port=1 adr=09 act=3AA79D3A exp=3AA79D3A + 7713.50ns INFO [00007715] Port=0 RD @01 + 7714.50ns INFO [00007716] * RD COMPARE * port=0 adr=03 act=15031C14 exp=15031C14 + 7714.50ns INFO [00007716] Port=0 RD @0D + 7715.50ns INFO [00007717] * RD COMPARE * port=0 adr=01 act=2EB02C07 exp=2EB02C07 + 7716.50ns INFO [00007718] * RD COMPARE * port=0 adr=0D act=6243CCDF exp=6243CCDF + 7716.50ns INFO [00007718] Port=0 WR @00=A6B099EB + 7717.50ns INFO [00007719] Port=1 RD @13 + 7718.50ns INFO [00007720] Port=0 RD @0B + 7719.50ns INFO [00007721] * RD COMPARE * port=1 adr=13 act=340E7066 exp=340E7066 + 7719.50ns INFO [00007721] Port=1 RD @0D + 7720.50ns INFO [00007722] * RD COMPARE * port=0 adr=0B act=0B0C8616 exp=0B0C8616 + 7720.50ns INFO [00007722] Port=0 RD @19 + 7721.50ns INFO [00007723] * RD COMPARE * port=1 adr=0D act=6243CCDF exp=6243CCDF + 7721.50ns INFO [00007723] Port=1 RD @01 + 7722.50ns INFO [00007724] * RD COMPARE * port=0 adr=19 act=C178D966 exp=C178D966 + 7722.50ns INFO [00007724] Port=0 WR @06=153ABC4C + 7722.50ns INFO [00007724] Port=1 RD @00 + 7723.50ns INFO [00007725] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07 + 7723.50ns INFO [00007725] Port=0 WR @19=C7AD2F31 + 7723.50ns INFO [00007725] Port=0 RD @12 + 7723.50ns INFO [00007725] Port=1 RD @0B + 7724.50ns INFO [00007726] * RD COMPARE * port=1 adr=00 act=A6B099EB exp=A6B099EB + 7724.50ns INFO [00007726] Port=0 RD @02 + 7725.50ns INFO [00007727] * RD COMPARE * port=0 adr=12 act=8A2DB95B exp=8A2DB95B + 7725.50ns INFO [00007727] * RD COMPARE * port=1 adr=0B act=0B0C8616 exp=0B0C8616 + 7725.50ns INFO [00007727] Port=0 RD @14 + 7725.50ns INFO [00007727] Port=1 RD @13 + 7726.50ns INFO [00007728] * RD COMPARE * port=0 adr=02 act=7A009ACA exp=7A009ACA + 7726.50ns INFO [00007728] Port=0 RD @0B + 7727.50ns INFO [00007729] * RD COMPARE * port=0 adr=14 act=F1A26CFB exp=F1A26CFB + 7727.50ns INFO [00007729] * RD COMPARE * port=1 adr=13 act=340E7066 exp=340E7066 + 7727.50ns INFO [00007729] Port=0 WR @1D=3EDE6FB5 + 7727.50ns INFO [00007729] Port=0 RD @06 + 7728.50ns INFO [00007730] * RD COMPARE * port=0 adr=0B act=0B0C8616 exp=0B0C8616 + 7728.50ns INFO [00007730] Port=0 RD @09 + 7728.50ns INFO [00007730] Port=1 RD @1F + 7729.50ns INFO [00007731] * RD COMPARE * port=0 adr=06 act=153ABC4C exp=153ABC4C + 7729.50ns INFO [00007731] Port=0 RD @13 + 7729.50ns INFO [00007731] Port=1 RD @16 + 7730.50ns INFO [00007732] * RD COMPARE * port=0 adr=09 act=3AA79D3A exp=3AA79D3A + 7730.50ns INFO [00007732] * RD COMPARE * port=1 adr=1F act=7D661F5E exp=7D661F5E + 7731.50ns INFO [00007733] * RD COMPARE * port=0 adr=13 act=340E7066 exp=340E7066 + 7731.50ns INFO [00007733] * RD COMPARE * port=1 adr=16 act=3E61A0DA exp=3E61A0DA + 7731.50ns INFO [00007733] Port=0 WR @0B=C79C54BD + 7732.50ns INFO [00007734] Port=0 RD @00 + 7733.50ns INFO [00007735] Port=0 RD @0E + 7733.50ns INFO [00007735] Port=1 RD @13 + 7734.50ns INFO [00007736] * RD COMPARE * port=0 adr=00 act=A6B099EB exp=A6B099EB + 7735.50ns INFO [00007737] * RD COMPARE * port=0 adr=0E act=6047FF10 exp=6047FF10 + 7735.50ns INFO [00007737] * RD COMPARE * port=1 adr=13 act=340E7066 exp=340E7066 + 7735.50ns INFO [00007737] Port=0 RD @14 + 7737.50ns INFO [00007739] * RD COMPARE * port=0 adr=14 act=F1A26CFB exp=F1A26CFB + 7738.50ns INFO [00007740] Port=0 WR @10=A51616BD + 7738.50ns INFO [00007740] Port=0 RD @17 + 7739.50ns INFO [00007741] Port=0 WR @1C=4131125C + 7739.50ns INFO [00007741] Port=0 RD @06 + 7739.50ns INFO [00007741] Port=1 RD @05 + 7740.50ns INFO [00007742] * RD COMPARE * port=0 adr=17 act=0226E0F7 exp=0226E0F7 + 7740.50ns INFO [00007742] Port=0 RD @09 + 7740.50ns INFO [00007742] Port=1 RD @17 + 7741.50ns INFO [00007743] * RD COMPARE * port=0 adr=06 act=153ABC4C exp=153ABC4C + 7741.50ns INFO [00007743] * RD COMPARE * port=1 adr=05 act=F2F74A9C exp=F2F74A9C + 7741.50ns INFO [00007743] Port=0 WR @05=A6E754D7 + 7742.50ns INFO [00007744] * RD COMPARE * port=0 adr=09 act=3AA79D3A exp=3AA79D3A + 7742.50ns INFO [00007744] * RD COMPARE * port=1 adr=17 act=0226E0F7 exp=0226E0F7 + 7742.50ns INFO [00007744] Port=0 RD @0E + 7742.50ns INFO [00007744] Port=1 RD @17 + 7743.50ns INFO [00007745] Port=1 RD @04 + 7744.50ns INFO [00007746] * RD COMPARE * port=0 adr=0E act=6047FF10 exp=6047FF10 + 7744.50ns INFO [00007746] * RD COMPARE * port=1 adr=17 act=0226E0F7 exp=0226E0F7 + 7744.50ns INFO [00007746] Port=1 RD @01 + 7745.50ns INFO [00007747] * RD COMPARE * port=1 adr=04 act=66C742B6 exp=66C742B6 + 7746.50ns INFO [00007748] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07 + 7746.50ns INFO [00007748] Port=0 WR @0B=D568B99B + 7746.50ns INFO [00007748] Port=1 RD @01 + 7747.50ns INFO [00007749] Port=0 RD @04 + 7748.50ns INFO [00007750] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07 + 7749.50ns INFO [00007751] * RD COMPARE * port=0 adr=04 act=66C742B6 exp=66C742B6 + 7749.50ns INFO [00007751] Port=0 WR @0B=7C23EACC + 7749.50ns INFO [00007751] Port=0 RD @19 + 7751.50ns INFO [00007753] * RD COMPARE * port=0 adr=19 act=C7AD2F31 exp=C7AD2F31 + 7752.50ns INFO [00007754] Port=0 RD @0F + 7753.50ns INFO [00007755] Port=0 RD @18 + 7753.50ns INFO [00007755] Port=1 RD @01 + 7754.50ns INFO [00007756] * RD COMPARE * port=0 adr=0F act=0469507E exp=0469507E + 7754.50ns INFO [00007756] Port=0 WR @0A=0C319244 + 7754.50ns INFO [00007756] Port=0 RD @0C + 7754.50ns INFO [00007756] Port=1 RD @00 + 7755.50ns INFO [00007757] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08 + 7755.50ns INFO [00007757] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07 + 7756.50ns INFO [00007758] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811 + 7756.50ns INFO [00007758] * RD COMPARE * port=1 adr=00 act=A6B099EB exp=A6B099EB + 7756.50ns INFO [00007758] Port=0 WR @16=31CBE7C7 + 7757.50ns INFO [00007759] Port=0 WR @10=96AC2D43 + 7758.50ns INFO [00007760] Port=0 RD @0C + 7758.50ns INFO [00007760] Port=1 RD @0F + 7759.50ns INFO [00007761] Port=0 WR @11=412102DE + 7759.50ns INFO [00007761] Port=0 RD @01 + 7760.50ns INFO [00007762] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811 + 7760.50ns INFO [00007762] * RD COMPARE * port=1 adr=0F act=0469507E exp=0469507E + 7760.50ns INFO [00007762] Port=0 WR @07=56EA9DB1 + 7760.50ns INFO [00007762] Port=0 RD @0E + 7761.50ns INFO [00007763] * RD COMPARE * port=0 adr=01 act=2EB02C07 exp=2EB02C07 + 7761.50ns INFO [00007763] Port=0 WR @10=1597765D + 7761.50ns INFO [00007763] Port=0 RD @19 + 7762.50ns INFO [00007764] * RD COMPARE * port=0 adr=0E act=6047FF10 exp=6047FF10 + 7762.50ns INFO [00007764] Port=0 WR @0B=2B4A247F + 7763.50ns INFO [00007765] * RD COMPARE * port=0 adr=19 act=C7AD2F31 exp=C7AD2F31 + 7763.50ns INFO [00007765] Port=0 RD @1F + 7764.50ns INFO [00007766] Port=0 WR @0C=FED1E518 + 7764.50ns INFO [00007766] Port=0 RD @10 + 7764.50ns INFO [00007766] Port=1 RD @0B + 7765.50ns INFO [00007767] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E + 7765.50ns INFO [00007767] Port=1 RD @15 + 7766.50ns INFO [00007768] * RD COMPARE * port=0 adr=10 act=1597765D exp=1597765D + 7766.50ns INFO [00007768] * RD COMPARE * port=1 adr=0B act=2B4A247F exp=2B4A247F + 7766.50ns INFO [00007768] Port=1 RD @13 + 7767.50ns INFO [00007769] * RD COMPARE * port=1 adr=15 act=F710A783 exp=F710A783 + 7767.50ns INFO [00007769] Port=0 WR @16=53E09E0D + 7767.50ns INFO [00007769] Port=0 RD @09 + 7767.50ns INFO [00007769] Port=1 RD @17 + 7768.50ns INFO [00007770] * RD COMPARE * port=1 adr=13 act=340E7066 exp=340E7066 + 7768.50ns INFO [00007770] Port=1 RD @06 + 7769.50ns INFO [00007771] * RD COMPARE * port=0 adr=09 act=3AA79D3A exp=3AA79D3A + 7769.50ns INFO [00007771] * RD COMPARE * port=1 adr=17 act=0226E0F7 exp=0226E0F7 + 7769.50ns INFO [00007771] Port=0 RD @0F + 7770.50ns INFO [00007772] * RD COMPARE * port=1 adr=06 act=153ABC4C exp=153ABC4C + 7770.50ns INFO [00007772] Port=0 WR @08=E5B4ED76 + 7771.50ns INFO [00007773] * RD COMPARE * port=0 adr=0F act=0469507E exp=0469507E + 7772.50ns INFO [00007774] Port=0 WR @0D=AAAC94D5 + 7772.50ns INFO [00007774] Port=0 RD @11 + 7773.50ns INFO [00007775] Port=0 WR @0D=C4A91C0C + 7773.50ns INFO [00007775] Port=0 RD @1C + 7774.50ns INFO [00007776] * RD COMPARE * port=0 adr=11 act=412102DE exp=412102DE + 7775.50ns INFO [00007777] * RD COMPARE * port=0 adr=1C act=4131125C exp=4131125C + 7775.50ns INFO [00007777] Port=0 RD @0A + 7776.50ns INFO [00007778] Port=0 RD @1B + 7776.50ns INFO [00007778] Port=1 RD @0E + 7777.50ns INFO [00007779] * RD COMPARE * port=0 adr=0A act=0C319244 exp=0C319244 + 7777.50ns INFO [00007779] Port=0 RD @0D + 7777.50ns INFO [00007779] Port=1 RD @15 + 7778.50ns INFO [00007780] * RD COMPARE * port=0 adr=1B act=B6D6193D exp=B6D6193D + 7778.50ns INFO [00007780] * RD COMPARE * port=1 adr=0E act=6047FF10 exp=6047FF10 + 7778.50ns INFO [00007780] Port=0 RD @19 + 7779.50ns INFO [00007781] * RD COMPARE * port=0 adr=0D act=C4A91C0C exp=C4A91C0C + 7779.50ns INFO [00007781] * RD COMPARE * port=1 adr=15 act=F710A783 exp=F710A783 + 7780.50ns INFO [00007782] * RD COMPARE * port=0 adr=19 act=C7AD2F31 exp=C7AD2F31 + 7780.50ns INFO [00007782] Port=0 WR @13=F83A6510 + 7781.50ns INFO [00007783] Port=0 WR @10=C960EE03 + 7781.50ns INFO [00007783] Port=0 RD @02 + 7782.50ns INFO [00007784] Port=0 WR @17=1F3342B8 + 7782.50ns INFO [00007784] Port=1 RD @1B + 7783.50ns INFO [00007785] * RD COMPARE * port=0 adr=02 act=7A009ACA exp=7A009ACA + 7783.50ns INFO [00007785] Port=0 WR @04=05B77709 + 7784.50ns INFO [00007786] * RD COMPARE * port=1 adr=1B act=B6D6193D exp=B6D6193D + 7784.50ns INFO [00007786] Port=1 RD @0A + 7785.50ns INFO [00007787] Port=0 RD @02 + 7785.50ns INFO [00007787] Port=1 RD @1F + 7786.50ns INFO [00007788] * RD COMPARE * port=1 adr=0A act=0C319244 exp=0C319244 + 7786.50ns INFO [00007788] Port=0 WR @19=8A22776F + 7787.50ns INFO [00007789] * RD COMPARE * port=0 adr=02 act=7A009ACA exp=7A009ACA + 7787.50ns INFO [00007789] * RD COMPARE * port=1 adr=1F act=7D661F5E exp=7D661F5E + 7787.50ns INFO [00007789] Port=0 WR @03=F51E8478 + 7787.50ns INFO [00007789] Port=0 RD @1F + 7788.50ns INFO [00007790] Port=0 WR @0E=D5A72383 + 7788.50ns INFO [00007790] Port=0 RD @1C + 7788.50ns INFO [00007790] Port=1 RD @03 + 7789.50ns INFO [00007791] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E + 7789.50ns INFO [00007791] Port=0 WR @0A=9EBFECB7 + 7790.50ns INFO [00007792] * RD COMPARE * port=0 adr=1C act=4131125C exp=4131125C + 7790.50ns INFO [00007792] * RD COMPARE * port=1 adr=03 act=F51E8478 exp=F51E8478 + 7790.50ns INFO [00007792] Port=0 WR @15=19777C74 + 7790.50ns INFO [00007792] Port=0 RD @1D + 7790.50ns INFO [00007792] Port=1 RD @0A + 7792.50ns INFO [00007794] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5 + 7792.50ns INFO [00007794] * RD COMPARE * port=1 adr=0A act=9EBFECB7 exp=9EBFECB7 + 7792.50ns INFO [00007794] Port=0 RD @0D + 7794.50ns INFO [00007796] * RD COMPARE * port=0 adr=0D act=C4A91C0C exp=C4A91C0C + 7794.50ns INFO [00007796] Port=0 WR @05=0EC61190 + 7794.50ns INFO [00007796] Port=0 RD @11 + 7795.50ns INFO [00007797] Port=0 WR @05=077D8F13 + 7795.50ns INFO [00007797] Port=0 RD @09 + 7796.50ns INFO [00007798] * RD COMPARE * port=0 adr=11 act=412102DE exp=412102DE + 7796.50ns INFO [00007798] Port=0 WR @00=2A2BE05A + 7796.50ns INFO [00007798] Port=1 RD @19 + 7797.50ns INFO [00007799] * RD COMPARE * port=0 adr=09 act=3AA79D3A exp=3AA79D3A + 7797.50ns INFO [00007799] Port=0 WR @1F=6BDA8054 + 7797.50ns INFO [00007799] Port=0 RD @1E + 7798.00ns INFO [00007800] [00007800] ...tick... + 7798.50ns INFO [00007800] * RD COMPARE * port=1 adr=19 act=8A22776F exp=8A22776F + 7799.50ns INFO [00007801] * RD COMPARE * port=0 adr=1E act=CB71B237 exp=CB71B237 + 7799.50ns INFO [00007801] Port=0 WR @10=317D27A0 + 7800.50ns INFO [00007802] Port=0 WR @0E=3E3FC719 + 7800.50ns INFO [00007802] Port=0 RD @1A + 7800.50ns INFO [00007802] Port=1 RD @05 + 7801.50ns INFO [00007803] Port=0 WR @06=87543361 + 7802.50ns INFO [00007804] * RD COMPARE * port=0 adr=1A act=64878985 exp=64878985 + 7802.50ns INFO [00007804] * RD COMPARE * port=1 adr=05 act=077D8F13 exp=077D8F13 + 7802.50ns INFO [00007804] Port=0 WR @03=EC14916C + 7802.50ns INFO [00007804] Port=0 RD @05 + 7802.50ns INFO [00007804] Port=1 RD @1C + 7803.50ns INFO [00007805] Port=0 RD @17 + 7804.50ns INFO [00007806] * RD COMPARE * port=0 adr=05 act=077D8F13 exp=077D8F13 + 7804.50ns INFO [00007806] * RD COMPARE * port=1 adr=1C act=4131125C exp=4131125C + 7804.50ns INFO [00007806] Port=1 RD @05 + 7805.50ns INFO [00007807] * RD COMPARE * port=0 adr=17 act=1F3342B8 exp=1F3342B8 + 7805.50ns INFO [00007807] Port=0 WR @05=09F23F9F + 7805.50ns INFO [00007807] Port=0 RD @1C + 7805.50ns INFO [00007807] Port=1 RD @02 + 7806.50ns INFO [00007808] * RD COMPARE * port=1 adr=05 act=077D8F13 exp=077D8F13 + 7806.50ns INFO [00007808] Port=0 WR @19=90864C20 + 7807.50ns INFO [00007809] * RD COMPARE * port=0 adr=1C act=4131125C exp=4131125C + 7807.50ns INFO [00007809] * RD COMPARE * port=1 adr=02 act=7A009ACA exp=7A009ACA + 7807.50ns INFO [00007809] Port=0 RD @1B + 7808.50ns INFO [00007810] Port=0 RD @15 + 7808.50ns INFO [00007810] Port=1 RD @12 + 7809.50ns INFO [00007811] * RD COMPARE * port=0 adr=1B act=B6D6193D exp=B6D6193D + 7810.50ns INFO [00007812] * RD COMPARE * port=0 adr=15 act=19777C74 exp=19777C74 + 7810.50ns INFO [00007812] * RD COMPARE * port=1 adr=12 act=8A2DB95B exp=8A2DB95B + 7810.50ns INFO [00007812] Port=0 WR @02=F86F94BA + 7810.50ns INFO [00007812] Port=0 RD @1A + 7810.50ns INFO [00007812] Port=1 RD @1A + 7811.50ns INFO [00007813] Port=0 WR @0C=3931EFFB + 7811.50ns INFO [00007813] Port=0 RD @03 + 7812.50ns INFO [00007814] * RD COMPARE * port=0 adr=1A act=64878985 exp=64878985 + 7812.50ns INFO [00007814] * RD COMPARE * port=1 adr=1A act=64878985 exp=64878985 + 7812.50ns INFO [00007814] Port=0 WR @17=196F21F5 + 7813.50ns INFO [00007815] * RD COMPARE * port=0 adr=03 act=EC14916C exp=EC14916C + 7813.50ns INFO [00007815] Port=0 WR @0F=2F080389 + 7814.50ns INFO [00007816] Port=0 RD @0E + 7814.50ns INFO [00007816] Port=1 RD @10 + 7815.50ns INFO [00007817] Port=0 WR @05=ED2DBC84 + 7815.50ns INFO [00007817] Port=0 RD @18 + 7816.50ns INFO [00007818] * RD COMPARE * port=0 adr=0E act=3E3FC719 exp=3E3FC719 + 7816.50ns INFO [00007818] * RD COMPARE * port=1 adr=10 act=317D27A0 exp=317D27A0 + 7817.50ns INFO [00007819] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08 + 7817.50ns INFO [00007819] Port=0 WR @1E=B2DF90AB + 7818.50ns INFO [00007820] Port=0 RD @07 + 7819.50ns INFO [00007821] Port=0 WR @0A=E2C93CDA + 7819.50ns INFO [00007821] Port=0 RD @04 + 7820.50ns INFO [00007822] * RD COMPARE * port=0 adr=07 act=56EA9DB1 exp=56EA9DB1 + 7820.50ns INFO [00007822] Port=0 WR @11=7DB62B36 + 7821.50ns INFO [00007823] * RD COMPARE * port=0 adr=04 act=05B77709 exp=05B77709 + 7821.50ns INFO [00007823] Port=1 RD @0C + 7822.50ns INFO [00007824] Port=1 RD @08 + 7823.50ns INFO [00007825] * RD COMPARE * port=1 adr=0C act=3931EFFB exp=3931EFFB + 7823.50ns INFO [00007825] Port=0 RD @04 + 7824.50ns INFO [00007826] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7824.50ns INFO [00007826] Port=0 RD @14 + 7824.50ns INFO [00007826] Port=1 RD @02 + 7825.50ns INFO [00007827] * RD COMPARE * port=0 adr=04 act=05B77709 exp=05B77709 + 7825.50ns INFO [00007827] Port=0 WR @12=BDC39933 + 7826.50ns INFO [00007828] * RD COMPARE * port=0 adr=14 act=F1A26CFB exp=F1A26CFB + 7826.50ns INFO [00007828] * RD COMPARE * port=1 adr=02 act=F86F94BA exp=F86F94BA + 7827.50ns INFO [00007829] Port=0 RD @08 + 7828.50ns INFO [00007830] Port=0 RD @08 + 7829.50ns INFO [00007831] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7829.50ns INFO [00007831] Port=1 RD @06 + 7830.50ns INFO [00007832] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7831.50ns INFO [00007833] * RD COMPARE * port=1 adr=06 act=87543361 exp=87543361 + 7831.50ns INFO [00007833] Port=1 RD @03 + 7832.50ns INFO [00007834] Port=0 WR @0B=1E4A9654 + 7832.50ns INFO [00007834] Port=0 RD @04 + 7832.50ns INFO [00007834] Port=1 RD @08 + 7833.50ns INFO [00007835] * RD COMPARE * port=1 adr=03 act=EC14916C exp=EC14916C + 7833.50ns INFO [00007835] Port=0 WR @12=A70581CC + 7833.50ns INFO [00007835] Port=0 RD @08 + 7834.50ns INFO [00007836] * RD COMPARE * port=0 adr=04 act=05B77709 exp=05B77709 + 7834.50ns INFO [00007836] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7835.50ns INFO [00007837] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7835.50ns INFO [00007837] Port=0 WR @0D=9BFDB7FC + 7835.50ns INFO [00007837] Port=0 RD @02 + 7835.50ns INFO [00007837] Port=1 RD @1A + 7837.50ns INFO [00007839] * RD COMPARE * port=0 adr=02 act=F86F94BA exp=F86F94BA + 7837.50ns INFO [00007839] * RD COMPARE * port=1 adr=1A act=64878985 exp=64878985 + 7837.50ns INFO [00007839] Port=0 WR @1B=2E790F64 + 7837.50ns INFO [00007839] Port=0 RD @0F + 7838.50ns INFO [00007840] Port=0 WR @06=00258D96 + 7838.50ns INFO [00007840] Port=0 RD @18 + 7839.50ns INFO [00007841] * RD COMPARE * port=0 adr=0F act=2F080389 exp=2F080389 + 7839.50ns INFO [00007841] Port=0 RD @1D + 7839.50ns INFO [00007841] Port=1 RD @14 + 7840.50ns INFO [00007842] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08 + 7841.50ns INFO [00007843] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5 + 7841.50ns INFO [00007843] * RD COMPARE * port=1 adr=14 act=F1A26CFB exp=F1A26CFB + 7841.50ns INFO [00007843] Port=1 RD @01 + 7843.50ns INFO [00007845] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07 + 7843.50ns INFO [00007845] Port=1 RD @08 + 7844.50ns INFO [00007846] Port=0 WR @1B=729C8EA3 + 7844.50ns INFO [00007846] Port=1 RD @19 + 7845.50ns INFO [00007847] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7846.50ns INFO [00007848] * RD COMPARE * port=1 adr=19 act=90864C20 exp=90864C20 + 7846.50ns INFO [00007848] Port=0 WR @19=77656C90 + 7846.50ns INFO [00007848] Port=1 RD @00 + 7847.50ns INFO [00007849] Port=0 WR @16=8ECD8EE1 + 7847.50ns INFO [00007849] Port=0 RD @1E + 7847.50ns INFO [00007849] Port=1 RD @15 + 7848.50ns INFO [00007850] * RD COMPARE * port=1 adr=00 act=2A2BE05A exp=2A2BE05A + 7848.50ns INFO [00007850] Port=0 WR @05=0BFB226A + 7849.50ns INFO [00007851] * RD COMPARE * port=0 adr=1E act=B2DF90AB exp=B2DF90AB + 7849.50ns INFO [00007851] * RD COMPARE * port=1 adr=15 act=19777C74 exp=19777C74 + 7849.50ns INFO [00007851] Port=0 WR @17=02A0B34E + 7849.50ns INFO [00007851] Port=1 RD @04 + 7850.50ns INFO [00007852] Port=1 RD @16 + 7851.50ns INFO [00007853] * RD COMPARE * port=1 adr=04 act=05B77709 exp=05B77709 + 7851.50ns INFO [00007853] Port=0 WR @10=F4C9BB63 + 7852.50ns INFO [00007854] * RD COMPARE * port=1 adr=16 act=8ECD8EE1 exp=8ECD8EE1 + 7852.50ns INFO [00007854] Port=1 RD @01 + 7853.50ns INFO [00007855] Port=0 WR @03=5EC311FD + 7854.50ns INFO [00007856] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07 + 7854.50ns INFO [00007856] Port=0 WR @17=BA937958 + 7854.50ns INFO [00007856] Port=0 RD @1C + 7854.50ns INFO [00007856] Port=1 RD @0D + 7856.50ns INFO [00007858] * RD COMPARE * port=0 adr=1C act=4131125C exp=4131125C + 7856.50ns INFO [00007858] * RD COMPARE * port=1 adr=0D act=9BFDB7FC exp=9BFDB7FC + 7857.50ns INFO [00007859] Port=0 RD @10 + 7858.50ns INFO [00007860] Port=0 WR @00=E1DC4796 + 7859.50ns INFO [00007861] * RD COMPARE * port=0 adr=10 act=F4C9BB63 exp=F4C9BB63 + 7860.50ns INFO [00007862] Port=0 RD @02 + 7861.50ns INFO [00007863] Port=1 RD @08 + 7862.50ns INFO [00007864] * RD COMPARE * port=0 adr=02 act=F86F94BA exp=F86F94BA + 7862.50ns INFO [00007864] Port=0 RD @15 + 7863.50ns INFO [00007865] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7863.50ns INFO [00007865] Port=0 WR @13=8EE665F4 + 7864.50ns INFO [00007866] * RD COMPARE * port=0 adr=15 act=19777C74 exp=19777C74 + 7864.50ns INFO [00007866] Port=0 RD @0D + 7864.50ns INFO [00007866] Port=1 RD @1E + 7865.50ns INFO [00007867] Port=0 RD @18 + 7865.50ns INFO [00007867] Port=1 RD @17 + 7866.50ns INFO [00007868] * RD COMPARE * port=0 adr=0D act=9BFDB7FC exp=9BFDB7FC + 7866.50ns INFO [00007868] * RD COMPARE * port=1 adr=1E act=B2DF90AB exp=B2DF90AB + 7867.50ns INFO [00007869] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08 + 7867.50ns INFO [00007869] * RD COMPARE * port=1 adr=17 act=BA937958 exp=BA937958 + 7867.50ns INFO [00007869] Port=0 WR @05=8A776025 + 7867.50ns INFO [00007869] Port=1 RD @11 + 7868.50ns INFO [00007870] Port=0 WR @06=F8273092 + 7869.50ns INFO [00007871] * RD COMPARE * port=1 adr=11 act=7DB62B36 exp=7DB62B36 + 7869.50ns INFO [00007871] Port=0 WR @0B=A383F776 + 7870.50ns INFO [00007872] Port=0 WR @13=B3820E41 + 7872.50ns INFO [00007874] Port=1 RD @1B + 7873.50ns INFO [00007875] Port=0 WR @15=592C227C + 7873.50ns INFO [00007875] Port=0 RD @1F + 7873.50ns INFO [00007875] Port=1 RD @0C + 7874.50ns INFO [00007876] * RD COMPARE * port=1 adr=1B act=729C8EA3 exp=729C8EA3 + 7875.50ns INFO [00007877] * RD COMPARE * port=0 adr=1F act=6BDA8054 exp=6BDA8054 + 7875.50ns INFO [00007877] * RD COMPARE * port=1 adr=0C act=3931EFFB exp=3931EFFB + 7875.50ns INFO [00007877] Port=0 WR @0E=064ED053 + 7876.50ns INFO [00007878] Port=0 WR @1C=E6A69D37 + 7876.50ns INFO [00007878] Port=0 RD @0E + 7878.50ns INFO [00007880] * RD COMPARE * port=0 adr=0E act=064ED053 exp=064ED053 + 7879.50ns INFO [00007881] Port=0 WR @1C=2D53D932 + 7879.50ns INFO [00007881] Port=1 RD @1D + 7880.50ns INFO [00007882] Port=0 WR @0F=9661AD17 + 7880.50ns INFO [00007882] Port=0 RD @0D + 7880.50ns INFO [00007882] Port=1 RD @00 + 7881.50ns INFO [00007883] * RD COMPARE * port=1 adr=1D act=3EDE6FB5 exp=3EDE6FB5 + 7881.50ns INFO [00007883] Port=0 RD @0D + 7882.50ns INFO [00007884] * RD COMPARE * port=0 adr=0D act=9BFDB7FC exp=9BFDB7FC + 7882.50ns INFO [00007884] * RD COMPARE * port=1 adr=00 act=E1DC4796 exp=E1DC4796 + 7882.50ns INFO [00007884] Port=1 RD @0F + 7883.50ns INFO [00007885] * RD COMPARE * port=0 adr=0D act=9BFDB7FC exp=9BFDB7FC + 7884.50ns INFO [00007886] * RD COMPARE * port=1 adr=0F act=9661AD17 exp=9661AD17 + 7885.50ns INFO [00007887] Port=0 WR @09=93834C75 + 7885.50ns INFO [00007887] Port=0 RD @1A + 7886.50ns INFO [00007888] Port=0 WR @15=9C1F0242 + 7886.50ns INFO [00007888] Port=0 RD @0B + 7887.50ns INFO [00007889] * RD COMPARE * port=0 adr=1A act=64878985 exp=64878985 + 7887.50ns INFO [00007889] Port=0 RD @0C + 7888.50ns INFO [00007890] * RD COMPARE * port=0 adr=0B act=A383F776 exp=A383F776 + 7888.50ns INFO [00007890] Port=0 RD @01 + 7888.50ns INFO [00007890] Port=1 RD @00 + 7889.50ns INFO [00007891] * RD COMPARE * port=0 adr=0C act=3931EFFB exp=3931EFFB + 7889.50ns INFO [00007891] Port=0 WR @0C=C2DC26F1 + 7889.50ns INFO [00007891] Port=0 RD @01 + 7889.50ns INFO [00007891] Port=1 RD @02 + 7890.50ns INFO [00007892] * RD COMPARE * port=0 adr=01 act=2EB02C07 exp=2EB02C07 + 7890.50ns INFO [00007892] * RD COMPARE * port=1 adr=00 act=E1DC4796 exp=E1DC4796 + 7890.50ns INFO [00007892] Port=0 WR @10=C2C19320 + 7891.50ns INFO [00007893] * RD COMPARE * port=0 adr=01 act=2EB02C07 exp=2EB02C07 + 7891.50ns INFO [00007893] * RD COMPARE * port=1 adr=02 act=F86F94BA exp=F86F94BA + 7892.50ns INFO [00007894] Port=0 RD @1D + 7892.50ns INFO [00007894] Port=1 RD @07 + 7893.50ns INFO [00007895] Port=1 RD @19 + 7894.50ns INFO [00007896] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5 + 7894.50ns INFO [00007896] * RD COMPARE * port=1 adr=07 act=56EA9DB1 exp=56EA9DB1 + 7894.50ns INFO [00007896] Port=1 RD @1B + 7895.50ns INFO [00007897] * RD COMPARE * port=1 adr=19 act=77656C90 exp=77656C90 + 7895.50ns INFO [00007897] Port=0 RD @00 + 7895.50ns INFO [00007897] Port=1 RD @07 + 7896.50ns INFO [00007898] * RD COMPARE * port=1 adr=1B act=729C8EA3 exp=729C8EA3 + 7896.50ns INFO [00007898] Port=0 WR @1F=EF263887 + 7896.50ns INFO [00007898] Port=0 RD @11 + 7897.50ns INFO [00007899] * RD COMPARE * port=0 adr=00 act=E1DC4796 exp=E1DC4796 + 7897.50ns INFO [00007899] * RD COMPARE * port=1 adr=07 act=56EA9DB1 exp=56EA9DB1 + 7897.50ns INFO [00007899] Port=0 WR @14=74ED27A2 + 7898.00ns INFO [00007900] [00007900] ...tick... + 7898.50ns INFO [00007900] * RD COMPARE * port=0 adr=11 act=7DB62B36 exp=7DB62B36 + 7898.50ns INFO [00007900] Port=0 WR @05=46B826A2 + 7899.50ns INFO [00007901] Port=0 RD @15 + 7899.50ns INFO [00007901] Port=1 RD @09 + 7900.50ns INFO [00007902] Port=1 RD @0F + 7901.50ns INFO [00007903] * RD COMPARE * port=0 adr=15 act=9C1F0242 exp=9C1F0242 + 7901.50ns INFO [00007903] * RD COMPARE * port=1 adr=09 act=93834C75 exp=93834C75 + 7902.50ns INFO [00007904] * RD COMPARE * port=1 adr=0F act=9661AD17 exp=9661AD17 + 7902.50ns INFO [00007904] Port=0 WR @1C=8C32BAA8 + 7902.50ns INFO [00007904] Port=1 RD @1F + 7903.50ns INFO [00007905] Port=0 WR @1B=32D4E909 + 7903.50ns INFO [00007905] Port=0 RD @03 + 7904.50ns INFO [00007906] * RD COMPARE * port=1 adr=1F act=EF263887 exp=EF263887 + 7904.50ns INFO [00007906] Port=0 RD @18 + 7904.50ns INFO [00007906] Port=1 RD @1A + 7905.50ns INFO [00007907] * RD COMPARE * port=0 adr=03 act=5EC311FD exp=5EC311FD + 7906.50ns INFO [00007908] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08 + 7906.50ns INFO [00007908] * RD COMPARE * port=1 adr=1A act=64878985 exp=64878985 + 7906.50ns INFO [00007908] Port=0 WR @09=5C9076F9 + 7906.50ns INFO [00007908] Port=1 RD @14 + 7907.50ns INFO [00007909] Port=1 RD @0B + 7908.50ns INFO [00007910] * RD COMPARE * port=1 adr=14 act=74ED27A2 exp=74ED27A2 + 7908.50ns INFO [00007910] Port=1 RD @08 + 7909.50ns INFO [00007911] * RD COMPARE * port=1 adr=0B act=A383F776 exp=A383F776 + 7909.50ns INFO [00007911] Port=0 RD @09 + 7909.50ns INFO [00007911] Port=1 RD @1B + 7910.50ns INFO [00007912] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7910.50ns INFO [00007912] Port=0 RD @08 + 7911.50ns INFO [00007913] * RD COMPARE * port=0 adr=09 act=5C9076F9 exp=5C9076F9 + 7911.50ns INFO [00007913] * RD COMPARE * port=1 adr=1B act=32D4E909 exp=32D4E909 + 7912.50ns INFO [00007914] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7912.50ns INFO [00007914] Port=0 WR @15=91746AA8 + 7912.50ns INFO [00007914] Port=0 RD @1A + 7912.50ns INFO [00007914] Port=1 RD @16 + 7914.50ns INFO [00007916] * RD COMPARE * port=0 adr=1A act=64878985 exp=64878985 + 7914.50ns INFO [00007916] * RD COMPARE * port=1 adr=16 act=8ECD8EE1 exp=8ECD8EE1 + 7914.50ns INFO [00007916] Port=0 WR @15=71600333 + 7914.50ns INFO [00007916] Port=0 RD @11 + 7914.50ns INFO [00007916] Port=1 RD @00 + 7916.50ns INFO [00007918] * RD COMPARE * port=0 adr=11 act=7DB62B36 exp=7DB62B36 + 7916.50ns INFO [00007918] * RD COMPARE * port=1 adr=00 act=E1DC4796 exp=E1DC4796 + 7917.50ns INFO [00007919] Port=0 WR @10=1DB927A9 + 7917.50ns INFO [00007919] Port=0 RD @18 + 7919.50ns INFO [00007921] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08 + 7919.50ns INFO [00007921] Port=0 RD @0F + 7920.50ns INFO [00007922] Port=0 WR @1E=50CDD9AA + 7921.50ns INFO [00007923] * RD COMPARE * port=0 adr=0F act=9661AD17 exp=9661AD17 + 7921.50ns INFO [00007923] Port=0 WR @0B=3F458481 + 7922.50ns INFO [00007924] Port=0 WR @11=EF436C4D + 7922.50ns INFO [00007924] Port=0 RD @06 + 7924.50ns INFO [00007926] * RD COMPARE * port=0 adr=06 act=F8273092 exp=F8273092 + 7925.50ns INFO [00007927] Port=0 WR @19=D85BCBBE + 7925.50ns INFO [00007927] Port=0 RD @1D + 7926.50ns INFO [00007928] Port=0 WR @1C=EAF5F30A + 7926.50ns INFO [00007928] Port=0 RD @08 + 7926.50ns INFO [00007928] Port=1 RD @10 + 7927.50ns INFO [00007929] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5 + 7927.50ns INFO [00007929] Port=0 RD @1D + 7928.50ns INFO [00007930] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7928.50ns INFO [00007930] * RD COMPARE * port=1 adr=10 act=1DB927A9 exp=1DB927A9 + 7928.50ns INFO [00007930] Port=0 WR @09=464E8C12 + 7928.50ns INFO [00007930] Port=0 RD @1F + 7929.50ns INFO [00007931] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5 + 7929.50ns INFO [00007931] Port=1 RD @17 + 7930.50ns INFO [00007932] * RD COMPARE * port=0 adr=1F act=EF263887 exp=EF263887 + 7930.50ns INFO [00007932] Port=1 RD @10 + 7931.50ns INFO [00007933] * RD COMPARE * port=1 adr=17 act=BA937958 exp=BA937958 + 7931.50ns INFO [00007933] Port=0 RD @0E + 7932.50ns INFO [00007934] * RD COMPARE * port=1 adr=10 act=1DB927A9 exp=1DB927A9 + 7932.50ns INFO [00007934] Port=0 WR @03=EB03AFBF + 7933.50ns INFO [00007935] * RD COMPARE * port=0 adr=0E act=064ED053 exp=064ED053 + 7933.50ns INFO [00007935] Port=0 WR @1B=88C216B2 + 7934.50ns INFO [00007936] Port=0 RD @0C + 7934.50ns INFO [00007936] Port=1 RD @11 + 7935.50ns INFO [00007937] Port=0 WR @0A=FFF17258 + 7935.50ns INFO [00007937] Port=0 RD @19 + 7935.50ns INFO [00007937] Port=1 RD @08 + 7936.50ns INFO [00007938] * RD COMPARE * port=0 adr=0C act=C2DC26F1 exp=C2DC26F1 + 7936.50ns INFO [00007938] * RD COMPARE * port=1 adr=11 act=EF436C4D exp=EF436C4D + 7937.50ns INFO [00007939] * RD COMPARE * port=0 adr=19 act=D85BCBBE exp=D85BCBBE + 7937.50ns INFO [00007939] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7937.50ns INFO [00007939] Port=0 RD @02 + 7938.50ns INFO [00007940] Port=0 RD @11 + 7939.50ns INFO [00007941] * RD COMPARE * port=0 adr=02 act=F86F94BA exp=F86F94BA + 7940.50ns INFO [00007942] * RD COMPARE * port=0 adr=11 act=EF436C4D exp=EF436C4D + 7940.50ns INFO [00007942] Port=0 WR @10=B3AE058D + 7941.50ns INFO [00007943] Port=1 RD @11 + 7943.50ns INFO [00007945] * RD COMPARE * port=1 adr=11 act=EF436C4D exp=EF436C4D + 7943.50ns INFO [00007945] Port=1 RD @10 + 7944.50ns INFO [00007946] Port=0 WR @18=3B255E23 + 7944.50ns INFO [00007946] Port=1 RD @03 + 7945.50ns INFO [00007947] * RD COMPARE * port=1 adr=10 act=B3AE058D exp=B3AE058D + 7946.50ns INFO [00007948] * RD COMPARE * port=1 adr=03 act=EB03AFBF exp=EB03AFBF + 7946.50ns INFO [00007948] Port=0 RD @16 + 7946.50ns INFO [00007948] Port=1 RD @1D + 7947.50ns INFO [00007949] Port=0 RD @15 + 7948.50ns INFO [00007950] * RD COMPARE * port=0 adr=16 act=8ECD8EE1 exp=8ECD8EE1 + 7948.50ns INFO [00007950] * RD COMPARE * port=1 adr=1D act=3EDE6FB5 exp=3EDE6FB5 + 7948.50ns INFO [00007950] Port=1 RD @01 + 7949.50ns INFO [00007951] * RD COMPARE * port=0 adr=15 act=71600333 exp=71600333 + 7949.50ns INFO [00007951] Port=0 WR @1B=5783DAF8 + 7949.50ns INFO [00007951] Port=0 RD @03 + 7950.50ns INFO [00007952] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07 + 7950.50ns INFO [00007952] Port=0 RD @1E + 7951.50ns INFO [00007953] * RD COMPARE * port=0 adr=03 act=EB03AFBF exp=EB03AFBF + 7951.50ns INFO [00007953] Port=0 WR @0F=42A7FE86 + 7951.50ns INFO [00007953] Port=0 RD @1F + 7951.50ns INFO [00007953] Port=1 RD @06 + 7952.50ns INFO [00007954] * RD COMPARE * port=0 adr=1E act=50CDD9AA exp=50CDD9AA + 7952.50ns INFO [00007954] Port=0 RD @1B + 7953.50ns INFO [00007955] * RD COMPARE * port=0 adr=1F act=EF263887 exp=EF263887 + 7953.50ns INFO [00007955] * RD COMPARE * port=1 adr=06 act=F8273092 exp=F8273092 + 7953.50ns INFO [00007955] Port=0 RD @17 + 7954.50ns INFO [00007956] * RD COMPARE * port=0 adr=1B act=5783DAF8 exp=5783DAF8 + 7954.50ns INFO [00007956] Port=0 RD @1D + 7954.50ns INFO [00007956] Port=1 RD @18 + 7955.50ns INFO [00007957] * RD COMPARE * port=0 adr=17 act=BA937958 exp=BA937958 + 7955.50ns INFO [00007957] Port=0 RD @14 + 7955.50ns INFO [00007957] Port=1 RD @00 + 7956.50ns INFO [00007958] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5 + 7956.50ns INFO [00007958] * RD COMPARE * port=1 adr=18 act=3B255E23 exp=3B255E23 + 7956.50ns INFO [00007958] Port=0 WR @1F=90DEAC0A + 7956.50ns INFO [00007958] Port=1 RD @1D + 7957.50ns INFO [00007959] * RD COMPARE * port=0 adr=14 act=74ED27A2 exp=74ED27A2 + 7957.50ns INFO [00007959] * RD COMPARE * port=1 adr=00 act=E1DC4796 exp=E1DC4796 + 7958.50ns INFO [00007960] * RD COMPARE * port=1 adr=1D act=3EDE6FB5 exp=3EDE6FB5 + 7959.50ns INFO [00007961] Port=0 RD @08 + 7960.50ns INFO [00007962] Port=0 RD @14 + 7961.50ns INFO [00007963] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76 + 7961.50ns INFO [00007963] Port=0 WR @06=5FADCA48 + 7961.50ns INFO [00007963] Port=0 RD @0B + 7962.50ns INFO [00007964] * RD COMPARE * port=0 adr=14 act=74ED27A2 exp=74ED27A2 + 7962.50ns INFO [00007964] Port=0 RD @00 + 7963.50ns INFO [00007965] * RD COMPARE * port=0 adr=0B act=3F458481 exp=3F458481 + 7963.50ns INFO [00007965] Port=0 RD @06 + 7964.50ns INFO [00007966] * RD COMPARE * port=0 adr=00 act=E1DC4796 exp=E1DC4796 + 7964.50ns INFO [00007966] Port=0 WR @1D=D4AAE32D + 7964.50ns INFO [00007966] Port=0 RD @16 + 7965.50ns INFO [00007967] * RD COMPARE * port=0 adr=06 act=5FADCA48 exp=5FADCA48 + 7965.50ns INFO [00007967] Port=0 RD @0C + 7965.50ns INFO [00007967] Port=1 RD @17 + 7966.50ns INFO [00007968] * RD COMPARE * port=0 adr=16 act=8ECD8EE1 exp=8ECD8EE1 + 7966.50ns INFO [00007968] Port=0 WR @1A=5D0175BD + 7966.50ns INFO [00007968] Port=0 RD @1C + 7967.50ns INFO [00007969] * RD COMPARE * port=0 adr=0C act=C2DC26F1 exp=C2DC26F1 + 7967.50ns INFO [00007969] * RD COMPARE * port=1 adr=17 act=BA937958 exp=BA937958 + 7968.50ns INFO [00007970] * RD COMPARE * port=0 adr=1C act=EAF5F30A exp=EAF5F30A + 7968.50ns INFO [00007970] Port=0 RD @07 + 7970.50ns INFO [00007972] * RD COMPARE * port=0 adr=07 act=56EA9DB1 exp=56EA9DB1 + 7970.50ns INFO [00007972] Port=0 WR @17=F3AA6CF2 + 7970.50ns INFO [00007972] Port=1 RD @14 + 7971.50ns INFO [00007973] Port=0 RD @1C + 7972.50ns INFO [00007974] * RD COMPARE * port=1 adr=14 act=74ED27A2 exp=74ED27A2 + 7972.50ns INFO [00007974] Port=0 RD @02 + 7973.50ns INFO [00007975] * RD COMPARE * port=0 adr=1C act=EAF5F30A exp=EAF5F30A + 7973.50ns INFO [00007975] Port=1 RD @0C + 7974.50ns INFO [00007976] * RD COMPARE * port=0 adr=02 act=F86F94BA exp=F86F94BA + 7975.50ns INFO [00007977] * RD COMPARE * port=1 adr=0C act=C2DC26F1 exp=C2DC26F1 + 7975.50ns INFO [00007977] Port=0 WR @16=9363A0ED + 7976.50ns INFO [00007978] Port=0 WR @07=0DB5064C + 7976.50ns INFO [00007978] Port=0 RD @04 + 7976.50ns INFO [00007978] Port=1 RD @09 + 7977.50ns INFO [00007979] Port=0 WR @0B=6505A0BD + 7978.50ns INFO [00007980] * RD COMPARE * port=0 adr=04 act=05B77709 exp=05B77709 + 7978.50ns INFO [00007980] * RD COMPARE * port=1 adr=09 act=464E8C12 exp=464E8C12 + 7978.50ns INFO [00007980] Port=1 RD @18 + 7980.50ns INFO [00007982] * RD COMPARE * port=1 adr=18 act=3B255E23 exp=3B255E23 + 7980.50ns INFO [00007982] Port=0 WR @09=511C7A63 + 7981.50ns INFO [00007983] Port=0 RD @19 + 7981.50ns INFO [00007983] Port=1 RD @16 + 7982.50ns INFO [00007984] Port=0 RD @1E + 7982.50ns INFO [00007984] Port=1 RD @0A + 7983.50ns INFO [00007985] * RD COMPARE * port=0 adr=19 act=D85BCBBE exp=D85BCBBE + 7983.50ns INFO [00007985] * RD COMPARE * port=1 adr=16 act=9363A0ED exp=9363A0ED + 7983.50ns INFO [00007985] Port=0 WR @0C=F9CC7392 + 7984.50ns INFO [00007986] * RD COMPARE * port=0 adr=1E act=50CDD9AA exp=50CDD9AA + 7984.50ns INFO [00007986] * RD COMPARE * port=1 adr=0A act=FFF17258 exp=FFF17258 + 7984.50ns INFO [00007986] Port=0 RD @0D + 7985.50ns INFO [00007987] Port=0 WR @03=159BBAA5 + 7985.50ns INFO [00007987] Port=1 RD @11 + 7986.50ns INFO [00007988] * RD COMPARE * port=0 adr=0D act=9BFDB7FC exp=9BFDB7FC + 7987.50ns INFO [00007989] * RD COMPARE * port=1 adr=11 act=EF436C4D exp=EF436C4D + 7987.50ns INFO [00007989] Port=0 WR @14=A236F217 + 7987.50ns INFO [00007989] Port=1 RD @10 + 7988.50ns INFO [00007990] Port=0 WR @19=433BC510 + 7988.50ns INFO [00007990] Port=0 RD @0E + 7989.50ns INFO [00007991] * RD COMPARE * port=1 adr=10 act=B3AE058D exp=B3AE058D + 7989.50ns INFO [00007991] Port=0 RD @1C + 7989.50ns INFO [00007991] Port=1 RD @15 + 7990.50ns INFO [00007992] * RD COMPARE * port=0 adr=0E act=064ED053 exp=064ED053 + 7990.50ns INFO [00007992] Port=0 RD @0C + 7990.50ns INFO [00007992] Port=1 RD @19 + 7991.50ns INFO [00007993] * RD COMPARE * port=0 adr=1C act=EAF5F30A exp=EAF5F30A + 7991.50ns INFO [00007993] * RD COMPARE * port=1 adr=15 act=71600333 exp=71600333 + 7992.50ns INFO [00007994] * RD COMPARE * port=0 adr=0C act=F9CC7392 exp=F9CC7392 + 7992.50ns INFO [00007994] * RD COMPARE * port=1 adr=19 act=433BC510 exp=433BC510 + 7994.50ns INFO [00007996] Port=1 RD @13 + 7996.50ns INFO [00007998] * RD COMPARE * port=1 adr=13 act=B3820E41 exp=B3820E41 + 7996.50ns INFO [00007998] Port=0 WR @15=1B3F0C35 + 7996.50ns INFO [00007998] Port=0 RD @07 + 7998.00ns INFO [00008000] [00008000] ...tick... + 7998.50ns INFO [00008000] * RD COMPARE * port=0 adr=07 act=0DB5064C exp=0DB5064C + 7998.50ns INFO [00008000] Port=0 WR @18=799564CD + 7998.50ns INFO [00008000] Port=0 RD @11 + 7999.50ns INFO [00008001] Port=0 WR @0D=FE0BCCB4 + 7999.50ns INFO [00008001] Port=1 RD @15 + 8000.50ns INFO [00008002] * RD COMPARE * port=0 adr=11 act=EF436C4D exp=EF436C4D + 8000.50ns INFO [00008002] Port=0 WR @00=531A95E7 + 8000.50ns INFO [00008002] Port=1 RD @11 + 8001.50ns INFO [00008003] * RD COMPARE * port=1 adr=15 act=1B3F0C35 exp=1B3F0C35 + 8002.50ns INFO [00008004] * RD COMPARE * port=1 adr=11 act=EF436C4D exp=EF436C4D + 8002.50ns INFO [00008004] Port=0 WR @16=7626B770 + 8002.50ns INFO [00008004] Port=0 RD @07 + 8004.50ns INFO [00008006] * RD COMPARE * port=0 adr=07 act=0DB5064C exp=0DB5064C + 8004.50ns INFO [00008006] Port=0 RD @0D + 8005.50ns INFO [00008007] Port=0 WR @18=DD5F8207 + 8006.50ns INFO [00008008] * RD COMPARE * port=0 adr=0D act=FE0BCCB4 exp=FE0BCCB4 + 8007.50ns INFO [00008009] Port=0 WR @14=90D6C48B + 8007.50ns INFO [00008009] Port=1 RD @09 + 8008.50ns INFO [00008010] Port=0 WR @14=F0D2E05B + 8008.50ns INFO [00008010] Port=0 RD @18 + 8008.50ns INFO [00008010] Port=1 RD @1D + 8009.50ns INFO [00008011] * RD COMPARE * port=1 adr=09 act=511C7A63 exp=511C7A63 + 8009.50ns INFO [00008011] Port=0 WR @16=63161E84 + 8009.50ns INFO [00008011] Port=0 RD @18 + 8010.50ns INFO [00008012] * RD COMPARE * port=0 adr=18 act=DD5F8207 exp=DD5F8207 + 8010.50ns INFO [00008012] * RD COMPARE * port=1 adr=1D act=D4AAE32D exp=D4AAE32D + 8010.50ns INFO [00008012] Port=0 WR @03=51477239 + 8010.50ns INFO [00008012] Port=0 RD @0E + 8011.50ns INFO [00008013] * RD COMPARE * port=0 adr=18 act=DD5F8207 exp=DD5F8207 + 8011.50ns INFO [00008013] Port=0 WR @0B=175DF4D3 + 8011.50ns INFO [00008013] Port=0 RD @16 + 8012.50ns INFO [00008014] * RD COMPARE * port=0 adr=0E act=064ED053 exp=064ED053 + 8013.50ns INFO [00008015] * RD COMPARE * port=0 adr=16 act=63161E84 exp=63161E84 + 8014.50ns INFO [00008016] Port=0 WR @1E=B9BD3DA7 + 8014.50ns INFO [00008016] Port=1 RD @08 + 8015.50ns INFO [00008017] Port=0 WR @1B=C99D2790 + 8016.50ns INFO [00008018] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 8017.50ns INFO [00008019] Port=0 WR @1E=CC81659C + 8017.50ns INFO [00008019] Port=0 RD @1D + 8017.50ns INFO [00008019] Port=1 RD @1A + 8018.50ns INFO [00008020] Port=0 RD @03 + 8019.50ns INFO [00008021] * RD COMPARE * port=0 adr=1D act=D4AAE32D exp=D4AAE32D + 8019.50ns INFO [00008021] * RD COMPARE * port=1 adr=1A act=5D0175BD exp=5D0175BD + 8020.50ns INFO [00008022] * RD COMPARE * port=0 adr=03 act=51477239 exp=51477239 + 8021.50ns INFO [00008023] Port=0 WR @1D=75F566F0 + 8022.50ns INFO [00008024] Port=0 RD @06 + 8024.50ns INFO [00008026] * RD COMPARE * port=0 adr=06 act=5FADCA48 exp=5FADCA48 + 8024.50ns INFO [00008026] Port=0 RD @11 + 8025.50ns INFO [00008027] Port=0 WR @01=42AB543F + 8025.50ns INFO [00008027] Port=0 RD @09 + 8025.50ns INFO [00008027] Port=1 RD @0B + 8026.50ns INFO [00008028] * RD COMPARE * port=0 adr=11 act=EF436C4D exp=EF436C4D + 8026.50ns INFO [00008028] Port=0 WR @13=EE678615 + 8026.50ns INFO [00008028] Port=0 RD @1C + 8027.50ns INFO [00008029] * RD COMPARE * port=0 adr=09 act=511C7A63 exp=511C7A63 + 8027.50ns INFO [00008029] * RD COMPARE * port=1 adr=0B act=175DF4D3 exp=175DF4D3 + 8028.50ns INFO [00008030] * RD COMPARE * port=0 adr=1C act=EAF5F30A exp=EAF5F30A + 8032.50ns INFO [00008034] Port=0 WR @15=EDE9088E + 8032.50ns INFO [00008034] Port=1 RD @01 + 8033.50ns INFO [00008035] Port=0 WR @04=4975F5FA + 8033.50ns INFO [00008035] Port=1 RD @0A + 8034.50ns INFO [00008036] * RD COMPARE * port=1 adr=01 act=42AB543F exp=42AB543F + 8034.50ns INFO [00008036] Port=0 RD @0D + 8035.50ns INFO [00008037] * RD COMPARE * port=1 adr=0A act=FFF17258 exp=FFF17258 + 8035.50ns INFO [00008037] Port=0 WR @13=DCC187E7 + 8035.50ns INFO [00008037] Port=0 RD @02 + 8035.50ns INFO [00008037] Port=1 RD @05 + 8036.50ns INFO [00008038] * RD COMPARE * port=0 adr=0D act=FE0BCCB4 exp=FE0BCCB4 + 8036.50ns INFO [00008038] Port=1 RD @12 + 8037.50ns INFO [00008039] * RD COMPARE * port=0 adr=02 act=F86F94BA exp=F86F94BA + 8037.50ns INFO [00008039] * RD COMPARE * port=1 adr=05 act=46B826A2 exp=46B826A2 + 8037.50ns INFO [00008039] Port=1 RD @10 + 8038.50ns INFO [00008040] * RD COMPARE * port=1 adr=12 act=A70581CC exp=A70581CC + 8038.50ns INFO [00008040] Port=0 RD @01 + 8039.50ns INFO [00008041] * RD COMPARE * port=1 adr=10 act=B3AE058D exp=B3AE058D + 8040.50ns INFO [00008042] * RD COMPARE * port=0 adr=01 act=42AB543F exp=42AB543F + 8041.50ns INFO [00008043] Port=0 WR @1F=9E59E233 + 8042.50ns INFO [00008044] Port=0 WR @06=212C584D + 8042.50ns INFO [00008044] Port=1 RD @02 + 8044.50ns INFO [00008046] * RD COMPARE * port=1 adr=02 act=F86F94BA exp=F86F94BA + 8044.50ns INFO [00008046] Port=0 WR @18=5984F702 + 8044.50ns INFO [00008046] Port=1 RD @08 + 8045.50ns INFO [00008047] Port=0 RD @1E + 8046.50ns INFO [00008048] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 8046.50ns INFO [00008048] Port=0 RD @05 + 8047.50ns INFO [00008049] * RD COMPARE * port=0 adr=1E act=CC81659C exp=CC81659C + 8047.50ns INFO [00008049] Port=1 RD @08 + 8048.50ns INFO [00008050] * RD COMPARE * port=0 adr=05 act=46B826A2 exp=46B826A2 + 8048.50ns INFO [00008050] Port=1 RD @1A + 8049.50ns INFO [00008051] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 8049.50ns INFO [00008051] Port=0 WR @0E=F030EBE2 + 8050.50ns INFO [00008052] * RD COMPARE * port=1 adr=1A act=5D0175BD exp=5D0175BD + 8051.50ns INFO [00008053] Port=1 RD @10 + 8053.50ns INFO [00008055] * RD COMPARE * port=1 adr=10 act=B3AE058D exp=B3AE058D + 8054.50ns INFO [00008056] Port=0 WR @04=D07BA1D0 + 8054.50ns INFO [00008056] Port=0 RD @03 + 8055.50ns INFO [00008057] Port=0 RD @1B + 8055.50ns INFO [00008057] Port=1 RD @0B + 8056.50ns INFO [00008058] * RD COMPARE * port=0 adr=03 act=51477239 exp=51477239 + 8056.50ns INFO [00008058] Port=1 RD @1B + 8057.50ns INFO [00008059] * RD COMPARE * port=0 adr=1B act=C99D2790 exp=C99D2790 + 8057.50ns INFO [00008059] * RD COMPARE * port=1 adr=0B act=175DF4D3 exp=175DF4D3 + 8057.50ns INFO [00008059] Port=1 RD @14 + 8058.50ns INFO [00008060] * RD COMPARE * port=1 adr=1B act=C99D2790 exp=C99D2790 + 8058.50ns INFO [00008060] Port=0 WR @0D=7D704AA7 + 8058.50ns INFO [00008060] Port=0 RD @19 + 8059.50ns INFO [00008061] * RD COMPARE * port=1 adr=14 act=F0D2E05B exp=F0D2E05B + 8060.50ns INFO [00008062] * RD COMPARE * port=0 adr=19 act=433BC510 exp=433BC510 + 8060.50ns INFO [00008062] Port=0 RD @10 + 8060.50ns INFO [00008062] Port=1 RD @0E + 8061.50ns INFO [00008063] Port=0 WR @06=27DA90CA + 8062.50ns INFO [00008064] * RD COMPARE * port=0 adr=10 act=B3AE058D exp=B3AE058D + 8062.50ns INFO [00008064] * RD COMPARE * port=1 adr=0E act=F030EBE2 exp=F030EBE2 + 8062.50ns INFO [00008064] Port=0 WR @03=0353BA03 + 8063.50ns INFO [00008065] Port=0 WR @18=87E0D451 + 8064.50ns INFO [00008066] Port=0 RD @07 + 8065.50ns INFO [00008067] Port=0 WR @0B=76D7E094 + 8065.50ns INFO [00008067] Port=0 RD @10 + 8066.50ns INFO [00008068] * RD COMPARE * port=0 adr=07 act=0DB5064C exp=0DB5064C + 8066.50ns INFO [00008068] Port=0 WR @1F=32D3024E + 8067.50ns INFO [00008069] * RD COMPARE * port=0 adr=10 act=B3AE058D exp=B3AE058D + 8067.50ns INFO [00008069] Port=0 RD @00 + 8068.50ns INFO [00008070] Port=1 RD @1C + 8069.50ns INFO [00008071] * RD COMPARE * port=0 adr=00 act=531A95E7 exp=531A95E7 + 8070.50ns INFO [00008072] * RD COMPARE * port=1 adr=1C act=EAF5F30A exp=EAF5F30A + 8070.50ns INFO [00008072] Port=0 WR @14=7DA14A63 + 8070.50ns INFO [00008072] Port=1 RD @0A + 8071.50ns INFO [00008073] Port=0 WR @01=E8051443 + 8071.50ns INFO [00008073] Port=0 RD @16 + 8072.50ns INFO [00008074] * RD COMPARE * port=1 adr=0A act=FFF17258 exp=FFF17258 + 8072.50ns INFO [00008074] Port=1 RD @0D + 8073.50ns INFO [00008075] * RD COMPARE * port=0 adr=16 act=63161E84 exp=63161E84 + 8073.50ns INFO [00008075] Port=0 WR @11=2B016926 + 8073.50ns INFO [00008075] Port=0 RD @1C + 8073.50ns INFO [00008075] Port=1 RD @17 + 8074.50ns INFO [00008076] * RD COMPARE * port=1 adr=0D act=7D704AA7 exp=7D704AA7 + 8074.50ns INFO [00008076] Port=0 RD @01 + 8074.50ns INFO [00008076] Port=1 RD @13 + 8075.50ns INFO [00008077] * RD COMPARE * port=0 adr=1C act=EAF5F30A exp=EAF5F30A + 8075.50ns INFO [00008077] * RD COMPARE * port=1 adr=17 act=F3AA6CF2 exp=F3AA6CF2 + 8075.50ns INFO [00008077] Port=0 WR @04=0BCC9C7B + 8075.50ns INFO [00008077] Port=0 RD @0A + 8076.50ns INFO [00008078] * RD COMPARE * port=0 adr=01 act=E8051443 exp=E8051443 + 8076.50ns INFO [00008078] * RD COMPARE * port=1 adr=13 act=DCC187E7 exp=DCC187E7 + 8076.50ns INFO [00008078] Port=1 RD @14 + 8077.50ns INFO [00008079] * RD COMPARE * port=0 adr=0A act=FFF17258 exp=FFF17258 + 8077.50ns INFO [00008079] Port=0 RD @01 + 8077.50ns INFO [00008079] Port=1 RD @08 + 8078.50ns INFO [00008080] * RD COMPARE * port=1 adr=14 act=7DA14A63 exp=7DA14A63 + 8078.50ns INFO [00008080] Port=0 RD @0F + 8079.50ns INFO [00008081] * RD COMPARE * port=0 adr=01 act=E8051443 exp=E8051443 + 8079.50ns INFO [00008081] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 8079.50ns INFO [00008081] Port=0 WR @0D=CC29B0CA + 8079.50ns INFO [00008081] Port=0 RD @1F + 8080.50ns INFO [00008082] * RD COMPARE * port=0 adr=0F act=42A7FE86 exp=42A7FE86 + 8081.50ns INFO [00008083] * RD COMPARE * port=0 adr=1F act=32D3024E exp=32D3024E + 8081.50ns INFO [00008083] Port=0 WR @1C=36476AA5 + 8081.50ns INFO [00008083] Port=0 RD @0E + 8081.50ns INFO [00008083] Port=1 RD @04 + 8082.50ns INFO [00008084] Port=0 WR @15=CF984ABD + 8083.50ns INFO [00008085] * RD COMPARE * port=0 adr=0E act=F030EBE2 exp=F030EBE2 + 8083.50ns INFO [00008085] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8083.50ns INFO [00008085] Port=1 RD @0D + 8084.50ns INFO [00008086] Port=0 RD @05 + 8085.50ns INFO [00008087] * RD COMPARE * port=1 adr=0D act=CC29B0CA exp=CC29B0CA + 8085.50ns INFO [00008087] Port=0 WR @1F=83624F19 + 8085.50ns INFO [00008087] Port=0 RD @0B + 8086.50ns INFO [00008088] * RD COMPARE * port=0 adr=05 act=46B826A2 exp=46B826A2 + 8086.50ns INFO [00008088] Port=0 WR @16=23DAAC02 + 8087.50ns INFO [00008089] * RD COMPARE * port=0 adr=0B act=76D7E094 exp=76D7E094 + 8087.50ns INFO [00008089] Port=0 WR @15=F06E4B2C + 8089.50ns INFO [00008091] Port=0 RD @0A + 8089.50ns INFO [00008091] Port=1 RD @08 + 8090.50ns INFO [00008092] Port=0 WR @1B=A96BD786 + 8091.50ns INFO [00008093] * RD COMPARE * port=0 adr=0A act=FFF17258 exp=FFF17258 + 8091.50ns INFO [00008093] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 8091.50ns INFO [00008093] Port=0 WR @1B=E80349F7 + 8093.50ns INFO [00008095] Port=1 RD @04 + 8094.50ns INFO [00008096] Port=0 WR @0C=F55BDAB0 + 8095.50ns INFO [00008097] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8096.50ns INFO [00008098] Port=0 RD @1B + 8096.50ns INFO [00008098] Port=1 RD @1E + 8097.50ns INFO [00008099] Port=0 WR @12=45CDC162 + 8098.00ns INFO [00008100] [00008100] ...tick... + 8098.50ns INFO [00008100] * RD COMPARE * port=0 adr=1B act=E80349F7 exp=E80349F7 + 8098.50ns INFO [00008100] * RD COMPARE * port=1 adr=1E act=CC81659C exp=CC81659C + 8099.50ns INFO [00008101] Port=1 RD @15 + 8100.50ns INFO [00008102] Port=0 RD @15 + 8101.50ns INFO [00008103] * RD COMPARE * port=1 adr=15 act=F06E4B2C exp=F06E4B2C + 8101.50ns INFO [00008103] Port=0 RD @09 + 8101.50ns INFO [00008103] Port=1 RD @04 + 8102.50ns INFO [00008104] * RD COMPARE * port=0 adr=15 act=F06E4B2C exp=F06E4B2C + 8102.50ns INFO [00008104] Port=1 RD @17 + 8103.50ns INFO [00008105] * RD COMPARE * port=0 adr=09 act=511C7A63 exp=511C7A63 + 8103.50ns INFO [00008105] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8103.50ns INFO [00008105] Port=0 RD @1B + 8104.50ns INFO [00008106] * RD COMPARE * port=1 adr=17 act=F3AA6CF2 exp=F3AA6CF2 + 8104.50ns INFO [00008106] Port=0 WR @0E=2B719DF1 + 8105.50ns INFO [00008107] * RD COMPARE * port=0 adr=1B act=E80349F7 exp=E80349F7 + 8105.50ns INFO [00008107] Port=0 WR @10=AF9F917B + 8106.50ns INFO [00008108] Port=0 WR @18=317C9587 + 8106.50ns INFO [00008108] Port=1 RD @17 + 8107.50ns INFO [00008109] Port=1 RD @10 + 8108.50ns INFO [00008110] * RD COMPARE * port=1 adr=17 act=F3AA6CF2 exp=F3AA6CF2 + 8108.50ns INFO [00008110] Port=0 WR @11=CE2E58C4 + 8108.50ns INFO [00008110] Port=1 RD @09 + 8109.50ns INFO [00008111] * RD COMPARE * port=1 adr=10 act=AF9F917B exp=AF9F917B + 8110.50ns INFO [00008112] * RD COMPARE * port=1 adr=09 act=511C7A63 exp=511C7A63 + 8110.50ns INFO [00008112] Port=0 WR @1B=6C7E9B72 + 8110.50ns INFO [00008112] Port=1 RD @1F + 8111.50ns INFO [00008113] Port=0 RD @0A + 8112.50ns INFO [00008114] * RD COMPARE * port=1 adr=1F act=83624F19 exp=83624F19 + 8113.50ns INFO [00008115] * RD COMPARE * port=0 adr=0A act=FFF17258 exp=FFF17258 + 8114.50ns INFO [00008116] Port=0 RD @13 + 8114.50ns INFO [00008116] Port=1 RD @07 + 8116.50ns INFO [00008118] * RD COMPARE * port=0 adr=13 act=DCC187E7 exp=DCC187E7 + 8116.50ns INFO [00008118] * RD COMPARE * port=1 adr=07 act=0DB5064C exp=0DB5064C + 8116.50ns INFO [00008118] Port=0 WR @1D=5B5D6B52 + 8117.50ns INFO [00008119] Port=0 RD @14 + 8117.50ns INFO [00008119] Port=1 RD @1C + 8119.50ns INFO [00008121] * RD COMPARE * port=0 adr=14 act=7DA14A63 exp=7DA14A63 + 8119.50ns INFO [00008121] * RD COMPARE * port=1 adr=1C act=36476AA5 exp=36476AA5 + 8119.50ns INFO [00008121] Port=0 WR @15=2BB30538 + 8119.50ns INFO [00008121] Port=0 RD @14 + 8120.50ns INFO [00008122] Port=0 RD @10 + 8121.50ns INFO [00008123] * RD COMPARE * port=0 adr=14 act=7DA14A63 exp=7DA14A63 + 8121.50ns INFO [00008123] Port=0 RD @07 + 8122.50ns INFO [00008124] * RD COMPARE * port=0 adr=10 act=AF9F917B exp=AF9F917B + 8123.50ns INFO [00008125] * RD COMPARE * port=0 adr=07 act=0DB5064C exp=0DB5064C + 8123.50ns INFO [00008125] Port=0 RD @12 + 8125.50ns INFO [00008127] * RD COMPARE * port=0 adr=12 act=45CDC162 exp=45CDC162 + 8125.50ns INFO [00008127] Port=0 WR @03=70EFFCDB + 8126.50ns INFO [00008128] Port=0 WR @19=14EDB58D + 8126.50ns INFO [00008128] Port=0 RD @10 + 8128.50ns INFO [00008130] * RD COMPARE * port=0 adr=10 act=AF9F917B exp=AF9F917B + 8128.50ns INFO [00008130] Port=0 WR @1D=2D3E0838 + 8129.50ns INFO [00008131] Port=1 RD @11 + 8130.50ns INFO [00008132] Port=1 RD @19 + 8131.50ns INFO [00008133] * RD COMPARE * port=1 adr=11 act=CE2E58C4 exp=CE2E58C4 + 8132.50ns INFO [00008134] * RD COMPARE * port=1 adr=19 act=14EDB58D exp=14EDB58D + 8132.50ns INFO [00008134] Port=0 WR @00=16A64993 + 8133.50ns INFO [00008135] Port=1 RD @15 + 8135.50ns INFO [00008137] * RD COMPARE * port=1 adr=15 act=2BB30538 exp=2BB30538 + 8135.50ns INFO [00008137] Port=0 RD @1B + 8136.50ns INFO [00008138] Port=1 RD @0F + 8137.50ns INFO [00008139] * RD COMPARE * port=0 adr=1B act=6C7E9B72 exp=6C7E9B72 + 8137.50ns INFO [00008139] Port=0 WR @11=5F0BA43C + 8137.50ns INFO [00008139] Port=0 RD @1E + 8137.50ns INFO [00008139] Port=1 RD @15 + 8138.50ns INFO [00008140] * RD COMPARE * port=1 adr=0F act=42A7FE86 exp=42A7FE86 + 8138.50ns INFO [00008140] Port=0 WR @1D=5DF550F2 + 8139.50ns INFO [00008141] * RD COMPARE * port=0 adr=1E act=CC81659C exp=CC81659C + 8139.50ns INFO [00008141] * RD COMPARE * port=1 adr=15 act=2BB30538 exp=2BB30538 + 8139.50ns INFO [00008141] Port=0 WR @17=C44D4A4A + 8139.50ns INFO [00008141] Port=1 RD @04 + 8140.50ns INFO [00008142] Port=0 WR @1A=EF1D615C + 8140.50ns INFO [00008142] Port=0 RD @14 + 8140.50ns INFO [00008142] Port=1 RD @08 + 8141.50ns INFO [00008143] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8141.50ns INFO [00008143] Port=0 RD @00 + 8141.50ns INFO [00008143] Port=1 RD @0F + 8142.50ns INFO [00008144] * RD COMPARE * port=0 adr=14 act=7DA14A63 exp=7DA14A63 + 8142.50ns INFO [00008144] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76 + 8142.50ns INFO [00008144] Port=0 RD @01 + 8143.50ns INFO [00008145] * RD COMPARE * port=0 adr=00 act=16A64993 exp=16A64993 + 8143.50ns INFO [00008145] * RD COMPARE * port=1 adr=0F act=42A7FE86 exp=42A7FE86 + 8143.50ns INFO [00008145] Port=0 WR @02=9E902CE1 + 8143.50ns INFO [00008145] Port=0 RD @01 + 8143.50ns INFO [00008145] Port=1 RD @16 + 8144.50ns INFO [00008146] * RD COMPARE * port=0 adr=01 act=E8051443 exp=E8051443 + 8144.50ns INFO [00008146] Port=0 RD @1F + 8144.50ns INFO [00008146] Port=1 RD @12 + 8145.50ns INFO [00008147] * RD COMPARE * port=0 adr=01 act=E8051443 exp=E8051443 + 8145.50ns INFO [00008147] * RD COMPARE * port=1 adr=16 act=23DAAC02 exp=23DAAC02 + 8146.50ns INFO [00008148] * RD COMPARE * port=0 adr=1F act=83624F19 exp=83624F19 + 8146.50ns INFO [00008148] * RD COMPARE * port=1 adr=12 act=45CDC162 exp=45CDC162 + 8146.50ns INFO [00008148] Port=0 RD @1B + 8146.50ns INFO [00008148] Port=1 RD @18 + 8148.50ns INFO [00008150] * RD COMPARE * port=0 adr=1B act=6C7E9B72 exp=6C7E9B72 + 8148.50ns INFO [00008150] * RD COMPARE * port=1 adr=18 act=317C9587 exp=317C9587 + 8148.50ns INFO [00008150] Port=0 WR @0A=CC56E7FE + 8148.50ns INFO [00008150] Port=0 RD @02 + 8149.50ns INFO [00008151] Port=0 RD @02 + 8149.50ns INFO [00008151] Port=1 RD @1D + 8150.50ns INFO [00008152] * RD COMPARE * port=0 adr=02 act=9E902CE1 exp=9E902CE1 + 8150.50ns INFO [00008152] Port=0 RD @15 + 8151.50ns INFO [00008153] * RD COMPARE * port=0 adr=02 act=9E902CE1 exp=9E902CE1 + 8151.50ns INFO [00008153] * RD COMPARE * port=1 adr=1D act=5DF550F2 exp=5DF550F2 + 8151.50ns INFO [00008153] Port=0 WR @09=1C93E1DE + 8152.50ns INFO [00008154] * RD COMPARE * port=0 adr=15 act=2BB30538 exp=2BB30538 + 8152.50ns INFO [00008154] Port=1 RD @1B + 8153.50ns INFO [00008155] Port=0 WR @1F=7B1AA252 + 8153.50ns INFO [00008155] Port=0 RD @07 + 8154.50ns INFO [00008156] * RD COMPARE * port=1 adr=1B act=6C7E9B72 exp=6C7E9B72 + 8154.50ns INFO [00008156] Port=1 RD @0C + 8155.50ns INFO [00008157] * RD COMPARE * port=0 adr=07 act=0DB5064C exp=0DB5064C + 8155.50ns INFO [00008157] Port=0 WR @02=DBCA325A + 8155.50ns INFO [00008157] Port=0 RD @0A + 8156.50ns INFO [00008158] * RD COMPARE * port=1 adr=0C act=F55BDAB0 exp=F55BDAB0 + 8156.50ns INFO [00008158] Port=0 WR @1B=E33E5AF0 + 8156.50ns INFO [00008158] Port=0 RD @0D + 8156.50ns INFO [00008158] Port=1 RD @05 + 8157.50ns INFO [00008159] * RD COMPARE * port=0 adr=0A act=CC56E7FE exp=CC56E7FE + 8157.50ns INFO [00008159] Port=0 RD @0B + 8157.50ns INFO [00008159] Port=1 RD @10 + 8158.50ns INFO [00008160] * RD COMPARE * port=0 adr=0D act=CC29B0CA exp=CC29B0CA + 8158.50ns INFO [00008160] * RD COMPARE * port=1 adr=05 act=46B826A2 exp=46B826A2 + 8158.50ns INFO [00008160] Port=0 WR @13=9B328DAD + 8159.50ns INFO [00008161] * RD COMPARE * port=0 adr=0B act=76D7E094 exp=76D7E094 + 8159.50ns INFO [00008161] * RD COMPARE * port=1 adr=10 act=AF9F917B exp=AF9F917B + 8159.50ns INFO [00008161] Port=0 WR @05=9E378B7E + 8159.50ns INFO [00008161] Port=0 RD @1C + 8159.50ns INFO [00008161] Port=1 RD @02 + 8160.50ns INFO [00008162] Port=0 RD @17 + 8161.50ns INFO [00008163] * RD COMPARE * port=0 adr=1C act=36476AA5 exp=36476AA5 + 8161.50ns INFO [00008163] * RD COMPARE * port=1 adr=02 act=DBCA325A exp=DBCA325A + 8162.50ns INFO [00008164] * RD COMPARE * port=0 adr=17 act=C44D4A4A exp=C44D4A4A + 8162.50ns INFO [00008164] Port=0 WR @00=E50C3019 + 8163.50ns INFO [00008165] Port=0 WR @0D=7FFDEA8A + 8164.50ns INFO [00008166] Port=0 WR @06=65D31D8C + 8164.50ns INFO [00008166] Port=0 RD @05 + 8165.50ns INFO [00008167] Port=0 RD @12 + 8165.50ns INFO [00008167] Port=1 RD @10 + 8166.50ns INFO [00008168] * RD COMPARE * port=0 adr=05 act=9E378B7E exp=9E378B7E + 8166.50ns INFO [00008168] Port=0 WR @14=377CC79F + 8167.50ns INFO [00008169] * RD COMPARE * port=0 adr=12 act=45CDC162 exp=45CDC162 + 8167.50ns INFO [00008169] * RD COMPARE * port=1 adr=10 act=AF9F917B exp=AF9F917B + 8167.50ns INFO [00008169] Port=0 RD @18 + 8168.50ns INFO [00008170] Port=0 WR @1A=B8288C5B + 8169.50ns INFO [00008171] * RD COMPARE * port=0 adr=18 act=317C9587 exp=317C9587 + 8169.50ns INFO [00008171] Port=0 RD @19 + 8169.50ns INFO [00008171] Port=1 RD @12 + 8171.50ns INFO [00008173] * RD COMPARE * port=0 adr=19 act=14EDB58D exp=14EDB58D + 8171.50ns INFO [00008173] * RD COMPARE * port=1 adr=12 act=45CDC162 exp=45CDC162 + 8171.50ns INFO [00008173] Port=0 WR @17=6C5C2141 + 8172.50ns INFO [00008174] Port=0 WR @1D=7E5C865F + 8173.50ns INFO [00008175] Port=0 WR @0E=6AEB5E68 + 8173.50ns INFO [00008175] Port=0 RD @0F + 8173.50ns INFO [00008175] Port=1 RD @1A + 8174.50ns INFO [00008176] Port=0 RD @09 + 8174.50ns INFO [00008176] Port=1 RD @11 + 8175.50ns INFO [00008177] * RD COMPARE * port=0 adr=0F act=42A7FE86 exp=42A7FE86 + 8175.50ns INFO [00008177] * RD COMPARE * port=1 adr=1A act=B8288C5B exp=B8288C5B + 8175.50ns INFO [00008177] Port=0 WR @0B=02920FA8 + 8175.50ns INFO [00008177] Port=0 RD @1B + 8176.50ns INFO [00008178] * RD COMPARE * port=0 adr=09 act=1C93E1DE exp=1C93E1DE + 8176.50ns INFO [00008178] * RD COMPARE * port=1 adr=11 act=5F0BA43C exp=5F0BA43C + 8177.50ns INFO [00008179] * RD COMPARE * port=0 adr=1B act=E33E5AF0 exp=E33E5AF0 + 8177.50ns INFO [00008179] Port=0 WR @17=600B21AE + 8177.50ns INFO [00008179] Port=1 RD @0A + 8178.50ns INFO [00008180] Port=0 WR @18=E772D8FB + 8178.50ns INFO [00008180] Port=1 RD @00 + 8179.50ns INFO [00008181] * RD COMPARE * port=1 adr=0A act=CC56E7FE exp=CC56E7FE + 8179.50ns INFO [00008181] Port=0 WR @1E=0C6AE80C + 8179.50ns INFO [00008181] Port=0 RD @1B + 8180.50ns INFO [00008182] * RD COMPARE * port=1 adr=00 act=E50C3019 exp=E50C3019 + 8180.50ns INFO [00008182] Port=0 WR @0F=E7D42BA8 + 8181.50ns INFO [00008183] * RD COMPARE * port=0 adr=1B act=E33E5AF0 exp=E33E5AF0 + 8182.50ns INFO [00008184] Port=0 WR @08=CBBC0F93 + 8183.50ns INFO [00008185] Port=0 WR @10=66538DB5 + 8183.50ns INFO [00008185] Port=0 RD @04 + 8185.50ns INFO [00008187] * RD COMPARE * port=0 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8185.50ns INFO [00008187] Port=1 RD @1F + 8186.50ns INFO [00008188] Port=0 WR @13=AFD2206C + 8187.50ns INFO [00008189] * RD COMPARE * port=1 adr=1F act=7B1AA252 exp=7B1AA252 + 8187.50ns INFO [00008189] Port=0 RD @1D + 8188.50ns INFO [00008190] Port=0 RD @0D + 8189.50ns INFO [00008191] * RD COMPARE * port=0 adr=1D act=7E5C865F exp=7E5C865F + 8189.50ns INFO [00008191] Port=0 RD @0D + 8189.50ns INFO [00008191] Port=1 RD @0A + 8190.50ns INFO [00008192] * RD COMPARE * port=0 adr=0D act=7FFDEA8A exp=7FFDEA8A + 8190.50ns INFO [00008192] Port=0 RD @1E + 8190.50ns INFO [00008192] Port=1 RD @04 + 8191.50ns INFO [00008193] * RD COMPARE * port=0 adr=0D act=7FFDEA8A exp=7FFDEA8A + 8191.50ns INFO [00008193] * RD COMPARE * port=1 adr=0A act=CC56E7FE exp=CC56E7FE + 8191.50ns INFO [00008193] Port=0 RD @15 + 8191.50ns INFO [00008193] Port=1 RD @0D + 8192.50ns INFO [00008194] * RD COMPARE * port=0 adr=1E act=0C6AE80C exp=0C6AE80C + 8192.50ns INFO [00008194] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8192.50ns INFO [00008194] Port=1 RD @04 + 8193.50ns INFO [00008195] * RD COMPARE * port=0 adr=15 act=2BB30538 exp=2BB30538 + 8193.50ns INFO [00008195] * RD COMPARE * port=1 adr=0D act=7FFDEA8A exp=7FFDEA8A + 8193.50ns INFO [00008195] Port=0 RD @0C + 8193.50ns INFO [00008195] Port=1 RD @1E + 8194.50ns INFO [00008196] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8194.50ns INFO [00008196] Port=1 RD @0F + 8195.50ns INFO [00008197] * RD COMPARE * port=0 adr=0C act=F55BDAB0 exp=F55BDAB0 + 8195.50ns INFO [00008197] * RD COMPARE * port=1 adr=1E act=0C6AE80C exp=0C6AE80C + 8196.50ns INFO [00008198] * RD COMPARE * port=1 adr=0F act=E7D42BA8 exp=E7D42BA8 + 8196.50ns INFO [00008198] Port=0 WR @13=E4E77BFE + 8196.50ns INFO [00008198] Port=0 RD @04 + 8198.00ns INFO [00008200] [00008200] ...tick... + 8198.50ns INFO [00008200] * RD COMPARE * port=0 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8198.50ns INFO [00008200] Port=1 RD @02 + 8199.50ns INFO [00008201] Port=1 RD @04 + 8200.50ns INFO [00008202] * RD COMPARE * port=1 adr=02 act=DBCA325A exp=DBCA325A + 8200.50ns INFO [00008202] Port=0 WR @15=DD208DC3 + 8200.50ns INFO [00008202] Port=1 RD @1B + 8201.50ns INFO [00008203] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8201.50ns INFO [00008203] Port=0 WR @09=F139C9C7 + 8201.50ns INFO [00008203] Port=0 RD @17 + 8201.50ns INFO [00008203] Port=1 RD @0D + 8202.50ns INFO [00008204] * RD COMPARE * port=1 adr=1B act=E33E5AF0 exp=E33E5AF0 + 8202.50ns INFO [00008204] Port=0 WR @17=98048FC5 + 8202.50ns INFO [00008204] Port=0 RD @06 + 8202.50ns INFO [00008204] Port=1 RD @04 + 8203.50ns INFO [00008205] * RD COMPARE * port=0 adr=17 act=600B21AE exp=600B21AE + 8203.50ns INFO [00008205] * RD COMPARE * port=1 adr=0D act=7FFDEA8A exp=7FFDEA8A + 8203.50ns INFO [00008205] Port=0 WR @10=83CDA239 + 8204.50ns INFO [00008206] * RD COMPARE * port=0 adr=06 act=65D31D8C exp=65D31D8C + 8204.50ns INFO [00008206] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8204.50ns INFO [00008206] Port=1 RD @16 + 8205.50ns INFO [00008207] Port=0 WR @05=A8F06316 + 8205.50ns INFO [00008207] Port=1 RD @18 + 8206.50ns INFO [00008208] * RD COMPARE * port=1 adr=16 act=23DAAC02 exp=23DAAC02 + 8206.50ns INFO [00008208] Port=0 RD @0B + 8207.50ns INFO [00008209] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB + 8207.50ns INFO [00008209] Port=0 RD @10 + 8208.50ns INFO [00008210] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8 + 8208.50ns INFO [00008210] Port=0 WR @0C=9BDB7CDF + 8208.50ns INFO [00008210] Port=0 RD @0B + 8208.50ns INFO [00008210] Port=1 RD @1B + 8209.50ns INFO [00008211] * RD COMPARE * port=0 adr=10 act=83CDA239 exp=83CDA239 + 8210.50ns INFO [00008212] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8 + 8210.50ns INFO [00008212] * RD COMPARE * port=1 adr=1B act=E33E5AF0 exp=E33E5AF0 + 8212.50ns INFO [00008214] Port=0 WR @1A=54BEDAA9 + 8212.50ns INFO [00008214] Port=0 RD @10 + 8212.50ns INFO [00008214] Port=1 RD @19 + 8214.50ns INFO [00008216] * RD COMPARE * port=0 adr=10 act=83CDA239 exp=83CDA239 + 8214.50ns INFO [00008216] * RD COMPARE * port=1 adr=19 act=14EDB58D exp=14EDB58D + 8214.50ns INFO [00008216] Port=0 WR @03=F4432C85 + 8214.50ns INFO [00008216] Port=1 RD @0C + 8215.50ns INFO [00008217] Port=0 WR @0D=38224647 + 8215.50ns INFO [00008217] Port=0 RD @03 + 8216.50ns INFO [00008218] * RD COMPARE * port=1 adr=0C act=9BDB7CDF exp=9BDB7CDF + 8216.50ns INFO [00008218] Port=0 RD @1B + 8216.50ns INFO [00008218] Port=1 RD @11 + 8217.50ns INFO [00008219] * RD COMPARE * port=0 adr=03 act=F4432C85 exp=F4432C85 + 8217.50ns INFO [00008219] Port=0 WR @07=65ED2FE1 + 8218.50ns INFO [00008220] * RD COMPARE * port=0 adr=1B act=E33E5AF0 exp=E33E5AF0 + 8218.50ns INFO [00008220] * RD COMPARE * port=1 adr=11 act=5F0BA43C exp=5F0BA43C + 8220.50ns INFO [00008222] Port=0 RD @0D + 8220.50ns INFO [00008222] Port=1 RD @0B + 8221.50ns INFO [00008223] Port=1 RD @16 + 8222.50ns INFO [00008224] * RD COMPARE * port=0 adr=0D act=38224647 exp=38224647 + 8222.50ns INFO [00008224] * RD COMPARE * port=1 adr=0B act=02920FA8 exp=02920FA8 + 8223.50ns INFO [00008225] * RD COMPARE * port=1 adr=16 act=23DAAC02 exp=23DAAC02 + 8223.50ns INFO [00008225] Port=0 WR @11=993CA442 + 8224.50ns INFO [00008226] Port=1 RD @03 + 8226.50ns INFO [00008228] * RD COMPARE * port=1 adr=03 act=F4432C85 exp=F4432C85 + 8226.50ns INFO [00008228] Port=1 RD @15 + 8227.50ns INFO [00008229] Port=0 WR @0F=3101F665 + 8227.50ns INFO [00008229] Port=1 RD @02 + 8228.50ns INFO [00008230] * RD COMPARE * port=1 adr=15 act=DD208DC3 exp=DD208DC3 + 8228.50ns INFO [00008230] Port=0 WR @12=D931D1F5 + 8228.50ns INFO [00008230] Port=0 RD @0C + 8229.50ns INFO [00008231] * RD COMPARE * port=1 adr=02 act=DBCA325A exp=DBCA325A + 8229.50ns INFO [00008231] Port=0 WR @17=CCECAEA8 + 8229.50ns INFO [00008231] Port=1 RD @01 + 8230.50ns INFO [00008232] * RD COMPARE * port=0 adr=0C act=9BDB7CDF exp=9BDB7CDF + 8230.50ns INFO [00008232] Port=0 RD @0D + 8231.50ns INFO [00008233] * RD COMPARE * port=1 adr=01 act=E8051443 exp=E8051443 + 8231.50ns INFO [00008233] Port=0 RD @17 + 8231.50ns INFO [00008233] Port=1 RD @1E + 8232.50ns INFO [00008234] * RD COMPARE * port=0 adr=0D act=38224647 exp=38224647 + 8232.50ns INFO [00008234] Port=0 RD @13 + 8233.50ns INFO [00008235] * RD COMPARE * port=0 adr=17 act=CCECAEA8 exp=CCECAEA8 + 8233.50ns INFO [00008235] * RD COMPARE * port=1 adr=1E act=0C6AE80C exp=0C6AE80C + 8233.50ns INFO [00008235] Port=0 WR @01=4026D9CA + 8233.50ns INFO [00008235] Port=0 RD @0E + 8233.50ns INFO [00008235] Port=1 RD @0E + 8234.50ns INFO [00008236] * RD COMPARE * port=0 adr=13 act=E4E77BFE exp=E4E77BFE + 8234.50ns INFO [00008236] Port=0 RD @02 + 8234.50ns INFO [00008236] Port=1 RD @10 + 8235.50ns INFO [00008237] * RD COMPARE * port=0 adr=0E act=6AEB5E68 exp=6AEB5E68 + 8235.50ns INFO [00008237] * RD COMPARE * port=1 adr=0E act=6AEB5E68 exp=6AEB5E68 + 8236.50ns INFO [00008238] * RD COMPARE * port=0 adr=02 act=DBCA325A exp=DBCA325A + 8236.50ns INFO [00008238] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239 + 8236.50ns INFO [00008238] Port=0 WR @16=CB50C577 + 8236.50ns INFO [00008238] Port=0 RD @1F + 8237.50ns INFO [00008239] Port=0 RD @07 + 8238.50ns INFO [00008240] * RD COMPARE * port=0 adr=1F act=7B1AA252 exp=7B1AA252 + 8238.50ns INFO [00008240] Port=0 RD @0B + 8238.50ns INFO [00008240] Port=1 RD @00 + 8239.50ns INFO [00008241] * RD COMPARE * port=0 adr=07 act=65ED2FE1 exp=65ED2FE1 + 8240.50ns INFO [00008242] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8 + 8240.50ns INFO [00008242] * RD COMPARE * port=1 adr=00 act=E50C3019 exp=E50C3019 + 8240.50ns INFO [00008242] Port=0 WR @15=2CD60F67 + 8241.50ns INFO [00008243] Port=0 WR @1B=842F3299 + 8242.50ns INFO [00008244] Port=0 WR @01=AC434C80 + 8242.50ns INFO [00008244] Port=1 RD @1A + 8243.50ns INFO [00008245] Port=0 WR @0D=9BDB9123 + 8243.50ns INFO [00008245] Port=0 RD @12 + 8244.50ns INFO [00008246] * RD COMPARE * port=1 adr=1A act=54BEDAA9 exp=54BEDAA9 + 8245.50ns INFO [00008247] * RD COMPARE * port=0 adr=12 act=D931D1F5 exp=D931D1F5 + 8245.50ns INFO [00008247] Port=0 RD @13 + 8245.50ns INFO [00008247] Port=1 RD @13 + 8246.50ns INFO [00008248] Port=0 RD @1B + 8247.50ns INFO [00008249] * RD COMPARE * port=0 adr=13 act=E4E77BFE exp=E4E77BFE + 8247.50ns INFO [00008249] * RD COMPARE * port=1 adr=13 act=E4E77BFE exp=E4E77BFE + 8247.50ns INFO [00008249] Port=0 WR @11=B8F615A2 + 8247.50ns INFO [00008249] Port=0 RD @14 + 8247.50ns INFO [00008249] Port=1 RD @04 + 8248.50ns INFO [00008250] * RD COMPARE * port=0 adr=1B act=842F3299 exp=842F3299 + 8248.50ns INFO [00008250] Port=1 RD @02 + 8249.50ns INFO [00008251] * RD COMPARE * port=0 adr=14 act=377CC79F exp=377CC79F + 8249.50ns INFO [00008251] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8249.50ns INFO [00008251] Port=0 WR @05=37442039 + 8250.50ns INFO [00008252] * RD COMPARE * port=1 adr=02 act=DBCA325A exp=DBCA325A + 8250.50ns INFO [00008252] Port=1 RD @14 + 8251.50ns INFO [00008253] Port=0 RD @09 + 8252.50ns INFO [00008254] * RD COMPARE * port=1 adr=14 act=377CC79F exp=377CC79F + 8252.50ns INFO [00008254] Port=0 RD @10 + 8253.50ns INFO [00008255] * RD COMPARE * port=0 adr=09 act=F139C9C7 exp=F139C9C7 + 8253.50ns INFO [00008255] Port=0 WR @02=5729304C + 8253.50ns INFO [00008255] Port=0 RD @0B + 8254.50ns INFO [00008256] * RD COMPARE * port=0 adr=10 act=83CDA239 exp=83CDA239 + 8254.50ns INFO [00008256] Port=0 WR @08=EDCCBF41 + 8254.50ns INFO [00008256] Port=0 RD @18 + 8255.50ns INFO [00008257] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8 + 8255.50ns INFO [00008257] Port=0 WR @1C=8896B861 + 8255.50ns INFO [00008257] Port=1 RD @16 + 8256.50ns INFO [00008258] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB + 8256.50ns INFO [00008258] Port=0 WR @0C=D53909CB + 8256.50ns INFO [00008258] Port=0 RD @10 + 8257.50ns INFO [00008259] * RD COMPARE * port=1 adr=16 act=CB50C577 exp=CB50C577 + 8257.50ns INFO [00008259] Port=0 RD @1A + 8257.50ns INFO [00008259] Port=1 RD @05 + 8258.50ns INFO [00008260] * RD COMPARE * port=0 adr=10 act=83CDA239 exp=83CDA239 + 8258.50ns INFO [00008260] Port=0 RD @0B + 8259.50ns INFO [00008261] * RD COMPARE * port=0 adr=1A act=54BEDAA9 exp=54BEDAA9 + 8259.50ns INFO [00008261] * RD COMPARE * port=1 adr=05 act=37442039 exp=37442039 + 8259.50ns INFO [00008261] Port=0 WR @1A=3BF689BA + 8259.50ns INFO [00008261] Port=0 RD @12 + 8260.50ns INFO [00008262] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8 + 8260.50ns INFO [00008262] Port=0 RD @13 + 8261.50ns INFO [00008263] * RD COMPARE * port=0 adr=12 act=D931D1F5 exp=D931D1F5 + 8262.50ns INFO [00008264] * RD COMPARE * port=0 adr=13 act=E4E77BFE exp=E4E77BFE + 8262.50ns INFO [00008264] Port=1 RD @11 + 8263.50ns INFO [00008265] Port=0 RD @18 + 8264.50ns INFO [00008266] * RD COMPARE * port=1 adr=11 act=B8F615A2 exp=B8F615A2 + 8264.50ns INFO [00008266] Port=0 WR @0C=B56E810C + 8264.50ns INFO [00008266] Port=1 RD @1C + 8265.50ns INFO [00008267] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB + 8266.50ns INFO [00008268] * RD COMPARE * port=1 adr=1C act=8896B861 exp=8896B861 + 8266.50ns INFO [00008268] Port=0 WR @0F=C7F2C099 + 8266.50ns INFO [00008268] Port=0 RD @16 + 8267.50ns INFO [00008269] Port=0 RD @15 + 8268.50ns INFO [00008270] * RD COMPARE * port=0 adr=16 act=CB50C577 exp=CB50C577 + 8268.50ns INFO [00008270] Port=0 WR @1D=90E5D605 + 8269.50ns INFO [00008271] * RD COMPARE * port=0 adr=15 act=2CD60F67 exp=2CD60F67 + 8269.50ns INFO [00008271] Port=0 WR @11=5FE90200 + 8269.50ns INFO [00008271] Port=0 RD @1A + 8270.50ns INFO [00008272] Port=0 WR @02=0A4706EE + 8270.50ns INFO [00008272] Port=1 RD @18 + 8271.50ns INFO [00008273] * RD COMPARE * port=0 adr=1A act=3BF689BA exp=3BF689BA + 8271.50ns INFO [00008273] Port=0 RD @01 + 8272.50ns INFO [00008274] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB + 8272.50ns INFO [00008274] Port=0 RD @12 + 8272.50ns INFO [00008274] Port=1 RD @03 + 8273.50ns INFO [00008275] * RD COMPARE * port=0 adr=01 act=AC434C80 exp=AC434C80 + 8273.50ns INFO [00008275] Port=0 WR @14=53ED8D06 + 8274.50ns INFO [00008276] * RD COMPARE * port=0 adr=12 act=D931D1F5 exp=D931D1F5 + 8274.50ns INFO [00008276] * RD COMPARE * port=1 adr=03 act=F4432C85 exp=F4432C85 + 8274.50ns INFO [00008276] Port=0 WR @11=787984BC + 8274.50ns INFO [00008276] Port=0 RD @05 + 8275.50ns INFO [00008277] Port=0 WR @03=82BA78B3 + 8275.50ns INFO [00008277] Port=0 RD @14 + 8276.50ns INFO [00008278] * RD COMPARE * port=0 adr=05 act=37442039 exp=37442039 + 8276.50ns INFO [00008278] Port=0 WR @1C=EA05D2A1 + 8276.50ns INFO [00008278] Port=0 RD @17 + 8276.50ns INFO [00008278] Port=1 RD @1D + 8277.50ns INFO [00008279] * RD COMPARE * port=0 adr=14 act=53ED8D06 exp=53ED8D06 + 8277.50ns INFO [00008279] Port=0 RD @1F + 8278.50ns INFO [00008280] * RD COMPARE * port=0 adr=17 act=CCECAEA8 exp=CCECAEA8 + 8278.50ns INFO [00008280] * RD COMPARE * port=1 adr=1D act=90E5D605 exp=90E5D605 + 8278.50ns INFO [00008280] Port=1 RD @18 + 8279.50ns INFO [00008281] * RD COMPARE * port=0 adr=1F act=7B1AA252 exp=7B1AA252 + 8279.50ns INFO [00008281] Port=0 WR @0E=220C2C70 + 8280.50ns INFO [00008282] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB + 8280.50ns INFO [00008282] Port=0 WR @00=F67E7DA8 + 8280.50ns INFO [00008282] Port=0 RD @01 + 8281.50ns INFO [00008283] Port=0 WR @07=A2BAB84D + 8281.50ns INFO [00008283] Port=0 RD @18 + 8281.50ns INFO [00008283] Port=1 RD @1A + 8282.50ns INFO [00008284] * RD COMPARE * port=0 adr=01 act=AC434C80 exp=AC434C80 + 8282.50ns INFO [00008284] Port=0 WR @17=B8DC63E3 + 8282.50ns INFO [00008284] Port=0 RD @00 + 8282.50ns INFO [00008284] Port=1 RD @1D + 8283.50ns INFO [00008285] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB + 8283.50ns INFO [00008285] * RD COMPARE * port=1 adr=1A act=3BF689BA exp=3BF689BA + 8283.50ns INFO [00008285] Port=0 WR @14=4D27DC72 + 8284.50ns INFO [00008286] * RD COMPARE * port=0 adr=00 act=F67E7DA8 exp=F67E7DA8 + 8284.50ns INFO [00008286] * RD COMPARE * port=1 adr=1D act=90E5D605 exp=90E5D605 + 8285.50ns INFO [00008287] Port=1 RD @1E + 8287.50ns INFO [00008289] * RD COMPARE * port=1 adr=1E act=0C6AE80C exp=0C6AE80C + 8287.50ns INFO [00008289] Port=0 WR @07=BF83C3C6 + 8287.50ns INFO [00008289] Port=0 RD @0A + 8287.50ns INFO [00008289] Port=1 RD @1C + 8288.50ns INFO [00008290] Port=0 RD @06 + 8288.50ns INFO [00008290] Port=1 RD @10 + 8289.50ns INFO [00008291] * RD COMPARE * port=0 adr=0A act=CC56E7FE exp=CC56E7FE + 8289.50ns INFO [00008291] * RD COMPARE * port=1 adr=1C act=EA05D2A1 exp=EA05D2A1 + 8289.50ns INFO [00008291] Port=0 RD @1E + 8289.50ns INFO [00008291] Port=1 RD @17 + 8290.50ns INFO [00008292] * RD COMPARE * port=0 adr=06 act=65D31D8C exp=65D31D8C + 8290.50ns INFO [00008292] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239 + 8290.50ns INFO [00008292] Port=0 WR @08=16A371D4 + 8290.50ns INFO [00008292] Port=1 RD @07 + 8291.50ns INFO [00008293] * RD COMPARE * port=0 adr=1E act=0C6AE80C exp=0C6AE80C + 8291.50ns INFO [00008293] * RD COMPARE * port=1 adr=17 act=B8DC63E3 exp=B8DC63E3 + 8291.50ns INFO [00008293] Port=0 WR @1B=72A7E1B0 + 8292.50ns INFO [00008294] * RD COMPARE * port=1 adr=07 act=BF83C3C6 exp=BF83C3C6 + 8292.50ns INFO [00008294] Port=0 RD @18 + 8292.50ns INFO [00008294] Port=1 RD @05 + 8293.50ns INFO [00008295] Port=0 WR @0D=F1C57390 + 8293.50ns INFO [00008295] Port=0 RD @07 + 8294.50ns INFO [00008296] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB + 8294.50ns INFO [00008296] * RD COMPARE * port=1 adr=05 act=37442039 exp=37442039 + 8294.50ns INFO [00008296] Port=0 RD @1A + 8295.50ns INFO [00008297] * RD COMPARE * port=0 adr=07 act=BF83C3C6 exp=BF83C3C6 + 8295.50ns INFO [00008297] Port=0 WR @1B=F7EE75DF + 8295.50ns INFO [00008297] Port=1 RD @17 + 8296.50ns INFO [00008298] * RD COMPARE * port=0 adr=1A act=3BF689BA exp=3BF689BA + 8297.50ns INFO [00008299] * RD COMPARE * port=1 adr=17 act=B8DC63E3 exp=B8DC63E3 + 8297.50ns INFO [00008299] Port=0 WR @15=963272A0 + 8297.50ns INFO [00008299] Port=0 RD @06 + 8298.00ns INFO [00008300] [00008300] ...tick... + 8298.50ns INFO [00008300] Port=0 RD @0A + 8299.50ns INFO [00008301] * RD COMPARE * port=0 adr=06 act=65D31D8C exp=65D31D8C + 8299.50ns INFO [00008301] Port=0 WR @0A=26D15854 + 8299.50ns INFO [00008301] Port=0 RD @18 + 8300.50ns INFO [00008302] * RD COMPARE * port=0 adr=0A act=CC56E7FE exp=CC56E7FE + 8300.50ns INFO [00008302] Port=0 WR @0F=C37B46C2 + 8300.50ns INFO [00008302] Port=0 RD @08 + 8301.50ns INFO [00008303] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB + 8302.50ns INFO [00008304] * RD COMPARE * port=0 adr=08 act=16A371D4 exp=16A371D4 + 8303.50ns INFO [00008305] Port=0 WR @1F=3DCDCEA7 + 8303.50ns INFO [00008305] Port=1 RD @00 + 8304.50ns INFO [00008306] Port=0 WR @16=100D1D72 + 8304.50ns INFO [00008306] Port=0 RD @0D + 8305.50ns INFO [00008307] * RD COMPARE * port=1 adr=00 act=F67E7DA8 exp=F67E7DA8 + 8305.50ns INFO [00008307] Port=0 RD @12 + 8306.50ns INFO [00008308] * RD COMPARE * port=0 adr=0D act=F1C57390 exp=F1C57390 + 8306.50ns INFO [00008308] Port=0 RD @05 + 8307.50ns INFO [00008309] * RD COMPARE * port=0 adr=12 act=D931D1F5 exp=D931D1F5 + 8307.50ns INFO [00008309] Port=0 WR @03=7A6CAD05 + 8308.50ns INFO [00008310] * RD COMPARE * port=0 adr=05 act=37442039 exp=37442039 + 8308.50ns INFO [00008310] Port=0 WR @1B=B243975B + 8309.50ns INFO [00008311] Port=1 RD @18 + 8310.50ns INFO [00008312] Port=0 WR @1A=6F0EF32B + 8310.50ns INFO [00008312] Port=1 RD @16 + 8311.50ns INFO [00008313] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB + 8311.50ns INFO [00008313] Port=1 RD @06 + 8312.50ns INFO [00008314] * RD COMPARE * port=1 adr=16 act=100D1D72 exp=100D1D72 + 8312.50ns INFO [00008314] Port=0 RD @04 + 8313.50ns INFO [00008315] * RD COMPARE * port=1 adr=06 act=65D31D8C exp=65D31D8C + 8313.50ns INFO [00008315] Port=1 RD @1C + 8314.50ns INFO [00008316] * RD COMPARE * port=0 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8314.50ns INFO [00008316] Port=1 RD @00 + 8315.50ns INFO [00008317] * RD COMPARE * port=1 adr=1C act=EA05D2A1 exp=EA05D2A1 + 8315.50ns INFO [00008317] Port=0 WR @1A=8787551C + 8316.50ns INFO [00008318] * RD COMPARE * port=1 adr=00 act=F67E7DA8 exp=F67E7DA8 + 8316.50ns INFO [00008318] Port=1 RD @06 + 8317.50ns INFO [00008319] Port=0 WR @1F=1B0835BB + 8317.50ns INFO [00008319] Port=1 RD @14 + 8318.50ns INFO [00008320] * RD COMPARE * port=1 adr=06 act=65D31D8C exp=65D31D8C + 8318.50ns INFO [00008320] Port=0 WR @05=45E042DE + 8318.50ns INFO [00008320] Port=1 RD @0D + 8319.50ns INFO [00008321] * RD COMPARE * port=1 adr=14 act=4D27DC72 exp=4D27DC72 + 8319.50ns INFO [00008321] Port=0 WR @08=DEFE9595 + 8319.50ns INFO [00008321] Port=0 RD @0B + 8320.50ns INFO [00008322] * RD COMPARE * port=1 adr=0D act=F1C57390 exp=F1C57390 + 8320.50ns INFO [00008322] Port=0 WR @01=80ED6386 + 8320.50ns INFO [00008322] Port=0 RD @0F + 8320.50ns INFO [00008322] Port=1 RD @1B + 8321.50ns INFO [00008323] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8 + 8321.50ns INFO [00008323] Port=0 RD @0E + 8321.50ns INFO [00008323] Port=1 RD @1E + 8322.50ns INFO [00008324] * RD COMPARE * port=0 adr=0F act=C37B46C2 exp=C37B46C2 + 8322.50ns INFO [00008324] * RD COMPARE * port=1 adr=1B act=B243975B exp=B243975B + 8322.50ns INFO [00008324] Port=0 RD @01 + 8323.50ns INFO [00008325] * RD COMPARE * port=0 adr=0E act=220C2C70 exp=220C2C70 + 8323.50ns INFO [00008325] * RD COMPARE * port=1 adr=1E act=0C6AE80C exp=0C6AE80C + 8323.50ns INFO [00008325] Port=0 WR @16=7C3ADAA6 + 8323.50ns INFO [00008325] Port=0 RD @17 + 8324.50ns INFO [00008326] * RD COMPARE * port=0 adr=01 act=80ED6386 exp=80ED6386 + 8324.50ns INFO [00008326] Port=1 RD @18 + 8325.50ns INFO [00008327] * RD COMPARE * port=0 adr=17 act=B8DC63E3 exp=B8DC63E3 + 8325.50ns INFO [00008327] Port=0 WR @06=4EF54525 + 8325.50ns INFO [00008327] Port=1 RD @16 + 8326.50ns INFO [00008328] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB + 8326.50ns INFO [00008328] Port=1 RD @0E + 8327.50ns INFO [00008329] * RD COMPARE * port=1 adr=16 act=7C3ADAA6 exp=7C3ADAA6 + 8327.50ns INFO [00008329] Port=0 WR @03=44F1E70F + 8327.50ns INFO [00008329] Port=0 RD @06 + 8328.50ns INFO [00008330] * RD COMPARE * port=1 adr=0E act=220C2C70 exp=220C2C70 + 8328.50ns INFO [00008330] Port=1 RD @08 + 8329.50ns INFO [00008331] * RD COMPARE * port=0 adr=06 act=4EF54525 exp=4EF54525 + 8329.50ns INFO [00008331] Port=0 RD @05 + 8329.50ns INFO [00008331] Port=1 RD @03 + 8330.50ns INFO [00008332] * RD COMPARE * port=1 adr=08 act=DEFE9595 exp=DEFE9595 + 8330.50ns INFO [00008332] Port=1 RD @10 + 8331.50ns INFO [00008333] * RD COMPARE * port=0 adr=05 act=45E042DE exp=45E042DE + 8331.50ns INFO [00008333] * RD COMPARE * port=1 adr=03 act=44F1E70F exp=44F1E70F + 8332.50ns INFO [00008334] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239 + 8334.50ns INFO [00008336] Port=0 WR @0A=53F1C493 + 8334.50ns INFO [00008336] Port=0 RD @0F + 8335.50ns INFO [00008337] Port=0 RD @0D + 8336.50ns INFO [00008338] * RD COMPARE * port=0 adr=0F act=C37B46C2 exp=C37B46C2 + 8336.50ns INFO [00008338] Port=0 WR @0B=FAEC02D3 + 8337.50ns INFO [00008339] * RD COMPARE * port=0 adr=0D act=F1C57390 exp=F1C57390 + 8337.50ns INFO [00008339] Port=1 RD @00 + 8338.50ns INFO [00008340] Port=0 WR @1A=9D31EF8E + 8338.50ns INFO [00008340] Port=0 RD @02 + 8339.50ns INFO [00008341] * RD COMPARE * port=1 adr=00 act=F67E7DA8 exp=F67E7DA8 + 8339.50ns INFO [00008341] Port=0 WR @16=5F674522 + 8340.50ns INFO [00008342] * RD COMPARE * port=0 adr=02 act=0A4706EE exp=0A4706EE + 8340.50ns INFO [00008342] Port=0 WR @1F=A8ADD9BA + 8340.50ns INFO [00008342] Port=0 RD @02 + 8340.50ns INFO [00008342] Port=1 RD @10 + 8341.50ns INFO [00008343] Port=1 RD @1B + 8342.50ns INFO [00008344] * RD COMPARE * port=0 adr=02 act=0A4706EE exp=0A4706EE + 8342.50ns INFO [00008344] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239 + 8342.50ns INFO [00008344] Port=1 RD @01 + 8343.50ns INFO [00008345] * RD COMPARE * port=1 adr=1B act=B243975B exp=B243975B + 8343.50ns INFO [00008345] Port=0 RD @19 + 8343.50ns INFO [00008345] Port=1 RD @08 + 8344.50ns INFO [00008346] * RD COMPARE * port=1 adr=01 act=80ED6386 exp=80ED6386 + 8345.50ns INFO [00008347] * RD COMPARE * port=0 adr=19 act=14EDB58D exp=14EDB58D + 8345.50ns INFO [00008347] * RD COMPARE * port=1 adr=08 act=DEFE9595 exp=DEFE9595 + 8345.50ns INFO [00008347] Port=1 RD @03 + 8346.50ns INFO [00008348] Port=0 WR @19=BDF50E79 + 8346.50ns INFO [00008348] Port=0 RD @04 + 8346.50ns INFO [00008348] Port=1 RD @05 + 8347.50ns INFO [00008349] * RD COMPARE * port=1 adr=03 act=44F1E70F exp=44F1E70F + 8347.50ns INFO [00008349] Port=1 RD @18 + 8348.50ns INFO [00008350] * RD COMPARE * port=0 adr=04 act=0BCC9C7B exp=0BCC9C7B + 8348.50ns INFO [00008350] * RD COMPARE * port=1 adr=05 act=45E042DE exp=45E042DE + 8348.50ns INFO [00008350] Port=0 RD @18 + 8349.50ns INFO [00008351] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB + 8350.50ns INFO [00008352] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB + 8350.50ns INFO [00008352] Port=1 RD @0F + 8351.50ns INFO [00008353] Port=1 RD @1B + 8352.50ns INFO [00008354] * RD COMPARE * port=1 adr=0F act=C37B46C2 exp=C37B46C2 + 8352.50ns INFO [00008354] Port=0 WR @00=2010A0A8 + 8352.50ns INFO [00008354] Port=1 RD @1A + 8353.50ns INFO [00008355] * RD COMPARE * port=1 adr=1B act=B243975B exp=B243975B + 8353.50ns INFO [00008355] Port=0 WR @04=DD72E138 + 8353.50ns INFO [00008355] Port=1 RD @1C + 8354.50ns INFO [00008356] * RD COMPARE * port=1 adr=1A act=9D31EF8E exp=9D31EF8E + 8354.50ns INFO [00008356] Port=0 WR @13=7C18E450 + 8355.50ns INFO [00008357] * RD COMPARE * port=1 adr=1C act=EA05D2A1 exp=EA05D2A1 + 8357.50ns INFO [00008359] Port=1 RD @08 + 8359.50ns INFO [00008361] * RD COMPARE * port=1 adr=08 act=DEFE9595 exp=DEFE9595 + 8360.50ns INFO [00008362] Port=0 RD @03 + 8361.50ns INFO [00008363] Port=0 WR @1C=C4A09D6B + 8362.50ns INFO [00008364] * RD COMPARE * port=0 adr=03 act=44F1E70F exp=44F1E70F + 8364.50ns INFO [00008366] Port=1 RD @12 + 8366.50ns INFO [00008368] * RD COMPARE * port=1 adr=12 act=D931D1F5 exp=D931D1F5 + 8367.50ns INFO [00008369] Port=0 RD @0B + 8368.50ns INFO [00008370] Port=0 WR @12=97F47533 + 8368.50ns INFO [00008370] Port=1 RD @10 + 8369.50ns INFO [00008371] * RD COMPARE * port=0 adr=0B act=FAEC02D3 exp=FAEC02D3 + 8369.50ns INFO [00008371] Port=0 RD @0B + 8369.50ns INFO [00008371] Port=1 RD @1B + 8370.50ns INFO [00008372] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239 + 8370.50ns INFO [00008372] Port=0 WR @1A=0028E4C3 + 8370.50ns INFO [00008372] Port=1 RD @17 + 8371.50ns INFO [00008373] * RD COMPARE * port=0 adr=0B act=FAEC02D3 exp=FAEC02D3 + 8371.50ns INFO [00008373] * RD COMPARE * port=1 adr=1B act=B243975B exp=B243975B + 8371.50ns INFO [00008373] Port=0 RD @0F + 8371.50ns INFO [00008373] Port=1 RD @11 + 8372.50ns INFO [00008374] * RD COMPARE * port=1 adr=17 act=B8DC63E3 exp=B8DC63E3 + 8373.50ns INFO [00008375] * RD COMPARE * port=0 adr=0F act=C37B46C2 exp=C37B46C2 + 8373.50ns INFO [00008375] * RD COMPARE * port=1 adr=11 act=787984BC exp=787984BC + 8373.50ns INFO [00008375] Port=0 WR @16=06CE33EC + 8375.50ns INFO [00008377] Port=0 WR @1F=63460E98 + 8376.50ns INFO [00008378] Port=1 RD @1F + 8377.50ns INFO [00008379] Port=0 WR @07=F1BE53F7 + 8378.50ns INFO [00008380] * RD COMPARE * port=1 adr=1F act=63460E98 exp=63460E98 + 8378.50ns INFO [00008380] Port=0 WR @1B=E0DB5C55 + 8379.50ns INFO [00008381] Port=1 RD @0B + 8380.50ns INFO [00008382] Port=0 WR @05=8B428FBA + 8381.50ns INFO [00008383] * RD COMPARE * port=1 adr=0B act=FAEC02D3 exp=FAEC02D3 + 8382.50ns INFO [00008384] Port=0 WR @02=094F142F + 8383.50ns INFO [00008385] Port=0 RD @07 + 8384.50ns INFO [00008386] Port=1 RD @10 + 8385.50ns INFO [00008387] * RD COMPARE * port=0 adr=07 act=F1BE53F7 exp=F1BE53F7 + 8385.50ns INFO [00008387] Port=0 WR @09=26DD4AD6 + 8386.50ns INFO [00008388] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239 + 8386.50ns INFO [00008388] Port=1 RD @1E + 8387.50ns INFO [00008389] Port=1 RD @1B + 8388.50ns INFO [00008390] * RD COMPARE * port=1 adr=1E act=0C6AE80C exp=0C6AE80C + 8389.50ns INFO [00008391] * RD COMPARE * port=1 adr=1B act=E0DB5C55 exp=E0DB5C55 + 8390.50ns INFO [00008392] Port=0 RD @04 + 8391.50ns INFO [00008393] Port=0 RD @1A + 8392.50ns INFO [00008394] * RD COMPARE * port=0 adr=04 act=DD72E138 exp=DD72E138 + 8392.50ns INFO [00008394] Port=0 WR @1E=EE9F628D + 8392.50ns INFO [00008394] Port=1 RD @12 + 8393.50ns INFO [00008395] * RD COMPARE * port=0 adr=1A act=0028E4C3 exp=0028E4C3 + 8393.50ns INFO [00008395] Port=1 RD @14 + 8394.50ns INFO [00008396] * RD COMPARE * port=1 adr=12 act=97F47533 exp=97F47533 + 8395.50ns INFO [00008397] * RD COMPARE * port=1 adr=14 act=4D27DC72 exp=4D27DC72 + 8395.50ns INFO [00008397] Port=1 RD @0E + 8396.50ns INFO [00008398] Port=0 WR @1D=CDA529F7 + 8396.50ns INFO [00008398] Port=1 RD @06 + 8397.50ns INFO [00008399] * RD COMPARE * port=1 adr=0E act=220C2C70 exp=220C2C70 + 8397.50ns INFO [00008399] Port=1 RD @09 + 8398.00ns INFO [00008400] [00008400] ...tick... + 8398.50ns INFO [00008400] * RD COMPARE * port=1 adr=06 act=4EF54525 exp=4EF54525 + 8398.50ns INFO [00008400] Port=0 RD @11 + 8399.50ns INFO [00008401] * RD COMPARE * port=1 adr=09 act=26DD4AD6 exp=26DD4AD6 + 8400.50ns INFO [00008402] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC + 8400.50ns INFO [00008402] Port=1 RD @05 + 8402.50ns INFO [00008404] * RD COMPARE * port=1 adr=05 act=8B428FBA exp=8B428FBA + 8402.50ns INFO [00008404] Port=0 WR @0B=42FE34AB + 8402.50ns INFO [00008404] Port=1 RD @16 + 8403.50ns INFO [00008405] Port=0 WR @1B=952DF9FF + 8403.50ns INFO [00008405] Port=0 RD @0B + 8403.50ns INFO [00008405] Port=1 RD @08 + 8404.50ns INFO [00008406] * RD COMPARE * port=1 adr=16 act=06CE33EC exp=06CE33EC + 8404.50ns INFO [00008406] Port=1 RD @0B + 8405.50ns INFO [00008407] * RD COMPARE * port=0 adr=0B act=42FE34AB exp=42FE34AB + 8405.50ns INFO [00008407] * RD COMPARE * port=1 adr=08 act=DEFE9595 exp=DEFE9595 + 8405.50ns INFO [00008407] Port=0 WR @0B=565F9703 + 8406.50ns INFO [00008408] * RD COMPARE * port=1 adr=0B act=42FE34AB exp=42FE34AB + 8406.50ns INFO [00008408] Port=0 WR @0F=FCA2084E + 8406.50ns INFO [00008408] Port=0 RD @00 + 8407.50ns INFO [00008409] Port=1 RD @09 + 8408.50ns INFO [00008410] * RD COMPARE * port=0 adr=00 act=2010A0A8 exp=2010A0A8 + 8408.50ns INFO [00008410] Port=1 RD @0D + 8409.50ns INFO [00008411] * RD COMPARE * port=1 adr=09 act=26DD4AD6 exp=26DD4AD6 + 8409.50ns INFO [00008411] Port=0 WR @10=76BC4D71 + 8409.50ns INFO [00008411] Port=0 RD @06 + 8409.50ns INFO [00008411] Port=1 RD @1C + 8410.50ns INFO [00008412] * RD COMPARE * port=1 adr=0D act=F1C57390 exp=F1C57390 + 8411.50ns INFO [00008413] * RD COMPARE * port=0 adr=06 act=4EF54525 exp=4EF54525 + 8411.50ns INFO [00008413] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B + 8411.50ns INFO [00008413] Port=0 RD @13 + 8412.50ns INFO [00008414] Port=0 WR @15=21C0A1D1 + 8413.50ns INFO [00008415] * RD COMPARE * port=0 adr=13 act=7C18E450 exp=7C18E450 + 8413.50ns INFO [00008415] Port=0 WR @06=65B969E3 + 8413.50ns INFO [00008415] Port=0 RD @15 + 8413.50ns INFO [00008415] Port=1 RD @04 + 8414.50ns INFO [00008416] Port=0 RD @0A + 8415.50ns INFO [00008417] * RD COMPARE * port=0 adr=15 act=21C0A1D1 exp=21C0A1D1 + 8415.50ns INFO [00008417] * RD COMPARE * port=1 adr=04 act=DD72E138 exp=DD72E138 + 8416.50ns INFO [00008418] * RD COMPARE * port=0 adr=0A act=53F1C493 exp=53F1C493 + 8416.50ns INFO [00008418] Port=0 WR @07=3588A455 + 8417.50ns INFO [00008419] Port=0 WR @01=3F5B57C0 + 8418.50ns INFO [00008420] Port=0 WR @08=8D6E1D2B + 8418.50ns INFO [00008420] Port=0 RD @1E + 8419.50ns INFO [00008421] Port=0 WR @08=FBB2AEBB + 8420.50ns INFO [00008422] * RD COMPARE * port=0 adr=1E act=EE9F628D exp=EE9F628D + 8420.50ns INFO [00008422] Port=1 RD @15 + 8421.50ns INFO [00008423] Port=1 RD @08 + 8422.50ns INFO [00008424] * RD COMPARE * port=1 adr=15 act=21C0A1D1 exp=21C0A1D1 + 8422.50ns INFO [00008424] Port=0 RD @14 + 8422.50ns INFO [00008424] Port=1 RD @18 + 8423.50ns INFO [00008425] * RD COMPARE * port=1 adr=08 act=FBB2AEBB exp=FBB2AEBB + 8423.50ns INFO [00008425] Port=0 RD @00 + 8424.50ns INFO [00008426] * RD COMPARE * port=0 adr=14 act=4D27DC72 exp=4D27DC72 + 8424.50ns INFO [00008426] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB + 8424.50ns INFO [00008426] Port=1 RD @17 + 8425.50ns INFO [00008427] * RD COMPARE * port=0 adr=00 act=2010A0A8 exp=2010A0A8 + 8425.50ns INFO [00008427] Port=0 RD @0E + 8426.50ns INFO [00008428] * RD COMPARE * port=1 adr=17 act=B8DC63E3 exp=B8DC63E3 + 8427.50ns INFO [00008429] * RD COMPARE * port=0 adr=0E act=220C2C70 exp=220C2C70 + 8428.50ns INFO [00008430] Port=0 RD @10 + 8429.50ns INFO [00008431] Port=0 WR @19=62AC8CB5 + 8430.50ns INFO [00008432] * RD COMPARE * port=0 adr=10 act=76BC4D71 exp=76BC4D71 + 8430.50ns INFO [00008432] Port=0 WR @08=9B36E2ED + 8430.50ns INFO [00008432] Port=0 RD @13 + 8430.50ns INFO [00008432] Port=1 RD @0E + 8432.50ns INFO [00008434] * RD COMPARE * port=0 adr=13 act=7C18E450 exp=7C18E450 + 8432.50ns INFO [00008434] * RD COMPARE * port=1 adr=0E act=220C2C70 exp=220C2C70 + 8432.50ns INFO [00008434] Port=1 RD @1A + 8434.50ns INFO [00008436] * RD COMPARE * port=1 adr=1A act=0028E4C3 exp=0028E4C3 + 8434.50ns INFO [00008436] Port=0 RD @05 + 8434.50ns INFO [00008436] Port=1 RD @1D + 8435.50ns INFO [00008437] Port=0 WR @0B=27E4EAF1 + 8436.50ns INFO [00008438] * RD COMPARE * port=0 adr=05 act=8B428FBA exp=8B428FBA + 8436.50ns INFO [00008438] * RD COMPARE * port=1 adr=1D act=CDA529F7 exp=CDA529F7 + 8436.50ns INFO [00008438] Port=0 WR @02=A0175853 + 8437.50ns INFO [00008439] Port=0 WR @09=94C348F1 + 8437.50ns INFO [00008439] Port=0 RD @0B + 8439.50ns INFO [00008441] * RD COMPARE * port=0 adr=0B act=27E4EAF1 exp=27E4EAF1 + 8439.50ns INFO [00008441] Port=0 WR @08=F6634F58 + 8440.50ns INFO [00008442] Port=0 WR @19=2E290133 + 8441.50ns INFO [00008443] Port=1 RD @19 + 8443.50ns INFO [00008445] * RD COMPARE * port=1 adr=19 act=2E290133 exp=2E290133 + 8443.50ns INFO [00008445] Port=0 RD @1D + 8444.50ns INFO [00008446] Port=0 WR @0C=2EDE26C6 + 8444.50ns INFO [00008446] Port=1 RD @16 + 8445.50ns INFO [00008447] * RD COMPARE * port=0 adr=1D act=CDA529F7 exp=CDA529F7 + 8445.50ns INFO [00008447] Port=0 WR @01=D8A2B35A + 8445.50ns INFO [00008447] Port=0 RD @1B + 8445.50ns INFO [00008447] Port=1 RD @1A + 8446.50ns INFO [00008448] * RD COMPARE * port=1 adr=16 act=06CE33EC exp=06CE33EC + 8446.50ns INFO [00008448] Port=1 RD @03 + 8447.50ns INFO [00008449] * RD COMPARE * port=0 adr=1B act=952DF9FF exp=952DF9FF + 8447.50ns INFO [00008449] * RD COMPARE * port=1 adr=1A act=0028E4C3 exp=0028E4C3 + 8447.50ns INFO [00008449] Port=0 WR @05=AD82F588 + 8447.50ns INFO [00008449] Port=0 RD @15 + 8447.50ns INFO [00008449] Port=1 RD @15 + 8448.50ns INFO [00008450] * RD COMPARE * port=1 adr=03 act=44F1E70F exp=44F1E70F + 8448.50ns INFO [00008450] Port=0 RD @1F + 8448.50ns INFO [00008450] Port=1 RD @1E + 8449.50ns INFO [00008451] * RD COMPARE * port=0 adr=15 act=21C0A1D1 exp=21C0A1D1 + 8449.50ns INFO [00008451] * RD COMPARE * port=1 adr=15 act=21C0A1D1 exp=21C0A1D1 + 8449.50ns INFO [00008451] Port=0 RD @0F + 8450.50ns INFO [00008452] * RD COMPARE * port=0 adr=1F act=63460E98 exp=63460E98 + 8450.50ns INFO [00008452] * RD COMPARE * port=1 adr=1E act=EE9F628D exp=EE9F628D + 8450.50ns INFO [00008452] Port=1 RD @0F + 8451.50ns INFO [00008453] * RD COMPARE * port=0 adr=0F act=FCA2084E exp=FCA2084E + 8452.50ns INFO [00008454] * RD COMPARE * port=1 adr=0F act=FCA2084E exp=FCA2084E + 8452.50ns INFO [00008454] Port=0 RD @04 + 8452.50ns INFO [00008454] Port=1 RD @0B + 8453.50ns INFO [00008455] Port=0 WR @0A=FD2F1756 + 8453.50ns INFO [00008455] Port=0 RD @0E + 8454.50ns INFO [00008456] * RD COMPARE * port=0 adr=04 act=DD72E138 exp=DD72E138 + 8454.50ns INFO [00008456] * RD COMPARE * port=1 adr=0B act=27E4EAF1 exp=27E4EAF1 + 8454.50ns INFO [00008456] Port=0 RD @16 + 8454.50ns INFO [00008456] Port=1 RD @1B + 8455.50ns INFO [00008457] * RD COMPARE * port=0 adr=0E act=220C2C70 exp=220C2C70 + 8456.50ns INFO [00008458] * RD COMPARE * port=0 adr=16 act=06CE33EC exp=06CE33EC + 8456.50ns INFO [00008458] * RD COMPARE * port=1 adr=1B act=952DF9FF exp=952DF9FF + 8456.50ns INFO [00008458] Port=1 RD @04 + 8457.50ns INFO [00008459] Port=0 WR @0E=FC7C13C5 + 8457.50ns INFO [00008459] Port=0 RD @04 + 8458.50ns INFO [00008460] * RD COMPARE * port=1 adr=04 act=DD72E138 exp=DD72E138 + 8458.50ns INFO [00008460] Port=0 WR @1D=DBC07B40 + 8459.50ns INFO [00008461] * RD COMPARE * port=0 adr=04 act=DD72E138 exp=DD72E138 + 8459.50ns INFO [00008461] Port=0 WR @16=F77F3897 + 8459.50ns INFO [00008461] Port=1 RD @17 + 8460.50ns INFO [00008462] Port=1 RD @0F + 8461.50ns INFO [00008463] * RD COMPARE * port=1 adr=17 act=B8DC63E3 exp=B8DC63E3 + 8462.50ns INFO [00008464] * RD COMPARE * port=1 adr=0F act=FCA2084E exp=FCA2084E + 8462.50ns INFO [00008464] Port=0 WR @00=DDC76FD5 + 8463.50ns INFO [00008465] Port=0 WR @0F=D71DF6DB + 8463.50ns INFO [00008465] Port=1 RD @1A + 8465.50ns INFO [00008467] * RD COMPARE * port=1 adr=1A act=0028E4C3 exp=0028E4C3 + 8465.50ns INFO [00008467] Port=0 WR @16=4A58A055 + 8465.50ns INFO [00008467] Port=0 RD @08 + 8465.50ns INFO [00008467] Port=1 RD @06 + 8466.50ns INFO [00008468] Port=0 WR @13=629143A5 + 8466.50ns INFO [00008468] Port=1 RD @14 + 8467.50ns INFO [00008469] * RD COMPARE * port=0 adr=08 act=F6634F58 exp=F6634F58 + 8467.50ns INFO [00008469] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3 + 8467.50ns INFO [00008469] Port=0 WR @16=2AEF7F27 + 8467.50ns INFO [00008469] Port=0 RD @05 + 8468.50ns INFO [00008470] * RD COMPARE * port=1 adr=14 act=4D27DC72 exp=4D27DC72 + 8468.50ns INFO [00008470] Port=0 WR @18=7864DC37 + 8469.50ns INFO [00008471] * RD COMPARE * port=0 adr=05 act=AD82F588 exp=AD82F588 + 8469.50ns INFO [00008471] Port=1 RD @1A + 8470.50ns INFO [00008472] Port=0 WR @04=DDCFCBF6 + 8470.50ns INFO [00008472] Port=1 RD @1D + 8471.50ns INFO [00008473] * RD COMPARE * port=1 adr=1A act=0028E4C3 exp=0028E4C3 + 8471.50ns INFO [00008473] Port=0 RD @17 + 8472.50ns INFO [00008474] * RD COMPARE * port=1 adr=1D act=DBC07B40 exp=DBC07B40 + 8472.50ns INFO [00008474] Port=0 WR @0A=475D31EE + 8472.50ns INFO [00008474] Port=1 RD @01 + 8473.50ns INFO [00008475] * RD COMPARE * port=0 adr=17 act=B8DC63E3 exp=B8DC63E3 + 8474.50ns INFO [00008476] * RD COMPARE * port=1 adr=01 act=D8A2B35A exp=D8A2B35A + 8474.50ns INFO [00008476] Port=1 RD @18 + 8475.50ns INFO [00008477] Port=0 WR @1D=6EA9D0F4 + 8476.50ns INFO [00008478] * RD COMPARE * port=1 adr=18 act=7864DC37 exp=7864DC37 + 8476.50ns INFO [00008478] Port=0 WR @1F=47FDF39E + 8476.50ns INFO [00008478] Port=1 RD @02 + 8477.50ns INFO [00008479] Port=0 WR @09=E444DDFA + 8477.50ns INFO [00008479] Port=0 RD @13 + 8477.50ns INFO [00008479] Port=1 RD @18 + 8478.50ns INFO [00008480] * RD COMPARE * port=1 adr=02 act=A0175853 exp=A0175853 + 8478.50ns INFO [00008480] Port=1 RD @19 + 8479.50ns INFO [00008481] * RD COMPARE * port=0 adr=13 act=629143A5 exp=629143A5 + 8479.50ns INFO [00008481] * RD COMPARE * port=1 adr=18 act=7864DC37 exp=7864DC37 + 8479.50ns INFO [00008481] Port=0 WR @10=9D0363C1 + 8479.50ns INFO [00008481] Port=1 RD @05 + 8480.50ns INFO [00008482] * RD COMPARE * port=1 adr=19 act=2E290133 exp=2E290133 + 8481.50ns INFO [00008483] * RD COMPARE * port=1 adr=05 act=AD82F588 exp=AD82F588 + 8481.50ns INFO [00008483] Port=0 RD @10 + 8481.50ns INFO [00008483] Port=1 RD @05 + 8482.50ns INFO [00008484] Port=0 WR @1E=D4CA0D0F + 8483.50ns INFO [00008485] * RD COMPARE * port=0 adr=10 act=9D0363C1 exp=9D0363C1 + 8483.50ns INFO [00008485] * RD COMPARE * port=1 adr=05 act=AD82F588 exp=AD82F588 + 8483.50ns INFO [00008485] Port=1 RD @0E + 8484.50ns INFO [00008486] Port=0 WR @0E=931ABDFC + 8484.50ns INFO [00008486] Port=0 RD @14 + 8484.50ns INFO [00008486] Port=1 RD @0F + 8485.50ns INFO [00008487] * RD COMPARE * port=1 adr=0E act=FC7C13C5 exp=FC7C13C5 + 8485.50ns INFO [00008487] Port=0 RD @01 + 8485.50ns INFO [00008487] Port=1 RD @1E + 8486.50ns INFO [00008488] * RD COMPARE * port=0 adr=14 act=4D27DC72 exp=4D27DC72 + 8486.50ns INFO [00008488] * RD COMPARE * port=1 adr=0F act=D71DF6DB exp=D71DF6DB + 8486.50ns INFO [00008488] Port=1 RD @1C + 8487.50ns INFO [00008489] * RD COMPARE * port=0 adr=01 act=D8A2B35A exp=D8A2B35A + 8487.50ns INFO [00008489] * RD COMPARE * port=1 adr=1E act=D4CA0D0F exp=D4CA0D0F + 8487.50ns INFO [00008489] Port=0 WR @0B=1AE38D67 + 8487.50ns INFO [00008489] Port=0 RD @05 + 8487.50ns INFO [00008489] Port=1 RD @00 + 8488.50ns INFO [00008490] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B + 8488.50ns INFO [00008490] Port=0 WR @09=2924102A + 8488.50ns INFO [00008490] Port=0 RD @15 + 8488.50ns INFO [00008490] Port=1 RD @0F + 8489.50ns INFO [00008491] * RD COMPARE * port=0 adr=05 act=AD82F588 exp=AD82F588 + 8489.50ns INFO [00008491] * RD COMPARE * port=1 adr=00 act=DDC76FD5 exp=DDC76FD5 + 8489.50ns INFO [00008491] Port=0 WR @15=8C8FE08E + 8489.50ns INFO [00008491] Port=0 RD @14 + 8490.50ns INFO [00008492] * RD COMPARE * port=0 adr=15 act=21C0A1D1 exp=21C0A1D1 + 8490.50ns INFO [00008492] * RD COMPARE * port=1 adr=0F act=D71DF6DB exp=D71DF6DB + 8490.50ns INFO [00008492] Port=0 WR @03=00181504 + 8490.50ns INFO [00008492] Port=0 RD @05 + 8491.50ns INFO [00008493] * RD COMPARE * port=0 adr=14 act=4D27DC72 exp=4D27DC72 + 8491.50ns INFO [00008493] Port=0 RD @04 + 8492.50ns INFO [00008494] * RD COMPARE * port=0 adr=05 act=AD82F588 exp=AD82F588 + 8492.50ns INFO [00008494] Port=0 WR @15=F5F5BD75 + 8492.50ns INFO [00008494] Port=1 RD @0D + 8493.50ns INFO [00008495] * RD COMPARE * port=0 adr=04 act=DDCFCBF6 exp=DDCFCBF6 + 8493.50ns INFO [00008495] Port=0 RD @00 + 8494.50ns INFO [00008496] * RD COMPARE * port=1 adr=0D act=F1C57390 exp=F1C57390 + 8495.50ns INFO [00008497] * RD COMPARE * port=0 adr=00 act=DDC76FD5 exp=DDC76FD5 + 8496.50ns INFO [00008498] Port=0 WR @17=FDE6E7A6 + 8496.50ns INFO [00008498] Port=0 RD @07 + 8497.50ns INFO [00008499] Port=0 WR @10=E8CF0D01 + 8497.50ns INFO [00008499] Port=0 RD @0C + 8498.00ns INFO [00008500] [00008500] ...tick... + 8498.50ns INFO [00008500] * RD COMPARE * port=0 adr=07 act=3588A455 exp=3588A455 + 8498.50ns INFO [00008500] Port=0 WR @09=AD103125 + 8498.50ns INFO [00008500] Port=1 RD @0F + 8499.50ns INFO [00008501] * RD COMPARE * port=0 adr=0C act=2EDE26C6 exp=2EDE26C6 + 8499.50ns INFO [00008501] Port=1 RD @13 + 8500.50ns INFO [00008502] * RD COMPARE * port=1 adr=0F act=D71DF6DB exp=D71DF6DB + 8500.50ns INFO [00008502] Port=0 WR @03=013A95D2 + 8501.50ns INFO [00008503] * RD COMPARE * port=1 adr=13 act=629143A5 exp=629143A5 + 8501.50ns INFO [00008503] Port=1 RD @16 + 8502.50ns INFO [00008504] Port=0 WR @02=92A07E9F + 8503.50ns INFO [00008505] * RD COMPARE * port=1 adr=16 act=2AEF7F27 exp=2AEF7F27 + 8503.50ns INFO [00008505] Port=0 WR @14=9F0A2E0C + 8503.50ns INFO [00008505] Port=1 RD @07 + 8504.50ns INFO [00008506] Port=0 RD @1F + 8505.50ns INFO [00008507] * RD COMPARE * port=1 adr=07 act=3588A455 exp=3588A455 + 8505.50ns INFO [00008507] Port=0 WR @14=7E62670A + 8506.50ns INFO [00008508] * RD COMPARE * port=0 adr=1F act=47FDF39E exp=47FDF39E + 8506.50ns INFO [00008508] Port=0 WR @18=0414EF46 + 8506.50ns INFO [00008508] Port=0 RD @13 + 8506.50ns INFO [00008508] Port=1 RD @0F + 8508.50ns INFO [00008510] * RD COMPARE * port=0 adr=13 act=629143A5 exp=629143A5 + 8508.50ns INFO [00008510] * RD COMPARE * port=1 adr=0F act=D71DF6DB exp=D71DF6DB + 8508.50ns INFO [00008510] Port=1 RD @05 + 8509.50ns INFO [00008511] Port=0 WR @1A=D5EC69F9 + 8510.50ns INFO [00008512] * RD COMPARE * port=1 adr=05 act=AD82F588 exp=AD82F588 + 8510.50ns INFO [00008512] Port=0 WR @16=1E21CF9F + 8510.50ns INFO [00008512] Port=0 RD @1C + 8511.50ns INFO [00008513] Port=0 WR @16=8B795F4E + 8511.50ns INFO [00008513] Port=0 RD @18 + 8511.50ns INFO [00008513] Port=1 RD @09 + 8512.50ns INFO [00008514] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B + 8513.50ns INFO [00008515] * RD COMPARE * port=0 adr=18 act=0414EF46 exp=0414EF46 + 8513.50ns INFO [00008515] * RD COMPARE * port=1 adr=09 act=AD103125 exp=AD103125 + 8513.50ns INFO [00008515] Port=0 RD @17 + 8513.50ns INFO [00008515] Port=1 RD @04 + 8515.50ns INFO [00008517] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6 + 8515.50ns INFO [00008517] * RD COMPARE * port=1 adr=04 act=DDCFCBF6 exp=DDCFCBF6 + 8515.50ns INFO [00008517] Port=1 RD @1C + 8517.50ns INFO [00008519] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B + 8517.50ns INFO [00008519] Port=0 WR @1B=076BE86D + 8518.50ns INFO [00008520] Port=0 WR @05=4A83DEAD + 8518.50ns INFO [00008520] Port=0 RD @11 + 8518.50ns INFO [00008520] Port=1 RD @06 + 8519.50ns INFO [00008521] Port=0 WR @10=C1B9A282 + 8519.50ns INFO [00008521] Port=0 RD @1E + 8519.50ns INFO [00008521] Port=1 RD @0D + 8520.50ns INFO [00008522] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC + 8520.50ns INFO [00008522] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3 + 8520.50ns INFO [00008522] Port=1 RD @1D + 8521.50ns INFO [00008523] * RD COMPARE * port=0 adr=1E act=D4CA0D0F exp=D4CA0D0F + 8521.50ns INFO [00008523] * RD COMPARE * port=1 adr=0D act=F1C57390 exp=F1C57390 + 8521.50ns INFO [00008523] Port=0 WR @14=16FBB850 + 8521.50ns INFO [00008523] Port=1 RD @05 + 8522.50ns INFO [00008524] * RD COMPARE * port=1 adr=1D act=6EA9D0F4 exp=6EA9D0F4 + 8522.50ns INFO [00008524] Port=0 WR @16=C6C6C34A + 8522.50ns INFO [00008524] Port=0 RD @03 + 8522.50ns INFO [00008524] Port=1 RD @10 + 8523.50ns INFO [00008525] * RD COMPARE * port=1 adr=05 act=4A83DEAD exp=4A83DEAD + 8523.50ns INFO [00008525] Port=0 WR @0A=FDCFD910 + 8524.50ns INFO [00008526] * RD COMPARE * port=0 adr=03 act=013A95D2 exp=013A95D2 + 8524.50ns INFO [00008526] * RD COMPARE * port=1 adr=10 act=C1B9A282 exp=C1B9A282 + 8524.50ns INFO [00008526] Port=0 RD @13 + 8526.50ns INFO [00008528] * RD COMPARE * port=0 adr=13 act=629143A5 exp=629143A5 + 8526.50ns INFO [00008528] Port=0 WR @01=1BE170E7 + 8526.50ns INFO [00008528] Port=0 RD @0B + 8527.50ns INFO [00008529] Port=1 RD @16 + 8528.50ns INFO [00008530] * RD COMPARE * port=0 adr=0B act=1AE38D67 exp=1AE38D67 + 8528.50ns INFO [00008530] Port=0 RD @17 + 8529.50ns INFO [00008531] * RD COMPARE * port=1 adr=16 act=C6C6C34A exp=C6C6C34A + 8529.50ns INFO [00008531] Port=0 WR @05=16BCAB30 + 8529.50ns INFO [00008531] Port=0 RD @0B + 8530.50ns INFO [00008532] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6 + 8530.50ns INFO [00008532] Port=1 RD @06 + 8531.50ns INFO [00008533] * RD COMPARE * port=0 adr=0B act=1AE38D67 exp=1AE38D67 + 8531.50ns INFO [00008533] Port=0 WR @14=750E2F6C + 8532.50ns INFO [00008534] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3 + 8532.50ns INFO [00008534] Port=1 RD @14 + 8533.50ns INFO [00008535] Port=0 WR @01=5A3046C1 + 8533.50ns INFO [00008535] Port=0 RD @1C + 8534.50ns INFO [00008536] * RD COMPARE * port=1 adr=14 act=750E2F6C exp=750E2F6C + 8534.50ns INFO [00008536] Port=0 WR @19=EEE28ABC + 8534.50ns INFO [00008536] Port=0 RD @11 + 8534.50ns INFO [00008536] Port=1 RD @13 + 8535.50ns INFO [00008537] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B + 8535.50ns INFO [00008537] Port=0 WR @0F=0C2980A0 + 8536.50ns INFO [00008538] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC + 8536.50ns INFO [00008538] * RD COMPARE * port=1 adr=13 act=629143A5 exp=629143A5 + 8536.50ns INFO [00008538] Port=0 WR @16=508F9FFE + 8538.50ns INFO [00008540] Port=0 WR @14=489D1218 + 8539.50ns INFO [00008541] Port=0 RD @01 + 8539.50ns INFO [00008541] Port=1 RD @00 + 8540.50ns INFO [00008542] Port=0 WR @16=D10891BF + 8540.50ns INFO [00008542] Port=1 RD @1D + 8541.50ns INFO [00008543] * RD COMPARE * port=0 adr=01 act=5A3046C1 exp=5A3046C1 + 8541.50ns INFO [00008543] * RD COMPARE * port=1 adr=00 act=DDC76FD5 exp=DDC76FD5 + 8542.50ns INFO [00008544] * RD COMPARE * port=1 adr=1D act=6EA9D0F4 exp=6EA9D0F4 + 8542.50ns INFO [00008544] Port=0 RD @0B + 8543.50ns INFO [00008545] Port=0 WR @0B=A0E705AC + 8544.50ns INFO [00008546] * RD COMPARE * port=0 adr=0B act=1AE38D67 exp=1AE38D67 + 8544.50ns INFO [00008546] Port=1 RD @01 + 8545.50ns INFO [00008547] Port=0 WR @19=CA3EC899 + 8545.50ns INFO [00008547] Port=1 RD @15 + 8546.50ns INFO [00008548] * RD COMPARE * port=1 adr=01 act=5A3046C1 exp=5A3046C1 + 8546.50ns INFO [00008548] Port=0 WR @15=DB1BE0B0 + 8546.50ns INFO [00008548] Port=1 RD @1A + 8547.50ns INFO [00008549] * RD COMPARE * port=1 adr=15 act=F5F5BD75 exp=F5F5BD75 + 8547.50ns INFO [00008549] Port=1 RD @04 + 8548.50ns INFO [00008550] * RD COMPARE * port=1 adr=1A act=D5EC69F9 exp=D5EC69F9 + 8549.50ns INFO [00008551] * RD COMPARE * port=1 adr=04 act=DDCFCBF6 exp=DDCFCBF6 + 8550.50ns INFO [00008552] Port=1 RD @02 + 8552.50ns INFO [00008554] * RD COMPARE * port=1 adr=02 act=92A07E9F exp=92A07E9F + 8552.50ns INFO [00008554] Port=0 RD @0F + 8554.50ns INFO [00008556] * RD COMPARE * port=0 adr=0F act=0C2980A0 exp=0C2980A0 + 8554.50ns INFO [00008556] Port=0 RD @1E + 8555.50ns INFO [00008557] Port=0 WR @0D=F6BDFF91 + 8555.50ns INFO [00008557] Port=0 RD @1F + 8556.50ns INFO [00008558] * RD COMPARE * port=0 adr=1E act=D4CA0D0F exp=D4CA0D0F + 8557.50ns INFO [00008559] * RD COMPARE * port=0 adr=1F act=47FDF39E exp=47FDF39E + 8558.50ns INFO [00008560] Port=0 WR @16=0D188765 + 8559.50ns INFO [00008561] Port=0 RD @19 + 8560.50ns INFO [00008562] Port=0 RD @02 + 8560.50ns INFO [00008562] Port=1 RD @17 + 8561.50ns INFO [00008563] * RD COMPARE * port=0 adr=19 act=CA3EC899 exp=CA3EC899 + 8561.50ns INFO [00008563] Port=1 RD @1F + 8562.50ns INFO [00008564] * RD COMPARE * port=0 adr=02 act=92A07E9F exp=92A07E9F + 8562.50ns INFO [00008564] * RD COMPARE * port=1 adr=17 act=FDE6E7A6 exp=FDE6E7A6 + 8562.50ns INFO [00008564] Port=1 RD @19 + 8563.50ns INFO [00008565] * RD COMPARE * port=1 adr=1F act=47FDF39E exp=47FDF39E + 8564.50ns INFO [00008566] * RD COMPARE * port=1 adr=19 act=CA3EC899 exp=CA3EC899 + 8565.50ns INFO [00008567] Port=0 WR @09=6C7C4046 + 8565.50ns INFO [00008567] Port=1 RD @02 + 8566.50ns INFO [00008568] Port=0 WR @12=431AD11B + 8566.50ns INFO [00008568] Port=0 RD @14 + 8567.50ns INFO [00008569] * RD COMPARE * port=1 adr=02 act=92A07E9F exp=92A07E9F + 8567.50ns INFO [00008569] Port=1 RD @00 + 8568.50ns INFO [00008570] * RD COMPARE * port=0 adr=14 act=489D1218 exp=489D1218 + 8568.50ns INFO [00008570] Port=0 RD @0C + 8569.50ns INFO [00008571] * RD COMPARE * port=1 adr=00 act=DDC76FD5 exp=DDC76FD5 + 8569.50ns INFO [00008571] Port=0 WR @1A=6E15B9A5 + 8569.50ns INFO [00008571] Port=1 RD @1F + 8570.50ns INFO [00008572] * RD COMPARE * port=0 adr=0C act=2EDE26C6 exp=2EDE26C6 + 8570.50ns INFO [00008572] Port=0 WR @19=BA869BD6 + 8570.50ns INFO [00008572] Port=1 RD @01 + 8571.50ns INFO [00008573] * RD COMPARE * port=1 adr=1F act=47FDF39E exp=47FDF39E + 8571.50ns INFO [00008573] Port=0 WR @14=331D776E + 8571.50ns INFO [00008573] Port=0 RD @0F + 8571.50ns INFO [00008573] Port=1 RD @06 + 8572.50ns INFO [00008574] * RD COMPARE * port=1 adr=01 act=5A3046C1 exp=5A3046C1 + 8572.50ns INFO [00008574] Port=0 WR @13=37EFC57A + 8572.50ns INFO [00008574] Port=0 RD @09 + 8573.50ns INFO [00008575] * RD COMPARE * port=0 adr=0F act=0C2980A0 exp=0C2980A0 + 8573.50ns INFO [00008575] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3 + 8573.50ns INFO [00008575] Port=0 WR @12=50E66264 + 8574.50ns INFO [00008576] * RD COMPARE * port=0 adr=09 act=6C7C4046 exp=6C7C4046 + 8574.50ns INFO [00008576] Port=0 WR @1D=2BC20EEE + 8574.50ns INFO [00008576] Port=0 RD @0C + 8574.50ns INFO [00008576] Port=1 RD @1C + 8575.50ns INFO [00008577] Port=0 WR @12=36557873 + 8575.50ns INFO [00008577] Port=1 RD @03 + 8576.50ns INFO [00008578] * RD COMPARE * port=0 adr=0C act=2EDE26C6 exp=2EDE26C6 + 8576.50ns INFO [00008578] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B + 8576.50ns INFO [00008578] Port=0 WR @02=5F770930 + 8576.50ns INFO [00008578] Port=0 RD @17 + 8577.50ns INFO [00008579] * RD COMPARE * port=1 adr=03 act=013A95D2 exp=013A95D2 + 8577.50ns INFO [00008579] Port=0 RD @01 + 8578.50ns INFO [00008580] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6 + 8578.50ns INFO [00008580] Port=0 WR @10=D26D483B + 8579.50ns INFO [00008581] * RD COMPARE * port=0 adr=01 act=5A3046C1 exp=5A3046C1 + 8580.50ns INFO [00008582] Port=0 WR @12=E6B3D6B2 + 8580.50ns INFO [00008582] Port=0 RD @0C + 8581.50ns INFO [00008583] Port=0 WR @0B=9C23C147 + 8582.50ns INFO [00008584] * RD COMPARE * port=0 adr=0C act=2EDE26C6 exp=2EDE26C6 + 8582.50ns INFO [00008584] Port=0 RD @0D + 8583.50ns INFO [00008585] Port=1 RD @0E + 8584.50ns INFO [00008586] * RD COMPARE * port=0 adr=0D act=F6BDFF91 exp=F6BDFF91 + 8584.50ns INFO [00008586] Port=0 WR @04=87EC81AA + 8584.50ns INFO [00008586] Port=1 RD @11 + 8585.50ns INFO [00008587] * RD COMPARE * port=1 adr=0E act=931ABDFC exp=931ABDFC + 8585.50ns INFO [00008587] Port=0 RD @11 + 8585.50ns INFO [00008587] Port=1 RD @11 + 8586.50ns INFO [00008588] * RD COMPARE * port=1 adr=11 act=787984BC exp=787984BC + 8586.50ns INFO [00008588] Port=0 WR @12=A671AFA2 + 8587.50ns INFO [00008589] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC + 8587.50ns INFO [00008589] * RD COMPARE * port=1 adr=11 act=787984BC exp=787984BC + 8587.50ns INFO [00008589] Port=1 RD @1A + 8588.50ns INFO [00008590] Port=0 WR @1F=A126E40D + 8589.50ns INFO [00008591] * RD COMPARE * port=1 adr=1A act=6E15B9A5 exp=6E15B9A5 + 8590.50ns INFO [00008592] Port=0 WR @00=5C087E79 + 8590.50ns INFO [00008592] Port=1 RD @0C + 8592.50ns INFO [00008594] * RD COMPARE * port=1 adr=0C act=2EDE26C6 exp=2EDE26C6 + 8594.50ns INFO [00008596] Port=0 WR @16=14BD5D9A + 8594.50ns INFO [00008596] Port=0 RD @0B + 8594.50ns INFO [00008596] Port=1 RD @14 + 8595.50ns INFO [00008597] Port=0 RD @1B + 8595.50ns INFO [00008597] Port=1 RD @1C + 8596.50ns INFO [00008598] * RD COMPARE * port=0 adr=0B act=9C23C147 exp=9C23C147 + 8596.50ns INFO [00008598] * RD COMPARE * port=1 adr=14 act=331D776E exp=331D776E + 8597.50ns INFO [00008599] * RD COMPARE * port=0 adr=1B act=076BE86D exp=076BE86D + 8597.50ns INFO [00008599] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B + 8597.50ns INFO [00008599] Port=0 RD @06 + 8598.00ns INFO [00008600] [00008600] ...tick... + 8598.50ns INFO [00008600] Port=0 RD @11 + 8599.50ns INFO [00008601] * RD COMPARE * port=0 adr=06 act=65B969E3 exp=65B969E3 + 8599.50ns INFO [00008601] Port=0 RD @1E + 8600.50ns INFO [00008602] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC + 8600.50ns INFO [00008602] Port=0 WR @05=823105C6 + 8600.50ns INFO [00008602] Port=1 RD @17 + 8601.50ns INFO [00008603] * RD COMPARE * port=0 adr=1E act=D4CA0D0F exp=D4CA0D0F + 8601.50ns INFO [00008603] Port=1 RD @0F + 8602.50ns INFO [00008604] * RD COMPARE * port=1 adr=17 act=FDE6E7A6 exp=FDE6E7A6 + 8602.50ns INFO [00008604] Port=0 WR @0D=34A00D61 + 8603.50ns INFO [00008605] * RD COMPARE * port=1 adr=0F act=0C2980A0 exp=0C2980A0 + 8603.50ns INFO [00008605] Port=1 RD @1A + 8604.50ns INFO [00008606] Port=1 RD @0C + 8605.50ns INFO [00008607] * RD COMPARE * port=1 adr=1A act=6E15B9A5 exp=6E15B9A5 + 8605.50ns INFO [00008607] Port=0 WR @0C=A3AC3A71 + 8606.50ns INFO [00008608] * RD COMPARE * port=1 adr=0C act=2EDE26C6 exp=2EDE26C6 + 8606.50ns INFO [00008608] Port=0 RD @16 + 8606.50ns INFO [00008608] Port=1 RD @12 + 8607.50ns INFO [00008609] Port=0 RD @05 + 8608.50ns INFO [00008610] * RD COMPARE * port=0 adr=16 act=14BD5D9A exp=14BD5D9A + 8608.50ns INFO [00008610] * RD COMPARE * port=1 adr=12 act=A671AFA2 exp=A671AFA2 + 8608.50ns INFO [00008610] Port=0 RD @11 + 8608.50ns INFO [00008610] Port=1 RD @06 + 8609.50ns INFO [00008611] * RD COMPARE * port=0 adr=05 act=823105C6 exp=823105C6 + 8609.50ns INFO [00008611] Port=0 RD @03 + 8610.50ns INFO [00008612] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC + 8610.50ns INFO [00008612] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3 + 8610.50ns INFO [00008612] Port=0 WR @18=AF568F8D + 8610.50ns INFO [00008612] Port=0 RD @11 + 8610.50ns INFO [00008612] Port=1 RD @1E + 8611.50ns INFO [00008613] * RD COMPARE * port=0 adr=03 act=013A95D2 exp=013A95D2 + 8611.50ns INFO [00008613] Port=1 RD @0F + 8612.50ns INFO [00008614] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC + 8612.50ns INFO [00008614] * RD COMPARE * port=1 adr=1E act=D4CA0D0F exp=D4CA0D0F + 8612.50ns INFO [00008614] Port=0 RD @0B + 8612.50ns INFO [00008614] Port=1 RD @16 + 8613.50ns INFO [00008615] * RD COMPARE * port=1 adr=0F act=0C2980A0 exp=0C2980A0 + 8614.50ns INFO [00008616] * RD COMPARE * port=0 adr=0B act=9C23C147 exp=9C23C147 + 8614.50ns INFO [00008616] * RD COMPARE * port=1 adr=16 act=14BD5D9A exp=14BD5D9A + 8615.50ns INFO [00008617] Port=0 WR @03=62CC9B2C + 8615.50ns INFO [00008617] Port=0 RD @1D + 8616.50ns INFO [00008618] Port=0 WR @10=3891DBEF + 8616.50ns INFO [00008618] Port=0 RD @0E + 8616.50ns INFO [00008618] Port=1 RD @1F + 8617.50ns INFO [00008619] * RD COMPARE * port=0 adr=1D act=2BC20EEE exp=2BC20EEE + 8617.50ns INFO [00008619] Port=0 WR @13=9045CCDF + 8617.50ns INFO [00008619] Port=0 RD @07 + 8617.50ns INFO [00008619] Port=1 RD @05 + 8618.50ns INFO [00008620] * RD COMPARE * port=0 adr=0E act=931ABDFC exp=931ABDFC + 8618.50ns INFO [00008620] * RD COMPARE * port=1 adr=1F act=A126E40D exp=A126E40D + 8618.50ns INFO [00008620] Port=0 WR @0C=DD84572E + 8618.50ns INFO [00008620] Port=1 RD @0F + 8619.50ns INFO [00008621] * RD COMPARE * port=0 adr=07 act=3588A455 exp=3588A455 + 8619.50ns INFO [00008621] * RD COMPARE * port=1 adr=05 act=823105C6 exp=823105C6 + 8619.50ns INFO [00008621] Port=0 RD @0A + 8619.50ns INFO [00008621] Port=1 RD @15 + 8620.50ns INFO [00008622] * RD COMPARE * port=1 adr=0F act=0C2980A0 exp=0C2980A0 + 8620.50ns INFO [00008622] Port=0 WR @19=48B53068 + 8620.50ns INFO [00008622] Port=0 RD @1D + 8621.50ns INFO [00008623] * RD COMPARE * port=0 adr=0A act=FDCFD910 exp=FDCFD910 + 8621.50ns INFO [00008623] * RD COMPARE * port=1 adr=15 act=DB1BE0B0 exp=DB1BE0B0 + 8621.50ns INFO [00008623] Port=0 RD @1C + 8622.50ns INFO [00008624] * RD COMPARE * port=0 adr=1D act=2BC20EEE exp=2BC20EEE + 8622.50ns INFO [00008624] Port=0 WR @1E=E6F654B1 + 8623.50ns INFO [00008625] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B + 8623.50ns INFO [00008625] Port=1 RD @16 + 8625.50ns INFO [00008627] * RD COMPARE * port=1 adr=16 act=14BD5D9A exp=14BD5D9A + 8625.50ns INFO [00008627] Port=1 RD @02 + 8626.50ns INFO [00008628] Port=0 WR @1E=6DDD5D7C + 8627.50ns INFO [00008629] * RD COMPARE * port=1 adr=02 act=5F770930 exp=5F770930 + 8627.50ns INFO [00008629] Port=1 RD @0C + 8628.50ns INFO [00008630] Port=0 WR @04=B7FBD459 + 8628.50ns INFO [00008630] Port=1 RD @09 + 8629.50ns INFO [00008631] * RD COMPARE * port=1 adr=0C act=DD84572E exp=DD84572E + 8629.50ns INFO [00008631] Port=1 RD @03 + 8630.50ns INFO [00008632] * RD COMPARE * port=1 adr=09 act=6C7C4046 exp=6C7C4046 + 8630.50ns INFO [00008632] Port=0 RD @17 + 8631.50ns INFO [00008633] * RD COMPARE * port=1 adr=03 act=62CC9B2C exp=62CC9B2C + 8631.50ns INFO [00008633] Port=1 RD @1A + 8632.50ns INFO [00008634] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6 + 8632.50ns INFO [00008634] Port=0 WR @1A=AE5222CD + 8632.50ns INFO [00008634] Port=0 RD @10 + 8633.50ns INFO [00008635] * RD COMPARE * port=1 adr=1A act=6E15B9A5 exp=6E15B9A5 + 8633.50ns INFO [00008635] Port=0 RD @07 + 8634.50ns INFO [00008636] * RD COMPARE * port=0 adr=10 act=3891DBEF exp=3891DBEF + 8635.50ns INFO [00008637] * RD COMPARE * port=0 adr=07 act=3588A455 exp=3588A455 + 8635.50ns INFO [00008637] Port=0 WR @0B=92C1CB19 + 8636.50ns INFO [00008638] Port=0 RD @17 + 8636.50ns INFO [00008638] Port=1 RD @09 + 8637.50ns INFO [00008639] Port=0 WR @1A=0C09343D + 8638.50ns INFO [00008640] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6 + 8638.50ns INFO [00008640] * RD COMPARE * port=1 adr=09 act=6C7C4046 exp=6C7C4046 + 8640.50ns INFO [00008642] Port=1 RD @1D + 8641.50ns INFO [00008643] Port=0 RD @09 + 8641.50ns INFO [00008643] Port=1 RD @13 + 8642.50ns INFO [00008644] * RD COMPARE * port=1 adr=1D act=2BC20EEE exp=2BC20EEE + 8642.50ns INFO [00008644] Port=0 WR @14=FA3C465F + 8642.50ns INFO [00008644] Port=0 RD @1C + 8643.50ns INFO [00008645] * RD COMPARE * port=0 adr=09 act=6C7C4046 exp=6C7C4046 + 8643.50ns INFO [00008645] * RD COMPARE * port=1 adr=13 act=9045CCDF exp=9045CCDF + 8643.50ns INFO [00008645] Port=0 WR @16=28EB18C5 + 8643.50ns INFO [00008645] Port=0 RD @1A + 8643.50ns INFO [00008645] Port=1 RD @11 + 8644.50ns INFO [00008646] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B + 8644.50ns INFO [00008646] Port=0 WR @16=622BCDFE + 8645.50ns INFO [00008647] * RD COMPARE * port=0 adr=1A act=0C09343D exp=0C09343D + 8645.50ns INFO [00008647] * RD COMPARE * port=1 adr=11 act=787984BC exp=787984BC + 8647.50ns INFO [00008649] Port=1 RD @14 + 8648.50ns INFO [00008650] Port=0 WR @02=FF84D872 + 8648.50ns INFO [00008650] Port=0 RD @1B + 8648.50ns INFO [00008650] Port=1 RD @06 + 8649.50ns INFO [00008651] * RD COMPARE * port=1 adr=14 act=FA3C465F exp=FA3C465F + 8649.50ns INFO [00008651] Port=0 RD @1F + 8650.50ns INFO [00008652] * RD COMPARE * port=0 adr=1B act=076BE86D exp=076BE86D + 8650.50ns INFO [00008652] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3 + 8650.50ns INFO [00008652] Port=0 RD @1A + 8650.50ns INFO [00008652] Port=1 RD @13 + 8651.50ns INFO [00008653] * RD COMPARE * port=0 adr=1F act=A126E40D exp=A126E40D + 8651.50ns INFO [00008653] Port=0 WR @15=2E974981 + 8652.50ns INFO [00008654] * RD COMPARE * port=0 adr=1A act=0C09343D exp=0C09343D + 8652.50ns INFO [00008654] * RD COMPARE * port=1 adr=13 act=9045CCDF exp=9045CCDF + 8652.50ns INFO [00008654] Port=1 RD @15 + 8653.50ns INFO [00008655] Port=0 WR @11=D89DF4F5 + 8653.50ns INFO [00008655] Port=1 RD @0A + 8654.50ns INFO [00008656] * RD COMPARE * port=1 adr=15 act=2E974981 exp=2E974981 + 8654.50ns INFO [00008656] Port=0 RD @1C + 8655.50ns INFO [00008657] * RD COMPARE * port=1 adr=0A act=FDCFD910 exp=FDCFD910 + 8655.50ns INFO [00008657] Port=0 RD @02 + 8655.50ns INFO [00008657] Port=1 RD @1C + 8656.50ns INFO [00008658] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B + 8656.50ns INFO [00008658] Port=0 WR @0F=833AAD95 + 8657.50ns INFO [00008659] * RD COMPARE * port=0 adr=02 act=FF84D872 exp=FF84D872 + 8657.50ns INFO [00008659] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B + 8657.50ns INFO [00008659] Port=0 WR @05=FCF8728A + 8657.50ns INFO [00008659] Port=0 RD @1C + 8658.50ns INFO [00008660] Port=1 RD @1E + 8659.50ns INFO [00008661] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B + 8660.50ns INFO [00008662] * RD COMPARE * port=1 adr=1E act=6DDD5D7C exp=6DDD5D7C + 8660.50ns INFO [00008662] Port=0 WR @1C=E7E47796 + 8660.50ns INFO [00008662] Port=1 RD @1F + 8662.50ns INFO [00008664] * RD COMPARE * port=1 adr=1F act=A126E40D exp=A126E40D + 8662.50ns INFO [00008664] Port=0 RD @1F + 8662.50ns INFO [00008664] Port=1 RD @07 + 8663.50ns INFO [00008665] Port=0 RD @10 + 8664.50ns INFO [00008666] * RD COMPARE * port=0 adr=1F act=A126E40D exp=A126E40D + 8664.50ns INFO [00008666] * RD COMPARE * port=1 adr=07 act=3588A455 exp=3588A455 + 8664.50ns INFO [00008666] Port=0 WR @0F=A01D340B + 8664.50ns INFO [00008666] Port=1 RD @0A + 8665.50ns INFO [00008667] * RD COMPARE * port=0 adr=10 act=3891DBEF exp=3891DBEF + 8665.50ns INFO [00008667] Port=0 WR @0B=E054A7DA + 8665.50ns INFO [00008667] Port=1 RD @1D + 8666.50ns INFO [00008668] * RD COMPARE * port=1 adr=0A act=FDCFD910 exp=FDCFD910 + 8667.50ns INFO [00008669] * RD COMPARE * port=1 adr=1D act=2BC20EEE exp=2BC20EEE + 8667.50ns INFO [00008669] Port=0 WR @09=D665F66C + 8667.50ns INFO [00008669] Port=1 RD @1D + 8669.50ns INFO [00008671] * RD COMPARE * port=1 adr=1D act=2BC20EEE exp=2BC20EEE + 8669.50ns INFO [00008671] Port=0 WR @15=8D227838 + 8669.50ns INFO [00008671] Port=1 RD @18 + 8670.50ns INFO [00008672] Port=0 RD @04 + 8670.50ns INFO [00008672] Port=1 RD @16 + 8671.50ns INFO [00008673] * RD COMPARE * port=1 adr=18 act=AF568F8D exp=AF568F8D + 8671.50ns INFO [00008673] Port=1 RD @0A + 8672.50ns INFO [00008674] * RD COMPARE * port=0 adr=04 act=B7FBD459 exp=B7FBD459 + 8672.50ns INFO [00008674] * RD COMPARE * port=1 adr=16 act=622BCDFE exp=622BCDFE + 8672.50ns INFO [00008674] Port=0 RD @06 + 8673.50ns INFO [00008675] * RD COMPARE * port=1 adr=0A act=FDCFD910 exp=FDCFD910 + 8673.50ns INFO [00008675] Port=0 WR @13=ABA537E9 + 8673.50ns INFO [00008675] Port=0 RD @14 + 8674.50ns INFO [00008676] * RD COMPARE * port=0 adr=06 act=65B969E3 exp=65B969E3 + 8674.50ns INFO [00008676] Port=0 WR @13=BB62C04C + 8674.50ns INFO [00008676] Port=0 RD @03 + 8674.50ns INFO [00008676] Port=1 RD @01 + 8675.50ns INFO [00008677] * RD COMPARE * port=0 adr=14 act=FA3C465F exp=FA3C465F + 8675.50ns INFO [00008677] Port=0 WR @1A=DBBB99D0 + 8676.50ns INFO [00008678] * RD COMPARE * port=0 adr=03 act=62CC9B2C exp=62CC9B2C + 8676.50ns INFO [00008678] * RD COMPARE * port=1 adr=01 act=5A3046C1 exp=5A3046C1 + 8676.50ns INFO [00008678] Port=0 WR @09=12828489 + 8676.50ns INFO [00008678] Port=1 RD @00 + 8677.50ns INFO [00008679] Port=0 RD @0E + 8677.50ns INFO [00008679] Port=1 RD @13 + 8678.50ns INFO [00008680] * RD COMPARE * port=1 adr=00 act=5C087E79 exp=5C087E79 + 8678.50ns INFO [00008680] Port=1 RD @0F + 8679.50ns INFO [00008681] * RD COMPARE * port=0 adr=0E act=931ABDFC exp=931ABDFC + 8679.50ns INFO [00008681] * RD COMPARE * port=1 adr=13 act=BB62C04C exp=BB62C04C + 8679.50ns INFO [00008681] Port=0 RD @0C + 8680.50ns INFO [00008682] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B + 8681.50ns INFO [00008683] * RD COMPARE * port=0 adr=0C act=DD84572E exp=DD84572E + 8682.50ns INFO [00008684] Port=0 RD @17 + 8684.50ns INFO [00008686] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6 + 8684.50ns INFO [00008686] Port=0 WR @0C=2C9047B1 + 8684.50ns INFO [00008686] Port=0 RD @07 + 8684.50ns INFO [00008686] Port=1 RD @0F + 8685.50ns INFO [00008687] Port=0 RD @00 + 8686.50ns INFO [00008688] * RD COMPARE * port=0 adr=07 act=3588A455 exp=3588A455 + 8686.50ns INFO [00008688] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B + 8686.50ns INFO [00008688] Port=0 WR @1C=5C25DDBA + 8687.50ns INFO [00008689] * RD COMPARE * port=0 adr=00 act=5C087E79 exp=5C087E79 + 8687.50ns INFO [00008689] Port=0 WR @09=6BB270FA + 8687.50ns INFO [00008689] Port=0 RD @15 + 8687.50ns INFO [00008689] Port=1 RD @12 + 8689.50ns INFO [00008691] * RD COMPARE * port=0 adr=15 act=8D227838 exp=8D227838 + 8689.50ns INFO [00008691] * RD COMPARE * port=1 adr=12 act=A671AFA2 exp=A671AFA2 + 8689.50ns INFO [00008691] Port=0 RD @1D + 8690.50ns INFO [00008692] Port=0 WR @08=73D14788 + 8690.50ns INFO [00008692] Port=0 RD @1E + 8691.50ns INFO [00008693] * RD COMPARE * port=0 adr=1D act=2BC20EEE exp=2BC20EEE + 8691.50ns INFO [00008693] Port=0 WR @0A=1BE72ED5 + 8692.50ns INFO [00008694] * RD COMPARE * port=0 adr=1E act=6DDD5D7C exp=6DDD5D7C + 8693.50ns INFO [00008695] Port=0 WR @09=C6F9D514 + 8694.50ns INFO [00008696] Port=0 WR @17=49FB65B5 + 8696.50ns INFO [00008698] Port=0 RD @02 + 8696.50ns INFO [00008698] Port=1 RD @0D + 8698.00ns INFO [00008700] [00008700] ...tick... + 8698.50ns INFO [00008700] * RD COMPARE * port=0 adr=02 act=FF84D872 exp=FF84D872 + 8698.50ns INFO [00008700] * RD COMPARE * port=1 adr=0D act=34A00D61 exp=34A00D61 + 8698.50ns INFO [00008700] Port=1 RD @0F + 8699.50ns INFO [00008701] Port=0 WR @16=1A1F8093 + 8699.50ns INFO [00008701] Port=0 RD @1E + 8699.50ns INFO [00008701] Port=1 RD @0C + 8700.50ns INFO [00008702] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B + 8700.50ns INFO [00008702] Port=0 RD @1E + 8701.50ns INFO [00008703] * RD COMPARE * port=0 adr=1E act=6DDD5D7C exp=6DDD5D7C + 8701.50ns INFO [00008703] * RD COMPARE * port=1 adr=0C act=2C9047B1 exp=2C9047B1 + 8701.50ns INFO [00008703] Port=1 RD @13 + 8702.50ns INFO [00008704] * RD COMPARE * port=0 adr=1E act=6DDD5D7C exp=6DDD5D7C + 8702.50ns INFO [00008704] Port=0 WR @10=D735F677 + 8702.50ns INFO [00008704] Port=0 RD @1A + 8703.50ns INFO [00008705] * RD COMPARE * port=1 adr=13 act=BB62C04C exp=BB62C04C + 8703.50ns INFO [00008705] Port=0 WR @1D=ADFD25D6 + 8703.50ns INFO [00008705] Port=1 RD @06 + 8704.50ns INFO [00008706] * RD COMPARE * port=0 adr=1A act=DBBB99D0 exp=DBBB99D0 + 8704.50ns INFO [00008706] Port=0 WR @04=AE374818 + 8705.50ns INFO [00008707] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3 + 8705.50ns INFO [00008707] Port=0 WR @1E=06E9AC60 + 8705.50ns INFO [00008707] Port=1 RD @0F + 8707.50ns INFO [00008709] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B + 8707.50ns INFO [00008709] Port=0 WR @15=19A58CC0 + 8708.50ns INFO [00008710] Port=0 WR @18=D8B1524A + 8710.50ns INFO [00008712] Port=0 WR @01=6741471F + 8710.50ns INFO [00008712] Port=0 RD @18 + 8710.50ns INFO [00008712] Port=1 RD @1E + 8711.50ns INFO [00008713] Port=0 WR @19=3A4C0385 + 8712.50ns INFO [00008714] * RD COMPARE * port=0 adr=18 act=D8B1524A exp=D8B1524A + 8712.50ns INFO [00008714] * RD COMPARE * port=1 adr=1E act=06E9AC60 exp=06E9AC60 + 8712.50ns INFO [00008714] Port=0 RD @19 + 8712.50ns INFO [00008714] Port=1 RD @1A + 8714.50ns INFO [00008716] * RD COMPARE * port=0 adr=19 act=3A4C0385 exp=3A4C0385 + 8714.50ns INFO [00008716] * RD COMPARE * port=1 adr=1A act=DBBB99D0 exp=DBBB99D0 + 8714.50ns INFO [00008716] Port=0 WR @0A=6B9C9A37 + 8715.50ns INFO [00008717] Port=0 RD @1C + 8715.50ns INFO [00008717] Port=1 RD @1A + 8716.50ns INFO [00008718] Port=0 WR @02=C3BCBF50 + 8717.50ns INFO [00008719] * RD COMPARE * port=0 adr=1C act=5C25DDBA exp=5C25DDBA + 8717.50ns INFO [00008719] * RD COMPARE * port=1 adr=1A act=DBBB99D0 exp=DBBB99D0 + 8717.50ns INFO [00008719] Port=0 WR @19=240D3BB7 + 8717.50ns INFO [00008719] Port=1 RD @1C + 8718.50ns INFO [00008720] Port=0 RD @09 + 8719.50ns INFO [00008721] * RD COMPARE * port=1 adr=1C act=5C25DDBA exp=5C25DDBA + 8719.50ns INFO [00008721] Port=1 RD @0D + 8720.50ns INFO [00008722] * RD COMPARE * port=0 adr=09 act=C6F9D514 exp=C6F9D514 + 8721.50ns INFO [00008723] * RD COMPARE * port=1 adr=0D act=34A00D61 exp=34A00D61 + 8721.50ns INFO [00008723] Port=0 WR @17=0A6701DC + 8723.50ns INFO [00008725] Port=0 RD @1F + 8724.50ns INFO [00008726] Port=0 WR @08=5D34DA2E + 8724.50ns INFO [00008726] Port=0 RD @02 + 8725.50ns INFO [00008727] * RD COMPARE * port=0 adr=1F act=A126E40D exp=A126E40D + 8725.50ns INFO [00008727] Port=0 RD @18 + 8726.50ns INFO [00008728] * RD COMPARE * port=0 adr=02 act=C3BCBF50 exp=C3BCBF50 + 8726.50ns INFO [00008728] Port=0 RD @03 + 8726.50ns INFO [00008728] Port=1 RD @02 + 8727.50ns INFO [00008729] * RD COMPARE * port=0 adr=18 act=D8B1524A exp=D8B1524A + 8727.50ns INFO [00008729] Port=0 RD @05 + 8727.50ns INFO [00008729] Port=1 RD @06 + 8728.50ns INFO [00008730] * RD COMPARE * port=0 adr=03 act=62CC9B2C exp=62CC9B2C + 8728.50ns INFO [00008730] * RD COMPARE * port=1 adr=02 act=C3BCBF50 exp=C3BCBF50 + 8728.50ns INFO [00008730] Port=0 RD @0E + 8729.50ns INFO [00008731] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A + 8729.50ns INFO [00008731] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3 + 8729.50ns INFO [00008731] Port=0 WR @13=68D9A4EE + 8729.50ns INFO [00008731] Port=1 RD @04 + 8730.50ns INFO [00008732] * RD COMPARE * port=0 adr=0E act=931ABDFC exp=931ABDFC + 8730.50ns INFO [00008732] Port=0 WR @12=78B4C82F + 8730.50ns INFO [00008732] Port=1 RD @0C + 8731.50ns INFO [00008733] * RD COMPARE * port=1 adr=04 act=AE374818 exp=AE374818 + 8731.50ns INFO [00008733] Port=0 WR @0A=0FFEBED1 + 8732.50ns INFO [00008734] * RD COMPARE * port=1 adr=0C act=2C9047B1 exp=2C9047B1 + 8732.50ns INFO [00008734] Port=0 WR @12=DCD987D8 + 8732.50ns INFO [00008734] Port=1 RD @15 + 8733.50ns INFO [00008735] Port=0 WR @06=D9039DDF + 8733.50ns INFO [00008735] Port=0 RD @1E + 8733.50ns INFO [00008735] Port=1 RD @18 + 8734.50ns INFO [00008736] * RD COMPARE * port=1 adr=15 act=19A58CC0 exp=19A58CC0 + 8735.50ns INFO [00008737] * RD COMPARE * port=0 adr=1E act=06E9AC60 exp=06E9AC60 + 8735.50ns INFO [00008737] * RD COMPARE * port=1 adr=18 act=D8B1524A exp=D8B1524A + 8735.50ns INFO [00008737] Port=0 WR @0E=162AE10B + 8735.50ns INFO [00008737] Port=1 RD @02 + 8736.50ns INFO [00008738] Port=1 RD @1E + 8737.50ns INFO [00008739] * RD COMPARE * port=1 adr=02 act=C3BCBF50 exp=C3BCBF50 + 8738.50ns INFO [00008740] * RD COMPARE * port=1 adr=1E act=06E9AC60 exp=06E9AC60 + 8738.50ns INFO [00008740] Port=0 WR @1B=7E11183B + 8738.50ns INFO [00008740] Port=0 RD @08 + 8740.50ns INFO [00008742] * RD COMPARE * port=0 adr=08 act=5D34DA2E exp=5D34DA2E + 8740.50ns INFO [00008742] Port=0 RD @1D + 8740.50ns INFO [00008742] Port=1 RD @0D + 8741.50ns INFO [00008743] Port=0 WR @1B=2500F939 + 8741.50ns INFO [00008743] Port=0 RD @14 + 8742.50ns INFO [00008744] * RD COMPARE * port=0 adr=1D act=ADFD25D6 exp=ADFD25D6 + 8742.50ns INFO [00008744] * RD COMPARE * port=1 adr=0D act=34A00D61 exp=34A00D61 + 8742.50ns INFO [00008744] Port=0 RD @10 + 8743.50ns INFO [00008745] * RD COMPARE * port=0 adr=14 act=FA3C465F exp=FA3C465F + 8743.50ns INFO [00008745] Port=0 WR @0E=1C5777A9 + 8743.50ns INFO [00008745] Port=0 RD @0D + 8743.50ns INFO [00008745] Port=1 RD @0F + 8744.50ns INFO [00008746] * RD COMPARE * port=0 adr=10 act=D735F677 exp=D735F677 + 8744.50ns INFO [00008746] Port=0 WR @08=B6F7ABCC + 8745.50ns INFO [00008747] * RD COMPARE * port=0 adr=0D act=34A00D61 exp=34A00D61 + 8745.50ns INFO [00008747] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B + 8747.50ns INFO [00008749] Port=0 RD @0B + 8749.50ns INFO [00008751] * RD COMPARE * port=0 adr=0B act=E054A7DA exp=E054A7DA + 8749.50ns INFO [00008751] Port=0 WR @10=FF829FA6 + 8749.50ns INFO [00008751] Port=0 RD @0A + 8749.50ns INFO [00008751] Port=1 RD @0B + 8750.50ns INFO [00008752] Port=0 WR @17=4EE36C56 + 8750.50ns INFO [00008752] Port=1 RD @1F + 8751.50ns INFO [00008753] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1 + 8751.50ns INFO [00008753] * RD COMPARE * port=1 adr=0B act=E054A7DA exp=E054A7DA + 8752.50ns INFO [00008754] * RD COMPARE * port=1 adr=1F act=A126E40D exp=A126E40D + 8752.50ns INFO [00008754] Port=1 RD @0A + 8753.50ns INFO [00008755] Port=0 RD @00 + 8753.50ns INFO [00008755] Port=1 RD @0F + 8754.50ns INFO [00008756] * RD COMPARE * port=1 adr=0A act=0FFEBED1 exp=0FFEBED1 + 8754.50ns INFO [00008756] Port=0 WR @0F=206692B4 + 8754.50ns INFO [00008756] Port=0 RD @13 + 8755.50ns INFO [00008757] * RD COMPARE * port=0 adr=00 act=5C087E79 exp=5C087E79 + 8755.50ns INFO [00008757] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B + 8755.50ns INFO [00008757] Port=1 RD @18 + 8756.50ns INFO [00008758] * RD COMPARE * port=0 adr=13 act=68D9A4EE exp=68D9A4EE + 8757.50ns INFO [00008759] * RD COMPARE * port=1 adr=18 act=D8B1524A exp=D8B1524A + 8757.50ns INFO [00008759] Port=0 WR @1D=E90B0812 + 8758.50ns INFO [00008760] Port=0 WR @0D=714DC3CF + 8758.50ns INFO [00008760] Port=1 RD @18 + 8759.50ns INFO [00008761] Port=0 RD @1E + 8760.50ns INFO [00008762] * RD COMPARE * port=1 adr=18 act=D8B1524A exp=D8B1524A + 8760.50ns INFO [00008762] Port=0 RD @04 + 8761.50ns INFO [00008763] * RD COMPARE * port=0 adr=1E act=06E9AC60 exp=06E9AC60 + 8762.50ns INFO [00008764] * RD COMPARE * port=0 adr=04 act=AE374818 exp=AE374818 + 8762.50ns INFO [00008764] Port=0 RD @0E + 8764.50ns INFO [00008766] * RD COMPARE * port=0 adr=0E act=1C5777A9 exp=1C5777A9 + 8765.50ns INFO [00008767] Port=0 RD @1F + 8765.50ns INFO [00008767] Port=1 RD @0E + 8767.50ns INFO [00008769] * RD COMPARE * port=0 adr=1F act=A126E40D exp=A126E40D + 8767.50ns INFO [00008769] * RD COMPARE * port=1 adr=0E act=1C5777A9 exp=1C5777A9 + 8767.50ns INFO [00008769] Port=0 WR @18=47C813FD + 8767.50ns INFO [00008769] Port=0 RD @0D + 8767.50ns INFO [00008769] Port=1 RD @1B + 8768.50ns INFO [00008770] Port=0 WR @0C=55813CBD + 8768.50ns INFO [00008770] Port=0 RD @1E + 8769.50ns INFO [00008771] * RD COMPARE * port=0 adr=0D act=714DC3CF exp=714DC3CF + 8769.50ns INFO [00008771] * RD COMPARE * port=1 adr=1B act=2500F939 exp=2500F939 + 8769.50ns INFO [00008771] Port=0 WR @1F=0559E661 + 8769.50ns INFO [00008771] Port=0 RD @09 + 8770.50ns INFO [00008772] * RD COMPARE * port=0 adr=1E act=06E9AC60 exp=06E9AC60 + 8770.50ns INFO [00008772] Port=0 WR @0E=B6332E98 + 8770.50ns INFO [00008772] Port=1 RD @08 + 8771.50ns INFO [00008773] * RD COMPARE * port=0 adr=09 act=C6F9D514 exp=C6F9D514 + 8771.50ns INFO [00008773] Port=0 WR @17=7182175E + 8772.50ns INFO [00008774] * RD COMPARE * port=1 adr=08 act=B6F7ABCC exp=B6F7ABCC + 8772.50ns INFO [00008774] Port=0 RD @05 + 8772.50ns INFO [00008774] Port=1 RD @02 + 8774.50ns INFO [00008776] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A + 8774.50ns INFO [00008776] * RD COMPARE * port=1 adr=02 act=C3BCBF50 exp=C3BCBF50 + 8775.50ns INFO [00008777] Port=0 WR @1E=736C15AA + 8775.50ns INFO [00008777] Port=0 RD @15 + 8775.50ns INFO [00008777] Port=1 RD @19 + 8777.50ns INFO [00008779] * RD COMPARE * port=0 adr=15 act=19A58CC0 exp=19A58CC0 + 8777.50ns INFO [00008779] * RD COMPARE * port=1 adr=19 act=240D3BB7 exp=240D3BB7 + 8777.50ns INFO [00008779] Port=0 WR @08=F3BD116F + 8779.50ns INFO [00008781] Port=0 WR @14=7F73B9E5 + 8779.50ns INFO [00008781] Port=0 RD @0A + 8779.50ns INFO [00008781] Port=1 RD @1C + 8780.50ns INFO [00008782] Port=0 WR @19=8CA6F23C + 8780.50ns INFO [00008782] Port=0 RD @0B + 8781.50ns INFO [00008783] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1 + 8781.50ns INFO [00008783] * RD COMPARE * port=1 adr=1C act=5C25DDBA exp=5C25DDBA + 8781.50ns INFO [00008783] Port=0 RD @15 + 8782.50ns INFO [00008784] * RD COMPARE * port=0 adr=0B act=E054A7DA exp=E054A7DA + 8782.50ns INFO [00008784] Port=0 WR @17=46B60322 + 8783.50ns INFO [00008785] * RD COMPARE * port=0 adr=15 act=19A58CC0 exp=19A58CC0 + 8783.50ns INFO [00008785] Port=1 RD @0C + 8784.50ns INFO [00008786] Port=0 RD @0D + 8785.50ns INFO [00008787] * RD COMPARE * port=1 adr=0C act=55813CBD exp=55813CBD + 8785.50ns INFO [00008787] Port=1 RD @00 + 8786.50ns INFO [00008788] * RD COMPARE * port=0 adr=0D act=714DC3CF exp=714DC3CF + 8786.50ns INFO [00008788] Port=0 RD @0A + 8787.50ns INFO [00008789] * RD COMPARE * port=1 adr=00 act=5C087E79 exp=5C087E79 + 8787.50ns INFO [00008789] Port=1 RD @0A + 8788.50ns INFO [00008790] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1 + 8788.50ns INFO [00008790] Port=1 RD @08 + 8789.50ns INFO [00008791] * RD COMPARE * port=1 adr=0A act=0FFEBED1 exp=0FFEBED1 + 8789.50ns INFO [00008791] Port=0 WR @1B=6AEB1256 + 8789.50ns INFO [00008791] Port=0 RD @04 + 8789.50ns INFO [00008791] Port=1 RD @1A + 8790.50ns INFO [00008792] * RD COMPARE * port=1 adr=08 act=F3BD116F exp=F3BD116F + 8790.50ns INFO [00008792] Port=0 RD @0F + 8791.50ns INFO [00008793] * RD COMPARE * port=0 adr=04 act=AE374818 exp=AE374818 + 8791.50ns INFO [00008793] * RD COMPARE * port=1 adr=1A act=DBBB99D0 exp=DBBB99D0 + 8791.50ns INFO [00008793] Port=0 WR @03=5FCFFB28 + 8792.50ns INFO [00008794] * RD COMPARE * port=0 adr=0F act=206692B4 exp=206692B4 + 8792.50ns INFO [00008794] Port=0 WR @01=8F1D1277 + 8792.50ns INFO [00008794] Port=1 RD @1E + 8793.50ns INFO [00008795] Port=0 WR @08=DB3CBD93 + 8793.50ns INFO [00008795] Port=0 RD @06 + 8794.50ns INFO [00008796] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA + 8794.50ns INFO [00008796] Port=0 WR @1D=C9C60A07 + 8794.50ns INFO [00008796] Port=0 RD @05 + 8795.50ns INFO [00008797] * RD COMPARE * port=0 adr=06 act=D9039DDF exp=D9039DDF + 8795.50ns INFO [00008797] Port=1 RD @08 + 8796.50ns INFO [00008798] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A + 8796.50ns INFO [00008798] Port=0 RD @00 + 8797.50ns INFO [00008799] * RD COMPARE * port=1 adr=08 act=DB3CBD93 exp=DB3CBD93 + 8798.00ns INFO [00008800] [00008800] ...tick... + 8798.50ns INFO [00008800] * RD COMPARE * port=0 adr=00 act=5C087E79 exp=5C087E79 + 8799.50ns INFO [00008801] Port=1 RD @13 + 8801.50ns INFO [00008803] * RD COMPARE * port=1 adr=13 act=68D9A4EE exp=68D9A4EE + 8801.50ns INFO [00008803] Port=1 RD @11 + 8802.50ns INFO [00008804] Port=0 WR @00=A9982EB3 + 8802.50ns INFO [00008804] Port=0 RD @1C + 8802.50ns INFO [00008804] Port=1 RD @0E + 8803.50ns INFO [00008805] * RD COMPARE * port=1 adr=11 act=D89DF4F5 exp=D89DF4F5 + 8803.50ns INFO [00008805] Port=0 RD @08 + 8804.50ns INFO [00008806] * RD COMPARE * port=0 adr=1C act=5C25DDBA exp=5C25DDBA + 8804.50ns INFO [00008806] * RD COMPARE * port=1 adr=0E act=B6332E98 exp=B6332E98 + 8804.50ns INFO [00008806] Port=0 WR @0E=B2D3AAE4 + 8804.50ns INFO [00008806] Port=1 RD @0F + 8805.50ns INFO [00008807] * RD COMPARE * port=0 adr=08 act=DB3CBD93 exp=DB3CBD93 + 8806.50ns INFO [00008808] * RD COMPARE * port=1 adr=0F act=206692B4 exp=206692B4 + 8806.50ns INFO [00008808] Port=0 RD @07 + 8807.50ns INFO [00008809] Port=0 WR @07=09E7B556 + 8808.50ns INFO [00008810] * RD COMPARE * port=0 adr=07 act=3588A455 exp=3588A455 + 8808.50ns INFO [00008810] Port=0 WR @19=42F8FD41 + 8809.50ns INFO [00008811] Port=0 WR @0E=10F5C303 + 8809.50ns INFO [00008811] Port=1 RD @18 + 8810.50ns INFO [00008812] Port=1 RD @03 + 8811.50ns INFO [00008813] * RD COMPARE * port=1 adr=18 act=47C813FD exp=47C813FD + 8812.50ns INFO [00008814] * RD COMPARE * port=1 adr=03 act=5FCFFB28 exp=5FCFFB28 + 8812.50ns INFO [00008814] Port=0 WR @02=3C671657 + 8813.50ns INFO [00008815] Port=1 RD @0B + 8815.50ns INFO [00008817] * RD COMPARE * port=1 adr=0B act=E054A7DA exp=E054A7DA + 8819.50ns INFO [00008821] Port=0 RD @08 + 8820.50ns INFO [00008822] Port=0 RD @11 + 8821.50ns INFO [00008823] * RD COMPARE * port=0 adr=08 act=DB3CBD93 exp=DB3CBD93 + 8821.50ns INFO [00008823] Port=1 RD @14 + 8822.50ns INFO [00008824] * RD COMPARE * port=0 adr=11 act=D89DF4F5 exp=D89DF4F5 + 8822.50ns INFO [00008824] Port=0 WR @04=C32622D3 + 8822.50ns INFO [00008824] Port=0 RD @1F + 8822.50ns INFO [00008824] Port=1 RD @1F + 8823.50ns INFO [00008825] * RD COMPARE * port=1 adr=14 act=7F73B9E5 exp=7F73B9E5 + 8824.50ns INFO [00008826] * RD COMPARE * port=0 adr=1F act=0559E661 exp=0559E661 + 8824.50ns INFO [00008826] * RD COMPARE * port=1 adr=1F act=0559E661 exp=0559E661 + 8824.50ns INFO [00008826] Port=1 RD @05 + 8825.50ns INFO [00008827] Port=1 RD @0A + 8826.50ns INFO [00008828] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A + 8827.50ns INFO [00008829] * RD COMPARE * port=1 adr=0A act=0FFEBED1 exp=0FFEBED1 + 8827.50ns INFO [00008829] Port=0 RD @02 + 8827.50ns INFO [00008829] Port=1 RD @05 + 8828.50ns INFO [00008830] Port=0 WR @09=9C7D6902 + 8828.50ns INFO [00008830] Port=0 RD @02 + 8828.50ns INFO [00008830] Port=1 RD @0B + 8829.50ns INFO [00008831] * RD COMPARE * port=0 adr=02 act=3C671657 exp=3C671657 + 8829.50ns INFO [00008831] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A + 8829.50ns INFO [00008831] Port=0 WR @00=32B80729 + 8829.50ns INFO [00008831] Port=0 RD @1D + 8829.50ns INFO [00008831] Port=1 RD @06 + 8830.50ns INFO [00008832] * RD COMPARE * port=0 adr=02 act=3C671657 exp=3C671657 + 8830.50ns INFO [00008832] * RD COMPARE * port=1 adr=0B act=E054A7DA exp=E054A7DA + 8830.50ns INFO [00008832] Port=0 WR @0C=AD9DCE2A + 8830.50ns INFO [00008832] Port=0 RD @07 + 8831.50ns INFO [00008833] * RD COMPARE * port=0 adr=1D act=C9C60A07 exp=C9C60A07 + 8831.50ns INFO [00008833] * RD COMPARE * port=1 adr=06 act=D9039DDF exp=D9039DDF + 8831.50ns INFO [00008833] Port=0 WR @0C=F82314F0 + 8832.50ns INFO [00008834] * RD COMPARE * port=0 adr=07 act=09E7B556 exp=09E7B556 + 8832.50ns INFO [00008834] Port=0 WR @0D=DFD15A1A + 8832.50ns INFO [00008834] Port=1 RD @16 + 8833.50ns INFO [00008835] Port=0 WR @04=939568BF + 8833.50ns INFO [00008835] Port=0 RD @05 + 8834.50ns INFO [00008836] * RD COMPARE * port=1 adr=16 act=1A1F8093 exp=1A1F8093 + 8834.50ns INFO [00008836] Port=1 RD @1F + 8835.50ns INFO [00008837] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A + 8836.50ns INFO [00008838] * RD COMPARE * port=1 adr=1F act=0559E661 exp=0559E661 + 8836.50ns INFO [00008838] Port=0 WR @15=5324ACDD + 8836.50ns INFO [00008838] Port=0 RD @07 + 8837.50ns INFO [00008839] Port=0 WR @19=4BB69DED + 8837.50ns INFO [00008839] Port=0 RD @00 + 8837.50ns INFO [00008839] Port=1 RD @1F + 8838.50ns INFO [00008840] * RD COMPARE * port=0 adr=07 act=09E7B556 exp=09E7B556 + 8838.50ns INFO [00008840] Port=0 WR @0C=7C3FE4D4 + 8838.50ns INFO [00008840] Port=1 RD @16 + 8839.50ns INFO [00008841] * RD COMPARE * port=0 adr=00 act=32B80729 exp=32B80729 + 8839.50ns INFO [00008841] * RD COMPARE * port=1 adr=1F act=0559E661 exp=0559E661 + 8839.50ns INFO [00008841] Port=0 WR @04=506FAF8B + 8839.50ns INFO [00008841] Port=1 RD @1F + 8840.50ns INFO [00008842] * RD COMPARE * port=1 adr=16 act=1A1F8093 exp=1A1F8093 + 8840.50ns INFO [00008842] Port=1 RD @08 + 8841.50ns INFO [00008843] * RD COMPARE * port=1 adr=1F act=0559E661 exp=0559E661 + 8841.50ns INFO [00008843] Port=1 RD @05 + 8842.50ns INFO [00008844] * RD COMPARE * port=1 adr=08 act=DB3CBD93 exp=DB3CBD93 + 8842.50ns INFO [00008844] Port=0 WR @1D=6046373D + 8842.50ns INFO [00008844] Port=1 RD @14 + 8843.50ns INFO [00008845] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A + 8843.50ns INFO [00008845] Port=0 RD @1C + 8844.50ns INFO [00008846] * RD COMPARE * port=1 adr=14 act=7F73B9E5 exp=7F73B9E5 + 8844.50ns INFO [00008846] Port=0 WR @1D=A837E181 + 8845.50ns INFO [00008847] * RD COMPARE * port=0 adr=1C act=5C25DDBA exp=5C25DDBA + 8846.50ns INFO [00008848] Port=0 WR @12=AD68A429 + 8846.50ns INFO [00008848] Port=0 RD @0A + 8847.50ns INFO [00008849] Port=0 WR @0E=F5072388 + 8848.50ns INFO [00008850] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1 + 8848.50ns INFO [00008850] Port=0 WR @19=5B357986 + 8848.50ns INFO [00008850] Port=0 RD @05 + 8848.50ns INFO [00008850] Port=1 RD @01 + 8850.50ns INFO [00008852] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A + 8850.50ns INFO [00008852] * RD COMPARE * port=1 adr=01 act=8F1D1277 exp=8F1D1277 + 8851.50ns INFO [00008853] Port=1 RD @08 + 8852.50ns INFO [00008854] Port=0 RD @07 + 8852.50ns INFO [00008854] Port=1 RD @1B + 8853.50ns INFO [00008855] * RD COMPARE * port=1 adr=08 act=DB3CBD93 exp=DB3CBD93 + 8853.50ns INFO [00008855] Port=0 WR @19=78181ED4 + 8853.50ns INFO [00008855] Port=1 RD @1E + 8854.50ns INFO [00008856] * RD COMPARE * port=0 adr=07 act=09E7B556 exp=09E7B556 + 8854.50ns INFO [00008856] * RD COMPARE * port=1 adr=1B act=6AEB1256 exp=6AEB1256 + 8854.50ns INFO [00008856] Port=0 RD @0F + 8854.50ns INFO [00008856] Port=1 RD @14 + 8855.50ns INFO [00008857] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA + 8856.50ns INFO [00008858] * RD COMPARE * port=0 adr=0F act=206692B4 exp=206692B4 + 8856.50ns INFO [00008858] * RD COMPARE * port=1 adr=14 act=7F73B9E5 exp=7F73B9E5 + 8857.50ns INFO [00008859] Port=0 RD @1A + 8859.50ns INFO [00008861] * RD COMPARE * port=0 adr=1A act=DBBB99D0 exp=DBBB99D0 + 8859.50ns INFO [00008861] Port=0 RD @0B + 8860.50ns INFO [00008862] Port=1 RD @07 + 8861.50ns INFO [00008863] * RD COMPARE * port=0 adr=0B act=E054A7DA exp=E054A7DA + 8861.50ns INFO [00008863] Port=0 WR @10=0FA21A27 + 8861.50ns INFO [00008863] Port=0 RD @0D + 8862.50ns INFO [00008864] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556 + 8862.50ns INFO [00008864] Port=0 WR @09=66EBCA02 + 8863.50ns INFO [00008865] * RD COMPARE * port=0 adr=0D act=DFD15A1A exp=DFD15A1A + 8863.50ns INFO [00008865] Port=0 WR @1B=9A193F2B + 8863.50ns INFO [00008865] Port=1 RD @09 + 8864.50ns INFO [00008866] Port=0 RD @0F + 8865.50ns INFO [00008867] * RD COMPARE * port=1 adr=09 act=66EBCA02 exp=66EBCA02 + 8865.50ns INFO [00008867] Port=0 RD @0F + 8865.50ns INFO [00008867] Port=1 RD @15 + 8866.50ns INFO [00008868] * RD COMPARE * port=0 adr=0F act=206692B4 exp=206692B4 + 8866.50ns INFO [00008868] Port=0 RD @1D + 8867.50ns INFO [00008869] * RD COMPARE * port=0 adr=0F act=206692B4 exp=206692B4 + 8867.50ns INFO [00008869] * RD COMPARE * port=1 adr=15 act=5324ACDD exp=5324ACDD + 8867.50ns INFO [00008869] Port=0 WR @17=C5C35555 + 8867.50ns INFO [00008869] Port=1 RD @05 + 8868.50ns INFO [00008870] * RD COMPARE * port=0 adr=1D act=A837E181 exp=A837E181 + 8868.50ns INFO [00008870] Port=0 WR @1B=08EB72F5 + 8868.50ns INFO [00008870] Port=0 RD @01 + 8868.50ns INFO [00008870] Port=1 RD @11 + 8869.50ns INFO [00008871] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A + 8870.50ns INFO [00008872] * RD COMPARE * port=0 adr=01 act=8F1D1277 exp=8F1D1277 + 8870.50ns INFO [00008872] * RD COMPARE * port=1 adr=11 act=D89DF4F5 exp=D89DF4F5 + 8870.50ns INFO [00008872] Port=0 RD @1D + 8871.50ns INFO [00008873] Port=0 WR @12=D020F869 + 8871.50ns INFO [00008873] Port=1 RD @1C + 8872.50ns INFO [00008874] * RD COMPARE * port=0 adr=1D act=A837E181 exp=A837E181 + 8873.50ns INFO [00008875] * RD COMPARE * port=1 adr=1C act=5C25DDBA exp=5C25DDBA + 8873.50ns INFO [00008875] Port=1 RD @1A + 8874.50ns INFO [00008876] Port=1 RD @1E + 8875.50ns INFO [00008877] * RD COMPARE * port=1 adr=1A act=DBBB99D0 exp=DBBB99D0 + 8876.50ns INFO [00008878] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA + 8876.50ns INFO [00008878] Port=0 RD @11 + 8877.50ns INFO [00008879] Port=0 RD @02 + 8878.50ns INFO [00008880] * RD COMPARE * port=0 adr=11 act=D89DF4F5 exp=D89DF4F5 + 8878.50ns INFO [00008880] Port=1 RD @0C + 8879.50ns INFO [00008881] * RD COMPARE * port=0 adr=02 act=3C671657 exp=3C671657 + 8879.50ns INFO [00008881] Port=0 RD @00 + 8880.50ns INFO [00008882] * RD COMPARE * port=1 adr=0C act=7C3FE4D4 exp=7C3FE4D4 + 8880.50ns INFO [00008882] Port=0 RD @1C + 8881.50ns INFO [00008883] * RD COMPARE * port=0 adr=00 act=32B80729 exp=32B80729 + 8882.50ns INFO [00008884] * RD COMPARE * port=0 adr=1C act=5C25DDBA exp=5C25DDBA + 8882.50ns INFO [00008884] Port=0 WR @16=EE49DF2B + 8882.50ns INFO [00008884] Port=0 RD @18 + 8883.50ns INFO [00008885] Port=1 RD @17 + 8884.50ns INFO [00008886] * RD COMPARE * port=0 adr=18 act=47C813FD exp=47C813FD + 8885.50ns INFO [00008887] * RD COMPARE * port=1 adr=17 act=C5C35555 exp=C5C35555 + 8885.50ns INFO [00008887] Port=0 WR @14=3AC915D6 + 8885.50ns INFO [00008887] Port=1 RD @1C + 8886.50ns INFO [00008888] Port=1 RD @07 + 8887.50ns INFO [00008889] * RD COMPARE * port=1 adr=1C act=5C25DDBA exp=5C25DDBA + 8887.50ns INFO [00008889] Port=0 WR @08=37C1805C + 8888.50ns INFO [00008890] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556 + 8888.50ns INFO [00008890] Port=0 RD @18 + 8888.50ns INFO [00008890] Port=1 RD @10 + 8889.50ns INFO [00008891] Port=0 RD @01 + 8890.50ns INFO [00008892] * RD COMPARE * port=0 adr=18 act=47C813FD exp=47C813FD + 8890.50ns INFO [00008892] * RD COMPARE * port=1 adr=10 act=0FA21A27 exp=0FA21A27 + 8890.50ns INFO [00008892] Port=0 WR @0E=1AE49EE2 + 8891.50ns INFO [00008893] * RD COMPARE * port=0 adr=01 act=8F1D1277 exp=8F1D1277 + 8891.50ns INFO [00008893] Port=0 WR @1A=D0AC2082 + 8892.50ns INFO [00008894] Port=0 RD @09 + 8893.50ns INFO [00008895] Port=0 WR @0F=8FC1D6DD + 8894.50ns INFO [00008896] * RD COMPARE * port=0 adr=09 act=66EBCA02 exp=66EBCA02 + 8894.50ns INFO [00008896] Port=0 WR @14=059EB400 + 8894.50ns INFO [00008896] Port=0 RD @1F + 8894.50ns INFO [00008896] Port=1 RD @0E + 8895.50ns INFO [00008897] Port=0 RD @17 + 8895.50ns INFO [00008897] Port=1 RD @0C + 8896.50ns INFO [00008898] * RD COMPARE * port=0 adr=1F act=0559E661 exp=0559E661 + 8896.50ns INFO [00008898] * RD COMPARE * port=1 adr=0E act=1AE49EE2 exp=1AE49EE2 + 8896.50ns INFO [00008898] Port=0 WR @16=E2ABA253 + 8897.50ns INFO [00008899] * RD COMPARE * port=0 adr=17 act=C5C35555 exp=C5C35555 + 8897.50ns INFO [00008899] * RD COMPARE * port=1 adr=0C act=7C3FE4D4 exp=7C3FE4D4 + 8897.50ns INFO [00008899] Port=0 RD @1F + 8898.00ns INFO [00008900] [00008900] ...tick... + 8899.50ns INFO [00008901] * RD COMPARE * port=0 adr=1F act=0559E661 exp=0559E661 + 8899.50ns INFO [00008901] Port=0 WR @01=C22FF916 + 8901.50ns INFO [00008903] Port=0 RD @05 + 8901.50ns INFO [00008903] Port=1 RD @1C + 8902.50ns INFO [00008904] Port=0 RD @15 + 8902.50ns INFO [00008904] Port=1 RD @02 + 8903.50ns INFO [00008905] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A + 8903.50ns INFO [00008905] * RD COMPARE * port=1 adr=1C act=5C25DDBA exp=5C25DDBA + 8904.50ns INFO [00008906] * RD COMPARE * port=0 adr=15 act=5324ACDD exp=5324ACDD + 8904.50ns INFO [00008906] * RD COMPARE * port=1 adr=02 act=3C671657 exp=3C671657 + 8904.50ns INFO [00008906] Port=0 WR @1C=B76DA931 + 8904.50ns INFO [00008906] Port=1 RD @15 + 8905.50ns INFO [00008907] Port=0 WR @11=7E3312F2 + 8905.50ns INFO [00008907] Port=1 RD @04 + 8906.50ns INFO [00008908] * RD COMPARE * port=1 adr=15 act=5324ACDD exp=5324ACDD + 8906.50ns INFO [00008908] Port=0 WR @19=73C6A1CD + 8907.50ns INFO [00008909] * RD COMPARE * port=1 adr=04 act=506FAF8B exp=506FAF8B + 8907.50ns INFO [00008909] Port=0 WR @00=26E9A0EA + 8908.50ns INFO [00008910] Port=1 RD @11 + 8909.50ns INFO [00008911] Port=0 WR @1B=8F2FFF08 + 8910.50ns INFO [00008912] * RD COMPARE * port=1 adr=11 act=7E3312F2 exp=7E3312F2 + 8910.50ns INFO [00008912] Port=0 RD @0B + 8911.50ns INFO [00008913] Port=1 RD @14 + 8912.50ns INFO [00008914] * RD COMPARE * port=0 adr=0B act=E054A7DA exp=E054A7DA + 8912.50ns INFO [00008914] Port=0 RD @05 + 8912.50ns INFO [00008914] Port=1 RD @15 + 8913.50ns INFO [00008915] * RD COMPARE * port=1 adr=14 act=059EB400 exp=059EB400 + 8913.50ns INFO [00008915] Port=0 WR @13=B0B195CD + 8913.50ns INFO [00008915] Port=0 RD @18 + 8913.50ns INFO [00008915] Port=1 RD @17 + 8914.50ns INFO [00008916] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A + 8914.50ns INFO [00008916] * RD COMPARE * port=1 adr=15 act=5324ACDD exp=5324ACDD + 8914.50ns INFO [00008916] Port=0 WR @02=00DD90E1 + 8914.50ns INFO [00008916] Port=0 RD @15 + 8915.50ns INFO [00008917] * RD COMPARE * port=0 adr=18 act=47C813FD exp=47C813FD + 8915.50ns INFO [00008917] * RD COMPARE * port=1 adr=17 act=C5C35555 exp=C5C35555 + 8916.50ns INFO [00008918] * RD COMPARE * port=0 adr=15 act=5324ACDD exp=5324ACDD + 8918.50ns INFO [00008920] Port=0 WR @16=EBC0DBB3 + 8919.50ns INFO [00008921] Port=0 RD @1F + 8919.50ns INFO [00008921] Port=1 RD @0F + 8920.50ns INFO [00008922] Port=0 WR @1C=64412596 + 8920.50ns INFO [00008922] Port=0 RD @08 + 8921.50ns INFO [00008923] * RD COMPARE * port=0 adr=1F act=0559E661 exp=0559E661 + 8921.50ns INFO [00008923] * RD COMPARE * port=1 adr=0F act=8FC1D6DD exp=8FC1D6DD + 8921.50ns INFO [00008923] Port=0 RD @15 + 8922.50ns INFO [00008924] * RD COMPARE * port=0 adr=08 act=37C1805C exp=37C1805C + 8922.50ns INFO [00008924] Port=0 WR @09=A74159F6 + 8922.50ns INFO [00008924] Port=0 RD @14 + 8922.50ns INFO [00008924] Port=1 RD @18 + 8923.50ns INFO [00008925] * RD COMPARE * port=0 adr=15 act=5324ACDD exp=5324ACDD + 8923.50ns INFO [00008925] Port=1 RD @05 + 8924.50ns INFO [00008926] * RD COMPARE * port=0 adr=14 act=059EB400 exp=059EB400 + 8924.50ns INFO [00008926] * RD COMPARE * port=1 adr=18 act=47C813FD exp=47C813FD + 8924.50ns INFO [00008926] Port=0 WR @17=C6806D66 + 8924.50ns INFO [00008926] Port=0 RD @12 + 8925.50ns INFO [00008927] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A + 8926.50ns INFO [00008928] * RD COMPARE * port=0 adr=12 act=D020F869 exp=D020F869 + 8927.50ns INFO [00008929] Port=0 WR @10=42FC4504 + 8927.50ns INFO [00008929] Port=0 RD @1E + 8927.50ns INFO [00008929] Port=1 RD @1B + 8928.50ns INFO [00008930] Port=0 WR @15=74BAA7BE + 8928.50ns INFO [00008930] Port=1 RD @0D + 8929.50ns INFO [00008931] * RD COMPARE * port=0 adr=1E act=736C15AA exp=736C15AA + 8929.50ns INFO [00008931] * RD COMPARE * port=1 adr=1B act=8F2FFF08 exp=8F2FFF08 + 8929.50ns INFO [00008931] Port=0 RD @0F + 8930.50ns INFO [00008932] * RD COMPARE * port=1 adr=0D act=DFD15A1A exp=DFD15A1A + 8930.50ns INFO [00008932] Port=0 RD @02 + 8930.50ns INFO [00008932] Port=1 RD @1E + 8931.50ns INFO [00008933] * RD COMPARE * port=0 adr=0F act=8FC1D6DD exp=8FC1D6DD + 8931.50ns INFO [00008933] Port=0 RD @1B + 8932.50ns INFO [00008934] * RD COMPARE * port=0 adr=02 act=00DD90E1 exp=00DD90E1 + 8932.50ns INFO [00008934] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA + 8932.50ns INFO [00008934] Port=1 RD @00 + 8933.50ns INFO [00008935] * RD COMPARE * port=0 adr=1B act=8F2FFF08 exp=8F2FFF08 + 8933.50ns INFO [00008935] Port=0 WR @1D=F3491973 + 8933.50ns INFO [00008935] Port=1 RD @17 + 8934.50ns INFO [00008936] * RD COMPARE * port=1 adr=00 act=26E9A0EA exp=26E9A0EA + 8934.50ns INFO [00008936] Port=1 RD @05 + 8935.50ns INFO [00008937] * RD COMPARE * port=1 adr=17 act=C6806D66 exp=C6806D66 + 8936.50ns INFO [00008938] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A + 8937.50ns INFO [00008939] Port=0 RD @09 + 8939.50ns INFO [00008941] * RD COMPARE * port=0 adr=09 act=A74159F6 exp=A74159F6 + 8939.50ns INFO [00008941] Port=0 WR @1B=9EE1910B + 8941.50ns INFO [00008943] Port=0 RD @1F + 8942.50ns INFO [00008944] Port=0 RD @11 + 8942.50ns INFO [00008944] Port=1 RD @13 + 8943.50ns INFO [00008945] * RD COMPARE * port=0 adr=1F act=0559E661 exp=0559E661 + 8943.50ns INFO [00008945] Port=0 WR @18=3793A64E + 8943.50ns INFO [00008945] Port=0 RD @03 + 8943.50ns INFO [00008945] Port=1 RD @0D + 8944.50ns INFO [00008946] * RD COMPARE * port=0 adr=11 act=7E3312F2 exp=7E3312F2 + 8944.50ns INFO [00008946] * RD COMPARE * port=1 adr=13 act=B0B195CD exp=B0B195CD + 8944.50ns INFO [00008946] Port=0 WR @15=77401361 + 8944.50ns INFO [00008946] Port=0 RD @14 + 8945.50ns INFO [00008947] * RD COMPARE * port=0 adr=03 act=5FCFFB28 exp=5FCFFB28 + 8945.50ns INFO [00008947] * RD COMPARE * port=1 adr=0D act=DFD15A1A exp=DFD15A1A + 8945.50ns INFO [00008947] Port=0 WR @19=3F0103F3 + 8945.50ns INFO [00008947] Port=1 RD @1E + 8946.50ns INFO [00008948] * RD COMPARE * port=0 adr=14 act=059EB400 exp=059EB400 + 8946.50ns INFO [00008948] Port=0 WR @1A=B590392D + 8947.50ns INFO [00008949] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA + 8947.50ns INFO [00008949] Port=0 WR @12=12DE28A4 + 8949.50ns INFO [00008951] Port=1 RD @1C + 8950.50ns INFO [00008952] Port=0 WR @1A=4FCC0943 + 8950.50ns INFO [00008952] Port=0 RD @1C + 8951.50ns INFO [00008953] * RD COMPARE * port=1 adr=1C act=64412596 exp=64412596 + 8951.50ns INFO [00008953] Port=0 WR @11=15A4D29A + 8952.50ns INFO [00008954] * RD COMPARE * port=0 adr=1C act=64412596 exp=64412596 + 8952.50ns INFO [00008954] Port=0 RD @03 + 8954.50ns INFO [00008956] * RD COMPARE * port=0 adr=03 act=5FCFFB28 exp=5FCFFB28 + 8954.50ns INFO [00008956] Port=0 RD @08 + 8954.50ns INFO [00008956] Port=1 RD @15 + 8955.50ns INFO [00008957] Port=0 RD @00 + 8956.50ns INFO [00008958] * RD COMPARE * port=0 adr=08 act=37C1805C exp=37C1805C + 8956.50ns INFO [00008958] * RD COMPARE * port=1 adr=15 act=77401361 exp=77401361 + 8956.50ns INFO [00008958] Port=0 RD @0B + 8957.50ns INFO [00008959] * RD COMPARE * port=0 adr=00 act=26E9A0EA exp=26E9A0EA + 8957.50ns INFO [00008959] Port=0 WR @02=7BF6AA37 + 8958.50ns INFO [00008960] * RD COMPARE * port=0 adr=0B act=E054A7DA exp=E054A7DA + 8960.50ns INFO [00008962] Port=0 WR @05=0292D04F + 8960.50ns INFO [00008962] Port=0 RD @06 + 8960.50ns INFO [00008962] Port=1 RD @13 + 8961.50ns INFO [00008963] Port=0 RD @0D + 8962.50ns INFO [00008964] * RD COMPARE * port=0 adr=06 act=D9039DDF exp=D9039DDF + 8962.50ns INFO [00008964] * RD COMPARE * port=1 adr=13 act=B0B195CD exp=B0B195CD + 8962.50ns INFO [00008964] Port=1 RD @1B + 8963.50ns INFO [00008965] * RD COMPARE * port=0 adr=0D act=DFD15A1A exp=DFD15A1A + 8963.50ns INFO [00008965] Port=0 RD @10 + 8964.50ns INFO [00008966] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B + 8964.50ns INFO [00008966] Port=0 WR @0E=B5DE31A2 + 8964.50ns INFO [00008966] Port=0 RD @11 + 8964.50ns INFO [00008966] Port=1 RD @0A + 8965.50ns INFO [00008967] * RD COMPARE * port=0 adr=10 act=42FC4504 exp=42FC4504 + 8965.50ns INFO [00008967] Port=0 RD @04 + 8966.50ns INFO [00008968] * RD COMPARE * port=0 adr=11 act=15A4D29A exp=15A4D29A + 8966.50ns INFO [00008968] * RD COMPARE * port=1 adr=0A act=0FFEBED1 exp=0FFEBED1 + 8967.50ns INFO [00008969] * RD COMPARE * port=0 adr=04 act=506FAF8B exp=506FAF8B + 8967.50ns INFO [00008969] Port=0 WR @1D=FCA34BF9 + 8967.50ns INFO [00008969] Port=0 RD @18 + 8968.50ns INFO [00008970] Port=0 WR @05=AD7E33E8 + 8969.50ns INFO [00008971] * RD COMPARE * port=0 adr=18 act=3793A64E exp=3793A64E + 8969.50ns INFO [00008971] Port=1 RD @0B + 8970.50ns INFO [00008972] Port=0 WR @00=4896DC92 + 8971.50ns INFO [00008973] * RD COMPARE * port=1 adr=0B act=E054A7DA exp=E054A7DA + 8971.50ns INFO [00008973] Port=0 RD @10 + 8973.50ns INFO [00008975] * RD COMPARE * port=0 adr=10 act=42FC4504 exp=42FC4504 + 8973.50ns INFO [00008975] Port=0 WR @04=1B6DBE6B + 8974.50ns INFO [00008976] Port=0 WR @19=66F58A89 + 8974.50ns INFO [00008976] Port=0 RD @04 + 8975.50ns INFO [00008977] Port=0 WR @12=5B9F0270 + 8976.50ns INFO [00008978] * RD COMPARE * port=0 adr=04 act=1B6DBE6B exp=1B6DBE6B + 8976.50ns INFO [00008978] Port=0 RD @0E + 8976.50ns INFO [00008978] Port=1 RD @1B + 8978.50ns INFO [00008980] * RD COMPARE * port=0 adr=0E act=B5DE31A2 exp=B5DE31A2 + 8978.50ns INFO [00008980] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B + 8978.50ns INFO [00008980] Port=0 WR @0B=4F4C4567 + 8979.50ns INFO [00008981] Port=0 WR @1C=9577DB11 + 8980.50ns INFO [00008982] Port=0 WR @16=2C231613 + 8981.50ns INFO [00008983] Port=0 WR @05=D52D63C3 + 8982.50ns INFO [00008984] Port=0 WR @12=2DB2910C + 8982.50ns INFO [00008984] Port=0 RD @06 + 8982.50ns INFO [00008984] Port=1 RD @09 + 8983.50ns INFO [00008985] Port=0 RD @1D + 8983.50ns INFO [00008985] Port=1 RD @07 + 8984.50ns INFO [00008986] * RD COMPARE * port=0 adr=06 act=D9039DDF exp=D9039DDF + 8984.50ns INFO [00008986] * RD COMPARE * port=1 adr=09 act=A74159F6 exp=A74159F6 + 8984.50ns INFO [00008986] Port=0 WR @00=F27E3260 + 8984.50ns INFO [00008986] Port=1 RD @10 + 8985.50ns INFO [00008987] * RD COMPARE * port=0 adr=1D act=FCA34BF9 exp=FCA34BF9 + 8985.50ns INFO [00008987] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556 + 8985.50ns INFO [00008987] Port=0 RD @0F + 8986.50ns INFO [00008988] * RD COMPARE * port=1 adr=10 act=42FC4504 exp=42FC4504 + 8986.50ns INFO [00008988] Port=0 WR @1D=D58DB752 + 8987.50ns INFO [00008989] * RD COMPARE * port=0 adr=0F act=8FC1D6DD exp=8FC1D6DD + 8987.50ns INFO [00008989] Port=0 WR @1C=E941986C + 8988.50ns INFO [00008990] Port=0 WR @0E=8E6967DC + 8988.50ns INFO [00008990] Port=0 RD @18 + 8989.50ns INFO [00008991] Port=1 RD @14 + 8990.50ns INFO [00008992] * RD COMPARE * port=0 adr=18 act=3793A64E exp=3793A64E + 8990.50ns INFO [00008992] Port=1 RD @1E + 8991.50ns INFO [00008993] * RD COMPARE * port=1 adr=14 act=059EB400 exp=059EB400 + 8992.50ns INFO [00008994] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA + 8992.50ns INFO [00008994] Port=0 RD @02 + 8993.50ns INFO [00008995] Port=1 RD @14 + 8994.50ns INFO [00008996] * RD COMPARE * port=0 adr=02 act=7BF6AA37 exp=7BF6AA37 + 8994.50ns INFO [00008996] Port=0 RD @1D + 8994.50ns INFO [00008996] Port=1 RD @15 + 8995.50ns INFO [00008997] * RD COMPARE * port=1 adr=14 act=059EB400 exp=059EB400 + 8995.50ns INFO [00008997] Port=0 RD @12 + 8995.50ns INFO [00008997] Port=1 RD @04 + 8996.50ns INFO [00008998] * RD COMPARE * port=0 adr=1D act=D58DB752 exp=D58DB752 + 8996.50ns INFO [00008998] * RD COMPARE * port=1 adr=15 act=77401361 exp=77401361 + 8996.50ns INFO [00008998] Port=0 WR @18=0A546785 + 8996.50ns INFO [00008998] Port=0 RD @19 + 8997.50ns INFO [00008999] * RD COMPARE * port=0 adr=12 act=2DB2910C exp=2DB2910C + 8997.50ns INFO [00008999] * RD COMPARE * port=1 adr=04 act=1B6DBE6B exp=1B6DBE6B + 8997.50ns INFO [00008999] Port=0 WR @15=AA24D820 + 8997.50ns INFO [00008999] Port=1 RD @05 + 8998.00ns INFO [00009000] [00009000] ...tick... + 8998.50ns INFO [00009000] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89 + 8998.50ns INFO [00009000] Port=0 WR @1F=FECDBE30 + 8999.50ns INFO [00009001] * RD COMPARE * port=1 adr=05 act=D52D63C3 exp=D52D63C3 + 8999.50ns INFO [00009001] Port=0 RD @1A + 8999.50ns INFO [00009001] Port=1 RD @1F + 9000.50ns INFO [00009002] Port=0 RD @0E + 9000.50ns INFO [00009002] Port=1 RD @08 + 9001.50ns INFO [00009003] * RD COMPARE * port=0 adr=1A act=4FCC0943 exp=4FCC0943 + 9001.50ns INFO [00009003] * RD COMPARE * port=1 adr=1F act=FECDBE30 exp=FECDBE30 + 9002.50ns INFO [00009004] * RD COMPARE * port=0 adr=0E act=8E6967DC exp=8E6967DC + 9002.50ns INFO [00009004] * RD COMPARE * port=1 adr=08 act=37C1805C exp=37C1805C + 9003.50ns INFO [00009005] Port=0 RD @18 + 9004.50ns INFO [00009006] Port=0 WR @0E=589E342F + 9004.50ns INFO [00009006] Port=1 RD @0C + 9005.50ns INFO [00009007] * RD COMPARE * port=0 adr=18 act=0A546785 exp=0A546785 + 9006.50ns INFO [00009008] * RD COMPARE * port=1 adr=0C act=7C3FE4D4 exp=7C3FE4D4 + 9006.50ns INFO [00009008] Port=0 RD @0A + 9006.50ns INFO [00009008] Port=1 RD @1B + 9007.50ns INFO [00009009] Port=1 RD @0F + 9008.50ns INFO [00009010] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1 + 9008.50ns INFO [00009010] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B + 9008.50ns INFO [00009010] Port=0 WR @1F=9C785D4C + 9008.50ns INFO [00009010] Port=1 RD @00 + 9009.50ns INFO [00009011] * RD COMPARE * port=1 adr=0F act=8FC1D6DD exp=8FC1D6DD + 9009.50ns INFO [00009011] Port=0 RD @14 + 9009.50ns INFO [00009011] Port=1 RD @14 + 9010.50ns INFO [00009012] * RD COMPARE * port=1 adr=00 act=F27E3260 exp=F27E3260 + 9011.50ns INFO [00009013] * RD COMPARE * port=0 adr=14 act=059EB400 exp=059EB400 + 9011.50ns INFO [00009013] * RD COMPARE * port=1 adr=14 act=059EB400 exp=059EB400 + 9011.50ns INFO [00009013] Port=0 WR @02=3EB0EA70 + 9011.50ns INFO [00009013] Port=0 RD @08 + 9012.50ns INFO [00009014] Port=1 RD @00 + 9013.50ns INFO [00009015] * RD COMPARE * port=0 adr=08 act=37C1805C exp=37C1805C + 9013.50ns INFO [00009015] Port=1 RD @13 + 9014.50ns INFO [00009016] * RD COMPARE * port=1 adr=00 act=F27E3260 exp=F27E3260 + 9014.50ns INFO [00009016] Port=1 RD @1B + 9015.50ns INFO [00009017] * RD COMPARE * port=1 adr=13 act=B0B195CD exp=B0B195CD + 9015.50ns INFO [00009017] Port=0 WR @04=0E286849 + 9015.50ns INFO [00009017] Port=0 RD @15 + 9016.50ns INFO [00009018] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B + 9016.50ns INFO [00009018] Port=0 WR @0E=CCD63BB7 + 9017.50ns INFO [00009019] * RD COMPARE * port=0 adr=15 act=AA24D820 exp=AA24D820 + 9017.50ns INFO [00009019] Port=0 WR @1C=78CB9407 + 9017.50ns INFO [00009019] Port=0 RD @0B + 9017.50ns INFO [00009019] Port=1 RD @1D + 9018.50ns INFO [00009020] Port=0 WR @14=B956770B + 9019.50ns INFO [00009021] * RD COMPARE * port=0 adr=0B act=4F4C4567 exp=4F4C4567 + 9019.50ns INFO [00009021] * RD COMPARE * port=1 adr=1D act=D58DB752 exp=D58DB752 + 9019.50ns INFO [00009021] Port=0 RD @13 + 9019.50ns INFO [00009021] Port=1 RD @06 + 9020.50ns INFO [00009022] Port=0 WR @0C=3F2D45D8 + 9020.50ns INFO [00009022] Port=0 RD @03 + 9021.50ns INFO [00009023] * RD COMPARE * port=0 adr=13 act=B0B195CD exp=B0B195CD + 9021.50ns INFO [00009023] * RD COMPARE * port=1 adr=06 act=D9039DDF exp=D9039DDF + 9021.50ns INFO [00009023] Port=0 RD @11 + 9022.50ns INFO [00009024] * RD COMPARE * port=0 adr=03 act=5FCFFB28 exp=5FCFFB28 + 9022.50ns INFO [00009024] Port=1 RD @13 + 9023.50ns INFO [00009025] * RD COMPARE * port=0 adr=11 act=15A4D29A exp=15A4D29A + 9023.50ns INFO [00009025] Port=0 RD @14 + 9024.50ns INFO [00009026] * RD COMPARE * port=1 adr=13 act=B0B195CD exp=B0B195CD + 9024.50ns INFO [00009026] Port=0 RD @18 + 9025.50ns INFO [00009027] * RD COMPARE * port=0 adr=14 act=B956770B exp=B956770B + 9025.50ns INFO [00009027] Port=0 WR @08=3254A830 + 9026.50ns INFO [00009028] * RD COMPARE * port=0 adr=18 act=0A546785 exp=0A546785 + 9026.50ns INFO [00009028] Port=0 RD @19 + 9027.50ns INFO [00009029] Port=0 RD @15 + 9028.50ns INFO [00009030] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89 + 9028.50ns INFO [00009030] Port=0 WR @06=F924E502 + 9028.50ns INFO [00009030] Port=0 RD @19 + 9029.50ns INFO [00009031] * RD COMPARE * port=0 adr=15 act=AA24D820 exp=AA24D820 + 9029.50ns INFO [00009031] Port=0 WR @0E=C1A09A60 + 9030.50ns INFO [00009032] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89 + 9030.50ns INFO [00009032] Port=0 WR @04=79A5ABD5 + 9030.50ns INFO [00009032] Port=0 RD @1F + 9030.50ns INFO [00009032] Port=1 RD @14 + 9031.50ns INFO [00009033] Port=0 RD @0A + 9031.50ns INFO [00009033] Port=1 RD @14 + 9032.50ns INFO [00009034] * RD COMPARE * port=0 adr=1F act=9C785D4C exp=9C785D4C + 9032.50ns INFO [00009034] * RD COMPARE * port=1 adr=14 act=B956770B exp=B956770B + 9032.50ns INFO [00009034] Port=0 WR @05=76C1685B + 9032.50ns INFO [00009034] Port=0 RD @08 + 9032.50ns INFO [00009034] Port=1 RD @19 + 9033.50ns INFO [00009035] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1 + 9033.50ns INFO [00009035] * RD COMPARE * port=1 adr=14 act=B956770B exp=B956770B + 9033.50ns INFO [00009035] Port=0 WR @0E=B54F19B3 + 9033.50ns INFO [00009035] Port=0 RD @16 + 9033.50ns INFO [00009035] Port=1 RD @02 + 9034.50ns INFO [00009036] * RD COMPARE * port=0 adr=08 act=3254A830 exp=3254A830 + 9034.50ns INFO [00009036] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89 + 9035.50ns INFO [00009037] * RD COMPARE * port=0 adr=16 act=2C231613 exp=2C231613 + 9035.50ns INFO [00009037] * RD COMPARE * port=1 adr=02 act=3EB0EA70 exp=3EB0EA70 + 9035.50ns INFO [00009037] Port=0 RD @10 + 9035.50ns INFO [00009037] Port=1 RD @08 + 9036.50ns INFO [00009038] Port=0 WR @0E=E0048421 + 9036.50ns INFO [00009038] Port=1 RD @03 + 9037.50ns INFO [00009039] * RD COMPARE * port=0 adr=10 act=42FC4504 exp=42FC4504 + 9037.50ns INFO [00009039] * RD COMPARE * port=1 adr=08 act=3254A830 exp=3254A830 + 9037.50ns INFO [00009039] Port=0 RD @1B + 9038.50ns INFO [00009040] * RD COMPARE * port=1 adr=03 act=5FCFFB28 exp=5FCFFB28 + 9038.50ns INFO [00009040] Port=0 WR @1F=3F5993A9 + 9039.50ns INFO [00009041] * RD COMPARE * port=0 adr=1B act=9EE1910B exp=9EE1910B + 9039.50ns INFO [00009041] Port=0 WR @0D=F74F6686 + 9039.50ns INFO [00009041] Port=0 RD @14 + 9040.50ns INFO [00009042] Port=0 WR @05=DB360F68 + 9040.50ns INFO [00009042] Port=0 RD @01 + 9041.50ns INFO [00009043] * RD COMPARE * port=0 adr=14 act=B956770B exp=B956770B + 9042.50ns INFO [00009044] * RD COMPARE * port=0 adr=01 act=C22FF916 exp=C22FF916 + 9042.50ns INFO [00009044] Port=0 WR @17=377462AC + 9042.50ns INFO [00009044] Port=0 RD @1D + 9044.50ns INFO [00009046] * RD COMPARE * port=0 adr=1D act=D58DB752 exp=D58DB752 + 9045.50ns INFO [00009047] Port=0 WR @11=79BFF3E1 + 9046.50ns INFO [00009048] Port=0 WR @0E=A534150E + 9046.50ns INFO [00009048] Port=0 RD @11 + 9047.50ns INFO [00009049] Port=0 RD @0C + 9047.50ns INFO [00009049] Port=1 RD @0B + 9048.50ns INFO [00009050] * RD COMPARE * port=0 adr=11 act=79BFF3E1 exp=79BFF3E1 + 9048.50ns INFO [00009050] Port=0 WR @0A=3357D090 + 9048.50ns INFO [00009050] Port=0 RD @18 + 9049.50ns INFO [00009051] * RD COMPARE * port=0 adr=0C act=3F2D45D8 exp=3F2D45D8 + 9049.50ns INFO [00009051] * RD COMPARE * port=1 adr=0B act=4F4C4567 exp=4F4C4567 + 9050.50ns INFO [00009052] * RD COMPARE * port=0 adr=18 act=0A546785 exp=0A546785 + 9050.50ns INFO [00009052] Port=0 WR @0B=4DC9EB0B + 9051.50ns INFO [00009053] Port=0 WR @09=9CEFDF2B + 9052.50ns INFO [00009054] Port=0 WR @1F=09D3D5FF + 9052.50ns INFO [00009054] Port=1 RD @1B + 9053.50ns INFO [00009055] Port=0 WR @00=991950CC + 9053.50ns INFO [00009055] Port=1 RD @07 + 9054.50ns INFO [00009056] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B + 9055.50ns INFO [00009057] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556 + 9056.50ns INFO [00009058] Port=1 RD @19 + 9057.50ns INFO [00009059] Port=0 WR @0D=E170497F + 9057.50ns INFO [00009059] Port=0 RD @09 + 9057.50ns INFO [00009059] Port=1 RD @07 + 9058.50ns INFO [00009060] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89 + 9058.50ns INFO [00009060] Port=0 WR @00=3A1B1D57 + 9058.50ns INFO [00009060] Port=1 RD @1B + 9059.50ns INFO [00009061] * RD COMPARE * port=0 adr=09 act=9CEFDF2B exp=9CEFDF2B + 9059.50ns INFO [00009061] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556 + 9059.50ns INFO [00009061] Port=0 RD @1A + 9060.50ns INFO [00009062] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B + 9061.50ns INFO [00009063] * RD COMPARE * port=0 adr=1A act=4FCC0943 exp=4FCC0943 + 9061.50ns INFO [00009063] Port=0 WR @09=E4740A3D + 9061.50ns INFO [00009063] Port=0 RD @0B + 9062.50ns INFO [00009064] Port=0 WR @15=2E0DD7C9 + 9063.50ns INFO [00009065] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B + 9063.50ns INFO [00009065] Port=0 RD @04 + 9064.50ns INFO [00009066] Port=0 WR @08=EAD059F9 + 9065.50ns INFO [00009067] * RD COMPARE * port=0 adr=04 act=79A5ABD5 exp=79A5ABD5 + 9065.50ns INFO [00009067] Port=1 RD @03 + 9066.50ns INFO [00009068] Port=0 WR @13=0A567329 + 9066.50ns INFO [00009068] Port=0 RD @1A + 9067.50ns INFO [00009069] * RD COMPARE * port=1 adr=03 act=5FCFFB28 exp=5FCFFB28 + 9067.50ns INFO [00009069] Port=0 WR @03=10104C33 + 9067.50ns INFO [00009069] Port=0 RD @11 + 9068.50ns INFO [00009070] * RD COMPARE * port=0 adr=1A act=4FCC0943 exp=4FCC0943 + 9068.50ns INFO [00009070] Port=0 RD @1F + 9068.50ns INFO [00009070] Port=1 RD @1A + 9069.50ns INFO [00009071] * RD COMPARE * port=0 adr=11 act=79BFF3E1 exp=79BFF3E1 + 9069.50ns INFO [00009071] Port=0 WR @06=C53808B9 + 9070.50ns INFO [00009072] * RD COMPARE * port=0 adr=1F act=09D3D5FF exp=09D3D5FF + 9070.50ns INFO [00009072] * RD COMPARE * port=1 adr=1A act=4FCC0943 exp=4FCC0943 + 9070.50ns INFO [00009072] Port=0 RD @1E + 9071.50ns INFO [00009073] Port=1 RD @0C + 9072.50ns INFO [00009074] * RD COMPARE * port=0 adr=1E act=736C15AA exp=736C15AA + 9072.50ns INFO [00009074] Port=0 RD @15 + 9073.50ns INFO [00009075] * RD COMPARE * port=1 adr=0C act=3F2D45D8 exp=3F2D45D8 + 9073.50ns INFO [00009075] Port=0 WR @1B=B28D5820 + 9073.50ns INFO [00009075] Port=0 RD @17 + 9073.50ns INFO [00009075] Port=1 RD @10 + 9074.50ns INFO [00009076] * RD COMPARE * port=0 adr=15 act=2E0DD7C9 exp=2E0DD7C9 + 9074.50ns INFO [00009076] Port=0 RD @07 + 9074.50ns INFO [00009076] Port=1 RD @0E + 9075.50ns INFO [00009077] * RD COMPARE * port=0 adr=17 act=377462AC exp=377462AC + 9075.50ns INFO [00009077] * RD COMPARE * port=1 adr=10 act=42FC4504 exp=42FC4504 + 9075.50ns INFO [00009077] Port=1 RD @1D + 9076.50ns INFO [00009078] * RD COMPARE * port=0 adr=07 act=09E7B556 exp=09E7B556 + 9076.50ns INFO [00009078] * RD COMPARE * port=1 adr=0E act=A534150E exp=A534150E + 9076.50ns INFO [00009078] Port=0 RD @1B + 9076.50ns INFO [00009078] Port=1 RD @00 + 9077.50ns INFO [00009079] * RD COMPARE * port=1 adr=1D act=D58DB752 exp=D58DB752 + 9078.50ns INFO [00009080] * RD COMPARE * port=0 adr=1B act=B28D5820 exp=B28D5820 + 9078.50ns INFO [00009080] * RD COMPARE * port=1 adr=00 act=3A1B1D57 exp=3A1B1D57 + 9078.50ns INFO [00009080] Port=0 WR @1E=136CB07D + 9079.50ns INFO [00009081] Port=0 WR @1A=10834AEE + 9080.50ns INFO [00009082] Port=0 WR @04=2CA8CEE0 + 9080.50ns INFO [00009082] Port=1 RD @11 + 9081.50ns INFO [00009083] Port=0 WR @1D=E1B287A0 + 9082.50ns INFO [00009084] * RD COMPARE * port=1 adr=11 act=79BFF3E1 exp=79BFF3E1 + 9082.50ns INFO [00009084] Port=0 WR @15=17235D27 + 9082.50ns INFO [00009084] Port=1 RD @04 + 9083.50ns INFO [00009085] Port=0 RD @1B + 9084.50ns INFO [00009086] * RD COMPARE * port=1 adr=04 act=2CA8CEE0 exp=2CA8CEE0 + 9084.50ns INFO [00009086] Port=1 RD @1F + 9085.50ns INFO [00009087] * RD COMPARE * port=0 adr=1B act=B28D5820 exp=B28D5820 + 9085.50ns INFO [00009087] Port=0 WR @12=8C7B5FDF + 9086.50ns INFO [00009088] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF + 9086.50ns INFO [00009088] Port=1 RD @15 + 9087.50ns INFO [00009089] Port=0 WR @03=9AD22711 + 9088.50ns INFO [00009090] * RD COMPARE * port=1 adr=15 act=17235D27 exp=17235D27 + 9089.50ns INFO [00009091] Port=0 WR @03=91750463 + 9089.50ns INFO [00009091] Port=0 RD @13 + 9091.50ns INFO [00009093] * RD COMPARE * port=0 adr=13 act=0A567329 exp=0A567329 + 9091.50ns INFO [00009093] Port=0 WR @0D=8A2758F6 + 9091.50ns INFO [00009093] Port=0 RD @03 + 9091.50ns INFO [00009093] Port=1 RD @0F + 9092.50ns INFO [00009094] Port=1 RD @0A + 9093.50ns INFO [00009095] * RD COMPARE * port=0 adr=03 act=91750463 exp=91750463 + 9093.50ns INFO [00009095] * RD COMPARE * port=1 adr=0F act=8FC1D6DD exp=8FC1D6DD + 9093.50ns INFO [00009095] Port=0 WR @05=20DDCE71 + 9093.50ns INFO [00009095] Port=0 RD @0A + 9094.50ns INFO [00009096] * RD COMPARE * port=1 adr=0A act=3357D090 exp=3357D090 + 9094.50ns INFO [00009096] Port=0 RD @0F + 9095.50ns INFO [00009097] * RD COMPARE * port=0 adr=0A act=3357D090 exp=3357D090 + 9095.50ns INFO [00009097] Port=0 WR @11=DD2832AA + 9095.50ns INFO [00009097] Port=1 RD @01 + 9096.50ns INFO [00009098] * RD COMPARE * port=0 adr=0F act=8FC1D6DD exp=8FC1D6DD + 9096.50ns INFO [00009098] Port=1 RD @10 + 9097.50ns INFO [00009099] * RD COMPARE * port=1 adr=01 act=C22FF916 exp=C22FF916 + 9097.50ns INFO [00009099] Port=0 RD @17 + 9097.50ns INFO [00009099] Port=1 RD @16 + 9098.00ns INFO [00009100] [00009100] ...tick... + 9098.50ns INFO [00009100] * RD COMPARE * port=1 adr=10 act=42FC4504 exp=42FC4504 + 9098.50ns INFO [00009100] Port=0 WR @1A=D1BE2BFA + 9098.50ns INFO [00009100] Port=0 RD @10 + 9098.50ns INFO [00009100] Port=1 RD @02 + 9099.50ns INFO [00009101] * RD COMPARE * port=0 adr=17 act=377462AC exp=377462AC + 9099.50ns INFO [00009101] * RD COMPARE * port=1 adr=16 act=2C231613 exp=2C231613 + 9099.50ns INFO [00009101] Port=0 RD @1D + 9099.50ns INFO [00009101] Port=1 RD @12 + 9100.50ns INFO [00009102] * RD COMPARE * port=0 adr=10 act=42FC4504 exp=42FC4504 + 9100.50ns INFO [00009102] * RD COMPARE * port=1 adr=02 act=3EB0EA70 exp=3EB0EA70 + 9100.50ns INFO [00009102] Port=0 WR @16=81D2CC1D + 9101.50ns INFO [00009103] * RD COMPARE * port=0 adr=1D act=E1B287A0 exp=E1B287A0 + 9101.50ns INFO [00009103] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF + 9101.50ns INFO [00009103] Port=0 WR @09=DF56555C + 9101.50ns INFO [00009103] Port=1 RD @12 + 9103.50ns INFO [00009105] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF + 9103.50ns INFO [00009105] Port=1 RD @05 + 9104.50ns INFO [00009106] Port=0 RD @06 + 9105.50ns INFO [00009107] * RD COMPARE * port=1 adr=05 act=20DDCE71 exp=20DDCE71 + 9105.50ns INFO [00009107] Port=0 RD @1A + 9106.50ns INFO [00009108] * RD COMPARE * port=0 adr=06 act=C53808B9 exp=C53808B9 + 9106.50ns INFO [00009108] Port=0 WR @10=6E002F3F + 9107.50ns INFO [00009109] * RD COMPARE * port=0 adr=1A act=D1BE2BFA exp=D1BE2BFA + 9107.50ns INFO [00009109] Port=0 WR @15=0BF49AA2 + 9107.50ns INFO [00009109] Port=0 RD @16 + 9108.50ns INFO [00009110] Port=1 RD @0E + 9109.50ns INFO [00009111] * RD COMPARE * port=0 adr=16 act=81D2CC1D exp=81D2CC1D + 9109.50ns INFO [00009111] Port=0 WR @05=BD469BA9 + 9110.50ns INFO [00009112] * RD COMPARE * port=1 adr=0E act=A534150E exp=A534150E + 9113.50ns INFO [00009115] Port=0 RD @12 + 9113.50ns INFO [00009115] Port=1 RD @06 + 9114.50ns INFO [00009116] Port=0 RD @0F + 9114.50ns INFO [00009116] Port=1 RD @12 + 9115.50ns INFO [00009117] * RD COMPARE * port=0 adr=12 act=8C7B5FDF exp=8C7B5FDF + 9115.50ns INFO [00009117] * RD COMPARE * port=1 adr=06 act=C53808B9 exp=C53808B9 + 9115.50ns INFO [00009117] Port=0 RD @0E + 9116.50ns INFO [00009118] * RD COMPARE * port=0 adr=0F act=8FC1D6DD exp=8FC1D6DD + 9116.50ns INFO [00009118] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF + 9116.50ns INFO [00009118] Port=0 RD @00 + 9117.50ns INFO [00009119] * RD COMPARE * port=0 adr=0E act=A534150E exp=A534150E + 9118.50ns INFO [00009120] * RD COMPARE * port=0 adr=00 act=3A1B1D57 exp=3A1B1D57 + 9118.50ns INFO [00009120] Port=0 WR @0F=AAAF80B2 + 9118.50ns INFO [00009120] Port=1 RD @00 + 9120.50ns INFO [00009122] * RD COMPARE * port=1 adr=00 act=3A1B1D57 exp=3A1B1D57 + 9120.50ns INFO [00009122] Port=0 WR @08=8957C136 + 9120.50ns INFO [00009122] Port=0 RD @1D + 9122.50ns INFO [00009124] * RD COMPARE * port=0 adr=1D act=E1B287A0 exp=E1B287A0 + 9122.50ns INFO [00009124] Port=0 WR @0F=DA4CE665 + 9122.50ns INFO [00009124] Port=0 RD @0D + 9124.50ns INFO [00009126] * RD COMPARE * port=0 adr=0D act=8A2758F6 exp=8A2758F6 + 9124.50ns INFO [00009126] Port=0 WR @0E=E70BD1B3 + 9124.50ns INFO [00009126] Port=1 RD @02 + 9126.50ns INFO [00009128] * RD COMPARE * port=1 adr=02 act=3EB0EA70 exp=3EB0EA70 + 9128.50ns INFO [00009130] Port=0 RD @01 + 9130.50ns INFO [00009132] * RD COMPARE * port=0 adr=01 act=C22FF916 exp=C22FF916 + 9130.50ns INFO [00009132] Port=0 RD @0D + 9130.50ns INFO [00009132] Port=1 RD @1B + 9131.50ns INFO [00009133] Port=0 WR @13=82B8F6DF + 9131.50ns INFO [00009133] Port=0 RD @19 + 9132.50ns INFO [00009134] * RD COMPARE * port=0 adr=0D act=8A2758F6 exp=8A2758F6 + 9132.50ns INFO [00009134] * RD COMPARE * port=1 adr=1B act=B28D5820 exp=B28D5820 + 9132.50ns INFO [00009134] Port=0 WR @15=A6CB23D3 + 9132.50ns INFO [00009134] Port=1 RD @06 + 9133.50ns INFO [00009135] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89 + 9133.50ns INFO [00009135] Port=0 WR @1B=DA4B6163 + 9133.50ns INFO [00009135] Port=0 RD @12 + 9134.50ns INFO [00009136] * RD COMPARE * port=1 adr=06 act=C53808B9 exp=C53808B9 + 9134.50ns INFO [00009136] Port=0 RD @16 + 9135.50ns INFO [00009137] * RD COMPARE * port=0 adr=12 act=8C7B5FDF exp=8C7B5FDF + 9136.50ns INFO [00009138] * RD COMPARE * port=0 adr=16 act=81D2CC1D exp=81D2CC1D + 9136.50ns INFO [00009138] Port=1 RD @1D + 9137.50ns INFO [00009139] Port=0 WR @0A=B02C9C1B + 9138.50ns INFO [00009140] * RD COMPARE * port=1 adr=1D act=E1B287A0 exp=E1B287A0 + 9138.50ns INFO [00009140] Port=1 RD @07 + 9139.50ns INFO [00009141] Port=0 WR @0E=A0DFB3A7 + 9139.50ns INFO [00009141] Port=1 RD @00 + 9140.50ns INFO [00009142] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556 + 9140.50ns INFO [00009142] Port=0 RD @1C + 9141.50ns INFO [00009143] * RD COMPARE * port=1 adr=00 act=3A1B1D57 exp=3A1B1D57 + 9141.50ns INFO [00009143] Port=0 WR @0D=DA03DAE0 + 9142.50ns INFO [00009144] * RD COMPARE * port=0 adr=1C act=78CB9407 exp=78CB9407 + 9142.50ns INFO [00009144] Port=1 RD @13 + 9143.50ns INFO [00009145] Port=0 WR @15=3E3402CD + 9143.50ns INFO [00009145] Port=0 RD @03 + 9143.50ns INFO [00009145] Port=1 RD @00 + 9144.50ns INFO [00009146] * RD COMPARE * port=1 adr=13 act=82B8F6DF exp=82B8F6DF + 9144.50ns INFO [00009146] Port=0 WR @03=37810261 + 9145.50ns INFO [00009147] * RD COMPARE * port=0 adr=03 act=91750463 exp=91750463 + 9145.50ns INFO [00009147] * RD COMPARE * port=1 adr=00 act=3A1B1D57 exp=3A1B1D57 + 9145.50ns INFO [00009147] Port=0 RD @1C + 9145.50ns INFO [00009147] Port=1 RD @07 + 9147.50ns INFO [00009149] * RD COMPARE * port=0 adr=1C act=78CB9407 exp=78CB9407 + 9147.50ns INFO [00009149] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556 + 9147.50ns INFO [00009149] Port=1 RD @19 + 9148.50ns INFO [00009150] Port=1 RD @04 + 9149.50ns INFO [00009151] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89 + 9149.50ns INFO [00009151] Port=0 WR @07=C1BDF3C1 + 9149.50ns INFO [00009151] Port=0 RD @13 + 9150.50ns INFO [00009152] * RD COMPARE * port=1 adr=04 act=2CA8CEE0 exp=2CA8CEE0 + 9150.50ns INFO [00009152] Port=0 WR @0A=B91A65F3 + 9150.50ns INFO [00009152] Port=0 RD @07 + 9151.50ns INFO [00009153] * RD COMPARE * port=0 adr=13 act=82B8F6DF exp=82B8F6DF + 9151.50ns INFO [00009153] Port=1 RD @16 + 9152.50ns INFO [00009154] * RD COMPARE * port=0 adr=07 act=C1BDF3C1 exp=C1BDF3C1 + 9152.50ns INFO [00009154] Port=0 WR @06=15DD085C + 9152.50ns INFO [00009154] Port=0 RD @03 + 9153.50ns INFO [00009155] * RD COMPARE * port=1 adr=16 act=81D2CC1D exp=81D2CC1D + 9153.50ns INFO [00009155] Port=0 RD @19 + 9154.50ns INFO [00009156] * RD COMPARE * port=0 adr=03 act=37810261 exp=37810261 + 9154.50ns INFO [00009156] Port=1 RD @0D + 9155.50ns INFO [00009157] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89 + 9155.50ns INFO [00009157] Port=0 RD @19 + 9155.50ns INFO [00009157] Port=1 RD @03 + 9156.50ns INFO [00009158] * RD COMPARE * port=1 adr=0D act=DA03DAE0 exp=DA03DAE0 + 9156.50ns INFO [00009158] Port=0 RD @0B + 9157.50ns INFO [00009159] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89 + 9157.50ns INFO [00009159] * RD COMPARE * port=1 adr=03 act=37810261 exp=37810261 + 9158.50ns INFO [00009160] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B + 9158.50ns INFO [00009160] Port=1 RD @17 + 9160.50ns INFO [00009162] * RD COMPARE * port=1 adr=17 act=377462AC exp=377462AC + 9160.50ns INFO [00009162] Port=0 WR @02=20FD53F6 + 9161.50ns INFO [00009163] Port=0 WR @02=BF0BE88C + 9161.50ns INFO [00009163] Port=0 RD @0B + 9161.50ns INFO [00009163] Port=1 RD @08 + 9162.50ns INFO [00009164] Port=1 RD @18 + 9163.50ns INFO [00009165] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B + 9163.50ns INFO [00009165] * RD COMPARE * port=1 adr=08 act=8957C136 exp=8957C136 + 9163.50ns INFO [00009165] Port=0 WR @02=AB85327C + 9163.50ns INFO [00009165] Port=0 RD @1A + 9164.50ns INFO [00009166] * RD COMPARE * port=1 adr=18 act=0A546785 exp=0A546785 + 9164.50ns INFO [00009166] Port=0 WR @16=3E3F46E6 + 9165.50ns INFO [00009167] * RD COMPARE * port=0 adr=1A act=D1BE2BFA exp=D1BE2BFA + 9165.50ns INFO [00009167] Port=0 RD @0C + 9167.50ns INFO [00009169] * RD COMPARE * port=0 adr=0C act=3F2D45D8 exp=3F2D45D8 + 9167.50ns INFO [00009169] Port=1 RD @1C + 9168.50ns INFO [00009170] Port=0 WR @18=0EA40ACE + 9169.50ns INFO [00009171] * RD COMPARE * port=1 adr=1C act=78CB9407 exp=78CB9407 + 9169.50ns INFO [00009171] Port=0 RD @02 + 9170.50ns INFO [00009172] Port=0 RD @0A + 9171.50ns INFO [00009173] * RD COMPARE * port=0 adr=02 act=AB85327C exp=AB85327C + 9172.50ns INFO [00009174] * RD COMPARE * port=0 adr=0A act=B91A65F3 exp=B91A65F3 + 9172.50ns INFO [00009174] Port=0 RD @1B + 9172.50ns INFO [00009174] Port=1 RD @19 + 9173.50ns INFO [00009175] Port=0 WR @07=A8DDBEFA + 9173.50ns INFO [00009175] Port=0 RD @09 + 9174.50ns INFO [00009176] * RD COMPARE * port=0 adr=1B act=DA4B6163 exp=DA4B6163 + 9174.50ns INFO [00009176] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89 + 9174.50ns INFO [00009176] Port=0 RD @0A + 9174.50ns INFO [00009176] Port=1 RD @01 + 9175.50ns INFO [00009177] * RD COMPARE * port=0 adr=09 act=DF56555C exp=DF56555C + 9175.50ns INFO [00009177] Port=0 RD @0C + 9176.50ns INFO [00009178] * RD COMPARE * port=0 adr=0A act=B91A65F3 exp=B91A65F3 + 9176.50ns INFO [00009178] * RD COMPARE * port=1 adr=01 act=C22FF916 exp=C22FF916 + 9176.50ns INFO [00009178] Port=0 WR @00=1BC6C84A + 9176.50ns INFO [00009178] Port=1 RD @19 + 9177.50ns INFO [00009179] * RD COMPARE * port=0 adr=0C act=3F2D45D8 exp=3F2D45D8 + 9177.50ns INFO [00009179] Port=1 RD @08 + 9178.50ns INFO [00009180] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89 + 9178.50ns INFO [00009180] Port=1 RD @1D + 9179.50ns INFO [00009181] * RD COMPARE * port=1 adr=08 act=8957C136 exp=8957C136 + 9179.50ns INFO [00009181] Port=0 WR @0E=851A0D33 + 9179.50ns INFO [00009181] Port=0 RD @14 + 9179.50ns INFO [00009181] Port=1 RD @11 + 9180.50ns INFO [00009182] * RD COMPARE * port=1 adr=1D act=E1B287A0 exp=E1B287A0 + 9180.50ns INFO [00009182] Port=1 RD @19 + 9181.50ns INFO [00009183] * RD COMPARE * port=0 adr=14 act=B956770B exp=B956770B + 9181.50ns INFO [00009183] * RD COMPARE * port=1 adr=11 act=DD2832AA exp=DD2832AA + 9181.50ns INFO [00009183] Port=0 WR @1D=790D3AF1 + 9182.50ns INFO [00009184] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89 + 9182.50ns INFO [00009184] Port=0 WR @0A=DC84A610 + 9182.50ns INFO [00009184] Port=1 RD @05 + 9183.50ns INFO [00009185] Port=0 WR @04=30048DEA + 9183.50ns INFO [00009185] Port=0 RD @19 + 9183.50ns INFO [00009185] Port=1 RD @08 + 9184.50ns INFO [00009186] * RD COMPARE * port=1 adr=05 act=BD469BA9 exp=BD469BA9 + 9184.50ns INFO [00009186] Port=0 WR @01=7924C820 + 9185.50ns INFO [00009187] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89 + 9185.50ns INFO [00009187] * RD COMPARE * port=1 adr=08 act=8957C136 exp=8957C136 + 9185.50ns INFO [00009187] Port=0 RD @0B + 9185.50ns INFO [00009187] Port=1 RD @19 + 9186.50ns INFO [00009188] Port=1 RD @0C + 9187.50ns INFO [00009189] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B + 9187.50ns INFO [00009189] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89 + 9187.50ns INFO [00009189] Port=0 WR @00=9EFC8BEE + 9188.50ns INFO [00009190] * RD COMPARE * port=1 adr=0C act=3F2D45D8 exp=3F2D45D8 + 9189.50ns INFO [00009191] Port=0 RD @01 + 9190.50ns INFO [00009192] Port=0 RD @07 + 9191.50ns INFO [00009193] * RD COMPARE * port=0 adr=01 act=7924C820 exp=7924C820 + 9192.50ns INFO [00009194] * RD COMPARE * port=0 adr=07 act=A8DDBEFA exp=A8DDBEFA + 9192.50ns INFO [00009194] Port=0 WR @02=E7228590 + 9193.50ns INFO [00009195] Port=1 RD @05 + 9194.50ns INFO [00009196] Port=1 RD @14 + 9195.50ns INFO [00009197] * RD COMPARE * port=1 adr=05 act=BD469BA9 exp=BD469BA9 + 9195.50ns INFO [00009197] Port=0 RD @11 + 9196.50ns INFO [00009198] * RD COMPARE * port=1 adr=14 act=B956770B exp=B956770B + 9196.50ns INFO [00009198] Port=0 WR @19=8D145D52 + 9197.50ns INFO [00009199] * RD COMPARE * port=0 adr=11 act=DD2832AA exp=DD2832AA + 9197.50ns INFO [00009199] Port=0 WR @15=C3FA0E83 + 9197.50ns INFO [00009199] Port=0 RD @0C + 9197.50ns INFO [00009199] Port=1 RD @00 + 9198.00ns INFO [00009200] [00009200] ...tick... + 9198.50ns INFO [00009200] Port=0 RD @0B + 9199.50ns INFO [00009201] * RD COMPARE * port=0 adr=0C act=3F2D45D8 exp=3F2D45D8 + 9199.50ns INFO [00009201] * RD COMPARE * port=1 adr=00 act=9EFC8BEE exp=9EFC8BEE + 9200.50ns INFO [00009202] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B + 9200.50ns INFO [00009202] Port=0 RD @08 + 9201.50ns INFO [00009203] Port=0 RD @1B + 9201.50ns INFO [00009203] Port=1 RD @1C + 9202.50ns INFO [00009204] * RD COMPARE * port=0 adr=08 act=8957C136 exp=8957C136 + 9202.50ns INFO [00009204] Port=0 WR @07=9CCA8884 + 9202.50ns INFO [00009204] Port=1 RD @17 + 9203.50ns INFO [00009205] * RD COMPARE * port=0 adr=1B act=DA4B6163 exp=DA4B6163 + 9203.50ns INFO [00009205] * RD COMPARE * port=1 adr=1C act=78CB9407 exp=78CB9407 + 9203.50ns INFO [00009205] Port=0 RD @0F + 9203.50ns INFO [00009205] Port=1 RD @02 + 9204.50ns INFO [00009206] * RD COMPARE * port=1 adr=17 act=377462AC exp=377462AC + 9204.50ns INFO [00009206] Port=0 WR @07=78DC961B + 9204.50ns INFO [00009206] Port=0 RD @09 + 9205.50ns INFO [00009207] * RD COMPARE * port=0 adr=0F act=DA4CE665 exp=DA4CE665 + 9205.50ns INFO [00009207] * RD COMPARE * port=1 adr=02 act=E7228590 exp=E7228590 + 9205.50ns INFO [00009207] Port=0 RD @07 + 9206.50ns INFO [00009208] * RD COMPARE * port=0 adr=09 act=DF56555C exp=DF56555C + 9207.50ns INFO [00009209] * RD COMPARE * port=0 adr=07 act=78DC961B exp=78DC961B + 9207.50ns INFO [00009209] Port=0 RD @1E + 9207.50ns INFO [00009209] Port=1 RD @1F + 9208.50ns INFO [00009210] Port=0 RD @08 + 9208.50ns INFO [00009210] Port=1 RD @13 + 9209.50ns INFO [00009211] * RD COMPARE * port=0 adr=1E act=136CB07D exp=136CB07D + 9209.50ns INFO [00009211] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF + 9209.50ns INFO [00009211] Port=0 WR @13=04574179 + 9210.50ns INFO [00009212] * RD COMPARE * port=0 adr=08 act=8957C136 exp=8957C136 + 9210.50ns INFO [00009212] * RD COMPARE * port=1 adr=13 act=82B8F6DF exp=82B8F6DF + 9210.50ns INFO [00009212] Port=0 WR @08=9A58A037 + 9210.50ns INFO [00009212] Port=1 RD @06 + 9212.50ns INFO [00009214] * RD COMPARE * port=1 adr=06 act=15DD085C exp=15DD085C + 9213.50ns INFO [00009215] Port=1 RD @03 + 9214.50ns INFO [00009216] Port=0 RD @02 + 9215.50ns INFO [00009217] * RD COMPARE * port=1 adr=03 act=37810261 exp=37810261 + 9215.50ns INFO [00009217] Port=0 WR @16=5A262EE2 + 9216.50ns INFO [00009218] * RD COMPARE * port=0 adr=02 act=E7228590 exp=E7228590 + 9216.50ns INFO [00009218] Port=0 WR @03=43C268A8 + 9216.50ns INFO [00009218] Port=1 RD @0E + 9217.50ns INFO [00009219] Port=1 RD @12 + 9218.50ns INFO [00009220] * RD COMPARE * port=1 adr=0E act=851A0D33 exp=851A0D33 + 9218.50ns INFO [00009220] Port=0 WR @0C=367B2147 + 9218.50ns INFO [00009220] Port=1 RD @04 + 9219.50ns INFO [00009221] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF + 9219.50ns INFO [00009221] Port=1 RD @17 + 9220.50ns INFO [00009222] * RD COMPARE * port=1 adr=04 act=30048DEA exp=30048DEA + 9220.50ns INFO [00009222] Port=0 RD @08 + 9221.50ns INFO [00009223] * RD COMPARE * port=1 adr=17 act=377462AC exp=377462AC + 9221.50ns INFO [00009223] Port=0 RD @01 + 9222.50ns INFO [00009224] * RD COMPARE * port=0 adr=08 act=9A58A037 exp=9A58A037 + 9223.50ns INFO [00009225] * RD COMPARE * port=0 adr=01 act=7924C820 exp=7924C820 + 9223.50ns INFO [00009225] Port=0 WR @04=5CC31F1A + 9223.50ns INFO [00009225] Port=0 RD @13 + 9223.50ns INFO [00009225] Port=1 RD @1C + 9224.50ns INFO [00009226] Port=0 RD @0F + 9224.50ns INFO [00009226] Port=1 RD @12 + 9225.50ns INFO [00009227] * RD COMPARE * port=0 adr=13 act=04574179 exp=04574179 + 9225.50ns INFO [00009227] * RD COMPARE * port=1 adr=1C act=78CB9407 exp=78CB9407 + 9226.50ns INFO [00009228] * RD COMPARE * port=0 adr=0F act=DA4CE665 exp=DA4CE665 + 9226.50ns INFO [00009228] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF + 9227.50ns INFO [00009229] Port=1 RD @1F + 9228.50ns INFO [00009230] Port=0 WR @0E=DD3D87BC + 9229.50ns INFO [00009231] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF + 9233.50ns INFO [00009235] Port=0 RD @07 + 9233.50ns INFO [00009235] Port=1 RD @01 + 9235.50ns INFO [00009237] * RD COMPARE * port=0 adr=07 act=78DC961B exp=78DC961B + 9235.50ns INFO [00009237] * RD COMPARE * port=1 adr=01 act=7924C820 exp=7924C820 + 9235.50ns INFO [00009237] Port=0 WR @1A=88F5EB89 + 9235.50ns INFO [00009237] Port=0 RD @06 + 9235.50ns INFO [00009237] Port=1 RD @1B + 9236.50ns INFO [00009238] Port=0 WR @14=6019FD84 + 9236.50ns INFO [00009238] Port=0 RD @1F + 9237.50ns INFO [00009239] * RD COMPARE * port=0 adr=06 act=15DD085C exp=15DD085C + 9237.50ns INFO [00009239] * RD COMPARE * port=1 adr=1B act=DA4B6163 exp=DA4B6163 + 9237.50ns INFO [00009239] Port=0 WR @17=D9D5230E + 9237.50ns INFO [00009239] Port=0 RD @03 + 9238.50ns INFO [00009240] * RD COMPARE * port=0 adr=1F act=09D3D5FF exp=09D3D5FF + 9238.50ns INFO [00009240] Port=1 RD @15 + 9239.50ns INFO [00009241] * RD COMPARE * port=0 adr=03 act=43C268A8 exp=43C268A8 + 9240.50ns INFO [00009242] * RD COMPARE * port=1 adr=15 act=C3FA0E83 exp=C3FA0E83 + 9240.50ns INFO [00009242] Port=1 RD @15 + 9241.50ns INFO [00009243] Port=0 WR @1D=238F4817 + 9241.50ns INFO [00009243] Port=0 RD @18 + 9242.50ns INFO [00009244] * RD COMPARE * port=1 adr=15 act=C3FA0E83 exp=C3FA0E83 + 9242.50ns INFO [00009244] Port=0 WR @0A=31B8F37B + 9242.50ns INFO [00009244] Port=0 RD @0B + 9242.50ns INFO [00009244] Port=1 RD @15 + 9243.50ns INFO [00009245] * RD COMPARE * port=0 adr=18 act=0EA40ACE exp=0EA40ACE + 9243.50ns INFO [00009245] Port=0 WR @16=88E19E2E + 9243.50ns INFO [00009245] Port=0 RD @02 + 9243.50ns INFO [00009245] Port=1 RD @08 + 9244.50ns INFO [00009246] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B + 9244.50ns INFO [00009246] * RD COMPARE * port=1 adr=15 act=C3FA0E83 exp=C3FA0E83 + 9245.50ns INFO [00009247] * RD COMPARE * port=0 adr=02 act=E7228590 exp=E7228590 + 9245.50ns INFO [00009247] * RD COMPARE * port=1 adr=08 act=9A58A037 exp=9A58A037 + 9245.50ns INFO [00009247] Port=0 WR @01=553364B7 + 9246.50ns INFO [00009248] Port=0 RD @06 + 9247.50ns INFO [00009249] Port=0 WR @13=59498FCF + 9247.50ns INFO [00009249] Port=0 RD @1D + 9248.50ns INFO [00009250] * RD COMPARE * port=0 adr=06 act=15DD085C exp=15DD085C + 9248.50ns INFO [00009250] Port=0 RD @05 + 9249.50ns INFO [00009251] * RD COMPARE * port=0 adr=1D act=238F4817 exp=238F4817 + 9250.50ns INFO [00009252] * RD COMPARE * port=0 adr=05 act=BD469BA9 exp=BD469BA9 + 9251.50ns INFO [00009253] Port=0 WR @04=0DFB0A99 + 9251.50ns INFO [00009253] Port=0 RD @07 + 9252.50ns INFO [00009254] Port=0 WR @0B=ADE80348 + 9253.50ns INFO [00009255] * RD COMPARE * port=0 adr=07 act=78DC961B exp=78DC961B + 9253.50ns INFO [00009255] Port=0 WR @1D=D786E0AD + 9253.50ns INFO [00009255] Port=0 RD @11 + 9254.50ns INFO [00009256] Port=0 WR @0D=3D284496 + 9254.50ns INFO [00009256] Port=0 RD @0E + 9255.50ns INFO [00009257] * RD COMPARE * port=0 adr=11 act=DD2832AA exp=DD2832AA + 9255.50ns INFO [00009257] Port=0 WR @05=D5C8AA78 + 9255.50ns INFO [00009257] Port=1 RD @04 + 9256.50ns INFO [00009258] * RD COMPARE * port=0 adr=0E act=DD3D87BC exp=DD3D87BC + 9256.50ns INFO [00009258] Port=0 WR @1B=6C1D60CE + 9257.50ns INFO [00009259] * RD COMPARE * port=1 adr=04 act=0DFB0A99 exp=0DFB0A99 + 9258.50ns INFO [00009260] Port=0 WR @0F=A98C2FB5 + 9258.50ns INFO [00009260] Port=0 RD @16 + 9259.50ns INFO [00009261] Port=0 WR @13=076E99CD + 9259.50ns INFO [00009261] Port=0 RD @04 + 9260.50ns INFO [00009262] * RD COMPARE * port=0 adr=16 act=88E19E2E exp=88E19E2E + 9260.50ns INFO [00009262] Port=0 WR @0E=EF2C4DFB + 9261.50ns INFO [00009263] * RD COMPARE * port=0 adr=04 act=0DFB0A99 exp=0DFB0A99 + 9261.50ns INFO [00009263] Port=0 WR @10=72A09A05 + 9263.50ns INFO [00009265] Port=0 RD @03 + 9265.50ns INFO [00009267] * RD COMPARE * port=0 adr=03 act=43C268A8 exp=43C268A8 + 9265.50ns INFO [00009267] Port=0 RD @19 + 9265.50ns INFO [00009267] Port=1 RD @07 + 9266.50ns INFO [00009268] Port=0 WR @17=1585C36F + 9266.50ns INFO [00009268] Port=0 RD @01 + 9267.50ns INFO [00009269] * RD COMPARE * port=0 adr=19 act=8D145D52 exp=8D145D52 + 9267.50ns INFO [00009269] * RD COMPARE * port=1 adr=07 act=78DC961B exp=78DC961B + 9267.50ns INFO [00009269] Port=0 RD @18 + 9268.50ns INFO [00009270] * RD COMPARE * port=0 adr=01 act=553364B7 exp=553364B7 + 9268.50ns INFO [00009270] Port=0 RD @1E + 9269.50ns INFO [00009271] * RD COMPARE * port=0 adr=18 act=0EA40ACE exp=0EA40ACE + 9269.50ns INFO [00009271] Port=0 WR @0F=CB1B0AC7 + 9270.50ns INFO [00009272] * RD COMPARE * port=0 adr=1E act=136CB07D exp=136CB07D + 9270.50ns INFO [00009272] Port=0 RD @01 + 9270.50ns INFO [00009272] Port=1 RD @1E + 9271.50ns INFO [00009273] Port=1 RD @1D + 9272.50ns INFO [00009274] * RD COMPARE * port=0 adr=01 act=553364B7 exp=553364B7 + 9272.50ns INFO [00009274] * RD COMPARE * port=1 adr=1E act=136CB07D exp=136CB07D + 9272.50ns INFO [00009274] Port=0 WR @1B=84AA9538 + 9273.50ns INFO [00009275] * RD COMPARE * port=1 adr=1D act=D786E0AD exp=D786E0AD + 9273.50ns INFO [00009275] Port=0 WR @03=F024B2C7 + 9274.50ns INFO [00009276] Port=0 RD @07 + 9276.50ns INFO [00009278] * RD COMPARE * port=0 adr=07 act=78DC961B exp=78DC961B + 9276.50ns INFO [00009278] Port=0 WR @0C=278F9AFD + 9276.50ns INFO [00009278] Port=1 RD @05 + 9277.50ns INFO [00009279] Port=0 WR @0B=0883BC12 + 9278.50ns INFO [00009280] * RD COMPARE * port=1 adr=05 act=D5C8AA78 exp=D5C8AA78 + 9278.50ns INFO [00009280] Port=0 RD @13 + 9278.50ns INFO [00009280] Port=1 RD @04 + 9279.50ns INFO [00009281] Port=0 RD @19 + 9279.50ns INFO [00009281] Port=1 RD @0F + 9280.50ns INFO [00009282] * RD COMPARE * port=0 adr=13 act=076E99CD exp=076E99CD + 9280.50ns INFO [00009282] * RD COMPARE * port=1 adr=04 act=0DFB0A99 exp=0DFB0A99 + 9280.50ns INFO [00009282] Port=0 WR @06=CA7384BC + 9281.50ns INFO [00009283] * RD COMPARE * port=0 adr=19 act=8D145D52 exp=8D145D52 + 9281.50ns INFO [00009283] * RD COMPARE * port=1 adr=0F act=CB1B0AC7 exp=CB1B0AC7 + 9281.50ns INFO [00009283] Port=1 RD @13 + 9282.50ns INFO [00009284] Port=0 WR @13=5AB3B37C + 9282.50ns INFO [00009284] Port=0 RD @11 + 9283.50ns INFO [00009285] * RD COMPARE * port=1 adr=13 act=076E99CD exp=076E99CD + 9283.50ns INFO [00009285] Port=0 RD @1F + 9284.50ns INFO [00009286] * RD COMPARE * port=0 adr=11 act=DD2832AA exp=DD2832AA + 9284.50ns INFO [00009286] Port=0 WR @15=5871F7BB + 9284.50ns INFO [00009286] Port=0 RD @09 + 9285.50ns INFO [00009287] * RD COMPARE * port=0 adr=1F act=09D3D5FF exp=09D3D5FF + 9285.50ns INFO [00009287] Port=0 RD @19 + 9286.50ns INFO [00009288] * RD COMPARE * port=0 adr=09 act=DF56555C exp=DF56555C + 9287.50ns INFO [00009289] * RD COMPARE * port=0 adr=19 act=8D145D52 exp=8D145D52 + 9287.50ns INFO [00009289] Port=0 WR @17=72347803 + 9287.50ns INFO [00009289] Port=0 RD @1C + 9288.50ns INFO [00009290] Port=1 RD @06 + 9289.50ns INFO [00009291] * RD COMPARE * port=0 adr=1C act=78CB9407 exp=78CB9407 + 9290.50ns INFO [00009292] * RD COMPARE * port=1 adr=06 act=CA7384BC exp=CA7384BC + 9292.50ns INFO [00009294] Port=1 RD @12 + 9294.50ns INFO [00009296] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF + 9294.50ns INFO [00009296] Port=0 WR @05=889560FB + 9294.50ns INFO [00009296] Port=1 RD @1F + 9295.50ns INFO [00009297] Port=0 WR @0D=E1837830 + 9295.50ns INFO [00009297] Port=1 RD @11 + 9296.50ns INFO [00009298] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF + 9296.50ns INFO [00009298] Port=0 WR @12=8E3E0482 + 9296.50ns INFO [00009298] Port=1 RD @07 + 9297.50ns INFO [00009299] * RD COMPARE * port=1 adr=11 act=DD2832AA exp=DD2832AA + 9297.50ns INFO [00009299] Port=0 WR @18=01B66FF3 + 9297.50ns INFO [00009299] Port=0 RD @0E + 9298.00ns INFO [00009300] [00009300] ...tick... + 9298.50ns INFO [00009300] * RD COMPARE * port=1 adr=07 act=78DC961B exp=78DC961B + 9298.50ns INFO [00009300] Port=0 WR @14=C433BDF6 + 9299.50ns INFO [00009301] * RD COMPARE * port=0 adr=0E act=EF2C4DFB exp=EF2C4DFB + 9299.50ns INFO [00009301] Port=0 WR @0E=BE6CF16C + 9301.50ns INFO [00009303] Port=0 RD @1E + 9302.50ns INFO [00009304] Port=0 WR @16=B0E390C0 + 9302.50ns INFO [00009304] Port=1 RD @1B + 9303.50ns INFO [00009305] * RD COMPARE * port=0 adr=1E act=136CB07D exp=136CB07D + 9303.50ns INFO [00009305] Port=1 RD @19 + 9304.50ns INFO [00009306] * RD COMPARE * port=1 adr=1B act=84AA9538 exp=84AA9538 + 9304.50ns INFO [00009306] Port=0 WR @12=F64231F1 + 9304.50ns INFO [00009306] Port=0 RD @03 + 9305.50ns INFO [00009307] * RD COMPARE * port=1 adr=19 act=8D145D52 exp=8D145D52 + 9305.50ns INFO [00009307] Port=1 RD @08 + 9306.50ns INFO [00009308] * RD COMPARE * port=0 adr=03 act=F024B2C7 exp=F024B2C7 + 9306.50ns INFO [00009308] Port=0 RD @17 + 9306.50ns INFO [00009308] Port=1 RD @1A + 9307.50ns INFO [00009309] * RD COMPARE * port=1 adr=08 act=9A58A037 exp=9A58A037 + 9307.50ns INFO [00009309] Port=0 WR @1C=51F62D21 + 9307.50ns INFO [00009309] Port=0 RD @0D + 9307.50ns INFO [00009309] Port=1 RD @08 + 9308.50ns INFO [00009310] * RD COMPARE * port=0 adr=17 act=72347803 exp=72347803 + 9308.50ns INFO [00009310] * RD COMPARE * port=1 adr=1A act=88F5EB89 exp=88F5EB89 + 9308.50ns INFO [00009310] Port=0 WR @19=1A6B64E4 + 9309.50ns INFO [00009311] * RD COMPARE * port=0 adr=0D act=E1837830 exp=E1837830 + 9309.50ns INFO [00009311] * RD COMPARE * port=1 adr=08 act=9A58A037 exp=9A58A037 + 9309.50ns INFO [00009311] Port=0 WR @1E=DCA3725A + 9309.50ns INFO [00009311] Port=0 RD @01 + 9310.50ns INFO [00009312] Port=0 WR @06=5CEF8745 + 9311.50ns INFO [00009313] * RD COMPARE * port=0 adr=01 act=553364B7 exp=553364B7 + 9311.50ns INFO [00009313] Port=0 WR @0D=7FDF770E + 9311.50ns INFO [00009313] Port=0 RD @13 + 9311.50ns INFO [00009313] Port=1 RD @0F + 9313.50ns INFO [00009315] * RD COMPARE * port=0 adr=13 act=5AB3B37C exp=5AB3B37C + 9313.50ns INFO [00009315] * RD COMPARE * port=1 adr=0F act=CB1B0AC7 exp=CB1B0AC7 + 9313.50ns INFO [00009315] Port=0 WR @18=CF66B9D8 + 9315.50ns INFO [00009317] Port=0 WR @15=E789D1B8 + 9316.50ns INFO [00009318] Port=0 WR @0A=4FB97D14 + 9316.50ns INFO [00009318] Port=0 RD @04 + 9316.50ns INFO [00009318] Port=1 RD @1B + 9317.50ns INFO [00009319] Port=0 WR @11=9057B934 + 9317.50ns INFO [00009319] Port=0 RD @1A + 9317.50ns INFO [00009319] Port=1 RD @14 + 9318.50ns INFO [00009320] * RD COMPARE * port=0 adr=04 act=0DFB0A99 exp=0DFB0A99 + 9318.50ns INFO [00009320] * RD COMPARE * port=1 adr=1B act=84AA9538 exp=84AA9538 + 9318.50ns INFO [00009320] Port=1 RD @14 + 9319.50ns INFO [00009321] * RD COMPARE * port=0 adr=1A act=88F5EB89 exp=88F5EB89 + 9319.50ns INFO [00009321] * RD COMPARE * port=1 adr=14 act=C433BDF6 exp=C433BDF6 + 9319.50ns INFO [00009321] Port=0 WR @14=C5043251 + 9319.50ns INFO [00009321] Port=0 RD @1B + 9320.50ns INFO [00009322] * RD COMPARE * port=1 adr=14 act=C433BDF6 exp=C433BDF6 + 9320.50ns INFO [00009322] Port=0 RD @05 + 9321.50ns INFO [00009323] * RD COMPARE * port=0 adr=1B act=84AA9538 exp=84AA9538 + 9322.50ns INFO [00009324] * RD COMPARE * port=0 adr=05 act=889560FB exp=889560FB + 9322.50ns INFO [00009324] Port=0 RD @00 + 9322.50ns INFO [00009324] Port=1 RD @17 + 9323.50ns INFO [00009325] Port=0 WR @19=B91717A7 + 9324.50ns INFO [00009326] * RD COMPARE * port=0 adr=00 act=9EFC8BEE exp=9EFC8BEE + 9324.50ns INFO [00009326] * RD COMPARE * port=1 adr=17 act=72347803 exp=72347803 + 9324.50ns INFO [00009326] Port=0 RD @17 + 9326.50ns INFO [00009328] * RD COMPARE * port=0 adr=17 act=72347803 exp=72347803 + 9327.50ns INFO [00009329] Port=0 RD @11 + 9327.50ns INFO [00009329] Port=1 RD @03 + 9328.50ns INFO [00009330] Port=0 WR @0E=2583C9FB + 9328.50ns INFO [00009330] Port=1 RD @02 + 9329.50ns INFO [00009331] * RD COMPARE * port=0 adr=11 act=9057B934 exp=9057B934 + 9329.50ns INFO [00009331] * RD COMPARE * port=1 adr=03 act=F024B2C7 exp=F024B2C7 + 9329.50ns INFO [00009331] Port=0 WR @10=FDCFCC84 + 9329.50ns INFO [00009331] Port=0 RD @06 + 9330.50ns INFO [00009332] * RD COMPARE * port=1 adr=02 act=E7228590 exp=E7228590 + 9330.50ns INFO [00009332] Port=0 WR @18=57928F05 + 9331.50ns INFO [00009333] * RD COMPARE * port=0 adr=06 act=5CEF8745 exp=5CEF8745 + 9331.50ns INFO [00009333] Port=0 RD @03 + 9332.50ns INFO [00009334] Port=0 RD @0B + 9332.50ns INFO [00009334] Port=1 RD @07 + 9333.50ns INFO [00009335] * RD COMPARE * port=0 adr=03 act=F024B2C7 exp=F024B2C7 + 9333.50ns INFO [00009335] Port=1 RD @1F + 9334.50ns INFO [00009336] * RD COMPARE * port=0 adr=0B act=0883BC12 exp=0883BC12 + 9334.50ns INFO [00009336] * RD COMPARE * port=1 adr=07 act=78DC961B exp=78DC961B + 9334.50ns INFO [00009336] Port=0 WR @04=775906CE + 9334.50ns INFO [00009336] Port=0 RD @02 + 9335.50ns INFO [00009337] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF + 9335.50ns INFO [00009337] Port=0 WR @1B=8BB14823 + 9335.50ns INFO [00009337] Port=0 RD @0F + 9336.50ns INFO [00009338] * RD COMPARE * port=0 adr=02 act=E7228590 exp=E7228590 + 9336.50ns INFO [00009338] Port=0 WR @18=BC3F5CCA + 9336.50ns INFO [00009338] Port=1 RD @1E + 9337.50ns INFO [00009339] * RD COMPARE * port=0 adr=0F act=CB1B0AC7 exp=CB1B0AC7 + 9337.50ns INFO [00009339] Port=0 WR @04=E4CEC8E0 + 9337.50ns INFO [00009339] Port=1 RD @09 + 9338.50ns INFO [00009340] * RD COMPARE * port=1 adr=1E act=DCA3725A exp=DCA3725A + 9339.50ns INFO [00009341] * RD COMPARE * port=1 adr=09 act=DF56555C exp=DF56555C + 9339.50ns INFO [00009341] Port=0 WR @0B=A4BA0642 + 9340.50ns INFO [00009342] Port=1 RD @16 + 9341.50ns INFO [00009343] Port=0 RD @05 + 9342.50ns INFO [00009344] * RD COMPARE * port=1 adr=16 act=B0E390C0 exp=B0E390C0 + 9342.50ns INFO [00009344] Port=1 RD @1C + 9343.50ns INFO [00009345] * RD COMPARE * port=0 adr=05 act=889560FB exp=889560FB + 9343.50ns INFO [00009345] Port=0 WR @0E=E12D4852 + 9344.50ns INFO [00009346] * RD COMPARE * port=1 adr=1C act=51F62D21 exp=51F62D21 + 9344.50ns INFO [00009346] Port=0 RD @18 + 9345.50ns INFO [00009347] Port=0 WR @07=F61B9567 + 9346.50ns INFO [00009348] * RD COMPARE * port=0 adr=18 act=BC3F5CCA exp=BC3F5CCA + 9346.50ns INFO [00009348] Port=0 WR @00=A20D710F + 9347.50ns INFO [00009349] Port=0 RD @19 + 9349.50ns INFO [00009351] * RD COMPARE * port=0 adr=19 act=B91717A7 exp=B91717A7 + 9350.50ns INFO [00009352] Port=0 RD @19 + 9351.50ns INFO [00009353] Port=0 WR @0F=0DCA23AA + 9352.50ns INFO [00009354] * RD COMPARE * port=0 adr=19 act=B91717A7 exp=B91717A7 + 9352.50ns INFO [00009354] Port=0 WR @07=FEE1F777 + 9352.50ns INFO [00009354] Port=0 RD @0D + 9353.50ns INFO [00009355] Port=1 RD @11 + 9354.50ns INFO [00009356] * RD COMPARE * port=0 adr=0D act=7FDF770E exp=7FDF770E + 9354.50ns INFO [00009356] Port=0 WR @16=D75F9809 + 9355.50ns INFO [00009357] * RD COMPARE * port=1 adr=11 act=9057B934 exp=9057B934 + 9355.50ns INFO [00009357] Port=0 WR @0A=ECDED181 + 9356.50ns INFO [00009358] Port=0 WR @1B=D342FABD + 9356.50ns INFO [00009358] Port=1 RD @0A + 9357.50ns INFO [00009359] Port=1 RD @1B + 9358.50ns INFO [00009360] * RD COMPARE * port=1 adr=0A act=ECDED181 exp=ECDED181 + 9358.50ns INFO [00009360] Port=0 WR @15=E26951D7 + 9358.50ns INFO [00009360] Port=0 RD @02 + 9358.50ns INFO [00009360] Port=1 RD @0F + 9359.50ns INFO [00009361] * RD COMPARE * port=1 adr=1B act=D342FABD exp=D342FABD + 9359.50ns INFO [00009361] Port=0 RD @15 + 9359.50ns INFO [00009361] Port=1 RD @03 + 9360.50ns INFO [00009362] * RD COMPARE * port=0 adr=02 act=E7228590 exp=E7228590 + 9360.50ns INFO [00009362] * RD COMPARE * port=1 adr=0F act=0DCA23AA exp=0DCA23AA + 9361.50ns INFO [00009363] * RD COMPARE * port=0 adr=15 act=E26951D7 exp=E26951D7 + 9361.50ns INFO [00009363] * RD COMPARE * port=1 adr=03 act=F024B2C7 exp=F024B2C7 + 9361.50ns INFO [00009363] Port=1 RD @00 + 9362.50ns INFO [00009364] Port=1 RD @1F + 9363.50ns INFO [00009365] * RD COMPARE * port=1 adr=00 act=A20D710F exp=A20D710F + 9363.50ns INFO [00009365] Port=1 RD @02 + 9364.50ns INFO [00009366] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF + 9364.50ns INFO [00009366] Port=0 WR @14=0EDAEEFF + 9364.50ns INFO [00009366] Port=0 RD @07 + 9364.50ns INFO [00009366] Port=1 RD @13 + 9365.50ns INFO [00009367] * RD COMPARE * port=1 adr=02 act=E7228590 exp=E7228590 + 9365.50ns INFO [00009367] Port=1 RD @0A + 9366.50ns INFO [00009368] * RD COMPARE * port=0 adr=07 act=FEE1F777 exp=FEE1F777 + 9366.50ns INFO [00009368] * RD COMPARE * port=1 adr=13 act=5AB3B37C exp=5AB3B37C + 9367.50ns INFO [00009369] * RD COMPARE * port=1 adr=0A act=ECDED181 exp=ECDED181 + 9367.50ns INFO [00009369] Port=0 RD @1E + 9367.50ns INFO [00009369] Port=1 RD @16 + 9368.50ns INFO [00009370] Port=0 WR @17=E0E17619 + 9369.50ns INFO [00009371] * RD COMPARE * port=0 adr=1E act=DCA3725A exp=DCA3725A + 9369.50ns INFO [00009371] * RD COMPARE * port=1 adr=16 act=D75F9809 exp=D75F9809 + 9370.50ns INFO [00009372] Port=0 WR @14=45A6E590 + 9370.50ns INFO [00009372] Port=1 RD @0A + 9371.50ns INFO [00009373] Port=1 RD @1D + 9372.50ns INFO [00009374] * RD COMPARE * port=1 adr=0A act=ECDED181 exp=ECDED181 + 9372.50ns INFO [00009374] Port=1 RD @14 + 9373.50ns INFO [00009375] * RD COMPARE * port=1 adr=1D act=D786E0AD exp=D786E0AD + 9373.50ns INFO [00009375] Port=0 WR @16=4CC93602 + 9373.50ns INFO [00009375] Port=0 RD @1A + 9373.50ns INFO [00009375] Port=1 RD @13 + 9374.50ns INFO [00009376] * RD COMPARE * port=1 adr=14 act=45A6E590 exp=45A6E590 + 9375.50ns INFO [00009377] * RD COMPARE * port=0 adr=1A act=88F5EB89 exp=88F5EB89 + 9375.50ns INFO [00009377] * RD COMPARE * port=1 adr=13 act=5AB3B37C exp=5AB3B37C + 9375.50ns INFO [00009377] Port=0 WR @06=615C7606 + 9375.50ns INFO [00009377] Port=0 RD @00 + 9375.50ns INFO [00009377] Port=1 RD @0C + 9377.50ns INFO [00009379] * RD COMPARE * port=0 adr=00 act=A20D710F exp=A20D710F + 9377.50ns INFO [00009379] * RD COMPARE * port=1 adr=0C act=278F9AFD exp=278F9AFD + 9378.50ns INFO [00009380] Port=0 WR @18=C31E27F9 + 9378.50ns INFO [00009380] Port=1 RD @04 + 9379.50ns INFO [00009381] Port=1 RD @13 + 9380.50ns INFO [00009382] * RD COMPARE * port=1 adr=04 act=E4CEC8E0 exp=E4CEC8E0 + 9380.50ns INFO [00009382] Port=0 WR @1A=E66D183F + 9380.50ns INFO [00009382] Port=1 RD @16 + 9381.50ns INFO [00009383] * RD COMPARE * port=1 adr=13 act=5AB3B37C exp=5AB3B37C + 9381.50ns INFO [00009383] Port=0 WR @14=8BACD637 + 9382.50ns INFO [00009384] * RD COMPARE * port=1 adr=16 act=4CC93602 exp=4CC93602 + 9382.50ns INFO [00009384] Port=0 WR @1C=BCBB4B7B + 9382.50ns INFO [00009384] Port=0 RD @00 + 9382.50ns INFO [00009384] Port=1 RD @06 + 9383.50ns INFO [00009385] Port=0 RD @13 + 9384.50ns INFO [00009386] * RD COMPARE * port=0 adr=00 act=A20D710F exp=A20D710F + 9384.50ns INFO [00009386] * RD COMPARE * port=1 adr=06 act=615C7606 exp=615C7606 + 9385.50ns INFO [00009387] * RD COMPARE * port=0 adr=13 act=5AB3B37C exp=5AB3B37C + 9385.50ns INFO [00009387] Port=0 WR @0D=58B8E7C1 + 9386.50ns INFO [00009388] Port=0 RD @0A + 9387.50ns INFO [00009389] Port=0 RD @0C + 9387.50ns INFO [00009389] Port=1 RD @09 + 9388.50ns INFO [00009390] * RD COMPARE * port=0 adr=0A act=ECDED181 exp=ECDED181 + 9389.50ns INFO [00009391] * RD COMPARE * port=0 adr=0C act=278F9AFD exp=278F9AFD + 9389.50ns INFO [00009391] * RD COMPARE * port=1 adr=09 act=DF56555C exp=DF56555C + 9389.50ns INFO [00009391] Port=0 WR @17=BAADE0E4 + 9389.50ns INFO [00009391] Port=1 RD @10 + 9390.50ns INFO [00009392] Port=0 RD @0B + 9391.50ns INFO [00009393] * RD COMPARE * port=1 adr=10 act=FDCFCC84 exp=FDCFCC84 + 9392.50ns INFO [00009394] * RD COMPARE * port=0 adr=0B act=A4BA0642 exp=A4BA0642 + 9393.50ns INFO [00009395] Port=0 RD @03 + 9394.50ns INFO [00009396] Port=0 WR @07=7FE4DCF2 + 9395.50ns INFO [00009397] * RD COMPARE * port=0 adr=03 act=F024B2C7 exp=F024B2C7 + 9395.50ns INFO [00009397] Port=0 WR @1D=6078EF3A + 9396.50ns INFO [00009398] Port=0 WR @03=64651A05 + 9396.50ns INFO [00009398] Port=0 RD @1E + 9397.50ns INFO [00009399] Port=0 RD @19 + 9398.00ns INFO [00009400] [00009400] ...tick... + 9398.50ns INFO [00009400] * RD COMPARE * port=0 adr=1E act=DCA3725A exp=DCA3725A + 9399.50ns INFO [00009401] * RD COMPARE * port=0 adr=19 act=B91717A7 exp=B91717A7 + 9399.50ns INFO [00009401] Port=0 WR @17=2447ED79 + 9399.50ns INFO [00009401] Port=0 RD @04 + 9400.50ns INFO [00009402] Port=1 RD @13 + 9401.50ns INFO [00009403] * RD COMPARE * port=0 adr=04 act=E4CEC8E0 exp=E4CEC8E0 + 9401.50ns INFO [00009403] Port=0 RD @1C + 9402.50ns INFO [00009404] * RD COMPARE * port=1 adr=13 act=5AB3B37C exp=5AB3B37C + 9403.50ns INFO [00009405] * RD COMPARE * port=0 adr=1C act=BCBB4B7B exp=BCBB4B7B + 9403.50ns INFO [00009405] Port=0 WR @0B=B5647B74 + 9403.50ns INFO [00009405] Port=0 RD @10 + 9405.50ns INFO [00009407] * RD COMPARE * port=0 adr=10 act=FDCFCC84 exp=FDCFCC84 + 9405.50ns INFO [00009407] Port=0 WR @09=9A9C2828 + 9405.50ns INFO [00009407] Port=0 RD @06 + 9407.50ns INFO [00009409] * RD COMPARE * port=0 adr=06 act=615C7606 exp=615C7606 + 9408.50ns INFO [00009410] Port=1 RD @05 + 9409.50ns INFO [00009411] Port=0 WR @04=58B8DD3B + 9409.50ns INFO [00009411] Port=0 RD @05 + 9410.50ns INFO [00009412] * RD COMPARE * port=1 adr=05 act=889560FB exp=889560FB + 9410.50ns INFO [00009412] Port=0 WR @00=2AE13C4E + 9410.50ns INFO [00009412] Port=0 RD @0D + 9411.50ns INFO [00009413] * RD COMPARE * port=0 adr=05 act=889560FB exp=889560FB + 9411.50ns INFO [00009413] Port=0 RD @0E + 9411.50ns INFO [00009413] Port=1 RD @02 + 9412.50ns INFO [00009414] * RD COMPARE * port=0 adr=0D act=58B8E7C1 exp=58B8E7C1 + 9412.50ns INFO [00009414] Port=0 WR @1E=C4482F5B + 9412.50ns INFO [00009414] Port=0 RD @08 + 9413.50ns INFO [00009415] * RD COMPARE * port=0 adr=0E act=E12D4852 exp=E12D4852 + 9413.50ns INFO [00009415] * RD COMPARE * port=1 adr=02 act=E7228590 exp=E7228590 + 9413.50ns INFO [00009415] Port=0 RD @07 + 9414.50ns INFO [00009416] * RD COMPARE * port=0 adr=08 act=9A58A037 exp=9A58A037 + 9414.50ns INFO [00009416] Port=0 WR @1E=C313BFF0 + 9414.50ns INFO [00009416] Port=0 RD @05 + 9414.50ns INFO [00009416] Port=1 RD @01 + 9415.50ns INFO [00009417] * RD COMPARE * port=0 adr=07 act=7FE4DCF2 exp=7FE4DCF2 + 9415.50ns INFO [00009417] Port=0 WR @01=8C611F53 + 9415.50ns INFO [00009417] Port=0 RD @11 + 9416.50ns INFO [00009418] * RD COMPARE * port=0 adr=05 act=889560FB exp=889560FB + 9416.50ns INFO [00009418] * RD COMPARE * port=1 adr=01 act=553364B7 exp=553364B7 + 9416.50ns INFO [00009418] Port=1 RD @12 + 9417.50ns INFO [00009419] * RD COMPARE * port=0 adr=11 act=9057B934 exp=9057B934 + 9418.50ns INFO [00009420] * RD COMPARE * port=1 adr=12 act=F64231F1 exp=F64231F1 + 9418.50ns INFO [00009420] Port=1 RD @0F + 9419.50ns INFO [00009421] Port=0 WR @19=D9A9303B + 9419.50ns INFO [00009421] Port=1 RD @05 + 9420.50ns INFO [00009422] * RD COMPARE * port=1 adr=0F act=0DCA23AA exp=0DCA23AA + 9420.50ns INFO [00009422] Port=1 RD @0B + 9421.50ns INFO [00009423] * RD COMPARE * port=1 adr=05 act=889560FB exp=889560FB + 9421.50ns INFO [00009423] Port=0 WR @0F=4439FCC4 + 9421.50ns INFO [00009423] Port=0 RD @0D + 9421.50ns INFO [00009423] Port=1 RD @1C + 9422.50ns INFO [00009424] * RD COMPARE * port=1 adr=0B act=B5647B74 exp=B5647B74 + 9422.50ns INFO [00009424] Port=1 RD @01 + 9423.50ns INFO [00009425] * RD COMPARE * port=0 adr=0D act=58B8E7C1 exp=58B8E7C1 + 9423.50ns INFO [00009425] * RD COMPARE * port=1 adr=1C act=BCBB4B7B exp=BCBB4B7B + 9423.50ns INFO [00009425] Port=0 WR @1E=432CBCC1 + 9424.50ns INFO [00009426] * RD COMPARE * port=1 adr=01 act=8C611F53 exp=8C611F53 + 9425.50ns INFO [00009427] Port=0 RD @15 + 9425.50ns INFO [00009427] Port=1 RD @0E + 9426.50ns INFO [00009428] Port=0 WR @12=3702E8D6 + 9426.50ns INFO [00009428] Port=0 RD @1A + 9427.50ns INFO [00009429] * RD COMPARE * port=0 adr=15 act=E26951D7 exp=E26951D7 + 9427.50ns INFO [00009429] * RD COMPARE * port=1 adr=0E act=E12D4852 exp=E12D4852 + 9427.50ns INFO [00009429] Port=0 RD @00 + 9428.50ns INFO [00009430] * RD COMPARE * port=0 adr=1A act=E66D183F exp=E66D183F + 9428.50ns INFO [00009430] Port=0 RD @13 + 9429.50ns INFO [00009431] * RD COMPARE * port=0 adr=00 act=2AE13C4E exp=2AE13C4E + 9429.50ns INFO [00009431] Port=0 WR @13=09781AED + 9430.50ns INFO [00009432] * RD COMPARE * port=0 adr=13 act=5AB3B37C exp=5AB3B37C + 9430.50ns INFO [00009432] Port=0 RD @1B + 9431.50ns INFO [00009433] Port=1 RD @07 + 9432.50ns INFO [00009434] * RD COMPARE * port=0 adr=1B act=D342FABD exp=D342FABD + 9432.50ns INFO [00009434] Port=0 WR @10=25CC3109 + 9432.50ns INFO [00009434] Port=0 RD @1D + 9433.50ns INFO [00009435] * RD COMPARE * port=1 adr=07 act=7FE4DCF2 exp=7FE4DCF2 + 9433.50ns INFO [00009435] Port=1 RD @11 + 9434.50ns INFO [00009436] * RD COMPARE * port=0 adr=1D act=6078EF3A exp=6078EF3A + 9434.50ns INFO [00009436] Port=0 WR @0B=FA3E317B + 9434.50ns INFO [00009436] Port=1 RD @0A + 9435.50ns INFO [00009437] * RD COMPARE * port=1 adr=11 act=9057B934 exp=9057B934 + 9436.50ns INFO [00009438] * RD COMPARE * port=1 adr=0A act=ECDED181 exp=ECDED181 + 9436.50ns INFO [00009438] Port=0 RD @06 + 9436.50ns INFO [00009438] Port=1 RD @14 + 9438.50ns INFO [00009440] * RD COMPARE * port=0 adr=06 act=615C7606 exp=615C7606 + 9438.50ns INFO [00009440] * RD COMPARE * port=1 adr=14 act=8BACD637 exp=8BACD637 + 9438.50ns INFO [00009440] Port=0 WR @0C=A49668BC + 9438.50ns INFO [00009440] Port=0 RD @19 + 9438.50ns INFO [00009440] Port=1 RD @07 + 9439.50ns INFO [00009441] Port=1 RD @11 + 9440.50ns INFO [00009442] * RD COMPARE * port=0 adr=19 act=D9A9303B exp=D9A9303B + 9440.50ns INFO [00009442] * RD COMPARE * port=1 adr=07 act=7FE4DCF2 exp=7FE4DCF2 + 9440.50ns INFO [00009442] Port=0 WR @0A=95B23D5A + 9440.50ns INFO [00009442] Port=0 RD @1F + 9441.50ns INFO [00009443] * RD COMPARE * port=1 adr=11 act=9057B934 exp=9057B934 + 9441.50ns INFO [00009443] Port=0 RD @09 + 9441.50ns INFO [00009443] Port=1 RD @19 + 9442.50ns INFO [00009444] * RD COMPARE * port=0 adr=1F act=09D3D5FF exp=09D3D5FF + 9442.50ns INFO [00009444] Port=0 WR @1D=F5A03C05 + 9442.50ns INFO [00009444] Port=0 RD @02 + 9443.50ns INFO [00009445] * RD COMPARE * port=0 adr=09 act=9A9C2828 exp=9A9C2828 + 9443.50ns INFO [00009445] * RD COMPARE * port=1 adr=19 act=D9A9303B exp=D9A9303B + 9443.50ns INFO [00009445] Port=0 WR @01=902AA7C7 + 9443.50ns INFO [00009445] Port=1 RD @0D + 9444.50ns INFO [00009446] * RD COMPARE * port=0 adr=02 act=E7228590 exp=E7228590 + 9444.50ns INFO [00009446] Port=0 RD @07 + 9445.50ns INFO [00009447] * RD COMPARE * port=1 adr=0D act=58B8E7C1 exp=58B8E7C1 + 9445.50ns INFO [00009447] Port=0 WR @18=7DD6FC0F + 9445.50ns INFO [00009447] Port=0 RD @17 + 9446.50ns INFO [00009448] * RD COMPARE * port=0 adr=07 act=7FE4DCF2 exp=7FE4DCF2 + 9446.50ns INFO [00009448] Port=0 RD @16 + 9447.50ns INFO [00009449] * RD COMPARE * port=0 adr=17 act=2447ED79 exp=2447ED79 + 9447.50ns INFO [00009449] Port=0 RD @13 + 9447.50ns INFO [00009449] Port=1 RD @1E + 9448.50ns INFO [00009450] * RD COMPARE * port=0 adr=16 act=4CC93602 exp=4CC93602 + 9448.50ns INFO [00009450] Port=0 WR @0A=927CD999 + 9448.50ns INFO [00009450] Port=0 RD @09 + 9448.50ns INFO [00009450] Port=1 RD @14 + 9449.50ns INFO [00009451] * RD COMPARE * port=0 adr=13 act=09781AED exp=09781AED + 9449.50ns INFO [00009451] * RD COMPARE * port=1 adr=1E act=432CBCC1 exp=432CBCC1 + 9449.50ns INFO [00009451] Port=0 WR @02=596FA18A + 9449.50ns INFO [00009451] Port=0 RD @0F + 9450.50ns INFO [00009452] * RD COMPARE * port=0 adr=09 act=9A9C2828 exp=9A9C2828 + 9450.50ns INFO [00009452] * RD COMPARE * port=1 adr=14 act=8BACD637 exp=8BACD637 + 9450.50ns INFO [00009452] Port=0 RD @1D + 9450.50ns INFO [00009452] Port=1 RD @12 + 9451.50ns INFO [00009453] * RD COMPARE * port=0 adr=0F act=4439FCC4 exp=4439FCC4 + 9451.50ns INFO [00009453] Port=0 RD @0C + 9451.50ns INFO [00009453] Port=1 RD @0F + 9452.50ns INFO [00009454] * RD COMPARE * port=0 adr=1D act=F5A03C05 exp=F5A03C05 + 9452.50ns INFO [00009454] * RD COMPARE * port=1 adr=12 act=3702E8D6 exp=3702E8D6 + 9452.50ns INFO [00009454] Port=1 RD @0E + 9453.50ns INFO [00009455] * RD COMPARE * port=0 adr=0C act=A49668BC exp=A49668BC + 9453.50ns INFO [00009455] * RD COMPARE * port=1 adr=0F act=4439FCC4 exp=4439FCC4 + 9453.50ns INFO [00009455] Port=0 WR @0B=95B31176 + 9453.50ns INFO [00009455] Port=1 RD @10 + 9454.50ns INFO [00009456] * RD COMPARE * port=1 adr=0E act=E12D4852 exp=E12D4852 + 9455.50ns INFO [00009457] * RD COMPARE * port=1 adr=10 act=25CC3109 exp=25CC3109 + 9455.50ns INFO [00009457] Port=0 WR @00=13BE09B9 + 9455.50ns INFO [00009457] Port=0 RD @03 + 9456.50ns INFO [00009458] Port=0 WR @00=F7D39A52 + 9457.50ns INFO [00009459] * RD COMPARE * port=0 adr=03 act=64651A05 exp=64651A05 + 9457.50ns INFO [00009459] Port=0 RD @06 + 9458.50ns INFO [00009460] Port=0 WR @12=7D18EDC2 + 9459.50ns INFO [00009461] * RD COMPARE * port=0 adr=06 act=615C7606 exp=615C7606 + 9459.50ns INFO [00009461] Port=0 RD @1E + 9460.50ns INFO [00009462] Port=0 WR @05=4EBB2091 + 9460.50ns INFO [00009462] Port=1 RD @19 + 9461.50ns INFO [00009463] * RD COMPARE * port=0 adr=1E act=432CBCC1 exp=432CBCC1 + 9461.50ns INFO [00009463] Port=0 RD @05 + 9462.50ns INFO [00009464] * RD COMPARE * port=1 adr=19 act=D9A9303B exp=D9A9303B + 9462.50ns INFO [00009464] Port=0 WR @06=707C48F1 + 9462.50ns INFO [00009464] Port=0 RD @16 + 9463.50ns INFO [00009465] * RD COMPARE * port=0 adr=05 act=4EBB2091 exp=4EBB2091 + 9464.50ns INFO [00009466] * RD COMPARE * port=0 adr=16 act=4CC93602 exp=4CC93602 + 9464.50ns INFO [00009466] Port=0 RD @0C + 9466.50ns INFO [00009468] * RD COMPARE * port=0 adr=0C act=A49668BC exp=A49668BC + 9466.50ns INFO [00009468] Port=0 WR @1E=53B47AEC + 9467.50ns INFO [00009469] Port=0 WR @0E=EA1E7D6F + 9467.50ns INFO [00009469] Port=0 RD @16 + 9468.50ns INFO [00009470] Port=0 WR @13=3CBA4F57 + 9469.50ns INFO [00009471] * RD COMPARE * port=0 adr=16 act=4CC93602 exp=4CC93602 + 9469.50ns INFO [00009471] Port=0 WR @1E=9C2310E4 + 9470.50ns INFO [00009472] Port=0 WR @1D=82580009 + 9470.50ns INFO [00009472] Port=1 RD @12 + 9471.50ns INFO [00009473] Port=1 RD @1C + 9472.50ns INFO [00009474] * RD COMPARE * port=1 adr=12 act=7D18EDC2 exp=7D18EDC2 + 9472.50ns INFO [00009474] Port=1 RD @1F + 9473.50ns INFO [00009475] * RD COMPARE * port=1 adr=1C act=BCBB4B7B exp=BCBB4B7B + 9473.50ns INFO [00009475] Port=0 WR @1D=723BE7EC + 9473.50ns INFO [00009475] Port=0 RD @0E + 9473.50ns INFO [00009475] Port=1 RD @1A + 9474.50ns INFO [00009476] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF + 9474.50ns INFO [00009476] Port=0 RD @06 + 9475.50ns INFO [00009477] * RD COMPARE * port=0 adr=0E act=EA1E7D6F exp=EA1E7D6F + 9475.50ns INFO [00009477] * RD COMPARE * port=1 adr=1A act=E66D183F exp=E66D183F + 9475.50ns INFO [00009477] Port=0 RD @00 + 9476.50ns INFO [00009478] * RD COMPARE * port=0 adr=06 act=707C48F1 exp=707C48F1 + 9477.50ns INFO [00009479] * RD COMPARE * port=0 adr=00 act=F7D39A52 exp=F7D39A52 + 9477.50ns INFO [00009479] Port=0 WR @16=62CB16D2 + 9478.50ns INFO [00009480] Port=0 WR @1F=A8F5830C + 9478.50ns INFO [00009480] Port=0 RD @0A + 9478.50ns INFO [00009480] Port=1 RD @16 + 9479.50ns INFO [00009481] Port=0 WR @07=654FB93A + 9479.50ns INFO [00009481] Port=0 RD @08 + 9480.50ns INFO [00009482] * RD COMPARE * port=0 adr=0A act=927CD999 exp=927CD999 + 9480.50ns INFO [00009482] * RD COMPARE * port=1 adr=16 act=62CB16D2 exp=62CB16D2 + 9480.50ns INFO [00009482] Port=0 RD @0B + 9480.50ns INFO [00009482] Port=1 RD @09 + 9481.50ns INFO [00009483] * RD COMPARE * port=0 adr=08 act=9A58A037 exp=9A58A037 + 9481.50ns INFO [00009483] Port=0 RD @09 + 9481.50ns INFO [00009483] Port=1 RD @09 + 9482.50ns INFO [00009484] * RD COMPARE * port=0 adr=0B act=95B31176 exp=95B31176 + 9482.50ns INFO [00009484] * RD COMPARE * port=1 adr=09 act=9A9C2828 exp=9A9C2828 + 9482.50ns INFO [00009484] Port=0 WR @03=C385A873 + 9483.50ns INFO [00009485] * RD COMPARE * port=0 adr=09 act=9A9C2828 exp=9A9C2828 + 9483.50ns INFO [00009485] * RD COMPARE * port=1 adr=09 act=9A9C2828 exp=9A9C2828 + 9483.50ns INFO [00009485] Port=0 WR @09=B1472AC9 + 9483.50ns INFO [00009485] Port=0 RD @1E + 9484.50ns INFO [00009486] Port=0 RD @1E + 9485.50ns INFO [00009487] * RD COMPARE * port=0 adr=1E act=9C2310E4 exp=9C2310E4 + 9485.50ns INFO [00009487] Port=0 WR @16=01316E6C + 9486.50ns INFO [00009488] * RD COMPARE * port=0 adr=1E act=9C2310E4 exp=9C2310E4 + 9486.50ns INFO [00009488] Port=1 RD @1B + 9487.50ns INFO [00009489] Port=0 RD @01 + 9488.50ns INFO [00009490] * RD COMPARE * port=1 adr=1B act=D342FABD exp=D342FABD + 9488.50ns INFO [00009490] Port=0 WR @17=1B61ABBC + 9489.50ns INFO [00009491] * RD COMPARE * port=0 adr=01 act=902AA7C7 exp=902AA7C7 + 9490.50ns INFO [00009492] Port=1 RD @03 + 9492.50ns INFO [00009494] * RD COMPARE * port=1 adr=03 act=C385A873 exp=C385A873 + 9493.50ns INFO [00009495] Port=0 RD @1E + 9494.50ns INFO [00009496] Port=0 WR @1C=C7FDE6B5 + 9494.50ns INFO [00009496] Port=0 RD @04 + 9495.50ns INFO [00009497] * RD COMPARE * port=0 adr=1E act=9C2310E4 exp=9C2310E4 + 9495.50ns INFO [00009497] Port=0 WR @1D=9B220408 + 9495.50ns INFO [00009497] Port=0 RD @0C + 9496.50ns INFO [00009498] * RD COMPARE * port=0 adr=04 act=58B8DD3B exp=58B8DD3B + 9497.50ns INFO [00009499] * RD COMPARE * port=0 adr=0C act=A49668BC exp=A49668BC + 9497.50ns INFO [00009499] Port=1 RD @19 + 9498.00ns INFO [00009500] [00009500] ...tick... + 9498.50ns INFO [00009500] Port=1 RD @0A + 9499.50ns INFO [00009501] * RD COMPARE * port=1 adr=19 act=D9A9303B exp=D9A9303B + 9499.50ns INFO [00009501] Port=0 RD @1B + 9499.50ns INFO [00009501] Port=1 RD @00 + 9500.50ns INFO [00009502] * RD COMPARE * port=1 adr=0A act=927CD999 exp=927CD999 + 9500.50ns INFO [00009502] Port=1 RD @0C + 9501.50ns INFO [00009503] * RD COMPARE * port=0 adr=1B act=D342FABD exp=D342FABD + 9501.50ns INFO [00009503] * RD COMPARE * port=1 adr=00 act=F7D39A52 exp=F7D39A52 + 9501.50ns INFO [00009503] Port=0 WR @1C=54824A46 + 9502.50ns INFO [00009504] * RD COMPARE * port=1 adr=0C act=A49668BC exp=A49668BC + 9502.50ns INFO [00009504] Port=0 WR @05=63E81FC7 + 9502.50ns INFO [00009504] Port=0 RD @02 + 9502.50ns INFO [00009504] Port=1 RD @13 + 9503.50ns INFO [00009505] Port=0 RD @05 + 9504.50ns INFO [00009506] * RD COMPARE * port=0 adr=02 act=596FA18A exp=596FA18A + 9504.50ns INFO [00009506] * RD COMPARE * port=1 adr=13 act=3CBA4F57 exp=3CBA4F57 + 9504.50ns INFO [00009506] Port=0 WR @18=CA75CAD0 + 9505.50ns INFO [00009507] * RD COMPARE * port=0 adr=05 act=63E81FC7 exp=63E81FC7 + 9507.50ns INFO [00009509] Port=0 WR @11=707E8650 + 9507.50ns INFO [00009509] Port=0 RD @07 + 9507.50ns INFO [00009509] Port=1 RD @1F + 9508.50ns INFO [00009510] Port=0 WR @1B=5CCC8066 + 9509.50ns INFO [00009511] * RD COMPARE * port=0 adr=07 act=654FB93A exp=654FB93A + 9509.50ns INFO [00009511] * RD COMPARE * port=1 adr=1F act=A8F5830C exp=A8F5830C + 9509.50ns INFO [00009511] Port=0 WR @03=2648F392 + 9509.50ns INFO [00009511] Port=1 RD @08 + 9510.50ns INFO [00009512] Port=0 WR @16=1B57C393 + 9511.50ns INFO [00009513] * RD COMPARE * port=1 adr=08 act=9A58A037 exp=9A58A037 + 9511.50ns INFO [00009513] Port=0 WR @06=7505A563 + 9511.50ns INFO [00009513] Port=1 RD @1E + 9512.50ns INFO [00009514] Port=0 WR @1B=79EC5CD5 + 9513.50ns INFO [00009515] * RD COMPARE * port=1 adr=1E act=9C2310E4 exp=9C2310E4 + 9513.50ns INFO [00009515] Port=0 WR @1A=CC95F52E + 9513.50ns INFO [00009515] Port=1 RD @0C + 9514.50ns INFO [00009516] Port=0 WR @16=E3850577 + 9514.50ns INFO [00009516] Port=1 RD @1C + 9515.50ns INFO [00009517] * RD COMPARE * port=1 adr=0C act=A49668BC exp=A49668BC + 9515.50ns INFO [00009517] Port=1 RD @0C + 9516.50ns INFO [00009518] * RD COMPARE * port=1 adr=1C act=54824A46 exp=54824A46 + 9516.50ns INFO [00009518] Port=0 RD @07 + 9516.50ns INFO [00009518] Port=1 RD @16 + 9517.50ns INFO [00009519] * RD COMPARE * port=1 adr=0C act=A49668BC exp=A49668BC + 9517.50ns INFO [00009519] Port=0 RD @06 + 9518.50ns INFO [00009520] * RD COMPARE * port=0 adr=07 act=654FB93A exp=654FB93A + 9518.50ns INFO [00009520] * RD COMPARE * port=1 adr=16 act=E3850577 exp=E3850577 + 9518.50ns INFO [00009520] Port=1 RD @18 + 9519.50ns INFO [00009521] * RD COMPARE * port=0 adr=06 act=7505A563 exp=7505A563 + 9519.50ns INFO [00009521] Port=0 RD @10 + 9519.50ns INFO [00009521] Port=1 RD @1A + 9520.50ns INFO [00009522] * RD COMPARE * port=1 adr=18 act=CA75CAD0 exp=CA75CAD0 + 9520.50ns INFO [00009522] Port=1 RD @11 + 9521.50ns INFO [00009523] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109 + 9521.50ns INFO [00009523] * RD COMPARE * port=1 adr=1A act=CC95F52E exp=CC95F52E + 9521.50ns INFO [00009523] Port=1 RD @01 + 9522.50ns INFO [00009524] * RD COMPARE * port=1 adr=11 act=707E8650 exp=707E8650 + 9522.50ns INFO [00009524] Port=0 WR @1A=CDF76A07 + 9523.50ns INFO [00009525] * RD COMPARE * port=1 adr=01 act=902AA7C7 exp=902AA7C7 + 9524.50ns INFO [00009526] Port=0 WR @05=48CAAB7E + 9524.50ns INFO [00009526] Port=1 RD @13 + 9525.50ns INFO [00009527] Port=0 WR @01=DED8A495 + 9525.50ns INFO [00009527] Port=0 RD @19 + 9525.50ns INFO [00009527] Port=1 RD @1E + 9526.50ns INFO [00009528] * RD COMPARE * port=1 adr=13 act=3CBA4F57 exp=3CBA4F57 + 9527.50ns INFO [00009529] * RD COMPARE * port=0 adr=19 act=D9A9303B exp=D9A9303B + 9527.50ns INFO [00009529] * RD COMPARE * port=1 adr=1E act=9C2310E4 exp=9C2310E4 + 9527.50ns INFO [00009529] Port=0 WR @0D=5D086183 + 9527.50ns INFO [00009529] Port=0 RD @02 + 9527.50ns INFO [00009529] Port=1 RD @1E + 9528.50ns INFO [00009530] Port=1 RD @00 + 9529.50ns INFO [00009531] * RD COMPARE * port=0 adr=02 act=596FA18A exp=596FA18A + 9529.50ns INFO [00009531] * RD COMPARE * port=1 adr=1E act=9C2310E4 exp=9C2310E4 + 9529.50ns INFO [00009531] Port=0 WR @11=5AEB664A + 9530.50ns INFO [00009532] * RD COMPARE * port=1 adr=00 act=F7D39A52 exp=F7D39A52 + 9530.50ns INFO [00009532] Port=0 RD @0C + 9530.50ns INFO [00009532] Port=1 RD @04 + 9531.50ns INFO [00009533] Port=0 WR @0F=D990AF94 + 9532.50ns INFO [00009534] * RD COMPARE * port=0 adr=0C act=A49668BC exp=A49668BC + 9532.50ns INFO [00009534] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B + 9532.50ns INFO [00009534] Port=1 RD @1B + 9534.50ns INFO [00009536] * RD COMPARE * port=1 adr=1B act=79EC5CD5 exp=79EC5CD5 + 9535.50ns INFO [00009537] Port=0 WR @14=E2AC5B84 + 9536.50ns INFO [00009538] Port=0 WR @02=3B59A323 + 9536.50ns INFO [00009538] Port=1 RD @11 + 9538.50ns INFO [00009540] * RD COMPARE * port=1 adr=11 act=5AEB664A exp=5AEB664A + 9541.50ns INFO [00009543] Port=0 RD @10 + 9541.50ns INFO [00009543] Port=1 RD @1F + 9542.50ns INFO [00009544] Port=0 WR @01=B2D66C45 + 9542.50ns INFO [00009544] Port=1 RD @0A + 9543.50ns INFO [00009545] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109 + 9543.50ns INFO [00009545] * RD COMPARE * port=1 adr=1F act=A8F5830C exp=A8F5830C + 9543.50ns INFO [00009545] Port=0 RD @08 + 9543.50ns INFO [00009545] Port=1 RD @0C + 9544.50ns INFO [00009546] * RD COMPARE * port=1 adr=0A act=927CD999 exp=927CD999 + 9544.50ns INFO [00009546] Port=0 WR @18=27BF83F9 + 9545.50ns INFO [00009547] * RD COMPARE * port=0 adr=08 act=9A58A037 exp=9A58A037 + 9545.50ns INFO [00009547] * RD COMPARE * port=1 adr=0C act=A49668BC exp=A49668BC + 9545.50ns INFO [00009547] Port=0 RD @13 + 9545.50ns INFO [00009547] Port=1 RD @1B + 9546.50ns INFO [00009548] Port=1 RD @07 + 9547.50ns INFO [00009549] * RD COMPARE * port=0 adr=13 act=3CBA4F57 exp=3CBA4F57 + 9547.50ns INFO [00009549] * RD COMPARE * port=1 adr=1B act=79EC5CD5 exp=79EC5CD5 + 9547.50ns INFO [00009549] Port=0 RD @10 + 9548.50ns INFO [00009550] * RD COMPARE * port=1 adr=07 act=654FB93A exp=654FB93A + 9549.50ns INFO [00009551] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109 + 9549.50ns INFO [00009551] Port=0 RD @13 + 9550.50ns INFO [00009552] Port=1 RD @1A + 9551.50ns INFO [00009553] * RD COMPARE * port=0 adr=13 act=3CBA4F57 exp=3CBA4F57 + 9551.50ns INFO [00009553] Port=0 WR @14=809A5AF3 + 9551.50ns INFO [00009553] Port=0 RD @18 + 9552.50ns INFO [00009554] * RD COMPARE * port=1 adr=1A act=CDF76A07 exp=CDF76A07 + 9553.50ns INFO [00009555] * RD COMPARE * port=0 adr=18 act=27BF83F9 exp=27BF83F9 + 9553.50ns INFO [00009555] Port=0 RD @01 + 9555.50ns INFO [00009557] * RD COMPARE * port=0 adr=01 act=B2D66C45 exp=B2D66C45 + 9555.50ns INFO [00009557] Port=0 RD @1D + 9556.50ns INFO [00009558] Port=0 WR @0A=FEEDD490 + 9557.50ns INFO [00009559] * RD COMPARE * port=0 adr=1D act=9B220408 exp=9B220408 + 9557.50ns INFO [00009559] Port=0 RD @11 + 9558.50ns INFO [00009560] Port=0 WR @00=22EE26D2 + 9558.50ns INFO [00009560] Port=1 RD @0A + 9559.50ns INFO [00009561] * RD COMPARE * port=0 adr=11 act=5AEB664A exp=5AEB664A + 9559.50ns INFO [00009561] Port=0 WR @07=31B1755C + 9560.50ns INFO [00009562] * RD COMPARE * port=1 adr=0A act=FEEDD490 exp=FEEDD490 + 9560.50ns INFO [00009562] Port=0 WR @1F=B86E5C09 + 9560.50ns INFO [00009562] Port=0 RD @07 + 9560.50ns INFO [00009562] Port=1 RD @0A + 9562.50ns INFO [00009564] * RD COMPARE * port=0 adr=07 act=31B1755C exp=31B1755C + 9562.50ns INFO [00009564] * RD COMPARE * port=1 adr=0A act=FEEDD490 exp=FEEDD490 + 9562.50ns INFO [00009564] Port=0 RD @1D + 9563.50ns INFO [00009565] Port=0 RD @05 + 9564.50ns INFO [00009566] * RD COMPARE * port=0 adr=1D act=9B220408 exp=9B220408 + 9564.50ns INFO [00009566] Port=1 RD @16 + 9565.50ns INFO [00009567] * RD COMPARE * port=0 adr=05 act=48CAAB7E exp=48CAAB7E + 9566.50ns INFO [00009568] * RD COMPARE * port=1 adr=16 act=E3850577 exp=E3850577 + 9566.50ns INFO [00009568] Port=0 RD @0E + 9567.50ns INFO [00009569] Port=0 WR @07=5FF785A7 + 9567.50ns INFO [00009569] Port=0 RD @1F + 9568.50ns INFO [00009570] * RD COMPARE * port=0 adr=0E act=EA1E7D6F exp=EA1E7D6F + 9568.50ns INFO [00009570] Port=0 WR @0B=CAF7A785 + 9568.50ns INFO [00009570] Port=1 RD @0E + 9569.50ns INFO [00009571] * RD COMPARE * port=0 adr=1F act=B86E5C09 exp=B86E5C09 + 9569.50ns INFO [00009571] Port=0 WR @0B=918A397D + 9569.50ns INFO [00009571] Port=1 RD @17 + 9570.50ns INFO [00009572] * RD COMPARE * port=1 adr=0E act=EA1E7D6F exp=EA1E7D6F + 9570.50ns INFO [00009572] Port=0 WR @1A=7E0C365E + 9570.50ns INFO [00009572] Port=0 RD @19 + 9570.50ns INFO [00009572] Port=1 RD @12 + 9571.50ns INFO [00009573] * RD COMPARE * port=1 adr=17 act=1B61ABBC exp=1B61ABBC + 9571.50ns INFO [00009573] Port=0 WR @0C=21A93D84 + 9572.50ns INFO [00009574] * RD COMPARE * port=0 adr=19 act=D9A9303B exp=D9A9303B + 9572.50ns INFO [00009574] * RD COMPARE * port=1 adr=12 act=7D18EDC2 exp=7D18EDC2 + 9573.50ns INFO [00009575] Port=0 RD @00 + 9575.50ns INFO [00009577] * RD COMPARE * port=0 adr=00 act=22EE26D2 exp=22EE26D2 + 9575.50ns INFO [00009577] Port=0 WR @13=AE312F55 + 9576.50ns INFO [00009578] Port=0 WR @19=7B590017 + 9576.50ns INFO [00009578] Port=0 RD @1A + 9576.50ns INFO [00009578] Port=1 RD @11 + 9577.50ns INFO [00009579] Port=0 WR @14=752249A4 + 9578.50ns INFO [00009580] * RD COMPARE * port=0 adr=1A act=7E0C365E exp=7E0C365E + 9578.50ns INFO [00009580] * RD COMPARE * port=1 adr=11 act=5AEB664A exp=5AEB664A + 9578.50ns INFO [00009580] Port=0 WR @0D=3D741650 + 9580.50ns INFO [00009582] Port=1 RD @00 + 9581.50ns INFO [00009583] Port=0 RD @1E + 9581.50ns INFO [00009583] Port=1 RD @09 + 9582.50ns INFO [00009584] * RD COMPARE * port=1 adr=00 act=22EE26D2 exp=22EE26D2 + 9583.50ns INFO [00009585] * RD COMPARE * port=0 adr=1E act=9C2310E4 exp=9C2310E4 + 9583.50ns INFO [00009585] * RD COMPARE * port=1 adr=09 act=B1472AC9 exp=B1472AC9 + 9583.50ns INFO [00009585] Port=0 RD @1B + 9585.50ns INFO [00009587] * RD COMPARE * port=0 adr=1B act=79EC5CD5 exp=79EC5CD5 + 9586.50ns INFO [00009588] Port=1 RD @09 + 9587.50ns INFO [00009589] Port=0 RD @10 + 9588.50ns INFO [00009590] * RD COMPARE * port=1 adr=09 act=B1472AC9 exp=B1472AC9 + 9588.50ns INFO [00009590] Port=0 RD @0F + 9588.50ns INFO [00009590] Port=1 RD @19 + 9589.50ns INFO [00009591] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109 + 9590.50ns INFO [00009592] * RD COMPARE * port=0 adr=0F act=D990AF94 exp=D990AF94 + 9590.50ns INFO [00009592] * RD COMPARE * port=1 adr=19 act=7B590017 exp=7B590017 + 9590.50ns INFO [00009592] Port=0 RD @18 + 9591.50ns INFO [00009593] Port=0 WR @13=469EC460 + 9591.50ns INFO [00009593] Port=1 RD @04 + 9592.50ns INFO [00009594] * RD COMPARE * port=0 adr=18 act=27BF83F9 exp=27BF83F9 + 9592.50ns INFO [00009594] Port=0 WR @1F=9F4A3E7D + 9592.50ns INFO [00009594] Port=0 RD @0A + 9593.50ns INFO [00009595] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B + 9593.50ns INFO [00009595] Port=0 RD @08 + 9594.50ns INFO [00009596] * RD COMPARE * port=0 adr=0A act=FEEDD490 exp=FEEDD490 + 9594.50ns INFO [00009596] Port=1 RD @14 + 9595.50ns INFO [00009597] * RD COMPARE * port=0 adr=08 act=9A58A037 exp=9A58A037 + 9595.50ns INFO [00009597] Port=1 RD @0F + 9596.50ns INFO [00009598] * RD COMPARE * port=1 adr=14 act=752249A4 exp=752249A4 + 9597.50ns INFO [00009599] * RD COMPARE * port=1 adr=0F act=D990AF94 exp=D990AF94 + 9597.50ns INFO [00009599] Port=0 RD @0E + 9598.00ns INFO [00009600] [00009600] ...tick... + 9598.50ns INFO [00009600] Port=0 WR @06=4F778C00 + 9598.50ns INFO [00009600] Port=1 RD @03 + 9599.50ns INFO [00009601] * RD COMPARE * port=0 adr=0E act=EA1E7D6F exp=EA1E7D6F + 9599.50ns INFO [00009601] Port=1 RD @0D + 9600.50ns INFO [00009602] * RD COMPARE * port=1 adr=03 act=2648F392 exp=2648F392 + 9601.50ns INFO [00009603] * RD COMPARE * port=1 adr=0D act=3D741650 exp=3D741650 + 9601.50ns INFO [00009603] Port=0 RD @00 + 9602.50ns INFO [00009604] Port=0 RD @1A + 9602.50ns INFO [00009604] Port=1 RD @0E + 9603.50ns INFO [00009605] * RD COMPARE * port=0 adr=00 act=22EE26D2 exp=22EE26D2 + 9604.50ns INFO [00009606] * RD COMPARE * port=0 adr=1A act=7E0C365E exp=7E0C365E + 9604.50ns INFO [00009606] * RD COMPARE * port=1 adr=0E act=EA1E7D6F exp=EA1E7D6F + 9604.50ns INFO [00009606] Port=0 RD @02 + 9604.50ns INFO [00009606] Port=1 RD @06 + 9605.50ns INFO [00009607] Port=0 WR @03=0BD8D722 + 9605.50ns INFO [00009607] Port=0 RD @01 + 9605.50ns INFO [00009607] Port=1 RD @0B + 9606.50ns INFO [00009608] * RD COMPARE * port=0 adr=02 act=3B59A323 exp=3B59A323 + 9606.50ns INFO [00009608] * RD COMPARE * port=1 adr=06 act=4F778C00 exp=4F778C00 + 9606.50ns INFO [00009608] Port=1 RD @05 + 9607.50ns INFO [00009609] * RD COMPARE * port=0 adr=01 act=B2D66C45 exp=B2D66C45 + 9607.50ns INFO [00009609] * RD COMPARE * port=1 adr=0B act=918A397D exp=918A397D + 9607.50ns INFO [00009609] Port=0 WR @1B=A4681146 + 9608.50ns INFO [00009610] * RD COMPARE * port=1 adr=05 act=48CAAB7E exp=48CAAB7E + 9609.50ns INFO [00009611] Port=1 RD @1E + 9610.50ns INFO [00009612] Port=0 WR @07=5F736826 + 9611.50ns INFO [00009613] * RD COMPARE * port=1 adr=1E act=9C2310E4 exp=9C2310E4 + 9611.50ns INFO [00009613] Port=0 WR @0B=34C5569B + 9612.50ns INFO [00009614] Port=0 RD @10 + 9614.50ns INFO [00009616] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109 + 9614.50ns INFO [00009616] Port=0 WR @1B=0A0232CD + 9617.50ns INFO [00009619] Port=0 WR @1E=1EE131C4 + 9617.50ns INFO [00009619] Port=1 RD @1B + 9618.50ns INFO [00009620] Port=0 WR @15=912691A2 + 9618.50ns INFO [00009620] Port=1 RD @10 + 9619.50ns INFO [00009621] * RD COMPARE * port=1 adr=1B act=0A0232CD exp=0A0232CD + 9620.50ns INFO [00009622] * RD COMPARE * port=1 adr=10 act=25CC3109 exp=25CC3109 + 9620.50ns INFO [00009622] Port=0 RD @1E + 9621.50ns INFO [00009623] Port=0 WR @06=6BC19E46 + 9622.50ns INFO [00009624] * RD COMPARE * port=0 adr=1E act=1EE131C4 exp=1EE131C4 + 9622.50ns INFO [00009624] Port=0 RD @1D + 9624.50ns INFO [00009626] * RD COMPARE * port=0 adr=1D act=9B220408 exp=9B220408 + 9624.50ns INFO [00009626] Port=0 WR @0C=B9A010DB + 9625.50ns INFO [00009627] Port=0 WR @06=14789423 + 9625.50ns INFO [00009627] Port=1 RD @10 + 9626.50ns INFO [00009628] Port=0 WR @01=80B62E41 + 9626.50ns INFO [00009628] Port=1 RD @11 + 9627.50ns INFO [00009629] * RD COMPARE * port=1 adr=10 act=25CC3109 exp=25CC3109 + 9627.50ns INFO [00009629] Port=0 RD @10 + 9628.50ns INFO [00009630] * RD COMPARE * port=1 adr=11 act=5AEB664A exp=5AEB664A + 9628.50ns INFO [00009630] Port=0 RD @01 + 9629.50ns INFO [00009631] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109 + 9630.50ns INFO [00009632] * RD COMPARE * port=0 adr=01 act=80B62E41 exp=80B62E41 + 9630.50ns INFO [00009632] Port=1 RD @06 + 9631.50ns INFO [00009633] Port=1 RD @07 + 9632.50ns INFO [00009634] * RD COMPARE * port=1 adr=06 act=14789423 exp=14789423 + 9632.50ns INFO [00009634] Port=0 WR @1E=EF182096 + 9633.50ns INFO [00009635] * RD COMPARE * port=1 adr=07 act=5F736826 exp=5F736826 + 9633.50ns INFO [00009635] Port=0 RD @1E + 9635.50ns INFO [00009637] * RD COMPARE * port=0 adr=1E act=EF182096 exp=EF182096 + 9635.50ns INFO [00009637] Port=0 WR @00=13CDD49A + 9636.50ns INFO [00009638] Port=0 WR @0D=64147293 + 9638.50ns INFO [00009640] Port=0 RD @10 + 9638.50ns INFO [00009640] Port=1 RD @06 + 9639.50ns INFO [00009641] Port=1 RD @06 + 9640.50ns INFO [00009642] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109 + 9640.50ns INFO [00009642] * RD COMPARE * port=1 adr=06 act=14789423 exp=14789423 + 9641.50ns INFO [00009643] * RD COMPARE * port=1 adr=06 act=14789423 exp=14789423 + 9641.50ns INFO [00009643] Port=1 RD @0D + 9642.50ns INFO [00009644] Port=0 RD @16 + 9642.50ns INFO [00009644] Port=1 RD @05 + 9643.50ns INFO [00009645] * RD COMPARE * port=1 adr=0D act=64147293 exp=64147293 + 9644.50ns INFO [00009646] * RD COMPARE * port=0 adr=16 act=E3850577 exp=E3850577 + 9644.50ns INFO [00009646] * RD COMPARE * port=1 adr=05 act=48CAAB7E exp=48CAAB7E + 9644.50ns INFO [00009646] Port=0 WR @01=D903727E + 9644.50ns INFO [00009646] Port=1 RD @1C + 9645.50ns INFO [00009647] Port=0 WR @03=FB818768 + 9646.50ns INFO [00009648] * RD COMPARE * port=1 adr=1C act=54824A46 exp=54824A46 + 9646.50ns INFO [00009648] Port=1 RD @12 + 9647.50ns INFO [00009649] Port=0 WR @10=436810A9 + 9648.50ns INFO [00009650] * RD COMPARE * port=1 adr=12 act=7D18EDC2 exp=7D18EDC2 + 9648.50ns INFO [00009650] Port=1 RD @10 + 9649.50ns INFO [00009651] Port=0 WR @17=DFACFB77 + 9649.50ns INFO [00009651] Port=1 RD @1A + 9650.50ns INFO [00009652] * RD COMPARE * port=1 adr=10 act=436810A9 exp=436810A9 + 9650.50ns INFO [00009652] Port=0 WR @08=719AAB57 + 9651.50ns INFO [00009653] * RD COMPARE * port=1 adr=1A act=7E0C365E exp=7E0C365E + 9651.50ns INFO [00009653] Port=0 RD @10 + 9653.50ns INFO [00009655] * RD COMPARE * port=0 adr=10 act=436810A9 exp=436810A9 + 9653.50ns INFO [00009655] Port=0 RD @10 + 9654.50ns INFO [00009656] Port=1 RD @04 + 9655.50ns INFO [00009657] * RD COMPARE * port=0 adr=10 act=436810A9 exp=436810A9 + 9655.50ns INFO [00009657] Port=0 WR @06=B6C92D57 + 9656.50ns INFO [00009658] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B + 9656.50ns INFO [00009658] Port=1 RD @01 + 9657.50ns INFO [00009659] Port=0 RD @00 + 9657.50ns INFO [00009659] Port=1 RD @0E + 9658.50ns INFO [00009660] * RD COMPARE * port=1 adr=01 act=D903727E exp=D903727E + 9658.50ns INFO [00009660] Port=1 RD @04 + 9659.50ns INFO [00009661] * RD COMPARE * port=0 adr=00 act=13CDD49A exp=13CDD49A + 9659.50ns INFO [00009661] * RD COMPARE * port=1 adr=0E act=EA1E7D6F exp=EA1E7D6F + 9659.50ns INFO [00009661] Port=0 RD @14 + 9659.50ns INFO [00009661] Port=1 RD @0E + 9660.50ns INFO [00009662] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B + 9660.50ns INFO [00009662] Port=0 WR @19=9B9EED42 + 9661.50ns INFO [00009663] * RD COMPARE * port=0 adr=14 act=752249A4 exp=752249A4 + 9661.50ns INFO [00009663] * RD COMPARE * port=1 adr=0E act=EA1E7D6F exp=EA1E7D6F + 9662.50ns INFO [00009664] Port=0 RD @1A + 9663.50ns INFO [00009665] Port=0 WR @06=D2184B4E + 9663.50ns INFO [00009665] Port=0 RD @00 + 9663.50ns INFO [00009665] Port=1 RD @0D + 9664.50ns INFO [00009666] * RD COMPARE * port=0 adr=1A act=7E0C365E exp=7E0C365E + 9664.50ns INFO [00009666] Port=0 RD @12 + 9665.50ns INFO [00009667] * RD COMPARE * port=0 adr=00 act=13CDD49A exp=13CDD49A + 9665.50ns INFO [00009667] * RD COMPARE * port=1 adr=0D act=64147293 exp=64147293 + 9666.50ns INFO [00009668] * RD COMPARE * port=0 adr=12 act=7D18EDC2 exp=7D18EDC2 + 9668.50ns INFO [00009670] Port=0 WR @05=9DCCC4FD + 9670.50ns INFO [00009672] Port=1 RD @1E + 9671.50ns INFO [00009673] Port=0 RD @17 + 9672.50ns INFO [00009674] * RD COMPARE * port=1 adr=1E act=EF182096 exp=EF182096 + 9672.50ns INFO [00009674] Port=1 RD @16 + 9673.50ns INFO [00009675] * RD COMPARE * port=0 adr=17 act=DFACFB77 exp=DFACFB77 + 9673.50ns INFO [00009675] Port=0 RD @16 + 9673.50ns INFO [00009675] Port=1 RD @12 + 9674.50ns INFO [00009676] * RD COMPARE * port=1 adr=16 act=E3850577 exp=E3850577 + 9674.50ns INFO [00009676] Port=0 WR @0D=2738BB1F + 9675.50ns INFO [00009677] * RD COMPARE * port=0 adr=16 act=E3850577 exp=E3850577 + 9675.50ns INFO [00009677] * RD COMPARE * port=1 adr=12 act=7D18EDC2 exp=7D18EDC2 + 9675.50ns INFO [00009677] Port=0 WR @1D=69CD5386 + 9676.50ns INFO [00009678] Port=0 WR @02=A08D5917 + 9677.50ns INFO [00009679] Port=0 WR @01=112A6B68 + 9677.50ns INFO [00009679] Port=0 RD @10 + 9678.50ns INFO [00009680] Port=0 WR @0D=F795AAF3 + 9678.50ns INFO [00009680] Port=1 RD @0F + 9679.50ns INFO [00009681] * RD COMPARE * port=0 adr=10 act=436810A9 exp=436810A9 + 9679.50ns INFO [00009681] Port=0 RD @0A + 9680.50ns INFO [00009682] * RD COMPARE * port=1 adr=0F act=D990AF94 exp=D990AF94 + 9680.50ns INFO [00009682] Port=0 RD @0F + 9681.50ns INFO [00009683] * RD COMPARE * port=0 adr=0A act=FEEDD490 exp=FEEDD490 + 9681.50ns INFO [00009683] Port=0 WR @14=B3FFFAA1 + 9681.50ns INFO [00009683] Port=0 RD @1B + 9681.50ns INFO [00009683] Port=1 RD @1D + 9682.50ns INFO [00009684] * RD COMPARE * port=0 adr=0F act=D990AF94 exp=D990AF94 + 9682.50ns INFO [00009684] Port=0 RD @15 + 9682.50ns INFO [00009684] Port=1 RD @0D + 9683.50ns INFO [00009685] * RD COMPARE * port=0 adr=1B act=0A0232CD exp=0A0232CD + 9683.50ns INFO [00009685] * RD COMPARE * port=1 adr=1D act=69CD5386 exp=69CD5386 + 9683.50ns INFO [00009685] Port=0 WR @01=86C53157 + 9683.50ns INFO [00009685] Port=0 RD @12 + 9684.50ns INFO [00009686] * RD COMPARE * port=0 adr=15 act=912691A2 exp=912691A2 + 9684.50ns INFO [00009686] * RD COMPARE * port=1 adr=0D act=F795AAF3 exp=F795AAF3 + 9685.50ns INFO [00009687] * RD COMPARE * port=0 adr=12 act=7D18EDC2 exp=7D18EDC2 + 9685.50ns INFO [00009687] Port=0 WR @1A=78C0C134 + 9685.50ns INFO [00009687] Port=0 RD @09 + 9686.50ns INFO [00009688] Port=0 RD @02 + 9686.50ns INFO [00009688] Port=1 RD @00 + 9687.50ns INFO [00009689] * RD COMPARE * port=0 adr=09 act=B1472AC9 exp=B1472AC9 + 9687.50ns INFO [00009689] Port=1 RD @02 + 9688.50ns INFO [00009690] * RD COMPARE * port=0 adr=02 act=A08D5917 exp=A08D5917 + 9688.50ns INFO [00009690] * RD COMPARE * port=1 adr=00 act=13CDD49A exp=13CDD49A + 9689.50ns INFO [00009691] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917 + 9689.50ns INFO [00009691] Port=0 WR @18=9F89D7A7 + 9690.50ns INFO [00009692] Port=0 RD @1E + 9691.50ns INFO [00009693] Port=0 RD @1B + 9691.50ns INFO [00009693] Port=1 RD @12 + 9692.50ns INFO [00009694] * RD COMPARE * port=0 adr=1E act=EF182096 exp=EF182096 + 9692.50ns INFO [00009694] Port=0 WR @0D=15BBA4FA + 9692.50ns INFO [00009694] Port=0 RD @0A + 9693.50ns INFO [00009695] * RD COMPARE * port=0 adr=1B act=0A0232CD exp=0A0232CD + 9693.50ns INFO [00009695] * RD COMPARE * port=1 adr=12 act=7D18EDC2 exp=7D18EDC2 + 9693.50ns INFO [00009695] Port=0 WR @09=E78A4312 + 9693.50ns INFO [00009695] Port=0 RD @0B + 9693.50ns INFO [00009695] Port=1 RD @04 + 9694.50ns INFO [00009696] * RD COMPARE * port=0 adr=0A act=FEEDD490 exp=FEEDD490 + 9694.50ns INFO [00009696] Port=0 RD @14 + 9695.50ns INFO [00009697] * RD COMPARE * port=0 adr=0B act=34C5569B exp=34C5569B + 9695.50ns INFO [00009697] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B + 9695.50ns INFO [00009697] Port=0 RD @05 + 9696.50ns INFO [00009698] * RD COMPARE * port=0 adr=14 act=B3FFFAA1 exp=B3FFFAA1 + 9696.50ns INFO [00009698] Port=0 RD @1B + 9697.50ns INFO [00009699] * RD COMPARE * port=0 adr=05 act=9DCCC4FD exp=9DCCC4FD + 9697.50ns INFO [00009699] Port=0 WR @0E=DBD8DE5B + 9697.50ns INFO [00009699] Port=1 RD @13 + 9698.00ns INFO [00009700] [00009700] ...tick... + 9698.50ns INFO [00009700] * RD COMPARE * port=0 adr=1B act=0A0232CD exp=0A0232CD + 9698.50ns INFO [00009700] Port=0 WR @1D=C0DB0FF2 + 9698.50ns INFO [00009700] Port=0 RD @06 + 9699.50ns INFO [00009701] * RD COMPARE * port=1 adr=13 act=469EC460 exp=469EC460 + 9699.50ns INFO [00009701] Port=0 RD @0F + 9700.50ns INFO [00009702] * RD COMPARE * port=0 adr=06 act=D2184B4E exp=D2184B4E + 9700.50ns INFO [00009702] Port=0 WR @16=3C4CAD3A + 9701.50ns INFO [00009703] * RD COMPARE * port=0 adr=0F act=D990AF94 exp=D990AF94 + 9701.50ns INFO [00009703] Port=1 RD @06 + 9702.50ns INFO [00009704] Port=0 WR @07=A0EA7345 + 9702.50ns INFO [00009704] Port=0 RD @0F + 9703.50ns INFO [00009705] * RD COMPARE * port=1 adr=06 act=D2184B4E exp=D2184B4E + 9704.50ns INFO [00009706] * RD COMPARE * port=0 adr=0F act=D990AF94 exp=D990AF94 + 9704.50ns INFO [00009706] Port=0 WR @01=6B836D81 + 9704.50ns INFO [00009706] Port=1 RD @17 + 9705.50ns INFO [00009707] Port=1 RD @08 + 9706.50ns INFO [00009708] * RD COMPARE * port=1 adr=17 act=DFACFB77 exp=DFACFB77 + 9707.50ns INFO [00009709] * RD COMPARE * port=1 adr=08 act=719AAB57 exp=719AAB57 + 9709.50ns INFO [00009711] Port=0 WR @19=6FF2B087 + 9710.50ns INFO [00009712] Port=0 RD @0D + 9710.50ns INFO [00009712] Port=1 RD @00 + 9711.50ns INFO [00009713] Port=1 RD @02 + 9712.50ns INFO [00009714] * RD COMPARE * port=0 adr=0D act=15BBA4FA exp=15BBA4FA + 9712.50ns INFO [00009714] * RD COMPARE * port=1 adr=00 act=13CDD49A exp=13CDD49A + 9712.50ns INFO [00009714] Port=0 WR @01=6FCFD909 + 9712.50ns INFO [00009714] Port=1 RD @13 + 9713.50ns INFO [00009715] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917 + 9713.50ns INFO [00009715] Port=1 RD @08 + 9714.50ns INFO [00009716] * RD COMPARE * port=1 adr=13 act=469EC460 exp=469EC460 + 9714.50ns INFO [00009716] Port=0 WR @07=7CA02084 + 9714.50ns INFO [00009716] Port=1 RD @0C + 9715.50ns INFO [00009717] * RD COMPARE * port=1 adr=08 act=719AAB57 exp=719AAB57 + 9715.50ns INFO [00009717] Port=0 RD @1D + 9716.50ns INFO [00009718] * RD COMPARE * port=1 adr=0C act=B9A010DB exp=B9A010DB + 9716.50ns INFO [00009718] Port=0 WR @14=141A2FB8 + 9716.50ns INFO [00009718] Port=0 RD @18 + 9717.50ns INFO [00009719] * RD COMPARE * port=0 adr=1D act=C0DB0FF2 exp=C0DB0FF2 + 9717.50ns INFO [00009719] Port=0 RD @1F + 9718.50ns INFO [00009720] * RD COMPARE * port=0 adr=18 act=9F89D7A7 exp=9F89D7A7 + 9718.50ns INFO [00009720] Port=0 WR @15=FF062489 + 9719.50ns INFO [00009721] * RD COMPARE * port=0 adr=1F act=9F4A3E7D exp=9F4A3E7D + 9719.50ns INFO [00009721] Port=0 WR @00=E262B146 + 9719.50ns INFO [00009721] Port=0 RD @10 + 9721.50ns INFO [00009723] * RD COMPARE * port=0 adr=10 act=436810A9 exp=436810A9 + 9721.50ns INFO [00009723] Port=1 RD @18 + 9723.50ns INFO [00009725] * RD COMPARE * port=1 adr=18 act=9F89D7A7 exp=9F89D7A7 + 9723.50ns INFO [00009725] Port=0 WR @05=C786F5E2 + 9724.50ns INFO [00009726] Port=0 WR @0F=E5955576 + 9726.50ns INFO [00009728] Port=0 RD @06 + 9727.50ns INFO [00009729] Port=0 RD @01 + 9728.50ns INFO [00009730] * RD COMPARE * port=0 adr=06 act=D2184B4E exp=D2184B4E + 9728.50ns INFO [00009730] Port=0 RD @14 + 9728.50ns INFO [00009730] Port=1 RD @1F + 9729.50ns INFO [00009731] * RD COMPARE * port=0 adr=01 act=6FCFD909 exp=6FCFD909 + 9729.50ns INFO [00009731] Port=0 WR @1D=E4A5F546 + 9729.50ns INFO [00009731] Port=1 RD @13 + 9730.50ns INFO [00009732] * RD COMPARE * port=0 adr=14 act=141A2FB8 exp=141A2FB8 + 9730.50ns INFO [00009732] * RD COMPARE * port=1 adr=1F act=9F4A3E7D exp=9F4A3E7D + 9730.50ns INFO [00009732] Port=0 RD @1C + 9731.50ns INFO [00009733] * RD COMPARE * port=1 adr=13 act=469EC460 exp=469EC460 + 9731.50ns INFO [00009733] Port=0 RD @1E + 9731.50ns INFO [00009733] Port=1 RD @00 + 9732.50ns INFO [00009734] * RD COMPARE * port=0 adr=1C act=54824A46 exp=54824A46 + 9732.50ns INFO [00009734] Port=0 RD @03 + 9733.50ns INFO [00009735] * RD COMPARE * port=0 adr=1E act=EF182096 exp=EF182096 + 9733.50ns INFO [00009735] * RD COMPARE * port=1 adr=00 act=E262B146 exp=E262B146 + 9733.50ns INFO [00009735] Port=0 RD @1D + 9734.50ns INFO [00009736] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768 + 9735.50ns INFO [00009737] * RD COMPARE * port=0 adr=1D act=E4A5F546 exp=E4A5F546 + 9735.50ns INFO [00009737] Port=1 RD @0F + 9737.50ns INFO [00009739] * RD COMPARE * port=1 adr=0F act=E5955576 exp=E5955576 + 9737.50ns INFO [00009739] Port=0 WR @1F=58A20303 + 9737.50ns INFO [00009739] Port=0 RD @0B + 9739.50ns INFO [00009741] * RD COMPARE * port=0 adr=0B act=34C5569B exp=34C5569B + 9739.50ns INFO [00009741] Port=0 RD @05 + 9739.50ns INFO [00009741] Port=1 RD @18 + 9740.50ns INFO [00009742] Port=0 WR @11=73514541 + 9740.50ns INFO [00009742] Port=0 RD @1C + 9741.50ns INFO [00009743] * RD COMPARE * port=0 adr=05 act=C786F5E2 exp=C786F5E2 + 9741.50ns INFO [00009743] * RD COMPARE * port=1 adr=18 act=9F89D7A7 exp=9F89D7A7 + 9741.50ns INFO [00009743] Port=0 WR @1F=968F8204 + 9741.50ns INFO [00009743] Port=0 RD @1D + 9741.50ns INFO [00009743] Port=1 RD @07 + 9742.50ns INFO [00009744] * RD COMPARE * port=0 adr=1C act=54824A46 exp=54824A46 + 9742.50ns INFO [00009744] Port=0 WR @00=4A780B29 + 9743.50ns INFO [00009745] * RD COMPARE * port=0 adr=1D act=E4A5F546 exp=E4A5F546 + 9743.50ns INFO [00009745] * RD COMPARE * port=1 adr=07 act=7CA02084 exp=7CA02084 + 9743.50ns INFO [00009745] Port=0 RD @1A + 9743.50ns INFO [00009745] Port=1 RD @1E + 9744.50ns INFO [00009746] Port=0 RD @18 + 9745.50ns INFO [00009747] * RD COMPARE * port=0 adr=1A act=78C0C134 exp=78C0C134 + 9745.50ns INFO [00009747] * RD COMPARE * port=1 adr=1E act=EF182096 exp=EF182096 + 9745.50ns INFO [00009747] Port=0 WR @16=6F7D2F8E + 9745.50ns INFO [00009747] Port=1 RD @0D + 9746.50ns INFO [00009748] * RD COMPARE * port=0 adr=18 act=9F89D7A7 exp=9F89D7A7 + 9746.50ns INFO [00009748] Port=0 WR @1C=18A379E5 + 9746.50ns INFO [00009748] Port=0 RD @1D + 9747.50ns INFO [00009749] * RD COMPARE * port=1 adr=0D act=15BBA4FA exp=15BBA4FA + 9747.50ns INFO [00009749] Port=0 RD @10 + 9748.50ns INFO [00009750] * RD COMPARE * port=0 adr=1D act=E4A5F546 exp=E4A5F546 + 9748.50ns INFO [00009750] Port=0 WR @06=98639485 + 9748.50ns INFO [00009750] Port=1 RD @02 + 9749.50ns INFO [00009751] * RD COMPARE * port=0 adr=10 act=436810A9 exp=436810A9 + 9749.50ns INFO [00009751] Port=0 WR @00=CD8D03C1 + 9749.50ns INFO [00009751] Port=0 RD @0E + 9750.50ns INFO [00009752] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917 + 9750.50ns INFO [00009752] Port=0 RD @1A + 9750.50ns INFO [00009752] Port=1 RD @02 + 9751.50ns INFO [00009753] * RD COMPARE * port=0 adr=0E act=DBD8DE5B exp=DBD8DE5B + 9751.50ns INFO [00009753] Port=0 WR @10=FE3E0144 + 9751.50ns INFO [00009753] Port=0 RD @14 + 9752.50ns INFO [00009754] * RD COMPARE * port=0 adr=1A act=78C0C134 exp=78C0C134 + 9752.50ns INFO [00009754] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917 + 9752.50ns INFO [00009754] Port=0 WR @1D=6F6B62F9 + 9752.50ns INFO [00009754] Port=0 RD @1E + 9752.50ns INFO [00009754] Port=1 RD @02 + 9753.50ns INFO [00009755] * RD COMPARE * port=0 adr=14 act=141A2FB8 exp=141A2FB8 + 9753.50ns INFO [00009755] Port=0 RD @07 + 9754.50ns INFO [00009756] * RD COMPARE * port=0 adr=1E act=EF182096 exp=EF182096 + 9754.50ns INFO [00009756] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917 + 9754.50ns INFO [00009756] Port=1 RD @09 + 9755.50ns INFO [00009757] * RD COMPARE * port=0 adr=07 act=7CA02084 exp=7CA02084 + 9755.50ns INFO [00009757] Port=0 WR @11=52E6BBF6 + 9755.50ns INFO [00009757] Port=0 RD @09 + 9756.50ns INFO [00009758] * RD COMPARE * port=1 adr=09 act=E78A4312 exp=E78A4312 + 9756.50ns INFO [00009758] Port=0 RD @05 + 9756.50ns INFO [00009758] Port=1 RD @07 + 9757.50ns INFO [00009759] * RD COMPARE * port=0 adr=09 act=E78A4312 exp=E78A4312 + 9757.50ns INFO [00009759] Port=1 RD @00 + 9758.50ns INFO [00009760] * RD COMPARE * port=0 adr=05 act=C786F5E2 exp=C786F5E2 + 9758.50ns INFO [00009760] * RD COMPARE * port=1 adr=07 act=7CA02084 exp=7CA02084 + 9758.50ns INFO [00009760] Port=0 RD @04 + 9758.50ns INFO [00009760] Port=1 RD @1F + 9759.50ns INFO [00009761] * RD COMPARE * port=1 adr=00 act=CD8D03C1 exp=CD8D03C1 + 9759.50ns INFO [00009761] Port=0 WR @09=93D6F6B9 + 9760.50ns INFO [00009762] * RD COMPARE * port=0 adr=04 act=58B8DD3B exp=58B8DD3B + 9760.50ns INFO [00009762] * RD COMPARE * port=1 adr=1F act=968F8204 exp=968F8204 + 9760.50ns INFO [00009762] Port=0 WR @17=1B9D6BED + 9761.50ns INFO [00009763] Port=0 WR @09=0A80DD41 + 9761.50ns INFO [00009763] Port=1 RD @14 + 9763.50ns INFO [00009765] * RD COMPARE * port=1 adr=14 act=141A2FB8 exp=141A2FB8 + 9763.50ns INFO [00009765] Port=0 RD @11 + 9764.50ns INFO [00009766] Port=0 WR @00=9B408874 + 9764.50ns INFO [00009766] Port=1 RD @0B + 9765.50ns INFO [00009767] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9765.50ns INFO [00009767] Port=1 RD @03 + 9766.50ns INFO [00009768] * RD COMPARE * port=1 adr=0B act=34C5569B exp=34C5569B + 9766.50ns INFO [00009768] Port=0 WR @01=67188218 + 9766.50ns INFO [00009768] Port=0 RD @08 + 9767.50ns INFO [00009769] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768 + 9767.50ns INFO [00009769] Port=0 WR @00=11B1094F + 9767.50ns INFO [00009769] Port=0 RD @0F + 9768.50ns INFO [00009770] * RD COMPARE * port=0 adr=08 act=719AAB57 exp=719AAB57 + 9768.50ns INFO [00009770] Port=0 RD @06 + 9769.50ns INFO [00009771] * RD COMPARE * port=0 adr=0F act=E5955576 exp=E5955576 + 9769.50ns INFO [00009771] Port=0 WR @1E=48E5C2BA + 9769.50ns INFO [00009771] Port=1 RD @06 + 9770.50ns INFO [00009772] * RD COMPARE * port=0 adr=06 act=98639485 exp=98639485 + 9770.50ns INFO [00009772] Port=0 RD @0E + 9770.50ns INFO [00009772] Port=1 RD @00 + 9771.50ns INFO [00009773] * RD COMPARE * port=1 adr=06 act=98639485 exp=98639485 + 9771.50ns INFO [00009773] Port=0 RD @11 + 9772.50ns INFO [00009774] * RD COMPARE * port=0 adr=0E act=DBD8DE5B exp=DBD8DE5B + 9772.50ns INFO [00009774] * RD COMPARE * port=1 adr=00 act=11B1094F exp=11B1094F + 9772.50ns INFO [00009774] Port=0 WR @05=ED9D7559 + 9772.50ns INFO [00009774] Port=0 RD @16 + 9773.50ns INFO [00009775] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9773.50ns INFO [00009775] Port=1 RD @11 + 9774.50ns INFO [00009776] * RD COMPARE * port=0 adr=16 act=6F7D2F8E exp=6F7D2F8E + 9775.50ns INFO [00009777] * RD COMPARE * port=1 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9775.50ns INFO [00009777] Port=1 RD @0F + 9776.50ns INFO [00009778] Port=0 RD @1E + 9777.50ns INFO [00009779] * RD COMPARE * port=1 adr=0F act=E5955576 exp=E5955576 + 9778.50ns INFO [00009780] * RD COMPARE * port=0 adr=1E act=48E5C2BA exp=48E5C2BA + 9779.50ns INFO [00009781] Port=0 WR @0A=BE44BD5A + 9779.50ns INFO [00009781] Port=0 RD @11 + 9780.50ns INFO [00009782] Port=0 WR @18=5AE750B6 + 9781.50ns INFO [00009783] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9781.50ns INFO [00009783] Port=0 WR @1E=F9E75C4A + 9781.50ns INFO [00009783] Port=0 RD @18 + 9781.50ns INFO [00009783] Port=1 RD @16 + 9783.50ns INFO [00009785] * RD COMPARE * port=0 adr=18 act=5AE750B6 exp=5AE750B6 + 9783.50ns INFO [00009785] * RD COMPARE * port=1 adr=16 act=6F7D2F8E exp=6F7D2F8E + 9783.50ns INFO [00009785] Port=0 RD @00 + 9783.50ns INFO [00009785] Port=1 RD @0E + 9784.50ns INFO [00009786] Port=0 RD @1A + 9785.50ns INFO [00009787] * RD COMPARE * port=0 adr=00 act=11B1094F exp=11B1094F + 9785.50ns INFO [00009787] * RD COMPARE * port=1 adr=0E act=DBD8DE5B exp=DBD8DE5B + 9785.50ns INFO [00009787] Port=0 WR @06=FD2EB705 + 9785.50ns INFO [00009787] Port=1 RD @0B + 9786.50ns INFO [00009788] * RD COMPARE * port=0 adr=1A act=78C0C134 exp=78C0C134 + 9787.50ns INFO [00009789] * RD COMPARE * port=1 adr=0B act=34C5569B exp=34C5569B + 9787.50ns INFO [00009789] Port=1 RD @19 + 9788.50ns INFO [00009790] Port=0 WR @12=304FCB12 + 9788.50ns INFO [00009790] Port=0 RD @13 + 9789.50ns INFO [00009791] * RD COMPARE * port=1 adr=19 act=6FF2B087 exp=6FF2B087 + 9789.50ns INFO [00009791] Port=0 WR @0D=C0A7B014 + 9790.50ns INFO [00009792] * RD COMPARE * port=0 adr=13 act=469EC460 exp=469EC460 + 9790.50ns INFO [00009792] Port=1 RD @05 + 9791.50ns INFO [00009793] Port=0 WR @17=787D2364 + 9791.50ns INFO [00009793] Port=1 RD @0C + 9792.50ns INFO [00009794] * RD COMPARE * port=1 adr=05 act=ED9D7559 exp=ED9D7559 + 9792.50ns INFO [00009794] Port=0 WR @19=9732B81A + 9792.50ns INFO [00009794] Port=0 RD @08 + 9793.50ns INFO [00009795] * RD COMPARE * port=1 adr=0C act=B9A010DB exp=B9A010DB + 9793.50ns INFO [00009795] Port=1 RD @13 + 9794.50ns INFO [00009796] * RD COMPARE * port=0 adr=08 act=719AAB57 exp=719AAB57 + 9795.50ns INFO [00009797] * RD COMPARE * port=1 adr=13 act=469EC460 exp=469EC460 + 9795.50ns INFO [00009797] Port=1 RD @04 + 9797.50ns INFO [00009799] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B + 9798.00ns INFO [00009800] [00009800] ...tick... + 9798.50ns INFO [00009800] Port=0 WR @17=4E8487C7 + 9798.50ns INFO [00009800] Port=0 RD @18 + 9799.50ns INFO [00009801] Port=0 RD @0A + 9799.50ns INFO [00009801] Port=1 RD @08 + 9800.50ns INFO [00009802] * RD COMPARE * port=0 adr=18 act=5AE750B6 exp=5AE750B6 + 9801.50ns INFO [00009803] * RD COMPARE * port=0 adr=0A act=BE44BD5A exp=BE44BD5A + 9801.50ns INFO [00009803] * RD COMPARE * port=1 adr=08 act=719AAB57 exp=719AAB57 + 9801.50ns INFO [00009803] Port=0 WR @08=F2CA52D3 + 9801.50ns INFO [00009803] Port=0 RD @0D + 9802.50ns INFO [00009804] Port=0 WR @12=8360DA93 + 9802.50ns INFO [00009804] Port=1 RD @0A + 9803.50ns INFO [00009805] * RD COMPARE * port=0 adr=0D act=C0A7B014 exp=C0A7B014 + 9803.50ns INFO [00009805] Port=0 WR @07=D8C18984 + 9803.50ns INFO [00009805] Port=1 RD @1D + 9804.50ns INFO [00009806] * RD COMPARE * port=1 adr=0A act=BE44BD5A exp=BE44BD5A + 9804.50ns INFO [00009806] Port=0 RD @05 + 9805.50ns INFO [00009807] * RD COMPARE * port=1 adr=1D act=6F6B62F9 exp=6F6B62F9 + 9805.50ns INFO [00009807] Port=1 RD @17 + 9806.50ns INFO [00009808] * RD COMPARE * port=0 adr=05 act=ED9D7559 exp=ED9D7559 + 9806.50ns INFO [00009808] Port=0 WR @0B=D0CD7E8A + 9806.50ns INFO [00009808] Port=1 RD @1F + 9807.50ns INFO [00009809] * RD COMPARE * port=1 adr=17 act=4E8487C7 exp=4E8487C7 + 9807.50ns INFO [00009809] Port=0 WR @10=887B675B + 9807.50ns INFO [00009809] Port=0 RD @09 + 9807.50ns INFO [00009809] Port=1 RD @11 + 9808.50ns INFO [00009810] * RD COMPARE * port=1 adr=1F act=968F8204 exp=968F8204 + 9808.50ns INFO [00009810] Port=0 WR @0A=16ADD9FC + 9808.50ns INFO [00009810] Port=1 RD @14 + 9809.50ns INFO [00009811] * RD COMPARE * port=0 adr=09 act=0A80DD41 exp=0A80DD41 + 9809.50ns INFO [00009811] * RD COMPARE * port=1 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9809.50ns INFO [00009811] Port=1 RD @07 + 9810.50ns INFO [00009812] * RD COMPARE * port=1 adr=14 act=141A2FB8 exp=141A2FB8 + 9811.50ns INFO [00009813] * RD COMPARE * port=1 adr=07 act=D8C18984 exp=D8C18984 + 9811.50ns INFO [00009813] Port=1 RD @08 + 9812.50ns INFO [00009814] Port=1 RD @0E + 9813.50ns INFO [00009815] * RD COMPARE * port=1 adr=08 act=F2CA52D3 exp=F2CA52D3 + 9813.50ns INFO [00009815] Port=0 RD @1F + 9813.50ns INFO [00009815] Port=1 RD @1D + 9814.50ns INFO [00009816] * RD COMPARE * port=1 adr=0E act=DBD8DE5B exp=DBD8DE5B + 9814.50ns INFO [00009816] Port=0 WR @0A=DCB82FBB + 9815.50ns INFO [00009817] * RD COMPARE * port=0 adr=1F act=968F8204 exp=968F8204 + 9815.50ns INFO [00009817] * RD COMPARE * port=1 adr=1D act=6F6B62F9 exp=6F6B62F9 + 9815.50ns INFO [00009817] Port=0 WR @0E=1DB39FBA + 9815.50ns INFO [00009817] Port=0 RD @1B + 9815.50ns INFO [00009817] Port=1 RD @0D + 9816.50ns INFO [00009818] Port=0 WR @0D=ADEF2B6D + 9816.50ns INFO [00009818] Port=0 RD @1A + 9816.50ns INFO [00009818] Port=1 RD @1D + 9817.50ns INFO [00009819] * RD COMPARE * port=0 adr=1B act=0A0232CD exp=0A0232CD + 9817.50ns INFO [00009819] * RD COMPARE * port=1 adr=0D act=C0A7B014 exp=C0A7B014 + 9817.50ns INFO [00009819] Port=0 WR @0B=67171555 + 9817.50ns INFO [00009819] Port=1 RD @04 + 9818.50ns INFO [00009820] * RD COMPARE * port=0 adr=1A act=78C0C134 exp=78C0C134 + 9818.50ns INFO [00009820] * RD COMPARE * port=1 adr=1D act=6F6B62F9 exp=6F6B62F9 + 9819.50ns INFO [00009821] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B + 9819.50ns INFO [00009821] Port=0 WR @07=F9B196ED + 9819.50ns INFO [00009821] Port=1 RD @1A + 9820.50ns INFO [00009822] Port=1 RD @03 + 9821.50ns INFO [00009823] * RD COMPARE * port=1 adr=1A act=78C0C134 exp=78C0C134 + 9822.50ns INFO [00009824] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768 + 9822.50ns INFO [00009824] Port=0 RD @09 + 9822.50ns INFO [00009824] Port=1 RD @0E + 9824.50ns INFO [00009826] * RD COMPARE * port=0 adr=09 act=0A80DD41 exp=0A80DD41 + 9824.50ns INFO [00009826] * RD COMPARE * port=1 adr=0E act=1DB39FBA exp=1DB39FBA + 9824.50ns INFO [00009826] Port=0 WR @13=1D975E90 + 9824.50ns INFO [00009826] Port=0 RD @1B + 9825.50ns INFO [00009827] Port=0 WR @0B=0A21C22D + 9825.50ns INFO [00009827] Port=1 RD @0F + 9826.50ns INFO [00009828] * RD COMPARE * port=0 adr=1B act=0A0232CD exp=0A0232CD + 9827.50ns INFO [00009829] * RD COMPARE * port=1 adr=0F act=E5955576 exp=E5955576 + 9827.50ns INFO [00009829] Port=0 RD @0B + 9828.50ns INFO [00009830] Port=0 RD @12 + 9829.50ns INFO [00009831] * RD COMPARE * port=0 adr=0B act=0A21C22D exp=0A21C22D + 9829.50ns INFO [00009831] Port=0 WR @0B=480FBD6A + 9830.50ns INFO [00009832] * RD COMPARE * port=0 adr=12 act=8360DA93 exp=8360DA93 + 9830.50ns INFO [00009832] Port=0 RD @1F + 9830.50ns INFO [00009832] Port=1 RD @05 + 9832.50ns INFO [00009834] * RD COMPARE * port=0 adr=1F act=968F8204 exp=968F8204 + 9832.50ns INFO [00009834] * RD COMPARE * port=1 adr=05 act=ED9D7559 exp=ED9D7559 + 9832.50ns INFO [00009834] Port=0 RD @1E + 9832.50ns INFO [00009834] Port=1 RD @02 + 9833.50ns INFO [00009835] Port=0 RD @09 + 9834.50ns INFO [00009836] * RD COMPARE * port=0 adr=1E act=F9E75C4A exp=F9E75C4A + 9834.50ns INFO [00009836] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917 + 9834.50ns INFO [00009836] Port=1 RD @15 + 9835.50ns INFO [00009837] * RD COMPARE * port=0 adr=09 act=0A80DD41 exp=0A80DD41 + 9835.50ns INFO [00009837] Port=1 RD @13 + 9836.50ns INFO [00009838] * RD COMPARE * port=1 adr=15 act=FF062489 exp=FF062489 + 9836.50ns INFO [00009838] Port=0 WR @0A=A6F3C86A + 9836.50ns INFO [00009838] Port=1 RD @08 + 9837.50ns INFO [00009839] * RD COMPARE * port=1 adr=13 act=1D975E90 exp=1D975E90 + 9837.50ns INFO [00009839] Port=0 RD @15 + 9837.50ns INFO [00009839] Port=1 RD @16 + 9838.50ns INFO [00009840] * RD COMPARE * port=1 adr=08 act=F2CA52D3 exp=F2CA52D3 + 9839.50ns INFO [00009841] * RD COMPARE * port=0 adr=15 act=FF062489 exp=FF062489 + 9839.50ns INFO [00009841] * RD COMPARE * port=1 adr=16 act=6F7D2F8E exp=6F7D2F8E + 9839.50ns INFO [00009841] Port=1 RD @16 + 9841.50ns INFO [00009843] * RD COMPARE * port=1 adr=16 act=6F7D2F8E exp=6F7D2F8E + 9841.50ns INFO [00009843] Port=1 RD @12 + 9842.50ns INFO [00009844] Port=0 RD @0E + 9843.50ns INFO [00009845] * RD COMPARE * port=1 adr=12 act=8360DA93 exp=8360DA93 + 9843.50ns INFO [00009845] Port=1 RD @02 + 9844.50ns INFO [00009846] * RD COMPARE * port=0 adr=0E act=1DB39FBA exp=1DB39FBA + 9845.50ns INFO [00009847] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917 + 9845.50ns INFO [00009847] Port=0 WR @0F=1638DA88 + 9846.50ns INFO [00009848] Port=0 WR @18=F062FB19 + 9846.50ns INFO [00009848] Port=0 RD @19 + 9847.50ns INFO [00009849] Port=0 WR @14=DD53A1B2 + 9848.50ns INFO [00009850] * RD COMPARE * port=0 adr=19 act=9732B81A exp=9732B81A + 9848.50ns INFO [00009850] Port=0 RD @0B + 9849.50ns INFO [00009851] Port=0 WR @06=CC95D1E4 + 9850.50ns INFO [00009852] * RD COMPARE * port=0 adr=0B act=480FBD6A exp=480FBD6A + 9850.50ns INFO [00009852] Port=0 WR @02=6D36D08A + 9850.50ns INFO [00009852] Port=0 RD @0A + 9851.50ns INFO [00009853] Port=1 RD @06 + 9852.50ns INFO [00009854] * RD COMPARE * port=0 adr=0A act=A6F3C86A exp=A6F3C86A + 9853.50ns INFO [00009855] * RD COMPARE * port=1 adr=06 act=CC95D1E4 exp=CC95D1E4 + 9853.50ns INFO [00009855] Port=0 WR @12=5F0A9A23 + 9855.50ns INFO [00009857] Port=1 RD @1D + 9857.50ns INFO [00009859] * RD COMPARE * port=1 adr=1D act=6F6B62F9 exp=6F6B62F9 + 9859.50ns INFO [00009861] Port=0 RD @03 + 9860.50ns INFO [00009862] Port=0 WR @1E=1083637A + 9860.50ns INFO [00009862] Port=0 RD @0C + 9860.50ns INFO [00009862] Port=1 RD @01 + 9861.50ns INFO [00009863] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768 + 9861.50ns INFO [00009863] Port=0 WR @15=FC264072 + 9861.50ns INFO [00009863] Port=0 RD @14 + 9861.50ns INFO [00009863] Port=1 RD @19 + 9862.50ns INFO [00009864] * RD COMPARE * port=0 adr=0C act=B9A010DB exp=B9A010DB + 9862.50ns INFO [00009864] * RD COMPARE * port=1 adr=01 act=67188218 exp=67188218 + 9863.50ns INFO [00009865] * RD COMPARE * port=0 adr=14 act=DD53A1B2 exp=DD53A1B2 + 9863.50ns INFO [00009865] * RD COMPARE * port=1 adr=19 act=9732B81A exp=9732B81A + 9863.50ns INFO [00009865] Port=0 RD @01 + 9864.50ns INFO [00009866] Port=1 RD @1A + 9865.50ns INFO [00009867] * RD COMPARE * port=0 adr=01 act=67188218 exp=67188218 + 9865.50ns INFO [00009867] Port=0 WR @1D=0E3C7EB5 + 9866.50ns INFO [00009868] * RD COMPARE * port=1 adr=1A act=78C0C134 exp=78C0C134 + 9866.50ns INFO [00009868] Port=0 WR @1D=139D7933 + 9866.50ns INFO [00009868] Port=0 RD @1A + 9866.50ns INFO [00009868] Port=1 RD @06 + 9868.50ns INFO [00009870] * RD COMPARE * port=0 adr=1A act=78C0C134 exp=78C0C134 + 9868.50ns INFO [00009870] * RD COMPARE * port=1 adr=06 act=CC95D1E4 exp=CC95D1E4 + 9868.50ns INFO [00009870] Port=1 RD @07 + 9869.50ns INFO [00009871] Port=1 RD @0E + 9870.50ns INFO [00009872] * RD COMPARE * port=1 adr=07 act=F9B196ED exp=F9B196ED + 9870.50ns INFO [00009872] Port=0 RD @0F + 9870.50ns INFO [00009872] Port=1 RD @19 + 9871.50ns INFO [00009873] * RD COMPARE * port=1 adr=0E act=1DB39FBA exp=1DB39FBA + 9871.50ns INFO [00009873] Port=0 RD @03 + 9871.50ns INFO [00009873] Port=1 RD @0B + 9872.50ns INFO [00009874] * RD COMPARE * port=0 adr=0F act=1638DA88 exp=1638DA88 + 9872.50ns INFO [00009874] * RD COMPARE * port=1 adr=19 act=9732B81A exp=9732B81A + 9872.50ns INFO [00009874] Port=1 RD @18 + 9873.50ns INFO [00009875] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768 + 9873.50ns INFO [00009875] * RD COMPARE * port=1 adr=0B act=480FBD6A exp=480FBD6A + 9874.50ns INFO [00009876] * RD COMPARE * port=1 adr=18 act=F062FB19 exp=F062FB19 + 9874.50ns INFO [00009876] Port=0 WR @0B=DEA3D6BA + 9876.50ns INFO [00009878] Port=0 WR @01=C1F2B843 + 9877.50ns INFO [00009879] Port=0 RD @18 + 9879.50ns INFO [00009881] * RD COMPARE * port=0 adr=18 act=F062FB19 exp=F062FB19 + 9879.50ns INFO [00009881] Port=1 RD @17 + 9880.50ns INFO [00009882] Port=0 RD @11 + 9880.50ns INFO [00009882] Port=1 RD @02 + 9881.50ns INFO [00009883] * RD COMPARE * port=1 adr=17 act=4E8487C7 exp=4E8487C7 + 9881.50ns INFO [00009883] Port=1 RD @1D + 9882.50ns INFO [00009884] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9882.50ns INFO [00009884] * RD COMPARE * port=1 adr=02 act=6D36D08A exp=6D36D08A + 9882.50ns INFO [00009884] Port=0 RD @14 + 9882.50ns INFO [00009884] Port=1 RD @09 + 9883.50ns INFO [00009885] * RD COMPARE * port=1 adr=1D act=139D7933 exp=139D7933 + 9883.50ns INFO [00009885] Port=0 WR @1C=51EC8A68 + 9884.50ns INFO [00009886] * RD COMPARE * port=0 adr=14 act=DD53A1B2 exp=DD53A1B2 + 9884.50ns INFO [00009886] * RD COMPARE * port=1 adr=09 act=0A80DD41 exp=0A80DD41 + 9884.50ns INFO [00009886] Port=0 RD @11 + 9885.50ns INFO [00009887] Port=0 RD @19 + 9886.50ns INFO [00009888] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9886.50ns INFO [00009888] Port=0 RD @00 + 9887.50ns INFO [00009889] * RD COMPARE * port=0 adr=19 act=9732B81A exp=9732B81A + 9888.50ns INFO [00009890] * RD COMPARE * port=0 adr=00 act=11B1094F exp=11B1094F + 9889.50ns INFO [00009891] Port=0 RD @0C + 9889.50ns INFO [00009891] Port=1 RD @1C + 9890.50ns INFO [00009892] Port=0 RD @03 + 9890.50ns INFO [00009892] Port=1 RD @1A + 9891.50ns INFO [00009893] * RD COMPARE * port=0 adr=0C act=B9A010DB exp=B9A010DB + 9891.50ns INFO [00009893] * RD COMPARE * port=1 adr=1C act=51EC8A68 exp=51EC8A68 + 9892.50ns INFO [00009894] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768 + 9892.50ns INFO [00009894] * RD COMPARE * port=1 adr=1A act=78C0C134 exp=78C0C134 + 9892.50ns INFO [00009894] Port=0 WR @16=F6695E2E + 9893.50ns INFO [00009895] Port=0 WR @15=D6009453 + 9895.50ns INFO [00009897] Port=0 WR @0A=62C01B24 + 9895.50ns INFO [00009897] Port=0 RD @15 + 9895.50ns INFO [00009897] Port=1 RD @0D + 9896.50ns INFO [00009898] Port=0 WR @1C=67562E73 + 9897.50ns INFO [00009899] * RD COMPARE * port=0 adr=15 act=D6009453 exp=D6009453 + 9897.50ns INFO [00009899] * RD COMPARE * port=1 adr=0D act=ADEF2B6D exp=ADEF2B6D + 9897.50ns INFO [00009899] Port=0 WR @1F=3AF15292 + 9898.00ns INFO [00009900] [00009900] ...tick... + 9898.50ns INFO [00009900] Port=0 WR @1C=FE3FAADC + 9898.50ns INFO [00009900] Port=0 RD @18 + 9899.50ns INFO [00009901] Port=0 WR @02=C25B56AA + 9899.50ns INFO [00009901] Port=0 RD @03 + 9900.50ns INFO [00009902] * RD COMPARE * port=0 adr=18 act=F062FB19 exp=F062FB19 + 9900.50ns INFO [00009902] Port=0 WR @02=34415989 + 9901.50ns INFO [00009903] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768 + 9901.50ns INFO [00009903] Port=0 WR @0A=D77C45DB + 9901.50ns INFO [00009903] Port=0 RD @0F + 9901.50ns INFO [00009903] Port=1 RD @0F + 9903.50ns INFO [00009905] * RD COMPARE * port=0 adr=0F act=1638DA88 exp=1638DA88 + 9903.50ns INFO [00009905] * RD COMPARE * port=1 adr=0F act=1638DA88 exp=1638DA88 + 9903.50ns INFO [00009905] Port=0 RD @11 + 9905.50ns INFO [00009907] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9905.50ns INFO [00009907] Port=0 RD @09 + 9905.50ns INFO [00009907] Port=1 RD @0F + 9907.50ns INFO [00009909] * RD COMPARE * port=0 adr=09 act=0A80DD41 exp=0A80DD41 + 9907.50ns INFO [00009909] * RD COMPARE * port=1 adr=0F act=1638DA88 exp=1638DA88 + 9907.50ns INFO [00009909] Port=1 RD @03 + 9908.50ns INFO [00009910] Port=0 RD @07 + 9909.50ns INFO [00009911] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768 + 9909.50ns INFO [00009911] Port=0 WR @0B=4A870AB3 + 9909.50ns INFO [00009911] Port=0 RD @06 + 9910.50ns INFO [00009912] * RD COMPARE * port=0 adr=07 act=F9B196ED exp=F9B196ED + 9911.50ns INFO [00009913] * RD COMPARE * port=0 adr=06 act=CC95D1E4 exp=CC95D1E4 + 9911.50ns INFO [00009913] Port=1 RD @04 + 9913.50ns INFO [00009915] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B + 9913.50ns INFO [00009915] Port=0 WR @1F=0005D2F3 + 9913.50ns INFO [00009915] Port=1 RD @16 + 9914.50ns INFO [00009916] Port=1 RD @03 + 9915.50ns INFO [00009917] * RD COMPARE * port=1 adr=16 act=F6695E2E exp=F6695E2E + 9916.50ns INFO [00009918] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768 + 9916.50ns INFO [00009918] Port=0 RD @0B + 9917.50ns INFO [00009919] Port=0 WR @04=737420D0 + 9917.50ns INFO [00009919] Port=0 RD @1D + 9918.50ns INFO [00009920] * RD COMPARE * port=0 adr=0B act=4A870AB3 exp=4A870AB3 + 9918.50ns INFO [00009920] Port=0 WR @1E=76923501 + 9918.50ns INFO [00009920] Port=0 RD @0D + 9918.50ns INFO [00009920] Port=1 RD @02 + 9919.50ns INFO [00009921] * RD COMPARE * port=0 adr=1D act=139D7933 exp=139D7933 + 9919.50ns INFO [00009921] Port=0 WR @1E=D08AE683 + 9919.50ns INFO [00009921] Port=1 RD @17 + 9920.50ns INFO [00009922] * RD COMPARE * port=0 adr=0D act=ADEF2B6D exp=ADEF2B6D + 9920.50ns INFO [00009922] * RD COMPARE * port=1 adr=02 act=34415989 exp=34415989 + 9920.50ns INFO [00009922] Port=0 WR @00=3C2E724D + 9920.50ns INFO [00009922] Port=0 RD @09 + 9921.50ns INFO [00009923] * RD COMPARE * port=1 adr=17 act=4E8487C7 exp=4E8487C7 + 9921.50ns INFO [00009923] Port=0 WR @0E=F1829CB7 + 9921.50ns INFO [00009923] Port=1 RD @0A + 9922.50ns INFO [00009924] * RD COMPARE * port=0 adr=09 act=0A80DD41 exp=0A80DD41 + 9922.50ns INFO [00009924] Port=0 WR @01=A6222C14 + 9922.50ns INFO [00009924] Port=0 RD @12 + 9923.50ns INFO [00009925] * RD COMPARE * port=1 adr=0A act=D77C45DB exp=D77C45DB + 9924.50ns INFO [00009926] * RD COMPARE * port=0 adr=12 act=5F0A9A23 exp=5F0A9A23 + 9924.50ns INFO [00009926] Port=0 WR @02=AB118057 + 9924.50ns INFO [00009926] Port=0 RD @18 + 9925.50ns INFO [00009927] Port=0 WR @1F=9B7A6741 + 9926.50ns INFO [00009928] * RD COMPARE * port=0 adr=18 act=F062FB19 exp=F062FB19 + 9926.50ns INFO [00009928] Port=1 RD @11 + 9927.50ns INFO [00009929] Port=0 RD @1D + 9928.50ns INFO [00009930] * RD COMPARE * port=1 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9928.50ns INFO [00009930] Port=0 RD @19 + 9929.50ns INFO [00009931] * RD COMPARE * port=0 adr=1D act=139D7933 exp=139D7933 + 9929.50ns INFO [00009931] Port=0 WR @19=F94C6306 + 9929.50ns INFO [00009931] Port=0 RD @0C + 9929.50ns INFO [00009931] Port=1 RD @06 + 9930.50ns INFO [00009932] * RD COMPARE * port=0 adr=19 act=9732B81A exp=9732B81A + 9931.50ns INFO [00009933] * RD COMPARE * port=0 adr=0C act=B9A010DB exp=B9A010DB + 9931.50ns INFO [00009933] * RD COMPARE * port=1 adr=06 act=CC95D1E4 exp=CC95D1E4 + 9931.50ns INFO [00009933] Port=0 WR @10=EEB827CC + 9931.50ns INFO [00009933] Port=0 RD @0C + 9932.50ns INFO [00009934] Port=0 RD @0A + 9933.50ns INFO [00009935] * RD COMPARE * port=0 adr=0C act=B9A010DB exp=B9A010DB + 9933.50ns INFO [00009935] Port=0 WR @0B=59E9F43C + 9933.50ns INFO [00009935] Port=0 RD @03 + 9933.50ns INFO [00009935] Port=1 RD @03 + 9934.50ns INFO [00009936] * RD COMPARE * port=0 adr=0A act=D77C45DB exp=D77C45DB + 9934.50ns INFO [00009936] Port=0 RD @15 + 9935.50ns INFO [00009937] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768 + 9935.50ns INFO [00009937] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768 + 9935.50ns INFO [00009937] Port=0 WR @0C=1F9EE092 + 9935.50ns INFO [00009937] Port=0 RD @13 + 9936.50ns INFO [00009938] * RD COMPARE * port=0 adr=15 act=D6009453 exp=D6009453 + 9936.50ns INFO [00009938] Port=1 RD @16 + 9937.50ns INFO [00009939] * RD COMPARE * port=0 adr=13 act=1D975E90 exp=1D975E90 + 9937.50ns INFO [00009939] Port=0 RD @19 + 9937.50ns INFO [00009939] Port=1 RD @1A + 9938.50ns INFO [00009940] * RD COMPARE * port=1 adr=16 act=F6695E2E exp=F6695E2E + 9938.50ns INFO [00009940] Port=0 WR @16=112A68F6 + 9938.50ns INFO [00009940] Port=1 RD @07 + 9939.50ns INFO [00009941] * RD COMPARE * port=0 adr=19 act=F94C6306 exp=F94C6306 + 9939.50ns INFO [00009941] * RD COMPARE * port=1 adr=1A act=78C0C134 exp=78C0C134 + 9940.50ns INFO [00009942] * RD COMPARE * port=1 adr=07 act=F9B196ED exp=F9B196ED + 9940.50ns INFO [00009942] Port=0 RD @0B + 9940.50ns INFO [00009942] Port=1 RD @1F + 9941.50ns INFO [00009943] Port=0 WR @09=8882E9B3 + 9941.50ns INFO [00009943] Port=1 RD @17 + 9942.50ns INFO [00009944] * RD COMPARE * port=0 adr=0B act=59E9F43C exp=59E9F43C + 9942.50ns INFO [00009944] * RD COMPARE * port=1 adr=1F act=9B7A6741 exp=9B7A6741 + 9942.50ns INFO [00009944] Port=1 RD @07 + 9943.50ns INFO [00009945] * RD COMPARE * port=1 adr=17 act=4E8487C7 exp=4E8487C7 + 9943.50ns INFO [00009945] Port=0 RD @04 + 9943.50ns INFO [00009945] Port=1 RD @10 + 9944.50ns INFO [00009946] * RD COMPARE * port=1 adr=07 act=F9B196ED exp=F9B196ED + 9944.50ns INFO [00009946] Port=0 RD @18 + 9945.50ns INFO [00009947] * RD COMPARE * port=0 adr=04 act=737420D0 exp=737420D0 + 9945.50ns INFO [00009947] * RD COMPARE * port=1 adr=10 act=EEB827CC exp=EEB827CC + 9945.50ns INFO [00009947] Port=0 WR @04=E2E606F5 + 9945.50ns INFO [00009947] Port=1 RD @1C + 9946.50ns INFO [00009948] * RD COMPARE * port=0 adr=18 act=F062FB19 exp=F062FB19 + 9946.50ns INFO [00009948] Port=0 WR @0D=F1EAED7E + 9946.50ns INFO [00009948] Port=0 RD @00 + 9947.50ns INFO [00009949] * RD COMPARE * port=1 adr=1C act=FE3FAADC exp=FE3FAADC + 9947.50ns INFO [00009949] Port=1 RD @1F + 9948.50ns INFO [00009950] * RD COMPARE * port=0 adr=00 act=3C2E724D exp=3C2E724D + 9948.50ns INFO [00009950] Port=0 RD @11 + 9948.50ns INFO [00009950] Port=1 RD @16 + 9949.50ns INFO [00009951] * RD COMPARE * port=1 adr=1F act=9B7A6741 exp=9B7A6741 + 9950.50ns INFO [00009952] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9950.50ns INFO [00009952] * RD COMPARE * port=1 adr=16 act=112A68F6 exp=112A68F6 + 9952.50ns INFO [00009954] Port=0 WR @1E=964ACB7B + 9954.50ns INFO [00009956] Port=0 WR @0E=78EA46EF + 9954.50ns INFO [00009956] Port=0 RD @14 + 9955.50ns INFO [00009957] Port=1 RD @1C + 9956.50ns INFO [00009958] * RD COMPARE * port=0 adr=14 act=DD53A1B2 exp=DD53A1B2 + 9956.50ns INFO [00009958] Port=1 RD @1B + 9957.50ns INFO [00009959] * RD COMPARE * port=1 adr=1C act=FE3FAADC exp=FE3FAADC + 9958.50ns INFO [00009960] * RD COMPARE * port=1 adr=1B act=0A0232CD exp=0A0232CD + 9959.50ns INFO [00009961] Port=0 WR @16=9E517165 + 9960.50ns INFO [00009962] Port=0 RD @0A + 9961.50ns INFO [00009963] Port=0 WR @16=B138CD2A + 9961.50ns INFO [00009963] Port=0 RD @01 + 9962.50ns INFO [00009964] * RD COMPARE * port=0 adr=0A act=D77C45DB exp=D77C45DB + 9962.50ns INFO [00009964] Port=0 RD @11 + 9963.50ns INFO [00009965] * RD COMPARE * port=0 adr=01 act=A6222C14 exp=A6222C14 + 9963.50ns INFO [00009965] Port=1 RD @11 + 9964.50ns INFO [00009966] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9965.50ns INFO [00009967] * RD COMPARE * port=1 adr=11 act=52E6BBF6 exp=52E6BBF6 + 9965.50ns INFO [00009967] Port=0 WR @0F=0991533D + 9965.50ns INFO [00009967] Port=0 RD @0E + 9966.50ns INFO [00009968] Port=0 WR @05=E4E54B38 + 9966.50ns INFO [00009968] Port=1 RD @0E + 9967.50ns INFO [00009969] * RD COMPARE * port=0 adr=0E act=78EA46EF exp=78EA46EF + 9968.50ns INFO [00009970] * RD COMPARE * port=1 adr=0E act=78EA46EF exp=78EA46EF + 9968.50ns INFO [00009970] Port=0 WR @14=63736316 + 9968.50ns INFO [00009970] Port=0 RD @1C + 9969.50ns INFO [00009971] Port=0 RD @02 + 9970.50ns INFO [00009972] * RD COMPARE * port=0 adr=1C act=FE3FAADC exp=FE3FAADC + 9970.50ns INFO [00009972] Port=0 WR @0D=8D1ADE14 + 9971.50ns INFO [00009973] * RD COMPARE * port=0 adr=02 act=AB118057 exp=AB118057 + 9971.50ns INFO [00009973] Port=0 RD @17 + 9972.50ns INFO [00009974] Port=1 RD @13 + 9973.50ns INFO [00009975] * RD COMPARE * port=0 adr=17 act=4E8487C7 exp=4E8487C7 + 9973.50ns INFO [00009975] Port=1 RD @12 + 9974.50ns INFO [00009976] * RD COMPARE * port=1 adr=13 act=1D975E90 exp=1D975E90 + 9974.50ns INFO [00009976] Port=0 RD @13 + 9974.50ns INFO [00009976] Port=1 RD @03 + 9975.50ns INFO [00009977] * RD COMPARE * port=1 adr=12 act=5F0A9A23 exp=5F0A9A23 + 9975.50ns INFO [00009977] Port=0 RD @02 + 9975.50ns INFO [00009977] Port=1 RD @10 + 9976.50ns INFO [00009978] * RD COMPARE * port=0 adr=13 act=1D975E90 exp=1D975E90 + 9976.50ns INFO [00009978] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768 + 9976.50ns INFO [00009978] Port=0 WR @08=BE99B13E + 9977.50ns INFO [00009979] * RD COMPARE * port=0 adr=02 act=AB118057 exp=AB118057 + 9977.50ns INFO [00009979] * RD COMPARE * port=1 adr=10 act=EEB827CC exp=EEB827CC + 9978.50ns INFO [00009980] Port=0 WR @0C=429D189C + 9978.50ns INFO [00009980] Port=1 RD @0A + 9979.50ns INFO [00009981] Port=0 WR @07=08ED8665 + 9979.50ns INFO [00009981] Port=0 RD @0A + 9980.50ns INFO [00009982] * RD COMPARE * port=1 adr=0A act=D77C45DB exp=D77C45DB + 9980.50ns INFO [00009982] Port=0 RD @1D + 9981.50ns INFO [00009983] * RD COMPARE * port=0 adr=0A act=D77C45DB exp=D77C45DB + 9981.50ns INFO [00009983] Port=0 WR @11=C6C2552B + 9981.50ns INFO [00009983] Port=1 RD @09 + 9982.50ns INFO [00009984] * RD COMPARE * port=0 adr=1D act=139D7933 exp=139D7933 + 9983.50ns INFO [00009985] * RD COMPARE * port=1 adr=09 act=8882E9B3 exp=8882E9B3 + 9984.50ns INFO [00009986] Port=0 RD @05 + 9985.50ns INFO [00009987] Port=0 WR @07=D178CF2D + 9986.50ns INFO [00009988] * RD COMPARE * port=0 adr=05 act=E4E54B38 exp=E4E54B38 + 9986.50ns INFO [00009988] Port=1 RD @1E + 9988.50ns INFO [00009990] * RD COMPARE * port=1 adr=1E act=964ACB7B exp=964ACB7B + 9988.50ns INFO [00009990] Port=0 WR @01=3BAA0F1C + 9988.50ns INFO [00009990] Port=1 RD @18 + 9990.50ns INFO [00009992] * RD COMPARE * port=1 adr=18 act=F062FB19 exp=F062FB19 + 9990.50ns INFO [00009992] Port=0 WR @1F=5044F9C5 + 9990.50ns INFO [00009992] Port=0 RD @15 + 9991.50ns INFO [00009993] Port=0 RD @0B + 9991.50ns INFO [00009993] Port=1 RD @06 + 9992.50ns INFO [00009994] * RD COMPARE * port=0 adr=15 act=D6009453 exp=D6009453 + 9992.50ns INFO [00009994] Port=1 RD @1C + 9993.50ns INFO [00009995] * RD COMPARE * port=0 adr=0B act=59E9F43C exp=59E9F43C + 9993.50ns INFO [00009995] * RD COMPARE * port=1 adr=06 act=CC95D1E4 exp=CC95D1E4 + 9993.50ns INFO [00009995] Port=0 WR @14=B9F0F34B + 9993.50ns INFO [00009995] Port=0 RD @16 + 9993.50ns INFO [00009995] Port=1 RD @0E + 9994.50ns INFO [00009996] * RD COMPARE * port=1 adr=1C act=FE3FAADC exp=FE3FAADC + 9994.50ns INFO [00009996] Port=0 WR @11=C34B7DC5 + 9995.50ns INFO [00009997] * RD COMPARE * port=0 adr=16 act=B138CD2A exp=B138CD2A + 9995.50ns INFO [00009997] * RD COMPARE * port=1 adr=0E act=78EA46EF exp=78EA46EF + 9995.50ns INFO [00009997] Port=0 WR @1F=FDE33E2E + 9995.50ns INFO [00009997] Port=0 RD @16 + 9996.50ns INFO [00009998] Port=1 RD @14 + 9997.50ns INFO [00009999] * RD COMPARE * port=0 adr=16 act=B138CD2A exp=B138CD2A + 9997.50ns INFO [00009999] Port=0 RD @04 + 9997.50ns INFO [00009999] Port=1 RD @0E + 9998.00ns INFO [00010000] [00010000] ...tick... + 9998.50ns INFO [00010000] * RD COMPARE * port=1 adr=14 act=B9F0F34B exp=B9F0F34B + 9998.50ns INFO [00010000] Port=0 RD @11 + 9998.50ns INFO [00010000] Port=1 RD @10 + 9999.50ns INFO [00010001] * RD COMPARE * port=0 adr=04 act=E2E606F5 exp=E2E606F5 + 9999.50ns INFO [00010001] * RD COMPARE * port=1 adr=0E act=78EA46EF exp=78EA46EF + 10000.50ns INFO [00010002] * RD COMPARE * port=0 adr=11 act=C34B7DC5 exp=C34B7DC5 + 10000.50ns INFO [00010002] * RD COMPARE * port=1 adr=10 act=EEB827CC exp=EEB827CC + 10000.50ns INFO [00010002] Port=0 RD @16 + 10000.50ns INFO [00010002] Port=1 RD @0F + 10001.50ns INFO [00010003] Port=0 RD @14 + 10002.50ns INFO [00010004] * RD COMPARE * port=0 adr=16 act=B138CD2A exp=B138CD2A + 10002.50ns INFO [00010004] * RD COMPARE * port=1 adr=0F act=0991533D exp=0991533D + 10002.50ns INFO [00010004] Port=0 RD @19 + 10003.50ns INFO [00010005] * RD COMPARE * port=0 adr=14 act=B9F0F34B exp=B9F0F34B + 10003.50ns INFO [00010005] Port=0 RD @0B + 10003.50ns INFO [00010005] Port=1 RD @0A + 10004.50ns INFO [00010006] * RD COMPARE * port=0 adr=19 act=F94C6306 exp=F94C6306 + 10004.50ns INFO [00010006] Port=0 WR @0E=EBAFF705 + 10004.50ns INFO [00010006] Port=1 RD @02 + 10005.50ns INFO [00010007] * RD COMPARE * port=0 adr=0B act=59E9F43C exp=59E9F43C + 10005.50ns INFO [00010007] * RD COMPARE * port=1 adr=0A act=D77C45DB exp=D77C45DB + 10005.50ns INFO [00010007] Port=1 RD @10 + 10006.50ns INFO [00010008] * RD COMPARE * port=1 adr=02 act=AB118057 exp=AB118057 + 10007.50ns INFO [00010009] * RD COMPARE * port=1 adr=10 act=EEB827CC exp=EEB827CC + 10008.50ns INFO [00010010] Port=0 WR @0E=97A2D496 + 10008.50ns INFO [00010010] Port=1 RD @12 + 10009.50ns INFO [00010011] Port=0 WR @1C=F5268313 + 10009.50ns INFO [00010011] Port=0 RD @17 + 10010.50ns INFO [00010012] * RD COMPARE * port=1 adr=12 act=5F0A9A23 exp=5F0A9A23 + 10010.50ns INFO [00010012] Port=0 WR @10=807AFC53 + 10010.50ns INFO [00010012] Port=1 RD @02 + 10011.50ns INFO [00010013] * RD COMPARE * port=0 adr=17 act=4E8487C7 exp=4E8487C7 + 10011.50ns INFO [00010013] Port=0 RD @09 + 10012.50ns INFO [00010014] * RD COMPARE * port=1 adr=02 act=AB118057 exp=AB118057 + 10012.50ns INFO [00010014] Port=0 RD @16 + 10012.50ns INFO [00010014] Port=1 RD @1D + 10013.50ns INFO [00010015] * RD COMPARE * port=0 adr=09 act=8882E9B3 exp=8882E9B3 + 10013.50ns INFO [00010015] Port=0 WR @1D=AF27EA25 + 10013.50ns INFO [00010015] Port=1 RD @18 + 10014.50ns INFO [00010016] * RD COMPARE * port=0 adr=16 act=B138CD2A exp=B138CD2A + 10014.50ns INFO [00010016] * RD COMPARE * port=1 adr=1D act=139D7933 exp=139D7933 + 10014.50ns INFO [00010016] Port=0 WR @1D=5B9AE71C + 10015.50ns INFO [00010017] * RD COMPARE * port=1 adr=18 act=F062FB19 exp=F062FB19 + 10015.50ns INFO [00010017] Port=1 RD @1B + 10017.50ns INFO [00010019] * RD COMPARE * port=1 adr=1B act=0A0232CD exp=0A0232CD + 10017.50ns INFO [00010019] Port=0 WR @0C=0BB827F9 + 10018.50ns INFO [00010020] Port=0 WR @1E=E0D31229 + 10019.50ns INFO [00010021] Port=0 WR @03=9691279C + 10020.50ns INFO [00010022] Port=1 RD @17 + 10021.50ns INFO [00010023] Port=1 RD @0D + 10022.50ns INFO [00010024] * RD COMPARE * port=1 adr=17 act=4E8487C7 exp=4E8487C7 + 10022.50ns INFO [00010024] Port=0 RD @03 + 10023.50ns INFO [00010025] * RD COMPARE * port=1 adr=0D act=8D1ADE14 exp=8D1ADE14 + 10023.50ns INFO [00010025] Port=0 WR @10=F82AB140 + 10023.50ns INFO [00010025] Port=1 RD @11 + 10024.50ns INFO [00010026] * RD COMPARE * port=0 adr=03 act=9691279C exp=9691279C + 10024.50ns INFO [00010026] Port=0 RD @19 + 10025.50ns INFO [00010027] * RD COMPARE * port=1 adr=11 act=C34B7DC5 exp=C34B7DC5 + 10025.50ns INFO [00010027] Port=1 RD @12 + 10026.50ns INFO [00010028] * RD COMPARE * port=0 adr=19 act=F94C6306 exp=F94C6306 + 10027.50ns INFO [00010029] * RD COMPARE * port=1 adr=12 act=5F0A9A23 exp=5F0A9A23 + 10028.50ns INFO [00010030] Port=0 WR @1C=5B1DB718 + 10029.50ns INFO [00010031] Port=0 WR @14=1A27AA07 + 10029.50ns INFO [00010031] Port=1 RD @0E + 10030.50ns INFO [00010032] Port=1 RD @02 + 10031.50ns INFO [00010033] * RD COMPARE * port=1 adr=0E act=97A2D496 exp=97A2D496 + 10031.50ns INFO [00010033] Port=0 RD @13 + 10031.50ns INFO [00010033] Port=1 RD @12 + 10032.50ns INFO [00010034] * RD COMPARE * port=1 adr=02 act=AB118057 exp=AB118057 + 10032.50ns INFO [00010034] Port=0 WR @1F=96D507CD + 10032.50ns INFO [00010034] Port=0 RD @15 + 10033.50ns INFO [00010035] * RD COMPARE * port=0 adr=13 act=1D975E90 exp=1D975E90 + 10033.50ns INFO [00010035] * RD COMPARE * port=1 adr=12 act=5F0A9A23 exp=5F0A9A23 + 10033.50ns INFO [00010035] Port=0 RD @0E + 10034.50ns INFO [00010036] * RD COMPARE * port=0 adr=15 act=D6009453 exp=D6009453 + 10034.50ns INFO [00010036] Port=0 RD @0F + 10034.50ns INFO [00010036] Port=1 RD @16 + 10035.50ns INFO [00010037] * RD COMPARE * port=0 adr=0E act=97A2D496 exp=97A2D496 + 10035.50ns INFO [00010037] Port=0 WR @0C=38347CB1 + 10035.50ns INFO [00010037] Port=1 RD @07 + 10036.50ns INFO [00010038] * RD COMPARE * port=0 adr=0F act=0991533D exp=0991533D + 10036.50ns INFO [00010038] * RD COMPARE * port=1 adr=16 act=B138CD2A exp=B138CD2A + 10036.50ns INFO [00010038] Port=0 WR @0D=C1C0D7D8 + 10037.50ns INFO [00010039] * RD COMPARE * port=1 adr=07 act=D178CF2D exp=D178CF2D + 10037.50ns INFO [00010039] Port=0 WR @12=6C6FD11E + 10038.50ns INFO [00010040] Port=0 WR @17=545B517F + 10039.50ns INFO [00010041] Port=0 RD @08 + 10039.50ns INFO [00010041] Port=1 RD @0E + 10041.50ns INFO [00010043] * RD COMPARE * port=0 adr=08 act=BE99B13E exp=BE99B13E + 10041.50ns INFO [00010043] * RD COMPARE * port=1 adr=0E act=97A2D496 exp=97A2D496 + 10041.50ns INFO [00010043] Port=0 WR @1A=76434F37 + 10041.50ns INFO [00010043] Port=1 RD @0D + 10042.50ns INFO [00010044] Port=0 WR @12=069ECCCE + 10042.50ns INFO [00010044] Port=0 RD @13 + 10043.50ns INFO [00010045] * RD COMPARE * port=1 adr=0D act=C1C0D7D8 exp=C1C0D7D8 + 10043.50ns INFO [00010045] Port=0 WR @05=58E318E7 + 10043.50ns INFO [00010045] Port=0 RD @10 + 10043.50ns INFO [00010045] Port=1 RD @00 + 10044.50ns INFO [00010046] * RD COMPARE * port=0 adr=13 act=1D975E90 exp=1D975E90 + 10044.50ns INFO [00010046] Port=0 RD @14 + 10044.50ns INFO [00010046] Port=1 RD @1D + 10045.50ns INFO [00010047] * RD COMPARE * port=0 adr=10 act=F82AB140 exp=F82AB140 + 10045.50ns INFO [00010047] * RD COMPARE * port=1 adr=00 act=3C2E724D exp=3C2E724D + 10046.50ns INFO [00010048] * RD COMPARE * port=0 adr=14 act=1A27AA07 exp=1A27AA07 + 10046.50ns INFO [00010048] * RD COMPARE * port=1 adr=1D act=5B9AE71C exp=5B9AE71C + 10047.50ns INFO [00010049] Quiescing... + 10057.00ns INFO [00010059] Done. + 10057.00ns INFO [00010059] Final State + +Reads Port 0: 4005 +Reads Port 1: 4052 +Writes Port 0: 4055 + 10057.00ns INFO [00010059] [00010059] You has opulence. + 10057.00ns INFO tb_32x32 passed + 10057.00ns INFO ************************************************************************************** + ** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) ** + ************************************************************************************** + ** tb.tb_32x32 PASS 10057.00 8.54 1177.70 ** + ************************************************************************************** + ** TESTS=0 PASS=1 FAIL=0 SKIP=0 10057.00 8.56 1174.42 ** + ************************************************************************************** + +VCD info: dumpfile test_ra_sdr_32x32.vcd opened for output. +VCD warning: $dumpvars: Package ($unit) is not dumpable with VCD. +make[1]: Leaving directory '/media/wtf/WD_USBC_4T/projects/toy-sram/rtl/sim/coco' +vcd2fst test_ra_sdr_32x32.vcd test_ra_sdr_32x32.fst +#rm test_ra_sdr_32x32.vcd diff --git a/rtl/sim/coco/src b/rtl/sim/coco/src new file mode 120000 index 0000000..cc2512d --- /dev/null +++ b/rtl/sim/coco/src @@ -0,0 +1 @@ +../../src/array \ No newline at end of file diff --git a/rtl/sim/coco/tb.py b/rtl/sim/coco/tb.py new file mode 100644 index 0000000..b048393 --- /dev/null +++ b/rtl/sim/coco/tb.py @@ -0,0 +1,1027 @@ +# toysram sdr tb +# converted from pyverilator version +# +# variations: +# sdr: 1x +# ddr: 1x +# ddr: 2x + +import cocotb +from cocotb.clock import Clock +from cocotb.triggers import Timer +from cocotb.triggers import FallingEdge +from cocotb.triggers import RisingEdge +from cocotb.handle import Force +from cocotb.handle import Release + +import itertools +from dotmap import DotMap +from random import getrandbits +from random import randint + +from OPEnv import * + +def hexrandom(w=16): + n = getrandbits(w*4) + return '{0:0{l}X}'.format(n, l=w) + +# Classes ---------------------------------------------------------------------------------------- + +class Memory: + + def __init__(self, rows, bits, init=0): + self.mem = [init] * rows + self.rows = rows + self.bits = bits + + def read(self, adr): + return self.mem[adr] + + def readall(self): + mem = [] + for i in range(len(self.mem)): + mem.append(self.mem[i]) + return mem + + def write(self, adr, dat): + self.mem[adr] = dat + + def __str__(self): + t = '' + for i in range(self.rows, 4): + t1 = f'[{i:02X}] {self.mem[i]:0{bits/4}X}' + for j in range(i+1, i+4): + t1 += f' [{j:02X}] {self.mem[j]:0{bits/4}X}' + t += t1 + '\n' + return t + +class Port: + + def __init__(self, sim, id, type='r', nibbles=18): + self.sim = sim + self.dut = sim.dut + self.id = id + self.type = type + self.nibbles = nibbles + + def read(self, adr): + if self.id == 0: + self.dut.rd_enb_0.value = 1 + self.dut.rd_adr_0.value = adr + elif self.id == 1: + self.dut.rd_enb_1.value = 1 + self.dut.rd_adr_1.value = adr + elif self.id == 2: + self.dut.rd_enb_2.value = 1 + self.dut.rd_adr_2.value = adr + elif self.id == 3: + self.dut.rd_enb_3.value = 1 + self.dut.rd_adr_3.value = adr + + self.sim.msg(f'Port={self.id} RD @{adr:02X}') + + def write(self, adr, dat): + if self.id == 0: + self.dut.wr_enb_0.value = 1 + self.dut.wr_adr_0.value = adr + self.dut.wr_dat_0.value = dat + elif self.id == 1: + self.dut.wr_enb_1.value = 1 + self.dut.wr_adr_1.value = adr + self.dut.wr_dat_1.value = dat + elif self.id == 2: + self.dut.wr_enb_2.value = 1 + self.dut.wr_adr_2.value = adr + self.dut.wr_dat_2.value = dat + elif self.id == 3: + self.dut.wr_enb_3.value = 1 + self.dut.wr_adr_3.value = adr + self.dut.wr_dat_3.value = dat + + self.sim.msg(f'Port={self.id} WR @{adr:02X}={dat:0{self.nibbles}X}') + + def data(self): + if self.id == 0: + return self.dut.rd_dat_0.value.integer + elif self.id == 1: + return self.dut.rd_dat_1.value.integer + elif self.id == 2: + return self.dut.rd_dat_2.value.integer + elif self.id == 3: + return self.dut.rd_dat_3.value.integer + + def idle(self): + if self.type == 'r': + if self.id == 0: + self.dut.rd_enb_0.value = 0 + self.dut.rd_adr_0.value = 0 # random + elif self.id == 1: + self.dut.rd_enb_1.value = 0 + self.dut.rd_adr_1.value = 0 # random + elif self.id == 2: + self.dut.rd_enb_2.value = 0 + self.dut.rd_adr_2.value = 0 # random + elif self.id == 3: + self.dut.rd_enb_3.value = 0 + self.dut.rd_adr_3.value = 0 # random + else: + if self.id == 0: + self.dut.wr_enb_0.value = 0 + self.dut.wr_adr_0.value = 0 # random + self.dut.wr_dat_0.value = 0 # random + elif self.id == 1: + self.dut.wr_enb_1.value = 0 + self.dut.wr_adr_1.value = 0 # random + self.dut.wr_dat_1.value = 0 # random + elif self.id == 2: + self.dut.wr_enb_2.value = 0 + self.dut.wr_adr_2.value = 0 # random + self.dut.wr_dat_2.value = 0 # random + elif self.id == 3: + self.dut.wr_enb_3.value = 0 + self.dut.wr_adr_3.value = 0 # random + self.dut.wr_dat_31.value = 0 # random + +def hex(n, pad=0): + if pad: + return f'000000000000000000000000{n.value.hex()[2:].upper()}'[-pad:] + else: + return n.value.hex()[2:].upper() + +def printstate(sim): + if sim.sdr: + try: + sim.msg(f'R0: {sim.dut.ra.rd_enb_0_q.value} {hex(sim.dut.ra.rd_adr_0_q, 2)} {hex(sim.dut.ra.rd_dat_0_q, 18)} R1: {sim.dut.ra.rd_enb_1_q.value} {hex(sim.dut.ra.rd_adr_1_q, 2)} {hex(sim.dut.ra.rd_dat_1_q, 18)}') + except: + sim.msg(f'R0: {sim.dut.ra.rd_enb_0_q.value} {sim.dut.ra.rd_adr_0_q.value} {sim.dut.ra.rd_dat_0_q.value} R1: {sim.dut.ra.rd_enb_1_q.value} {sim.dut.ra.rd_adr_1_q.value} {sim.dut.ra.rd_dat_1_q.value}') + + try: + sim.msg(f'W0: {sim.dut.ra.wr_enb_0_q.value} {hex(sim.dut.ra.wr_adr_0_q, 2)} {hex(sim.dut.ra.wr_dat_0_q, 18)}') + except: + sim.msg(f'W0: {sim.dut.ra.wr_enb_0_q.value} {sim.dut.ra.wr_adr_0_q.value} {sim.dut.ra.wr_dat_0_q.value}') + else: + pass + +def printstate_32(sim): + if sim.sdr: + try: + sim.msg(f'R0: {sim.dut.ra.rd_enb_0_q.value} {hex(sim.dut.ra.rd_adr_0_q, 2)} {hex(sim.dut.ra.rd_dat_0_q, 8)} R1: {sim.dut.ra.rd_enb_1_q.value} {hex(sim.dut.ra.rd_adr_1_q, 2)} {hex(sim.dut.ra.rd_dat_1_q, 8)}') + except: + sim.msg(f'R0: {sim.dut.ra.rd_enb_0_q.value} {sim.dut.ra.rd_adr_0_q.value} {sim.dut.ra.rd_dat_0_q.value} R1: {sim.dut.ra.rd_enb_1_q.value} {sim.dut.ra.rd_adr_1_q.value} {sim.dut.ra.rd_dat_1_q.value}') + + try: + sim.msg(f'W0: {sim.dut.ra.wr_enb_0_q.value} {hex(sim.dut.ra.wr_adr_0_q, 2)} {hex(sim.dut.ra.wr_dat_0_q, 8)}') + except: + sim.msg(f'W0: {sim.dut.ra.wr_enb_0_q.value} {sim.dut.ra.wr_adr_0_q.value} {sim.dut.ra.wr_dat_0_q.value}') + else: + pass + +# ------------------------------------------------------------------------------------------------ +# Tasks + +# get rid of z on anything that will be sampled here +# is there a func to get all inputs? +async def init(dut, sim): + """Initialize inputs. """ + + return + +async def initSite(dut, sim): + """Initialize inputs. """ + + dut.wbs_stb_i.value = 0 + dut.wbs_cyc_i.value = 0 + dut.la_data_in.value = 0 + dut.la_oenb.value = 0 + dut.io_in.value = 0 + + return + +async def config(dut, sim): + """Configure core, etc. """ + + return + +async def coreMonitor(dut, sim): + """Watch for core events. """ + + return + +async def genReset(dut, sim): + """Generate reset. """ + + first = True + done = False + + while not done: + await RisingEdge(sim.clk1x) + if sim.cycle < sim.resetCycle: + if first: + sim.msg(f'Resetting...') + first = False + dut.reset.value = 1 + elif not done: + sim.msg(f'Releasing reset.') + dut.reset.value = 0 + done = True + +async def genClocks(dut, sim): + """Generate clock pulses. """ + + sim.clk1x = dut.clk + clk = Clock(sim.clk1x, sim.clk1xPeriod, 'ns') + await cocotb.start(clk.start()) + + sim.cycle = 0 + while True: + sim.cycle += 1 + if sim.cycle % sim.hbCycles == 0: + sim.msg(f'...tick...') + await RisingEdge(sim.clk1x) + + +async def configSite(dut, sim): + """Configure core, etc. """ + + return + +async def genResetSite(dut, sim): + """Generate reset. """ + + first = True + done = False + + while not done: + await RisingEdge(sim.clk1x) + if sim.cycle < sim.resetCycle: + if first: + sim.msg(f'Resetting...') + first = False + dut.wb_rst_i.value = 1 + elif not done: + sim.msg(f'Releasing reset.') + dut.wb_rst_i.value = 0 + done = True + +async def genClocksSite(dut, sim): + """Generate clock pulses. """ + + sim.clk1x = dut.wb_clk_i + clk = Clock(sim.clk1x, sim.clk1xPeriod, 'ns') + await cocotb.start(clk.start()) + + sim.cycle = 0 + while True: + sim.cycle += 1 + if sim.randomIO: + dut.io_in.value = int(hexrandom(9),16)*2 # randomize 1:36 (test_enable=0) + assert sim.cycle < sim.maxCycles, f'Maximum cycles reached!' + if sim.cycle % sim.hbCycles == 0: + sim.msg(f'...tick...') + await RisingEdge(sim.clk1x) + + +# ------------------------------------------------------------------------------------------------ +# Interfaces + +# ------------------------------------------------------------------------------------------------ +# Do something + +# ------------------------------------------------------------------------------------------------ +# ------------------------------------------------------------------------------------------------ +@cocotb.test() +async def tb(dut): + """ToySRAM 64x72 array test""" + + sim = Sim(dut) + sim.sdr = True + sim.ddr = False + sim.clk1xPeriod = 1 + sim.clk2x = False + sim.maxCycles = 50000 + + # init stuff + await init(dut, sim) + + # start clocks,reset + clkGen = await cocotb.start(genClocks(dut, sim)) + await cocotb.start(genReset(dut, sim)) + + await Timer(sim.resetCycle + 5, units='ns') + if dut.reset.value != 0: + sim.ok = False + sim.fail = 'Reset active too long!' + + # config stuff + await config(dut, sim) + + # monitor stuff + await cocotb.start(coreMonitor(dut, sim)) + + # do stuff + data = Memory(64, 72) + if sim.sdr: + portsRd = [Port(sim, 0, 'r'), Port(sim, 1, 'r')] + portsWr = [Port(sim, 0, 'w')] + else: + portsRd = [Port(sim, 0, 'r'), Port(sim, 1, 'r'), Port(sim, 2, 'r'), Port(sim, 3, 'r')] + portsWr = [Port(sim, 0, 'w'), Port(sim, 1, 'w')] + + await RisingEdge(sim.clk1x) + + # idle + for p in portsRd: + p.idle() + for p in portsWr: + p.idle() + + for i in range(10): + await RisingEdge(sim.clk1x) + + # init array + sim.msg('Initializing array...') + if sim.sdr: + for a in range(64): + d0 = int(f'{a:02X}55555555555555{a:02X}', 16) + portsWr[0].write(a, d0) + await RisingEdge(sim.clk1x) + data.write(a, d0) # now visible for reads + portsWr[0].idle() + else: + pass + ''' + for a in range(0, 64, 2): + d0 = int(f'5555555555555555{a:02X}', 16) + portsWr[0].write(a, d0) + d1 = int(f'5555555555555555{a+1:02X}', 16) + portsWr[1].write(a+1, d1) + run(1, printstate) + data.write(a, d0) # now visible for reads + data.write(a+1, d1) # now visible for reads + portsWr[0].idle() + portsWr[1].idle() + ''' + + # random cmds + sim.msg('Running random commands...') + updates = [] + checks = [] + reads = [0, 0, 0, 0] + writes = [0, 0] + saveData = None + quiesced = False + quiesceCyc = sim.cycle + sim.maxCycles - 10 + errors = 0 + #rangesRd = [(0,63), (0,63), (0,63), (0,63)] + rangesRd = [(0,7), (0,7), (0,7), (0,7)] + #rangesWr = [(0,63), (0,63),(0,63), (0,63)] + rangesWr = [(0,7), (0,7)] + verbose = True + + for c in range(sim.maxCycles): + + sim.ok = True + + await FallingEdge(sim.clk1x) + + # check reads + checksNext = [] + for i in range(len(checks)): + rd = checks[i] + if sim.cycle == rd[0]: + port = rd[1] + adr = rd[2] + act = portsRd[port].data() + exp = saveData[rd[2]] + if act != exp: + sim.ok = False + try: + sim.msg(f'* RD MISCOMPARE * port={port} adr={adr:02X} act={act:018X} exp={exp:018X}') + except: + sim.msg(f'* RD MISCOMPARE * port={port} adr={adr} act={act} exp={exp}') + elif verbose: + sim.msg(f'* RD COMPARE * port={port} adr={adr:02X} act={act:018X} exp={exp:018X}') + + else: + checksNext.append(rd) + checks = checksNext + + # do writes + updatesNext = [] # always only 1 cycle + for i in range(len(updates)): + wr = updates[i] + if sim.cycle == wr[0]: + data.write(wr[2], wr[3]) + else: + assert False, f'HUH? should always be this cycle! {sim.cycle},{updates}' + updates = updatesNext + + # save current data + saveData = data.readall() + + # quiesce? + if sim.cycle >= quiesceCyc: + if not quiesced: + sim.msg('Quiescing...') + quiesced = True + + # w0/w1 write coll will give w1 precedence - or make it avoid + aw = [None] * 2 + for i in range(len(portsWr)): + portsWr[i].idle() + aw[i] = -1 + if not quiesced and randint(1, 10) < 5: + r = rangesWr[i] + aw[i] = randint(r[0], r[1]) + d = int(hexrandom(18), 16) + portsWr[i].write(aw[i], d) + updates.append((sim.cycle + 1, i, aw[i], d)) + writes[i] += 1 + + for i in range(len(portsRd)): + portsRd[i].idle() + if not quiesced and randint(1, 10) < 5: + r = rangesRd[i] + ar = randint(r[0], r[1]) + while ar == aw[0] or ar == aw[1]: + ar = randint(r[0], r[1]) + portsRd[i].read(ar) + checks.append((sim.cycle + 2, i, ar)) + reads[i] += 1 + + await RisingEdge(sim.clk1x) + #printstate(sim) + + if not sim.ok: # and stopOnFail: + break + + sim.msg('Done.') + # idle + for p in portsRd: + p.idle() + for p in portsWr: + p.idle() + + sim.msg('Final State') + print(data) + for i in range(len(portsRd)): + print(f'Reads Port {i}: {reads[i]}') + for i in range(len(portsWr)): + print(f'Writes Port {i}: {writes[i]}') + + if sim.ok: + sim.msg(f'You has opulence.') + else: + for i in range(10): + await RisingEdge(sim.clk1x) + sim.msg(f'You are worthless and weak!') + assert False, f'[{sim.cycle:08d}] {sim.fail}' + +# ------------------------------------------------------------------------------------------------ +# ------------------------------------------------------------------------------------------------ +@cocotb.test() +async def tb_32x32(dut): + """ToySRAM 32x32 array test""" + + sim = Sim(dut) + sim.sdr = True + sim.ddr = False + sim.clk1xPeriod = 1 + sim.clk2x = False + sim.maxCycles = 10000 + + # init stuff + await init(dut, sim) + + # start clocks,reset + clkGen = await cocotb.start(genClocks(dut, sim)) + await cocotb.start(genReset(dut, sim)) + + await Timer(sim.resetCycle + 5, units='ns') + if dut.reset.value != 0: + sim.ok = False + sim.fail = 'Reset active too long!' + + # config stuff + await config(dut, sim) + + # monitor stuff + await cocotb.start(coreMonitor(dut, sim)) + + # do stuff + data = Memory(32, 32) + if sim.sdr: + portsRd = [Port(sim, 0, 'r', 8), Port(sim, 1, 'r', 8)] + portsWr = [Port(sim, 0, 'w', 8)] + else: + portsRd = [Port(sim, 0, 'r', 8), Port(sim, 1, 'r', 8), Port(sim, 2, 'r', 8), Port(sim, 3, 'r', 8)] + portsWr = [Port(sim, 0, 'w', 8), Port(sim, 1, 'w', 8)] + + await RisingEdge(sim.clk1x) + + # idle + for p in portsRd: + p.idle() + for p in portsWr: + p.idle() + + for i in range(10): + await RisingEdge(sim.clk1x) + + # init array + sim.msg('Initializing array...') + if sim.sdr: + for a in range(32): + d0 = int(f'{a:02X}5555{a:02X}', 16) + portsWr[0].write(a, d0) + await RisingEdge(sim.clk1x) + data.write(a, d0) # now visible for reads + portsWr[0].idle() + else: + pass + + # random cmds + sim.msg('Running random commands...') + updates = [] + checks = [] + reads = [0, 0, 0, 0] + writes = [0, 0] + saveData = None + quiesced = False + quiesceCyc = sim.cycle + sim.maxCycles - 10 + errors = 0 + #rangesRd = [(0,1), (0,1), (0,1), (0,1)] + rangesRd = [(0,31), (0,31), (0,31), (0,31)] + #rangesWr = [(0,1), (0,1)] + rangesWr = [(0,31), (0,31)] + verbose = True + + for c in range(sim.maxCycles): + + sim.ok = True + + await FallingEdge(sim.clk1x) + + # check reads + checksNext = [] + for i in range(len(checks)): + rd = checks[i] + if sim.cycle == rd[0]: + port = rd[1] + adr = rd[2] + act = portsRd[port].data() + exp = saveData[rd[2]] + if act != exp: + sim.ok = False + try: + sim.msg(f'* RD MISCOMPARE * port={port} adr={adr:02X} act={act:08X} exp={exp:08X}') + except: + sim.msg(f'* RD MISCOMPARE * port={port} adr={adr} act={act} exp={exp}') + elif verbose: + sim.msg(f'* RD COMPARE * port={port} adr={adr:02X} act={act:08X} exp={exp:08X}') + + else: + checksNext.append(rd) + checks = checksNext + + # do writes + updatesNext = [] # always only 1 cycle + for i in range(len(updates)): + wr = updates[i] + if sim.cycle == wr[0]: + data.write(wr[2], wr[3]) + else: + assert False, f'HUH? should always be this cycle! {sim.cycle},{updates}' + updates = updatesNext + + # save current data + saveData = data.readall() + + # quiesce? + if sim.cycle >= quiesceCyc: + if not quiesced: + sim.msg('Quiescing...') + quiesced = True + + # w0/w1 write coll will give w1 precedence - or make it avoid + aw = [None] * 2 + for i in range(len(portsWr)): + portsWr[i].idle() + aw[i] = -1 + if not quiesced and randint(1, 10) < 5: + r = rangesWr[i] + aw[i] = randint(r[0], r[1]) + d = int(hexrandom(8), 16) + portsWr[i].write(aw[i], d) + updates.append((sim.cycle + 1, i, aw[i], d)) + writes[i] += 1 + + for i in range(len(portsRd)): + portsRd[i].idle() + if not quiesced and randint(1, 10) < 5: + r = rangesRd[i] + ar = randint(r[0], r[1]) + while ar == aw[0] or ar == aw[1]: + ar = randint(r[0], r[1]) + portsRd[i].read(ar) + checks.append((sim.cycle + 2, i, ar)) + reads[i] += 1 + + await RisingEdge(sim.clk1x) + #printstate_32(sim) + + if not sim.ok: # and stopOnFail: + break + + sim.msg('Done.') + # idle + for p in portsRd: + p.idle() + for p in portsWr: + p.idle() + + sim.msg('Final State') + print(data) + for i in range(len(portsRd)): + print(f'Reads Port {i}: {reads[i]}') + for i in range(len(portsWr)): + print(f'Writes Port {i}: {writes[i]}') + + if sim.ok: + sim.msg(f'You has opulence.') + else: + for i in range(10): + await RisingEdge(sim.clk1x) + sim.msg(f'You are worthless and weak!') + assert False, f'[{sim.cycle:08d}] {sim.fail}' + +# ------------------------------------------------------------------------------------------------ +# ------------------------------------------------------------------------------------------------ +@cocotb.test() +async def tb_site(dut): + """ToySRAM site test""" + + sim = Sim(dut) + sim.sdr = True + sim.ddr = False + sim.clk1xPeriod = 1 + sim.clk2x = False + sim.maxCycles = 50000 + sim.randomIO = True + + cfgBase = 0x00000000 + ctlBase = 0x00010000 + ra0Base = 0x00100000 + + # init stuff + await initSite(dut, sim) + + # start clocks,reset + clkGen = await cocotb.start(genClocksSite(dut, sim)) + await cocotb.start(genResetSite(dut, sim)) + + await Timer(sim.resetCycle + 5, units='ns') + if dut.wb_rst_i.value != 0: + sim.ok = False + sim.fail = 'Reset active too long!' + + if dut.io_in.value != 0: + sim.ok = False + sim.fail = 'I/O inputs not zero!' + + # config stuff + await configSite(dut, sim) + + # monitor stuff + await cocotb.start(coreMonitor(dut, sim)) + + # do stuff + + await RisingEdge(sim.clk1x) + + ra = Memory(32, 32) + + # write and read ra0 w0/r0 + for i in range(32): + + adr = ra0Base + i + dat = int(hexrandom(8), 16) + sim.msg(f'Writing Port 0 @{adr:08X} {i:02X}={dat:08X}') + dut.wbs_cyc_i.value = 1 + dut.wbs_stb_i.value = 1 + dut.wbs_we_i.value = 1 + dut.wbs_adr_i.value = adr + dut.wbs_sel_i.value = 0xF + dut.wbs_dat_i.value = dat + ra.write(i, dat) + + await RisingEdge(sim.clk1x) + dut.wbs_cyc_i.value = 0 + dut.wbs_stb_i.value = 0 + + while (dut.wbs_ack_o.value == 0): + await RisingEdge(sim.clk1x) + + sim.msg(f'Reading Port 0 @{adr:08X} {i:02X}') + dut.wbs_cyc_i.value = 1 + dut.wbs_stb_i.value = 1 + dut.wbs_we_i.value = 0 + dut.wbs_adr_i.value = adr + + await RisingEdge(sim.clk1x) + dut.wbs_cyc_i.value = 0 + dut.wbs_stb_i.value = 0 + + while (dut.wbs_ack_o.value == 0): + await RisingEdge(sim.clk1x) + + sim.msg(f'Read Data: {dut.wbs_dat_o.value.integer:08X}') + assert dut.wbs_dat_o.value == dat, f'Read data miscompare! exp={dat:08X} act={dut.wbs_dat_o.value.integer:08X}' + + # read ra0 r1 + for i in range(32): + + adr = ra0Base + 0x00004000 + i + sim.msg(f'Reading Port 1 @{adr:08X} {i:02X}') + dut.wbs_cyc_i.value = 1 + dut.wbs_stb_i.value = 1 + dut.wbs_we_i.value = 0 + dut.wbs_adr_i.value = adr + + await RisingEdge(sim.clk1x) + dut.wbs_cyc_i.value = 0 + dut.wbs_stb_i.value = 0 + + while (dut.wbs_ack_o.value == 0): + await RisingEdge(sim.clk1x) + + sim.msg(f'Read Data: {dut.wbs_dat_o.value.integer:08X}') + assert dut.wbs_dat_o.value == ra.read(i), f'Read data miscompare! exp={ra.read(i):08X} act={dut.wbs_dat_o.value.integer:08X}' + + # read ra0 r0,r1 through scan + + # control.v + test_enable = dut.io_in[0]; + scan_clk = dut.io_in[1]; + scan_di = dut.io_in[2]; + scan_do = dut.io_out[3] + + io_ra0_clk = dut.io_in[4]; + io_ra0_rst = dut.io_in[5]; + io_ra0_r0_enb = dut.io_in[6]; + io_ra0_r1_enb = dut.io_in[7]; + io_ra0_w0_enb = dut.io_in[8]; + + ''' + io_ra0_r0_adr = scan_reg_q[127:123]; + io_ra0_r0_dat = scan_reg_q[122:91]; // loaded by io_ra0_clk + io_ra0_r1_adr = scan_reg_q[90:86]; + io_ra0_r1_dat = scan_reg_q[85:54]; // loaded by io_ra0_clk + io_ra0_w0_adr = scan_reg_q[53:49]; + io_ra0_w0_dat = scan_reg_q[48:17]; + ''' + + scanLen = 128 + scanHalfPer = 1 + noisy = False + + sim.msg(f'Starting scan sequences.') + test_enable.value = 1 + sim.randomIO = False + + # load 0's + sim.msg(f'Writing zeroes...') + scan_di.value = 0 + for i in range(scanLen): + scan_clk.value = 0 + await Timer(scanHalfPer, units='ns') + scan_clk.value = 1 + await Timer(scanHalfPer, units='ns') + if noisy: + sim.msg(f' ScanReg={dut.site.ctl.scan_reg_q.value}') + + # check 0's + sim.msg(f'Checking zeroes...') + assert scan_do.value == 0, f'Scanout not 0! {scan_do.value}' + for i in range(1, scanLen): + scan_clk.value = 0 + await Timer(scanHalfPer, units='ns') + scan_clk.value = 1 + await Timer(scanHalfPer, units='ns') + assert scan_do.value == 0, f'Scanout not 0! {scan_do.value}' + + # read r0,r1 + sim.msg(f'Reading R0@15, R1@16...') + scanIn = '01111' + '11111111111111111111111111111111' + \ + '10000' + '11111111111111111111111111111111' + \ + '00000' + '00000000000000000000000000000000' + \ + '00000000000000000' + + sim.msg(f'Scanning in...') + for i in range(scanLen): + scan_di.value = int(scanIn[i]) + scan_clk.value = 0 + await Timer(scanHalfPer, units='ns') + scan_clk.value = 1 + await Timer(scanHalfPer, units='ns') + if noisy: + sim.msg(f' ScanReg={dut.site.ctl.scan_reg_q.value}') + + readHalfPer = 50 + + io_ra0_rst.value = 0 + io_ra0_r0_enb.value = 1 + io_ra0_r1_enb.value = 1 + await Timer(readHalfPer, units='ns') + # adr + sim.msg('Blipping RA0 clk...') + io_ra0_clk.value = 1 + await Timer(readHalfPer, units='ns') + io_ra0_clk.value = 0 + await Timer(readHalfPer, units='ns') + if noisy: + sim.msg(f' ScanReg={dut.site.ctl.scan_reg_q.value}') + # dat + sim.msg('Blipping RA0 clk...') + io_ra0_r0_enb.value = 0 + io_ra0_r1_enb.value = 0 + io_ra0_clk.value = 1 + await Timer(readHalfPer, units='ns') + io_ra0_clk.value = 0 + await Timer(readHalfPer, units='ns') + # capture in scan_reg + sim.msg('Blipping RA0 clk...') + io_ra0_clk.value = 1 + await Timer(readHalfPer, units='ns') + io_ra0_clk.value = 0 + await Timer(readHalfPer, units='ns') + + sim.msg(f'Scanning out...') + scan_di.value = 0 + scanOut = f'{scan_do.value}' + for i in range(1, scanLen): + scan_clk.value = 0 + await Timer(scanHalfPer, units='ns') + scan_clk.value = 1 + await Timer(scanHalfPer, units='ns') + scanOut += f'{scan_do.value}' + + sim.msg(f'ScanData={int(scanOut,2):032X}') + sim.msg(f' r0 adr:{int(scanOut[0:5], 2):02X}') + sim.msg(f' r0 dat:{int(scanOut[5:37], 2):08X}') + assert int(scanOut[5:37], 2) == ra.read(15), f'R0 Miscompare! exp={ra.read(15):08X} dat={int(scanOut[5:37], 2):08X}' + sim.msg(f' r1 adr:{int(scanOut[37:42], 2):02X}') + sim.msg(f' r1 dat:{int(scanOut[42:74], 2):08X}') + assert int(scanOut[42:74], 2) == ra.read(16), f'R0 Miscompare! exp={ra.read(16):08X} dat={int(scanOut[42:74], 2):08X}' + sim.msg(f' w0 adr:{int(scanOut[74:79], 2 ):02X}') + sim.msg(f' w0 dat:{int(scanOut[79:111], 2):08X}') + sim.msg(f' cfg:{int(scanOut[111:], 2):05X}') + + # write w0 + sim.msg(f'Writing W0@15=08675309...') + scanIn = '00000' + '11111111111111111111111111111111' + \ + '00000' + '11111111111111111111111111111111' + \ + '01111' + '00001000011001110101001100001001' + \ + '00000000000000000' + + sim.msg(f'Scanning in...') + for i in range(scanLen): + scan_di.value = int(scanIn[i]) + scan_clk.value = 0 + await Timer(scanHalfPer, units='ns') + scan_clk.value = 1 + await Timer(scanHalfPer, units='ns') + if noisy: + sim.msg(f' ScanReg={dut.site.ctl.scan_reg_q.value}') + + readHalfPer = 50 + + io_ra0_rst.value = 0 + io_ra0_w0_enb.value = 1 + await Timer(readHalfPer, units='ns') + # adr/dat + sim.msg('Blipping RA0 clk...') + io_ra0_clk.value = 1 + await Timer(readHalfPer, units='ns') + io_ra0_clk.value = 0 + await Timer(readHalfPer, units='ns') + if noisy: + sim.msg(f' ScanReg={dut.site.ctl.scan_reg_q.value}') + io_ra0_w0_enb.value = 0 + ra.write(15, int('08675309', 16)) + + # read r0,r1 + sim.msg(f'Reading R0@15, R1@16...') + scanIn = '01111' + '11111111111111111111111111111111' + \ + '10000' + '11111111111111111111111111111111' + \ + '00000' + '00000000000000000000000000000000' + \ + '00000000000000000' + + sim.msg(f'Scanning in...') + for i in range(scanLen): + scan_di.value = int(scanIn[i]) + scan_clk.value = 0 + await Timer(scanHalfPer, units='ns') + scan_clk.value = 1 + await Timer(scanHalfPer, units='ns') + if noisy: + sim.msg(f' ScanReg={dut.site.ctl.scan_reg_q.value}') + + readHalfPer = 50 + + io_ra0_rst.value = 0 + io_ra0_r0_enb.value = 1 + io_ra0_r1_enb.value = 1 + await Timer(readHalfPer, units='ns') + # adr + sim.msg('Blipping RA0 clk...') + io_ra0_clk.value = 1 + await Timer(readHalfPer, units='ns') + io_ra0_clk.value = 0 + await Timer(readHalfPer, units='ns') + if noisy: + sim.msg(f' ScanReg={dut.site.ctl.scan_reg_q.value}') + # dat + sim.msg('Blipping RA0 clk...') + io_ra0_r0_enb.value = 0 + io_ra0_r1_enb.value = 0 + io_ra0_clk.value = 1 + await Timer(readHalfPer, units='ns') + io_ra0_clk.value = 0 + await Timer(readHalfPer, units='ns') + # capture in scan_reg + sim.msg('Blipping RA0 clk...') + io_ra0_clk.value = 1 + await Timer(readHalfPer, units='ns') + io_ra0_clk.value = 0 + await Timer(readHalfPer, units='ns') + + sim.msg(f'Scanning out...') + scan_di.value = 0 + scanOut = f'{scan_do.value}' + for i in range(1, scanLen): + scan_clk.value = 0 + await Timer(scanHalfPer, units='ns') + scan_clk.value = 1 + await Timer(scanHalfPer, units='ns') + scanOut += f'{scan_do.value}' + + sim.msg(f'ScanData={int(scanOut,2):032X}') + sim.msg(f' r0 adr:{int(scanOut[0:5], 2):02X}') + sim.msg(f' r0 dat:{int(scanOut[5:37], 2):08X}') + assert int(scanOut[5:37], 2) == ra.read(15), f'R0 Miscompare! exp={ra.read(15):08X} dat={int(scanOut[5:37], 2):08X}' + sim.msg(f' r1 adr:{int(scanOut[37:42], 2):02X}') + sim.msg(f' r1 dat:{int(scanOut[42:74], 2):08X}') + assert int(scanOut[42:74], 2) == ra.read(16), f'R0 Miscompare! exp={ra.read(16):08X} dat={int(scanOut[42:74], 2):08X}' + sim.msg(f' w0 adr:{int(scanOut[74:79], 2 ):02X}') + sim.msg(f' w0 dat:{int(scanOut[79:111], 2):08X}') + sim.msg(f' cfg:{int(scanOut[111:], 2):05X}') + + test_enable.value = 0 + sim.randomIO = True + + await RisingEdge(sim.clk1x) + sim.msg(f'Starting regular sequences.') + + # write and read ra0 w0/r0 + for i in range(32): + + adr = ra0Base + i + dat = int(hexrandom(8), 16) + sim.msg(f'Writing Port 0 @{adr:08X} {i:02X}={dat:08X}') + dut.wbs_cyc_i.value = 1 + dut.wbs_stb_i.value = 1 + dut.wbs_we_i.value = 1 + dut.wbs_adr_i.value = adr + dut.wbs_sel_i.value = 0xF + dut.wbs_dat_i.value = dat + ra.write(i, dat) + + await RisingEdge(sim.clk1x) + dut.wbs_cyc_i.value = 0 + dut.wbs_stb_i.value = 0 + + while (dut.wbs_ack_o.value == 0): + await RisingEdge(sim.clk1x) + + sim.msg(f'Reading Port 0 @{adr:08X} {i:02X}') + dut.wbs_cyc_i.value = 1 + dut.wbs_stb_i.value = 1 + dut.wbs_we_i.value = 0 + dut.wbs_adr_i.value = adr + + await RisingEdge(sim.clk1x) + dut.wbs_cyc_i.value = 0 + dut.wbs_stb_i.value = 0 + + while (dut.wbs_ack_o.value == 0): + await RisingEdge(sim.clk1x) + + sim.msg(f'Read Data: {dut.wbs_dat_o.value.integer:08X}') + assert dut.wbs_dat_o.value == dat, f'Read data miscompare! exp={dat:08X} act={dut.wbs_dat_o.value.integer:08X}' + + sim.msg('Done') + + for i in range(10): + await RisingEdge(sim.clk1x) + diff --git a/rtl/sim/coco/test_ra_sdr_32x32.fst b/rtl/sim/coco/test_ra_sdr_32x32.fst new file mode 100644 index 0000000..5c98efb Binary files /dev/null and b/rtl/sim/coco/test_ra_sdr_32x32.fst differ diff --git a/rtl/sim/coco/test_ra_sdr_32x32.v b/rtl/sim/coco/test_ra_sdr_32x32.v new file mode 100644 index 0000000..9ded3cb --- /dev/null +++ b/rtl/sim/coco/test_ra_sdr_32x32.v @@ -0,0 +1,148 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Test array (SDR) wrapper for cocotb/icarus +// 32 word 32 bit array +// LCB for strobe generation +// Config, BIST, etc. + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module test_ra_sdr_32x32 ( + + clk, + reset, + cfg_wr, + cfg_dat, + bist_ctl, + bist_status, + + rd_enb_0, + rd_adr_0, + rd_dat_0, + + rd_enb_1, + rd_adr_1, + rd_dat_1, + + wr_enb_0, + wr_adr_0, + wr_dat_0 + +); + + input clk; + input reset; + input cfg_wr; + input [0:`LCBSDR_CONFIGWIDTH-1] cfg_dat; + input [0:31] bist_ctl; + output [0:31] bist_status; + input rd_enb_0; + input [0:4] rd_adr_0; + output [0:31] rd_dat_0; + input rd_enb_1; + input [0:4] rd_adr_1; + output [0:31] rd_dat_1; + input wr_enb_0; + input [0:4] wr_adr_0; + input [0:31] wr_dat_0; + + wire strobe; + wire [0:`LCBSDR_CONFIGWIDTH-1] cfg; + wire mux_rd0_enb; + wire [0:4] mux_rd0_adr; + wire mux_rd1_enb; + wire [0:4] mux_rd1_adr; + wire mux_wr0_enb; + wire [0:4] mux_wr0_adr; + wire [0:31] mux_wr0_dat; + + + initial begin + $dumpfile ("test_ra_sdr_32x32.vcd"); + $dumpvars; + #1; + end + + ra_lcb_sdr lcb ( + + .clk (clk), + .reset (reset), + .cfg (cfg), + .strobe (strobe) + + ); + + ra_cfg_sdr #(.INIT(-1)) cfig ( + + .clk (clk), + .reset (reset), + .cfg_wr (cfg_wr), + .cfg_dat (cfg_dat), + .cfg (cfg) + + ); + + ra_bist_sdr_32x32 bist ( + + .clk (clk), + .reset (reset), + .ctl (bist_ctl), + .status (bist_status), + .rd0_enb_in (rd_enb_0), + .rd0_adr_in (rd_adr_0), + .rd0_dat (rd_dat_0), + .rd1_enb_in (rd_enb_1), + .rd1_adr_in (rd_adr_1), + .rd1_dat (rd_dat_1), + .wr0_enb_in (wr_enb_0), + .wr0_adr_in (wr_adr_0), + .wr0_dat_in (wr_dat_0), + .rd0_enb_out (mux_rd0_enb), + .rd0_adr_out (mux_rd0_adr), + .rd1_enb_out (mux_rd1_enb), + .rd1_adr_out (mux_rd1_adr), + .wr0_enb_out (mux_wr0_enb), + .wr0_adr_out (mux_wr0_adr), + .wr0_dat_out (mux_wr0_dat) + + ); + + ra_2r1w_32x32_sdr ra ( + + .clk (clk), + .reset (reset), + .strobe (strobe), + .rd_enb_0 (mux_rd0_enb), + .rd_adr_0 (mux_rd0_adr), + .rd_dat_0 (rd_dat_0), + .rd_enb_1 (mux_rd1_enb), + .rd_adr_1 (mux_rd1_adr), + .rd_dat_1 (rd_dat_1), + .wr_enb_0 (mux_wr0_enb), + .wr_adr_0 (mux_wr0_adr), + .wr_dat_0 (mux_wr0_dat) + + ); + +endmodule + diff --git a/rtl/sim/coco/test_ra_sdr_32x32.vcd b/rtl/sim/coco/test_ra_sdr_32x32.vcd new file mode 100644 index 0000000..eda8936 --- /dev/null +++ b/rtl/sim/coco/test_ra_sdr_32x32.vcd @@ -0,0 +1,554886 @@ +$date + Wed Jun 8 11:32:49 2022 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module test_ra_sdr_32x32 $end +$var wire 32 ! bist_ctl [0:31] $end +$var wire 32 " bist_status [0:31] $end +$var wire 16 # cfg_dat [0:15] $end +$var wire 1 $ cfg_wr $end +$var wire 1 % clk $end +$var wire 5 & rd_adr_0 [0:4] $end +$var wire 5 ' rd_adr_1 [0:4] $end +$var wire 1 ( rd_enb_0 $end +$var wire 1 ) rd_enb_1 $end +$var wire 1 * reset $end +$var wire 5 + wr_adr_0 [0:4] $end +$var wire 32 , wr_dat_0 [0:31] $end +$var wire 1 - wr_enb_0 $end +$var wire 1 . strobe $end +$var wire 32 / rd_dat_1 [0:31] $end +$var wire 32 0 rd_dat_0 [0:31] $end +$var wire 1 1 mux_wr0_enb $end +$var wire 32 2 mux_wr0_dat [0:31] $end +$var wire 5 3 mux_wr0_adr [0:4] $end +$var wire 1 4 mux_rd1_enb $end +$var wire 5 5 mux_rd1_adr [0:4] $end +$var wire 1 6 mux_rd0_enb $end +$var wire 5 7 mux_rd0_adr [0:4] $end +$var wire 16 8 cfg [0:15] $end +$scope module bist $end +$var wire 1 9 bist_fail $end +$var wire 1 : bist_passed $end +$var wire 5 ; bist_rd0_adr [0:4] $end +$var wire 1 < bist_rd0_enb $end +$var wire 5 = bist_rd1_adr [0:4] $end +$var wire 1 > bist_rd1_enb $end +$var wire 5 ? bist_wr0_adr [0:4] $end +$var wire 32 @ bist_wr0_dat [0:31] $end +$var wire 1 A bist_wr0_enb $end +$var wire 1 % clk $end +$var wire 32 B ctl [0:31] $end +$var wire 5 C rd0_adr_in [0:4] $end +$var wire 1 ( rd0_enb_in $end +$var wire 5 D rd1_adr_in [0:4] $end +$var wire 1 ) rd1_enb_in $end +$var wire 1 * reset $end +$var wire 6 E seq_d [0:5] $end +$var wire 32 F status [0:31] $end +$var wire 5 G wr0_adr_in [0:4] $end +$var wire 32 H wr0_dat_in [0:31] $end +$var wire 1 - wr0_enb_in $end +$var wire 1 1 wr0_enb_out $end +$var wire 32 I wr0_dat_out [0:31] $end +$var wire 5 J wr0_adr_out [0:4] $end +$var wire 1 4 rd1_enb_out $end +$var wire 32 K rd1_dat [0:31] $end +$var wire 5 L rd1_adr_out [0:4] $end +$var wire 1 6 rd0_enb_out $end +$var wire 32 M rd0_dat [0:31] $end +$var wire 5 N rd0_adr_out [0:4] $end +$var wire 1 O active $end +$var reg 6 P seq_q [0:5] $end +$upscope $end +$scope module cfig $end +$var wire 16 Q cfg [0:15] $end +$var wire 16 R cfg_dat [0:15] $end +$var wire 1 $ cfg_wr $end +$var wire 1 % clk $end +$var wire 1 * reset $end +$var wire 16 S cfg_d [0:15] $end +$var reg 16 T cfg_q [0:15] $end +$upscope $end +$scope module lcb $end +$var wire 16 U cfg [0:15] $end +$var wire 1 % clk $end +$var wire 1 * reset $end +$var wire 1 . strobe $end +$scope begin genblk1 $end +$upscope $end +$upscope $end +$scope module ra $end +$var wire 1 % clk $end +$var wire 5 V rd_adr_0 [0:4] $end +$var wire 5 W rd_adr_1 [0:4] $end +$var wire 1 6 rd_enb_0 $end +$var wire 1 4 rd_enb_1 $end +$var wire 1 * reset $end +$var wire 1 . strobe $end +$var wire 1 X strobe_int $end +$var wire 5 Y wr_adr_0 [0:4] $end +$var wire 32 Z wr_dat_0 [0:31] $end +$var wire 1 1 wr_enb_0 $end +$var wire 1 [ wr0_na4 $end +$var wire 1 \ wr0_na3 $end +$var wire 1 ] wr0_na1_na2 $end +$var wire 1 ^ wr0_na1_a2 $end +$var wire 1 _ wr0_c_na0 $end +$var wire 1 ` wr0_c_a0 $end +$var wire 1 a wr0_a4 $end +$var wire 1 b wr0_a3 $end +$var wire 1 c wr0_a1_na2 $end +$var wire 1 d wr0_a1_a2 $end +$var wire 32 e rd_dat_1 [0:31] $end +$var wire 32 f rd_dat_0 [0:31] $end +$var wire 1 g rd1_na4 $end +$var wire 1 h rd1_na3 $end +$var wire 1 i rd1_na1_na2 $end +$var wire 1 j rd1_na1_a2 $end +$var wire 1 k rd1_c_na0 $end +$var wire 1 l rd1_c_a0 $end +$var wire 1 m rd1_a4 $end +$var wire 1 n rd1_a3 $end +$var wire 1 o rd1_a1_na2 $end +$var wire 1 p rd1_a1_a2 $end +$var wire 1 q rd0_na4 $end +$var wire 1 r rd0_na3 $end +$var wire 1 s rd0_na1_na2 $end +$var wire 1 t rd0_na1_a2 $end +$var wire 1 u rd0_c_na0 $end +$var wire 1 v rd0_c_a0 $end +$var wire 1 w rd0_a4 $end +$var wire 1 x rd0_a3 $end +$var wire 1 y rd0_a1_na2 $end +$var wire 1 z rd0_a1_a2 $end +$var wire 32 { ra_rd_dat_1 [0:31] $end +$var wire 32 | ra_rd_dat_0 [0:31] $end +$var reg 5 } rd_adr_0_q [0:4] $end +$var reg 5 ~ rd_adr_1_q [0:4] $end +$var reg 32 !" rd_dat_0_q [0:31] $end +$var reg 32 "" rd_dat_1_q [0:31] $end +$var reg 1 #" rd_enb_0_q $end +$var reg 1 $" rd_enb_1_q $end +$var reg 5 %" wr_adr_0_q [0:4] $end +$var reg 32 &" wr_dat_0_q [0:31] $end +$var reg 1 '" wr_enb_0_q $end +$scope begin genblk1 $end +$upscope $end +$scope begin genblk2 $end +$upscope $end +$scope module add_clk $end +$var wire 5 (" rd_adr_0 [0:4] $end +$var wire 5 )" rd_adr_1 [0:4] $end +$var wire 1 #" rd_enb_0 $end +$var wire 1 $" rd_enb_1 $end +$var wire 1 X strobe $end +$var wire 5 *" wr_adr_0 [0:4] $end +$var wire 1 '" wr_enb_0 $end +$var wire 1 [ wr0_na4 $end +$var wire 1 \ wr0_na3 $end +$var wire 1 ] wr0_na1_na2 $end +$var wire 1 ^ wr0_na1_a2 $end +$var wire 1 _ wr0_c_na0 $end +$var wire 1 ` wr0_c_a0 $end +$var wire 1 a wr0_a4 $end +$var wire 1 b wr0_a3 $end +$var wire 1 c wr0_a1_na2 $end +$var wire 1 d wr0_a1_a2 $end +$var wire 1 g rd1_na4 $end +$var wire 1 h rd1_na3 $end +$var wire 1 i rd1_na1_na2 $end +$var wire 1 j rd1_na1_a2 $end +$var wire 1 k rd1_c_na0 $end +$var wire 1 l rd1_c_a0 $end +$var wire 1 m rd1_a4 $end +$var wire 1 n rd1_a3 $end +$var wire 1 o rd1_a1_na2 $end +$var wire 1 p rd1_a1_a2 $end +$var wire 1 q rd0_na4 $end +$var wire 1 r rd0_na3 $end +$var wire 1 s rd0_na1_na2 $end +$var wire 1 t rd0_na1_a2 $end +$var wire 1 u rd0_c_na0 $end +$var wire 1 v rd0_c_a0 $end +$var wire 1 w rd0_a4 $end +$var wire 1 x rd0_a3 $end +$var wire 1 y rd0_a1_na2 $end +$var wire 1 z rd0_a1_a2 $end +$scope module predecode_r0 $end +$var wire 1 z a1_a2 $end +$var wire 1 y a1_na2 $end +$var wire 5 +" address [0:4] $end +$var wire 1 v c_a0 $end +$var wire 1 u c_na0 $end +$var wire 1 ," clock_enable $end +$var wire 1 #" enable $end +$var wire 1 t na1_a2 $end +$var wire 1 s na1_na2 $end +$var wire 1 X strobe $end +$var wire 1 q na4 $end +$var wire 1 r na3 $end +$var wire 5 -" inv_address [0:4] $end +$var wire 1 w a4 $end +$var wire 1 x a3 $end +$upscope $end +$scope module predecode_r1 $end +$var wire 1 p a1_a2 $end +$var wire 1 o a1_na2 $end +$var wire 5 ." address [0:4] $end +$var wire 1 l c_a0 $end +$var wire 1 k c_na0 $end +$var wire 1 /" clock_enable $end +$var wire 1 $" enable $end +$var wire 1 j na1_a2 $end +$var wire 1 i na1_na2 $end +$var wire 1 X strobe $end +$var wire 1 g na4 $end +$var wire 1 h na3 $end +$var wire 5 0" inv_address [0:4] $end +$var wire 1 m a4 $end +$var wire 1 n a3 $end +$upscope $end +$scope module predecode_w0 $end +$var wire 1 d a1_a2 $end +$var wire 1 c a1_na2 $end +$var wire 5 1" address [0:4] $end +$var wire 1 ` c_a0 $end +$var wire 1 _ c_na0 $end +$var wire 1 2" clock_enable $end +$var wire 1 '" enable $end +$var wire 1 ^ na1_a2 $end +$var wire 1 ] na1_na2 $end +$var wire 1 X strobe $end +$var wire 1 [ na4 $end +$var wire 1 \ na3 $end +$var wire 5 3" inv_address [0:4] $end +$var wire 1 a a4 $end +$var wire 1 b a3 $end +$upscope $end +$upscope $end +$scope module array0 $end +$var wire 1 4" rd0_a0 $end +$var wire 1 5" rd0_a1 $end +$var wire 1 z rd0_a1_a2 $end +$var wire 1 y rd0_a1_na2 $end +$var wire 1 6" rd0_a2 $end +$var wire 1 x rd0_a3 $end +$var wire 1 w rd0_a4 $end +$var wire 1 v rd0_c_a0 $end +$var wire 1 u rd0_c_na0 $end +$var wire 1 7" rd0_enable $end +$var wire 1 t rd0_na1_a2 $end +$var wire 1 s rd0_na1_na2 $end +$var wire 1 r rd0_na3 $end +$var wire 1 q rd0_na4 $end +$var wire 1 8" rd1_a0 $end +$var wire 1 9" rd1_a1 $end +$var wire 1 p rd1_a1_a2 $end +$var wire 1 o rd1_a1_na2 $end +$var wire 1 :" rd1_a2 $end +$var wire 1 n rd1_a3 $end +$var wire 1 m rd1_a4 $end +$var wire 1 l rd1_c_a0 $end +$var wire 1 k rd1_c_na0 $end +$var wire 1 ;" rd1_enable $end +$var wire 1 j rd1_na1_a2 $end +$var wire 1 i rd1_na1_na2 $end +$var wire 1 h rd1_na3 $end +$var wire 1 g rd1_na4 $end +$var wire 1 <" wr0_a0 $end +$var wire 1 =" wr0_a1 $end +$var wire 1 d wr0_a1_a2 $end +$var wire 1 c wr0_a1_na2 $end +$var wire 1 >" wr0_a2 $end +$var wire 1 b wr0_a3 $end +$var wire 1 a wr0_a4 $end +$var wire 1 ` wr0_c_a0 $end +$var wire 1 _ wr0_c_na0 $end +$var wire 32 ?" wr0_dat [0:31] $end +$var wire 1 @" wr0_enable $end +$var wire 1 ^ wr0_na1_a2 $end +$var wire 1 ] wr0_na1_na2 $end +$var wire 1 \ wr0_na3 $end +$var wire 1 [ wr0_na4 $end +$var wire 32 A" rd1_dat [0:31] $end +$var wire 32 B" rd0_dat [0:31] $end +$scope begin ra[0] $end +$var wire 32 C" q [0:31] $end +$upscope $end +$scope begin ra[1] $end +$var wire 32 D" q [0:31] $end +$upscope $end +$scope begin ra[2] $end +$var wire 32 E" q [0:31] $end +$upscope $end +$scope begin ra[3] $end +$var wire 32 F" q [0:31] $end +$upscope $end +$scope begin ra[4] $end +$var wire 32 G" q [0:31] $end +$upscope $end +$scope begin ra[5] $end +$var wire 32 H" q [0:31] $end +$upscope $end +$scope begin ra[6] $end +$var wire 32 I" q [0:31] $end +$upscope $end +$scope begin ra[7] $end +$var wire 32 J" q [0:31] $end +$upscope $end +$scope begin ra[8] $end +$var wire 32 K" q [0:31] $end +$upscope $end +$scope begin ra[9] $end +$var wire 32 L" q [0:31] $end +$upscope $end +$scope begin ra[10] $end +$var wire 32 M" q [0:31] $end +$upscope $end +$scope begin ra[11] $end +$var wire 32 N" q [0:31] $end +$upscope $end +$scope begin ra[12] $end +$var wire 32 O" q [0:31] $end +$upscope $end +$scope begin ra[13] $end +$var wire 32 P" q [0:31] $end +$upscope $end +$scope begin ra[14] $end +$var wire 32 Q" q [0:31] $end +$upscope $end +$scope begin ra[15] $end +$var wire 32 R" q [0:31] $end +$upscope $end +$scope begin ra[16] $end +$var wire 32 S" q [0:31] $end +$upscope $end +$scope begin ra[17] $end +$var wire 32 T" q [0:31] $end +$upscope $end +$scope begin ra[18] $end +$var wire 32 U" q [0:31] $end +$upscope $end +$scope begin ra[19] $end +$var wire 32 V" q [0:31] $end +$upscope $end +$scope begin ra[20] $end +$var wire 32 W" q [0:31] $end +$upscope $end +$scope begin ra[21] $end +$var wire 32 X" q [0:31] $end +$upscope $end +$scope begin ra[22] $end +$var wire 32 Y" q [0:31] $end +$upscope $end +$scope begin ra[23] $end +$var wire 32 Z" q [0:31] $end +$upscope $end +$scope begin ra[24] $end +$var wire 32 [" q [0:31] $end +$upscope $end +$scope begin ra[25] $end +$var wire 32 \" q [0:31] $end +$upscope $end +$scope begin ra[26] $end +$var wire 32 ]" q [0:31] $end +$upscope $end +$scope begin ra[27] $end +$var wire 32 ^" q [0:31] $end +$upscope $end +$scope begin ra[28] $end +$var wire 32 _" q [0:31] $end +$upscope $end +$scope begin ra[29] $end +$var wire 32 `" q [0:31] $end +$upscope $end +$scope begin ra[30] $end +$var wire 32 a" q [0:31] $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +bx a" +bx `" +bx _" +bx ^" +bx ]" +bx \" +bx [" +bx Z" +bx Y" +bx X" +bx W" +bx V" +bx U" +bx T" +bx S" +bx R" +bx Q" +bx P" +bx O" +bx N" +bx M" +bx L" +bx K" +bx J" +bx I" +bx H" +bx G" +bx F" +bx E" +bx D" +bx C" +bx B" +bx A" +x@" +bz ?" +x>" +x=" +x<" +x;" +x:" +x9" +x8" +x7" +x6" +x5" +x4" +bx 3" +x2" +bz 1" +bx 0" +x/" +bz ." +bx -" +x," +bz +" +bz *" +bz )" +bz (" +z'" +bz &" +bz %" +z$" +z#" +bx "" +bx !" +bz ~ +bz } +bx | +bx { +xz +xy +zx +zw +xv +xu +xt +xs +xr +xq +xp +xo +zn +zm +xl +xk +xj +xi +xh +xg +bx f +bx e +xd +xc +zb +za +x` +x_ +x^ +x] +x\ +x[ +bz Z +bz Y +1X +bz W +bz V +bx U +bx T +bx S +bz R +bx Q +bx P +xO +bz N +bx M +bz L +bx K +bz J +bz I +bz H +bz G +b0 F +bx E +bz D +bz C +bz B +zA +bz @ +bz ? +z> +bz = +z< +bz ; +z: +z9 +bx 8 +bz 7 +z6 +bz 5 +z4 +bz 3 +bz 2 +z1 +bx 0 +bx / +0. +z- +bz , +bz + +1* +z) +z( +bz ' +bz & +1% +z$ +bz # +b0 " +bz ! +$end +#500 +0% +#1000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +1r +1q +05" +06" +07" +b11111 3" +0^ +0c +0d +0_ +0<" +0` +b11111 0" +0j +0o +0p +0k +08" +0l +b11111 -" +0t +0y +0z +0u +04" +0v +0b +0a +02" +0n +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0O +b111111 E +b111111 P +b1111111111111111 8 +b1111111111111111 Q +b1111111111111111 U +b1111111111111111 T +1% +#1500 +0% +#2000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +1% +#2500 +0% +#3000 +1% +#3500 +0% +#4000 +1% +#4500 +0% +#5000 +1% +#5500 +0% +#6000 +1% +#6500 +0% +#7000 +1% +#7500 +0% +#8000 +1% +#8500 +0% +#9000 +0* +1% +#9500 +1. +0% +#10000 +x] +xi +bx { +bx A" +xs +bx | +bx B" +x\ +x[ +x=" +x>" +x@" +xh +xg +x9" +x:" +x;" +xr +xq +x5" +x6" +x7" +bx 3" +x^ +xc +xd +x_ +x<" +x` +bx 0" +xj +xo +xp +xk +x8" +xl +bx -" +xt +xy +xz +xu +x4" +xv +zb +za +x2" +zn +zm +x/" +zx +zw +x," +bx 8 +bx Q +bx U +bx T +bz &" +bz ?" +bz %" +bz *" +bz 1" +z'" +bz ~ +bz )" +bz ." +z$" +bz } +bz (" +bz +" +z#" +0. +1% +#10500 +1. +0% +#11000 +bx / +bx K +bx e +bx "" +bx 0 +bx M +bx f +bx !" +0. +1% +#11500 +1. +0% +#12000 +0. +1% +#12500 +1. +0% +#13000 +0. +1% +#13500 +1. +0% +#14000 +0. +1% +#14500 +1. +0% +#15000 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 , +b0 H +b0 + +b0 G +0- +b0 ' +b0 D +0) +b0 & +b0 C +0( +0. +1% +#15500 +1. +0% +#16000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +1r +1q +05" +06" +07" +b11111 3" +0^ +0c +0d +0_ +0<" +0` +b11111 0" +0j +0o +0p +0k +08" +0l +b11111 -" +0t +0y +0z +0u +04" +0v +0b +0a +02" +0n +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#16500 +1. +0% +#17000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#17500 +1. +0% +#18000 +0. +1% +#18500 +1. +0% +#19000 +0. +1% +#19500 +1. +0% +#20000 +0. +1% +#20500 +1. +0% +#21000 +0. +1% +#21500 +1. +0% +#22000 +0. +1% +#22500 +1. +0% +#23000 +0. +1% +#23500 +1. +0% +#24000 +0. +1% +#24500 +1. +0% +#25000 +b10101010101010100000000 2 +b10101010101010100000000 I +b10101010101010100000000 Z +11 +b10101010101010100000000 , +b10101010101010100000000 H +1- +0. +1% +#25500 +1. +0% +#26000 +b1010101010101010100000001 2 +b1010101010101010100000001 I +b1010101010101010100000001 Z +b1 3 +b1 J +b1 Y +b1010101010101010100000001 , +b1010101010101010100000001 H +b1 + +b1 G +1@" +1_ +12" +b10101010101010100000000 &" +b10101010101010100000000 ?" +1'" +0. +1% +#26010 +b10101010101010100000000 C" +#26500 +1. +0% +#27000 +b10010101010101010100000010 2 +b10010101010101010100000010 I +b10010101010101010100000010 Z +b10 3 +b10 J +b10 Y +b10010101010101010100000010 , +b10010101010101010100000010 H +b10 + +b10 G +0[ +b11110 3" +1a +b1010101010101010100000001 &" +b1010101010101010100000001 ?" +b1 %" +b1 *" +b1 1" +0. +1% +#27010 +b1010101010101010100000001 D" +#27500 +1. +0% +#28000 +b11010101010101010100000011 2 +b11010101010101010100000011 I +b11010101010101010100000011 Z +b11 3 +b11 J +b11 Y +b11010101010101010100000011 , +b11010101010101010100000011 H +b11 + +b11 G +0\ +1[ +b11101 3" +1b +0a +b10010101010101010100000010 &" +b10010101010101010100000010 ?" +b10 %" +b10 *" +b10 1" +0. +1% +#28010 +b10010101010101010100000010 E" +#28500 +1. +0% +#29000 +b100010101010101010100000100 2 +b100010101010101010100000100 I +b100010101010101010100000100 Z +b100 3 +b100 J +b100 Y +b100010101010101010100000100 , +b100010101010101010100000100 H +b100 + +b100 G +0[ +b11100 3" +1a +b11010101010101010100000011 &" +b11010101010101010100000011 ?" +b11 %" +b11 *" +b11 1" +0. +1% +#29010 +b11010101010101010100000011 F" +#29500 +1. +0% +#30000 +b101010101010101010100000101 2 +b101010101010101010100000101 I +b101010101010101010100000101 Z +b101 3 +b101 J +b101 Y +b101010101010101010100000101 , +b101010101010101010100000101 H +b101 + +b101 G +0] +1\ +1[ +1>" +b11011 3" +1^ +0b +0a +b100010101010101010100000100 &" +b100010101010101010100000100 ?" +b100 %" +b100 *" +b100 1" +0. +1% +#30010 +b100010101010101010100000100 G" +#30500 +1. +0% +#31000 +b110010101010101010100000110 2 +b110010101010101010100000110 I +b110010101010101010100000110 Z +b110 3 +b110 J +b110 Y +b110010101010101010100000110 , +b110010101010101010100000110 H +b110 + +b110 G +0[ +b11010 3" +1a +b101010101010101010100000101 &" +b101010101010101010100000101 ?" +b101 %" +b101 *" +b101 1" +0. +1% +#31010 +b101010101010101010100000101 H" +#31500 +1. +0% +#32000 +b111010101010101010100000111 2 +b111010101010101010100000111 I +b111010101010101010100000111 Z +b111 3 +b111 J +b111 Y +b111010101010101010100000111 , +b111010101010101010100000111 H +b111 + +b111 G +0\ +1[ +b11001 3" +1b +0a +b110010101010101010100000110 &" +b110010101010101010100000110 ?" +b110 %" +b110 *" +b110 1" +0. +1% +#32010 +b110010101010101010100000110 I" +#32500 +1. +0% +#33000 +b1000010101010101010100001000 2 +b1000010101010101010100001000 I +b1000010101010101010100001000 Z +b1000 3 +b1000 J +b1000 Y +b1000010101010101010100001000 , +b1000010101010101010100001000 H +b1000 + +b1000 G +0[ +b11000 3" +1a +b111010101010101010100000111 &" +b111010101010101010100000111 ?" +b111 %" +b111 *" +b111 1" +0. +1% +#33010 +b111010101010101010100000111 J" +#33500 +1. +0% +#34000 +b1001010101010101010100001001 2 +b1001010101010101010100001001 I +b1001010101010101010100001001 Z +b1001 3 +b1001 J +b1001 Y +b1001010101010101010100001001 , +b1001010101010101010100001001 H +b1001 + +b1001 G +1=" +1c +1\ +1[ +0>" +b10111 3" +0^ +0b +0a +b1000010101010101010100001000 &" +b1000010101010101010100001000 ?" +b1000 %" +b1000 *" +b1000 1" +0. +1% +#34010 +b1000010101010101010100001000 K" +#34500 +1. +0% +#35000 +b1010010101010101010100001010 2 +b1010010101010101010100001010 I +b1010010101010101010100001010 Z +b1010 3 +b1010 J +b1010 Y +b1010010101010101010100001010 , +b1010010101010101010100001010 H +b1010 + +b1010 G +0[ +b10110 3" +1a +b1001010101010101010100001001 &" +b1001010101010101010100001001 ?" +b1001 %" +b1001 *" +b1001 1" +0. +1% +#35010 +b1001010101010101010100001001 L" +#35500 +1. +0% +#36000 +b1011010101010101010100001011 2 +b1011010101010101010100001011 I +b1011010101010101010100001011 Z +b1011 3 +b1011 J +b1011 Y +b1011010101010101010100001011 , +b1011010101010101010100001011 H +b1011 + +b1011 G +0\ +1[ +b10101 3" +1b +0a +b1010010101010101010100001010 &" +b1010010101010101010100001010 ?" +b1010 %" +b1010 *" +b1010 1" +0. +1% +#36010 +b1010010101010101010100001010 M" +#36500 +1. +0% +#37000 +b1100010101010101010100001100 2 +b1100010101010101010100001100 I +b1100010101010101010100001100 Z +b1100 3 +b1100 J +b1100 Y +b1100010101010101010100001100 , +b1100010101010101010100001100 H +b1100 + +b1100 G +0[ +b10100 3" +1a +b1011010101010101010100001011 &" +b1011010101010101010100001011 ?" +b1011 %" +b1011 *" +b1011 1" +0. +1% +#37010 +b1011010101010101010100001011 N" +#37500 +1. +0% +#38000 +b1101010101010101010100001101 2 +b1101010101010101010100001101 I +b1101010101010101010100001101 Z +b1101 3 +b1101 J +b1101 Y +b1101010101010101010100001101 , +b1101010101010101010100001101 H +b1101 + +b1101 G +0c +1\ +1[ +1>" +b10011 3" +1d +0b +0a +b1100010101010101010100001100 &" +b1100010101010101010100001100 ?" +b1100 %" +b1100 *" +b1100 1" +0. +1% +#38010 +b1100010101010101010100001100 O" +#38500 +1. +0% +#39000 +b1110010101010101010100001110 2 +b1110010101010101010100001110 I +b1110010101010101010100001110 Z +b1110 3 +b1110 J +b1110 Y +b1110010101010101010100001110 , +b1110010101010101010100001110 H +b1110 + +b1110 G +0[ +b10010 3" +1a +b1101010101010101010100001101 &" +b1101010101010101010100001101 ?" +b1101 %" +b1101 *" +b1101 1" +0. +1% +#39010 +b1101010101010101010100001101 P" +#39500 +1. +0% +#40000 +b1111010101010101010100001111 2 +b1111010101010101010100001111 I +b1111010101010101010100001111 Z +b1111 3 +b1111 J +b1111 Y +b1111010101010101010100001111 , +b1111010101010101010100001111 H +b1111 + +b1111 G +0\ +1[ +b10001 3" +1b +0a +b1110010101010101010100001110 &" +b1110010101010101010100001110 ?" +b1110 %" +b1110 *" +b1110 1" +0. +1% +#40010 +b1110010101010101010100001110 Q" +#40500 +1. +0% +#41000 +b10000010101010101010100010000 2 +b10000010101010101010100010000 I +b10000010101010101010100010000 Z +b10000 3 +b10000 J +b10000 Y +b10000010101010101010100010000 , +b10000010101010101010100010000 H +b10000 + +b10000 G +0[ +b10000 3" +1a +b1111010101010101010100001111 &" +b1111010101010101010100001111 ?" +b1111 %" +b1111 *" +b1111 1" +0. +1% +#41010 +b1111010101010101010100001111 R" +#41500 +1. +0% +#42000 +b10001010101010101010100010001 2 +b10001010101010101010100010001 I +b10001010101010101010100010001 Z +b10001 3 +b10001 J +b10001 Y +b10001010101010101010100010001 , +b10001010101010101010100010001 H +b10001 + +b10001 G +0_ +1] +1\ +1[ +0=" +0>" +b1111 3" +1<" +1` +0d +0b +0a +b10000010101010101010100010000 &" +b10000010101010101010100010000 ?" +b10000 %" +b10000 *" +b10000 1" +0. +1% +#42010 +b10000010101010101010100010000 S" +#42500 +1. +0% +#43000 +b10010010101010101010100010010 2 +b10010010101010101010100010010 I +b10010010101010101010100010010 Z +b10010 3 +b10010 J +b10010 Y +b10010010101010101010100010010 , +b10010010101010101010100010010 H +b10010 + +b10010 G +0[ +b1110 3" +1a +b10001010101010101010100010001 &" +b10001010101010101010100010001 ?" +b10001 %" +b10001 *" +b10001 1" +0. +1% +#43010 +b10001010101010101010100010001 T" +#43500 +1. +0% +#44000 +b10011010101010101010100010011 2 +b10011010101010101010100010011 I +b10011010101010101010100010011 Z +b10011 3 +b10011 J +b10011 Y +b10011010101010101010100010011 , +b10011010101010101010100010011 H +b10011 + +b10011 G +0\ +1[ +b1101 3" +1b +0a +b10010010101010101010100010010 &" +b10010010101010101010100010010 ?" +b10010 %" +b10010 *" +b10010 1" +0. +1% +#44010 +b10010010101010101010100010010 U" +#44500 +1. +0% +#45000 +b10100010101010101010100010100 2 +b10100010101010101010100010100 I +b10100010101010101010100010100 Z +b10100 3 +b10100 J +b10100 Y +b10100010101010101010100010100 , +b10100010101010101010100010100 H +b10100 + +b10100 G +0[ +b1100 3" +1a +b10011010101010101010100010011 &" +b10011010101010101010100010011 ?" +b10011 %" +b10011 *" +b10011 1" +0. +1% +#45010 +b10011010101010101010100010011 V" +#45500 +1. +0% +#46000 +b10101010101010101010100010101 2 +b10101010101010101010100010101 I +b10101010101010101010100010101 Z +b10101 3 +b10101 J +b10101 Y +b10101010101010101010100010101 , +b10101010101010101010100010101 H +b10101 + +b10101 G +0] +1\ +1[ +1>" +b1011 3" +1^ +0b +0a +b10100010101010101010100010100 &" +b10100010101010101010100010100 ?" +b10100 %" +b10100 *" +b10100 1" +0. +1% +#46010 +b10100010101010101010100010100 W" +#46500 +1. +0% +#47000 +b10110010101010101010100010110 2 +b10110010101010101010100010110 I +b10110010101010101010100010110 Z +b10110 3 +b10110 J +b10110 Y +b10110010101010101010100010110 , +b10110010101010101010100010110 H +b10110 + +b10110 G +0[ +b1010 3" +1a +b10101010101010101010100010101 &" +b10101010101010101010100010101 ?" +b10101 %" +b10101 *" +b10101 1" +0. +1% +#47010 +b10101010101010101010100010101 X" +#47500 +1. +0% +#48000 +b10111010101010101010100010111 2 +b10111010101010101010100010111 I +b10111010101010101010100010111 Z +b10111 3 +b10111 J +b10111 Y +b10111010101010101010100010111 , +b10111010101010101010100010111 H +b10111 + +b10111 G +0\ +1[ +b1001 3" +1b +0a +b10110010101010101010100010110 &" +b10110010101010101010100010110 ?" +b10110 %" +b10110 *" +b10110 1" +0. +1% +#48010 +b10110010101010101010100010110 Y" +#48500 +1. +0% +#49000 +b11000010101010101010100011000 2 +b11000010101010101010100011000 I +b11000010101010101010100011000 Z +b11000 3 +b11000 J +b11000 Y +b11000010101010101010100011000 , +b11000010101010101010100011000 H +b11000 + +b11000 G +0[ +b1000 3" +1a +b10111010101010101010100010111 &" +b10111010101010101010100010111 ?" +b10111 %" +b10111 *" +b10111 1" +0. +1% +#49010 +b10111010101010101010100010111 Z" +#49500 +1. +0% +#50000 +b11001010101010101010100011001 2 +b11001010101010101010100011001 I +b11001010101010101010100011001 Z +b11001 3 +b11001 J +b11001 Y +b11001010101010101010100011001 , +b11001010101010101010100011001 H +b11001 + +b11001 G +1=" +1c +1\ +1[ +0>" +b111 3" +0^ +0b +0a +b11000010101010101010100011000 &" +b11000010101010101010100011000 ?" +b11000 %" +b11000 *" +b11000 1" +0. +1% +#50010 +b11000010101010101010100011000 [" +#50500 +1. +0% +#51000 +b11010010101010101010100011010 2 +b11010010101010101010100011010 I +b11010010101010101010100011010 Z +b11010 3 +b11010 J +b11010 Y +b11010010101010101010100011010 , +b11010010101010101010100011010 H +b11010 + +b11010 G +0[ +b110 3" +1a +b11001010101010101010100011001 &" +b11001010101010101010100011001 ?" +b11001 %" +b11001 *" +b11001 1" +0. +1% +#51010 +b11001010101010101010100011001 \" +#51500 +1. +0% +#52000 +b11011010101010101010100011011 2 +b11011010101010101010100011011 I +b11011010101010101010100011011 Z +b11011 3 +b11011 J +b11011 Y +b11011010101010101010100011011 , +b11011010101010101010100011011 H +b11011 + +b11011 G +0\ +1[ +b101 3" +1b +0a +b11010010101010101010100011010 &" +b11010010101010101010100011010 ?" +b11010 %" +b11010 *" +b11010 1" +0. +1% +#52010 +b11010010101010101010100011010 ]" +#52500 +1. +0% +#53000 +b11100010101010101010100011100 2 +b11100010101010101010100011100 I +b11100010101010101010100011100 Z +b11100 3 +b11100 J +b11100 Y +b11100010101010101010100011100 , +b11100010101010101010100011100 H +b11100 + +b11100 G +0[ +b100 3" +1a +b11011010101010101010100011011 &" +b11011010101010101010100011011 ?" +b11011 %" +b11011 *" +b11011 1" +0. +1% +#53010 +b11011010101010101010100011011 ^" +#53500 +1. +0% +#54000 +b11101010101010101010100011101 2 +b11101010101010101010100011101 I +b11101010101010101010100011101 Z +b11101 3 +b11101 J +b11101 Y +b11101010101010101010100011101 , +b11101010101010101010100011101 H +b11101 + +b11101 G +0c +1\ +1[ +1>" +b11 3" +1d +0b +0a +b11100010101010101010100011100 &" +b11100010101010101010100011100 ?" +b11100 %" +b11100 *" +b11100 1" +0. +1% +#54010 +b11100010101010101010100011100 _" +#54500 +1. +0% +#55000 +b11110010101010101010100011110 2 +b11110010101010101010100011110 I +b11110010101010101010100011110 Z +b11110 3 +b11110 J +b11110 Y +b11110010101010101010100011110 , +b11110010101010101010100011110 H +b11110 + +b11110 G +0[ +b10 3" +1a +b11101010101010101010100011101 &" +b11101010101010101010100011101 ?" +b11101 %" +b11101 *" +b11101 1" +0. +1% +#55010 +b11101010101010101010100011101 `" +#55500 +1. +0% +#56000 +b11111010101010101010100011111 2 +b11111010101010101010100011111 I +b11111010101010101010100011111 Z +b11111 3 +b11111 J +b11111 Y +b11111010101010101010100011111 , +b11111010101010101010100011111 H +b11111 + +b11111 G +0\ +1[ +b1 3" +1b +0a +b11110010101010101010100011110 &" +b11110010101010101010100011110 ?" +b11110 %" +b11110 *" +b11110 1" +0. +1% +#56010 +b11110010101010101010100011110 a" +#56500 +1. +0% +#57000 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +0[ +b0 3" +1a +b11111010101010101010100011111 &" +b11111010101010101010100011111 ?" +b11111 %" +b11111 *" +b11111 1" +0. +1% +#57500 +14 +b11 7 +b11 N +b11 V +16 +1) +b11 & +b11 C +1( +1. +0% +#58000 +1] +b10101010101010100000000 { +b10101010101010100000000 A" +b11010101010101010100000011 | +b11010101010101010100000011 B" +1\ +1[ +0=" +0>" +0@" +1;" +0r +0q +17" +b11111 3" +0d +0<" +0` +1k +b11100 -" +1u +0b +0a +02" +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#58500 +04 +b0 7 +b0 N +b0 V +06 +0) +b0 & +b0 C +0( +1. +0% +#59000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +1r +1q +07" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0w +0," +0$" +b0 } +b0 (" +b0 +" +0#" +b10101010101010100000000 / +b10101010101010100000000 K +b10101010101010100000000 e +b10101010101010100000000 "" +b11010101010101010100000011 0 +b11010101010101010100000011 M +b11010101010101010100000011 f +b11010101010101010100000011 !" +0. +1% +#59500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#60000 +b11010101010101010100000011 | +b11010101010101010100000011 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#60500 +b10010 7 +b10010 N +b10010 V +b10010 & +b10010 C +1. +0% +#61000 +0u +1q +b1101 -" +14" +1v +b10010010101010101010100010010 | +b10010010101010101010100010010 B" +0w +b10010 } +b10010 (" +b10010 +" +b11010101010101010100000011 0 +b11010101010101010100000011 M +b11010101010101010100000011 f +b11010101010101010100000011 !" +0. +1% +#61500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +1. +0% +#62000 +b10010010101010101010100010010 { +b10010010101010101010100010010 A" +0h +1;" +1r +07" +b1101 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0," +b10010010101010101010100010010 0 +b10010010101010101010100010010 M +b10010010101010101010100010010 f +b10010010101010101010100010010 !" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#62500 +b10101 5 +b10101 L +b10101 W +b10101 ' +b10101 D +1. +0% +#63000 +0i +1h +0g +1:" +b1010 0" +1j +b10101010101010101010100010101 { +b10101010101010101010100010101 A" +0n +1m +b10101 ~ +b10101 )" +b10101 ." +b10010010101010101010100010010 / +b10010010101010101010100010010 K +b10010010101010101010100010010 e +b10010010101010101010100010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#63500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +1. +0% +#64000 +1i +0s +b1100010101010101010100001100 | +b1100010101010101010100001100 B" +1g +0:" +0;" +15" +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +0m +0/" +1," +b10101010101010101010100010101 / +b10101010101010101010100010101 K +b10101010101010101010100010101 e +b10101010101010101010100010101 "" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#64500 +b0 7 +b0 N +b0 V +06 +b1000101100101000000110011100001 2 +b1000101100101000000110011100001 I +b1000101100101000000110011100001 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 & +b0 C +0( +b1000101100101000000110011100001 , +b1000101100101000000110011100001 H +b10110 + +b10110 G +1- +1. +0% +#65000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1>" +1@" +05" +06" +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 -" +0z +0u +1b +12" +0," +b1000101100101000000110011100001 &" +b1000101100101000000110011100001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100010101010101010100001100 0 +b1100010101010101010100001100 M +b1100010101010101010100001100 f +b1100010101010101010100001100 !" +0. +1% +#65010 +b1000101100101000000110011100001 Y" +#65500 +b1101 7 +b1101 N +b1101 V +16 +b111111110110110110111100011101 2 +b111111110110110110111100011101 I +b111111110110110110111100011101 Z +b11000 3 +b11000 J +b11000 Y +b1101 & +b1101 C +1( +b111111110110110110111100011101 , +b111111110110110110111100011101 H +b11000 + +b11000 G +1. +0% +#66000 +1=" +1c +0s +b1101010101010101010100001101 | +b1101010101010101010100001101 B" +1\ +0>" +0q +15" +16" +17" +b111 3" +0^ +b10010 -" +0t +0y +1z +1u +0b +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111111110110110110111100011101 &" +b111111110110110110111100011101 ?" +b11000 %" +b11000 *" +b11000 1" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#66010 +b111111110110110110111100011101 [" +#66500 +b10101 7 +b10101 N +b10101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 & +b10101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#67000 +1] +0u +1t +0=" +0@" +b10101010101010101010100010101 | +b10101010101010101010100010101 B" +05" +16" +b11111 3" +0c +0<" +0` +b1010 -" +14" +1v +0z +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 } +b10101 (" +b10101 +" +b1101010101010101010100001101 0 +b1101010101010101010100001101 M +b1101010101010101010100001101 f +b1101010101010101010100001101 !" +0. +1% +#67500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#68000 +0i +b10111010101010101010100010111 { +b10111010101010101010100010111 A" +1s +0h +0g +1:" +1;" +1q +06" +07" +b1000 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0w +0," +b10101010101010101010100010101 0 +b10101010101010101010100010101 M +b10101010101010101010100010101 f +b10101010101010101010100010101 !" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#68500 +b111 5 +b111 L +b111 W +b11010000101110111000000001111001 2 +b11010000101110111000000001111001 I +b11010000101110111000000001111001 Z +b1110 3 +b1110 J +b1110 Y +11 +b111 ' +b111 D +b11010000101110111000000001111001 , +b11010000101110111000000001111001 H +b1110 + +b1110 G +1- +1. +0% +#69000 +0] +1k +0\ +1=" +1>" +1@" +1;" +b111010101010101010100000111 { +b111010101010101010100000111 A" +b10001 3" +0^ +0c +1d +1_ +b11000 0" +08" +0l +1b +12" +b11010000101110111000000001111001 &" +b11010000101110111000000001111001 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b111 ~ +b111 )" +b111 ." +b10111010101010101010100010111 / +b10111010101010101010100010111 K +b10111010101010101010100010111 e +b10111010101010101010100010111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#69010 +b11010000101110111000000001111001 Q" +#69500 +b1011 5 +b1011 L +b1011 W +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#70000 +19" +1] +1o +b1011010101010101010100001011 { +b1011010101010101010100001011 A" +0s +b1010010101010101010100001010 | +b1010010101010101010100001010 B" +1\ +0=" +0>" +0@" +0:" +0r +15" +17" +b11111 3" +0d +0_ +b10100 0" +0j +b10101 -" +1y +1u +0b +02" +1x +1," +b111010101010101010100000111 / +b111010101010101010100000111 K +b111010101010101010100000111 e +b111010101010101010100000111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#70500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#71000 +1i +1s +1h +1g +09" +0;" +1r +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1011010101010101010100001011 / +b1011010101010101010100001011 K +b1011010101010101010100001011 e +b1011010101010101010100001011 "" +b1010010101010101010100001010 0 +b1010010101010101010100001010 M +b1010010101010101010100001010 f +b1010010101010101010100001010 !" +0. +1% +#71500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#72000 +0i +b111010101010101010100000111 { +b111010101010101010100000111 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#72500 +b1100 5 +b1100 L +b1100 W +b1100 ' +b1100 D +1. +0% +#73000 +0j +1h +1g +19" +b10011 0" +1p +b1100010101010101010100001100 { +b1100010101010101010100001100 A" +0n +0m +b1100 ~ +b1100 )" +b1100 ." +b111010101010101010100000111 / +b111010101010101010100000111 K +b111010101010101010100000111 e +b111010101010101010100000111 "" +0. +1% +#73500 +b1110 5 +b1110 L +b1110 W +b10111 7 +b10111 N +b10111 V +16 +b1110 ' +b1110 D +b10111 & +b10111 C +1( +1. +0% +#74000 +0s +b10111010101010101010100010111 | +b10111010101010101010100010111 B" +0h +0r +0q +16" +17" +b10001 0" +b11010000101110111000000001111001 { +b11010000101110111000000001111001 A" +b1000 -" +1t +0u +14" +1v +1n +1x +1w +1," +b1100010101010101010100001100 / +b1100010101010101010100001100 K +b1100010101010101010100001100 e +b1100010101010101010100001100 "" +b1110 ~ +b1110 )" +b1110 ." +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#74500 +b1101 5 +b1101 L +b1101 W +b1 7 +b1 N +b1 V +b1101 ' +b1101 D +b1 & +b1 C +1. +0% +#75000 +1u +1s +1h +0g +1r +17" +06" +b10010 0" +b1101010101010101010100001101 { +b1101010101010101010100001101 A" +b11110 -" +04" +0v +0t +b1010101010101010100000001 | +b1010101010101010100000001 B" +0n +1m +0x +b1101 ~ +b1101 )" +b1101 ." +b1 } +b1 (" +b1 +" +b11010000101110111000000001111001 / +b11010000101110111000000001111001 K +b11010000101110111000000001111001 e +b11010000101110111000000001111001 "" +b10111010101010101010100010111 0 +b10111010101010101010100010111 M +b10111010101010101010100010111 f +b10111010101010101010100010111 !" +0. +1% +#75500 +b101 5 +b101 L +b101 W +b11111 7 +b11111 N +b11111 V +b101 ' +b101 D +b11111 & +b11111 C +1. +0% +#76000 +1j +b101010101010101010100000101 { +b101010101010101010100000101 A" +0u +0s +09" +1:" +0r +15" +16" +b11010 0" +0p +b0 -" +14" +1v +0t +0y +1z +b11111010101010101010100011111 | +b11111010101010101010100011111 B" +1x +b1101010101010101010100001101 / +b1101010101010101010100001101 K +b1101010101010101010100001101 e +b1101010101010101010100001101 "" +b1010101010101010100000001 0 +b1010101010101010100000001 M +b1010101010101010100000001 f +b1010101010101010100000001 !" +b101 ~ +b101 )" +b101 ." +b11111 } +b11111 (" +b11111 +" +0. +1% +#76500 +b1110 5 +b1110 L +b1110 W +b1001 7 +b1001 N +b1001 V +b1110 ' +b1110 D +b1001 & +b1001 C +1. +0% +#77000 +0j +1u +1y +0h +1g +19" +1r +17" +15" +06" +b10001 0" +1p +b11010000101110111000000001111001 { +b11010000101110111000000001111001 A" +b10110 -" +04" +0v +0z +b1001010101010101010100001001 | +b1001010101010101010100001001 B" +1n +0m +0x +b1110 ~ +b1110 )" +b1110 ." +b1001 } +b1001 (" +b1001 +" +b101010101010101010100000101 / +b101010101010101010100000101 K +b101010101010101010100000101 e +b101010101010101010100000101 "" +b11111010101010101010100011111 0 +b11111010101010101010100011111 M +b11111010101010101010100011111 f +b11111010101010101010100011111 !" +0. +1% +#77500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b0 ' +b0 D +0) +b11111 & +b11111 C +1. +0% +#78000 +1i +0u +0y +1h +09" +0:" +0;" +0r +16" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b0 -" +14" +1v +1z +b11111010101010101010100011111 | +b11111010101010101010100011111 B" +0n +0/" +1x +b11010000101110111000000001111001 / +b11010000101110111000000001111001 K +b11010000101110111000000001111001 e +b11010000101110111000000001111001 "" +b1001010101010101010100001001 0 +b1001010101010101010100001001 M +b1001010101010101010100001001 f +b1001010101010101010100001001 !" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#78500 +b0 7 +b0 N +b0 V +06 +b1111111000001001010100110001011 2 +b1111111000001001010100110001011 I +b1111111000001001010100110001011 Z +b11 3 +b11 J +b11 Y +11 +b0 & +b0 C +0( +b1111111000001001010100110001011 , +b1111111000001001010100110001011 H +b11 + +b11 G +1- +1. +0% +#79000 +1s +0\ +0[ +1@" +1r +1q +05" +06" +07" +b11100 3" +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0w +0," +b1111111000001001010100110001011 &" +b1111111000001001010100110001011 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111010101010101010100011111 0 +b11111010101010101010100011111 M +b11111010101010101010100011111 f +b11111010101010101010100011111 !" +0. +1% +#79010 +b1111111000001001010100110001011 F" +#79500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#80000 +0s +b11100010101010101010100011100 | +b11100010101010101010100011100 B" +1\ +1[ +0@" +15" +16" +17" +b11111 3" +0_ +b11 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#80500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +1. +0% +#81000 +0i +b1100010101010101010100001100 { +b1100010101010101010100001100 A" +1s +19" +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10011 0" +0j +0o +1p +1k +b11111 -" +0z +04" +0v +1/" +0," +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11100010101010101010100011100 0 +b11100010101010101010100011100 M +b11100010101010101010100011100 f +b11100010101010101010100011100 !" +0. +1% +#81500 +b0 5 +b0 L +b0 W +04 +b10100110000010100011000011110 2 +b10100110000010100011000011110 I +b10100110000010100011000011110 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b10100110000010100011000011110 , +b10100110000010100011000011110 H +b10 + +b10 G +1- +1. +0% +#82000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +1@" +09" +0:" +0;" +b11101 3" +1_ +b11111 0" +0p +0k +1b +12" +0/" +b1100010101010101010100001100 / +b1100010101010101010100001100 K +b1100010101010101010100001100 e +b1100010101010101010100001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100110000010100011000011110 &" +b10100110000010100011000011110 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#82010 +b10100110000010100011000011110 E" +#82500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#83000 +1\ +0@" +b11111 3" +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#83500 +b10000 5 +b10000 L +b10000 W +14 +b11010010011110100010010000000110 2 +b11010010011110100010010000000110 I +b11010010011110100010010000000110 Z +b11000 3 +b11000 J +b11000 Y +11 +b10000 ' +b10000 D +1) +b11010010011110100010010000000110 , +b11010010011110100010010000000110 H +b11000 + +b11000 G +1- +1. +0% +#84000 +0] +b10000010101010101010100010000 { +b10000010101010101010100010000 A" +1=" +1@" +1;" +b111 3" +1c +0_ +1<" +1` +b1111 0" +0k +18" +1l +12" +1/" +b11010010011110100010010000000110 &" +b11010010011110100010010000000110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#84010 +b11010010011110100010010000000110 [" +#84500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#85000 +1] +0s +b1000010101010101010100001000 | +b1000010101010101010100001000 B" +0=" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +17" +b11111 3" +0c +0<" +0` +b11111 0" +08" +0l +b10111 -" +1y +1u +02" +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b10000010101010101010100010000 / +b10000010101010101010100010000 K +b10000010101010101010100010000 e +b10000010101010101010100010000 "" +0. +1% +#85500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +1. +0% +#86000 +0i +b1001010101010101010100001001 { +b1001010101010101010100001001 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +19" +1;" +05" +07" +b10110 0" +1o +1k +b11111 -" +0y +0u +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000010101010101010100001000 0 +b1000010101010101010100001000 M +b1000010101010101010100001000 f +b1000010101010101010100001000 !" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#86500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +1. +0% +#87000 +1i +0s +b1000101100101000000110011100001 | +b1000101100101000000110011100001 B" +1g +09" +0;" +0r +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +b1001010101010101010100001001 / +b1001010101010101010100001001 K +b1001010101010101010100001001 e +b1001010101010101010100001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#87500 +b101 5 +b101 L +b101 W +14 +b1011 7 +b1011 N +b1011 V +b101 ' +b101 D +1) +b1011 & +b1011 C +1. +0% +#88000 +15" +0i +b101010101010101010100000101 { +b101010101010101010100000101 A" +1u +1y +0g +1:" +1;" +0q +17" +06" +b11010 0" +1j +1k +b10100 -" +04" +0v +0t +b1011010101010101010100001011 | +b1011010101010101010100001011 B" +1m +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000101100101000000110011100001 0 +b1000101100101000000110011100001 M +b1000101100101000000110011100001 f +b1000101100101000000110011100001 !" +b101 ~ +b101 )" +b101 ." +1$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#88500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +b0 & +b0 C +0( +1. +0% +#89000 +0k +1i +1s +0h +1g +0:" +1r +1q +05" +07" +b1101 0" +18" +1l +0j +b10010010101010101010100010010 { +b10010010101010101010100010010 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0m +0x +0w +0," +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +b101010101010101010100000101 / +b101010101010101010100000101 K +b101010101010101010100000101 e +b101010101010101010100000101 "" +b1011010101010101010100001011 0 +b1011010101010101010100001011 M +b1011010101010101010100001011 f +b1011010101010101010100001011 !" +0. +1% +#89500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#90000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b10010010101010101010100010010 / +b10010010101010101010100010010 K +b10010010101010101010100010010 e +b10010010101010101010100010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#90500 +b110 7 +b110 N +b110 V +16 +b100010100101100110001111 2 +b100010100101100110001111 I +b100010100101100110001111 Z +b10111 3 +b10111 J +b10111 Y +11 +b110 & +b110 C +1( +b100010100101100110001111 , +b100010100101100110001111 H +b10111 + +b10111 G +1- +1. +0% +#91000 +0] +0s +b110010101010101010100000110 | +b110010101010101010100000110 B" +0\ +0[ +1>" +1@" +0r +16" +17" +b1000 3" +1^ +0_ +1<" +1` +b11001 -" +1t +1u +1b +1a +12" +1x +1," +b100010100101100110001111 &" +b100010100101100110001111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#91010 +b100010100101100110001111 Z" +#91500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#92000 +1] +0i +b11010010101010101010100011010 { +b11010010101010101010100011010 A" +1s +1\ +1[ +0>" +0@" +0h +19" +1;" +1r +06" +b11111 3" +0^ +0<" +0` +b101 0" +1o +0k +18" +1l +b11111 -" +0t +b10101010101010100000000 | +b10101010101010100000000 B" +0b +0a +02" +1n +1/" +0x +b110010101010101010100000110 0 +b110010101010101010100000110 M +b110010101010101010100000110 f +b110010101010101010100000110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0. +1% +#92500 +b1111 5 +b1111 L +b1111 W +06 +b1111 ' +b1111 D +0( +1. +0% +#93000 +1k +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +1;" +1:" +07" +b10000 0" +08" +0l +1p +b1111010101010101010100001111 { +b1111010101010101010100001111 A" +0u +1m +0," +b1111 ~ +b1111 )" +b1111 ." +0#" +b11010010101010101010100011010 / +b11010010101010101010100011010 K +b11010010101010101010100011010 e +b11010010101010101010100011010 "" +b10101010101010100000000 0 +b10101010101010100000000 M +b10101010101010100000000 f +b10101010101010100000000 !" +0. +1% +#93500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b10110000010100001001011010000011 2 +b10110000010100001001011010000011 I +b10110000010100001001011010000011 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +b10110000010100001001011010000011 , +b10110000010100001001011010000011 H +b11110 + +b11110 G +1- +1. +0% +#94000 +0] +1i +b10010010101010101010100010010 | +b10010010101010101010100010010 B" +0\ +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +0r +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +0u +14" +1v +1b +12" +0n +0m +0/" +1x +1," +b1111010101010101010100001111 / +b1111010101010101010100001111 K +b1111010101010101010100001111 e +b1111010101010101010100001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110000010100001001011010000011 &" +b10110000010100001001011010000011 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#94010 +b10110000010100001001011010000011 a" +#94500 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#95000 +1] +1u +0s +1\ +0=" +0>" +0@" +1r +17" +15" +16" +b11111 3" +0d +0<" +0` +b10011 -" +04" +0v +0t +0y +1z +b1100010101010101010100001100 | +b1100010101010101010100001100 B" +0b +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010010101010101010100010010 0 +b10010010101010101010100010010 M +b10010010101010101010100010010 f +b10010010101010101010100010010 !" +0. +1% +#95500 +b100 5 +b100 L +b100 W +14 +b110 7 +b110 N +b110 V +b10101101010100101001110110100011 2 +b10101101010100101001110110100011 I +b10101101010100101001110110100011 Z +b1111 3 +b1111 J +b1111 Y +11 +b100 ' +b100 D +1) +b110 & +b110 C +b10101101010100101001110110100011 , +b10101101010100101001110110100011 H +b1111 + +b1111 G +1- +1. +0% +#96000 +0] +0i +b100010101010101010100000100 { +b100010101010101010100000100 A" +1t +0\ +0[ +1=" +1>" +1@" +1:" +1;" +0r +05" +16" +b10000 3" +0^ +0c +1d +1_ +b11011 0" +1j +1k +b11001 -" +0z +b110010101010101010100000110 | +b110010101010101010100000110 B" +1b +1a +12" +1/" +1x +b1100010101010101010100001100 0 +b1100010101010101010100001100 M +b1100010101010101010100001100 f +b1100010101010101010100001100 !" +b10101101010100101001110110100011 &" +b10101101010100101001110110100011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#96010 +b10101101010100101001110110100011 R" +#96500 +b111 5 +b111 L +b111 W +b0 7 +b0 N +b0 V +06 +b101010001010010110111111110011 2 +b101010001010010110111111110011 I +b101010001010010110111111110011 Z +b1110 3 +b1110 J +b1110 Y +b111 ' +b111 D +b0 & +b0 C +0( +b101010001010010110111111110011 , +b101010001010010110111111110011 H +b1110 + +b1110 G +1. +0% +#97000 +1s +1[ +0h +0g +1r +06" +07" +b10001 3" +b11000 0" +b111010101010101010100000111 { +b111010101010101010100000111 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +1n +1m +0x +0," +b101010001010010110111111110011 &" +b101010001010010110111111110011 ?" +b1110 %" +b1110 *" +b1110 1" +b111 ~ +b111 )" +b111 ." +b0 } +b0 (" +b0 +" +0#" +b100010101010101010100000100 / +b100010101010101010100000100 K +b100010101010101010100000100 e +b100010101010101010100000100 "" +b110010101010101010100000110 0 +b110010101010101010100000110 M +b110010101010101010100000110 f +b110010101010101010100000110 !" +0. +1% +#97010 +b101010001010010110111111110011 Q" +#97500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#98000 +1] +1i +1\ +0=" +0>" +0@" +1h +1g +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b111010101010101010100000111 / +b111010101010101010100000111 K +b111010101010101010100000111 e +b111010101010101010100000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#98500 +b100 5 +b100 L +b100 W +14 +b10011 7 +b10011 N +b10011 V +16 +b110111111101110010110110000001 2 +b110111111101110010110110000001 I +b110111111101110010110110000001 Z +b11111 3 +b11111 J +b11111 Y +11 +b100 ' +b100 D +1) +b10011 & +b10011 C +1( +b110111111101110010110110000001 , +b110111111101110010110110000001 H +b11111 + +b11111 G +1- +1. +0% +#99000 +0] +0i +b100010101010101010100000100 { +b100010101010101010100000100 A" +b10011010101010101010100010011 | +b10011010101010101010100010011 B" +0\ +0[ +1=" +1>" +1@" +1:" +1;" +0r +0q +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11011 0" +1j +1k +b1100 -" +0u +14" +1v +1b +1a +12" +1/" +1x +1w +1," +b110111111101110010110110000001 &" +b110111111101110010110110000001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#99500 +b11110 5 +b11110 L +b11110 W +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#100000 +1] +0k +0j +1u +0s +1\ +1[ +0=" +0>" +0@" +0h +19" +17" +b111010101010101010100000111 | +b111010101010101010100000111 B" +16" +b11111 3" +0d +0<" +0` +b1 0" +18" +1l +1p +b10110000010100001001011010000011 { +b10110000010100001001011010000011 A" +b11000 -" +04" +0v +1t +0b +0a +02" +1n +b100010101010101010100000100 / +b100010101010101010100000100 K +b100010101010101010100000100 e +b100010101010101010100000100 "" +b10011010101010101010100010011 0 +b10011010101010101010100010011 M +b10011010101010101010100010011 f +b10011010101010101010100010011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b111 } +b111 (" +b111 +" +0. +1% +#100500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b0 ' +b0 D +0) +b110 & +b110 C +1. +0% +#101000 +1i +1h +09" +0:" +0;" +1q +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +b110010101010101010100000110 | +b110010101010101010100000110 B" +0n +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +b10110000010100001001011010000011 / +b10110000010100001001011010000011 K +b10110000010100001001011010000011 e +b10110000010100001001011010000011 "" +b111010101010101010100000111 0 +b111010101010101010100000111 M +b111010101010101010100000111 f +b111010101010101010100000111 !" +0. +1% +#101500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#102000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110010101010101010100000110 0 +b110010101010101010100000110 M +b110010101010101010100000110 f +b110010101010101010100000110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#102500 +b1101100000111001000101110100011 2 +b1101100000111001000101110100011 I +b1101100000111001000101110100011 Z +b10000 3 +b10000 J +b10000 Y +11 +b1101100000111001000101110100011 , +b1101100000111001000101110100011 H +b10000 + +b10000 G +1- +1. +0% +#103000 +1@" +b1111 3" +0_ +1<" +1` +12" +b1101100000111001000101110100011 &" +b1101100000111001000101110100011 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#103010 +b1101100000111001000101110100011 S" +#103500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#104000 +0@" +b11111 3" +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#104500 +b11 5 +b11 L +b11 W +14 +b11000011100110110110111010010010 2 +b11000011100110110110111010010010 I +b11000011100110110110111010010010 Z +b11001 3 +b11001 J +b11001 Y +11 +b11 ' +b11 D +1) +b11000011100110110110111010010010 , +b11000011100110110110111010010010 H +b11001 + +b11001 G +1- +1. +0% +#105000 +0] +b1111111000001001010100110001011 { +b1111111000001001010100110001011 A" +0[ +1=" +1@" +0h +0g +1;" +b110 3" +1c +0_ +1<" +1` +b11100 0" +1k +1a +12" +1n +1m +1/" +b11000011100110110110111010010010 &" +b11000011100110110110111010010010 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#105010 +b11000011100110110110111010010010 \" +#105500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#106000 +1] +1[ +0=" +0@" +1h +1g +0;" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0n +0m +0/" +b1111111000001001010100110001011 / +b1111111000001001010100110001011 K +b1111111000001001010100110001011 e +b1111111000001001010100110001011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#106500 +b111 5 +b111 L +b111 W +14 +b10101001110001011110111100 2 +b10101001110001011110111100 I +b10101001110001011110111100 Z +b11011 3 +b11011 J +b11011 Y +11 +b111 ' +b111 D +1) +b10101001110001011110111100 , +b10101001110001011110111100 H +b11011 + +b11011 G +1- +1. +0% +#107000 +0] +0i +b111010101010101010100000111 { +b111010101010101010100000111 A" +0\ +0[ +1=" +1@" +0h +0g +1:" +1;" +b100 3" +1c +0_ +1<" +1` +b11000 0" +1j +1k +1b +1a +12" +1n +1m +1/" +b10101001110001011110111100 &" +b10101001110001011110111100 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#107010 +b10101001110001011110111100 ^" +#107500 +b0 5 +b0 L +b0 W +04 +b1010010010010000101101011010010 2 +b1010010010010000101101011010010 I +b1010010010010000101101011010010 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b1010010010010000101101011010010 , +b1010010010010000101101011010010 H +b11010 + +b11010 G +1. +0% +#108000 +1i +1[ +1h +1g +0:" +0;" +b101 3" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +0n +0m +0/" +b111010101010101010100000111 / +b111010101010101010100000111 K +b111010101010101010100000111 e +b111010101010101010100000111 "" +b1010010010010000101101011010010 &" +b1010010010010000101101011010010 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#108010 +b1010010010010000101101011010010 ]" +#108500 +b1000100110011010000111010110100 2 +b1000100110011010000111010110100 I +b1000100110011010000111010110100 Z +b10 3 +b10 J +b10 Y +b1000100110011010000111010110100 , +b1000100110011010000111010110100 H +b10 + +b10 G +1. +0% +#109000 +1_ +1] +1@" +0=" +b11101 3" +0<" +0` +0c +b1000100110011010000111010110100 &" +b1000100110011010000111010110100 ?" +b10 %" +b10 *" +b10 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#109010 +b1000100110011010000111010110100 E" +#109500 +b1100 7 +b1100 N +b1100 V +16 +b11111011010110010111110100101111 2 +b11111011010110010111110100101111 I +b11111011010110010111110100101111 Z +b10001 3 +b10001 J +b10001 Y +b1100 & +b1100 C +1( +b11111011010110010111110100101111 , +b11111011010110010111110100101111 H +b10001 + +b10001 G +1. +0% +#110000 +0_ +0s +b1100010101010101010100001100 | +b1100010101010101010100001100 B" +1\ +0[ +15" +16" +17" +b1110 3" +1<" +1` +b10011 -" +0t +0y +1z +1u +0b +1a +1," +b11111011010110010111110100101111 &" +b11111011010110010111110100101111 ?" +b10001 %" +b10001 *" +b10001 1" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#110010 +b11111011010110010111110100101111 T" +#110500 +b0 7 +b0 N +b0 V +06 +b1111110101100011001101011111111 2 +b1111110101100011001101011111111 I +b1111110101100011001101011111111 Z +b11100 3 +b11100 J +b11100 Y +b0 & +b0 C +0( +b1111110101100011001101011111111 , +b1111110101100011001101011111111 H +b11100 + +b11100 G +1. +0% +#111000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +1=" +1>" +05" +06" +07" +b11 3" +0^ +0c +1d +b11111 -" +0z +0u +0a +0," +b1111110101100011001101011111111 &" +b1111110101100011001101011111111 ?" +b11100 %" +b11100 *" +b11100 1" +b0 } +b0 (" +b0 +" +0#" +b1100010101010101010100001100 0 +b1100010101010101010100001100 M +b1100010101010101010100001100 f +b1100010101010101010100001100 !" +0. +1% +#111010 +b1111110101100011001101011111111 _" +#111500 +b1011 5 +b1011 L +b1011 W +14 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#112000 +1] +0i +b1011010101010101010100001011 { +b1011010101010101010100001011 A" +0s +b10101010101010101010100010101 | +b10101010101010101010100010101 B" +0=" +0>" +0@" +0h +0g +19" +1;" +0q +16" +17" +b11111 3" +0d +0<" +0` +b10100 0" +1o +1k +b1010 -" +1t +0u +14" +1v +02" +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#112500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#113000 +1i +1s +1h +1g +09" +0;" +1q +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1011010101010101010100001011 / +b1011010101010101010100001011 K +b1011010101010101010100001011 e +b1011010101010101010100001011 "" +b10101010101010101010100010101 0 +b10101010101010101010100010101 M +b10101010101010101010100010101 f +b10101010101010101010100010101 !" +0. +1% +#113500 +b11111 5 +b11111 L +b11111 W +14 +b10101 7 +b10101 N +b10101 V +16 +b110100000111110101101100011011 2 +b110100000111110101101100011011 I +b110100000111110101101100011011 Z +b110 3 +b110 J +b110 Y +11 +b11111 ' +b11111 D +1) +b10101 & +b10101 C +1( +b110100000111110101101100011011 , +b110100000111110101101100011011 H +b110 + +b110 G +1- +1. +0% +#114000 +0] +0i +b110111111101110010110110000001 { +b110111111101110010110110000001 A" +0s +b10101010101010101010100010101 | +b10101010101010101010100010101 B" +0\ +1>" +1@" +0h +0g +19" +1:" +1;" +0q +16" +17" +b11001 3" +1^ +1_ +b0 0" +0j +0o +1p +0k +18" +1l +b1010 -" +1t +0u +14" +1v +1b +12" +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110100000111110101101100011011 &" +b110100000111110101101100011011 ?" +b110 %" +b110 *" +b110 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#114010 +b110100000111110101101100011011 I" +#114500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011110101101111001110100001011 2 +b11011110101101111001110100001011 I +b11011110101101111001110100001011 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011110101101111001110100001011 , +b11011110101101111001110100001011 H +b11010 + +b11010 G +1. +0% +#115000 +1=" +0_ +1c +1i +1s +0>" +1h +1g +09" +0:" +0;" +1q +06" +07" +b101 3" +1<" +1` +0^ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0w +0," +b11011110101101111001110100001011 &" +b11011110101101111001110100001011 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110111111101110010110110000001 / +b110111111101110010110110000001 K +b110111111101110010110110000001 e +b110111111101110010110110000001 "" +b10101010101010101010100010101 0 +b10101010101010101010100010101 M +b10101010101010101010100010101 f +b10101010101010101010100010101 !" +0. +1% +#115010 +b11011110101101111001110100001011 ]" +#115500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#116000 +1] +0s +b1111110101100011001101011111111 | +b1111110101100011001101011111111 B" +1\ +0=" +0@" +15" +16" +17" +b11111 3" +0c +0<" +0` +b11 -" +0t +0y +1z +0u +14" +1v +0b +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#116500 +b10 7 +b10 N +b10 V +b10110000111110011100011010111110 2 +b10110000111110011100011010111110 I +b10110000111110011100011010111110 Z +b10101 3 +b10101 J +b10101 Y +11 +b10 & +b10 C +b10110000111110011100011010111110 , +b10110000111110011100011010111110 H +b10101 + +b10101 G +1- +1. +0% +#117000 +0] +1u +1s +0[ +1>" +1@" +0r +17" +05" +06" +b1010 3" +1^ +0_ +1<" +1` +b11101 -" +04" +0v +0z +b1000100110011010000111010110100 | +b1000100110011010000111010110100 B" +1a +12" +1x +b10110000111110011100011010111110 &" +b10110000111110011100011010111110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10 } +b10 (" +b10 +" +b1111110101100011001101011111111 0 +b1111110101100011001101011111111 M +b1111110101100011001101011111111 f +b1111110101100011001101011111111 !" +0. +1% +#117010 +b10110000111110011100011010111110 X" +#117500 +b0 7 +b0 N +b0 V +06 +b11001001000001001111000111011111 2 +b11001001000001001111000111011111 I +b11001001000001001111000111011111 Z +b1000 3 +b1000 J +b1000 Y +b0 & +b0 C +0( +b11001001000001001111000111011111 , +b11001001000001001111000111011111 H +b1000 + +b1000 G +1. +0% +#118000 +1=" +1_ +1c +1[ +1@" +0>" +1r +07" +b10111 3" +0<" +0` +0^ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0x +0," +b1000100110011010000111010110100 0 +b1000100110011010000111010110100 M +b1000100110011010000111010110100 f +b1000100110011010000111010110100 !" +b11001001000001001111000111011111 &" +b11001001000001001111000111011111 ?" +b1000 %" +b1000 *" +b1000 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#118010 +b11001001000001001111000111011111 K" +#118500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#119000 +1] +0=" +0@" +b11111 3" +0c +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#119500 +b10010 7 +b10010 N +b10010 V +16 +b10101111010011100011000101111 2 +b10101111010011100011000101111 I +b10101111010011100011000101111 Z +b100 3 +b100 J +b100 Y +11 +b10010 & +b10010 C +1( +b10101111010011100011000101111 , +b10101111010011100011000101111 H +b100 + +b100 G +1- +1. +0% +#120000 +0] +b10010010101010101010100010010 | +b10010010101010101010100010010 B" +1>" +1@" +0r +17" +b11011 3" +1^ +1_ +b1101 -" +0u +14" +1v +12" +1x +1," +b10101111010011100011000101111 &" +b10101111010011100011000101111 ?" +b100 %" +b100 *" +b100 1" +1'" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#120010 +b10101111010011100011000101111 G" +#120500 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#121000 +1] +0s +0>" +0@" +0q +15" +16" +b11111 3" +0^ +0_ +b0 -" +0t +0y +1z +b110111111101110010110110000001 | +b110111111101110010110110000001 B" +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +b10010010101010101010100010010 0 +b10010010101010101010100010010 M +b10010010101010101010100010010 f +b10010010101010101010100010010 !" +0. +1% +#121500 +b100 5 +b100 L +b100 W +14 +b11100 7 +b11100 N +b11100 V +b100 ' +b100 D +1) +b11100 & +b11100 C +1. +0% +#122000 +0i +b10101111010011100011000101111 { +b10101111010011100011000101111 A" +1:" +1;" +1r +1q +b11011 0" +1j +1k +b11 -" +b1111110101100011001101011111111 | +b1111110101100011001101011111111 B" +1/" +0x +0w +b110111111101110010110110000001 0 +b110111111101110010110110000001 M +b110111111101110010110110000001 f +b110111111101110010110110000001 !" +b100 ~ +b100 )" +b100 ." +1$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#122500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b0 ' +b0 D +0) +b10110 & +b10110 C +1. +0% +#123000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1t +0:" +0;" +0r +05" +16" +b11111 0" +0j +0k +b1001 -" +0z +b1000101100101000000110011100001 | +b1000101100101000000110011100001 B" +0/" +1x +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +b10101111010011100011000101111 / +b10101111010011100011000101111 K +b10101111010011100011000101111 e +b10101111010011100011000101111 "" +b1111110101100011001101011111111 0 +b1111110101100011001101011111111 M +b1111110101100011001101011111111 f +b1111110101100011001101011111111 !" +0. +1% +#123500 +b10011 7 +b10011 N +b10011 V +b10011 & +b10011 C +1. +0% +#124000 +1s +0q +06" +b1100 -" +0t +b10011010101010101010100010011 | +b10011010101010101010100010011 B" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000101100101000000110011100001 0 +b1000101100101000000110011100001 M +b1000101100101000000110011100001 f +b1000101100101000000110011100001 !" +b10011 } +b10011 (" +b10011 +" +0. +1% +#124500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#125000 +0i +b1000101100101000000110011100001 { +b1000101100101000000110011100001 A" +0h +1:" +1;" +1r +1q +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0w +0," +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10011010101010101010100010011 0 +b10011010101010101010100010011 M +b10011010101010101010100010011 f +b10011010101010101010100010011 !" +0. +1% +#125500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#126000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b1000101100101000000110011100001 / +b1000101100101000000110011100001 K +b1000101100101000000110011100001 e +b1000101100101000000110011100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#126500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#127000 +0s +b1001010101010101010100001001 | +b1001010101010101010100001001 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#127500 +b1 7 +b1 N +b1 V +b10101010100100101100010111010100 2 +b10101010100100101100010111010100 I +b10101010100100101100010111010100 Z +b1010 3 +b1010 J +b1010 Y +11 +b1 & +b1 C +b10101010100100101100010111010100 , +b10101010100100101100010111010100 H +b1010 + +b1010 G +1- +1. +0% +#128000 +0] +1s +b1010101010101010100000001 | +b1010101010101010100000001 B" +0\ +1=" +1@" +05" +b10101 3" +1c +1_ +b11110 -" +0y +1b +12" +b1001010101010101010100001001 0 +b1001010101010101010100001001 M +b1001010101010101010100001001 f +b1001010101010101010100001001 !" +b10101010100100101100010111010100 &" +b10101010100100101100010111010100 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1 } +b1 (" +b1 +" +0. +1% +#128010 +b10101010100100101100010111010100 M" +#128500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b1111001001011100101111101111010 2 +b1111001001011100101111101111010 I +b1111001001011100101111101111010 Z +b11101 3 +b11101 J +b11101 Y +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b1111001001011100101111101111010 , +b1111001001011100101111101111010 H +b11101 + +b11101 G +1. +0% +#129000 +0_ +0c +0i +b10101101010100101001110110100011 { +b10101101010100101001110110100011 A" +1\ +0[ +1>" +0h +0g +19" +1:" +1;" +1q +07" +b10 3" +1<" +1` +1d +b10000 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1a +1n +1m +1/" +0w +0," +b1111001001011100101111101111010 &" +b1111001001011100101111101111010 ?" +b11101 %" +b11101 *" +b11101 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1010101010101010100000001 0 +b1010101010101010100000001 M +b1010101010101010100000001 f +b1010101010101010100000001 !" +0. +1% +#129010 +b1111001001011100101111101111010 `" +#129500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#130000 +1] +1i +0s +b1111001001011100101111101111010 | +b1111001001011100101111101111010 B" +1[ +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +0q +15" +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +0t +0y +1z +0u +14" +1v +0a +02" +0n +0m +0/" +1w +1," +b10101101010100101001110110100011 / +b10101101010100101001110110100011 K +b10101101010100101001110110100011 e +b10101101010100101001110110100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#130500 +b11 7 +b11 N +b11 V +b11 & +b11 C +1. +0% +#131000 +1u +1s +0r +17" +05" +06" +b11100 -" +04" +0v +0z +b1111111000001001010100110001011 | +b1111111000001001010100110001011 B" +1x +b11 } +b11 (" +b11 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111001001011100101111101111010 0 +b1111001001011100101111101111010 M +b1111001001011100101111101111010 f +b1111001001011100101111101111010 !" +0. +1% +#131500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b1010101111101111111100000011110 2 +b1010101111101111111100000011110 I +b1010101111101111111100000011110 Z +b11110 3 +b11110 J +b11110 Y +11 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b1010101111101111111100000011110 , +b1010101111101111111100000011110 H +b11110 + +b11110 G +1- +1. +0% +#132000 +0] +0i +b100010100101100110001111 { +b100010100101100110001111 A" +0\ +1=" +1>" +1@" +0h +0g +1:" +1;" +1r +1q +07" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1000 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1n +1m +1/" +0x +0w +0," +b1111111000001001010100110001011 0 +b1111111000001001010100110001011 M +b1111111000001001010100110001011 f +b1111111000001001010100110001011 !" +b1010101111101111111100000011110 &" +b1010101111101111111100000011110 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#132010 +b1010101111101111111100000011110 a" +#132500 +b1011 5 +b1011 L +b1011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#133000 +19" +1] +1k +1o +1\ +0=" +0>" +0@" +1;" +b1011010101010101010100001011 { +b1011010101010101010100001011 A" +0:" +b11111 3" +0d +0<" +0` +b10100 0" +08" +0l +0j +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +b100010100101100110001111 / +b100010100101100110001111 K +b100010100101100110001111 e +b100010100101100110001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#133500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b0 ' +b0 D +0) +b11 & +b11 C +1( +1. +0% +#134000 +1i +b1111111000001001010100110001011 | +b1111111000001001010100110001011 B" +1h +1g +09" +0;" +0r +0q +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11100 -" +1u +0n +0m +0/" +1x +1w +1," +b1011010101010101010100001011 / +b1011010101010101010100001011 K +b1011010101010101010100001011 e +b1011010101010101010100001011 "" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#134500 +b10010 7 +b10010 N +b10010 V +b11111011100010100110000101 2 +b11111011100010100110000101 I +b11111011100010100110000101 Z +b10100 3 +b10100 J +b10100 Y +11 +b10010 & +b10010 C +b11111011100010100110000101 , +b11111011100010100110000101 H +b10100 + +b10100 G +1- +1. +0% +#135000 +0] +0u +1>" +1@" +1q +b1011 3" +1^ +0_ +1<" +1` +b1101 -" +14" +1v +b10010010101010101010100010010 | +b10010010101010101010100010010 B" +12" +0w +b11111011100010100110000101 &" +b11111011100010100110000101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b10010 } +b10010 (" +b10010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111111000001001010100110001011 0 +b1111111000001001010100110001011 M +b1111111000001001010100110001011 f +b1111111000001001010100110001011 !" +0. +1% +#135010 +b11111011100010100110000101 W" +#135500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#136000 +1] +0>" +0@" +1r +07" +b11111 3" +0^ +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0," +b10010010101010101010100010010 0 +b10010010101010101010100010010 M +b10010010101010101010100010010 f +b10010010101010101010100010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#136500 +b1000 5 +b1000 L +b1000 W +14 +b1001 7 +b1001 N +b1001 V +16 +b1000 ' +b1000 D +1) +b1001 & +b1001 C +1( +1. +0% +#137000 +0i +b11001001000001001111000111011111 { +b11001001000001001111000111011111 A" +0s +b1001010101010101010100001001 | +b1001010101010101010100001001 B" +19" +1;" +0q +15" +17" +b10111 0" +1o +1k +b10110 -" +1y +1u +1/" +1w +1," +b1000 ~ +b1000 )" +b1000 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#137500 +b11001 5 +b11001 L +b11001 W +b1110 7 +b1110 N +b1110 V +b11001 ' +b11001 D +b1110 & +b1110 C +1. +0% +#138000 +0k +0y +0g +0r +1q +16" +b110 0" +18" +1l +b11000011100110110110111010010010 { +b11000011100110110110111010010010 A" +b10001 -" +1z +b101010001010010110111111110011 | +b101010001010010110111111110011 B" +1m +1x +0w +b11001001000001001111000111011111 / +b11001001000001001111000111011111 K +b11001001000001001111000111011111 e +b11001001000001001111000111011111 "" +b1001010101010101010100001001 0 +b1001010101010101010100001001 M +b1001010101010101010100001001 f +b1001010101010101010100001001 !" +b11001 ~ +b11001 )" +b11001 ." +b1110 } +b1110 (" +b1110 +" +0. +1% +#138500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b0 ' +b0 D +0) +b10011 & +b10011 C +1. +0% +#139000 +1i +0u +1s +1g +09" +0;" +0q +05" +06" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +14" +1v +0z +b10011010101010101010100010011 | +b10011010101010101010100010011 B" +0m +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b11000011100110110110111010010010 / +b11000011100110110110111010010010 K +b11000011100110110110111010010010 e +b11000011100110110110111010010010 "" +b101010001010010110111111110011 0 +b101010001010010110111111110011 M +b101010001010010110111111110011 f +b101010001010010110111111110011 !" +0. +1% +#139500 +b111 7 +b111 N +b111 V +b11111010011111100011010010001011 2 +b11111010011111100011010010001011 I +b11111010011111100011010010001011 Z +b11011 3 +b11011 J +b11011 Y +11 +b111 & +b111 C +b11111010011111100011010010001011 , +b11111010011111100011010010001011 H +b11011 + +b11011 G +1- +1. +0% +#140000 +0] +1u +0s +0\ +0[ +1=" +1@" +17" +b111010101010101010100000111 | +b111010101010101010100000111 B" +16" +b100 3" +1c +0_ +1<" +1` +b11000 -" +04" +0v +1t +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011010101010101010100010011 0 +b10011010101010101010100010011 M +b10011010101010101010100010011 f +b10011010101010101010100010011 !" +b11111010011111100011010010001011 &" +b11111010011111100011010010001011 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b111 } +b111 (" +b111 +" +0. +1% +#140010 +b11111010011111100011010010001011 ^" +#140500 +b0 7 +b0 N +b0 V +06 +b11111000110011010100100010110001 2 +b11111000110011010100100010110001 I +b11111000110011010100100010110001 Z +b100 3 +b100 J +b100 Y +b0 & +b0 C +0( +b11111000110011010100100010110001 , +b11111000110011010100100010110001 H +b100 + +b100 G +1. +0% +#141000 +1>" +1_ +1^ +1s +1\ +1[ +1@" +0=" +1r +1q +06" +07" +b11011 3" +0<" +0` +0c +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +0x +0w +0," +b11111000110011010100100010110001 &" +b11111000110011010100100010110001 ?" +b100 %" +b100 *" +b100 1" +b0 } +b0 (" +b0 +" +0#" +b111010101010101010100000111 0 +b111010101010101010100000111 M +b111010101010101010100000111 f +b111010101010101010100000111 !" +0. +1% +#141010 +b11111000110011010100100010110001 G" +#141500 +b11010 5 +b11010 L +b11010 W +14 +b10011 7 +b10011 N +b10011 V +16 +b10011001100011000110111011000100 2 +b10011001100011000110111011000100 I +b10011001100011000110111011000100 Z +b1100 3 +b1100 J +b1100 Y +b11010 ' +b11010 D +1) +b10011 & +b10011 C +1( +b10011001100011000110111011000100 , +b10011001100011000110111011000100 H +b1100 + +b1100 G +1. +0% +#142000 +0^ +0i +b11011110101101111001110100001011 { +b11011110101101111001110100001011 A" +b10011010101010101010100010011 | +b10011010101010101010100010011 B" +1=" +0h +19" +1;" +0r +0q +17" +b10011 3" +1d +b101 0" +1o +0k +18" +1l +b1100 -" +0u +14" +1v +1n +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011001100011000110111011000100 &" +b10011001100011000110111011000100 ?" +b1100 %" +b1100 *" +b1100 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#142010 +b10011001100011000110111011000100 O" +#142500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#143000 +1] +1i +0s +b100010100101100110001111 | +b100010100101100110001111 B" +0=" +0>" +0@" +1h +09" +0;" +16" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +1t +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +b11011110101101111001110100001011 / +b11011110101101111001110100001011 K +b11011110101101111001110100001011 e +b11011110101101111001110100001011 "" +b10011010101010101010100010011 0 +b10011010101010101010100010011 M +b10011010101010101010100010011 f +b10011010101010101010100010011 !" +0. +1% +#143500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b1010010011011101111100110100101 2 +b1010010011011101111100110100101 I +b1010010011011101111100110100101 Z +b10111 3 +b10111 J +b10111 Y +11 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b1010010011011101111100110100101 , +b1010010011011101111100110100101 H +b10111 + +b10111 G +1- +1. +0% +#144000 +0] +0i +b110111111101110010110110000001 { +b110111111101110010110110000001 A" +1s +0\ +0[ +1>" +1@" +0h +0g +19" +1:" +1;" +1r +1q +06" +07" +b1000 3" +1^ +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010100101100110001111 0 +b100010100101100110001111 M +b100010100101100110001111 f +b100010100101100110001111 !" +b1010010011011101111100110100101 &" +b1010010011011101111100110100101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#144010 +b1010010011011101111100110100101 Z" +#144500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#145000 +1] +1i +b1010101010101010100000001 | +b1010101010101010100000001 B" +1\ +1[ +0>" +0@" +1h +1g +09" +0:" +0;" +0q +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +1u +0b +0a +02" +0n +0m +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b110111111101110010110110000001 / +b110111111101110010110110000001 K +b110111111101110010110110000001 e +b110111111101110010110110000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#145500 +b0 7 +b0 N +b0 V +06 +b10111110110110111101111000000111 2 +b10111110110110111101111000000111 I +b10111110110110111101111000000111 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 & +b0 C +0( +b10111110110110111101111000000111 , +b10111110110110111101111000000111 H +b10000 + +b10000 G +1- +1. +0% +#146000 +1@" +1q +07" +b1111 3" +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010101010101010100000001 0 +b1010101010101010100000001 M +b1010101010101010100000001 f +b1010101010101010100000001 !" +b10111110110110111101111000000111 &" +b10111110110110111101111000000111 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#146010 +b10111110110110111101111000000111 S" +#146500 +b110101000100110101010010010110 2 +b110101000100110101010010010110 I +b110101000100110101010010010110 Z +b1011 3 +b1011 J +b1011 Y +b110101000100110101010010010110 , +b110101000100110101010010010110 H +b1011 + +b1011 G +1. +0% +#147000 +1_ +0] +0\ +0[ +1@" +1=" +b10100 3" +0<" +0` +1c +1b +1a +b110101000100110101010010010110 &" +b110101000100110101010010010110 ?" +b1011 %" +b1011 *" +b1011 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#147010 +b110101000100110101010010010110 N" +#147500 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#148000 +1] +0s +b11001001000001001111000111011111 | +b11001001000001001111000111011111 B" +1\ +1[ +0=" +0@" +15" +17" +b11111 3" +0c +0_ +b10111 -" +1y +1u +0b +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#148500 +b10011 5 +b10011 L +b10011 W +14 +b110 7 +b110 N +b110 V +b1111110101011111100100110110 2 +b1111110101011111100100110110 I +b1111110101011111100100110110 Z +b1111 3 +b1111 J +b1111 Y +11 +b10011 ' +b10011 D +1) +b110 & +b110 C +b1111110101011111100100110110 , +b1111110101011111100100110110 H +b1111 + +b1111 G +1- +1. +0% +#149000 +16" +0] +b10011010101010101010100010011 { +b10011010101010101010100010011 A" +1t +0\ +0[ +1=" +1>" +1@" +0h +0g +1;" +0r +05" +b10000 3" +0^ +0c +1d +1_ +b1100 0" +0k +18" +1l +b11001 -" +0y +b110100000111110101101100011011 | +b110100000111110101101100011011 B" +1b +1a +12" +1n +1m +1/" +1x +b1111110101011111100100110110 &" +b1111110101011111100100110110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b110 } +b110 (" +b110 +" +b11001001000001001111000111011111 0 +b11001001000001001111000111011111 M +b11001001000001001111000111011111 f +b11001001000001001111000111011111 !" +0. +1% +#149010 +b1111110101011111100100110110 R" +#149500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11010 & +b11010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#150000 +15" +1] +0u +1y +1\ +1[ +0=" +0>" +0@" +1h +1g +0;" +b11011110101101111001110100001011 | +b11011110101101111001110100001011 B" +06" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b101 -" +14" +1v +0t +0b +0a +02" +0n +0m +0/" +b10011010101010101010100010011 / +b10011010101010101010100010011 K +b10011010101010101010100010011 e +b10011010101010101010100010011 "" +b110100000111110101101100011011 0 +b110100000111110101101100011011 M +b110100000111110101101100011011 f +b110100000111110101101100011011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +0. +1% +#150500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b111 ' +b111 D +1) +b0 & +b0 C +0( +1. +0% +#151000 +0i +b111010101010101010100000111 { +b111010101010101010100000111 A" +1s +0h +0g +1:" +1;" +1r +05" +07" +b11000 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011110101101111001110100001011 0 +b11011110101101111001110100001011 M +b11011110101101111001110100001011 f +b11011110101101111001110100001011 !" +0. +1% +#151500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#152000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b111010101010101010100000111 / +b111010101010101010100000111 K +b111010101010101010100000111 e +b111010101010101010100000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#152500 +b100 5 +b100 L +b100 W +14 +b100001010110000010111001101001 2 +b100001010110000010111001101001 I +b100001010110000010111001101001 Z +b10111 3 +b10111 J +b10111 Y +11 +b100 ' +b100 D +1) +b100001010110000010111001101001 , +b100001010110000010111001101001 H +b10111 + +b10111 G +1- +1. +0% +#153000 +0] +0i +b11111000110011010100100010110001 { +b11111000110011010100100010110001 A" +0\ +0[ +1>" +1@" +1:" +1;" +b1000 3" +1^ +0_ +1<" +1` +b11011 0" +1j +1k +1b +1a +12" +1/" +b100001010110000010111001101001 &" +b100001010110000010111001101001 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#153010 +b100001010110000010111001101001 Z" +#153500 +b0 5 +b0 L +b0 W +b10101101001000111110000011011 2 +b10101101001000111110000011011 I +b10101101001000111110000011011 Z +b10100 3 +b10100 J +b10100 Y +b0 ' +b0 D +b10101101001000111110000011011 , +b10101101001000111110000011011 H +b10100 + +b10100 G +1. +0% +#154000 +1i +b10101010101010100000000 { +b10101010101010100000000 A" +1\ +1[ +0:" +b1011 3" +b11111 0" +0j +0b +0a +b11111000110011010100100010110001 / +b11111000110011010100100010110001 K +b11111000110011010100100010110001 e +b11111000110011010100100010110001 "" +b10101101001000111110000011011 &" +b10101101001000111110000011011 ?" +b10100 %" +b10100 *" +b10100 1" +b0 ~ +b0 )" +b0 ." +0. +1% +#154010 +b10101101001000111110000011011 W" +#154500 +b10111 5 +b10111 L +b10111 W +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#155000 +1] +0k +0i +0s +b101010001010010110111111110011 | +b101010001010010110111111110011 B" +0>" +0@" +0h +0g +1:" +0r +15" +16" +17" +b11111 3" +0^ +0<" +0` +b1000 0" +18" +1l +1j +b100001010110000010111001101001 { +b100001010110000010111001101001 A" +b10001 -" +0t +0y +1z +1u +02" +1n +1m +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +b1110 } +b1110 (" +b1110 +" +1#" +b10101010101010100000000 / +b10101010101010100000000 K +b10101010101010100000000 e +b10101010101010100000000 "" +0. +1% +#155500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b10101 ' +b10101 D +b0 & +b0 C +0( +1. +0% +#156000 +1s +1h +1r +05" +06" +07" +b1010 0" +b10110000111110011100011010111110 { +b10110000111110011100011010111110 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0x +0," +b100001010110000010111001101001 / +b100001010110000010111001101001 K +b100001010110000010111001101001 e +b100001010110000010111001101001 "" +b101010001010010110111111110011 0 +b101010001010010110111111110011 M +b101010001010010110111111110011 f +b101010001010010110111111110011 !" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#156500 +b11101 5 +b11101 L +b11101 W +b10110 7 +b10110 N +b10110 V +16 +b11101 ' +b11101 D +b10110 & +b10110 C +1( +1. +0% +#157000 +0j +b1111001001011100101111101111010 { +b1111001001011100101111101111010 A" +0s +b1000101100101000000110011100001 | +b1000101100101000000110011100001 B" +19" +0r +16" +17" +b10 0" +1p +b1001 -" +1t +0u +14" +1v +1x +1," +b11101 ~ +b11101 )" +b11101 ." +b10110 } +b10110 (" +b10110 +" +1#" +b10110000111110011100011010111110 / +b10110000111110011100011010111110 K +b10110000111110011100011010111110 e +b10110000111110011100011010111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#157500 +b1101 5 +b1101 L +b1101 W +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +b0 & +b0 C +0( +1. +0% +#158000 +1k +1s +1;" +b1101010101010101010100001101 { +b1101010101010101010100001101 A" +1r +06" +07" +b10010 0" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b1111001001011100101111101111010 / +b1111001001011100101111101111010 K +b1111001001011100101111101111010 e +b1111001001011100101111101111010 "" +b1000101100101000000110011100001 0 +b1000101100101000000110011100001 M +b1000101100101000000110011100001 f +b1000101100101000000110011100001 !" +b1101 ~ +b1101 )" +b1101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#158500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#159000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1101010101010101010100001101 / +b1101010101010101010100001101 K +b1101010101010101010100001101 e +b1101010101010101010100001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#159500 +b11010 7 +b11010 N +b11010 V +16 +b11010 & +b11010 C +1( +1. +0% +#160000 +0s +b11011110101101111001110100001011 | +b11011110101101111001110100001011 B" +0r +15" +17" +b101 -" +1y +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#160500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#161000 +0y +1r +0q +16" +b10 -" +1z +b1111001001011100101111101111010 | +b1111001001011100101111101111010 B" +0x +1w +b11101 } +b11101 (" +b11101 +" +b11011110101101111001110100001011 0 +b11011110101101111001110100001011 M +b11011110101101111001110100001011 f +b11011110101101111001110100001011 !" +0. +1% +#161500 +b10011 7 +b10011 N +b10011 V +b10011 & +b10011 C +1. +0% +#162000 +1s +0r +05" +06" +b1100 -" +0z +b10011010101010101010100010011 | +b10011010101010101010100010011 B" +1x +b1111001001011100101111101111010 0 +b1111001001011100101111101111010 M +b1111001001011100101111101111010 f +b1111001001011100101111101111010 !" +b10011 } +b10011 (" +b10011 +" +0. +1% +#162500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +1. +0% +#163000 +0i +b11111010011111100011010010001011 { +b11111010011111100011010010001011 A" +0h +0g +19" +1;" +1r +1q +07" +b100 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0w +0," +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10011010101010101010100010011 0 +b10011010101010101010100010011 M +b10011010101010101010100010011 f +b10011010101010101010100010011 !" +0. +1% +#163500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +1. +0% +#164000 +1i +0s +b11010010011110100010010000000110 | +b11010010011110100010010000000110 B" +1h +1g +09" +0;" +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +1y +0u +14" +1v +0n +0m +0/" +1," +b11111010011111100011010010001011 / +b11111010011111100011010010001011 K +b11111010011111100011010010001011 e +b11111010011111100011010010001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#164500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +1. +0% +#165000 +0i +b10101101001000111110000011011 { +b10101101001000111110000011011 A" +1s +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1011 0" +1j +0k +18" +1l +b11111 -" +0y +04" +0v +1/" +0," +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010010011110100010010000000110 0 +b11010010011110100010010000000110 M +b11010010011110100010010000000110 f +b11010010011110100010010000000110 !" +0. +1% +#165500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +1. +0% +#166000 +1i +b10111110110110111101111000000111 | +b10111110110110111101111000000111 B" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +17" +b11111 0" +0j +08" +0l +b1111 -" +0u +14" +1v +0/" +1," +b10101101001000111110000011011 / +b10101101001000111110000011011 K +b10101101001000111110000011011 e +b10101101001000111110000011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#166500 +b10001 5 +b10001 L +b10001 W +14 +b111 7 +b111 N +b111 V +b10001 ' +b10001 D +1) +b111 & +b111 C +1. +0% +#167000 +b11111011010110010111110100101111 { +b11111011010110010111110100101111 A" +1u +0s +0g +1;" +0r +0q +17" +16" +b1110 0" +0k +18" +1l +b11000 -" +04" +0v +1t +b111010101010101010100000111 | +b111010101010101010100000111 B" +1m +1/" +1x +1w +b10001 ~ +b10001 )" +b10001 ." +1$" +b111 } +b111 (" +b111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111110110110111101111000000111 0 +b10111110110110111101111000000111 M +b10111110110110111101111000000111 f +b10111110110110111101111000000111 !" +0. +1% +#167500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +b0 & +b0 C +0( +1. +0% +#168000 +0i +1s +0h +1g +1:" +1r +1q +06" +07" +b1001 0" +1j +b1000101100101000000110011100001 { +b1000101100101000000110011100001 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0m +0x +0w +0," +b11111011010110010111110100101111 / +b11111011010110010111110100101111 K +b11111011010110010111110100101111 e +b11111011010110010111110100101111 "" +b111010101010101010100000111 0 +b111010101010101010100000111 M +b111010101010101010100000111 f +b111010101010101010100000111 !" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#168500 +b1001 5 +b1001 L +b1001 W +b111 7 +b111 N +b111 V +16 +b1001 ' +b1001 D +b111 & +b111 C +1( +1. +0% +#169000 +19" +1k +1o +0s +b111010101010101010100000111 | +b111010101010101010100000111 B" +1h +0g +1;" +0:" +0r +0q +16" +17" +b10110 0" +08" +0l +0j +b1001010101010101010100001001 { +b1001010101010101010100001001 A" +b11000 -" +1t +1u +0n +1m +1x +1w +1," +b1001 ~ +b1001 )" +b1001 ." +b111 } +b111 (" +b111 +" +1#" +b1000101100101000000110011100001 / +b1000101100101000000110011100001 K +b1000101100101000000110011100001 e +b1000101100101000000110011100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#169500 +b1110 5 +b1110 L +b1110 W +b10 7 +b10 N +b10 V +b1110 ' +b1110 D +b10 & +b10 C +1. +0% +#170000 +0o +1s +0h +1g +1:" +1q +06" +b10001 0" +1p +b101010001010010110111111110011 { +b101010001010010110111111110011 A" +b11101 -" +0t +b1000100110011010000111010110100 | +b1000100110011010000111010110100 B" +1n +0m +0w +b1001010101010101010100001001 / +b1001010101010101010100001001 K +b1001010101010101010100001001 e +b1001010101010101010100001001 "" +b111010101010101010100000111 0 +b111010101010101010100000111 M +b111010101010101010100000111 f +b111010101010101010100000111 !" +b1110 ~ +b1110 )" +b1110 ." +b10 } +b10 (" +b10 +" +0. +1% +#170500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +b0 & +b0 C +0( +1. +0% +#171000 +1j +1h +09" +1:" +1r +07" +b11011 0" +0p +b11111000110011010100100010110001 { +b11111000110011010100100010110001 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0x +0," +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +b101010001010010110111111110011 / +b101010001010010110111111110011 K +b101010001010010110111111110011 e +b101010001010010110111111110011 "" +b1000100110011010000111010110100 0 +b1000100110011010000111010110100 M +b1000100110011010000111010110100 f +b1000100110011010000111010110100 !" +0. +1% +#171500 +b10 5 +b10 L +b10 W +16 +b111010110101011100111011011101 2 +b111010110101011100111011011101 I +b111010110101011100111011011101 Z +b1011 3 +b1011 J +b1011 Y +11 +b10 ' +b10 D +1( +b111010110101011100111011011101 , +b111010110101011100111011011101 H +b1011 + +b1011 G +1- +1. +0% +#172000 +0] +1i +b10101010101010100000000 | +b10101010101010100000000 B" +0\ +0[ +1=" +1@" +0h +0:" +17" +b10100 3" +1c +1_ +b11101 0" +0j +b1000100110011010000111010110100 { +b1000100110011010000111010110100 A" +1u +1b +1a +12" +1n +1," +b11111000110011010100100010110001 / +b11111000110011010100100010110001 K +b11111000110011010100100010110001 e +b11111000110011010100100010110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111010110101011100111011011101 &" +b111010110101011100111011011101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10 ~ +b10 )" +b10 ." +1#" +0. +1% +#172010 +b111010110101011100111011011101 N" +#172500 +b1 5 +b1 L +b1 W +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#173000 +1] +0s +1\ +1[ +0=" +0@" +1h +0g +0r +0q +15" +b11111 3" +0c +0_ +b11110 0" +b1010101010101010100000001 { +b1010101010101010100000001 A" +b10100 -" +1y +b111010110101011100111011011101 | +b111010110101011100111011011101 B" +0b +0a +02" +0n +1m +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +b1011 } +b1011 (" +b1011 +" +b1000100110011010000111010110100 / +b1000100110011010000111010110100 K +b1000100110011010000111010110100 e +b1000100110011010000111010110100 "" +b10101010101010100000000 0 +b10101010101010100000000 M +b10101010101010100000000 f +b10101010101010100000000 !" +0. +1% +#173500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +b0 ' +b0 D +0) +b1010 & +b1010 C +1. +0% +#174000 +1g +0;" +1q +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10101 -" +b10101010100100101100010111010100 | +b10101010100100101100010111010100 B" +0m +0/" +0w +b1010101010101010100000001 / +b1010101010101010100000001 K +b1010101010101010100000001 e +b1010101010101010100000001 "" +b111010110101011100111011011101 0 +b111010110101011100111011011101 M +b111010110101011100111011011101 f +b111010110101011100111011011101 !" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +0. +1% +#174500 +b11011 5 +b11011 L +b11011 W +14 +b11011 7 +b11011 N +b11011 V +b11001110000100100101111011110011 2 +b11001110000100100101111011110011 I +b11001110000100100101111011110011 Z +b110 3 +b110 J +b110 Y +11 +b11011 ' +b11011 D +1) +b11011 & +b11011 C +b11001110000100100101111011110011 , +b11001110000100100101111011110011 H +b110 + +b110 G +1- +1. +0% +#175000 +0] +0i +b11111010011111100011010010001011 { +b11111010011111100011010010001011 A" +0u +0\ +1>" +1@" +0h +0g +19" +1;" +0q +b11001 3" +1^ +1_ +b100 0" +1o +0k +18" +1l +b100 -" +14" +1v +b11111010011111100011010010001011 | +b11111010011111100011010010001011 B" +1b +12" +1n +1m +1/" +1w +b11001110000100100101111011110011 &" +b11001110000100100101111011110011 ?" +b110 %" +b110 *" +b110 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b11011 } +b11011 (" +b11011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101010100100101100010111010100 0 +b10101010100100101100010111010100 M +b10101010100100101100010111010100 f +b10101010100100101100010111010100 !" +0. +1% +#175010 +b11001110000100100101111011110011 I" +#175500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#176000 +1] +1i +1s +1\ +0>" +0@" +1h +1g +09" +0;" +1r +1q +05" +07" +b11111 3" +0^ +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0m +0/" +0x +0w +0," +b11111010011111100011010010001011 / +b11111010011111100011010010001011 K +b11111010011111100011010010001011 e +b11111010011111100011010010001011 "" +b11111010011111100011010010001011 0 +b11111010011111100011010010001011 M +b11111010011111100011010010001011 f +b11111010011111100011010010001011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#176500 +b10000 5 +b10000 L +b10000 W +14 +b11100101101100000010011010011010 2 +b11100101101100000010011010011010 I +b11100101101100000010011010011010 Z +b1011 3 +b1011 J +b1011 Y +11 +b10000 ' +b10000 D +1) +b11100101101100000010011010011010 , +b11100101101100000010011010011010 H +b1011 + +b1011 G +1- +1. +0% +#177000 +0] +b10111110110110111101111000000111 { +b10111110110110111101111000000111 A" +0\ +0[ +1=" +1@" +1;" +b10100 3" +1c +1_ +b1111 0" +0k +18" +1l +1b +1a +12" +1/" +b11100101101100000010011010011010 &" +b11100101101100000010011010011010 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#177010 +b11100101101100000010011010011010 N" +#177500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b11001011001010110011001100010110 2 +b11001011001010110011001100010110 I +b11001011001010110011001100010110 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b11001011001010110011001100010110 , +b11001011001010110011001100010110 H +b10000 + +b10000 G +1. +0% +#178000 +0_ +1] +0s +b1111001001011100101111101111010 | +b1111001001011100101111101111010 B" +1\ +1[ +0=" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +15" +16" +17" +b1111 3" +1<" +1` +0c +b11111 0" +08" +0l +b10 -" +0t +0y +1z +0u +14" +1v +0b +0a +0/" +1w +1," +b10111110110110111101111000000111 / +b10111110110110111101111000000111 K +b10111110110110111101111000000111 e +b10111110110110111101111000000111 "" +b11001011001010110011001100010110 &" +b11001011001010110011001100010110 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#178010 +b11001011001010110011001100010110 S" +#178500 +b0 7 +b0 N +b0 V +06 +b101001001011101110001000111011 2 +b101001001011101110001000111011 I +b101001001011101110001000111011 Z +b11110 3 +b11110 J +b11110 Y +b0 & +b0 C +0( +b101001001011101110001000111011 , +b101001001011101110001000111011 H +b11110 + +b11110 G +1. +0% +#179000 +0] +1s +0\ +1=" +1>" +1q +05" +06" +07" +b1 3" +0^ +0c +1d +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0w +0," +b101001001011101110001000111011 &" +b101001001011101110001000111011 ?" +b11110 %" +b11110 *" +b11110 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111001001011100101111101111010 0 +b1111001001011100101111101111010 M +b1111001001011100101111101111010 f +b1111001001011100101111101111010 !" +0. +1% +#179010 +b101001001011101110001000111011 a" +#179500 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#180000 +1] +0s +b100001010110000010111001101001 | +b100001010110000010111001101001 B" +1\ +0=" +0>" +0@" +0r +0q +16" +17" +b11111 3" +0d +0<" +0` +b1000 -" +1t +0u +14" +1v +0b +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#180500 +b0 7 +b0 N +b0 V +06 +b1010110100111010011111100000001 2 +b1010110100111010011111100000001 I +b1010110100111010011111100000001 Z +b10 3 +b10 J +b10 Y +11 +b0 & +b0 C +0( +b1010110100111010011111100000001 , +b1010110100111010011111100000001 H +b10 + +b10 G +1- +1. +0% +#181000 +1s +0\ +1@" +1r +1q +06" +07" +b11101 3" +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0x +0w +0," +b1010110100111010011111100000001 &" +b1010110100111010011111100000001 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b100001010110000010111001101001 0 +b100001010110000010111001101001 M +b100001010110000010111001101001 f +b100001010110000010111001101001 !" +0. +1% +#181010 +b1010110100111010011111100000001 E" +#181500 +b10101 7 +b10101 N +b10101 V +16 +b101001100101001001001100100001 2 +b101001100101001001001100100001 I +b101001100101001001001100100001 Z +b1 3 +b1 J +b1 Y +b10101 & +b10101 C +1( +b101001100101001001001100100001 , +b101001100101001001001100100001 H +b1 + +b1 G +1. +0% +#182000 +0s +b10110000111110011100011010111110 | +b10110000111110011100011010111110 B" +1\ +0[ +0q +16" +17" +b11110 3" +b1010 -" +1t +0u +14" +1v +0b +1a +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101001100101001001001100100001 &" +b101001100101001001001100100001 ?" +b1 %" +b1 *" +b1 1" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#182010 +b101001100101001001001100100001 D" +#182500 +b0 7 +b0 N +b0 V +06 +b10111111010101010010001101101100 2 +b10111111010101010010001101101100 I +b10111111010101010010001101101100 Z +b110 3 +b110 J +b110 Y +b0 & +b0 C +0( +b10111111010101010010001101101100 , +b10111111010101010010001101101100 H +b110 + +b110 G +1. +0% +#183000 +0] +1s +0\ +1[ +1>" +1q +06" +07" +b11001 3" +1^ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +0w +0," +b10111111010101010010001101101100 &" +b10111111010101010010001101101100 ?" +b110 %" +b110 *" +b110 1" +b0 } +b0 (" +b0 +" +0#" +b10110000111110011100011010111110 0 +b10110000111110011100011010111110 M +b10110000111110011100011010111110 f +b10110000111110011100011010111110 !" +0. +1% +#183010 +b10111111010101010010001101101100 I" +#183500 +b100 5 +b100 L +b100 W +14 +b11011100101001110101001101001001 2 +b11011100101001110101001101001001 I +b11011100101001110101001101001001 Z +b11011 3 +b11011 J +b11011 Y +b100 ' +b100 D +1) +b11011100101001110101001101001001 , +b11011100101001110101001101001001 H +b11011 + +b11011 G +1. +0% +#184000 +1=" +0_ +1c +0i +b11111000110011010100100010110001 { +b11111000110011010100100010110001 A" +0[ +0>" +1:" +1;" +b100 3" +1<" +1` +0^ +b11011 0" +1j +1k +1a +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011100101001110101001101001001 &" +b11011100101001110101001101001001 ?" +b11011 %" +b11011 *" +b11011 1" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#184010 +b11011100101001110101001101001001 ^" +#184500 +b0 5 +b0 L +b0 W +04 +b11101111001101110111011111110111 2 +b11101111001101110111011111110111 I +b11101111001101110111011111110111 Z +b10011 3 +b10011 J +b10011 Y +b0 ' +b0 D +0) +b11101111001101110111011111110111 , +b11101111001101110111011111110111 H +b10011 + +b10011 G +1. +0% +#185000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0=" +0:" +0;" +b1100 3" +0c +b11111 0" +0j +0k +0/" +b11101111001101110111011111110111 &" +b11101111001101110111011111110111 ?" +b10011 %" +b10011 *" +b10011 1" +b0 ~ +b0 )" +b0 ." +0$" +b11111000110011010100100010110001 / +b11111000110011010100100010110001 K +b11111000110011010100100010110001 e +b11111000110011010100100010110001 "" +0. +1% +#185010 +b11101111001101110111011111110111 V" +#185500 +b10100011010000000110100010111000 2 +b10100011010000000110100010111000 I +b10100011010000000110100010111000 Z +b11001 3 +b11001 J +b11001 Y +b10100011010000000110100010111000 , +b10100011010000000110100010111000 H +b11001 + +b11001 G +1. +0% +#186000 +0] +1\ +1=" +b110 3" +1c +0b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100011010000000110100010111000 &" +b10100011010000000110100010111000 ?" +b11001 %" +b11001 *" +b11001 1" +0. +1% +#186010 +b10100011010000000110100010111000 \" +#186500 +b1 5 +b1 L +b1 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#187000 +1] +b101001100101001001001100100001 { +b101001100101001001001100100001 A" +1[ +0=" +0@" +0g +1;" +b11111 3" +0c +0<" +0` +b11110 0" +1k +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#187500 +b0 5 +b0 L +b0 W +04 +b111110001010101000011001110 2 +b111110001010101000011001110 I +b111110001010101000011001110 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b111110001010101000011001110 , +b111110001010101000011001110 H +b10000 + +b10000 G +1- +1. +0% +#188000 +1@" +1g +0;" +b1111 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b101001100101001001001100100001 / +b101001100101001001001100100001 K +b101001100101001001001100100001 e +b101001100101001001001100100001 "" +b111110001010101000011001110 &" +b111110001010101000011001110 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#188010 +b111110001010101000011001110 S" +#188500 +b111110101111001110110000011000 2 +b111110101111001110110000011000 I +b111110101111001110110000011000 Z +b10001 3 +b10001 J +b10001 Y +b111110101111001110110000011000 , +b111110101111001110110000011000 H +b10001 + +b10001 G +1. +0% +#189000 +0[ +b1110 3" +1a +b111110101111001110110000011000 &" +b111110101111001110110000011000 ?" +b10001 %" +b10001 *" +b10001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#189010 +b111110101111001110110000011000 T" +#189500 +b11000 5 +b11000 L +b11000 W +14 +b11001001010011111000100101100001 2 +b11001001010011111000100101100001 I +b11001001010011111000100101100001 Z +b1110 3 +b1110 J +b1110 Y +b11000 ' +b11000 D +1) +b11001001010011111000100101100001 , +b11001001010011111000100101100001 H +b1110 + +b1110 G +1. +0% +#190000 +1_ +0] +0i +b11010010011110100010010000000110 { +b11010010011110100010010000000110 A" +0\ +1[ +1@" +1=" +1>" +19" +1;" +b10001 3" +0<" +0` +0^ +0c +1d +b111 0" +1o +0k +18" +1l +1b +0a +1/" +b11001001010011111000100101100001 &" +b11001001010011111000100101100001 ?" +b1110 %" +b1110 *" +b1110 1" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#190010 +b11001001010011111000100101100001 Q" +#190500 +b1000 5 +b1000 L +b1000 W +b11011101101001101010011011011000 2 +b11011101101001101010011011011000 I +b11011101101001101010011011011000 Z +b10110 3 +b10110 J +b10110 Y +b1000 ' +b1000 D +b11011101101001101010011011011000 , +b11011101101001101010011011011000 H +b10110 + +b10110 G +1. +0% +#191000 +0_ +1^ +1k +0=" +1>" +1;" +b11001001000001001111000111011111 { +b11001001000001001111000111011111 A" +b1001 3" +1<" +1` +0d +b10111 0" +08" +0l +b11011101101001101010011011011000 &" +b11011101101001101010011011011000 ?" +b10110 %" +b10110 *" +b10110 1" +b1000 ~ +b1000 )" +b1000 ." +b11010010011110100010010000000110 / +b11010010011110100010010000000110 K +b11010010011110100010010000000110 e +b11010010011110100010010000000110 "" +0. +1% +#191010 +b11011101101001101010011011011000 Y" +#191500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b111001000111110100110000011000 2 +b111001000111110100110000011000 I +b111001000111110100110000011000 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b111001000111110100110000011000 , +b111001000111110100110000011000 H +b1110 + +b1110 G +1. +0% +#192000 +1_ +0^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10110000111110011100011010111110 | +b10110000111110011100011010111110 B" +1@" +1=" +09" +0;" +0q +16" +17" +b10001 3" +0<" +0` +1d +b11111 0" +0o +0k +b1010 -" +1t +0u +14" +1v +0/" +1w +1," +b11001001000001001111000111011111 / +b11001001000001001111000111011111 K +b11001001000001001111000111011111 e +b11001001000001001111000111011111 "" +b111001000111110100110000011000 &" +b111001000111110100110000011000 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#192010 +b111001000111110100110000011000 Q" +#192500 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#193000 +15" +1] +1y +b10100011010000000110100010111000 | +b10100011010000000110100010111000 B" +1\ +0=" +0>" +0@" +06" +b11111 3" +0d +0_ +b110 -" +0t +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110000111110011100011010111110 0 +b10110000111110011100011010111110 M +b10110000111110011100011010111110 f +b10110000111110011100011010111110 !" +0. +1% +#193500 +b0 7 +b0 N +b0 V +06 +b10111110011101001010001110111001 2 +b10111110011101001010001110111001 I +b10111110011101001010001110111001 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 & +b0 C +0( +b10111110011101001010001110111001 , +b10111110011101001010001110111001 H +b11011 + +b11011 G +1- +1. +0% +#194000 +0] +1s +0\ +0[ +1=" +1@" +1q +05" +07" +b100 3" +1c +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b10100011010000000110100010111000 0 +b10100011010000000110100010111000 M +b10100011010000000110100010111000 f +b10100011010000000110100010111000 !" +b10111110011101001010001110111001 &" +b10111110011101001010001110111001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#194010 +b10111110011101001010001110111001 ^" +#194500 +b10110000100110011000111001001001 2 +b10110000100110011000111001001001 I +b10110000100110011000111001001001 Z +b10000 3 +b10000 J +b10000 Y +b10110000100110011000111001001001 , +b10110000100110011000111001001001 H +b10000 + +b10000 G +1. +0% +#195000 +1] +1\ +1[ +0=" +b1111 3" +0c +0b +0a +b10110000100110011000111001001001 &" +b10110000100110011000111001001001 ?" +b10000 %" +b10000 *" +b10000 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#195010 +b10110000100110011000111001001001 S" +#195500 +14 +b10000 7 +b10000 N +b10000 V +16 +b1110111111010101000000100101011 2 +b1110111111010101000000100101011 I +b1110111111010101000000100101011 Z +b11010 3 +b11010 J +b11010 Y +1) +b10000 & +b10000 C +1( +b1110111111010101000000100101011 , +b1110111111010101000000100101011 H +b11010 + +b11010 G +1. +0% +#196000 +0] +b10101010101010100000000 { +b10101010101010100000000 A" +b10110000100110011000111001001001 | +b10110000100110011000111001001001 B" +0\ +1=" +1;" +17" +b101 3" +1c +1k +b1111 -" +0u +14" +1v +1b +1/" +1," +b1110111111010101000000100101011 &" +b1110111111010101000000100101011 ?" +b11010 %" +b11010 *" +b11010 1" +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#196010 +b1110111111010101000000100101011 ]" +#196500 +b11010 5 +b11010 L +b11010 W +b0 7 +b0 N +b0 V +06 +b11110000001001110000111001001010 2 +b11110000001001110000111001001010 I +b11110000001001110000111001001010 Z +b10100 3 +b10100 J +b10100 Y +b11010 ' +b11010 D +b0 & +b0 C +0( +b11110000001001110000111001001010 , +b11110000001001110000111001001010 H +b10100 + +b10100 G +1. +0% +#197000 +1>" +1^ +0k +0i +1\ +0=" +0h +19" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1011 3" +0c +b101 0" +18" +1l +1o +b1110111111010101000000100101011 { +b1110111111010101000000100101011 A" +b11111 -" +04" +0v +0b +1n +0," +b11110000001001110000111001001010 &" +b11110000001001110000111001001010 ?" +b10100 %" +b10100 *" +b10100 1" +b11010 ~ +b11010 )" +b11010 ." +b0 } +b0 (" +b0 +" +0#" +b10101010101010100000000 / +b10101010101010100000000 K +b10101010101010100000000 e +b10101010101010100000000 "" +b10110000100110011000111001001001 0 +b10110000100110011000111001001001 M +b10110000100110011000111001001001 f +b10110000100110011000111001001001 !" +0. +1% +#197010 +b11110000001001110000111001001010 W" +#197500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#198000 +1] +1i +0>" +0@" +1h +09" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0/" +b1110111111010101000000100101011 / +b1110111111010101000000100101011 K +b1110111111010101000000100101011 e +b1110111111010101000000100101011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#198500 +b1110 7 +b1110 N +b1110 V +16 +b11111110011110101110010111001011 2 +b11111110011110101110010111001011 I +b11111110011110101110010111001011 Z +b10100 3 +b10100 J +b10100 Y +11 +b1110 & +b1110 C +1( +b11111110011110101110010111001011 , +b11111110011110101110010111001011 H +b10100 + +b10100 G +1- +1. +0% +#199000 +0] +0s +b111001000111110100110000011000 | +b111001000111110100110000011000 B" +1>" +1@" +0r +15" +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b10001 -" +0t +0y +1z +1u +12" +1x +1," +b11111110011110101110010111001011 &" +b11111110011110101110010111001011 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#199010 +b11111110011110101110010111001011 W" +#199500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#200000 +1] +0i +b111001000111110100110000011000 { +b111001000111110100110000011000 A" +1s +0>" +0@" +0h +19" +1:" +1;" +1r +05" +06" +07" +b11111 3" +0^ +0<" +0` +b10001 0" +0j +0o +1p +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1n +1/" +0x +0," +b111001000111110100110000011000 0 +b111001000111110100110000011000 M +b111001000111110100110000011000 f +b111001000111110100110000011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#200500 +b11 5 +b11 L +b11 W +b10011 7 +b10011 N +b10011 V +16 +b1100101000010101011111111111101 2 +b1100101000010101011111111111101 I +b1100101000010101011111111111101 Z +11 +b11 ' +b11 D +b10011 & +b10011 C +1( +b1100101000010101011111111111101 , +b1100101000010101011111111111101 H +1- +1. +0% +#201000 +1i +b11101111001101110111011111110111 | +b11101111001101110111011111110111 B" +1@" +0g +09" +0:" +0r +0q +17" +1_ +b11100 0" +0p +b1111111000001001010100110001011 { +b1111111000001001010100110001011 A" +b1100 -" +0u +14" +1v +12" +1m +1x +1w +1," +b1100101000010101011111111111101 &" +b1100101000010101011111111111101 ?" +1'" +b11 ~ +b11 )" +b11 ." +b10011 } +b10011 (" +b10011 +" +1#" +b111001000111110100110000011000 / +b111001000111110100110000011000 K +b111001000111110100110000011000 e +b111001000111110100110000011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#201010 +b1100101000010101011111111111101 C" +#201500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b10001 & +b10001 C +b0 , +b0 H +0- +1. +0% +#202000 +0@" +1h +1g +0;" +1r +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +b111110101111001110110000011000 | +b111110101111001110110000011000 B" +02" +0n +0m +0/" +0x +b1111111000001001010100110001011 / +b1111111000001001010100110001011 K +b1111111000001001010100110001011 e +b1111111000001001010100110001011 "" +b11101111001101110111011111110111 0 +b11101111001101110111011111110111 M +b11101111001101110111011111110111 f +b11101111001101110111011111110111 !" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#202500 +b10110 7 +b10110 N +b10110 V +b10110 & +b10110 C +1. +0% +#203000 +0s +0r +1q +16" +b1001 -" +1t +b11011101101001101010011011011000 | +b11011101101001101010011011011000 B" +1x +0w +b10110 } +b10110 (" +b10110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111110101111001110110000011000 0 +b111110101111001110110000011000 M +b111110101111001110110000011000 f +b111110101111001110110000011000 !" +0. +1% +#203500 +b10011 5 +b10011 L +b10011 W +14 +b11011 7 +b11011 N +b11011 V +b10011 ' +b10011 D +1) +b11011 & +b11011 C +1. +0% +#204000 +15" +b11101111001101110111011111110111 { +b11101111001101110111011111110111 A" +1y +0h +0g +1;" +0q +06" +b1100 0" +0k +18" +1l +b100 -" +0t +b10111110011101001010001110111001 | +b10111110011101001010001110111001 B" +1n +1m +1/" +1w +b11011101101001101010011011011000 0 +b11011101101001101010011011011000 M +b11011101101001101010011011011000 f +b11011101101001101010011011011000 !" +b10011 ~ +b10011 )" +b10011 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#204500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b0 ' +b0 D +0) +b10001 & +b10001 C +1. +0% +#205000 +1s +1h +1g +0;" +1r +05" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0y +b111110101111001110110000011000 | +b111110101111001110110000011000 B" +0n +0m +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +b11101111001101110111011111110111 / +b11101111001101110111011111110111 K +b11101111001101110111011111110111 e +b11101111001101110111011111110111 "" +b10111110011101001010001110111001 0 +b10111110011101001010001110111001 M +b10111110011101001010001110111001 f +b10111110011101001010001110111001 !" +0. +1% +#205500 +b1011 7 +b1011 N +b1011 V +b1011 & +b1011 C +1. +0% +#206000 +1u +0s +0r +17" +15" +b10100 -" +04" +0v +1y +b11100101101100000010011010011010 | +b11100101101100000010011010011010 B" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111110101111001110110000011000 0 +b111110101111001110110000011000 M +b111110101111001110110000011000 f +b111110101111001110110000011000 !" +b1011 } +b1011 (" +b1011 +" +0. +1% +#206500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#207000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11100101101100000010011010011010 0 +b11100101101100000010011010011010 M +b11100101101100000010011010011010 f +b11100101101100000010011010011010 !" +0. +1% +#207500 +b11100110010100010010111101011001 2 +b11100110010100010010111101011001 I +b11100110010100010010111101011001 Z +b10001 3 +b10001 J +b10001 Y +11 +b11100110010100010010111101011001 , +b11100110010100010010111101011001 H +b10001 + +b10001 G +1- +1. +0% +#208000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100110010100010010111101011001 &" +b11100110010100010010111101011001 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0. +1% +#208010 +b11100110010100010010111101011001 T" +#208500 +b11110 5 +b11110 L +b11110 W +14 +b10110 7 +b10110 N +b10110 V +16 +b1100101010111001001001001110 2 +b1100101010111001001001001110 I +b1100101010111001001001001110 Z +b1010 3 +b1010 J +b1010 Y +b11110 ' +b11110 D +1) +b10110 & +b10110 C +1( +b1100101010111001001001001110 , +b1100101010111001001001001110 H +b1010 + +b1010 G +1. +0% +#209000 +1_ +0] +0i +b101001001011101110001000111011 { +b101001001011101110001000111011 A" +0s +b11011101101001101010011011011000 | +b11011101101001101010011011011000 B" +0\ +1[ +1@" +1=" +0h +19" +1:" +1;" +0r +16" +17" +b10101 3" +0<" +0` +1c +b1 0" +0j +0o +1p +0k +18" +1l +b1001 -" +1t +0u +14" +1v +1b +0a +1n +1/" +1x +1," +b1100101010111001001001001110 &" +b1100101010111001001001001110 ?" +b1010 %" +b1010 *" +b1010 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#209010 +b1100101010111001001001001110 M" +#209500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#210000 +1] +1i +1s +1\ +0=" +0@" +1h +09" +0:" +0;" +1r +06" +07" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0/" +0x +0," +b101001001011101110001000111011 / +b101001001011101110001000111011 K +b101001001011101110001000111011 e +b101001001011101110001000111011 "" +b11011101101001101010011011011000 0 +b11011101101001101010011011011000 M +b11011101101001101010011011011000 f +b11011101101001101010011011011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#210500 +b11100 5 +b11100 L +b11100 W +14 +b11111 7 +b11111 N +b11111 V +16 +b11100 ' +b11100 D +1) +b11111 & +b11111 C +1( +1. +0% +#211000 +0i +b1111110101100011001101011111111 { +b1111110101100011001101011111111 A" +0s +b110111111101110010110110000001 | +b110111111101110010110110000001 B" +19" +1:" +1;" +0r +0q +15" +16" +17" +b11 0" +0j +0o +1p +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +1/" +1x +1w +1," +b11100 ~ +b11100 )" +b11100 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#211500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +06 +b11011 ' +b11011 D +b0 & +b0 C +0( +1. +0% +#212000 +1o +1s +0h +0g +19" +0:" +1r +1q +05" +06" +07" +b100 0" +0p +b10111110011101001010001110111001 { +b10111110011101001010001110111001 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +0x +0w +0," +b1111110101100011001101011111111 / +b1111110101100011001101011111111 K +b1111110101100011001101011111111 e +b1111110101100011001101011111111 "" +b110111111101110010110110000001 0 +b110111111101110010110110000001 M +b110111111101110010110110000001 f +b110111111101110010110110000001 !" +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#212500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b11101000000110101010110011010111 2 +b11101000000110101010110011010111 I +b11101000000110101010110011010111 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b11101000000110101010110011010111 , +b11101000000110101010110011010111 H +b1101 + +b1101 G +1- +1. +0% +#213000 +0] +1i +0s +b1111001001011100101111101111010 | +b1111001001011100101111101111010 B" +0[ +1=" +1>" +1@" +1h +1g +09" +0;" +0q +15" +16" +17" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10 -" +0t +0y +1z +0u +14" +1v +1a +12" +0n +0m +0/" +1w +1," +b11101000000110101010110011010111 &" +b11101000000110101010110011010111 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +b10111110011101001010001110111001 / +b10111110011101001010001110111001 K +b10111110011101001010001110111001 e +b10111110011101001010001110111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#213010 +b11101000000110101010110011010111 P" +#213500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b101001100011110010011100101011 2 +b101001100011110010011100101011 I +b101001100011110010011100101011 Z +b1110 3 +b1110 J +b1110 Y +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +b101001100011110010011100101011 , +b101001100011110010011100101011 H +b1110 + +b1110 G +1. +0% +#214000 +0i +b11100101101100000010011010011010 { +b11100101101100000010011010011010 A" +1s +0\ +1[ +0h +0g +19" +1;" +1q +05" +06" +07" +b10001 3" +b10100 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +1n +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111001001011100101111101111010 0 +b1111001001011100101111101111010 M +b1111001001011100101111101111010 f +b1111001001011100101111101111010 !" +b101001100011110010011100101011 &" +b101001100011110010011100101011 ?" +b1110 %" +b1110 *" +b1110 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#214010 +b101001100011110010011100101011 Q" +#214500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#215000 +1] +1i +1\ +0=" +0>" +0@" +1h +1g +09" +0;" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100101101100000010011010011010 / +b11100101101100000010011010011010 K +b11100101101100000010011010011010 e +b11100101101100000010011010011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#215500 +1. +0% +#216000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#216500 +b11100 7 +b11100 N +b11100 V +16 +b1010110011011110101001111101000 2 +b1010110011011110101001111101000 I +b1010110011011110101001111101000 Z +b1 3 +b1 J +b1 Y +11 +b11100 & +b11100 C +1( +b1010110011011110101001111101000 , +b1010110011011110101001111101000 H +b1 + +b1 G +1- +1. +0% +#217000 +0s +b1111110101100011001101011111111 | +b1111110101100011001101011111111 B" +0[ +1@" +15" +16" +17" +b11110 3" +1_ +b11 -" +0t +0y +1z +0u +14" +1v +1a +12" +1," +b1010110011011110101001111101000 &" +b1010110011011110101001111101000 ?" +b1 %" +b1 *" +b1 1" +1'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#217010 +b1010110011011110101001111101000 D" +#217500 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#218000 +1t +1[ +0@" +0r +05" +16" +b11111 3" +0_ +b1001 -" +0z +b11011101101001101010011011011000 | +b11011101101001101010011011011000 B" +0a +02" +1x +b1111110101100011001101011111111 0 +b1111110101100011001101011111111 M +b1111110101100011001101011111111 f +b1111110101100011001101011111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 } +b10110 (" +b10110 +" +0. +1% +#218500 +b1111 7 +b1111 N +b1111 V +b10000110010101111110010010001111 2 +b10000110010101111110010010001111 I +b10000110010101111110010010001111 Z +b110 3 +b110 J +b110 Y +11 +b1111 & +b1111 C +b10000110010101111110010010001111 , +b10000110010101111110010010001111 H +b110 + +b110 G +1- +1. +0% +#219000 +0] +1u +0t +0\ +1>" +1@" +0q +17" +15" +b11001 3" +1^ +1_ +b10000 -" +04" +0v +1z +b1111110101011111100100110110 | +b1111110101011111100100110110 B" +1b +12" +1w +b10000110010101111110010010001111 &" +b10000110010101111110010010001111 ?" +b110 %" +b110 *" +b110 1" +1'" +b1111 } +b1111 (" +b1111 +" +b11011101101001101010011011011000 0 +b11011101101001101010011011011000 M +b11011101101001101010011011011000 f +b11011101101001101010011011011000 !" +0. +1% +#219010 +b10000110010101111110010010001111 I" +#219500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#220000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0_ +0b +02" +b1111110101011111100100110110 0 +b1111110101011111100100110110 M +b1111110101011111100100110110 f +b1111110101011111100100110110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#220500 +b1100 7 +b1100 N +b1100 V +b1100 & +b1100 C +1. +0% +#221000 +1r +1q +b10011 -" +b10011001100011000110111011000100 | +b10011001100011000110111011000100 B" +0x +0w +b1100 } +b1100 (" +b1100 +" +0. +1% +#221500 +b10110 5 +b10110 L +b10110 W +14 +b10100 7 +b10100 N +b10100 V +b10110 ' +b10110 D +1) +b10100 & +b10100 C +1. +0% +#222000 +0i +b11011101101001101010011011011000 { +b11011101101001101010011011011000 A" +0u +1t +0h +1:" +1;" +b11111110011110101110010111001011 | +b11111110011110101110010111001011 B" +05" +16" +b1001 0" +1j +0k +18" +1l +b1011 -" +14" +1v +0z +1n +1/" +b10011001100011000110111011000100 0 +b10011001100011000110111011000100 M +b10011001100011000110111011000100 f +b10011001100011000110111011000100 !" +b10110 ~ +b10110 )" +b10110 ." +1$" +b10100 } +b10100 (" +b10100 +" +0. +1% +#222500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b0 ' +b0 D +0) +b10101 & +b10101 C +1. +0% +#223000 +1i +1h +0:" +0;" +0q +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +b10110000111110011100011010111110 | +b10110000111110011100011010111110 B" +0n +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +b11011101101001101010011011011000 / +b11011101101001101010011011011000 K +b11011101101001101010011011011000 e +b11011101101001101010011011011000 "" +b11111110011110101110010111001011 0 +b11111110011110101110010111001011 M +b11111110011110101110010111001011 f +b11111110011110101110010111001011 !" +0. +1% +#223500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#224000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110000111110011100011010111110 0 +b10110000111110011100011010111110 M +b10110000111110011100011010111110 f +b10110000111110011100011010111110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#224500 +b10101 7 +b10101 N +b10101 V +16 +b10101 & +b10101 C +1( +1. +0% +#225000 +0s +b10110000111110011100011010111110 | +b10110000111110011100011010111110 B" +0q +16" +17" +b1010 -" +1t +0u +14" +1v +1w +1," +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#225500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#226000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b10110000111110011100011010111110 0 +b10110000111110011100011010111110 M +b10110000111110011100011010111110 f +b10110000111110011100011010111110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#226500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#227000 +0s +b1001010101010101010100001001 | +b1001010101010101010100001001 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#227500 +b10000 5 +b10000 L +b10000 W +14 +b1110 7 +b1110 N +b1110 V +b10000 ' +b10000 D +1) +b1110 & +b1110 C +1. +0% +#228000 +b10110000100110011000111001001001 { +b10110000100110011000111001001001 A" +0y +1;" +0r +1q +16" +b1111 0" +0k +18" +1l +b10001 -" +1z +b101001100011110010011100101011 | +b101001100011110010011100101011 B" +1/" +1x +0w +b1001010101010101010100001001 0 +b1001010101010101010100001001 M +b1001010101010101010100001001 f +b1001010101010101010100001001 !" +b10000 ~ +b10000 )" +b10000 ." +1$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#228500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11110100101101110111110111100001 2 +b11110100101101110111110111100001 I +b11110100101101110111110111100001 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11110100101101110111110111100001 , +b11110100101101110111110111100001 H +b11101 + +b11101 G +1- +1. +0% +#229000 +0] +1s +0[ +1=" +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +05" +06" +07" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0/" +0x +0," +b11110100101101110111110111100001 &" +b11110100101101110111110111100001 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10110000100110011000111001001001 / +b10110000100110011000111001001001 K +b10110000100110011000111001001001 e +b10110000100110011000111001001001 "" +b101001100011110010011100101011 0 +b101001100011110010011100101011 M +b101001100011110010011100101011 f +b101001100011110010011100101011 !" +0. +1% +#229010 +b11110100101101110111110111100001 `" +#229500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#230000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#230500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#231000 +0s +b10111110011101001010001110111001 | +b10111110011101001010001110111001 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#231500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b11011000100011111110001001101101 2 +b11011000100011111110001001101101 I +b11011000100011111110001001101101 Z +b1100 3 +b1100 J +b1100 Y +11 +b100 ' +b100 D +1) +b0 & +b0 C +0( +b11011000100011111110001001101101 , +b11011000100011111110001001101101 H +b1100 + +b1100 G +1- +1. +0% +#232000 +0] +0i +b11111000110011010100100010110001 { +b11111000110011010100100010110001 A" +1s +1=" +1>" +1@" +1:" +1;" +1r +1q +05" +07" +b10011 3" +0^ +0c +1d +1_ +b11011 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1/" +0x +0w +0," +b10111110011101001010001110111001 0 +b10111110011101001010001110111001 M +b10111110011101001010001110111001 f +b10111110011101001010001110111001 !" +b11011000100011111110001001101101 &" +b11011000100011111110001001101101 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#232010 +b11011000100011111110001001101101 O" +#232500 +b0 5 +b0 L +b0 W +04 +b1110100000010000110101000101000 2 +b1110100000010000110101000101000 I +b1110100000010000110101000101000 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b1110100000010000110101000101000 , +b1110100000010000110101000101000 H +b11110 + +b11110 G +1. +0% +#233000 +0_ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +0:" +0;" +b1 3" +1<" +1` +b11111 0" +0j +0k +1b +0/" +b1110100000010000110101000101000 &" +b1110100000010000110101000101000 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +b11111000110011010100100010110001 / +b11111000110011010100100010110001 K +b11111000110011010100100010110001 e +b11111000110011010100100010110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#233010 +b1110100000010000110101000101000 a" +#233500 +b10101 5 +b10101 L +b10101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#234000 +1] +0i +b10110000111110011100011010111110 { +b10110000111110011100011010111110 A" +1\ +0=" +0>" +0@" +0g +1:" +1;" +b11111 3" +0d +0<" +0` +b1010 0" +1j +0k +18" +1l +0b +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#234500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#235000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10110000111110011100011010111110 / +b10110000111110011100011010111110 K +b10110000111110011100011010111110 e +b10110000111110011100011010111110 "" +0. +1% +#235500 +b1110 5 +b1110 L +b1110 W +14 +b1010 7 +b1010 N +b1010 V +16 +b1110 ' +b1110 D +1) +b1010 & +b1010 C +1( +1. +0% +#236000 +0i +b101001100011110010011100101011 { +b101001100011110010011100101011 A" +0s +b1100101010111001001001001110 | +b1100101010111001001001001110 B" +0h +19" +1:" +1;" +0r +15" +17" +b10001 0" +0j +0o +1p +1k +b10101 -" +1y +1u +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110 ~ +b1110 )" +b1110 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#236500 +b11100 5 +b11100 L +b11100 W +b11 7 +b11 N +b11 V +b11111101110001110100100110110110 2 +b11111101110001110100100110110110 I +b11111101110001110100100110110110 Z +b11001 3 +b11001 J +b11001 Y +11 +b11100 ' +b11100 D +b11 & +b11 C +b11111101110001110100100110110110 , +b11111101110001110100100110110110 H +b11001 + +b11001 G +1- +1. +0% +#237000 +0] +0k +1s +0[ +1=" +1@" +1h +0q +05" +b110 3" +1c +0_ +1<" +1` +b11 0" +18" +1l +b1111110101100011001101011111111 { +b1111110101100011001101011111111 A" +b11100 -" +0y +b1111111000001001010100110001011 | +b1111111000001001010100110001011 B" +1a +12" +0n +1w +b11111101110001110100100110110110 &" +b11111101110001110100100110110110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b11 } +b11 (" +b11 +" +b101001100011110010011100101011 / +b101001100011110010011100101011 K +b101001100011110010011100101011 e +b101001100011110010011100101011 "" +b1100101010111001001001001110 0 +b1100101010111001001001001110 M +b1100101010111001001001001110 f +b1100101010111001001001001110 !" +0. +1% +#237010 +b11111101110001110100100110110110 \" +#237500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10010 & +b10010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#238000 +1] +1i +0u +1[ +0=" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +b11111 3" +0c +0<" +0` +b11111 0" +0p +08" +0l +b1101 -" +14" +1v +b10010010101010101010100010010 | +b10010010101010101010100010010 B" +0a +02" +0/" +0w +b1111110101100011001101011111111 / +b1111110101100011001101011111111 K +b1111110101100011001101011111111 e +b1111110101100011001101011111111 "" +b1111111000001001010100110001011 0 +b1111111000001001010100110001011 M +b1111111000001001010100110001011 f +b1111111000001001010100110001011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#238500 +b1111 5 +b1111 L +b1111 W +14 +b1000 7 +b1000 N +b1000 V +b11010111001110011000101111100011 2 +b11010111001110011000101111100011 I +b11010111001110011000101111100011 Z +b10 3 +b10 J +b10 Y +11 +b1111 ' +b1111 D +1) +b1000 & +b1000 C +b11010111001110011000101111100011 , +b11010111001110011000101111100011 H +b10 + +b10 G +1- +1. +0% +#239000 +0i +b1111110101011111100100110110 { +b1111110101011111100100110110 A" +1u +0s +0\ +1@" +0h +0g +19" +1:" +1;" +1r +17" +15" +b11101 3" +1_ +b10000 0" +0j +0o +1p +1k +b10111 -" +04" +0v +1y +b11001001000001001111000111011111 | +b11001001000001001111000111011111 B" +1b +12" +1n +1m +1/" +0x +b11010111001110011000101111100011 &" +b11010111001110011000101111100011 ?" +b10 %" +b10 *" +b10 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1000 } +b1000 (" +b1000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010010101010101010100010010 0 +b10010010101010101010100010010 M +b10010010101010101010100010010 f +b10010010101010101010100010010 !" +0. +1% +#239010 +b11010111001110011000101111100011 E" +#239500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10111010100101000100100110110110 2 +b10111010100101000100100110110110 I +b10111010100101000100100110110110 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10111010100101000100100110110110 , +b10111010100101000100100110110110 H +b11 + +b11 G +1. +0% +#240000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1h +1g +09" +0:" +0;" +05" +07" +b11100 3" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +0u +1a +0n +0m +0/" +0," +b1111110101011111100100110110 / +b1111110101011111100100110110 K +b1111110101011111100100110110 e +b1111110101011111100100110110 "" +b11001001000001001111000111011111 0 +b11001001000001001111000111011111 M +b11001001000001001111000111011111 f +b11001001000001001111000111011111 !" +b10111010100101000100100110110110 &" +b10111010100101000100100110110110 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#240010 +b10111010100101000100100110110110 F" +#240500 +b1000110000101010100011001110111 2 +b1000110000101010100011001110111 I +b1000110000101010100011001110111 Z +b1010 3 +b1010 J +b1010 Y +b1000110000101010100011001110111 , +b1000110000101010100011001110111 H +b1010 + +b1010 G +1. +0% +#241000 +0] +1[ +1=" +b10101 3" +1c +0a +b1000110000101010100011001110111 &" +b1000110000101010100011001110111 ?" +b1010 %" +b1010 *" +b1010 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#241010 +b1000110000101010100011001110111 M" +#241500 +b11000 7 +b11000 N +b11000 V +16 +b11001101011111001001010000110111 2 +b11001101011111001001010000110111 I +b11001101011111001001010000110111 Z +b1101 3 +b1101 J +b1101 Y +b11000 & +b11000 C +1( +b11001101011111001001010000110111 , +b11001101011111001001010000110111 H +b1101 + +b1101 G +1. +0% +#242000 +0c +0s +b11010010011110100010010000000110 | +b11010010011110100010010000000110 B" +1\ +0[ +1>" +15" +17" +b10010 3" +1d +b111 -" +1y +0u +14" +1v +0b +1a +1," +b11001101011111001001010000110111 &" +b11001101011111001001010000110111 ?" +b1101 %" +b1101 *" +b1101 1" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#242010 +b11001101011111001001010000110111 P" +#242500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1010001010100001001100010 2 +b1010001010100001001100010 I +b1010001010100001001100010 Z +b11000 3 +b11000 J +b11000 Y +b1 ' +b1 D +1) +b0 & +b0 C +0( +b1010001010100001001100010 , +b1010001010100001001100010 H +b11000 + +b11000 G +1. +0% +#243000 +0_ +1c +b1010110011011110101001111101000 { +b1010110011011110101001111101000 A" +1s +1[ +1=" +0>" +0g +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b111 3" +1<" +1` +0d +b11110 0" +1k +b11111 -" +0y +04" +0v +0a +1m +1/" +0," +b1010001010100001001100010 &" +b1010001010100001001100010 ?" +b11000 %" +b11000 *" +b11000 1" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11010010011110100010010000000110 0 +b11010010011110100010010000000110 M +b11010010011110100010010000000110 f +b11010010011110100010010000000110 !" +0. +1% +#243010 +b1010001010100001001100010 [" +#243500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b100001101011111110000100011011 2 +b100001101011111110000100011011 I +b100001101011111110000100011011 Z +b110 3 +b110 J +b110 Y +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b100001101011111110000100011011 , +b100001101011111110000100011011 H +b110 + +b110 G +1. +0% +#244000 +1>" +1_ +1^ +0s +b1000110000101010100011001110111 | +b1000110000101010100011001110111 B" +0\ +1@" +0=" +1g +0;" +0r +15" +17" +b11001 3" +0<" +0` +0c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10101 -" +1y +1u +1b +0m +0/" +1x +1," +b1010110011011110101001111101000 / +b1010110011011110101001111101000 K +b1010110011011110101001111101000 e +b1010110011011110101001111101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100001101011111110000100011011 &" +b100001101011111110000100011011 ?" +b110 %" +b110 *" +b110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#244010 +b100001101011111110000100011011 I" +#244500 +b0 7 +b0 N +b0 V +06 +b1100111000001000110010010101101 2 +b1100111000001000110010010101101 I +b1100111000001000110010010101101 Z +b10000 3 +b10000 J +b10000 Y +b0 & +b0 C +0( +b1100111000001000110010010101101 , +b1100111000001000110010010101101 H +b10000 + +b10000 G +1. +0% +#245000 +0_ +1] +1s +1\ +0>" +1r +05" +07" +b1111 3" +1<" +1` +0^ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0x +0," +b1100111000001000110010010101101 &" +b1100111000001000110010010101101 ?" +b10000 %" +b10000 *" +b10000 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000110000101010100011001110111 0 +b1000110000101010100011001110111 M +b1000110000101010100011001110111 f +b1000110000101010100011001110111 !" +0. +1% +#245010 +b1100111000001000110010010101101 S" +#245500 +b1 5 +b1 L +b1 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#246000 +b1010110011011110101001111101000 { +b1010110011011110101001111101000 A" +0@" +0g +1;" +b11111 3" +0<" +0` +b11110 0" +1k +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#246500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b11010111010010011111010000000010 2 +b11010111010010011111010000000010 I +b11010111010010011111010000000010 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +b11010111010010011111010000000010 , +b11010111010010011111010000000010 H +b1100 + +b1100 G +1- +1. +0% +#247000 +0] +0s +b1111110101100011001101011111111 | +b1111110101100011001101011111111 B" +1=" +1>" +1@" +1g +0;" +15" +16" +17" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +0t +0y +1z +0u +14" +1v +12" +0m +0/" +1," +b11010111010010011111010000000010 &" +b11010111010010011111010000000010 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +b1010110011011110101001111101000 / +b1010110011011110101001111101000 K +b1010110011011110101001111101000 e +b1010110011011110101001111101000 "" +0. +1% +#247010 +b11010111010010011111010000000010 O" +#247500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#248000 +1] +1s +0=" +0>" +0@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b11111 -" +0z +04" +0v +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111110101100011001101011111111 0 +b1111110101100011001101011111111 M +b1111110101100011001101011111111 f +b1111110101100011001101011111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#248500 +b10101 5 +b10101 L +b10101 W +14 +b10101 ' +b10101 D +1) +1. +0% +#249000 +0i +b10110000111110011100011010111110 { +b10110000111110011100011010111110 A" +0g +1:" +1;" +b1010 0" +1j +0k +18" +1l +1m +1/" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#249500 +b101 5 +b101 L +b101 W +b100001010010010010111111100011 2 +b100001010010010010111111100011 I +b100001010010010010111111100011 Z +b10 3 +b10 J +b10 Y +11 +b101 ' +b101 D +b100001010010010010111111100011 , +b100001010010010010111111100011 H +b10 + +b10 G +1- +1. +0% +#250000 +1k +0\ +1@" +1;" +b101010101010101010100000101 { +b101010101010101010100000101 A" +b11101 3" +1_ +b11010 0" +08" +0l +1b +12" +b10110000111110011100011010111110 / +b10110000111110011100011010111110 K +b10110000111110011100011010111110 e +b10110000111110011100011010111110 "" +b100001010010010010111111100011 &" +b100001010010010010111111100011 ?" +b10 %" +b10 *" +b10 1" +1'" +b101 ~ +b101 )" +b101 ." +0. +1% +#250010 +b100001010010010010111111100011 E" +#250500 +b0 5 +b0 L +b0 W +b10110010010010010111111000111 2 +b10110010010010010111111000111 I +b10110010010010010111111000111 Z +b10001 3 +b10001 J +b10001 Y +b0 ' +b0 D +b10110010010010010111111000111 , +b10110010010010010111111000111 H +b10001 + +b10001 G +1. +0% +#251000 +0_ +1i +1\ +0[ +1g +0:" +b1110 3" +1<" +1` +b11111 0" +0j +b1100101000010101011111111111101 { +b1100101000010101011111111111101 A" +0b +1a +0m +b10110010010010010111111000111 &" +b10110010010010010111111000111 ?" +b10001 %" +b10001 *" +b10001 1" +b0 ~ +b0 )" +b0 ." +b101010101010101010100000101 / +b101010101010101010100000101 K +b101010101010101010100000101 e +b101010101010101010100000101 "" +0. +1% +#251010 +b10110010010010010111111000111 T" +#251500 +04 +b10010 7 +b10010 N +b10010 V +16 +b11101100011001110001101010110000 2 +b11101100011001110001101010110000 I +b11101100011001110001101010110000 Z +b1000 3 +b1000 J +b1000 Y +0) +b10010 & +b10010 C +1( +b11101100011001110001101010110000 , +b11101100011001110001101010110000 H +b1000 + +b1000 G +1. +0% +#252000 +1_ +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10010010101010101010100010010 | +b10010010101010101010100010010 B" +1[ +1@" +1=" +0;" +0r +17" +b10111 3" +0<" +0` +1c +0k +b1101 -" +0u +14" +1v +0a +0/" +1x +1," +b1100101000010101011111111111101 / +b1100101000010101011111111111101 K +b1100101000010101011111111111101 e +b1100101000010101011111111111101 "" +b11101100011001110001101010110000 &" +b11101100011001110001101010110000 ?" +b1000 %" +b1000 *" +b1000 1" +0$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#252010 +b11101100011001110001101010110000 K" +#252500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#253000 +1] +0=" +0@" +1r +07" +b11111 3" +0c +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010010101010101010100010010 0 +b10010010101010101010100010010 M +b10010010101010101010100010010 f +b10010010101010101010100010010 !" +0. +1% +#253500 +b10100001000010000001010101100111 2 +b10100001000010000001010101100111 I +b10100001000010000001010101100111 Z +b101 3 +b101 J +b101 Y +11 +b10100001000010000001010101100111 , +b10100001000010000001010101100111 H +b101 + +b101 G +1- +1. +0% +#254000 +0] +0[ +1>" +1@" +b11010 3" +1^ +1_ +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100001000010000001010101100111 &" +b10100001000010000001010101100111 ?" +b101 %" +b101 *" +b101 1" +1'" +0. +1% +#254010 +b10100001000010000001010101100111 H" +#254500 +b1111 5 +b1111 L +b1111 W +14 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#255000 +1] +0i +b1111110101011111100100110110 { +b1111110101011111100100110110 A" +0s +b11001101011111001001010000110111 | +b11001101011111001001010000110111 B" +1[ +0>" +0@" +0h +0g +19" +1:" +1;" +0q +15" +16" +17" +b11111 3" +0^ +0_ +b10000 0" +0j +0o +1p +1k +b10010 -" +0t +0y +1z +1u +0a +02" +1n +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#255500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#256000 +1i +1s +1h +1g +09" +0:" +0;" +1q +05" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0w +0," +b1111110101011111100100110110 / +b1111110101011111100100110110 K +b1111110101011111100100110110 e +b1111110101011111100100110110 "" +b11001101011111001001010000110111 0 +b11001101011111001001010000110111 M +b11001101011111001001010000110111 f +b11001101011111001001010000110111 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#256500 +1. +0% +#257000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#257500 +b10101 5 +b10101 L +b10101 W +14 +b11110 7 +b11110 N +b11110 V +16 +b10101 ' +b10101 D +1) +b11110 & +b11110 C +1( +1. +0% +#258000 +0i +b10110000111110011100011010111110 { +b10110000111110011100011010111110 A" +0s +b1110100000010000110101000101000 | +b1110100000010000110101000101000 B" +0g +1:" +1;" +0r +15" +16" +17" +b1010 0" +1j +0k +18" +1l +b1 -" +0t +0y +1z +0u +14" +1v +1m +1/" +1x +1," +b10101 ~ +b10101 )" +b10101 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#258500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +b10001010101101011001010101110001 2 +b10001010101101011001010101110001 I +b10001010101101011001010101110001 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b1011 & +b1011 C +b10001010101101011001010101110001 , +b10001010101101011001010101110001 H +b101 + +b101 G +1- +1. +0% +#259000 +0] +1i +1u +1y +0[ +1>" +1@" +1g +0:" +0;" +0q +17" +15" +06" +b11010 3" +1^ +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +04" +0v +0z +b11100101101100000010011010011010 | +b11100101101100000010011010011010 B" +1a +12" +0m +0/" +1w +b10001010101101011001010101110001 &" +b10001010101101011001010101110001 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +b10110000111110011100011010111110 / +b10110000111110011100011010111110 K +b10110000111110011100011010111110 e +b10110000111110011100011010111110 "" +b1110100000010000110101000101000 0 +b1110100000010000110101000101000 M +b1110100000010000110101000101000 f +b1110100000010000110101000101000 !" +0. +1% +#259010 +b10001010101101011001010101110001 H" +#259500 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#260000 +1] +0y +1[ +0>" +0@" +1q +16" +b11111 3" +0^ +0_ +b10001 -" +1z +b101001100011110010011100101011 | +b101001100011110010011100101011 B" +0a +02" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100101101100000010011010011010 0 +b11100101101100000010011010011010 M +b11100101101100000010011010011010 f +b11100101101100000010011010011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +0. +1% +#260500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#261000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b101001100011110010011100101011 0 +b101001100011110010011100101011 M +b101001100011110010011100101011 f +b101001100011110010011100101011 !" +0. +1% +#261500 +b10001011000101110101001011101 2 +b10001011000101110101001011101 I +b10001011000101110101001011101 Z +b11110 3 +b11110 J +b11110 Y +11 +b10001011000101110101001011101 , +b10001011000101110101001011101 H +b11110 + +b11110 G +1- +1. +0% +#262000 +0] +0\ +1=" +1>" +1@" +b1 3" +0^ +0c +1d +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001011000101110101001011101 &" +b10001011000101110101001011101 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +0. +1% +#262010 +b10001011000101110101001011101 a" +#262500 +b10011111100101001000011110000110 2 +b10011111100101001000011110000110 I +b10011111100101001000011110000110 Z +b1001 3 +b1001 J +b1001 Y +b10011111100101001000011110000110 , +b10011111100101001000011110000110 H +b1001 + +b1001 G +1. +0% +#263000 +1_ +1c +1\ +0[ +1@" +1=" +0>" +b10110 3" +0<" +0` +0d +0b +1a +b10011111100101001000011110000110 &" +b10011111100101001000011110000110 ?" +b1001 %" +b1001 *" +b1001 1" +0. +1% +#263010 +b10011111100101001000011110000110 L" +#263500 +b11111 5 +b11111 L +b11111 W +14 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#264000 +1] +0i +b110111111101110010110110000001 { +b110111111101110010110110000001 A" +0s +b10001011000101110101001011101 | +b10001011000101110101001011101 B" +1[ +0=" +0@" +0h +0g +19" +1:" +1;" +0r +15" +16" +17" +b11111 3" +0c +0_ +b0 0" +0j +0o +1p +0k +18" +1l +b1 -" +0t +0y +1z +0u +14" +1v +0a +02" +1n +1m +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#264500 +b11010 5 +b11010 L +b11010 W +b10001 7 +b10001 N +b10001 V +b11010 ' +b11010 D +b10001 & +b10001 C +1. +0% +#265000 +1o +1s +1g +19" +0:" +1r +0q +05" +06" +b101 0" +0p +b1110111111010101000000100101011 { +b1110111111010101000000100101011 A" +b1110 -" +0z +b10110010010010010111111000111 | +b10110010010010010111111000111 B" +0m +0x +1w +b11010 ~ +b11010 )" +b11010 ." +b10001 } +b10001 (" +b10001 +" +b110111111101110010110110000001 / +b110111111101110010110110000001 K +b110111111101110010110110000001 e +b110111111101110010110110000001 "" +b10001011000101110101001011101 0 +b10001011000101110101001011101 M +b10001011000101110101001011101 f +b10001011000101110101001011101 !" +0. +1% +#265500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#266000 +1i +1h +09" +0;" +1q +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0w +0," +b1110111111010101000000100101011 / +b1110111111010101000000100101011 K +b1110111111010101000000100101011 e +b1110111111010101000000100101011 "" +b10110010010010010111111000111 0 +b10110010010010010111111000111 M +b10110010010010010111111000111 f +b10110010010010010111111000111 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#266500 +b101100111111011011111000001100 2 +b101100111111011011111000001100 I +b101100111111011011111000001100 Z +b11000 3 +b11000 J +b11000 Y +11 +b101100111111011011111000001100 , +b101100111111011011111000001100 H +b11000 + +b11000 G +1- +1. +0% +#267000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b101100111111011011111000001100 &" +b101100111111011011111000001100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#267010 +b101100111111011011111000001100 [" +#267500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#268000 +1] +0=" +0@" +b11111 3" +0c +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#268500 +b10011 7 +b10011 N +b10011 V +16 +b1111101100000111110101000110000 2 +b1111101100000111110101000110000 I +b1111101100000111110101000110000 Z +b11011 3 +b11011 J +b11011 Y +11 +b10011 & +b10011 C +1( +b1111101100000111110101000110000 , +b1111101100000111110101000110000 H +b11011 + +b11011 G +1- +1. +0% +#269000 +0] +b11101111001101110111011111110111 | +b11101111001101110111011111110111 B" +0\ +0[ +1=" +1@" +0r +0q +17" +b100 3" +1c +0_ +1<" +1` +b1100 -" +0u +14" +1v +1b +1a +12" +1x +1w +1," +b1111101100000111110101000110000 &" +b1111101100000111110101000110000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#269010 +b1111101100000111110101000110000 ^" +#269500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#270000 +1] +0i +b10110000111110011100011010111110 { +b10110000111110011100011010111110 A" +1\ +1[ +0=" +0@" +0g +1:" +1;" +1r +1q +07" +b11111 3" +0c +0<" +0` +b1010 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1m +1/" +0x +0w +0," +b11101111001101110111011111110111 0 +b11101111001101110111011111110111 M +b11101111001101110111011111110111 f +b11101111001101110111011111110111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#270500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b11110111110010101010100101010000 2 +b11110111110010101010100101010000 I +b11110111110010101010100101010000 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +b11110111110010101010100101010000 , +b11110111110010101010100101010000 H +b100 + +b100 G +1- +1. +0% +#271000 +0] +1i +b10010010101010101010100010010 | +b10010010101010101010100010010 B" +1>" +1@" +1g +0:" +0;" +0r +17" +b11011 3" +1^ +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +0u +14" +1v +12" +0m +0/" +1x +1," +b11110111110010101010100101010000 &" +b11110111110010101010100101010000 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +b10110000111110011100011010111110 / +b10110000111110011100011010111110 K +b10110000111110011100011010111110 e +b10110000111110011100011010111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#271010 +b11110111110010101010100101010000 G" +#271500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#272000 +1] +0>" +0@" +1r +07" +b11111 3" +0^ +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010010101010101010100010010 0 +b10010010101010101010100010010 M +b10010010101010101010100010010 f +b10010010101010101010100010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#272500 +b10000 5 +b10000 L +b10000 W +14 +b10110 7 +b10110 N +b10110 V +16 +b11010101011011110101100111011 2 +b11010101011011110101100111011 I +b11010101011011110101100111011 Z +b110 3 +b110 J +b110 Y +11 +b10000 ' +b10000 D +1) +b10110 & +b10110 C +1( +b11010101011011110101100111011 , +b11010101011011110101100111011 H +b110 + +b110 G +1- +1. +0% +#273000 +0] +b1100111000001000110010010101101 { +b1100111000001000110010010101101 A" +0s +b11011101101001101010011011011000 | +b11011101101001101010011011011000 B" +0\ +1>" +1@" +1;" +0r +16" +17" +b11001 3" +1^ +1_ +b1111 0" +0k +18" +1l +b1001 -" +1t +0u +14" +1v +1b +12" +1/" +1x +1," +b11010101011011110101100111011 &" +b11010101011011110101100111011 ?" +b110 %" +b110 *" +b110 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#273010 +b11010101011011110101100111011 I" +#273500 +b10011 5 +b10011 L +b10011 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#274000 +1] +1s +1\ +0>" +0@" +0h +0g +1r +06" +07" +b11111 3" +0^ +0_ +b1100 0" +b11101111001101110111011111110111 { +b11101111001101110111011111110111 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1n +1m +0x +0," +b1100111000001000110010010101101 / +b1100111000001000110010010101101 K +b1100111000001000110010010101101 e +b1100111000001000110010010101101 "" +b11011101101001101010011011011000 0 +b11011101101001101010011011011000 M +b11011101101001101010011011011000 f +b11011101101001101010011011011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#274500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b10111000111100001001000000010111 2 +b10111000111100001001000000010111 I +b10111000111100001001000000010111 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b10111000111100001001000000010111 , +b10111000111100001001000000010111 H +b10101 + +b10101 G +1- +1. +0% +#275000 +0] +b10110010010010010111111000111 | +b10110010010010010111111000111 B" +0[ +1>" +1@" +1h +1g +0;" +0q +17" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +1a +12" +0n +0m +0/" +1w +1," +b10111000111100001001000000010111 &" +b10111000111100001001000000010111 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b11101111001101110111011111110111 / +b11101111001101110111011111110111 K +b11101111001101110111011111110111 e +b11101111001101110111011111110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#275010 +b10111000111100001001000000010111 X" +#275500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#276000 +1] +1[ +0>" +0@" +1q +07" +b11111 3" +0^ +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110010010010010111111000111 0 +b10110010010010010111111000111 M +b10110010010010010111111000111 f +b10110010010010010111111000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#276500 +1. +0% +#277000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#277500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#278000 +b10111010100101000100100110110110 | +b10111010100101000100100110110110 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b11 } +b11 (" +b11 +" +1#" +0. +1% +#278500 +b11001 5 +b11001 L +b11001 W +14 +b1010 7 +b1010 N +b1010 V +b11001 ' +b11001 D +1) +b1010 & +b1010 C +1. +0% +#279000 +0i +b11111101110001110100100110110110 { +b11111101110001110100100110110110 A" +0s +0g +19" +1;" +1q +15" +b110 0" +1o +0k +18" +1l +b10101 -" +1y +b1000110000101010100011001110111 | +b1000110000101010100011001110111 B" +1m +1/" +0w +b11001 ~ +b11001 )" +b11001 ." +1$" +b1010 } +b1010 (" +b1010 +" +b10111010100101000100100110110110 0 +b10111010100101000100100110110110 M +b10111010100101000100100110110110 f +b10111010100101000100100110110110 !" +0. +1% +#279500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b10001000010000000000110111001000 2 +b10001000010000000000110111001000 I +b10001000010000000000110111001000 Z +b11000 3 +b11000 J +b11000 Y +11 +b10111 ' +b10111 D +b0 & +b0 C +0( +b10001000010000000000110111001000 , +b10001000010000000000110111001000 H +b11000 + +b11000 G +1- +1. +0% +#280000 +1:" +0] +1j +1s +1=" +1@" +0h +09" +1r +05" +07" +b111 3" +1c +0_ +1<" +1` +b1000 0" +0o +b100001010110000010111001101001 { +b100001010110000010111001101001 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +0x +0," +b11111101110001110100100110110110 / +b11111101110001110100100110110110 K +b11111101110001110100100110110110 e +b11111101110001110100100110110110 "" +b1000110000101010100011001110111 0 +b1000110000101010100011001110111 M +b1000110000101010100011001110111 f +b1000110000101010100011001110111 !" +b10001000010000000000110111001000 &" +b10001000010000000000110111001000 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#280010 +b10001000010000000000110111001000 [" +#280500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#281000 +1] +1i +b10110010010010010111111000111 | +b10110010010010010111111000111 B" +0=" +0@" +1h +1g +0:" +0;" +0q +17" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +02" +0n +0m +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b100001010110000010111001101001 / +b100001010110000010111001101001 K +b100001010110000010111001101001 e +b100001010110000010111001101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#281500 +b11 5 +b11 L +b11 W +14 +b100 7 +b100 N +b100 V +b1010001100100110000010010000100 2 +b1010001100100110000010010000100 I +b1010001100100110000010010000100 Z +b1111 3 +b1111 J +b1111 Y +11 +b11 ' +b11 D +1) +b100 & +b100 C +b1010001100100110000010010000100 , +b1010001100100110000010010000100 H +b1111 + +b1111 G +1- +1. +0% +#282000 +0] +b10111010100101000100100110110110 { +b10111010100101000100100110110110 A" +1u +0s +0\ +0[ +1=" +1>" +1@" +0h +0g +1;" +1q +17" +16" +b10000 3" +0^ +0c +1d +1_ +b11100 0" +1k +b11011 -" +04" +0v +1t +b11110111110010101010100101010000 | +b11110111110010101010100101010000 B" +1b +1a +12" +1n +1m +1/" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110010010010010111111000111 0 +b10110010010010010111111000111 M +b10110010010010010111111000111 f +b10110010010010010111111000111 !" +b1010001100100110000010010000100 &" +b1010001100100110000010010000100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#282010 +b1010001100100110000010010000100 R" +#282500 +b0 5 +b0 L +b0 W +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#283000 +15" +1] +1y +1\ +1[ +0=" +0>" +0@" +1h +1g +0r +06" +b11111 3" +0d +0_ +b11111 0" +b1100101000010101011111111111101 { +b1100101000010101011111111111101 A" +b10101 -" +0t +b1000110000101010100011001110111 | +b1000110000101010100011001110111 B" +0b +0a +02" +0n +0m +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +b1010 } +b1010 (" +b1010 +" +b10111010100101000100100110110110 / +b10111010100101000100100110110110 K +b10111010100101000100100110110110 e +b10111010100101000100100110110110 "" +b11110111110010101010100101010000 0 +b11110111110010101010100101010000 M +b11110111110010101010100101010000 f +b11110111110010101010100101010000 !" +0. +1% +#283500 +04 +b10000 7 +b10000 N +b10000 V +0) +b10000 & +b10000 C +1. +0% +#284000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +1s +0;" +1r +05" +0k +b1111 -" +14" +1v +0y +b1100111000001000110010010101101 | +b1100111000001000110010010101101 B" +0/" +0x +b1100101000010101011111111111101 / +b1100101000010101011111111111101 K +b1100101000010101011111111111101 e +b1100101000010101011111111111101 "" +b1000110000101010100011001110111 0 +b1000110000101010100011001110111 M +b1000110000101010100011001110111 f +b1000110000101010100011001110111 !" +0$" +b10000 } +b10000 (" +b10000 +" +0. +1% +#284500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#285000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100111000001000110010010101101 0 +b1100111000001000110010010101101 M +b1100111000001000110010010101101 f +b1100111000001000110010010101101 !" +0. +1% +#285500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#286000 +0s +b1111101100000111110101000110000 | +b1111101100000111110101000110000 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#286500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +1. +0% +#287000 +b1100111000001000110010010101101 { +b1100111000001000110010010101101 A" +1s +1;" +1r +1q +05" +07" +b1111 0" +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0w +0," +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1111101100000111110101000110000 0 +b1111101100000111110101000110000 M +b1111101100000111110101000110000 f +b1111101100000111110101000110000 !" +0. +1% +#287500 +b0 5 +b0 L +b0 W +04 +b1110101000101100011111011001000 2 +b1110101000101100011111011001000 I +b1110101000101100011111011001000 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b1110101000101100011111011001000 , +b1110101000101100011111011001000 H +b100 + +b100 G +1- +1. +0% +#288000 +0] +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11011 3" +1^ +1_ +b11111 0" +08" +0l +12" +0/" +b1100111000001000110010010101101 / +b1100111000001000110010010101101 K +b1100111000001000110010010101101 e +b1100111000001000110010010101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110101000101100011111011001000 &" +b1110101000101100011111011001000 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#288010 +b1110101000101100011111011001000 G" +#288500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#289000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#289500 +1. +0% +#290000 +0. +1% +#290500 +b10110 5 +b10110 L +b10110 W +14 +b10110 ' +b10110 D +1) +1. +0% +#291000 +0i +b11011101101001101010011011011000 { +b11011101101001101010011011011000 A" +0h +1:" +1;" +b1001 0" +1j +0k +18" +1l +1n +1/" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#291500 +b11110 5 +b11110 L +b11110 W +b1001101100101010110011001010010 2 +b1001101100101010110011001010010 I +b1001101100101010110011001010010 Z +b1111 3 +b1111 J +b1111 Y +11 +b11110 ' +b11110 D +b1001101100101010110011001010010 , +b1001101100101010110011001010010 H +b1111 + +b1111 G +1- +1. +0% +#292000 +0] +0j +b10001011000101110101001011101 { +b10001011000101110101001011101 A" +0\ +0[ +1=" +1>" +1@" +19" +b10000 3" +0^ +0c +1d +1_ +b1 0" +1p +1b +1a +12" +b11011101101001101010011011011000 / +b11011101101001101010011011011000 K +b11011101101001101010011011011000 e +b11011101101001101010011011011000 "" +b1001101100101010110011001010010 &" +b1001101100101010110011001010010 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#292010 +b1001101100101010110011001010010 R" +#292500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b11110111001000001001110000101 2 +b11110111001000001001110000101 I +b11110111001000001001110000101 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b11110111001000001001110000101 , +b11110111001000001001110000101 H +b11100 + +b11100 G +1. +0% +#293000 +0_ +1i +0s +b11110100101101110111110111100001 | +b11110100101101110111110111100001 B" +1\ +1[ +1h +09" +0:" +0;" +0q +15" +16" +17" +b11 3" +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10 -" +0t +0y +1z +0u +14" +1v +0b +0a +0n +0/" +1w +1," +b11110111001000001001110000101 &" +b11110111001000001001110000101 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +b10001011000101110101001011101 / +b10001011000101110101001011101 K +b10001011000101110101001011101 e +b10001011000101110101001011101 "" +0. +1% +#293010 +b11110111001000001001110000101 _" +#293500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#294000 +1] +1s +0=" +0>" +0@" +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110100101101110111110111100001 0 +b11110100101101110111110111100001 M +b11110100101101110111110111100001 f +b11110100101101110111110111100001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#294500 +b11 5 +b11 L +b11 W +14 +b101 7 +b101 N +b101 V +16 +b11100100101000000011101000001111 2 +b11100100101000000011101000001111 I +b11100100101000000011101000001111 Z +b11011 3 +b11011 J +b11011 Y +11 +b11 ' +b11 D +1) +b101 & +b101 C +1( +b11100100101000000011101000001111 , +b11100100101000000011101000001111 H +b11011 + +b11011 G +1- +1. +0% +#295000 +0] +b10111010100101000100100110110110 { +b10111010100101000100100110110110 A" +0s +b10001010101101011001010101110001 | +b10001010101101011001010101110001 B" +0\ +0[ +1=" +1@" +0h +0g +1;" +0q +16" +17" +b100 3" +1c +0_ +1<" +1` +b11100 0" +1k +b11010 -" +1t +1u +1b +1a +12" +1n +1m +1/" +1w +1," +b11100100101000000011101000001111 &" +b11100100101000000011101000001111 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#295010 +b11100100101000000011101000001111 ^" +#295500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#296000 +1] +0t +1\ +1[ +0=" +0@" +1h +1g +0;" +1q +15" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10011 -" +1z +b11010111010010011111010000000010 | +b11010111010010011111010000000010 B" +0b +0a +02" +0n +0m +0/" +0w +b10111010100101000100100110110110 / +b10111010100101000100100110110110 K +b10111010100101000100100110110110 e +b10111010100101000100100110110110 "" +b10001010101101011001010101110001 0 +b10001010101101011001010101110001 M +b10001010101101011001010101110001 f +b10001010101101011001010101110001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#296500 +b1010 5 +b1010 L +b1010 W +14 +b0 7 +b0 N +b0 V +06 +b11101101110111000011111111110 2 +b11101101110111000011111111110 I +b11101101110111000011111111110 Z +b11010 3 +b11010 J +b11010 Y +11 +b1010 ' +b1010 D +1) +b0 & +b0 C +0( +b11101101110111000011111111110 , +b11101101110111000011111111110 H +b11010 + +b11010 G +1- +1. +0% +#297000 +0] +0i +b1000110000101010100011001110111 { +b1000110000101010100011001110111 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1=" +1@" +0h +19" +1;" +05" +06" +07" +b101 3" +1c +0_ +1<" +1` +b10101 0" +1o +1k +b11111 -" +0z +0u +1b +12" +1n +1/" +0," +b11101101110111000011111111110 &" +b11101101110111000011111111110 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010111010010011111010000000010 0 +b11010111010010011111010000000010 M +b11010111010010011111010000000010 f +b11010111010010011111010000000010 !" +0. +1% +#297010 +b11101101110111000011111111110 ]" +#297500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#298000 +1] +1i +0s +b10001011000101110101001011101 | +b10001011000101110101001011101 B" +1\ +0=" +0@" +1h +09" +0;" +0r +15" +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0b +02" +0n +0/" +1x +1," +b1000110000101010100011001110111 / +b1000110000101010100011001110111 K +b1000110000101010100011001110111 e +b1000110000101010100011001110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#298500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#299000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001011000101110101001011101 0 +b10001011000101110101001011101 M +b10001011000101110101001011101 f +b10001011000101110101001011101 !" +0. +1% +#299500 +b1110 5 +b1110 L +b1110 W +14 +b10 7 +b10 N +b10 V +16 +b1110 ' +b1110 D +1) +b10 & +b10 C +1( +1. +0% +#300000 +0i +b101001100011110010011100101011 { +b101001100011110010011100101011 A" +b100001010010010010111111100011 | +b100001010010010010111111100011 B" +0h +19" +1:" +1;" +0r +17" +b10001 0" +0j +0o +1p +1k +b11101 -" +1u +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#300500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b10010110011011111111111011011100 2 +b10010110011011111111111011011100 I +b10010110011011111111111011011100 Z +b101 3 +b101 J +b101 Y +11 +b11 ' +b11 D +b0 & +b0 C +0( +b10010110011011111111111011011100 , +b10010110011011111111111011011100 H +b101 + +b101 G +1- +1. +0% +#301000 +0] +1i +0[ +1>" +1@" +0g +09" +0:" +1r +07" +b11010 3" +1^ +1_ +b11100 0" +0p +b10111010100101000100100110110110 { +b10111010100101000100100110110110 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1m +0x +0," +b10010110011011111111111011011100 &" +b10010110011011111111111011011100 ?" +b101 %" +b101 *" +b101 1" +1'" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +b101001100011110010011100101011 / +b101001100011110010011100101011 K +b101001100011110010011100101011 e +b101001100011110010011100101011 "" +b100001010010010010111111100011 0 +b100001010010010010111111100011 M +b100001010010010010111111100011 f +b100001010010010010111111100011 !" +0. +1% +#301010 +b10010110011011111111111011011100 H" +#301500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b10111011011000100100101011001011 2 +b10111011011000100100101011001011 I +b10111011011000100100101011001011 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b10 & +b10 C +1( +b10111011011000100100101011001011 , +b10111011011000100100101011001011 H +b11100 + +b11100 G +1. +0% +#302000 +0_ +0^ +b100001010010010010111111100011 | +b100001010010010010111111100011 B" +1[ +1=" +1h +1g +0;" +0r +17" +b11 3" +1<" +1` +1d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11101 -" +1u +0a +0n +0m +0/" +1x +1," +b10111010100101000100100110110110 / +b10111010100101000100100110110110 K +b10111010100101000100100110110110 e +b10111010100101000100100110110110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111011011000100100101011001011 &" +b10111011011000100100101011001011 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#302010 +b10111011011000100100101011001011 _" +#302500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#303000 +1] +0i +b11111110011110101110010111001011 { +b11111110011110101110010111001011 A" +0=" +0>" +0@" +1:" +1;" +1r +07" +b11111 3" +0d +0<" +0` +b1011 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100001010010010010111111100011 0 +b100001010010010010111111100011 M +b100001010010010010111111100011 f +b100001010010010010111111100011 !" +0. +1% +#303500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b10111010111101101101100010000 2 +b10111010111101101101100010000 I +b10111010111101101101100010000 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b10111010111101101101100010000 , +b10111010111101101101100010000 H +b1011 + +b1011 G +1- +1. +0% +#304000 +0] +1i +0s +b1000110000101010100011001110111 | +b1000110000101010100011001110111 B" +0\ +0[ +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +15" +17" +b10100 3" +1c +1_ +b11111 0" +0j +08" +0l +b10101 -" +1y +1u +1b +1a +12" +0/" +1x +1," +b11111110011110101110010111001011 / +b11111110011110101110010111001011 K +b11111110011110101110010111001011 e +b11111110011110101110010111001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111010111101101101100010000 &" +b10111010111101101101100010000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#304010 +b10111010111101101101100010000 N" +#304500 +b101 5 +b101 L +b101 W +14 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#305000 +16" +1] +0i +b10010110011011111111111011011100 { +b10010110011011111111111011011100 A" +0u +1t +1\ +1[ +0=" +0@" +0g +1:" +1;" +b11011101101001101010011011011000 | +b11011101101001101010011011011000 B" +05" +b11111 3" +0c +0_ +b11010 0" +1j +1k +b1001 -" +14" +1v +0y +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b10110 } +b10110 (" +b10110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000110000101010100011001110111 0 +b1000110000101010100011001110111 M +b1000110000101010100011001110111 f +b1000110000101010100011001110111 !" +0. +1% +#305500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b11100111001111111010110001101101 2 +b11100111001111111010110001101101 I +b11100111001111111010110001101101 Z +b10100 3 +b10100 J +b10100 Y +11 +b10111 ' +b10111 D +b0 & +b0 C +0( +b11100111001111111010110001101101 , +b11100111001111111010110001101101 H +b10100 + +b10100 G +1- +1. +0% +#306000 +0] +0k +1s +1>" +1@" +0h +1r +06" +07" +b1011 3" +1^ +0_ +1<" +1` +b1000 0" +18" +1l +b100001010110000010111001101001 { +b100001010110000010111001101001 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1n +0x +0," +b10010110011011111111111011011100 / +b10010110011011111111111011011100 K +b10010110011011111111111011011100 e +b10010110011011111111111011011100 "" +b11011101101001101010011011011000 0 +b11011101101001101010011011011000 M +b11011101101001101010011011011000 f +b11011101101001101010011011011000 !" +b11100111001111111010110001101101 &" +b11100111001111111010110001101101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#306010 +b11100111001111111010110001101101 W" +#306500 +b11010 5 +b11010 L +b11010 W +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#307000 +19" +1] +1o +b10111010100101000100100110110110 | +b10111010100101000100100110110110 B" +0>" +0@" +1g +0:" +0r +0q +17" +b11111 3" +0^ +0<" +0` +b101 0" +0j +b11101101110111000011111111110 { +b11101101110111000011111111110 A" +b11100 -" +1u +02" +0m +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +b11 } +b11 (" +b11 +" +1#" +b100001010110000010111001101001 / +b100001010110000010111001101001 K +b100001010110000010111001101001 e +b100001010110000010111001101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#307500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +b0 & +b0 C +0( +1. +0% +#308000 +1:" +1j +0g +09" +1r +1q +07" +b1000 0" +0o +b100001010110000010111001101001 { +b100001010110000010111001101001 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +0x +0w +0," +b11101101110111000011111111110 / +b11101101110111000011111111110 K +b11101101110111000011111111110 e +b11101101110111000011111111110 "" +b10111010100101000100100110110110 0 +b10111010100101000100100110110110 M +b10111010100101000100100110110110 f +b10111010100101000100100110110110 !" +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#308500 +b0 5 +b0 L +b0 W +04 +b1000110111100011011011001101111 2 +b1000110111100011011011001101111 I +b1000110111100011011011001101111 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b1000110111100011011011001101111 , +b1000110111100011011011001101111 H +b11000 + +b11000 G +1- +1. +0% +#309000 +0] +1i +1=" +1@" +1h +1g +0:" +0;" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0m +0/" +b1000110111100011011011001101111 &" +b1000110111100011011011001101111 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100001010110000010111001101001 / +b100001010110000010111001101001 K +b100001010110000010111001101001 e +b100001010110000010111001101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#309010 +b1000110111100011011011001101111 [" +#309500 +b10010 5 +b10010 L +b10010 W +14 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#310000 +1] +b10010010101010101010100010010 { +b10010010101010101010100010010 A" +0s +b110111111101110010110110000001 | +b110111111101110010110110000001 B" +0=" +0@" +0h +1;" +0r +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b1101 0" +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +02" +1n +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#310500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +06 +b11000110100001101000110000101100 2 +b11000110100001101000110000101100 I +b11000110100001101000110000101100 Z +b1111 3 +b1111 J +b1111 Y +11 +b11011 ' +b11011 D +b0 & +b0 C +0( +b11000110100001101000110000101100 , +b11000110100001101000110000101100 H +b1111 + +b1111 G +1- +1. +0% +#311000 +0] +0i +1s +0\ +0[ +1=" +1>" +1@" +0g +19" +1r +1q +05" +06" +07" +b10000 3" +0^ +0c +1d +1_ +b100 0" +1o +b11100100101000000011101000001111 { +b11100100101000000011101000001111 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1m +0x +0w +0," +b11000110100001101000110000101100 &" +b11000110100001101000110000101100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0#" +b10010010101010101010100010010 / +b10010010101010101010100010010 K +b10010010101010101010100010010 e +b10010010101010101010100010010 "" +b110111111101110010110110000001 0 +b110111111101110010110110000001 M +b110111111101110010110110000001 f +b110111111101110010110110000001 !" +0. +1% +#311010 +b11000110100001101000110000101100 R" +#311500 +b0 5 +b0 L +b0 W +04 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#312000 +1] +1i +b1100101000010101011111111111101 | +b1100101000010101011111111111101 B" +1\ +1[ +0=" +0>" +0@" +1h +1g +09" +0;" +17" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1u +0b +0a +02" +0n +0m +0/" +1," +b11100100101000000011101000001111 / +b11100100101000000011101000001111 K +b11100100101000000011101000001111 e +b11100100101000000011101000001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +0. +1% +#312500 +b11011 7 +b11011 N +b11011 V +b10111011000001100001000101111100 2 +b10111011000001100001000101111100 I +b10111011000001100001000101111100 Z +b10000 3 +b10000 J +b10000 Y +11 +b11011 & +b11011 C +b10111011000001100001000101111100 , +b10111011000001100001000101111100 H +b10000 + +b10000 G +1- +1. +0% +#313000 +0u +0s +1@" +0r +0q +15" +b1111 3" +0_ +1<" +1` +b100 -" +14" +1v +1y +b11100100101000000011101000001111 | +b11100100101000000011101000001111 B" +12" +1x +1w +b10111011000001100001000101111100 &" +b10111011000001100001000101111100 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b11011 } +b11011 (" +b11011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100101000010101011111111111101 0 +b1100101000010101011111111111101 M +b1100101000010101011111111111101 f +b1100101000010101011111111111101 !" +0. +1% +#313010 +b10111011000001100001000101111100 S" +#313500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#314000 +1s +0@" +1r +1q +05" +07" +b11111 3" +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0w +0," +b11100100101000000011101000001111 0 +b11100100101000000011101000001111 M +b11100100101000000011101000001111 f +b11100100101000000011101000001111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#314500 +b11 5 +b11 L +b11 W +14 +b1001 7 +b1001 N +b1001 V +16 +b1000111001001110001000010011100 2 +b1000111001001110001000010011100 I +b1000111001001110001000010011100 Z +b1000 3 +b1000 J +b1000 Y +11 +b11 ' +b11 D +1) +b1001 & +b1001 C +1( +b1000111001001110001000010011100 , +b1000111001001110001000010011100 H +b1000 + +b1000 G +1- +1. +0% +#315000 +0] +b10111010100101000100100110110110 { +b10111010100101000100100110110110 A" +0s +b10011111100101001000011110000110 | +b10011111100101001000011110000110 B" +1=" +1@" +0h +0g +1;" +0q +15" +17" +b10111 3" +1c +1_ +b11100 0" +1k +b10110 -" +1y +1u +12" +1n +1m +1/" +1w +1," +b1000111001001110001000010011100 &" +b1000111001001110001000010011100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#315010 +b1000111001001110001000010011100 K" +#315500 +b10110 5 +b10110 L +b10110 W +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#316000 +1] +0k +0i +1s +0=" +0@" +1g +1:" +0r +1q +05" +b11111 3" +0c +0_ +b1001 0" +18" +1l +1j +b11011101101001101010011011011000 { +b11011101101001101010011011011000 A" +b11101 -" +0y +b100001010010010010111111100011 | +b100001010010010010111111100011 B" +02" +0m +1x +0w +b10111010100101000100100110110110 / +b10111010100101000100100110110110 K +b10111010100101000100100110110110 e +b10111010100101000100100110110110 "" +b10011111100101001000011110000110 0 +b10011111100101001000011110000110 M +b10011111100101001000011110000110 f +b10011111100101001000011110000110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +b10 } +b10 (" +b10 +" +0. +1% +#316500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101100001100010111011000000001 2 +b10101100001100010111011000000001 I +b10101100001100010111011000000001 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101100001100010111011000000001 , +b10101100001100010111011000000001 H +b10101 + +b10101 G +1- +1. +0% +#317000 +0] +1i +0[ +1>" +1@" +1h +0:" +0;" +1r +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0n +0/" +0x +0," +b10101100001100010111011000000001 &" +b10101100001100010111011000000001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011101101001101010011011011000 / +b11011101101001101010011011011000 K +b11011101101001101010011011011000 e +b11011101101001101010011011011000 "" +b100001010010010010111111100011 0 +b100001010010010010111111100011 M +b100001010010010010111111100011 f +b100001010010010010111111100011 !" +0. +1% +#317010 +b10101100001100010111011000000001 X" +#317500 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#318000 +1] +0s +b11000110100001101000110000101100 | +b11000110100001101000110000101100 B" +1[ +0>" +0@" +0r +0q +15" +16" +17" +b11111 3" +0^ +0<" +0` +b10000 -" +0t +0y +1z +1u +0a +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#318500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +1. +0% +#319000 +0i +b11100111001111111010110001101101 { +b11100111001111111010110001101101 A" +1s +1:" +1;" +1r +1q +05" +06" +07" +b1011 0" +1j +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0w +0," +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11000110100001101000110000101100 0 +b11000110100001101000110000101100 M +b11000110100001101000110000101100 f +b11000110100001101000110000101100 !" +0. +1% +#319500 +b1010 5 +b1010 L +b1010 W +b10100 7 +b10100 N +b10100 V +16 +b11001010111101100110001001001100 2 +b11001010111101100110001001001100 I +b11001010111101100110001001001100 Z +b11111 3 +b11111 J +b11111 Y +11 +b1010 ' +b1010 D +b10100 & +b10100 C +1( +b11001010111101100110001001001100 , +b11001010111101100110001001001100 H +b11111 + +b11111 G +1- +1. +0% +#320000 +19" +0] +1k +1o +0s +b11100111001111111010110001101101 | +b11100111001111111010110001101101 B" +0\ +0[ +1=" +1>" +1@" +0h +1;" +0:" +16" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10101 0" +08" +0l +0j +b1000110000101010100011001110111 { +b1000110000101010100011001110111 A" +b1011 -" +1t +0u +14" +1v +1b +1a +12" +1n +1," +b11100111001111111010110001101101 / +b11100111001111111010110001101101 K +b11100111001111111010110001101101 e +b11100111001111111010110001101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001010111101100110001001001100 &" +b11001010111101100110001001001100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#320500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1101101101011100000000011101000 2 +b1101101101011100000000011101000 I +b1101101101011100000000011101000 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1101101101011100000000011101000 , +b1101101101011100000000011101000 H +b10111 + +b10111 G +1. +0% +#321000 +1^ +1i +1s +0=" +1>" +1h +09" +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1000 3" +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +04" +0v +0n +0/" +0," +b1101101101011100000000011101000 &" +b1101101101011100000000011101000 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000110000101010100011001110111 / +b1000110000101010100011001110111 K +b1000110000101010100011001110111 e +b1000110000101010100011001110111 "" +b11100111001111111010110001101101 0 +b11100111001111111010110001101101 M +b11100111001111111010110001101101 f +b11100111001111111010110001101101 !" +0. +1% +#321010 +b1101101101011100000000011101000 Z" +#321500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#322000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#322500 +b111 5 +b111 L +b111 W +14 +b10000 7 +b10000 N +b10000 V +16 +b1111110000111110011111010000101 2 +b1111110000111110011111010000101 I +b1111110000111110011111010000101 Z +b10111 3 +b10111 J +b10111 Y +11 +b111 ' +b111 D +1) +b10000 & +b10000 C +1( +b1111110000111110011111010000101 , +b1111110000111110011111010000101 H +b10111 + +b10111 G +1- +1. +0% +#323000 +0] +0i +b111010101010101010100000111 { +b111010101010101010100000111 A" +b10111011000001100001000101111100 | +b10111011000001100001000101111100 B" +0\ +0[ +1>" +1@" +0h +0g +1:" +1;" +17" +b1000 3" +1^ +0_ +1<" +1` +b11000 0" +1j +1k +b1111 -" +0u +14" +1v +1b +1a +12" +1n +1m +1/" +1," +b1111110000111110011111010000101 &" +b1111110000111110011111010000101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#323010 +b1111110000111110011111010000101 Z" +#323500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#324000 +1] +1i +1u +0s +1\ +1[ +0>" +0@" +1h +1g +0:" +0;" +0r +0q +17" +16" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11000 -" +04" +0v +1t +b111010101010101010100000111 | +b111010101010101010100000111 B" +0b +0a +02" +0n +0m +0/" +1x +1w +b111010101010101010100000111 / +b111010101010101010100000111 K +b111010101010101010100000111 e +b111010101010101010100000111 "" +b10111011000001100001000101111100 0 +b10111011000001100001000101111100 M +b10111011000001100001000101111100 f +b10111011000001100001000101111100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +0. +1% +#324500 +b10011 7 +b10011 N +b10011 V +b11111010011100011111000111111 2 +b11111010011100011111000111111 I +b11111010011100011111000111111 Z +b11 3 +b11 J +b11 Y +11 +b10011 & +b10011 C +b11111010011100011111000111111 , +b11111010011100011111000111111 H +b11 + +b11 G +1- +1. +0% +#325000 +0u +1s +0\ +0[ +1@" +b11101111001101110111011111110111 | +b11101111001101110111011111110111 B" +06" +b11100 3" +1_ +b1100 -" +14" +1v +0t +1b +1a +12" +b11111010011100011111000111111 &" +b11111010011100011111000111111 ?" +b11 %" +b11 *" +b11 1" +1'" +b10011 } +b10011 (" +b10011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111010101010101010100000111 0 +b111010101010101010100000111 M +b111010101010101010100000111 f +b111010101010101010100000111 !" +0. +1% +#325010 +b11111010011100011111000111111 F" +#325500 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#326000 +1u +0s +1\ +1[ +0@" +1r +1q +17" +16" +b11111 3" +0_ +b11011 -" +04" +0v +1t +b1110101000101100011111011001000 | +b1110101000101100011111011001000 B" +0b +0a +02" +0x +0w +b11101111001101110111011111110111 0 +b11101111001101110111011111110111 M +b11101111001101110111011111110111 f +b11101111001101110111011111110111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +0. +1% +#326500 +b11101 7 +b11101 N +b11101 V +b1101100100101101100000101101000 2 +b1101100100101101100000101101000 I +b1101100100101101100000101101000 Z +b10101 3 +b10101 J +b10101 Y +11 +b11101 & +b11101 C +b1101100100101101100000101101000 , +b1101100100101101100000101101000 H +b10101 + +b10101 G +1- +1. +0% +#327000 +0] +0u +0t +0[ +1>" +1@" +0q +15" +b1010 3" +1^ +0_ +1<" +1` +b10 -" +14" +1v +1z +b11110100101101110111110111100001 | +b11110100101101110111110111100001 B" +1a +12" +1w +b1101100100101101100000101101000 &" +b1101100100101101100000101101000 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b11101 } +b11101 (" +b11101 +" +b1110101000101100011111011001000 0 +b1110101000101100011111011001000 M +b1110101000101100011111011001000 f +b1110101000101100011111011001000 !" +0. +1% +#327010 +b1101100100101101100000101101000 X" +#327500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b1011001101110010011101100110011 2 +b1011001101110010011101100110011 I +b1011001101110010011101100110011 Z +b10100 3 +b10100 J +b10100 Y +b100 ' +b100 D +1) +b0 & +b0 C +0( +b1011001101110010011101100110011 , +b1011001101110010011101100110011 H +b10100 + +b10100 G +1. +0% +#328000 +0i +b1110101000101100011111011001000 { +b1110101000101100011111011001000 A" +1s +1[ +1:" +1;" +1q +05" +06" +07" +b1011 3" +b11011 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +1/" +0w +0," +b11110100101101110111110111100001 0 +b11110100101101110111110111100001 M +b11110100101101110111110111100001 f +b11110100101101110111110111100001 !" +b1011001101110010011101100110011 &" +b1011001101110010011101100110011 ?" +b10100 %" +b10100 *" +b10100 1" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#328010 +b1011001101110010011101100110011 W" +#328500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#329000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0>" +0@" +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +0k +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1110101000101100011111011001000 / +b1110101000101100011111011001000 K +b1110101000101100011111011001000 e +b1110101000101100011111011001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#329500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#330000 +0i +b11110100101101110111110111100001 { +b11110100101101110111110111100001 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#330500 +b1000 5 +b1000 L +b1000 W +b100 7 +b100 N +b100 V +16 +b11010111010111010001111111000101 2 +b11010111010111010001111111000101 I +b11010111010111010001111111000101 Z +b10100 3 +b10100 J +b10100 Y +11 +b1000 ' +b1000 D +b100 & +b100 C +1( +b11010111010111010001111111000101 , +b11010111010111010001111111000101 H +b10100 + +b10100 G +1- +1. +0% +#331000 +0] +1k +1o +0s +b1110101000101100011111011001000 | +b1110101000101100011111011001000 B" +1>" +1@" +1g +1;" +19" +0:" +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b10111 0" +08" +0l +0p +b1000111001001110001000010011100 { +b1000111001001110001000010011100 A" +b11011 -" +1t +1u +12" +0m +1," +b11010111010111010001111111000101 &" +b11010111010111010001111111000101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b100 } +b100 (" +b100 +" +1#" +b11110100101101110111110111100001 / +b11110100101101110111110111100001 K +b11110100101101110111110111100001 e +b11110100101101110111110111100001 "" +0. +1% +#331010 +b11010111010111010001111111000101 W" +#331500 +b1100 5 +b1100 L +b1100 W +b0 7 +b0 N +b0 V +06 +b11001000000100000110001101111010 2 +b11001000000100000110001101111010 I +b11001000000100000110001101111010 Z +b101 3 +b101 J +b101 Y +b1100 ' +b1100 D +b0 & +b0 C +0( +b11001000000100000110001101111010 , +b11001000000100000110001101111010 H +b101 + +b101 G +1. +0% +#332000 +1_ +0o +b11010111010010011111010000000010 { +b11010111010010011111010000000010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1@" +1:" +06" +07" +b11010 3" +0<" +0` +b10011 0" +1p +b11111 -" +0t +0u +1a +0," +b1000111001001110001000010011100 / +b1000111001001110001000010011100 K +b1000111001001110001000010011100 e +b1000111001001110001000010011100 "" +b1110101000101100011111011001000 0 +b1110101000101100011111011001000 M +b1110101000101100011111011001000 f +b1110101000101100011111011001000 !" +b11001000000100000110001101111010 &" +b11001000000100000110001101111010 ?" +b101 %" +b101 *" +b101 1" +b1100 ~ +b1100 )" +b1100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#332010 +b11001000000100000110001101111010 H" +#332500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b11011000011111010000010010010 2 +b11011000011111010000010010010 I +b11011000011111010000010010010 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b101 & +b101 C +1( +b11011000011111010000010010010 , +b11011000011111010000010010010 H +b11001 + +b11001 G +1. +0% +#333000 +1=" +0_ +1c +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11001000000100000110001101111010 | +b11001000000100000110001101111010 B" +0>" +09" +0:" +0;" +0q +16" +17" +b110 3" +1<" +1` +0^ +b11111 0" +0p +0k +b11010 -" +1t +1u +0/" +1w +1," +b11011000011111010000010010010 &" +b11011000011111010000010010010 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +b11010111010010011111010000000010 / +b11010111010010011111010000000010 K +b11010111010010011111010000000010 e +b11010111010010011111010000000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#333010 +b11011000011111010000010010010 \" +#333500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#334000 +1] +1s +1[ +0=" +0@" +1q +06" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001000000100000110001101111010 0 +b11001000000100000110001101111010 M +b11001000000100000110001101111010 f +b11001000000100000110001101111010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#334500 +b10 5 +b10 L +b10 W +14 +b10011 7 +b10011 N +b10011 V +16 +b1000100101101100111011111000001 2 +b1000100101101100111011111000001 I +b1000100101101100111011111000001 Z +b1 3 +b1 J +b1 Y +11 +b10 ' +b10 D +1) +b10011 & +b10011 C +1( +b1000100101101100111011111000001 , +b1000100101101100111011111000001 H +b1 + +b1 G +1- +1. +0% +#335000 +b100001010010010010111111100011 { +b100001010010010010111111100011 A" +b11101111001101110111011111110111 | +b11101111001101110111011111110111 B" +0[ +1@" +0h +1;" +0r +0q +17" +b11110 3" +1_ +b11101 0" +1k +b1100 -" +0u +14" +1v +1a +12" +1n +1/" +1x +1w +1," +b1000100101101100111011111000001 &" +b1000100101101100111011111000001 ?" +b1 %" +b1 *" +b1 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#335010 +b1000100101101100111011111000001 D" +#335500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1000 & +b1000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#336000 +1u +0s +1[ +0@" +1h +0;" +1r +1q +17" +15" +b11111 3" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +04" +0v +1y +b1000111001001110001000010011100 | +b1000111001001110001000010011100 B" +0a +02" +0n +0/" +0x +0w +b100001010010010010111111100011 / +b100001010010010010111111100011 K +b100001010010010010111111100011 e +b100001010010010010111111100011 "" +b11101111001101110111011111110111 0 +b11101111001101110111011111110111 M +b11101111001101110111011111110111 f +b11101111001101110111011111110111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +0. +1% +#336500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +1. +0% +#337000 +0i +b11001101011111001001010000110111 { +b11001101011111001001010000110111 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +19" +1:" +1;" +05" +07" +b10010 0" +0j +0o +1p +1k +b11111 -" +0y +0u +1m +1/" +0," +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000111001001110001000010011100 0 +b1000111001001110001000010011100 M +b1000111001001110001000010011100 f +b1000111001001110001000010011100 !" +0. +1% +#337500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +1. +0% +#338000 +1i +0s +b101001100011110010011100101011 | +b101001100011110010011100101011 B" +1g +09" +0:" +0;" +0r +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +0t +0y +1z +1u +0m +0/" +1x +1," +b11001101011111001001010000110111 / +b11001101011111001001010000110111 K +b11001101011111001001010000110111 e +b11001101011111001001010000110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#338500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +1. +0% +#339000 +0i +b11110100101101110111110111100001 { +b11110100101101110111110111100001 A" +1s +0g +19" +1:" +1;" +1r +05" +06" +07" +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0," +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101001100011110010011100101011 0 +b101001100011110010011100101011 M +b101001100011110010011100101011 f +b101001100011110010011100101011 !" +0. +1% +#339500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#340000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11110100101101110111110111100001 / +b11110100101101110111110111100001 K +b11110100101101110111110111100001 e +b11110100101101110111110111100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#340500 +b1010010010101100001001000011011 2 +b1010010010101100001001000011011 I +b1010010010101100001001000011011 Z +b10010 3 +b10010 J +b10010 Y +11 +b1010010010101100001001000011011 , +b1010010010101100001001000011011 H +b10010 + +b10010 G +1- +1. +0% +#341000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b1010010010101100001001000011011 &" +b1010010010101100001001000011011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#341010 +b1010010010101100001001000011011 U" +#341500 +b10 5 +b10 L +b10 W +14 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#342000 +b100001010010010010111111100011 { +b100001010010010010111111100011 A" +0s +b11001010111101100110001001001100 | +b11001010111101100110001001001100 B" +1\ +0@" +0h +1;" +0r +0q +15" +16" +17" +b11111 3" +0<" +0` +b11101 0" +1k +b0 -" +0t +0y +1z +0u +14" +1v +0b +02" +1n +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#342500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10110011110101011001100011000010 2 +b10110011110101011001100011000010 I +b10110011110101011001100011000010 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10110011110101011001100011000010 , +b10110011110101011001100011000010 H +b11100 + +b11100 G +1- +1. +0% +#343000 +0] +1s +1=" +1>" +1@" +1h +0;" +1r +1q +05" +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0/" +0x +0w +0," +b10110011110101011001100011000010 &" +b10110011110101011001100011000010 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b100001010010010010111111100011 / +b100001010010010010111111100011 K +b100001010010010010111111100011 e +b100001010010010010111111100011 "" +b11001010111101100110001001001100 0 +b11001010111101100110001001001100 M +b11001010111101100110001001001100 f +b11001010111101100110001001001100 !" +0. +1% +#343010 +b10110011110101011001100011000010 _" +#343500 +b11000100000111111010001100011000 2 +b11000100000111111010001100011000 I +b11000100000111111010001100011000 Z +b11110 3 +b11110 J +b11110 Y +b11000100000111111010001100011000 , +b11000100000111111010001100011000 H +b11110 + +b11110 G +1. +0% +#344000 +0\ +b1 3" +1b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000100000111111010001100011000 &" +b11000100000111111010001100011000 ?" +b11110 %" +b11110 *" +b11110 1" +0. +1% +#344010 +b11000100000111111010001100011000 a" +#344500 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#345000 +1] +0s +b1101100100101101100000101101000 | +b1101100100101101100000101101000 B" +1\ +0=" +0>" +0@" +0q +16" +17" +b11111 3" +0d +0<" +0` +b1010 -" +1t +0u +14" +1v +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#345500 +b11101 5 +b11101 L +b11101 W +14 +b110 7 +b110 N +b110 V +b10000011011000100100001001001000 2 +b10000011011000100100001001001000 I +b10000011011000100100001001001000 Z +b11000 3 +b11000 J +b11000 Y +11 +b11101 ' +b11101 D +1) +b110 & +b110 C +b10000011011000100100001001001000 , +b10000011011000100100001001001000 H +b11000 + +b11000 G +1- +1. +0% +#346000 +0] +0i +b11110100101101110111110111100001 { +b11110100101101110111110111100001 A" +1u +1=" +1@" +0g +19" +1:" +1;" +0r +1q +17" +b111 3" +1c +0_ +1<" +1` +b10 0" +0j +0o +1p +0k +18" +1l +b11001 -" +04" +0v +b11010101011011110101100111011 | +b11010101011011110101100111011 B" +12" +1m +1/" +1x +0w +b1101100100101101100000101101000 0 +b1101100100101101100000101101000 M +b1101100100101101100000101101000 f +b1101100100101101100000101101000 !" +b10000011011000100100001001001000 &" +b10000011011000100100001001001000 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#346010 +b10000011011000100100001001001000 [" +#346500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#347000 +1] +1i +0=" +0@" +1g +09" +0:" +0;" +1r +0q +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +b11001000000100000110001101111010 | +b11001000000100000110001101111010 B" +02" +0m +0/" +0x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b11110100101101110111110111100001 / +b11110100101101110111110111100001 K +b11110100101101110111110111100001 e +b11110100101101110111110111100001 "" +b11010101011011110101100111011 0 +b11010101011011110101100111011 M +b11010101011011110101100111011 f +b11010101011011110101100111011 !" +0. +1% +#347500 +b0 7 +b0 N +b0 V +06 +b10000011110011111101111110011101 2 +b10000011110011111101111110011101 I +b10000011110011111101111110011101 Z +b11 3 +b11 J +b11 Y +11 +b0 & +b0 C +0( +b10000011110011111101111110011101 , +b10000011110011111101111110011101 H +b11 + +b11 G +1- +1. +0% +#348000 +1s +0\ +0[ +1@" +1q +06" +07" +b11100 3" +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001000000100000110001101111010 0 +b11001000000100000110001101111010 M +b11001000000100000110001101111010 f +b11001000000100000110001101111010 !" +b10000011110011111101111110011101 &" +b10000011110011111101111110011101 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#348010 +b10000011110011111101111110011101 F" +#348500 +b11001 5 +b11001 L +b11001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#349000 +0i +b11011000011111010000010010010 { +b11011000011111010000010010010 A" +1\ +1[ +0@" +0g +19" +1;" +b11111 3" +0_ +b110 0" +1o +0k +18" +1l +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#349500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +1. +0% +#350000 +1i +0s +b11000100000111111010001100011000 | +b11000100000111111010001100011000 B" +1g +09" +0;" +0r +15" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1x +1," +b11011000011111010000010010010 / +b11011000011111010000010010010 K +b11011000011111010000010010010 e +b11011000011111010000010010010 "" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#350500 +b10111 7 +b10111 N +b10111 V +b10111 & +b10111 C +1. +0% +#351000 +1t +0q +05" +16" +b1000 -" +0z +b1111110000111110011111010000101 | +b1111110000111110011111010000101 B" +1w +b10111 } +b10111 (" +b10111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000100000111111010001100011000 0 +b11000100000111111010001100011000 M +b11000100000111111010001100011000 f +b11000100000111111010001100011000 !" +0. +1% +#351500 +b1 7 +b1 N +b1 V +b11000001111111010110011000000000 2 +b11000001111111010110011000000000 I +b11000001111111010110011000000000 Z +b101 3 +b101 J +b101 Y +11 +b1 & +b1 C +b11000001111111010110011000000000 , +b11000001111111010110011000000000 H +b101 + +b101 G +1- +1. +0% +#352000 +0] +1u +1s +0[ +1>" +1@" +1r +17" +06" +b11010 3" +1^ +1_ +b11110 -" +04" +0v +0t +b1000100101101100111011111000001 | +b1000100101101100111011111000001 B" +1a +12" +0x +b1111110000111110011111010000101 0 +b1111110000111110011111010000101 M +b1111110000111110011111010000101 f +b1111110000111110011111010000101 !" +b11000001111111010110011000000000 &" +b11000001111111010110011000000000 ?" +b101 %" +b101 *" +b101 1" +1'" +b1 } +b1 (" +b1 +" +0. +1% +#352010 +b11000001111111010110011000000000 H" +#352500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#353000 +1] +0i +b11110100101101110111110111100001 { +b11110100101101110111110111100001 A" +1[ +0>" +0@" +0g +19" +1:" +1;" +1q +07" +b11111 3" +0^ +0_ +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +1m +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1000100101101100111011111000001 0 +b1000100101101100111011111000001 M +b1000100101101100111011111000001 f +b1000100101101100111011111000001 !" +0. +1% +#353500 +b1110 5 +b1110 L +b1110 W +b1100 7 +b1100 N +b1100 V +16 +b110000010110011001010010011 2 +b110000010110011001010010011 I +b110000010110011001010010011 Z +b1101 3 +b1101 J +b1101 Y +11 +b1110 ' +b1110 D +b1100 & +b1100 C +1( +b110000010110011001010010011 , +b110000010110011001010010011 H +b1101 + +b1101 G +1- +1. +0% +#354000 +0] +1k +0s +b11010111010010011111010000000010 | +b11010111010010011111010000000010 B" +0[ +1=" +1>" +1@" +0h +1g +1;" +15" +16" +17" +b10010 3" +0^ +0c +1d +1_ +b10001 0" +08" +0l +b101001100011110010011100101011 { +b101001100011110010011100101011 A" +b10011 -" +0t +0y +1z +1u +1a +12" +1n +0m +1," +b11110100101101110111110111100001 / +b11110100101101110111110111100001 K +b11110100101101110111110111100001 e +b11110100101101110111110111100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110000010110011001010010011 &" +b110000010110011001010010011 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#354010 +b110000010110011001010010011 P" +#354500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b110010011100110101110010011011 2 +b110010011100110101110010011011 I +b110010011100110101110010011011 Z +b10010 3 +b10010 J +b10010 Y +b0 ' +b0 D +0) +b10011 & +b10011 C +b110010011100110101110010011011 , +b110010011100110101110010011011 H +b10010 + +b10010 G +1. +0% +#355000 +0_ +1] +1i +0u +1s +0\ +1[ +0=" +0>" +1h +09" +0:" +0;" +0r +0q +05" +06" +b1101 3" +1<" +1` +0d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +14" +1v +0z +b11101111001101110111011111110111 | +b11101111001101110111011111110111 B" +1b +0a +0n +0/" +1x +1w +b110010011100110101110010011011 &" +b110010011100110101110010011011 ?" +b10010 %" +b10010 *" +b10010 1" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b101001100011110010011100101011 / +b101001100011110010011100101011 K +b101001100011110010011100101011 e +b101001100011110010011100101011 "" +b11010111010010011111010000000010 0 +b11010111010010011111010000000010 M +b11010111010010011111010000000010 f +b11010111010010011111010000000010 !" +0. +1% +#355010 +b110010011100110101110010011011 U" +#355500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#356000 +1\ +0@" +1r +1q +07" +b11111 3" +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101111001101110111011111110111 0 +b11101111001101110111011111110111 M +b11101111001101110111011111110111 f +b11101111001101110111011111110111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#356500 +1. +0% +#357000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#357500 +b1010 5 +b1010 L +b1010 W +14 +b11101011001100010001101001100100 2 +b11101011001100010001101001100100 I +b11101011001100010001101001100100 Z +b1111 3 +b1111 J +b1111 Y +11 +b1010 ' +b1010 D +1) +b11101011001100010001101001100100 , +b11101011001100010001101001100100 H +b1111 + +b1111 G +1- +1. +0% +#358000 +0] +0i +b1000110000101010100011001110111 { +b1000110000101010100011001110111 A" +0\ +0[ +1=" +1>" +1@" +0h +19" +1;" +b10000 3" +0^ +0c +1d +1_ +b10101 0" +1o +1k +1b +1a +12" +1n +1/" +b11101011001100010001101001100100 &" +b11101011001100010001101001100100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#358010 +b11101011001100010001101001100100 R" +#358500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#359000 +1] +1i +0s +b11101011001100010001101001100100 | +b11101011001100010001101001100100 B" +1\ +1[ +0=" +0>" +0@" +1h +09" +0;" +0r +0q +15" +16" +17" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +0t +0y +1z +1u +0b +0a +02" +0n +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +b1000110000101010100011001110111 / +b1000110000101010100011001110111 K +b1000110000101010100011001110111 e +b1000110000101010100011001110111 "" +0. +1% +#359500 +b101 7 +b101 N +b101 V +b101 & +b101 C +1. +0% +#360000 +1t +1r +05" +16" +b11010 -" +0z +b11000001111111010110011000000000 | +b11000001111111010110011000000000 B" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011001100010001101001100100 0 +b11101011001100010001101001100100 M +b11101011001100010001101001100100 f +b11101011001100010001101001100100 !" +b101 } +b101 (" +b101 +" +0. +1% +#360500 +b1100 7 +b1100 N +b1100 V +b1100 & +b1100 C +1. +0% +#361000 +0t +1q +15" +b10011 -" +1z +b11010111010010011111010000000010 | +b11010111010010011111010000000010 B" +0w +b1100 } +b1100 (" +b1100 +" +b11000001111111010110011000000000 0 +b11000001111111010110011000000000 M +b11000001111111010110011000000000 f +b11000001111111010110011000000000 !" +0. +1% +#361500 +b10110 7 +b10110 N +b10110 V +b111010001001000000001101110100 2 +b111010001001000000001101110100 I +b111010001001000000001101110100 Z +b1111 3 +b1111 J +b1111 Y +11 +b10110 & +b10110 C +b111010001001000000001101110100 , +b111010001001000000001101110100 H +b1111 + +b1111 G +1- +1. +0% +#362000 +0] +0u +1t +0\ +0[ +1=" +1>" +1@" +0r +05" +16" +b10000 3" +0^ +0c +1d +1_ +b1001 -" +14" +1v +0z +b11011101101001101010011011011000 | +b11011101101001101010011011011000 B" +1b +1a +12" +1x +b11010111010010011111010000000010 0 +b11010111010010011111010000000010 M +b11010111010010011111010000000010 f +b11010111010010011111010000000010 !" +b111010001001000000001101110100 &" +b111010001001000000001101110100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10110 } +b10110 (" +b10110 +" +0. +1% +#362010 +b111010001001000000001101110100 R" +#362500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b111011010000100101100001110111 2 +b111011010000100101100001110111 I +b111011010000100101100001110111 Z +b11001 3 +b11001 J +b11001 Y +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b111011010000100101100001110111 , +b111011010000100101100001110111 H +b11001 + +b11001 G +1. +0% +#363000 +0_ +1c +b10110010010010010111111000111 { +b10110010010010010111111000111 A" +1s +1\ +1=" +0>" +0g +1;" +1r +06" +07" +b110 3" +1<" +1` +0d +b1110 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1m +1/" +0x +0," +b111011010000100101100001110111 &" +b111011010000100101100001110111 ?" +b11001 %" +b11001 *" +b11001 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11011101101001101010011011011000 0 +b11011101101001101010011011011000 M +b11011101101001101010011011011000 f +b11011101101001101010011011011000 !" +0. +1% +#363010 +b111011010000100101100001110111 \" +#363500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#364000 +1] +0s +b111010001001000000001101110100 | +b111010001001000000001101110100 B" +1[ +0=" +0@" +1g +0;" +0r +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +0a +02" +0m +0/" +1x +1w +1," +b10110010010010010111111000111 / +b10110010010010010111111000111 K +b10110010010010010111111000111 e +b10110010010010010111111000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#364500 +b10 5 +b10 L +b10 W +14 +b10000 7 +b10000 N +b10000 V +b10 ' +b10 D +1) +b10000 & +b10000 C +1. +0% +#365000 +b100001010010010010111111100011 { +b100001010010010010111111100011 A" +0u +1s +0h +1;" +1r +1q +05" +06" +b11101 0" +1k +b1111 -" +14" +1v +0z +b10111011000001100001000101111100 | +b10111011000001100001000101111100 B" +1n +1/" +0x +0w +b10 ~ +b10 )" +b10 ." +1$" +b10000 } +b10000 (" +b10000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111010001001000000001101110100 0 +b111010001001000000001101110100 M +b111010001001000000001101110100 f +b111010001001000000001101110100 !" +0. +1% +#365500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#366000 +1h +0;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +04" +0v +0n +0/" +0," +b100001010010010010111111100011 / +b100001010010010010111111100011 K +b100001010010010010111111100011 e +b100001010010010010111111100011 "" +b10111011000001100001000101111100 0 +b10111011000001100001000101111100 M +b10111011000001100001000101111100 f +b10111011000001100001000101111100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#366500 +b1011 5 +b1011 L +b1011 W +14 +b10011 7 +b10011 N +b10011 V +16 +b1011 ' +b1011 D +1) +b10011 & +b10011 C +1( +1. +0% +#367000 +0i +b10111010111101101101100010000 { +b10111010111101101101100010000 A" +b11101111001101110111011111110111 | +b11101111001101110111011111110111 B" +0h +0g +19" +1;" +0r +0q +17" +b10100 0" +1o +1k +b1100 -" +0u +14" +1v +1n +1m +1/" +1x +1w +1," +b1011 ~ +b1011 )" +b1011 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#367500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b0 ' +b0 D +0) +b11011 & +b11011 C +1. +0% +#368000 +1i +0s +b11100100101000000011101000001111 | +b11100100101000000011101000001111 B" +1h +1g +09" +0;" +15" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b100 -" +1y +0n +0m +0/" +b10111010111101101101100010000 / +b10111010111101101101100010000 K +b10111010111101101101100010000 e +b10111010111101101101100010000 "" +b11101111001101110111011111110111 0 +b11101111001101110111011111110111 M +b11101111001101110111011111110111 f +b11101111001101110111011111110111 !" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#368500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b11101000000101100111111110001010 2 +b11101000000101100111111110001010 I +b11101000000101100111111110001010 Z +b11110 3 +b11110 J +b11110 Y +11 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b11101000000101100111111110001010 , +b11101000000101100111111110001010 H +b11110 + +b11110 G +1- +1. +0% +#369000 +0] +0i +b1101100100101101100000101101000 { +b1101100100101101100000101101000 A" +1s +0\ +1=" +1>" +1@" +0g +1:" +1;" +1r +1q +05" +07" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1010 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1m +1/" +0x +0w +0," +b11101000000101100111111110001010 &" +b11101000000101100111111110001010 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100100101000000011101000001111 0 +b11100100101000000011101000001111 M +b11100100101000000011101000001111 f +b11100100101000000011101000001111 !" +0. +1% +#369010 +b11101000000101100111111110001010 a" +#369500 +b10 5 +b10 L +b10 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#370000 +1] +1k +1i +1\ +0=" +0>" +0@" +0h +1g +1;" +0:" +b11111 3" +0d +0<" +0` +b11101 0" +08" +0l +0j +b100001010010010010111111100011 { +b100001010010010010111111100011 A" +0b +02" +1n +0m +b1101100100101101100000101101000 / +b1101100100101101100000101101000 K +b1101100100101101100000101101000 e +b1101100100101101100000101101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +0. +1% +#370500 +b0 5 +b0 L +b0 W +04 +16 +b11100000000111001011011111111100 2 +b11100000000111001011011111111100 I +b11100000000111001011011111111100 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +1( +b11100000000111001011011111111100 , +b11100000000111001011011111111100 H +b10111 + +b10111 G +1- +1. +0% +#371000 +0] +b1100101000010101011111111111101 | +b1100101000010101011111111111101 B" +0\ +0[ +1>" +1@" +1h +0;" +17" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1u +1b +1a +12" +0n +0/" +1," +b11100000000111001011011111111100 &" +b11100000000111001011011111111100 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +b100001010010010010111111100011 / +b100001010010010010111111100011 K +b100001010010010010111111100011 e +b100001010010010010111111100011 "" +0. +1% +#371010 +b11100000000111001011011111111100 Z" +#371500 +b1000 5 +b1000 L +b1000 W +14 +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#372000 +1] +0i +b1000111001001110001000010011100 { +b1000111001001110001000010011100 A" +0s +1\ +1[ +0>" +0@" +19" +1;" +0q +16" +b11111 3" +0^ +0<" +0` +b10111 0" +1o +1k +b11010 -" +1t +b11000001111111010110011000000000 | +b11000001111111010110011000000000 B" +0b +0a +02" +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100101000010101011111111111101 0 +b1100101000010101011111111111101 M +b1100101000010101011111111111101 f +b1100101000010101011111111111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b101 } +b101 (" +b101 +" +0. +1% +#372500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#373000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0;" +1q +06" +07" +b11111 0" +0o +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000111001001110001000010011100 / +b1000111001001110001000010011100 K +b1000111001001110001000010011100 e +b1000111001001110001000010011100 "" +b11000001111111010110011000000000 0 +b11000001111111010110011000000000 M +b11000001111111010110011000000000 f +b11000001111111010110011000000000 !" +0. +1% +#373500 +b11101111000011110001011101000111 2 +b11101111000011110001011101000111 I +b11101111000011110001011101000111 Z +b10111 3 +b10111 J +b10111 Y +11 +b11101111000011110001011101000111 , +b11101111000011110001011101000111 H +b10111 + +b10111 G +1- +1. +0% +#374000 +0] +0\ +0[ +1>" +1@" +b1000 3" +1^ +0_ +1<" +1` +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101111000011110001011101000111 &" +b11101111000011110001011101000111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +0. +1% +#374010 +b11101111000011110001011101000111 Z" +#374500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#375000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#375500 +1. +0% +#376000 +0. +1% +#376500 +1. +0% +#377000 +0. +1% +#377500 +b11111 5 +b11111 L +b11111 W +14 +b1011001011101001001000010001110 2 +b1011001011101001001000010001110 I +b1011001011101001001000010001110 Z +b10100 3 +b10100 J +b10100 Y +11 +b11111 ' +b11111 D +1) +b1011001011101001001000010001110 , +b1011001011101001001000010001110 H +b10100 + +b10100 G +1- +1. +0% +#378000 +0] +0i +b11001010111101100110001001001100 { +b11001010111101100110001001001100 A" +1>" +1@" +0h +0g +19" +1:" +1;" +b1011 3" +1^ +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +12" +1n +1m +1/" +b1011001011101001001000010001110 &" +b1011001011101001001000010001110 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#378010 +b1011001011101001001000010001110 W" +#378500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#379000 +1] +1i +0s +b10000011011000100100001001001000 | +b10000011011000100100001001001000 B" +0>" +0@" +1h +1g +09" +0:" +0;" +15" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +1y +0u +14" +1v +02" +0n +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +b11001010111101100110001001001100 / +b11001010111101100110001001001100 K +b11001010111101100110001001001100 e +b11001010111101100110001001001100 "" +0. +1% +#379500 +b10 7 +b10 N +b10 V +b10 & +b10 C +1. +0% +#380000 +1u +1s +0r +17" +05" +b11101 -" +04" +0v +0y +b100001010010010010111111100011 | +b100001010010010010111111100011 B" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000011011000100100001001001000 0 +b10000011011000100100001001001000 M +b10000011011000100100001001001000 f +b10000011011000100100001001001000 !" +b10 } +b10 (" +b10 +" +0. +1% +#380500 +b0 7 +b0 N +b0 V +06 +b10100101100001011001111100010010 2 +b10100101100001011001111100010010 I +b10100101100001011001111100010010 Z +b110 3 +b110 J +b110 Y +11 +b0 & +b0 C +0( +b10100101100001011001111100010010 , +b10100101100001011001111100010010 H +b110 + +b110 G +1- +1. +0% +#381000 +0] +0\ +1>" +1@" +1r +07" +b11001 3" +1^ +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0," +b10100101100001011001111100010010 &" +b10100101100001011001111100010010 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b100001010010010010111111100011 0 +b100001010010010010111111100011 M +b100001010010010010111111100011 f +b100001010010010010111111100011 !" +0. +1% +#381010 +b10100101100001011001111100010010 I" +#381500 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#382000 +1] +b1100101000010101011111111111101 | +b1100101000010101011111111111101 B" +1\ +0>" +0@" +17" +b11111 3" +0^ +0_ +1u +0b +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1#" +0. +1% +#382500 +06 +0( +1. +0% +#383000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +07" +0u +0," +0#" +b1100101000010101011111111111101 0 +b1100101000010101011111111111101 M +b1100101000010101011111111111101 f +b1100101000010101011111111111101 !" +0. +1% +#383500 +b10110110100101010000111101111000 2 +b10110110100101010000111101111000 I +b10110110100101010000111101111000 Z +b10000 3 +b10000 J +b10000 Y +11 +b10110110100101010000111101111000 , +b10110110100101010000111101111000 H +b10000 + +b10000 G +1- +1. +0% +#384000 +1@" +b1111 3" +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110110100101010000111101111000 &" +b10110110100101010000111101111000 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +0. +1% +#384010 +b10110110100101010000111101111000 S" +#384500 +b10010 5 +b10010 L +b10010 W +14 +b11000001101000011011100000000111 2 +b11000001101000011011100000000111 I +b11000001101000011011100000000111 Z +b11100 3 +b11100 J +b11100 Y +b10010 ' +b10010 D +1) +b11000001101000011011100000000111 , +b11000001101000011011100000000111 H +b11100 + +b11100 G +1. +0% +#385000 +0] +b110010011100110101110010011011 { +b110010011100110101110010011011 A" +1=" +1>" +0h +1;" +b11 3" +0^ +0c +1d +b1101 0" +0k +18" +1l +1n +1/" +b11000001101000011011100000000111 &" +b11000001101000011011100000000111 ?" +b11100 %" +b11100 *" +b11100 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#385010 +b11000001101000011011100000000111 _" +#385500 +b0 5 +b0 L +b0 W +04 +b1100010000010010000010101111011 2 +b1100010000010010000010101111011 I +b1100010000010010000010101111011 Z +b1000 3 +b1000 J +b1000 Y +b0 ' +b0 D +0) +b1100010000010010000010101111011 , +b1100010000010010000010101111011 H +b1000 + +b1000 G +1. +0% +#386000 +1_ +1c +1@" +1=" +0>" +1h +0;" +b10111 3" +0<" +0` +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b110010011100110101110010011011 / +b110010011100110101110010011011 K +b110010011100110101110010011011 e +b110010011100110101110010011011 "" +b1100010000010010000010101111011 &" +b1100010000010010000010101111011 ?" +b1000 %" +b1000 *" +b1000 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#386010 +b1100010000010010000010101111011 K" +#386500 +b10110 5 +b10110 L +b10110 W +14 +b11100100010101100001101010001010 2 +b11100100010101100001101010001010 I +b11100100010101100001101010001010 Z +b111 3 +b111 J +b111 Y +b10110 ' +b10110 D +1) +b11100100010101100001101010001010 , +b11100100010101100001101010001010 H +b111 + +b111 G +1. +0% +#387000 +1>" +1^ +0i +b11011101101001101010011011011000 { +b11011101101001101010011011011000 A" +0\ +0[ +0=" +0h +1:" +1;" +b11000 3" +0c +b1001 0" +1j +0k +18" +1l +1b +1a +1n +1/" +b11100100010101100001101010001010 &" +b11100100010101100001101010001010 ?" +b111 %" +b111 *" +b111 1" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#387010 +b11100100010101100001101010001010 J" +#387500 +b11011 5 +b11011 L +b11011 W +b1000 7 +b1000 N +b1000 V +16 +b110101001001011101100111100100 2 +b110101001001011101100111100100 I +b110101001001011101100111100100 Z +b11101 3 +b11101 J +b11101 Y +b11011 ' +b11011 D +b1000 & +b1000 C +1( +b110101001001011101100111100100 , +b110101001001011101100111100100 H +b11101 + +b11101 G +1. +0% +#388000 +19" +0_ +0^ +1o +0s +b1100010000010010000010101111011 | +b1100010000010010000010101111011 B" +1\ +1=" +0g +0:" +15" +17" +b10 3" +1<" +1` +1d +b100 0" +0j +b11100100101000000011101000001111 { +b11100100101000000011101000001111 A" +b10111 -" +1y +1u +0b +1m +1," +b11011101101001101010011011011000 / +b11011101101001101010011011011000 K +b11011101101001101010011011011000 e +b11011101101001101010011011011000 "" +b110101001001011101100111100100 &" +b110101001001011101100111100100 ?" +b11101 %" +b11101 *" +b11101 1" +b11011 ~ +b11011 )" +b11011 ." +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#388010 +b110101001001011101100111100100 `" +#388500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#389000 +1] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0=" +0>" +0@" +1h +1g +09" +0;" +05" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +0u +0a +02" +0n +0m +0/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11100100101000000011101000001111 / +b11100100101000000011101000001111 K +b11100100101000000011101000001111 e +b11100100101000000011101000001111 "" +b1100010000010010000010101111011 0 +b1100010000010010000010101111011 M +b1100010000010010000010101111011 f +b1100010000010010000010101111011 !" +0. +1% +#389500 +b10011011100000110101110110111001 2 +b10011011100000110101110110111001 I +b10011011100000110101110110111001 Z +b11110 3 +b11110 J +b11110 Y +11 +b10011011100000110101110110111001 , +b10011011100000110101110110111001 H +b11110 + +b11110 G +1- +1. +0% +#390000 +0] +0\ +1=" +1>" +1@" +b1 3" +0^ +0c +1d +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011011100000110101110110111001 &" +b10011011100000110101110110111001 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +0. +1% +#390010 +b10011011100000110101110110111001 a" +#390500 +b1010 5 +b1010 L +b1010 W +14 +b11010111111011001100100011111110 2 +b11010111111011001100100011111110 I +b11010111111011001100100011111110 Z +b1111 3 +b1111 J +b1111 Y +b1010 ' +b1010 D +1) +b11010111111011001100100011111110 , +b11010111111011001100100011111110 H +b1111 + +b1111 G +1. +0% +#391000 +1_ +0i +b1000110000101010100011001110111 { +b1000110000101010100011001110111 A" +0[ +1@" +0h +19" +1;" +b10000 3" +0<" +0` +b10101 0" +1o +1k +1a +1n +1/" +b11010111111011001100100011111110 &" +b11010111111011001100100011111110 ?" +b1111 %" +b1111 *" +b1111 1" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#391010 +b11010111111011001100100011111110 R" +#391500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#392000 +1] +1i +0s +b10011111100101001000011110000110 | +b10011111100101001000011110000110 B" +1\ +1[ +0=" +0>" +0@" +1h +09" +0;" +0q +15" +17" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +1y +1u +0b +0a +02" +0n +0/" +1w +1," +b1000110000101010100011001110111 / +b1000110000101010100011001110111 K +b1000110000101010100011001110111 e +b1000110000101010100011001110111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#392500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +1. +0% +#393000 +0i +b11000001101000011011100000000111 { +b11000001101000011011100000000111 A" +1s +19" +1:" +1;" +1q +05" +07" +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0w +0," +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011111100101001000011110000110 0 +b10011111100101001000011110000110 M +b10011111100101001000011110000110 f +b10011111100101001000011110000110 !" +0. +1% +#393500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#394000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b11000001101000011011100000000111 / +b11000001101000011011100000000111 K +b11000001101000011011100000000111 e +b11000001101000011011100000000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#394500 +b10011 7 +b10011 N +b10011 V +16 +b11000001011011101111011110010111 2 +b11000001011011101111011110010111 I +b11000001011011101111011110010111 Z +b1010 3 +b1010 J +b1010 Y +11 +b10011 & +b10011 C +1( +b11000001011011101111011110010111 , +b11000001011011101111011110010111 H +b1010 + +b1010 G +1- +1. +0% +#395000 +0] +b11101111001101110111011111110111 | +b11101111001101110111011111110111 B" +0\ +1=" +1@" +0r +0q +17" +b10101 3" +1c +1_ +b1100 -" +0u +14" +1v +1b +12" +1x +1w +1," +b11000001011011101111011110010111 &" +b11000001011011101111011110010111 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#395010 +b11000001011011101111011110010111 M" +#395500 +b1010 5 +b1010 L +b1010 W +14 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#396000 +1] +0i +b11000001011011101111011110010111 { +b11000001011011101111011110010111 A" +1u +0s +1\ +0=" +0@" +0h +19" +1;" +1q +17" +15" +16" +b11111 3" +0c +0_ +b10101 0" +1o +1k +b10001 -" +04" +0v +0t +0y +1z +b101001100011110010011100101011 | +b101001100011110010011100101011 B" +0b +02" +1n +1/" +0w +b11101111001101110111011111110111 0 +b11101111001101110111011111110111 M +b11101111001101110111011111110111 f +b11101111001101110111011111110111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#396500 +b1100 5 +b1100 L +b1100 W +b11011 7 +b11011 N +b11011 V +b11001111111010001010101010001111 2 +b11001111111010001010101010001111 I +b11001111111010001010101010001111 Z +11 +b1100 ' +b1100 D +b11011 & +b11011 C +b11001111111010001010101010001111 , +b11001111111010001010101010001111 H +1- +1. +0% +#397000 +0o +0u +1y +1@" +1h +1:" +0q +15" +06" +1_ +b10011 0" +1p +b11010111010010011111010000000010 { +b11010111010010011111010000000010 A" +b100 -" +14" +1v +0z +b11100100101000000011101000001111 | +b11100100101000000011101000001111 B" +12" +0n +1w +b11001111111010001010101010001111 &" +b11001111111010001010101010001111 ?" +1'" +b1100 ~ +b1100 )" +b1100 ." +b11011 } +b11011 (" +b11011 +" +b11000001011011101111011110010111 / +b11000001011011101111011110010111 K +b11000001011011101111011110010111 e +b11000001011011101111011110010111 "" +b101001100011110010011100101011 0 +b101001100011110010011100101011 M +b101001100011110010011100101011 f +b101001100011110010011100101011 !" +0. +1% +#397010 +b11001111111010001010101010001111 C" +#397500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b11000001010110011110110110001011 2 +b11000001010110011110110110001011 I +b11000001010110011110110110001011 Z +b10011 3 +b10011 J +b10011 Y +b0 ' +b0 D +0) +b11110 & +b11110 C +b11000001010110011110110110001011 , +b11000001010110011110110110001011 H +b10011 + +b10011 G +1. +0% +#398000 +0_ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0y +0\ +0[ +09" +0:" +0;" +1q +16" +b1100 3" +1<" +1` +b11111 0" +0p +0k +b1 -" +1z +b10011011100000110101110110111001 | +b10011011100000110101110110111001 B" +1b +1a +0/" +0w +b11010111010010011111010000000010 / +b11010111010010011111010000000010 K +b11010111010010011111010000000010 e +b11010111010010011111010000000010 "" +b11100100101000000011101000001111 0 +b11100100101000000011101000001111 M +b11100100101000000011101000001111 f +b11100100101000000011101000001111 !" +b11000001010110011110110110001011 &" +b11000001010110011110110110001011 ?" +b10011 %" +b10011 *" +b10011 1" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#398010 +b11000001010110011110110110001011 V" +#398500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +b10100011100011010100011101011100 2 +b10100011100011010100011101011100 I +b10100011100011010100011101011100 Z +b11110 3 +b11110 J +b11110 Y +b11010 ' +b11010 D +1) +b0 & +b0 C +b10100011100011010100011101011100 , +b10100011100011010100011101011100 H +b11110 + +b11110 G +1. +0% +#399000 +0] +0i +b11101101110111000011111111110 { +b11101101110111000011111111110 A" +1u +1s +1[ +1=" +1>" +0h +19" +1;" +1r +17" +05" +06" +b1 3" +0^ +0c +1d +b101 0" +1o +0k +18" +1l +b11111 -" +04" +0v +0z +b11001111111010001010101010001111 | +b11001111111010001010101010001111 B" +0a +1n +1/" +0x +b10100011100011010100011101011100 &" +b10100011100011010100011101011100 ?" +b11110 %" +b11110 *" +b11110 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011100000110101110110111001 0 +b10011011100000110101110110111001 M +b10011011100000110101110110111001 f +b10011011100000110101110110111001 !" +0. +1% +#399010 +b10100011100011010100011101011100 a" +#399500 +b110 5 +b110 L +b110 W +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#400000 +1:" +1] +1k +1j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +0>" +0@" +1;" +b10100101100001011001111100010010 { +b10100101100001011001111100010010 A" +09" +07" +b11111 3" +0d +0<" +0` +b11001 0" +08" +0l +0o +0u +0b +02" +0," +b11101101110111000011111111110 / +b11101101110111000011111111110 K +b11101101110111000011111111110 e +b11101101110111000011111111110 "" +b11001111111010001010101010001111 0 +b11001111111010001010101010001111 M +b11001111111010001010101010001111 f +b11001111111010001010101010001111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +0#" +0. +1% +#400500 +b10011 5 +b10011 L +b10011 W +b1111111001000001010011111011 2 +b1111111001000001010011111011 I +b1111111001000001010011111011 Z +b10111 3 +b10111 J +b10111 Y +11 +b10011 ' +b10011 D +b1111111001000001010011111011 , +b1111111001000001010011111011 H +b10111 + +b10111 G +1- +1. +0% +#401000 +0] +0k +1i +0\ +0[ +1>" +1@" +0g +0:" +b1000 3" +1^ +0_ +1<" +1` +b1100 0" +18" +1l +0j +b11000001010110011110110110001011 { +b11000001010110011110110110001011 A" +1b +1a +12" +1m +b1111111001000001010011111011 &" +b1111111001000001010011111011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +b10100101100001011001111100010010 / +b10100101100001011001111100010010 K +b10100101100001011001111100010010 e +b10100101100001011001111100010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#401010 +b1111111001000001010011111011 Z" +#401500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#402000 +1] +1\ +1[ +0>" +0@" +1h +1g +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0n +0m +0/" +b11000001010110011110110110001011 / +b11000001010110011110110110001011 K +b11000001010110011110110110001011 e +b11000001010110011110110110001011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#402500 +b101 5 +b101 L +b101 W +14 +b10011000100000110010010100011111 2 +b10011000100000110010010100011111 I +b10011000100000110010010100011111 Z +b1011 3 +b1011 J +b1011 Y +11 +b101 ' +b101 D +1) +b10011000100000110010010100011111 , +b10011000100000110010010100011111 H +b1011 + +b1011 G +1- +1. +0% +#403000 +0] +0i +b11000001111111010110011000000000 { +b11000001111111010110011000000000 A" +0\ +0[ +1=" +1@" +0g +1:" +1;" +b10100 3" +1c +1_ +b11010 0" +1j +1k +1b +1a +12" +1m +1/" +b10011000100000110010010100011111 &" +b10011000100000110010010100011111 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#403010 +b10011000100000110010010100011111 N" +#403500 +b0 5 +b0 L +b0 W +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#404000 +1] +1i +b10110010010010010111111000111 | +b10110010010010010111111000111 B" +1\ +1[ +0=" +0@" +1g +0:" +0q +17" +b11111 3" +0c +0_ +b11111 0" +0j +b11001111111010001010101010001111 { +b11001111111010001010101010001111 A" +b1110 -" +0u +14" +1v +0b +0a +02" +0m +1w +1," +b11000001111111010110011000000000 / +b11000001111111010110011000000000 K +b11000001111111010110011000000000 e +b11000001111111010110011000000000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#404500 +b1110 5 +b1110 L +b1110 W +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +b0 & +b0 C +0( +1. +0% +#405000 +0i +0h +19" +1:" +1q +07" +b10001 0" +0j +0o +1p +b101001100011110010011100101011 { +b101001100011110010011100101011 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0w +0," +b1110 ~ +b1110 )" +b1110 ." +b0 } +b0 (" +b0 +" +0#" +b11001111111010001010101010001111 / +b11001111111010001010101010001111 K +b11001111111010001010101010001111 e +b11001111111010001010101010001111 "" +b10110010010010010111111000111 0 +b10110010010010010111111000111 M +b10110010010010010111111000111 f +b10110010010010010111111000111 !" +0. +1% +#405500 +b11000 5 +b11000 L +b11000 W +b11000 ' +b11000 D +1. +0% +#406000 +0k +1o +1h +19" +0:" +b111 0" +18" +1l +0p +b10000011011000100100001001001000 { +b10000011011000100100001001001000 A" +0n +b101001100011110010011100101011 / +b101001100011110010011100101011 K +b101001100011110010011100101011 e +b101001100011110010011100101011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000 ~ +b11000 )" +b11000 ." +0. +1% +#406500 +b101 5 +b101 L +b101 W +b101 ' +b101 D +1. +0% +#407000 +1:" +1k +1j +0g +1;" +09" +b11010 0" +08" +0l +0o +b11000001111111010110011000000000 { +b11000001111111010110011000000000 A" +1m +b101 ~ +b101 )" +b101 ." +b10000011011000100100001001001000 / +b10000011011000100100001001001000 K +b10000011011000100100001001001000 e +b10000011011000100100001001001000 "" +0. +1% +#407500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#408000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b11000001111111010110011000000000 / +b11000001111111010110011000000000 K +b11000001111111010110011000000000 e +b11000001111111010110011000000000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#408500 +b11100111010011101001100011101 2 +b11100111010011101001100011101 I +b11100111010011101001100011101 Z +b100 3 +b100 J +b100 Y +11 +b11100111010011101001100011101 , +b11100111010011101001100011101 H +b100 + +b100 G +1- +1. +0% +#409000 +0] +1>" +1@" +b11011 3" +1^ +1_ +12" +b11100111010011101001100011101 &" +b11100111010011101001100011101 ?" +b100 %" +b100 *" +b100 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#409010 +b11100111010011101001100011101 G" +#409500 +b1100010101111001011111001101 2 +b1100010101111001011111001101 I +b1100010101111001011111001101 Z +b101 3 +b101 J +b101 Y +b1100010101111001011111001101 , +b1100010101111001011111001101 H +b101 + +b101 G +1. +0% +#410000 +0[ +b11010 3" +1a +b1100010101111001011111001101 &" +b1100010101111001011111001101 ?" +b101 %" +b101 *" +b101 1" +0. +1% +#410010 +b1100010101111001011111001101 H" +#410500 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#411000 +1] +0s +b11010111010010011111010000000010 | +b11010111010010011111010000000010 B" +1[ +0>" +0@" +15" +16" +17" +b11111 3" +0^ +0_ +b10011 -" +0t +0y +1z +1u +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#411500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b10000110111100011000100100000001 2 +b10000110111100011000100100000001 I +b10000110111100011000100100000001 Z +b10001 3 +b10001 J +b10001 Y +11 +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +b10000110111100011000100100000001 , +b10000110111100011000100100000001 H +b10001 + +b10001 G +1- +1. +0% +#412000 +0i +b10011000100000110010010100011111 { +b10011000100000110010010100011111 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1@" +0h +0g +19" +1;" +05" +06" +07" +b1110 3" +0_ +1<" +1` +b10100 0" +1o +1k +b11111 -" +0z +0u +1a +12" +1n +1m +1/" +0," +b11010111010010011111010000000010 0 +b11010111010010011111010000000010 M +b11010111010010011111010000000010 f +b11010111010010011111010000000010 !" +b10000110111100011000100100000001 &" +b10000110111100011000100100000001 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#412010 +b10000110111100011000100100000001 T" +#412500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#413000 +1i +1[ +0@" +1h +1g +09" +0;" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011000100000110010010100011111 / +b10011000100000110010010100011111 K +b10011000100000110010010100011111 e +b10011000100000110010010100011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#413500 +14 +b11111 7 +b11111 N +b11111 V +16 +1) +b11111 & +b11111 C +1( +1. +0% +#414000 +b11001111111010001010101010001111 { +b11001111111010001010101010001111 A" +0s +b11001010111101100110001001001100 | +b11001010111101100110001001001100 B" +1;" +0r +0q +15" +16" +17" +1k +b0 -" +0t +0y +1z +0u +14" +1v +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#414500 +b10000 5 +b10000 L +b10000 W +b11011 7 +b11011 N +b11011 V +b1000101101100111100111001000111 2 +b1000101101100111100111001000111 I +b1000101101100111100111001000111 Z +b100 3 +b100 J +b100 Y +11 +b10000 ' +b10000 D +b11011 & +b11011 C +b1000101101100111100111001000111 , +b1000101101100111100111001000111 H +b100 + +b100 G +1- +1. +0% +#415000 +0] +0k +1y +b11100100101000000011101000001111 | +b11100100101000000011101000001111 B" +1>" +1@" +b10110110100101010000111101111000 { +b10110110100101010000111101111000 A" +15" +06" +b11011 3" +1^ +1_ +b1111 0" +18" +1l +b100 -" +0z +12" +b1000101101100111100111001000111 &" +b1000101101100111100111001000111 ?" +b100 %" +b100 *" +b100 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +b11011 } +b11011 (" +b11011 +" +b11001111111010001010101010001111 / +b11001111111010001010101010001111 K +b11001111111010001010101010001111 e +b11001111111010001010101010001111 "" +b11001010111101100110001001001100 0 +b11001010111101100110001001001100 M +b11001010111101100110001001001100 f +b11001010111101100110001001001100 !" +0. +1% +#415010 +b1000101101100111100111001000111 G" +#415500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b1011011000001111001100010000100 2 +b1011011000001111001100010000100 I +b1011011000001111001100010000100 Z +b1010 3 +b1010 J +b1010 Y +b10010 ' +b10010 D +b0 & +b0 C +0( +b1011011000001111001100010000100 , +b1011011000001111001100010000100 H +b1010 + +b1010 G +1. +0% +#416000 +1=" +1c +1s +0\ +0>" +0h +1r +1q +05" +07" +b10101 3" +0^ +b1101 0" +b110010011100110101110010011011 { +b110010011100110101110010011011 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1n +0x +0w +0," +b10110110100101010000111101111000 / +b10110110100101010000111101111000 K +b10110110100101010000111101111000 e +b10110110100101010000111101111000 "" +b11100100101000000011101000001111 0 +b11100100101000000011101000001111 M +b11100100101000000011101000001111 f +b11100100101000000011101000001111 !" +b1011011000001111001100010000100 &" +b1011011000001111001100010000100 ?" +b1010 %" +b1010 *" +b1010 1" +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#416010 +b1011011000001111001100010000100 M" +#416500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#417000 +1] +1\ +0=" +0@" +1h +0;" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b110010011100110101110010011011 / +b110010011100110101110010011011 K +b110010011100110101110010011011 e +b110010011100110101110010011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#417500 +b1010001111000011111000011011000 2 +b1010001111000011111000011011000 I +b1010001111000011111000011011000 Z +b11101 3 +b11101 J +b11101 Y +11 +b1010001111000011111000011011000 , +b1010001111000011111000011011000 H +b11101 + +b11101 G +1- +1. +0% +#418000 +0] +0[ +1=" +1>" +1@" +b10 3" +0^ +0c +1d +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010001111000011111000011011000 &" +b1010001111000011111000011011000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +0. +1% +#418010 +b1010001111000011111000011011000 `" +#418500 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#419000 +1] +b11001111111010001010101010001111 | +b11001111111010001010101010001111 B" +1[ +0=" +0>" +0@" +17" +b11111 3" +0d +0<" +0` +1u +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1#" +0. +1% +#419500 +06 +b11011001010011011101110011100010 2 +b11011001010011011101110011100010 I +b11011001010011011101110011100010 Z +b10000 3 +b10000 J +b10000 Y +11 +0( +b11011001010011011101110011100010 , +b11011001010011011101110011100010 H +b10000 + +b10000 G +1- +1. +0% +#420000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +07" +b1111 3" +0_ +1<" +1` +0u +12" +0," +b11001111111010001010101010001111 0 +b11001111111010001010101010001111 M +b11001111111010001010101010001111 f +b11001111111010001010101010001111 !" +b11011001010011011101110011100010 &" +b11011001010011011101110011100010 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +0#" +0. +1% +#420010 +b11011001010011011101110011100010 S" +#420500 +b11000000001101100100101111100010 2 +b11000000001101100100101111100010 I +b11000000001101100100101111100010 Z +b1010 3 +b1010 J +b1010 Y +b11000000001101100100101111100010 , +b11000000001101100100101111100010 H +b1010 + +b1010 G +1. +0% +#421000 +1_ +0] +0\ +1@" +1=" +b10101 3" +0<" +0` +1c +1b +b11000000001101100100101111100010 &" +b11000000001101100100101111100010 ?" +b1010 %" +b1010 *" +b1010 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#421010 +b11000000001101100100101111100010 M" +#421500 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#422000 +1] +0s +b111011010000100101100001110111 | +b111011010000100101100001110111 B" +1\ +0=" +0@" +0q +15" +17" +b11111 3" +0c +0_ +b110 -" +1y +0u +14" +1v +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#422500 +b1001 7 +b1001 N +b1001 V +b1001 & +b1001 C +1. +0% +#423000 +1u +17" +b10011111100101001000011110000110 | +b10011111100101001000011110000110 B" +b10110 -" +04" +0v +b1001 } +b1001 (" +b1001 +" +b111011010000100101100001110111 0 +b111011010000100101100001110111 M +b111011010000100101100001110111 f +b111011010000100101100001110111 !" +0. +1% +#423500 +b101 5 +b101 L +b101 W +14 +b10010 7 +b10010 N +b10010 V +b10110001110101101111100110111010 2 +b10110001110101101111100110111010 I +b10110001110101101111100110111010 Z +b11 3 +b11 J +b11 Y +11 +b101 ' +b101 D +1) +b10010 & +b10010 C +b10110001110101101111100110111010 , +b10110001110101101111100110111010 H +b11 + +b11 G +1- +1. +0% +#424000 +0i +b1100010101111001011111001101 { +b1100010101111001011111001101 A" +0u +1s +0\ +0[ +1@" +0g +1:" +1;" +0r +1q +05" +b11100 3" +1_ +b11010 0" +1j +1k +b1101 -" +14" +1v +0y +b110010011100110101110010011011 | +b110010011100110101110010011011 B" +1b +1a +12" +1m +1/" +1x +0w +b10011111100101001000011110000110 0 +b10011111100101001000011110000110 M +b10011111100101001000011110000110 f +b10011111100101001000011110000110 !" +b10110001110101101111100110111010 &" +b10110001110101101111100110111010 ?" +b11 %" +b11 *" +b11 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#424010 +b10110001110101101111100110111010 F" +#424500 +b11010 5 +b11010 L +b11010 W +b0 7 +b0 N +b0 V +06 +b10110101001100001101011001010000 2 +b10110101001100001101011001010000 I +b10110101001100001101011001010000 Z +b1111 3 +b1111 J +b1111 Y +b11010 ' +b11010 D +b0 & +b0 C +0( +b10110101001100001101011001010000 , +b10110101001100001101011001010000 H +b1111 + +b1111 G +1. +0% +#425000 +19" +0] +0k +1o +1=" +1>" +0h +1g +0:" +1r +07" +b10000 3" +0^ +0c +1d +b101 0" +18" +1l +0j +b11101101110111000011111111110 { +b11101101110111000011111111110 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0m +0x +0," +b10110101001100001101011001010000 &" +b10110101001100001101011001010000 ?" +b1111 %" +b1111 *" +b1111 1" +b11010 ~ +b11010 )" +b11010 ." +b0 } +b0 (" +b0 +" +0#" +b1100010101111001011111001101 / +b1100010101111001011111001101 K +b1100010101111001011111001101 e +b1100010101111001011111001101 "" +b110010011100110101110010011011 0 +b110010011100110101110010011011 M +b110010011100110101110010011011 f +b110010011100110101110010011011 !" +0. +1% +#425010 +b10110101001100001101011001010000 R" +#425500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#426000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +0a +02" +b11101101110111000011111111110 / +b11101101110111000011111111110 K +b11101101110111000011111111110 e +b11101101110111000011111111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#426500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +1. +0% +#427000 +1i +0s +b10011111100101001000011110000110 | +b10011111100101001000011110000110 B" +1h +09" +0;" +0q +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10110 -" +1y +1u +0n +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#427500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +1. +0% +#428000 +0i +b10000011011000100100001001001000 { +b10000011011000100100001001001000 A" +1s +19" +1;" +1q +05" +07" +b111 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011111100101001000011110000110 0 +b10011111100101001000011110000110 M +b10011111100101001000011110000110 f +b10011111100101001000011110000110 !" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#428500 +b0 5 +b0 L +b0 W +04 +b1011011010011011100111110111 2 +b1011011010011011100111110111 I +b1011011010011011100111110111 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b1011011010011011100111110111 , +b1011011010011011100111110111 H +b100 + +b100 G +1- +1. +0% +#429000 +0] +1i +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11011 3" +1^ +1_ +b11111 0" +0o +08" +0l +12" +0/" +b1011011010011011100111110111 &" +b1011011010011011100111110111 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000011011000100100001001001000 / +b10000011011000100100001001001000 K +b10000011011000100100001001001000 e +b10000011011000100100001001001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#429010 +b1011011010011011100111110111 G" +#429500 +b110 7 +b110 N +b110 V +16 +b1101100101100011000110001011010 2 +b1101100101100011000110001011010 I +b1101100101100011000110001011010 Z +b11 3 +b11 J +b11 Y +b110 & +b110 C +1( +b1101100101100011000110001011010 , +b1101100101100011000110001011010 H +b11 + +b11 G +1. +0% +#430000 +1] +0s +b10100101100001011001111100010010 | +b10100101100001011001111100010010 B" +0\ +0[ +0>" +0r +16" +17" +b11100 3" +0^ +b11001 -" +1t +1u +1b +1a +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101100101100011000110001011010 &" +b1101100101100011000110001011010 ?" +b11 %" +b11 *" +b11 1" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#430010 +b1101100101100011000110001011010 F" +#430500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#431000 +b10000110111100011000100100000001 { +b10000110111100011000100100000001 A" +1s +1\ +1[ +0@" +0g +1;" +1r +06" +07" +b11111 3" +0_ +b1110 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1m +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10100101100001011001111100010010 0 +b10100101100001011001111100010010 M +b10100101100001011001111100010010 f +b10100101100001011001111100010010 !" +0. +1% +#431500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +1. +0% +#432000 +0s +b1101100100101101100000101101000 | +b1101100100101101100000101101000 B" +1g +0;" +0q +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +1t +0u +14" +1v +0m +0/" +1w +1," +b10000110111100011000100100000001 / +b10000110111100011000100100000001 K +b10000110111100011000100100000001 e +b10000110111100011000100100000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#432500 +b10000 7 +b10000 N +b10000 V +b11011011011101000010101110010100 2 +b11011011011101000010101110010100 I +b11011011011101000010101110010100 Z +b11110 3 +b11110 J +b11110 Y +11 +b10000 & +b10000 C +b11011011011101000010101110010100 , +b11011011011101000010101110010100 H +b11110 + +b11110 G +1- +1. +0% +#433000 +0] +1s +0\ +1=" +1>" +1@" +1q +06" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1111 -" +0t +b11011001010011011101110011100010 | +b11011001010011011101110011100010 B" +1b +12" +0w +b11011011011101000010101110010100 &" +b11011011011101000010101110010100 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10000 } +b10000 (" +b10000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101100100101101100000101101000 0 +b1101100100101101100000101101000 M +b1101100100101101100000101101000 f +b1101100100101101100000101101000 !" +0. +1% +#433010 +b11011011011101000010101110010100 a" +#433500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#434000 +1] +1\ +0=" +0>" +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0<" +0` +b11111 -" +04" +0v +0b +02" +0," +b11011001010011011101110011100010 0 +b11011001010011011101110011100010 M +b11011001010011011101110011100010 f +b11011001010011011101110011100010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#434500 +b10011011000010100001110011000111 2 +b10011011000010100001110011000111 I +b10011011000010100001110011000111 Z +b1010 3 +b1010 J +b1010 Y +11 +b10011011000010100001110011000111 , +b10011011000010100001110011000111 H +b1010 + +b1010 G +1- +1. +0% +#435000 +0] +0\ +1=" +1@" +b10101 3" +1c +1_ +1b +12" +b10011011000010100001110011000111 &" +b10011011000010100001110011000111 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#435010 +b10011011000010100001110011000111 M" +#435500 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#436000 +1] +0s +b101001100011110010011100101011 | +b101001100011110010011100101011 B" +1\ +0=" +0@" +0r +15" +16" +17" +b11111 3" +0c +0_ +b10001 -" +0t +0y +1z +1u +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#436500 +b10010 7 +b10010 N +b10010 V +b10010 & +b10010 C +1. +0% +#437000 +0u +1s +b110010011100110101110010011011 | +b110010011100110101110010011011 B" +05" +06" +b1101 -" +14" +1v +0z +b10010 } +b10010 (" +b10010 +" +b101001100011110010011100101011 0 +b101001100011110010011100101011 M +b101001100011110010011100101011 f +b101001100011110010011100101011 !" +0. +1% +#437500 +14 +b11011 7 +b11011 N +b11011 V +b1010110001000110110101011010011 2 +b1010110001000110110101011010011 I +b1010110001000110110101011010011 Z +b11111 3 +b11111 J +b11111 Y +11 +1) +b11011 & +b11011 C +b1010110001000110110101011010011 , +b1010110001000110110101011010011 H +b11111 + +b11111 G +1- +1. +0% +#438000 +0] +b11001111111010001010101010001111 { +b11001111111010001010101010001111 A" +0s +0\ +0[ +1=" +1>" +1@" +1;" +0q +15" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1k +b100 -" +1y +b11100100101000000011101000001111 | +b11100100101000000011101000001111 B" +1b +1a +12" +1/" +1w +b110010011100110101110010011011 0 +b110010011100110101110010011011 M +b110010011100110101110010011011 f +b110010011100110101110010011011 !" +b1010110001000110110101011010011 &" +b1010110001000110110101011010011 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#438500 +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#439000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +1[ +0=" +0>" +0@" +0;" +1r +1q +05" +07" +b11111 3" +0d +0<" +0` +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +b0 } +b0 (" +b0 +" +0#" +b11001111111010001010101010001111 / +b11001111111010001010101010001111 K +b11001111111010001010101010001111 e +b11001111111010001010101010001111 "" +b11100100101000000011101000001111 0 +b11100100101000000011101000001111 M +b11100100101000000011101000001111 f +b11100100101000000011101000001111 !" +0. +1% +#439500 +1. +0% +#440000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#440500 +b11011100000001101000111010010010 2 +b11011100000001101000111010010010 I +b11011100000001101000111010010010 Z +b11111 3 +b11111 J +b11111 Y +11 +b11011100000001101000111010010010 , +b11011100000001101000111010010010 H +b11111 + +b11111 G +1- +1. +0% +#441000 +0] +0\ +0[ +1=" +1>" +1@" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1b +1a +12" +b11011100000001101000111010010010 &" +b11011100000001101000111010010010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +0. +1% +#441500 +b100101111011011111010001101011 2 +b100101111011011111010001101011 I +b100101111011011111010001101011 Z +b1011 3 +b1011 J +b1011 Y +b100101111011011111010001101011 , +b100101111011011111010001101011 H +b1011 + +b1011 G +1. +0% +#442000 +1_ +1c +1@" +1=" +0>" +b10100 3" +0<" +0` +0d +b100101111011011111010001101011 &" +b100101111011011111010001101011 ?" +b1011 %" +b1011 *" +b1011 1" +0. +1% +#442010 +b100101111011011111010001101011 N" +#442500 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#443000 +1] +b1101100101100011000110001011010 | +b1101100101100011000110001011010 B" +1\ +1[ +0=" +0@" +0r +0q +17" +b11111 3" +0c +0_ +b11100 -" +1u +0b +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#443500 +b0 7 +b0 N +b0 V +06 +b1100100000110010011011100110011 2 +b1100100000110010011011100110011 I +b1100100000110010011011100110011 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 & +b0 C +0( +b1100100000110010011011100110011 , +b1100100000110010011011100110011 H +b10010 + +b10010 G +1- +1. +0% +#444000 +0\ +1@" +1r +1q +07" +b1101 3" +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0w +0," +b1101100101100011000110001011010 0 +b1101100101100011000110001011010 M +b1101100101100011000110001011010 f +b1101100101100011000110001011010 !" +b1100100000110010011011100110011 &" +b1100100000110010011011100110011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#444010 +b1100100000110010011011100110011 U" +#444500 +b11001 5 +b11001 L +b11001 W +14 +b1110101100111000000010100111010 2 +b1110101100111000000010100111010 I +b1110101100111000000010100111010 Z +b110 3 +b110 J +b110 Y +b11001 ' +b11001 D +1) +b1110101100111000000010100111010 , +b1110101100111000000010100111010 H +b110 + +b110 G +1. +0% +#445000 +1_ +0] +0i +b111011010000100101100001110111 { +b111011010000100101100001110111 A" +1@" +1>" +0g +19" +1;" +b11001 3" +0<" +0` +1^ +b110 0" +1o +0k +18" +1l +1m +1/" +b1110101100111000000010100111010 &" +b1110101100111000000010100111010 ?" +b110 %" +b110 *" +b110 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#445010 +b1110101100111000000010100111010 I" +#445500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b1011111000100011000001111001010 2 +b1011111000100011000001111001010 I +b1011111000100011000001111001010 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b1011111000100011000001111001010 , +b1011111000100011000001111001010 H +b11111 + +b11111 G +1. +0% +#446000 +0_ +0^ +1i +0s +b11011101101001101010011011011000 | +b11011101101001101010011011011000 B" +0[ +1=" +1g +09" +0;" +0r +16" +17" +b0 3" +1<" +1` +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1001 -" +1t +0u +14" +1v +1a +0m +0/" +1x +1," +b111011010000100101100001110111 / +b111011010000100101100001110111 K +b111011010000100101100001110111 e +b111011010000100101100001110111 "" +b1011111000100011000001111001010 &" +b1011111000100011000001111001010 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#446500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#447000 +1] +0i +b10000011011000100100001001001000 { +b10000011011000100100001001001000 A" +1s +1\ +1[ +0=" +0>" +0@" +19" +1;" +1r +06" +07" +b11111 3" +0d +0<" +0` +b111 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011101101001101010011011011000 0 +b11011101101001101010011011011000 M +b11011101101001101010011011011000 f +b11011101101001101010011011011000 !" +0. +1% +#447500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#448000 +1i +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0o +08" +0l +0/" +b10000011011000100100001001001000 / +b10000011011000100100001001001000 K +b10000011011000100100001001001000 e +b10000011011000100100001001001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#448500 +b1101110101110100100110110111100 2 +b1101110101110100100110110111100 I +b1101110101110100100110110111100 Z +b1000 3 +b1000 J +b1000 Y +11 +b1101110101110100100110110111100 , +b1101110101110100100110110111100 H +b1000 + +b1000 G +1- +1. +0% +#449000 +0] +1=" +1@" +b10111 3" +1c +1_ +12" +b1101110101110100100110110111100 &" +b1101110101110100100110110111100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#449010 +b1101110101110100100110110111100 K" +#449500 +b1101 5 +b1101 L +b1101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#450000 +1] +0i +b110000010110011001010010011 { +b110000010110011001010010011 A" +0=" +0@" +0g +19" +1:" +1;" +b11111 3" +0c +0_ +b10010 0" +0j +0o +1p +1k +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#450500 +b101 5 +b101 L +b101 W +b1010100010111011000110100110001 2 +b1010100010111011000110100110001 I +b1010100010111011000110100110001 Z +b11110 3 +b11110 J +b11110 Y +11 +b101 ' +b101 D +b1010100010111011000110100110001 , +b1010100010111011000110100110001 H +b11110 + +b11110 G +1- +1. +0% +#451000 +0] +1j +b1100010101111001011111001101 { +b1100010101111001011111001101 A" +0\ +1=" +1>" +1@" +09" +1:" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11010 0" +0p +1b +12" +b1010100010111011000110100110001 &" +b1010100010111011000110100110001 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b101 ~ +b101 )" +b101 ." +b110000010110011001010010011 / +b110000010110011001010010011 K +b110000010110011001010010011 e +b110000010110011001010010011 "" +0. +1% +#451010 +b1010100010111011000110100110001 a" +#451500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#452000 +1] +1i +1\ +0=" +0>" +0@" +1g +0:" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0m +0/" +b1100010101111001011111001101 / +b1100010101111001011111001101 K +b1100010101111001011111001101 e +b1100010101111001011111001101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#452500 +b1000 5 +b1000 L +b1000 W +14 +b1010 7 +b1010 N +b1010 V +16 +b1111010001100010101011111111100 2 +b1111010001100010101011111111100 I +b1111010001100010101011111111100 Z +b11010 3 +b11010 J +b11010 Y +11 +b1000 ' +b1000 D +1) +b1010 & +b1010 C +1( +b1111010001100010101011111111100 , +b1111010001100010101011111111100 H +b11010 + +b11010 G +1- +1. +0% +#453000 +0] +0i +b1101110101110100100110110111100 { +b1101110101110100100110110111100 A" +0s +b10011011000010100001110011000111 | +b10011011000010100001110011000111 B" +0\ +1=" +1@" +19" +1;" +0r +15" +17" +b101 3" +1c +0_ +1<" +1` +b10111 0" +1o +1k +b10101 -" +1y +1u +1b +12" +1/" +1x +1," +b1111010001100010101011111111100 &" +b1111010001100010101011111111100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#453010 +b1111010001100010101011111111100 ]" +#453500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#454000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +0=" +0@" +09" +0;" +1r +05" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0o +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0/" +0x +0," +b1101110101110100100110110111100 / +b1101110101110100100110110111100 K +b1101110101110100100110110111100 e +b1101110101110100100110110111100 "" +b10011011000010100001110011000111 0 +b10011011000010100001110011000111 M +b10011011000010100001110011000111 f +b10011011000010100001110011000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#454500 +b10100101111000010011100110010011 2 +b10100101111000010011100110010011 I +b10100101111000010011100110010011 Z +b1111 3 +b1111 J +b1111 Y +11 +b10100101111000010011100110010011 , +b10100101111000010011100110010011 H +b1111 + +b1111 G +1- +1. +0% +#455000 +0] +0\ +0[ +1=" +1>" +1@" +b10000 3" +0^ +0c +1d +1_ +1b +1a +12" +b10100101111000010011100110010011 &" +b10100101111000010011100110010011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#455010 +b10100101111000010011100110010011 R" +#455500 +b1010 5 +b1010 L +b1010 W +14 +b1001101010111011010101011101010 2 +b1001101010111011010101011101010 I +b1001101010111011010101011101010 Z +b1000 3 +b1000 J +b1000 Y +b1010 ' +b1010 D +1) +b1001101010111011010101011101010 , +b1001101010111011010101011101010 H +b1000 + +b1000 G +1. +0% +#456000 +1c +0i +b10011011000010100001110011000111 { +b10011011000010100001110011000111 A" +1\ +1[ +1=" +0>" +0h +19" +1;" +b10111 3" +0d +b10101 0" +1o +1k +0b +0a +1n +1/" +b1001101010111011010101011101010 &" +b1001101010111011010101011101010 ?" +b1000 %" +b1000 *" +b1000 1" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#456010 +b1001101010111011010101011101010 K" +#456500 +b10111 5 +b10111 L +b10111 W +b10111000011101101101110101110110 2 +b10111000011101101101110101110110 I +b10111000011101101101110101110110 Z +b11011 3 +b11011 J +b11011 Y +b10111 ' +b10111 D +b10111000011101101101110101110110 , +b10111000011101101101110101110110 H +b11011 + +b11011 G +1. +0% +#457000 +1:" +0_ +0k +1j +0\ +0[ +0g +09" +b100 3" +1<" +1` +b1000 0" +18" +1l +0o +b1111111001000001010011111011 { +b1111111001000001010011111011 A" +1b +1a +1m +b10111000011101101101110101110110 &" +b10111000011101101101110101110110 ?" +b11011 %" +b11011 *" +b11011 1" +b10111 ~ +b10111 )" +b10111 ." +b10011011000010100001110011000111 / +b10011011000010100001110011000111 K +b10011011000010100001110011000111 e +b10011011000010100001110011000111 "" +0. +1% +#457010 +b10111000011101101101110101110110 ^" +#457500 +b0 5 +b0 L +b0 W +04 +b11010001110110100001111111100000 2 +b11010001110110100001111111100000 I +b11010001110110100001111111100000 Z +b1001 3 +b1001 J +b1001 Y +b0 ' +b0 D +0) +b11010001110110100001111111100000 , +b11010001110110100001111111100000 H +b1001 + +b1001 G +1. +0% +#458000 +1_ +1i +1\ +1@" +1h +1g +0:" +0;" +b10110 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0n +0m +0/" +b1111111001000001010011111011 / +b1111111001000001010011111011 K +b1111111001000001010011111011 e +b1111111001000001010011111011 "" +b11010001110110100001111111100000 &" +b11010001110110100001111111100000 ?" +b1001 %" +b1001 *" +b1001 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#458010 +b11010001110110100001111111100000 L" +#458500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#459000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#459500 +1. +0% +#460000 +0. +1% +#460500 +b11001 5 +b11001 L +b11001 W +14 +b11001 7 +b11001 N +b11001 V +16 +b11001 ' +b11001 D +1) +b11001 & +b11001 C +1( +1. +0% +#461000 +0i +b111011010000100101100001110111 { +b111011010000100101100001110111 A" +0s +b111011010000100101100001110111 | +b111011010000100101100001110111 B" +0g +19" +1;" +0q +15" +17" +b110 0" +1o +0k +18" +1l +b110 -" +1y +0u +14" +1v +1m +1/" +1w +1," +b11001 ~ +b11001 )" +b11001 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#461500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#462000 +1i +1s +1g +09" +0;" +1q +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0w +0," +b111011010000100101100001110111 / +b111011010000100101100001110111 K +b111011010000100101100001110111 e +b111011010000100101100001110111 "" +b111011010000100101100001110111 0 +b111011010000100101100001110111 M +b111011010000100101100001110111 f +b111011010000100101100001110111 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#462500 +1. +0% +#463000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#463500 +b10100000101000000011011001110100 2 +b10100000101000000011011001110100 I +b10100000101000000011011001110100 Z +b10001 3 +b10001 J +b10001 Y +11 +b10100000101000000011011001110100 , +b10100000101000000011011001110100 H +b10001 + +b10001 G +1- +1. +0% +#464000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b10100000101000000011011001110100 &" +b10100000101000000011011001110100 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0. +1% +#464010 +b10100000101000000011011001110100 T" +#464500 +b100 5 +b100 L +b100 W +14 +b1011 7 +b1011 N +b1011 V +16 +b10101000100001010100001011110001 2 +b10101000100001010100001011110001 I +b10101000100001010100001011110001 Z +b110 3 +b110 J +b110 Y +b100 ' +b100 D +1) +b1011 & +b1011 C +1( +b10101000100001010100001011110001 , +b10101000100001010100001011110001 H +b110 + +b110 G +1. +0% +#465000 +1_ +0] +0i +b1011011010011011100111110111 { +b1011011010011011100111110111 A" +0s +b100101111011011111010001101011 | +b100101111011011111010001101011 B" +0\ +1[ +1@" +1>" +1:" +1;" +0r +0q +15" +17" +b11001 3" +0<" +0` +1^ +b11011 0" +1j +1k +b10100 -" +1y +1u +1b +0a +1/" +1x +1w +1," +b10101000100001010100001011110001 &" +b10101000100001010100001011110001 ?" +b110 %" +b110 *" +b110 1" +b100 ~ +b100 )" +b100 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#465010 +b10101000100001010100001011110001 I" +#465500 +b11001 5 +b11001 L +b11001 W +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#466000 +19" +16" +1] +0k +1o +1t +1\ +0>" +0@" +0g +0:" +1q +05" +b11111 3" +0^ +0_ +b110 0" +18" +1l +0j +b111011010000100101100001110111 { +b111011010000100101100001110111 A" +b11001 -" +0y +b10101000100001010100001011110001 | +b10101000100001010100001011110001 B" +0b +02" +1m +0w +b1011011010011011100111110111 / +b1011011010011011100111110111 K +b1011011010011011100111110111 e +b1011011010011011100111110111 "" +b100101111011011111010001101011 0 +b100101111011011111010001101011 M +b100101111011011111010001101011 f +b100101111011011111010001101011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +b110 } +b110 (" +b110 +" +0. +1% +#466500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +b0 ' +b0 D +0) +b1011 & +b1011 C +1. +0% +#467000 +15" +1i +1y +1g +09" +0;" +0q +06" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +0t +b100101111011011111010001101011 | +b100101111011011111010001101011 B" +0m +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +b111011010000100101100001110111 / +b111011010000100101100001110111 K +b111011010000100101100001110111 e +b111011010000100101100001110111 "" +b10101000100001010100001011110001 0 +b10101000100001010100001011110001 M +b10101000100001010100001011110001 f +b10101000100001010100001011110001 !" +0. +1% +#467500 +b0 7 +b0 N +b0 V +06 +b10110100110110011101110010111111 2 +b10110100110110011101110010111111 I +b10110100110110011101110010111111 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 & +b0 C +0( +b10110100110110011101110010111111 , +b10110100110110011101110010111111 H +b1110 + +b1110 G +1- +1. +0% +#468000 +0] +1s +0\ +1=" +1>" +1@" +1r +1q +05" +07" +b10001 3" +0^ +0c +1d +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101111011011111010001101011 0 +b100101111011011111010001101011 M +b100101111011011111010001101011 f +b100101111011011111010001101011 !" +b10110100110110011101110010111111 &" +b10110100110110011101110010111111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#468010 +b10110100110110011101110010111111 Q" +#468500 +b10101 5 +b10101 L +b10101 W +14 +b1110101111111100111111010011 2 +b1110101111111100111111010011 I +b1110101111111100111111010011 Z +b101 3 +b101 J +b101 Y +b10101 ' +b10101 D +1) +b1110101111111100111111010011 , +b1110101111111100111111010011 H +b101 + +b101 G +1. +0% +#469000 +1^ +0i +b1101100100101101100000101101000 { +b1101100100101101100000101101000 A" +1\ +0[ +0=" +1>" +0g +1:" +1;" +b11010 3" +0d +b1010 0" +1j +0k +18" +1l +0b +1a +1m +1/" +b1110101111111100111111010011 &" +b1110101111111100111111010011 ?" +b101 %" +b101 *" +b101 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#469010 +b1110101111111100111111010011 H" +#469500 +b0 5 +b0 L +b0 W +04 +b11001001010000100011010101111 2 +b11001001010000100011010101111 I +b11001001010000100011010101111 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b11001001010000100011010101111 , +b11001001010000100011010101111 H +b11 + +b11 G +1. +0% +#470000 +1] +1i +0\ +0>" +1g +0:" +0;" +b11100 3" +0^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +0m +0/" +b1101100100101101100000101101000 / +b1101100100101101100000101101000 K +b1101100100101101100000101101000 e +b1101100100101101100000101101000 "" +b11001001010000100011010101111 &" +b11001001010000100011010101111 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#470010 +b11001001010000100011010101111 F" +#470500 +b1000 5 +b1000 L +b1000 W +14 +b11111111001000000001111010001000 2 +b11111111001000000001111010001000 I +b11111111001000000001111010001000 Z +b1010 3 +b1010 J +b1010 Y +b1000 ' +b1000 D +1) +b11111111001000000001111010001000 , +b11111111001000000001111010001000 H +b1010 + +b1010 G +1. +0% +#471000 +0] +0i +b1001101010111011010101011101010 { +b1001101010111011010101011101010 A" +1[ +1=" +19" +1;" +b10101 3" +1c +b10111 0" +1o +1k +0a +1/" +b11111111001000000001111010001000 &" +b11111111001000000001111010001000 ?" +b1010 %" +b1010 *" +b1010 1" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#471010 +b11111111001000000001111010001000 M" +#471500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#472000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10000011011000100100001001001000 | +b10000011011000100100001001001000 B" +1\ +0=" +0@" +09" +0;" +15" +17" +b11111 3" +0c +0_ +b11111 0" +0o +0k +b111 -" +1y +0u +14" +1v +0b +02" +0/" +1," +b1001101010111011010101011101010 / +b1001101010111011010101011101010 K +b1001101010111011010101011101010 e +b1001101010111011010101011101010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#472500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +1. +0% +#473000 +0i +b110000010110011001010010011 { +b110000010110011001010010011 A" +1s +0g +19" +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10010 0" +0j +0o +1p +1k +b11111 -" +0y +04" +0v +1m +1/" +0," +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000011011000100100001001001000 0 +b10000011011000100100001001001000 M +b10000011011000100100001001001000 f +b10000011011000100100001001001000 !" +0. +1% +#473500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#474000 +1i +b11000001010110011110110110001011 | +b11000001010110011110110110001011 B" +1g +09" +0:" +0;" +0r +0q +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +0m +0/" +1x +1w +1," +b110000010110011001010010011 / +b110000010110011001010010011 K +b110000010110011001010010011 e +b110000010110011001010010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#474500 +b10001 7 +b10001 N +b10001 V +b10001 & +b10001 C +1. +0% +#475000 +1r +b1110 -" +b10100000101000000011011001110100 | +b10100000101000000011011001110100 B" +0x +b10001 } +b10001 (" +b10001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000001010110011110110110001011 0 +b11000001010110011110110110001011 M +b11000001010110011110110110001011 f +b11000001010110011110110110001011 !" +0. +1% +#475500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b10111010101110010100110001111000 2 +b10111010101110010100110001111000 I +b10111010101110010100110001111000 Z +b11011 3 +b11011 J +b11011 Y +11 +b111 ' +b111 D +1) +b0 & +b0 C +0( +b10111010101110010100110001111000 , +b10111010101110010100110001111000 H +b11011 + +b11011 G +1- +1. +0% +#476000 +0] +0i +b11100100010101100001101010001010 { +b11100100010101100001101010001010 A" +0\ +0[ +1=" +1@" +0h +0g +1:" +1;" +1q +07" +b100 3" +1c +0_ +1<" +1` +b11000 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1m +1/" +0w +0," +b10100000101000000011011001110100 0 +b10100000101000000011011001110100 M +b10100000101000000011011001110100 f +b10100000101000000011011001110100 !" +b10111010101110010100110001111000 &" +b10111010101110010100110001111000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#476010 +b10111010101110010100110001111000 ^" +#476500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#477000 +1] +1i +0s +b1011001011101001001000010001110 | +b1011001011101001001000010001110 B" +1\ +1[ +0=" +0@" +1h +1g +0:" +0;" +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1011 -" +1t +0u +14" +1v +0b +0a +02" +0n +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b11100100010101100001101010001010 / +b11100100010101100001101010001010 K +b11100100010101100001101010001010 e +b11100100010101100001101010001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#477500 +b1010 5 +b1010 L +b1010 W +14 +b1111 7 +b1111 N +b1111 V +b10000100001101100101011000011010 2 +b10000100001101100101011000011010 I +b10000100001101100101011000011010 Z +b111 3 +b111 J +b111 Y +11 +b1010 ' +b1010 D +1) +b1111 & +b1111 C +b10000100001101100101011000011010 , +b10000100001101100101011000011010 H +b111 + +b111 G +1- +1. +0% +#478000 +0] +0i +b11111111001000000001111010001000 { +b11111111001000000001111010001000 A" +1u +0t +0\ +0[ +1>" +1@" +0h +19" +1;" +0r +0q +17" +15" +b11000 3" +1^ +1_ +b10101 0" +1o +1k +b10000 -" +04" +0v +1z +b10100101111000010011100110010011 | +b10100101111000010011100110010011 B" +1b +1a +12" +1n +1/" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011001011101001001000010001110 0 +b1011001011101001001000010001110 M +b1011001011101001001000010001110 f +b1011001011101001001000010001110 !" +b10000100001101100101011000011010 &" +b10000100001101100101011000011010 ?" +b111 %" +b111 *" +b111 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b1111 } +b1111 (" +b1111 +" +0. +1% +#478010 +b10000100001101100101011000011010 J" +#478500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#479000 +1] +0k +1s +1\ +1[ +0>" +0@" +1h +0g +1r +1q +05" +06" +07" +b11111 3" +0^ +0_ +b110 0" +18" +1l +b111011010000100101100001110111 { +b111011010000100101100001110111 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +1m +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +b11111111001000000001111010001000 / +b11111111001000000001111010001000 K +b11111111001000000001111010001000 e +b11111111001000000001111010001000 "" +b10100101111000010011100110010011 0 +b10100101111000010011100110010011 M +b10100101111000010011100110010011 f +b10100101111000010011100110010011 !" +0. +1% +#479500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#480000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b111011010000100101100001110111 / +b111011010000100101100001110111 K +b111011010000100101100001110111 e +b111011010000100101100001110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#480500 +b11001101100100000111010010111110 2 +b11001101100100000111010010111110 I +b11001101100100000111010010111110 Z +b11010 3 +b11010 J +b11010 Y +11 +b11001101100100000111010010111110 , +b11001101100100000111010010111110 H +b11010 + +b11010 G +1- +1. +0% +#481000 +0] +0\ +1=" +1@" +b101 3" +1c +0_ +1<" +1` +1b +12" +b11001101100100000111010010111110 &" +b11001101100100000111010010111110 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#481010 +b11001101100100000111010010111110 ]" +#481500 +b11001 5 +b11001 L +b11001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#482000 +1] +0i +b111011010000100101100001110111 { +b111011010000100101100001110111 A" +1\ +0=" +0@" +0g +19" +1;" +b11111 3" +0c +0<" +0` +b110 0" +1o +0k +18" +1l +0b +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#482500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b10010011010111101001111001101 2 +b10010011010111101001111001101 I +b10010011010111101001111001101 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b110 & +b110 C +1( +b10010011010111101001111001101 , +b10010011010111101001111001101 H +b101 + +b101 G +1- +1. +0% +#483000 +0] +1i +0s +b10101000100001010100001011110001 | +b10101000100001010100001011110001 B" +0[ +1>" +1@" +1g +09" +0;" +0r +16" +17" +b11010 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +1t +1u +1a +12" +0m +0/" +1x +1," +b10010011010111101001111001101 &" +b10010011010111101001111001101 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +b111011010000100101100001110111 / +b111011010000100101100001110111 K +b111011010000100101100001110111 e +b111011010000100101100001110111 "" +0. +1% +#483010 +b10010011010111101001111001101 H" +#483500 +b1100 7 +b1100 N +b1100 V +b11000100111101101110011000001001 2 +b11000100111101101110011000001001 I +b11000100111101101110011000001001 Z +b10110 3 +b10110 J +b10110 Y +b1100 & +b1100 C +b11000100111101101110011000001001 , +b11000100111101101110011000001001 H +b10110 + +b10110 G +1. +0% +#484000 +0_ +0t +0\ +1[ +1r +15" +b1001 3" +1<" +1` +b10011 -" +1z +b11010111010010011111010000000010 | +b11010111010010011111010000000010 B" +1b +0a +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000100001010100001011110001 0 +b10101000100001010100001011110001 M +b10101000100001010100001011110001 f +b10101000100001010100001011110001 !" +b11000100111101101110011000001001 &" +b11000100111101101110011000001001 ?" +b10110 %" +b10110 *" +b10110 1" +b1100 } +b1100 (" +b1100 +" +0. +1% +#484010 +b11000100111101101110011000001001 Y" +#484500 +b1101 5 +b1101 L +b1101 W +14 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#485000 +1] +0i +b110000010110011001010010011 { +b110000010110011001010010011 A" +0u +1t +1\ +0>" +0@" +0g +19" +1:" +1;" +0r +05" +16" +b11111 3" +0^ +0<" +0` +b10010 0" +0j +0o +1p +1k +b1001 -" +14" +1v +0z +b11000100111101101110011000001001 | +b11000100111101101110011000001001 B" +0b +02" +1m +1/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b10110 } +b10110 (" +b10110 +" +b11010111010010011111010000000010 0 +b11010111010010011111010000000010 M +b11010111010010011111010000000010 f +b11010111010010011111010000000010 !" +0. +1% +#485500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +b0 & +b0 C +0( +1. +0% +#486000 +1i +1s +0h +09" +0:" +1r +06" +07" +b11100 0" +0p +b11001001010000100011010101111 { +b11001001010000100011010101111 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0x +0," +b110000010110011001010010011 / +b110000010110011001010010011 K +b110000010110011001010010011 e +b110000010110011001010010011 "" +b11000100111101101110011000001001 0 +b11000100111101101110011000001001 M +b11000100111101101110011000001001 f +b11000100111101101110011000001001 !" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#486500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b0 ' +b0 D +0) +b110 & +b110 C +1( +1. +0% +#487000 +0s +b10101000100001010100001011110001 | +b10101000100001010100001011110001 B" +1h +1g +0;" +0r +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11001 -" +1t +1u +0n +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +b11001001010000100011010101111 / +b11001001010000100011010101111 K +b11001001010000100011010101111 e +b11001001010000100011010101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#487500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b10000011111001100011011000010000 2 +b10000011111001100011011000010000 I +b10000011111001100011011000010000 Z +b100 3 +b100 J +b100 Y +11 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b10000011111001100011011000010000 , +b10000011111001100011011000010000 H +b100 + +b100 G +1- +1. +0% +#488000 +0] +0i +b10100101111000010011100110010011 { +b10100101111000010011100110010011 A" +1s +1>" +1@" +0h +0g +19" +1:" +1;" +1r +06" +07" +b11011 3" +1^ +1_ +b10000 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000100001010100001011110001 0 +b10101000100001010100001011110001 M +b10101000100001010100001011110001 f +b10101000100001010100001011110001 !" +b10000011111001100011011000010000 &" +b10000011111001100011011000010000 ?" +b100 %" +b100 *" +b100 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#488010 +b10000011111001100011011000010000 G" +#488500 +b1 5 +b1 L +b1 W +b111111111011011101110110010011 2 +b111111111011011101110110010011 I +b111111111011011101110110010011 Z +b11101 3 +b11101 J +b11101 Y +b1 ' +b1 D +b111111111011011101110110010011 , +b111111111011011101110110010011 H +b11101 + +b11101 G +1. +0% +#489000 +0_ +0^ +1i +0[ +1=" +1h +09" +0:" +b10 3" +1<" +1` +1d +b11110 0" +0p +b1000100101101100111011111000001 { +b1000100101101100111011111000001 A" +1a +0n +b111111111011011101110110010011 &" +b111111111011011101110110010011 ?" +b11101 %" +b11101 *" +b11101 1" +b1 ~ +b1 )" +b1 ." +b10100101111000010011100110010011 / +b10100101111000010011100110010011 K +b10100101111000010011100110010011 e +b10100101111000010011100110010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#489010 +b111111111011011101110110010011 `" +#489500 +b11 5 +b11 L +b11 W +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#490000 +1] +0s +b11000001101000011011100000000111 | +b11000001101000011011100000000111 B" +1[ +0=" +0>" +0@" +0h +15" +16" +17" +b11111 3" +0d +0<" +0` +b11100 0" +b11001001010000100011010101111 { +b11001001010000100011010101111 A" +b11 -" +0t +0y +1z +0u +14" +1v +0a +02" +1n +1," +b1000100101101100111011111000001 / +b1000100101101100111011111000001 K +b1000100101101100111011111000001 e +b1000100101101100111011111000001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#490500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11100001100001100100001001010000 2 +b11100001100001100100001001010000 I +b11100001100001100100001001010000 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11100001100001100100001001010000 , +b11100001100001100100001001010000 H +b11001 + +b11001 G +1- +1. +0% +#491000 +0] +1s +0[ +1=" +1@" +1h +1g +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b110 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +04" +0v +1a +12" +0n +0m +0/" +0," +b11100001100001100100001001010000 &" +b11100001100001100100001001010000 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001001010000100011010101111 / +b11001001010000100011010101111 K +b11001001010000100011010101111 e +b11001001010000100011010101111 "" +b11000001101000011011100000000111 0 +b11000001101000011011100000000111 M +b11000001101000011011100000000111 f +b11000001101000011011100000000111 !" +0. +1% +#491010 +b11100001100001100100001001010000 \" +#491500 +b11110 7 +b11110 N +b11110 V +16 +b100011011000011010001110001001 2 +b100011011000011010001110001001 I +b100011011000011010001110001001 Z +b11100 3 +b11100 J +b11100 Y +b11110 & +b11110 C +1( +b100011011000011010001110001001 , +b100011011000011010001110001001 H +b11100 + +b11100 G +1. +0% +#492000 +0c +0s +b1010100010111011000110100110001 | +b1010100010111011000110100110001 B" +1[ +1>" +0r +15" +16" +17" +b11 3" +1d +b1 -" +0t +0y +1z +0u +14" +1v +0a +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100011011000011010001110001001 &" +b100011011000011010001110001001 ?" +b11100 %" +b11100 *" +b11100 1" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#492010 +b100011011000011010001110001001 _" +#492500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#493000 +1] +1s +0=" +0>" +0@" +1r +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1010100010111011000110100110001 0 +b1010100010111011000110100110001 M +b1010100010111011000110100110001 f +b1010100010111011000110100110001 !" +0. +1% +#493500 +b1110 7 +b1110 N +b1110 V +16 +b10011011011100110101001011011000 2 +b10011011011100110101001011011000 I +b10011011011100110101001011011000 Z +b11 3 +b11 J +b11 Y +11 +b1110 & +b1110 C +1( +b10011011011100110101001011011000 , +b10011011011100110101001011011000 H +b11 + +b11 G +1- +1. +0% +#494000 +0s +b10110100110110011101110010111111 | +b10110100110110011101110010111111 B" +0\ +0[ +1@" +0r +15" +16" +17" +b11100 3" +1_ +b10001 -" +0t +0y +1z +1u +1b +1a +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011011011100110101001011011000 &" +b10011011011100110101001011011000 ?" +b11 %" +b11 *" +b11 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#494010 +b10011011011100110101001011011000 F" +#494500 +b0 7 +b0 N +b0 V +06 +b11000101110111001101010110110100 2 +b11000101110111001101010110110100 I +b11000101110111001101010110110100 Z +b10001 3 +b10001 J +b10001 Y +b0 & +b0 C +0( +b11000101110111001101010110110100 , +b11000101110111001101010110110100 H +b10001 + +b10001 G +1. +0% +#495000 +0_ +1s +1\ +1r +05" +06" +07" +b1110 3" +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0x +0," +b11000101110111001101010110110100 &" +b11000101110111001101010110110100 ?" +b10001 %" +b10001 *" +b10001 1" +b0 } +b0 (" +b0 +" +0#" +b10110100110110011101110010111111 0 +b10110100110110011101110010111111 M +b10110100110110011101110010111111 f +b10110100110110011101110010111111 !" +0. +1% +#495010 +b11000101110111001101010110110100 T" +#495500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#496000 +1[ +0@" +b11111 3" +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#496500 +1. +0% +#497000 +0. +1% +#497500 +1. +0% +#498000 +0. +1% +#498500 +b11010000001000001000110101110001 2 +b11010000001000001000110101110001 I +b11010000001000001000110101110001 Z +b1001 3 +b1001 J +b1001 Y +11 +b11010000001000001000110101110001 , +b11010000001000001000110101110001 H +b1001 + +b1001 G +1- +1. +0% +#499000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b11010000001000001000110101110001 &" +b11010000001000001000110101110001 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +0. +1% +#499010 +b11010000001000001000110101110001 L" +#499500 +b10010001010111001010011001100110 2 +b10010001010111001010011001100110 I +b10010001010111001010011001100110 Z +b1 3 +b1 J +b1 Y +b10010001010111001010011001100110 , +b10010001010111001010011001100110 H +b1 + +b1 G +1. +0% +#500000 +1] +0=" +b11110 3" +0c +b10010001010111001010011001100110 &" +b10010001010111001010011001100110 ?" +b1 %" +b1 *" +b1 1" +0. +1% +#500010 +b10010001010111001010011001100110 D" +#500500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#501000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#501500 +b111 7 +b111 N +b111 V +16 +b10100010001101110100100111101000 2 +b10100010001101110100100111101000 I +b10100010001101110100100111101000 Z +b11101 3 +b11101 J +b11101 Y +11 +b111 & +b111 C +1( +b10100010001101110100100111101000 , +b10100010001101110100100111101000 H +b11101 + +b11101 G +1- +1. +0% +#502000 +0] +0s +b10000100001101100101011000011010 | +b10000100001101100101011000011010 B" +0[ +1=" +1>" +1@" +0r +0q +16" +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11000 -" +1t +1u +1a +12" +1x +1w +1," +b10100010001101110100100111101000 &" +b10100010001101110100100111101000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#502010 +b10100010001101110100100111101000 `" +#502500 +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#503000 +15" +1] +1y +1[ +0=" +0>" +0@" +1q +06" +b11111 3" +0d +0<" +0` +b10101 -" +0t +b11111111001000000001111010001000 | +b11111111001000000001111010001000 B" +0a +02" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 } +b1010 (" +b1010 +" +b10000100001101100101011000011010 0 +b10000100001101100101011000011010 M +b10000100001101100101011000011010 f +b10000100001101100101011000011010 !" +0. +1% +#503500 +b111 7 +b111 N +b111 V +b1001010101101110100101001100100 2 +b1001010101101110100101001100100 I +b1001010101101110100101001100100 Z +b10 3 +b10 J +b10 Y +11 +b111 & +b111 C +b1001010101101110100101001100100 , +b1001010101101110100101001100100 H +b10 + +b10 G +1- +1. +0% +#504000 +16" +1t +0\ +1@" +0q +05" +b11101 3" +1_ +b11000 -" +0y +b10000100001101100101011000011010 | +b10000100001101100101011000011010 B" +1b +12" +1w +b11111111001000000001111010001000 0 +b11111111001000000001111010001000 M +b11111111001000000001111010001000 f +b11111111001000000001111010001000 !" +b1001010101101110100101001100100 &" +b1001010101101110100101001100100 ?" +b10 %" +b10 *" +b10 1" +1'" +b111 } +b111 (" +b111 +" +0. +1% +#504010 +b1001010101101110100101001100100 E" +#504500 +b11 5 +b11 L +b11 W +14 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b11100 & +b11100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#505000 +b10011011011100110101001011011000 { +b10011011011100110101001011011000 A" +0u +0t +1\ +0@" +0h +0g +1;" +1r +1q +15" +b11111 3" +0_ +b11100 0" +1k +b11 -" +14" +1v +1z +b100011011000011010001110001001 | +b100011011000011010001110001001 B" +0b +02" +1n +1m +1/" +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +b11100 } +b11100 (" +b11100 +" +b10000100001101100101011000011010 0 +b10000100001101100101011000011010 M +b10000100001101100101011000011010 f +b10000100001101100101011000011010 !" +0. +1% +#505500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#506000 +1s +1h +1g +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +04" +0v +0n +0m +0/" +0," +b10011011011100110101001011011000 / +b10011011011100110101001011011000 K +b10011011011100110101001011011000 e +b10011011011100110101001011011000 "" +b100011011000011010001110001001 0 +b100011011000011010001110001001 M +b100011011000011010001110001001 f +b100011011000011010001110001001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#506500 +b1010111010100000111110001110010 2 +b1010111010100000111110001110010 I +b1010111010100000111110001110010 Z +b10001 3 +b10001 J +b10001 Y +11 +b1010111010100000111110001110010 , +b1010111010100000111110001110010 H +b10001 + +b10001 G +1- +1. +0% +#507000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b1010111010100000111110001110010 &" +b1010111010100000111110001110010 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#507010 +b1010111010100000111110001110010 T" +#507500 +b1111 5 +b1111 L +b1111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#508000 +0i +b10100101111000010011100110010011 { +b10100101111000010011100110010011 A" +1[ +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0<" +0` +b10000 0" +0j +0o +1p +1k +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#508500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#509000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10100101111000010011100110010011 / +b10100101111000010011100110010011 K +b10100101111000010011100110010011 e +b10100101111000010011100110010011 "" +0. +1% +#509500 +1. +0% +#510000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#510500 +1. +0% +#511000 +0. +1% +#511500 +b1011101101100000001011010011000 2 +b1011101101100000001011010011000 I +b1011101101100000001011010011000 Z +b10111 3 +b10111 J +b10111 Y +11 +b1011101101100000001011010011000 , +b1011101101100000001011010011000 H +b10111 + +b10111 G +1- +1. +0% +#512000 +0] +0\ +0[ +1>" +1@" +b1000 3" +1^ +0_ +1<" +1` +1b +1a +12" +b1011101101100000001011010011000 &" +b1011101101100000001011010011000 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +0. +1% +#512010 +b1011101101100000001011010011000 Z" +#512500 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#513000 +1] +0s +b10100101111000010011100110010011 | +b10100101111000010011100110010011 B" +1\ +1[ +0>" +0@" +0r +0q +15" +16" +17" +b11111 3" +0^ +0<" +0` +b10000 -" +0t +0y +1z +1u +0b +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#513500 +b0 7 +b0 N +b0 V +06 +b10101111111111011011101111001001 2 +b10101111111111011011101111001001 I +b10101111111111011011101111001001 Z +b100 3 +b100 J +b100 Y +11 +b0 & +b0 C +0( +b10101111111111011011101111001001 , +b10101111111111011011101111001001 H +b100 + +b100 G +1- +1. +0% +#514000 +0] +1s +1>" +1@" +1r +1q +05" +06" +07" +b11011 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0x +0w +0," +b10100101111000010011100110010011 0 +b10100101111000010011100110010011 M +b10100101111000010011100110010011 f +b10100101111000010011100110010011 !" +b10101111111111011011101111001001 &" +b10101111111111011011101111001001 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#514010 +b10101111111111011011101111001001 G" +#514500 +b1011 5 +b1011 L +b1011 W +14 +b10000 7 +b10000 N +b10000 V +16 +b10011100010001000010010011110 2 +b10011100010001000010010011110 I +b10011100010001000010010011110 Z +b1010 3 +b1010 J +b1010 Y +b1011 ' +b1011 D +1) +b10000 & +b10000 C +1( +b10011100010001000010010011110 , +b10011100010001000010010011110 H +b1010 + +b1010 G +1. +0% +#515000 +1=" +1c +0i +b100101111011011111010001101011 { +b100101111011011111010001101011 A" +b11011001010011011101110011100010 | +b11011001010011011101110011100010 B" +0\ +0>" +0h +0g +19" +1;" +17" +b10101 3" +0^ +b10100 0" +1o +1k +b1111 -" +0u +14" +1v +1b +1n +1m +1/" +1," +b10011100010001000010010011110 &" +b10011100010001000010010011110 ?" +b1010 %" +b1010 *" +b1010 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#515010 +b10011100010001000010010011110 M" +#515500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#516000 +1] +1i +1\ +0=" +0@" +1h +1g +09" +0;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +04" +0v +0b +02" +0n +0m +0/" +0," +b100101111011011111010001101011 / +b100101111011011111010001101011 K +b100101111011011111010001101011 e +b100101111011011111010001101011 "" +b11011001010011011101110011100010 0 +b11011001010011011101110011100010 M +b11011001010011011101110011100010 f +b11011001010011011101110011100010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#516500 +b100100101010000110011011100001 2 +b100100101010000110011011100001 I +b100100101010000110011011100001 Z +b11011 3 +b11011 J +b11011 Y +11 +b100100101010000110011011100001 , +b100100101010000110011011100001 H +b11011 + +b11011 G +1- +1. +0% +#517000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b100100101010000110011011100001 &" +b100100101010000110011011100001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#517010 +b100100101010000110011011100001 ^" +#517500 +b1010 5 +b1010 L +b1010 W +14 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#518000 +1] +0i +b10011100010001000010010011110 { +b10011100010001000010010011110 A" +b10010001010111001010011001100110 | +b10010001010111001010011001100110 B" +1\ +1[ +0=" +0@" +0h +19" +1;" +0q +17" +b11111 3" +0c +0<" +0` +b10101 0" +1o +1k +b11110 -" +1u +0b +0a +02" +1n +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#518500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +b0 & +b0 C +0( +1. +0% +#519000 +1:" +1j +b10101000100001010100001011110001 { +b10101000100001010100001011110001 A" +09" +1q +07" +b11001 0" +0o +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +b10011100010001000010010011110 / +b10011100010001000010010011110 K +b10011100010001000010010011110 e +b10011100010001000010010011110 "" +b10010001010111001010011001100110 0 +b10010001010111001010011001100110 M +b10010001010111001010011001100110 f +b10010001010111001010011001100110 !" +0. +1% +#519500 +b100 5 +b100 L +b100 W +b10110 7 +b10110 N +b10110 V +16 +b100 ' +b100 D +b10110 & +b10110 C +1( +1. +0% +#520000 +0s +b11000100111101101110011000001001 | +b11000100111101101110011000001001 B" +1h +0r +16" +17" +b11011 0" +b10101111111111011011101111001001 { +b10101111111111011011101111001001 A" +b1001 -" +1t +0u +14" +1v +0n +1x +1," +b10101000100001010100001011110001 / +b10101000100001010100001011110001 K +b10101000100001010100001011110001 e +b10101000100001010100001011110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100 ~ +b100 )" +b100 ." +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#520500 +b10000 5 +b10000 L +b10000 W +b0 7 +b0 N +b0 V +06 +b10000 ' +b10000 D +b0 & +b0 C +0( +1. +0% +#521000 +0k +1i +1s +b11011001010011011101110011100010 { +b11011001010011011101110011100010 A" +0:" +1r +06" +07" +b1111 0" +18" +1l +0j +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b10000 ~ +b10000 )" +b10000 ." +b0 } +b0 (" +b0 +" +0#" +b10101111111111011011101111001001 / +b10101111111111011011101111001001 K +b10101111111111011011101111001001 e +b10101111111111011011101111001001 "" +b11000100111101101110011000001001 0 +b11000100111101101110011000001001 M +b11000100111101101110011000001001 f +b11000100111101101110011000001001 !" +0. +1% +#521500 +b1011 5 +b1011 L +b1011 W +b1011 ' +b1011 D +1. +0% +#522000 +1k +0i +0h +0g +1;" +19" +b10100 0" +08" +0l +1o +b100101111011011111010001101011 { +b100101111011011111010001101011 A" +1n +1m +b11011001010011011101110011100010 / +b11011001010011011101110011100010 K +b11011001010011011101110011100010 e +b11011001010011011101110011100010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#522500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b10001111110101110001110101100011 2 +b10001111110101110001110101100011 I +b10001111110101110001110101100011 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b10001111110101110001110101100011 , +b10001111110101110001110101100011 H +b10100 + +b10100 G +1- +1. +0% +#523000 +0] +1i +0s +b10100010001101110100100111101000 | +b10100010001101110100100111101000 B" +1>" +1@" +1h +1g +09" +0;" +0q +15" +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +0t +0y +1z +0u +14" +1v +12" +0n +0m +0/" +1w +1," +b10001111110101110001110101100011 &" +b10001111110101110001110101100011 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +b100101111011011111010001101011 / +b100101111011011111010001101011 K +b100101111011011111010001101011 e +b100101111011011111010001101011 "" +0. +1% +#523010 +b10001111110101110001110101100011 W" +#523500 +b0 7 +b0 N +b0 V +06 +b11000110100011000100100100101101 2 +b11000110100011000100100100101101 I +b11000110100011000100100100101101 Z +b1110 3 +b1110 J +b1110 Y +b0 & +b0 C +0( +b11000110100011000100100100101101 , +b11000110100011000100100100101101 H +b1110 + +b1110 G +1. +0% +#524000 +1_ +0^ +1s +0\ +1@" +1=" +1q +05" +06" +07" +b10001 3" +0<" +0` +1d +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100010001101110100100111101000 0 +b10100010001101110100100111101000 M +b10100010001101110100100111101000 f +b10100010001101110100100111101000 !" +b11000110100011000100100100101101 &" +b11000110100011000100100100101101 ?" +b1110 %" +b1110 *" +b1110 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#524010 +b11000110100011000100100100101101 Q" +#524500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#525000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#525500 +b10101 5 +b10101 L +b10101 W +14 +16 +b10101 ' +b10101 D +1) +1( +1. +0% +#526000 +0i +b1101100100101101100000101101000 { +b1101100100101101100000101101000 A" +b11001111111010001010101010001111 | +b11001111111010001010101010001111 B" +0g +1:" +1;" +17" +b1010 0" +1j +0k +18" +1l +1u +1m +1/" +1," +b10101 ~ +b10101 )" +b10101 ." +1$" +1#" +0. +1% +#526500 +b11000 5 +b11000 L +b11000 W +b100 7 +b100 N +b100 V +b11000 ' +b11000 D +b100 & +b100 C +1. +0% +#527000 +19" +1o +0s +b10101111111111011011101111001001 | +b10101111111111011011101111001001 B" +1g +0:" +16" +b111 0" +0j +b10000011011000100100001001001000 { +b10000011011000100100001001001000 A" +b11011 -" +1t +0m +b11000 ~ +b11000 )" +b11000 ." +b100 } +b100 (" +b100 +" +b1101100100101101100000101101000 / +b1101100100101101100000101101000 K +b1101100100101101100000101101000 e +b1101100100101101100000101101000 "" +b11001111111010001010101010001111 0 +b11001111111010001010101010001111 M +b11001111111010001010101010001111 f +b11001111111010001010101010001111 !" +0. +1% +#527500 +b1001 5 +b1001 L +b1001 W +b1111 7 +b1111 N +b1111 V +b1001 ' +b1001 D +b1111 & +b1111 C +1. +0% +#528000 +1k +0t +0g +1;" +0r +0q +15" +b10110 0" +08" +0l +b11010000001000001000110101110001 { +b11010000001000001000110101110001 A" +b10000 -" +1z +b10100101111000010011100110010011 | +b10100101111000010011100110010011 B" +1m +1x +1w +b10000011011000100100001001001000 / +b10000011011000100100001001001000 K +b10000011011000100100001001001000 e +b10000011011000100100001001001000 "" +b10101111111111011011101111001001 0 +b10101111111111011011101111001001 M +b10101111111111011011101111001001 f +b10101111111111011011101111001001 !" +b1001 ~ +b1001 )" +b1001 ." +b1111 } +b1111 (" +b1111 +" +0. +1% +#528500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#529000 +1i +1s +1g +09" +0;" +1r +1q +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11010000001000001000110101110001 / +b11010000001000001000110101110001 K +b11010000001000001000110101110001 e +b11010000001000001000110101110001 "" +b10100101111000010011100110010011 0 +b10100101111000010011100110010011 M +b10100101111000010011100110010011 f +b10100101111000010011100110010011 !" +0. +1% +#529500 +1. +0% +#530000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#530500 +1. +0% +#531000 +0. +1% +#531500 +b1000 7 +b1000 N +b1000 V +16 +b1000 & +b1000 C +1( +1. +0% +#532000 +0s +b1001101010111011010101011101010 | +b1001101010111011010101011101010 B" +15" +17" +b10111 -" +1y +1u +1," +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#532500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +1. +0% +#533000 +0i +b1001101010111011010101011101010 { +b1001101010111011010101011101010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +19" +1;" +05" +07" +b10111 0" +1o +1k +b11111 -" +0y +0u +1/" +0," +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1001101010111011010101011101010 0 +b1001101010111011010101011101010 M +b1001101010111011010101011101010 f +b1001101010111011010101011101010 !" +0. +1% +#533500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +1. +0% +#534000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11000100111101101110011000001001 | +b11000100111101101110011000001001 B" +09" +0;" +0r +16" +17" +b11111 0" +0o +0k +b1001 -" +1t +0u +14" +1v +0/" +1x +1," +b1001101010111011010101011101010 / +b1001101010111011010101011101010 K +b1001101010111011010101011101010 e +b1001101010111011010101011101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#534500 +b1 7 +b1 N +b1 V +b10110001110111000001010110100000 2 +b10110001110111000001010110100000 I +b10110001110111000001010110100000 Z +b1010 3 +b1010 J +b1010 Y +11 +b1 & +b1 C +b10110001110111000001010110100000 , +b10110001110111000001010110100000 H +b1010 + +b1010 G +1- +1. +0% +#535000 +0] +1u +1s +0\ +1=" +1@" +1r +0q +17" +06" +b10101 3" +1c +1_ +b11110 -" +04" +0v +0t +b10010001010111001010011001100110 | +b10010001010111001010011001100110 B" +1b +12" +0x +1w +b10110001110111000001010110100000 &" +b10110001110111000001010110100000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1 } +b1 (" +b1 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000100111101101110011000001001 0 +b11000100111101101110011000001001 M +b11000100111101101110011000001001 f +b11000100111101101110011000001001 !" +0. +1% +#535010 +b10110001110111000001010110100000 M" +#535500 +b1101 7 +b1101 N +b1101 V +b110111110111101100010000000011 2 +b110111110111101100010000000011 I +b110111110111101100010000000011 Z +b111 3 +b111 J +b111 Y +b1101 & +b1101 C +b110111110111101100010000000011 , +b110111110111101100010000000011 H +b111 + +b111 G +1. +0% +#536000 +1>" +1^ +0s +b110000010110011001010010011 | +b110000010110011001010010011 B" +0[ +0=" +15" +16" +b11000 3" +0c +b10010 -" +0t +0y +1z +1a +b10010001010111001010011001100110 0 +b10010001010111001010011001100110 M +b10010001010111001010011001100110 f +b10010001010111001010011001100110 !" +b110111110111101100010000000011 &" +b110111110111101100010000000011 ?" +b111 %" +b111 *" +b111 1" +b1101 } +b1101 (" +b1101 +" +0. +1% +#536010 +b110111110111101100010000000011 J" +#536500 +b10 7 +b10 N +b10 V +b1100110011011111110111100010001 2 +b1100110011011111110111100010001 I +b1100110011011111110111100010001 Z +b1 3 +b1 J +b1 Y +b10 & +b10 C +b1100110011011111110111100010001 , +b1100110011011111110111100010001 H +b1 + +b1 G +1. +0% +#537000 +1] +1s +1\ +0>" +0r +1q +05" +06" +b11110 3" +0^ +b11101 -" +0z +b1001010101101110100101001100100 | +b1001010101101110100101001100100 B" +0b +1x +0w +b1100110011011111110111100010001 &" +b1100110011011111110111100010001 ?" +b1 %" +b1 *" +b1 1" +b10 } +b10 (" +b10 +" +b110000010110011001010010011 0 +b110000010110011001010010011 M +b110000010110011001010010011 f +b110000010110011001010010011 !" +0. +1% +#537010 +b1100110011011111110111100010001 D" +#537500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b10101001010110110000111100111010 2 +b10101001010110110000111100111010 I +b10101001010110110000111100111010 Z +b11110 3 +b11110 J +b11110 Y +b11 ' +b11 D +1) +b0 & +b0 C +0( +b10101001010110110000111100111010 , +b10101001010110110000111100111010 H +b11110 + +b11110 G +1. +0% +#538000 +0_ +0] +b10011011011100110101001011011000 { +b10011011011100110101001011011000 A" +0\ +1[ +1=" +1>" +0h +0g +1;" +1r +07" +b1 3" +1<" +1` +0^ +0c +1d +b11100 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0a +1n +1m +1/" +0x +0," +b1001010101101110100101001100100 0 +b1001010101101110100101001100100 M +b1001010101101110100101001100100 f +b1001010101101110100101001100100 !" +b10101001010110110000111100111010 &" +b10101001010110110000111100111010 ?" +b11110 %" +b11110 *" +b11110 1" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#538010 +b10101001010110110000111100111010 a" +#538500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#539000 +1] +0s +b1011111000100011000001111001010 | +b1011111000100011000001111001010 B" +1\ +0=" +0>" +0@" +1h +1g +0;" +0r +0q +15" +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b0 -" +0t +0y +1z +0u +14" +1v +0b +02" +0n +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b10011011011100110101001011011000 / +b10011011011100110101001011011000 K +b10011011011100110101001011011000 e +b10011011011100110101001011011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#539500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#540000 +0i +b1011101101100000001011010011000 { +b1011101101100000001011010011000 A" +1s +0h +0g +1:" +1;" +1r +1q +05" +06" +07" +b1000 0" +1j +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011111000100011000001111001010 0 +b1011111000100011000001111001010 M +b1011111000100011000001111001010 f +b1011111000100011000001111001010 !" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#540500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +1. +0% +#541000 +1i +0s +b11100001100001100100001001010000 | +b11100001100001100100001001010000 B" +1h +1g +0:" +0;" +0q +15" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b110 -" +1y +0u +14" +1v +0n +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +b1011101101100000001011010011000 / +b1011101101100000001011010011000 K +b1011101101100000001011010011000 e +b1011101101100000001011010011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#541500 +b11000 7 +b11000 N +b11000 V +b1110101000110101000110011111011 2 +b1110101000110101000110011111011 I +b1110101000110101000110011111011 Z +b10001 3 +b10001 J +b10001 Y +11 +b11000 & +b11000 C +b1110101000110101000110011111011 , +b1110101000110101000110011111011 H +b10001 + +b10001 G +1- +1. +0% +#542000 +0[ +1@" +1q +b1110 3" +0_ +1<" +1` +b111 -" +b10000011011000100100001001001000 | +b10000011011000100100001001001000 B" +1a +12" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100001100001100100001001010000 0 +b11100001100001100100001001010000 M +b11100001100001100100001001010000 f +b11100001100001100100001001010000 !" +b1110101000110101000110011111011 &" +b1110101000110101000110011111011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11000 } +b11000 (" +b11000 +" +0. +1% +#542010 +b1110101000110101000110011111011 T" +#542500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#543000 +1s +1[ +0@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11111 -" +0y +04" +0v +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10000011011000100100001001001000 0 +b10000011011000100100001001001000 M +b10000011011000100100001001001000 f +b10000011011000100100001001001000 !" +0. +1% +#543500 +b11001 5 +b11001 L +b11001 W +14 +b11111 7 +b11111 N +b11111 V +16 +b11001 ' +b11001 D +1) +b11111 & +b11111 C +1( +1. +0% +#544000 +0i +b11100001100001100100001001010000 { +b11100001100001100100001001010000 A" +0s +b1011111000100011000001111001010 | +b1011111000100011000001111001010 B" +0g +19" +1;" +0r +0q +15" +16" +17" +b110 0" +1o +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 ~ +b11001 )" +b11001 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#544500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#545000 +1i +1s +1g +09" +0;" +1r +1q +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11100001100001100100001001010000 / +b11100001100001100100001001010000 K +b11100001100001100100001001010000 e +b11100001100001100100001001010000 "" +b1011111000100011000001111001010 0 +b1011111000100011000001111001010 M +b1011111000100011000001111001010 f +b1011111000100011000001111001010 !" +0. +1% +#545500 +b100 5 +b100 L +b100 W +14 +b10101100111101010111100111101000 2 +b10101100111101010111100111101000 I +b10101100111101010111100111101000 Z +b1101 3 +b1101 J +b1101 Y +11 +b100 ' +b100 D +1) +b10101100111101010111100111101000 , +b10101100111101010111100111101000 H +b1101 + +b1101 G +1- +1. +0% +#546000 +0] +0i +b10101111111111011011101111001001 { +b10101111111111011011101111001001 A" +0[ +1=" +1>" +1@" +1:" +1;" +b10010 3" +0^ +0c +1d +1_ +b11011 0" +1j +1k +1a +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101100111101010111100111101000 &" +b10101100111101010111100111101000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#546010 +b10101100111101010111100111101000 P" +#546500 +b11 7 +b11 N +b11 V +16 +b11001110111001010111111110011101 2 +b11001110111001010111111110011101 I +b11001110111001010111111110011101 Z +b11000 3 +b11000 J +b11000 Y +b11 & +b11 C +1( +b11001110111001010111111110011101 , +b11001110111001010111111110011101 H +b11000 + +b11000 G +1. +0% +#547000 +0_ +1c +b10011011011100110101001011011000 | +b10011011011100110101001011011000 B" +1[ +1=" +0>" +0r +0q +17" +b111 3" +1<" +1` +0d +b11100 -" +1u +0a +1x +1w +1," +b11001110111001010111111110011101 &" +b11001110111001010111111110011101 ?" +b11000 %" +b11000 *" +b11000 1" +b11 } +b11 (" +b11 +" +1#" +b10101111111111011011101111001001 / +b10101111111111011011101111001001 K +b10101111111111011011101111001001 e +b10101111111111011011101111001001 "" +0. +1% +#547010 +b11001110111001010111111110011101 [" +#547500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#548000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +0s +0=" +0@" +0:" +0;" +b1011111000100011000001111001010 | +b1011111000100011000001111001010 B" +15" +16" +b11111 3" +0c +0<" +0` +b11111 0" +0j +0k +b0 -" +14" +1v +0t +0y +1z +02" +0/" +b10011011011100110101001011011000 0 +b10011011011100110101001011011000 M +b10011011011100110101001011011000 f +b10011011011100110101001011011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#548500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +1. +0% +#549000 +0i +b10100010001101110100100111101000 { +b10100010001101110100100111101000 A" +1s +0g +19" +1:" +1;" +1r +1q +05" +06" +07" +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0w +0," +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011111000100011000001111001010 0 +b1011111000100011000001111001010 M +b1011111000100011000001111001010 f +b1011111000100011000001111001010 !" +0. +1% +#549500 +b0 5 +b0 L +b0 W +04 +b1010000011101100001110101101000 2 +b1010000011101100001110101101000 I +b1010000011101100001110101101000 Z +11 +b0 ' +b0 D +0) +b1010000011101100001110101101000 , +b1010000011101100001110101101000 H +1- +1. +0% +#550000 +1i +1@" +1g +09" +0:" +0;" +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0m +0/" +b10100010001101110100100111101000 / +b10100010001101110100100111101000 K +b10100010001101110100100111101000 e +b10100010001101110100100111101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010000011101100001110101101000 &" +b1010000011101100001110101101000 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#550010 +b1010000011101100001110101101000 C" +#550500 +b11000 5 +b11000 L +b11000 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +01 +b11000 ' +b11000 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +0- +1. +0% +#551000 +0i +b11001110111001010111111110011101 { +b11001110111001010111111110011101 A" +0s +b11100001100001100100001001010000 | +b11100001100001100100001001010000 B" +0@" +19" +1;" +0q +15" +17" +0_ +b111 0" +1o +0k +18" +1l +b110 -" +1y +0u +14" +1v +02" +1/" +1w +1," +b0 &" +b0 ?" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#551500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#552000 +1i +1s +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +07" +b11111 0" +0o +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0w +0," +b11001110111001010111111110011101 / +b11001110111001010111111110011101 K +b11001110111001010111111110011101 e +b11001110111001010111111110011101 "" +b11100001100001100100001001010000 0 +b11100001100001100100001001010000 M +b11100001100001100100001001010000 f +b11100001100001100100001001010000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#552500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#553000 +0i +b100011011000011010001110001001 { +b100011011000011010001110001001 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#553500 +b11101 5 +b11101 L +b11101 W +b10110 7 +b10110 N +b10110 V +16 +b110110010010010001100000111010 2 +b110110010010010001100000111010 I +b110110010010010001100000111010 Z +b10101 3 +b10101 J +b10101 Y +11 +b11101 ' +b11101 D +b10110 & +b10110 C +1( +b110110010010010001100000111010 , +b110110010010010001100000111010 H +b10101 + +b10101 G +1- +1. +0% +#554000 +0] +0s +b11000100111101101110011000001001 | +b11000100111101101110011000001001 B" +0[ +1>" +1@" +0g +0r +16" +17" +b1010 3" +1^ +0_ +1<" +1` +b10 0" +b10100010001101110100100111101000 { +b10100010001101110100100111101000 A" +b1001 -" +1t +0u +14" +1v +1a +12" +1m +1x +1," +b100011011000011010001110001001 / +b100011011000011010001110001001 K +b100011011000011010001110001001 e +b100011011000011010001110001001 "" +b110110010010010001100000111010 &" +b110110010010010001100000111010 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#554010 +b110110010010010001100000111010 X" +#554500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#555000 +15" +1] +1i +1y +1[ +0>" +0@" +1g +09" +0:" +0;" +1r +06" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +0t +b11001110111001010111111110011101 | +b11001110111001010111111110011101 B" +0a +02" +0m +0/" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +b10100010001101110100100111101000 / +b10100010001101110100100111101000 K +b10100010001101110100100111101000 e +b10100010001101110100100111101000 "" +b11000100111101101110011000001001 0 +b11000100111101101110011000001001 M +b11000100111101101110011000001001 f +b11000100111101101110011000001001 !" +0. +1% +#555500 +b1110 7 +b1110 N +b1110 V +b1110 & +b1110 C +1. +0% +#556000 +1u +0y +0r +17" +16" +b10001 -" +04" +0v +1z +b11000110100011000100100100101101 | +b11000110100011000100100100101101 B" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001110111001010111111110011101 0 +b11001110111001010111111110011101 M +b11001110111001010111111110011101 f +b11001110111001010111111110011101 !" +b1110 } +b1110 (" +b1110 +" +0. +1% +#556500 +b0 7 +b0 N +b0 V +06 +b10110000011000000101100010110 2 +b10110000011000000101100010110 I +b10110000011000000101100010110 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 & +b0 C +0( +b10110000011000000101100010110 , +b10110000011000000101100010110 H +b10100 + +b10100 G +1- +1. +0% +#557000 +0] +1s +1>" +1@" +1r +05" +06" +07" +b1011 3" +1^ +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0x +0," +b10110000011000000101100010110 &" +b10110000011000000101100010110 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11000110100011000100100100101101 0 +b11000110100011000100100100101101 M +b11000110100011000100100100101101 f +b11000110100011000100100100101101 !" +0. +1% +#557010 +b10110000011000000101100010110 W" +#557500 +b11101 5 +b11101 L +b11101 W +14 +b1110 7 +b1110 N +b1110 V +16 +b11101001100101110100010110001111 2 +b11101001100101110100010110001111 I +b11101001100101110100010110001111 Z +b11000 3 +b11000 J +b11000 Y +b11101 ' +b11101 D +1) +b1110 & +b1110 C +1( +b11101001100101110100010110001111 , +b11101001100101110100010110001111 H +b11000 + +b11000 G +1. +0% +#558000 +1=" +1c +0i +b10100010001101110100100111101000 { +b10100010001101110100100111101000 A" +0s +b11000110100011000100100100101101 | +b11000110100011000100100100101101 B" +0>" +0g +19" +1:" +1;" +0r +15" +16" +17" +b111 3" +0^ +b10 0" +0j +0o +1p +0k +18" +1l +b10001 -" +0t +0y +1z +1u +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101001100101110100010110001111 &" +b11101001100101110100010110001111 ?" +b11000 %" +b11000 *" +b11000 1" +b11101 ~ +b11101 )" +b11101 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#558010 +b11101001100101110100010110001111 [" +#558500 +b1000 5 +b1000 L +b1000 W +b10101 7 +b10101 N +b10101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +b10101 & +b10101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#559000 +1] +1k +1o +0u +1t +0=" +0@" +1g +1;" +19" +0:" +1r +0q +05" +16" +b11111 3" +0c +0<" +0` +b10111 0" +08" +0l +0p +b1001101010111011010101011101010 { +b1001101010111011010101011101010 A" +b1010 -" +14" +1v +0z +b110110010010010001100000111010 | +b110110010010010001100000111010 B" +02" +0m +0x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +b10101 } +b10101 (" +b10101 +" +b10100010001101110100100111101000 / +b10100010001101110100100111101000 K +b10100010001101110100100111101000 e +b10100010001101110100100111101000 "" +b11000110100011000100100100101101 0 +b11000110100011000100100100101101 M +b11000110100011000100100100101101 f +b11000110100011000100100100101101 !" +0. +1% +#559500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +b0 ' +b0 D +0) +b10000 & +b10000 C +1. +0% +#560000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0;" +1q +06" +b11111 0" +0o +0k +b1111 -" +0t +b11011001010011011101110011100010 | +b11011001010011011101110011100010 B" +0/" +0w +b1001101010111011010101011101010 / +b1001101010111011010101011101010 K +b1001101010111011010101011101010 e +b1001101010111011010101011101010 "" +b110110010010010001100000111010 0 +b110110010010010001100000111010 M +b110110010010010001100000111010 f +b110110010010010001100000111010 !" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +0. +1% +#560500 +b0 7 +b0 N +b0 V +06 +b1110010000011001101011110101000 2 +b1110010000011001101011110101000 I +b1110010000011001101011110101000 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 & +b0 C +0( +b1110010000011001101011110101000 , +b1110010000011001101011110101000 H +b11100 + +b11100 G +1- +1. +0% +#561000 +0] +1=" +1>" +1@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +04" +0v +12" +0," +b1110010000011001101011110101000 &" +b1110010000011001101011110101000 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011001010011011101110011100010 0 +b11011001010011011101110011100010 M +b11011001010011011101110011100010 f +b11011001010011011101110011100010 !" +0. +1% +#561010 +b1110010000011001101011110101000 _" +#561500 +b11100 5 +b11100 L +b11100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#562000 +1] +0i +b1110010000011001101011110101000 { +b1110010000011001101011110101000 A" +0=" +0>" +0@" +19" +1:" +1;" +b11111 3" +0d +0<" +0` +b11 0" +0j +0o +1p +0k +18" +1l +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#562500 +b0 5 +b0 L +b0 W +04 +b11011110110010000111111010000110 2 +b11011110110010000111111010000110 I +b11011110110010000111111010000110 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b11011110110010000111111010000110 , +b11011110110010000111111010000110 H +b11101 + +b11101 G +1- +1. +0% +#563000 +0] +1i +0[ +1=" +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +08" +0l +1a +12" +0/" +b11011110110010000111111010000110 &" +b11011110110010000111111010000110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110010000011001101011110101000 / +b1110010000011001101011110101000 K +b1110010000011001101011110101000 e +b1110010000011001101011110101000 "" +0. +1% +#563010 +b11011110110010000111111010000110 `" +#563500 +b1011 5 +b1011 L +b1011 W +14 +b110100011001001101101111100011 2 +b110100011001001101101111100011 I +b110100011001001101101111100011 Z +b10100 3 +b10100 J +b10100 Y +b1011 ' +b1011 D +1) +b110100011001001101101111100011 , +b110100011001001101101111100011 H +b10100 + +b10100 G +1. +0% +#564000 +1^ +0i +b100101111011011111010001101011 { +b100101111011011111010001101011 A" +1[ +0=" +1>" +0h +0g +19" +1;" +b1011 3" +0d +b10100 0" +1o +1k +0a +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110100011001001101101111100011 &" +b110100011001001101101111100011 ?" +b10100 %" +b10100 *" +b10100 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#564010 +b110100011001001101101111100011 W" +#564500 +b101 5 +b101 L +b101 W +b1111011110011101011011001001011 2 +b1111011110011101011011001001011 I +b1111011110011101011011001001011 Z +b11111 3 +b11111 J +b11111 Y +b101 ' +b101 D +b1111011110011101011011001001011 , +b1111011110011101011011001001011 H +b11111 + +b11111 G +1. +0% +#565000 +1:" +0^ +1j +0\ +0[ +1=" +1h +09" +b0 3" +1d +b11010 0" +0o +b10010011010111101001111001101 { +b10010011010111101001111001101 A" +1b +1a +0n +b1111011110011101011011001001011 &" +b1111011110011101011011001001011 ?" +b11111 %" +b11111 *" +b11111 1" +b101 ~ +b101 )" +b101 ." +b100101111011011111010001101011 / +b100101111011011111010001101011 K +b100101111011011111010001101011 e +b100101111011011111010001101011 "" +0. +1% +#565500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#566000 +1] +1i +0s +b10101100111101010111100111101000 | +b10101100111101010111100111101000 B" +1\ +1[ +0=" +0>" +0@" +1g +0:" +0;" +0q +15" +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +0t +0y +1z +1u +0b +0a +02" +0m +0/" +1w +1," +b10010011010111101001111001101 / +b10010011010111101001111001101 K +b10010011010111101001111001101 e +b10010011010111101001111001101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#566500 +b0 7 +b0 N +b0 V +06 +b1100011100111000111110110001001 2 +b1100011100111000111110110001001 I +b1100011100111000111110110001001 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 & +b0 C +0( +b1100011100111000111110110001001 , +b1100011100111000111110110001001 H +b1111 + +b1111 G +1- +1. +0% +#567000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1q +05" +06" +07" +b10000 3" +0^ +0c +1d +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b1100011100111000111110110001001 &" +b1100011100111000111110110001001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101100111101010111100111101000 0 +b10101100111101010111100111101000 M +b10101100111101010111100111101000 f +b10101100111101010111100111101000 !" +0. +1% +#567010 +b1100011100111000111110110001001 R" +#567500 +b11011 5 +b11011 L +b11011 W +14 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#568000 +1] +0i +b100100101010000110011011100001 { +b100100101010000110011011100001 A" +0s +b1111011110011101011011001001011 | +b1111011110011101011011001001011 B" +1\ +1[ +0=" +0>" +0@" +0h +0g +19" +1;" +0r +0q +15" +16" +17" +b11111 3" +0d +0_ +b100 0" +1o +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#568500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +b1001100011000010101000000111100 2 +b1001100011000010101000000111100 I +b1001100011000010101000000111100 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b10111 & +b10111 C +b1001100011000010101000000111100 , +b1001100011000010101000000111100 H +b10010 + +b10010 G +1- +1. +0% +#569000 +1i +1t +b1011101101100000001011010011000 | +b1011101101100000001011010011000 B" +0\ +1@" +1h +1g +09" +0;" +05" +16" +b1101 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +0z +1b +12" +0n +0m +0/" +b1001100011000010101000000111100 &" +b1001100011000010101000000111100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +b100100101010000110011011100001 / +b100100101010000110011011100001 K +b100100101010000110011011100001 e +b100100101010000110011011100001 "" +b1111011110011101011011001001011 0 +b1111011110011101011011001001011 M +b1111011110011101011011001001011 f +b1111011110011101011011001001011 !" +0. +1% +#569010 +b1001100011000010101000000111100 U" +#569500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#570000 +0i +b11000110100011000100100100101101 { +b11000110100011000100100100101101 A" +1s +1\ +0@" +0h +19" +1:" +1;" +1r +1q +06" +07" +b11111 3" +0<" +0` +b10001 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1n +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011101101100000001011010011000 0 +b1011101101100000001011010011000 M +b1011101101100000001011010011000 f +b1011101101100000001011010011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#570500 +b0 5 +b0 L +b0 W +04 +16 +b0 ' +b0 D +0) +1( +1. +0% +#571000 +1i +b1010000011101100001110101101000 | +b1010000011101100001110101101000 B" +1h +09" +0:" +0;" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1u +0n +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +1#" +b11000110100011000100100100101101 / +b11000110100011000100100100101101 K +b11000110100011000100100100101101 e +b11000110100011000100100100101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#571500 +b11111 5 +b11111 L +b11111 W +14 +06 +b11111 ' +b11111 D +1) +0( +1. +0% +#572000 +0i +b1111011110011101011011001001011 { +b1111011110011101011011001001011 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +0g +19" +1:" +1;" +07" +b0 0" +0j +0o +1p +0k +18" +1l +0u +1n +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010000011101100001110101101000 0 +b1010000011101100001110101101000 M +b1010000011101100001110101101000 f +b1010000011101100001110101101000 !" +b11111 ~ +b11111 )" +b11111 ." +1$" +0#" +0. +1% +#572500 +b110 5 +b110 L +b110 W +b11111000010100101010001010010000 2 +b11111000010100101010001010010000 I +b11111000010100101010001010010000 Z +b1100 3 +b1100 J +b1100 Y +11 +b110 ' +b110 D +b11111000010100101010001010010000 , +b11111000010100101010001010010000 H +b1100 + +b1100 G +1- +1. +0% +#573000 +0] +1k +1j +1=" +1>" +1@" +1g +1;" +09" +1:" +b10011 3" +0^ +0c +1d +1_ +b11001 0" +08" +0l +0p +b10101000100001010100001011110001 { +b10101000100001010100001011110001 A" +12" +0m +b11111000010100101010001010010000 &" +b11111000010100101010001010010000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b110 ~ +b110 )" +b110 ." +b1111011110011101011011001001011 / +b1111011110011101011011001001011 K +b1111011110011101011011001001011 e +b1111011110011101011011001001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#573010 +b11111000010100101010001010010000 O" +#573500 +b0 5 +b0 L +b0 W +04 +b10010100111011111000111100101001 2 +b10010100111011111000111100101001 I +b10010100111011111000111100101001 Z +b11000 3 +b11000 J +b11000 Y +b0 ' +b0 D +0) +b10010100111011111000111100101001 , +b10010100111011111000111100101001 H +b11000 + +b11000 G +1. +0% +#574000 +0_ +1c +1i +1=" +0>" +1h +0:" +0;" +b111 3" +1<" +1` +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b10101000100001010100001011110001 / +b10101000100001010100001011110001 K +b10101000100001010100001011110001 e +b10101000100001010100001011110001 "" +b10010100111011111000111100101001 &" +b10010100111011111000111100101001 ?" +b11000 %" +b11000 *" +b11000 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#574010 +b10010100111011111000111100101001 [" +#574500 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#575000 +1] +b1100110011011111110111100010001 | +b1100110011011111110111100010001 B" +0=" +0@" +0q +17" +b11111 3" +0c +0<" +0` +b11110 -" +1u +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#575500 +b1001 7 +b1001 N +b1001 V +b1001 & +b1001 C +1. +0% +#576000 +0s +b11010000001000001000110101110001 | +b11010000001000001000110101110001 B" +15" +b10110 -" +1y +b1100110011011111110111100010001 0 +b1100110011011111110111100010001 M +b1100110011011111110111100010001 f +b1100110011011111110111100010001 !" +b1001 } +b1001 (" +b1001 +" +0. +1% +#576500 +b11000 7 +b11000 N +b11000 V +b11000 & +b11000 C +1. +0% +#577000 +0u +1q +b111 -" +14" +1v +b10010100111011111000111100101001 | +b10010100111011111000111100101001 B" +0w +b11000 } +b11000 (" +b11000 +" +b11010000001000001000110101110001 0 +b11010000001000001000110101110001 M +b11010000001000001000110101110001 f +b11010000001000001000110101110001 !" +0. +1% +#577500 +b1111 5 +b1111 L +b1111 W +14 +b1110 7 +b1110 N +b1110 V +b1111 ' +b1111 D +1) +b1110 & +b1110 C +1. +0% +#578000 +0i +b1100011100111000111110110001001 { +b1100011100111000111110110001001 A" +1u +0y +0h +0g +19" +1:" +1;" +0r +17" +16" +b10000 0" +0j +0o +1p +1k +b10001 -" +04" +0v +1z +b11000110100011000100100100101101 | +b11000110100011000100100100101101 B" +1n +1m +1/" +1x +b10010100111011111000111100101001 0 +b10010100111011111000111100101001 M +b10010100111011111000111100101001 f +b10010100111011111000111100101001 !" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#578500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b11111111010010111101100000100100 2 +b11111111010010111101100000100100 I +b11111111010010111101100000100100 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +b11111111010010111101100000100100 , +b11111111010010111101100000100100 H +b11001 + +b11001 G +1- +1. +0% +#579000 +0] +1i +0u +1s +0[ +1=" +1@" +1h +1g +09" +0:" +0;" +0q +05" +06" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +14" +1v +0z +b11000001010110011110110110001011 | +b11000001010110011110110110001011 B" +1a +12" +0n +0m +0/" +1w +b11111111010010111101100000100100 &" +b11111111010010111101100000100100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b1100011100111000111110110001001 / +b1100011100111000111110110001001 K +b1100011100111000111110110001001 e +b1100011100111000111110110001001 "" +b11000110100011000100100100101101 0 +b11000110100011000100100100101101 M +b11000110100011000100100100101101 f +b11000110100011000100100100101101 !" +0. +1% +#579010 +b11111111010010111101100000100100 \" +#579500 +b11111 7 +b11111 N +b11111 V +b10111111110111111100011010111111 2 +b10111111110111111100011010111111 I +b10111111110111111100011010111111 Z +b11110 3 +b11110 J +b11110 Y +b11111 & +b11111 C +b10111111110111111100011010111111 , +b10111111110111111100011010111111 H +b11110 + +b11110 G +1. +0% +#580000 +0c +0s +b1111011110011101011011001001011 | +b1111011110011101011011001001011 B" +0\ +1[ +1>" +15" +16" +b1 3" +1d +b0 -" +0t +0y +1z +1b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000001010110011110110110001011 0 +b11000001010110011110110110001011 M +b11000001010110011110110110001011 f +b11000001010110011110110110001011 !" +b10111111110111111100011010111111 &" +b10111111110111111100011010111111 ?" +b11110 %" +b11110 *" +b11110 1" +b11111 } +b11111 (" +b11111 +" +0. +1% +#580010 +b10111111110111111100011010111111 a" +#580500 +b11000 7 +b11000 N +b11000 V +b10101101001001110101100110111011 2 +b10101101001001110101100110111011 I +b10101101001001110101100110111011 Z +b1110 3 +b1110 J +b1110 Y +b11000 & +b11000 C +b10101101001001110101100110111011 , +b10101101001001110101100110111011 H +b1110 + +b1110 G +1. +0% +#581000 +1_ +1y +1@" +1r +1q +15" +06" +b10001 3" +0<" +0` +b111 -" +0z +b10010100111011111000111100101001 | +b10010100111011111000111100101001 B" +0x +0w +b10101101001001110101100110111011 &" +b10101101001001110101100110111011 ?" +b1110 %" +b1110 *" +b1110 1" +b11000 } +b11000 (" +b11000 +" +b1111011110011101011011001001011 0 +b1111011110011101011011001001011 M +b1111011110011101011011001001011 f +b1111011110011101011011001001011 !" +0. +1% +#581010 +b10101101001001110101100110111011 Q" +#581500 +b10 7 +b10 N +b10 V +b1011011111011011101111000110101 2 +b1011011111011011101111000110101 I +b1011011111011011101111000110101 Z +b11001 3 +b11001 J +b11001 Y +b10 & +b10 C +b1011011111011011101111000110101 , +b1011011111011011101111000110101 H +b11001 + +b11001 G +1. +0% +#582000 +0_ +1c +1u +1s +1\ +0[ +1=" +0>" +0r +17" +05" +b110 3" +1<" +1` +0d +b11101 -" +04" +0v +0y +b1001010101101110100101001100100 | +b1001010101101110100101001100100 B" +0b +1a +1x +b10010100111011111000111100101001 0 +b10010100111011111000111100101001 M +b10010100111011111000111100101001 f +b10010100111011111000111100101001 !" +b1011011111011011101111000110101 &" +b1011011111011011101111000110101 ?" +b11001 %" +b11001 *" +b11001 1" +b10 } +b10 (" +b10 +" +0. +1% +#582010 +b1011011111011011101111000110101 \" +#582500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#583000 +1] +0i +b110100011001001101101111100011 { +b110100011001001101101111100011 A" +1[ +0=" +0@" +1:" +1;" +1r +07" +b11111 3" +0c +0<" +0` +b1011 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1001010101101110100101001100100 0 +b1001010101101110100101001100100 M +b1001010101101110100101001100100 f +b1001010101101110100101001100100 !" +0. +1% +#583500 +b0 5 +b0 L +b0 W +04 +b100010101111101000000011101100 2 +b100010101111101000000011101100 I +b100010101111101000000011101100 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b100010101111101000000011101100 , +b100010101111101000000011101100 H +b11001 + +b11001 G +1- +1. +0% +#584000 +0] +1i +0[ +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0j +08" +0l +1a +12" +0/" +b110100011001001101101111100011 / +b110100011001001101101111100011 K +b110100011001001101101111100011 e +b110100011001001101101111100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100010101111101000000011101100 &" +b100010101111101000000011101100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#584010 +b100010101111101000000011101100 \" +#584500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#585000 +1] +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#585500 +b111001100100101010110100000100 2 +b111001100100101010110100000100 I +b111001100100101010110100000100 Z +b1100 3 +b1100 J +b1100 Y +11 +b111001100100101010110100000100 , +b111001100100101010110100000100 H +b1100 + +b1100 G +1- +1. +0% +#586000 +0] +1=" +1>" +1@" +b10011 3" +0^ +0c +1d +1_ +12" +b111001100100101010110100000100 &" +b111001100100101010110100000100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +0. +1% +#586010 +b111001100100101010110100000100 O" +#586500 +b1111101110001001011100010110010 2 +b1111101110001001011100010110010 I +b1111101110001001011100010110010 Z +b1111 3 +b1111 J +b1111 Y +b1111101110001001011100010110010 , +b1111101110001001011100010110010 H +b1111 + +b1111 G +1. +0% +#587000 +0\ +0[ +b10000 3" +1b +1a +b1111101110001001011100010110010 &" +b1111101110001001011100010110010 ?" +b1111 %" +b1111 *" +b1111 1" +0. +1% +#587010 +b1111101110001001011100010110010 R" +#587500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#588000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#588500 +b11001 7 +b11001 N +b11001 V +16 +b1110111001011000101110101100100 2 +b1110111001011000101110101100100 I +b1110111001011000101110101100100 Z +11 +b11001 & +b11001 C +1( +b1110111001011000101110101100100 , +b1110111001011000101110101100100 H +1- +1. +0% +#589000 +0s +b100010101111101000000011101100 | +b100010101111101000000011101100 B" +1@" +0q +15" +17" +1_ +b110 -" +1y +0u +14" +1v +12" +1w +1," +b1110111001011000101110101100100 &" +b1110111001011000101110101100100 ?" +1'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#589010 +b1110111001011000101110101100100 C" +#589500 +b10 7 +b10 N +b10 V +b11101101110101000111111011111 2 +b11101101110101000111111011111 I +b11101101110101000111111011111 Z +b11111 3 +b11111 J +b11111 Y +b10 & +b10 C +b11101101110101000111111011111 , +b11101101110101000111111011111 H +b11111 + +b11111 G +1. +0% +#590000 +0_ +0] +1u +1s +0\ +0[ +1=" +1>" +0r +1q +17" +05" +b0 3" +1<" +1` +0^ +0c +1d +b11101 -" +04" +0v +0y +b1001010101101110100101001100100 | +b1001010101101110100101001100100 B" +1b +1a +1x +0w +b100010101111101000000011101100 0 +b100010101111101000000011101100 M +b100010101111101000000011101100 f +b100010101111101000000011101100 !" +b11101101110101000111111011111 &" +b11101101110101000111111011111 ?" +b11111 %" +b11111 *" +b11111 1" +b10 } +b10 (" +b10 +" +0. +1% +#590500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#591000 +1] +1\ +1[ +0=" +0>" +0@" +1r +07" +b11111 3" +0d +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1001010101101110100101001100100 0 +b1001010101101110100101001100100 M +b1001010101101110100101001100100 f +b1001010101101110100101001100100 !" +0. +1% +#591500 +b10110 7 +b10110 N +b10110 V +16 +b10110 & +b10110 C +1( +1. +0% +#592000 +0s +b11000100111101101110011000001001 | +b11000100111101101110011000001001 B" +0r +16" +17" +b1001 -" +1t +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#592500 +b10110 5 +b10110 L +b10110 W +14 +b11111 7 +b11111 N +b11111 V +b10110 ' +b10110 D +1) +b11111 & +b11111 C +1. +0% +#593000 +0i +b11000100111101101110011000001001 { +b11000100111101101110011000001001 A" +0t +0h +1:" +1;" +0q +15" +b1001 0" +1j +0k +18" +1l +b0 -" +1z +b11101101110101000111111011111 | +b11101101110101000111111011111 B" +1n +1/" +1w +b10110 ~ +b10110 )" +b10110 ." +1$" +b11111 } +b11111 (" +b11111 +" +b11000100111101101110011000001001 0 +b11000100111101101110011000001001 M +b11000100111101101110011000001001 f +b11000100111101101110011000001001 !" +0. +1% +#593500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b1110100100011010010101000100100 2 +b1110100100011010010101000100100 I +b1110100100011010010101000100100 Z +b1101 3 +b1101 J +b1101 Y +11 +b11 ' +b11 D +b0 & +b0 C +0( +b1110100100011010010101000100100 , +b1110100100011010010101000100100 H +b1101 + +b1101 G +1- +1. +0% +#594000 +0] +1k +1i +1s +0[ +1=" +1>" +1@" +0g +1;" +0:" +1r +1q +05" +06" +07" +b10010 3" +0^ +0c +1d +1_ +b11100 0" +08" +0l +0j +b10011011011100110101001011011000 { +b10011011011100110101001011011000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1m +0x +0w +0," +b11000100111101101110011000001001 / +b11000100111101101110011000001001 K +b11000100111101101110011000001001 e +b11000100111101101110011000001001 "" +b11101101110101000111111011111 0 +b11101101110101000111111011111 M +b11101101110101000111111011111 f +b11101101110101000111111011111 !" +b1110100100011010010101000100100 &" +b1110100100011010010101000100100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#594010 +b1110100100011010010101000100100 P" +#594500 +b0 5 +b0 L +b0 W +04 +b11011100100110111010000010110001 2 +b11011100100110111010000010110001 I +b11011100100110111010000010110001 Z +b10101 3 +b10101 J +b10101 Y +b0 ' +b0 D +0) +b11011100100110111010000010110001 , +b11011100100110111010000010110001 H +b10101 + +b10101 G +1. +0% +#595000 +0_ +1^ +0=" +1>" +1h +1g +0;" +b1010 3" +1<" +1` +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b11011100100110111010000010110001 &" +b11011100100110111010000010110001 ?" +b10101 %" +b10101 *" +b10101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10011011011100110101001011011000 / +b10011011011100110101001011011000 K +b10011011011100110101001011011000 e +b10011011011100110101001011011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#595010 +b11011100100110111010000010110001 X" +#595500 +b11001 5 +b11001 L +b11001 W +14 +b110 7 +b110 N +b110 V +16 +b10000010111100001111111011100100 2 +b10000010111100001111111011100100 I +b10000010111100001111111011100100 Z +b111 3 +b111 J +b111 Y +b11001 ' +b11001 D +1) +b110 & +b110 C +1( +b10000010111100001111111011100100 , +b10000010111100001111111011100100 H +b111 + +b111 G +1. +0% +#596000 +1_ +0i +b100010101111101000000011101100 { +b100010101111101000000011101100 A" +0s +b10101000100001010100001011110001 | +b10101000100001010100001011110001 B" +0\ +1@" +0g +19" +1;" +0r +16" +17" +b11000 3" +0<" +0` +b110 0" +1o +0k +18" +1l +b11001 -" +1t +1u +1b +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000010111100001111111011100100 &" +b10000010111100001111111011100100 ?" +b111 %" +b111 *" +b111 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#596010 +b10000010111100001111111011100100 J" +#596500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11111011010011110111001101110100 2 +b11111011010011110111001101110100 I +b11111011010011110111001101110100 Z +b10010 3 +b10010 J +b10010 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11111011010011110111001101110100 , +b11111011010011110111001101110100 H +b10010 + +b10010 G +1. +0% +#597000 +0_ +1] +1i +1s +1[ +0>" +1g +09" +0;" +1r +06" +07" +b1101 3" +1<" +1` +0^ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0m +0/" +0x +0," +b11111011010011110111001101110100 &" +b11111011010011110111001101110100 ?" +b10010 %" +b10010 *" +b10010 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b100010101111101000000011101100 / +b100010101111101000000011101100 K +b100010101111101000000011101100 e +b100010101111101000000011101100 "" +b10101000100001010100001011110001 0 +b10101000100001010100001011110001 M +b10101000100001010100001011110001 f +b10101000100001010100001011110001 !" +0. +1% +#597010 +b11111011010011110111001101110100 U" +#597500 +b11000 5 +b11000 L +b11000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#598000 +0i +b10010100111011111000111100101001 { +b10010100111011111000111100101001 A" +1\ +0@" +19" +1;" +b11111 3" +0<" +0` +b111 0" +1o +0k +18" +1l +0b +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#598500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +1. +0% +#599000 +1i +b11011001010011011101110011100010 | +b11011001010011011101110011100010 B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +17" +b11111 0" +0o +08" +0l +b1111 -" +0u +14" +1v +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +b10010100111011111000111100101001 / +b10010100111011111000111100101001 K +b10010100111011111000111100101001 e +b10010100111011111000111100101001 "" +0. +1% +#599500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#600000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011001010011011101110011100010 0 +b11011001010011011101110011100010 M +b11011001010011011101110011100010 f +b11011001010011011101110011100010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#600500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#601000 +0i +b11011110110010000111111010000110 { +b11011110110010000111111010000110 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#601500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +1. +0% +#602000 +1i +0s +b10110001110111000001010110100000 | +b10110001110111000001010110100000 B" +1g +09" +0:" +0;" +0r +15" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10101 -" +1y +1u +0m +0/" +1x +1," +b11011110110010000111111010000110 / +b11011110110010000111111010000110 K +b11011110110010000111111010000110 e +b11011110110010000111111010000110 "" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#602500 +b1100 7 +b1100 N +b1100 V +b11100001010010010011100101010101 2 +b11100001010010010011100101010101 I +b11100001010010010011100101010101 Z +b11010 3 +b11010 J +b11010 Y +11 +b1100 & +b1100 C +b11100001010010010011100101010101 , +b11100001010010010011100101010101 H +b11010 + +b11010 G +1- +1. +0% +#603000 +0] +0y +0\ +1=" +1@" +1r +16" +b101 3" +1c +0_ +1<" +1` +b10011 -" +1z +b111001100100101010110100000100 | +b111001100100101010110100000100 B" +1b +12" +0x +b11100001010010010011100101010101 &" +b11100001010010010011100101010101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1100 } +b1100 (" +b1100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110001110111000001010110100000 0 +b10110001110111000001010110100000 M +b10110001110111000001010110100000 f +b10110001110111000001010110100000 !" +0. +1% +#603010 +b11100001010010010011100101010101 ]" +#603500 +b1 5 +b1 L +b1 W +14 +b10110 7 +b10110 N +b10110 V +b1011100010011110111001001100010 2 +b1011100010011110111001001100010 I +b1011100010011110111001001100010 Z +b11 3 +b11 J +b11 Y +b1 ' +b1 D +1) +b10110 & +b10110 C +b1011100010011110111001001100010 , +b1011100010011110111001001100010 H +b11 + +b11 G +1. +0% +#604000 +1_ +1] +b1100110011011111110111100010001 { +b1100110011011111110111100010001 A" +0u +1t +0[ +1@" +0=" +0g +1;" +0r +05" +16" +b11100 3" +0<" +0` +0c +b11110 0" +1k +b1001 -" +14" +1v +0z +b11000100111101101110011000001001 | +b11000100111101101110011000001001 B" +1a +1m +1/" +1x +b111001100100101010110100000100 0 +b111001100100101010110100000100 M +b111001100100101010110100000100 f +b111001100100101010110100000100 !" +b1011100010011110111001001100010 &" +b1011100010011110111001001100010 ?" +b11 %" +b11 *" +b11 1" +b1 ~ +b1 )" +b1 ." +1$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#604010 +b1011100010011110111001001100010 F" +#604500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1000101011111010111101000000001 2 +b1000101011111010111101000000001 I +b1000101011111010111101000000001 Z +b11011 3 +b11011 J +b11011 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1000101011111010111101000000001 , +b1000101011111010111101000000001 H +b11011 + +b11011 G +1. +0% +#605000 +0_ +0] +1s +1=" +1g +0;" +1r +06" +07" +b100 3" +1<" +1` +1c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0," +b1000101011111010111101000000001 &" +b1000101011111010111101000000001 ?" +b11011 %" +b11011 *" +b11011 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100110011011111110111100010001 / +b1100110011011111110111100010001 K +b1100110011011111110111100010001 e +b1100110011011111110111100010001 "" +b11000100111101101110011000001001 0 +b11000100111101101110011000001001 M +b11000100111101101110011000001001 f +b11000100111101101110011000001001 !" +0. +1% +#605010 +b1000101011111010111101000000001 ^" +#605500 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#606000 +1] +0s +b10101111111111011011101111001001 | +b10101111111111011011101111001001 B" +1\ +1[ +0=" +0@" +16" +17" +b11111 3" +0c +0<" +0` +b11011 -" +1t +1u +0b +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#606500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b10 ' +b10 D +1) +b0 & +b0 C +0( +1. +0% +#607000 +b1001010101101110100101001100100 { +b1001010101101110100101001100100 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +1;" +06" +07" +b11101 0" +1k +b11111 -" +0t +0u +1n +1/" +0," +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10101111111111011011101111001001 0 +b10101111111111011011101111001001 M +b10101111111111011011101111001001 f +b10101111111111011011101111001001 !" +0. +1% +#607500 +b10100 5 +b10100 L +b10100 W +b110111000111001001100010101010 2 +b110111000111001001100010101010 I +b110111000111001001100010101010 Z +b111 3 +b111 J +b111 Y +11 +b10100 ' +b10100 D +b110111000111001001100010101010 , +b110111000111001001100010101010 H +b111 + +b111 G +1- +1. +0% +#608000 +0] +0k +0i +0\ +0[ +1>" +1@" +1h +1:" +b11000 3" +1^ +1_ +b1011 0" +18" +1l +1j +b110100011001001101101111100011 { +b110100011001001101101111100011 A" +1b +1a +12" +0n +b1001010101101110100101001100100 / +b1001010101101110100101001100100 K +b1001010101101110100101001100100 e +b1001010101101110100101001100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110111000111001001100010101010 &" +b110111000111001001100010101010 ?" +b111 %" +b111 *" +b111 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +0. +1% +#608010 +b110111000111001001100010101010 J" +#608500 +b0 5 +b0 L +b0 W +04 +b101100100011111011010000111 2 +b101100100011111011010000111 I +b101100100011111011010000111 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b101100100011111011010000111 , +b101100100011111011010000111 H +b1100 + +b1100 G +1. +0% +#609000 +0^ +1i +1\ +1[ +1=" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10011 3" +1d +b11111 0" +0j +08" +0l +0b +0a +0/" +b101100100011111011010000111 &" +b101100100011111011010000111 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +b110100011001001101101111100011 / +b110100011001001101101111100011 K +b110100011001001101101111100011 e +b110100011001001101101111100011 "" +0. +1% +#609010 +b101100100011111011010000111 O" +#609500 +b1001 7 +b1001 N +b1001 V +16 +b1011010001110000000111110000011 2 +b1011010001110000000111110000011 I +b1011010001110000000111110000011 Z +b10010 3 +b10010 J +b10010 Y +b1001 & +b1001 C +1( +b1011010001110000000111110000011 , +b1011010001110000000111110000011 H +b10010 + +b10010 G +1. +0% +#610000 +0_ +1] +0s +b11010000001000001000110101110001 | +b11010000001000001000110101110001 B" +0\ +0=" +0>" +0q +15" +17" +b1101 3" +1<" +1` +0d +b10110 -" +1y +1u +1b +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011010001110000000111110000011 &" +b1011010001110000000111110000011 ?" +b10010 %" +b10010 *" +b10010 1" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#610010 +b1011010001110000000111110000011 U" +#610500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#611000 +b1011100010011110111001001100010 { +b1011100010011110111001001100010 A" +1s +1\ +0@" +0h +0g +1;" +1q +05" +07" +b11111 3" +0<" +0` +b11100 0" +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1n +1m +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11010000001000001000110101110001 0 +b11010000001000001000110101110001 M +b11010000001000001000110101110001 f +b11010000001000001000110101110001 !" +0. +1% +#611500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#612000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1011100010011110111001001100010 / +b1011100010011110111001001100010 K +b1011100010011110111001001100010 e +b1011100010011110111001001100010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#612500 +b1001000001001000001001101101111 2 +b1001000001001000001001101101111 I +b1001000001001000001001101101111 Z +11 +b1001000001001000001001101101111 , +b1001000001001000001001101101111 H +1- +1. +0% +#613000 +1@" +1_ +12" +b1001000001001000001001101101111 &" +b1001000001001000001001101101111 ?" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#613010 +b1001000001001000001001101101111 C" +#613500 +14 +b100 7 +b100 N +b100 V +16 +b1100110001110001011011011001000 2 +b1100110001110001011011011001000 I +b1100110001110001011011011001000 Z +b11111 3 +b11111 J +b11111 Y +1) +b100 & +b100 C +1( +b1100110001110001011011011001000 , +b1100110001110001011011011001000 H +b11111 + +b11111 G +1. +0% +#614000 +0_ +0] +b1001000001001000001001101101111 { +b1001000001001000001001101101111 A" +0s +b10101111111111011011101111001001 | +b10101111111111011011101111001001 B" +0\ +0[ +1=" +1>" +1;" +16" +17" +b0 3" +1<" +1` +0^ +0c +1d +1k +b11011 -" +1t +1u +1b +1a +1/" +1," +b1100110001110001011011011001000 &" +b1100110001110001011011011001000 ?" +b11111 %" +b11111 *" +b11111 1" +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#614500 +04 +b0 7 +b0 N +b0 V +06 +b100010011101111011000110100001 2 +b100010011101111011000110100001 I +b100010011101111011000110100001 Z +b10011 3 +b10011 J +b10011 Y +0) +b0 & +b0 C +0( +b100010011101111011000110100001 , +b100010011101111011000110100001 H +b10011 + +b10011 G +1. +0% +#615000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0=" +0>" +0;" +06" +07" +b1100 3" +0d +0k +b11111 -" +0t +0u +0/" +0," +b100010011101111011000110100001 &" +b100010011101111011000110100001 ?" +b10011 %" +b10011 *" +b10011 1" +0$" +b0 } +b0 (" +b0 +" +0#" +b1001000001001000001001101101111 / +b1001000001001000001001101101111 K +b1001000001001000001001101101111 e +b1001000001001000001001101101111 "" +b10101111111111011011101111001001 0 +b10101111111111011011101111001001 M +b10101111111111011011101111001001 f +b10101111111111011011101111001001 !" +0. +1% +#615010 +b100010011101111011000110100001 V" +#615500 +b11 5 +b11 L +b11 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#616000 +b1011100010011110111001001100010 { +b1011100010011110111001001100010 A" +1\ +1[ +0@" +0h +0g +1;" +b11111 3" +0<" +0` +b11100 0" +1k +0b +0a +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#616500 +b0 5 +b0 L +b0 W +04 +b1100101001000100011100110101001 2 +b1100101001000100011100110101001 I +b1100101001000100011100110101001 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b1100101001000100011100110101001 , +b1100101001000100011100110101001 H +b10111 + +b10111 G +1- +1. +0% +#617000 +0] +0\ +0[ +1>" +1@" +1h +1g +0;" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0m +0/" +b1100101001000100011100110101001 &" +b1100101001000100011100110101001 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1011100010011110111001001100010 / +b1011100010011110111001001100010 K +b1011100010011110111001001100010 e +b1011100010011110111001001100010 "" +0. +1% +#617010 +b1100101001000100011100110101001 Z" +#617500 +b11100 7 +b11100 N +b11100 V +16 +b10000001111010011111010010100111 2 +b10000001111010011111010010100111 I +b10000001111010011111010010100111 Z +b1011 3 +b1011 J +b1011 Y +b11100 & +b11100 C +1( +b10000001111010011111010010100111 , +b10000001111010011111010010100111 H +b1011 + +b1011 G +1. +0% +#618000 +1=" +1_ +1c +0s +b1110010000011001101011110101000 | +b1110010000011001101011110101000 B" +1@" +0>" +15" +16" +17" +b10100 3" +0<" +0` +0^ +b11 -" +0t +0y +1z +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000001111010011111010010100111 &" +b10000001111010011111010010100111 ?" +b1011 %" +b1011 *" +b1011 1" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#618010 +b10000001111010011111010010100111 N" +#618500 +b1001 5 +b1001 L +b1001 W +14 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#619000 +1] +0i +b11010000001000001000110101110001 { +b11010000001000001000110101110001 A" +1u +1\ +1[ +0=" +0@" +0g +19" +1;" +0q +17" +b11111 3" +0c +0_ +b10110 0" +1o +1k +b10010 -" +04" +0v +b1110100100011010010101000100100 | +b1110100100011010010101000100100 B" +0b +0a +02" +1m +1/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b1101 } +b1101 (" +b1101 +" +b1110010000011001101011110101000 0 +b1110010000011001101011110101000 M +b1110010000011001101011110101000 f +b1110010000011001101011110101000 !" +0. +1% +#619500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1100111010011010010010010000010 2 +b1100111010011010010010010000010 I +b1100111010011010010010010000010 Z +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1100111010011010010010010000010 , +b1100111010011010010010010000010 H +1- +1. +0% +#620000 +1i +1s +1@" +1g +09" +0;" +1q +05" +06" +07" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0m +0/" +0w +0," +b11010000001000001000110101110001 / +b11010000001000001000110101110001 K +b11010000001000001000110101110001 e +b11010000001000001000110101110001 "" +b1110100100011010010101000100100 0 +b1110100100011010010101000100100 M +b1110100100011010010101000100100 f +b1110100100011010010101000100100 !" +b1100111010011010010010010000010 &" +b1100111010011010010010010000010 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#620010 +b1100111010011010010010010000010 C" +#620500 +b1111 5 +b1111 L +b1111 W +14 +b110 7 +b110 N +b110 V +16 +b1100011010101100000110010101101 2 +b1100011010101100000110010101101 I +b1100011010101100000110010101101 Z +b11001 3 +b11001 J +b11001 Y +b1111 ' +b1111 D +1) +b110 & +b110 C +1( +b1100011010101100000110010101101 , +b1100011010101100000110010101101 H +b11001 + +b11001 G +1. +0% +#621000 +0_ +0] +0i +b1111101110001001011100010110010 { +b1111101110001001011100010110010 A" +0s +b10101000100001010100001011110001 | +b10101000100001010100001011110001 B" +0[ +1=" +0h +0g +19" +1:" +1;" +0r +16" +17" +b110 3" +1<" +1` +1c +b10000 0" +0j +0o +1p +1k +b11001 -" +1t +1u +1a +1n +1m +1/" +1x +1," +b1100011010101100000110010101101 &" +b1100011010101100000110010101101 ?" +b11001 %" +b11001 *" +b11001 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#621010 +b1100011010101100000110010101101 \" +#621500 +b10010 5 +b10010 L +b10010 W +b1 7 +b1 N +b1 V +b10011111010100010110111100110101 2 +b10011111010100010110111100110101 I +b10011111010100010110111100110101 Z +b11011 3 +b11011 J +b11011 Y +b10010 ' +b10010 D +b1 & +b1 C +b10011111010100010110111100110101 , +b10011111010100010110111100110101 H +b11011 + +b11011 G +1. +0% +#622000 +0k +1i +1s +0\ +1g +09" +0:" +1r +0q +06" +b100 3" +b1101 0" +18" +1l +0p +b1011010001110000000111110000011 { +b1011010001110000000111110000011 A" +b11110 -" +0t +b1100110011011111110111100010001 | +b1100110011011111110111100010001 B" +1b +0m +0x +1w +b1111101110001001011100010110010 / +b1111101110001001011100010110010 K +b1111101110001001011100010110010 e +b1111101110001001011100010110010 "" +b10101000100001010100001011110001 0 +b10101000100001010100001011110001 M +b10101000100001010100001011110001 f +b10101000100001010100001011110001 !" +b10011111010100010110111100110101 &" +b10011111010100010110111100110101 ?" +b11011 %" +b11011 *" +b11011 1" +b10010 ~ +b10010 )" +b10010 ." +b1 } +b1 (" +b1 +" +0. +1% +#622010 +b10011111010100010110111100110101 ^" +#622500 +b1110 5 +b1110 L +b1110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#623000 +1] +1k +0i +1\ +1[ +0=" +0@" +1;" +b10101101001001110101100110111011 { +b10101101001001110101100110111011 A" +19" +1:" +1q +07" +b11111 3" +0c +0<" +0` +b10001 0" +08" +0l +0j +0o +1p +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +b0 } +b0 (" +b0 +" +0#" +b1011010001110000000111110000011 / +b1011010001110000000111110000011 K +b1011010001110000000111110000011 e +b1011010001110000000111110000011 "" +b1100110011011111110111100010001 0 +b1100110011011111110111100010001 M +b1100110011011111110111100010001 f +b1100110011011111110111100010001 !" +0. +1% +#623500 +b11111 5 +b11111 L +b11111 W +16 +b11111 ' +b11111 D +1( +1. +0% +#624000 +0k +b1100111010011010010010010000010 | +b1100111010011010010010010000010 B" +0g +17" +b0 0" +18" +1l +b1100110001110001011011011001000 { +b1100110001110001011011011001000 A" +1u +1m +1," +b10101101001001110101100110111011 / +b10101101001001110101100110111011 K +b10101101001001110101100110111011 e +b10101101001001110101100110111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111 ~ +b11111 )" +b11111 ." +1#" +0. +1% +#624500 +b11000 5 +b11000 L +b11000 W +06 +b11000 ' +b11000 D +0( +1. +0% +#625000 +1o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +1g +19" +0:" +07" +b111 0" +0p +b10010100111011111000111100101001 { +b10010100111011111000111100101001 A" +0u +0n +0m +0," +b11000 ~ +b11000 )" +b11000 ." +0#" +b1100110001110001011011011001000 / +b1100110001110001011011011001000 K +b1100110001110001011011011001000 e +b1100110001110001011011011001000 "" +b1100111010011010010010010000010 0 +b1100111010011010010010010000010 M +b1100111010011010010010010000010 f +b1100111010011010010010010000010 !" +0. +1% +#625500 +b10010 5 +b10010 L +b10010 W +b10010 ' +b10010 D +1. +0% +#626000 +1i +0h +09" +b1101 0" +0o +b1011010001110000000111110000011 { +b1011010001110000000111110000011 A" +1n +b10010100111011111000111100101001 / +b10010100111011111000111100101001 K +b10010100111011111000111100101001 e +b10010100111011111000111100101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010 ~ +b10010 )" +b10010 ." +0. +1% +#626500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b11001101001101100001001110110100 2 +b11001101001101100001001110110100 I +b11001101001101100001001110110100 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b11001101001101100001001110110100 , +b11001101001101100001001110110100 H +b1010 + +b1010 G +1- +1. +0% +#627000 +0] +0s +b1001101010111011010101011101010 | +b1001101010111011010101011101010 B" +0\ +1=" +1@" +1h +0;" +15" +17" +b10101 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +1u +1b +12" +0n +0/" +1," +b11001101001101100001001110110100 &" +b11001101001101100001001110110100 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b1011010001110000000111110000011 / +b1011010001110000000111110000011 K +b1011010001110000000111110000011 e +b1011010001110000000111110000011 "" +0. +1% +#627010 +b11001101001101100001001110110100 M" +#627500 +b0 7 +b0 N +b0 V +06 +b10000100001110110101100001100100 2 +b10000100001110110101100001100100 I +b10000100001110110101100001100100 Z +b1 3 +b1 J +b1 Y +b0 & +b0 C +0( +b10000100001110110101100001100100 , +b10000100001110110101100001100100 H +b1 + +b1 G +1. +0% +#628000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0[ +0=" +05" +07" +b11110 3" +0c +b11111 -" +0y +0u +0b +1a +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001101010111011010101011101010 0 +b1001101010111011010101011101010 M +b1001101010111011010101011101010 f +b1001101010111011010101011101010 !" +b10000100001110110101100001100100 &" +b10000100001110110101100001100100 ?" +b1 %" +b1 *" +b1 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#628010 +b10000100001110110101100001100100 D" +#628500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#629000 +b11011001010011011101110011100010 | +b11011001010011011101110011100010 B" +1[ +0@" +17" +b11111 3" +0_ +b1111 -" +0u +14" +1v +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#629500 +b110 5 +b110 L +b110 W +14 +b10 7 +b10 N +b10 V +b1110111111010111001001111010100 2 +b1110111111010111001001111010100 I +b1110111111010111001001111010100 Z +b100 3 +b100 J +b100 Y +11 +b110 ' +b110 D +1) +b10 & +b10 C +b1110111111010111001001111010100 , +b1110111111010111001001111010100 H +b100 + +b100 G +1- +1. +0% +#630000 +0] +0i +b10101000100001010100001011110001 { +b10101000100001010100001011110001 A" +1u +1>" +1@" +0h +1:" +1;" +0r +17" +b11011 3" +1^ +1_ +b11001 0" +1j +1k +b11101 -" +04" +0v +b1001010101101110100101001100100 | +b1001010101101110100101001100100 B" +12" +1n +1/" +1x +b11011001010011011101110011100010 0 +b11011001010011011101110011100010 M +b11011001010011011101110011100010 f +b11011001010011011101110011100010 !" +b1110111111010111001001111010100 &" +b1110111111010111001001111010100 ?" +b100 %" +b100 *" +b100 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b10 } +b10 (" +b10 +" +0. +1% +#630010 +b1110111111010111001001111010100 G" +#630500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#631000 +1] +1i +0>" +0@" +1h +0:" +0;" +1r +07" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10101000100001010100001011110001 / +b10101000100001010100001011110001 K +b10101000100001010100001011110001 e +b10101000100001010100001011110001 "" +b1001010101101110100101001100100 0 +b1001010101101110100101001100100 M +b1001010101101110100101001100100 f +b1001010101101110100101001100100 !" +0. +1% +#631500 +b1000 5 +b1000 L +b1000 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1000 ' +b1000 D +1) +b1000 & +b1000 C +1( +1. +0% +#632000 +0i +b1001101010111011010101011101010 { +b1001101010111011010101011101010 A" +0s +b1001101010111011010101011101010 | +b1001101010111011010101011101010 B" +19" +1;" +15" +17" +b10111 0" +1o +1k +b10111 -" +1y +1u +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#632500 +b10100 5 +b10100 L +b10100 W +b100 7 +b100 N +b100 V +b10111001001001100101101110010111 2 +b10111001001001100101101110010111 I +b10111001001001100101101110010111 Z +b1 3 +b1 J +b1 Y +11 +b10100 ' +b10100 D +b100 & +b100 C +b10111001001001100101101110010111 , +b10111001001001100101101110010111 H +b1 + +b1 G +1- +1. +0% +#633000 +1:" +16" +0k +1j +1t +b1110111111010111001001111010100 | +b1110111111010111001001111010100 B" +0[ +1@" +b110100011001001101101111100011 { +b110100011001001101101111100011 A" +09" +05" +b11110 3" +1_ +b1011 0" +18" +1l +0o +b11011 -" +0y +1a +12" +b10111001001001100101101110010111 &" +b10111001001001100101101110010111 ?" +b1 %" +b1 *" +b1 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b100 } +b100 (" +b100 +" +b1001101010111011010101011101010 / +b1001101010111011010101011101010 K +b1001101010111011010101011101010 e +b1001101010111011010101011101010 "" +b1001101010111011010101011101010 0 +b1001101010111011010101011101010 M +b1001101010111011010101011101010 f +b1001101010111011010101011101010 !" +0. +1% +#633010 +b10111001001001100101101110010111 D" +#633500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b10110101111010100100001010101001 2 +b10110101111010100100001010101001 I +b10110101111010100100001010101001 Z +b10010 3 +b10010 J +b10010 Y +b0 ' +b0 D +0) +b111 & +b111 C +b10110101111010100100001010101001 , +b10110101111010100100001010101001 H +b10010 + +b10010 G +1. +0% +#634000 +0_ +1i +0\ +1[ +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +b1101 3" +1<" +1` +b11111 0" +0j +08" +0l +b11000 -" +b110111000111001001100010101010 | +b110111000111001001100010101010 B" +1b +0a +0/" +1x +1w +b110100011001001101101111100011 / +b110100011001001101101111100011 K +b110100011001001101101111100011 e +b110100011001001101101111100011 "" +b1110111111010111001001111010100 0 +b1110111111010111001001111010100 M +b1110111111010111001001111010100 f +b1110111111010111001001111010100 !" +b10110101111010100100001010101001 &" +b10110101111010100100001010101001 ?" +b10010 %" +b10010 *" +b10010 1" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +0. +1% +#634010 +b10110101111010100100001010101001 U" +#634500 +b11010 5 +b11010 L +b11010 W +14 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#635000 +0i +b11100001010010010011100101010101 { +b11100001010010010011100101010101 A" +0u +1s +1\ +0@" +0h +19" +1;" +b100010011101111011000110100001 | +b100010011101111011000110100001 B" +06" +b11111 3" +0<" +0` +b101 0" +1o +0k +18" +1l +b1100 -" +14" +1v +0t +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b10011 } +b10011 (" +b10011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110111000111001001100010101010 0 +b110111000111001001100010101010 M +b110111000111001001100010101010 f +b110111000111001001100010101010 !" +0. +1% +#635500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#636000 +1i +1h +09" +0;" +1r +1q +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0x +0w +0," +b11100001010010010011100101010101 / +b11100001010010010011100101010101 K +b11100001010010010011100101010101 e +b11100001010010010011100101010101 "" +b100010011101111011000110100001 0 +b100010011101111011000110100001 M +b100010011101111011000110100001 f +b100010011101111011000110100001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#636500 +1. +0% +#637000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#637500 +b10100 5 +b10100 L +b10100 W +14 +b1001 7 +b1001 N +b1001 V +16 +b10100 ' +b10100 D +1) +b1001 & +b1001 C +1( +1. +0% +#638000 +0i +b110100011001001101101111100011 { +b110100011001001101101111100011 A" +0s +b11010000001000001000110101110001 | +b11010000001000001000110101110001 B" +1:" +1;" +0q +15" +17" +b1011 0" +1j +0k +18" +1l +b10110 -" +1y +1u +1/" +1w +1," +b10100 ~ +b10100 )" +b10100 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#638500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#639000 +1i +1s +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +07" +b11111 0" +0j +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110100011001001101101111100011 / +b110100011001001101101111100011 K +b110100011001001101101111100011 e +b110100011001001101101111100011 "" +b11010000001000001000110101110001 0 +b11010000001000001000110101110001 M +b11010000001000001000110101110001 f +b11010000001000001000110101110001 !" +0. +1% +#639500 +1. +0% +#640000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#640500 +b11 5 +b11 L +b11 W +14 +b11 ' +b11 D +1) +1. +0% +#641000 +b1011100010011110111001001100010 { +b1011100010011110111001001100010 A" +0h +0g +1;" +b11100 0" +1k +1n +1m +1/" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#641500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#642000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1011100010011110111001001100010 / +b1011100010011110111001001100010 K +b1011100010011110111001001100010 e +b1011100010011110111001001100010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#642500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#643000 +0i +b11100001010010010011100101010101 { +b11100001010010010011100101010101 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#643500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b11101111000001110111010000010 2 +b11101111000001110111010000010 I +b11101111000001110111010000010 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b11101111000001110111010000010 , +b11101111000001110111010000010 H +b1111 + +b1111 G +1- +1. +0% +#644000 +0] +1i +0s +b10011111010100010110111100110101 | +b10011111010100010110111100110101 B" +0\ +0[ +1=" +1>" +1@" +1h +09" +0;" +0r +0q +15" +17" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +1y +0u +14" +1v +1b +1a +12" +0n +0/" +1x +1w +1," +b11100001010010010011100101010101 / +b11100001010010010011100101010101 K +b11100001010010010011100101010101 e +b11100001010010010011100101010101 "" +b11101111000001110111010000010 &" +b11101111000001110111010000010 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#644010 +b11101111000001110111010000010 R" +#644500 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#645000 +1] +1u +0y +1\ +1[ +0=" +0>" +0@" +1r +17" +16" +b11111 3" +0d +0_ +b10010 -" +04" +0v +1z +b1110100100011010010101000100100 | +b1110100100011010010101000100100 B" +0b +0a +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 } +b1101 (" +b1101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011111010100010110111100110101 0 +b10011111010100010110111100110101 M +b10011111010100010110111100110101 f +b10011111010100010110111100110101 !" +0. +1% +#645500 +b11000 7 +b11000 N +b11000 V +b11000 & +b11000 C +1. +0% +#646000 +0u +1y +1q +15" +06" +b111 -" +14" +1v +0z +b10010100111011111000111100101001 | +b10010100111011111000111100101001 B" +0w +b1110100100011010010101000100100 0 +b1110100100011010010101000100100 M +b1110100100011010010101000100100 f +b1110100100011010010101000100100 !" +b11000 } +b11000 (" +b11000 +" +0. +1% +#646500 +b0 7 +b0 N +b0 V +06 +b1101111110110110111000001111001 2 +b1101111110110110111000001111001 I +b1101111110110110111000001111001 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 & +b0 C +0( +b1101111110110110111000001111001 , +b1101111110110110111000001111001 H +b1101 + +b1101 G +1- +1. +0% +#647000 +0] +1s +0[ +1=" +1>" +1@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10010 3" +0^ +0c +1d +1_ +b11111 -" +0y +04" +0v +1a +12" +0," +b1101111110110110111000001111001 &" +b1101111110110110111000001111001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10010100111011111000111100101001 0 +b10010100111011111000111100101001 M +b10010100111011111000111100101001 f +b10010100111011111000111100101001 !" +0. +1% +#647010 +b1101111110110110111000001111001 P" +#647500 +b10001 5 +b10001 L +b10001 W +14 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#648000 +1] +b1110101000110101000110011111011 { +b1110101000110101000110011111011 A" +0s +b11100001010010010011100101010101 | +b11100001010010010011100101010101 B" +1[ +0=" +0>" +0@" +0g +1;" +0r +15" +17" +b11111 3" +0d +0_ +b1110 0" +0k +18" +1l +b101 -" +1y +0u +14" +1v +0a +02" +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#648500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 ' +b0 D +0) +b11100 & +b11100 C +1. +0% +#649000 +0y +1g +0;" +1r +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +1z +b1110010000011001101011110101000 | +b1110010000011001101011110101000 B" +0m +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b1110101000110101000110011111011 / +b1110101000110101000110011111011 K +b1110101000110101000110011111011 e +b1110101000110101000110011111011 "" +b11100001010010010011100101010101 0 +b11100001010010010011100101010101 M +b11100001010010010011100101010101 f +b11100001010010010011100101010101 !" +0. +1% +#649500 +b11100 5 +b11100 L +b11100 W +14 +b10111 7 +b10111 N +b10111 V +b10000001110001111101100110111010 2 +b10000001110001111101100110111010 I +b10000001110001111101100110111010 Z +b11010 3 +b11010 J +b11010 Y +11 +b11100 ' +b11100 D +1) +b10111 & +b10111 C +b10000001110001111101100110111010 , +b10000001110001111101100110111010 H +b11010 + +b11010 G +1- +1. +0% +#650000 +0] +0i +b1110010000011001101011110101000 { +b1110010000011001101011110101000 A" +1t +0\ +1=" +1@" +19" +1:" +1;" +0r +0q +05" +16" +b101 3" +1c +0_ +1<" +1` +b11 0" +0j +0o +1p +0k +18" +1l +b1000 -" +0z +b1100101001000100011100110101001 | +b1100101001000100011100110101001 B" +1b +12" +1/" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110010000011001101011110101000 0 +b1110010000011001101011110101000 M +b1110010000011001101011110101000 f +b1110010000011001101011110101000 !" +b10000001110001111101100110111010 &" +b10000001110001111101100110111010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b10111 } +b10111 (" +b10111 +" +0. +1% +#650010 +b10000001110001111101100110111010 ]" +#650500 +b1001 5 +b1001 L +b1001 W +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#651000 +1] +1k +1o +1u +0t +1\ +0=" +0@" +0g +1;" +19" +0:" +1q +17" +15" +b11111 3" +0c +0<" +0` +b10110 0" +08" +0l +0p +b11010000001000001000110101110001 { +b11010000001000001000110101110001 A" +b10001 -" +04" +0v +1z +b10101101001001110101100110111011 | +b10101101001001110101100110111011 B" +0b +02" +1m +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b1110 } +b1110 (" +b1110 +" +b1110010000011001101011110101000 / +b1110010000011001101011110101000 K +b1110010000011001101011110101000 e +b1110010000011001101011110101000 "" +b1100101001000100011100110101001 0 +b1100101001000100011100110101001 M +b1100101001000100011100110101001 f +b1100101001000100011100110101001 !" +0. +1% +#651500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1110110010001101101111010010010 2 +b1110110010001101101111010010010 I +b1110110010001101101111010010010 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1110110010001101101111010010010 , +b1110110010001101101111010010010 H +b111 + +b111 G +1- +1. +0% +#652000 +0] +1i +1s +0\ +0[ +1>" +1@" +1g +09" +0;" +1r +05" +06" +07" +b11000 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0m +0/" +0x +0," +b11010000001000001000110101110001 / +b11010000001000001000110101110001 K +b11010000001000001000110101110001 e +b11010000001000001000110101110001 "" +b10101101001001110101100110111011 0 +b10101101001001110101100110111011 M +b10101101001001110101100110111011 f +b10101101001001110101100110111011 !" +b1110110010001101101111010010010 &" +b1110110010001101101111010010010 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#652010 +b1110110010001101101111010010010 J" +#652500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#653000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#653500 +b11101 5 +b11101 L +b11101 W +14 +b10110001011001000101011111001000 2 +b10110001011001000101011111001000 I +b10110001011001000101011111001000 Z +b10001 3 +b10001 J +b10001 Y +11 +b11101 ' +b11101 D +1) +b10110001011001000101011111001000 , +b10110001011001000101011111001000 H +b10001 + +b10001 G +1- +1. +0% +#654000 +0i +b11011110110010000111111010000110 { +b11011110110010000111111010000110 A" +0[ +1@" +0g +19" +1:" +1;" +b1110 3" +0_ +1<" +1` +b10 0" +0j +0o +1p +0k +18" +1l +1a +12" +1m +1/" +b10110001011001000101011111001000 &" +b10110001011001000101011111001000 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#654010 +b10110001011001000101011111001000 T" +#654500 +b0 5 +b0 L +b0 W +b10100 7 +b10100 N +b10100 V +16 +b1000101010100101011010100010111 2 +b1000101010100101011010100010111 I +b1000101010100101011010100010111 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +b10100 & +b10100 C +1( +b1000101010100101011010100010111 , +b1000101010100101011010100010111 H +b11 + +b11 G +1. +0% +#655000 +1_ +1k +1i +0s +b110100011001001101101111100011 | +b110100011001001101101111100011 B" +0\ +1@" +1g +1;" +09" +0:" +16" +17" +b11100 3" +0<" +0` +b11111 0" +08" +0l +0p +b1100111010011010010010010000010 { +b1100111010011010010010010000010 A" +b1011 -" +1t +0u +14" +1v +1b +0m +1," +b1000101010100101011010100010111 &" +b1000101010100101011010100010111 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +b10100 } +b10100 (" +b10100 +" +1#" +b11011110110010000111111010000110 / +b11011110110010000111111010000110 K +b11011110110010000111111010000110 e +b11011110110010000111111010000110 "" +0. +1% +#655010 +b1000101010100101011010100010111 F" +#655500 +04 +b0 7 +b0 N +b0 V +06 +b10100101111101000001001101101 2 +b10100101111101000001001101101 I +b10100101111101000001001101101 Z +b10 3 +b10 J +b10 Y +0) +b0 & +b0 C +0( +b10100101111101000001001101101 , +b10100101111101000001001101101 H +b10 + +b10 G +1. +0% +#656000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1[ +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11101 3" +0k +b11111 -" +0t +04" +0v +0a +0/" +0," +b1100111010011010010010010000010 / +b1100111010011010010010010000010 K +b1100111010011010010010010000010 e +b1100111010011010010010010000010 "" +b110100011001001101101111100011 0 +b110100011001001101101111100011 M +b110100011001001101101111100011 f +b110100011001001101101111100011 !" +b10100101111101000001001101101 &" +b10100101111101000001001101101 ?" +b10 %" +b10 *" +b10 1" +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#656010 +b10100101111101000001001101101 E" +#656500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#657000 +0s +b11010000001000001000110101110001 | +b11010000001000001000110101110001 B" +1\ +0@" +0q +15" +17" +b11111 3" +0_ +b10110 -" +1y +1u +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#657500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#658000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b11010000001000001000110101110001 0 +b11010000001000001000110101110001 M +b11010000001000001000110101110001 f +b11010000001000001000110101110001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#658500 +b1010 7 +b1010 N +b1010 V +16 +b10100100000000101101000001011000 2 +b10100100000000101101000001011000 I +b10100100000000101101000001011000 Z +b1101 3 +b1101 J +b1101 Y +11 +b1010 & +b1010 C +1( +b10100100000000101101000001011000 , +b10100100000000101101000001011000 H +b1101 + +b1101 G +1- +1. +0% +#659000 +0] +0s +b11001101001101100001001110110100 | +b11001101001101100001001110110100 B" +0[ +1=" +1>" +1@" +0r +15" +17" +b10010 3" +0^ +0c +1d +1_ +b10101 -" +1y +1u +1a +12" +1x +1," +b10100100000000101101000001011000 &" +b10100100000000101101000001011000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#659010 +b10100100000000101101000001011000 P" +#659500 +b0 7 +b0 N +b0 V +06 +b10000100001110011101011010100101 2 +b10000100001110011101011010100101 I +b10000100001110011101011010100101 Z +b10100 3 +b10100 J +b10100 Y +b0 & +b0 C +0( +b10000100001110011101011010100101 , +b10000100001110011101011010100101 H +b10100 + +b10100 G +1. +0% +#660000 +0_ +1^ +1s +1[ +0=" +1>" +1r +05" +07" +b1011 3" +1<" +1` +0d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0x +0," +b11001101001101100001001110110100 0 +b11001101001101100001001110110100 M +b11001101001101100001001110110100 f +b11001101001101100001001110110100 !" +b10000100001110011101011010100101 &" +b10000100001110011101011010100101 ?" +b10100 %" +b10100 *" +b10100 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#660010 +b10000100001110011101011010100101 W" +#660500 +b101100011101100001000111110 2 +b101100011101100001000111110 I +b101100011101100001000111110 Z +b10000 3 +b10000 J +b10000 Y +b101100011101100001000111110 , +b101100011101100001000111110 H +b10000 + +b10000 G +1. +0% +#661000 +1] +0>" +b1111 3" +0^ +b101100011101100001000111110 &" +b101100011101100001000111110 ?" +b10000 %" +b10000 *" +b10000 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#661010 +b101100011101100001000111110 S" +#661500 +b1111 7 +b1111 N +b1111 V +16 +b10000110101011011100010111111001 2 +b10000110101011011100010111111001 I +b10000110101011011100010111111001 Z +b11110 3 +b11110 J +b11110 Y +b1111 & +b1111 C +1( +b10000110101011011100010111111001 , +b10000110101011011100010111111001 H +b11110 + +b11110 G +1. +0% +#662000 +0] +0s +b11101111000001110111010000010 | +b11101111000001110111010000010 B" +0\ +1=" +1>" +0r +0q +15" +16" +17" +b1 3" +0^ +0c +1d +b10000 -" +0t +0y +1z +1u +1b +1x +1w +1," +b10000110101011011100010111111001 &" +b10000110101011011100010111111001 ?" +b11110 %" +b11110 *" +b11110 1" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#662010 +b10000110101011011100010111111001 a" +#662500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#663000 +1] +1s +1\ +0=" +0>" +0@" +1r +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11101111000001110111010000010 0 +b11101111000001110111010000010 M +b11101111000001110111010000010 f +b11101111000001110111010000010 !" +0. +1% +#663500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#664000 +0s +b10000110101011011100010111111001 | +b10000110101011011100010111111001 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#664500 +b0 7 +b0 N +b0 V +06 +b10101001110001111100101000100000 2 +b10101001110001111100101000100000 I +b10101001110001111100101000100000 Z +b110 3 +b110 J +b110 Y +11 +b0 & +b0 C +0( +b10101001110001111100101000100000 , +b10101001110001111100101000100000 H +b110 + +b110 G +1- +1. +0% +#665000 +0] +1s +0\ +1>" +1@" +1r +05" +06" +07" +b11001 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0x +0," +b10101001110001111100101000100000 &" +b10101001110001111100101000100000 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10000110101011011100010111111001 0 +b10000110101011011100010111111001 M +b10000110101011011100010111111001 f +b10000110101011011100010111111001 !" +0. +1% +#665010 +b10101001110001111100101000100000 I" +#665500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#666000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0_ +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#666500 +b10110011111001101010010011101 2 +b10110011111001101010010011101 I +b10110011111001101010010011101 Z +b11010 3 +b11010 J +b11010 Y +11 +b10110011111001101010010011101 , +b10110011111001101010010011101 H +b11010 + +b11010 G +1- +1. +0% +#667000 +0] +0\ +1=" +1@" +b101 3" +1c +0_ +1<" +1` +1b +12" +b10110011111001101010010011101 &" +b10110011111001101010010011101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +0. +1% +#667010 +b10110011111001101010010011101 ]" +#667500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#668000 +1] +1\ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#668500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#669000 +0i +b1110110010001101101111010010010 { +b1110110010001101101111010010010 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#669500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#670000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1110110010001101101111010010010 / +b1110110010001101101111010010010 K +b1110110010001101101111010010010 e +b1110110010001101101111010010010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#670500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#671000 +b100010011101111011000110100001 { +b100010011101111011000110100001 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#671500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#672000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b100010011101111011000110100001 / +b100010011101111011000110100001 K +b100010011101111011000110100001 e +b100010011101111011000110100001 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#672500 +1. +0% +#673000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#673500 +b11000 7 +b11000 N +b11000 V +16 +b11000 & +b11000 C +1( +1. +0% +#674000 +0s +b10010100111011111000111100101001 | +b10010100111011111000111100101001 B" +15" +17" +b111 -" +1y +0u +14" +1v +1," +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#674500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +1. +0% +#675000 +b10110101111010100100001010101001 { +b10110101111010100100001010101001 A" +1s +0h +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1101 0" +0k +18" +1l +b11111 -" +0y +04" +0v +1n +1/" +0," +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10010100111011111000111100101001 0 +b10010100111011111000111100101001 M +b10010100111011111000111100101001 f +b10010100111011111000111100101001 !" +0. +1% +#675500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#676000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b10110101111010100100001010101001 / +b10110101111010100100001010101001 K +b10110101111010100100001010101001 e +b10110101111010100100001010101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#676500 +b11010010011010000101110110101111 2 +b11010010011010000101110110101111 I +b11010010011010000101110110101111 Z +b11011 3 +b11011 J +b11011 Y +11 +b11010010011010000101110110101111 , +b11010010011010000101110110101111 H +b11011 + +b11011 G +1- +1. +0% +#677000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b11010010011010000101110110101111 &" +b11010010011010000101110110101111 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#677010 +b11010010011010000101110110101111 ^" +#677500 +b11010 5 +b11010 L +b11010 W +14 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#678000 +1] +0i +b10110011111001101010010011101 { +b10110011111001101010010011101 A" +0s +b10110011111001101010010011101 | +b10110011111001101010010011101 B" +1\ +1[ +0=" +0@" +0h +19" +1;" +0r +15" +17" +b11111 3" +0c +0<" +0` +b101 0" +1o +0k +18" +1l +b101 -" +1y +0u +14" +1v +0b +0a +02" +1n +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#678500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#679000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b10110011111001101010010011101 / +b10110011111001101010010011101 K +b10110011111001101010010011101 e +b10110011111001101010010011101 "" +b10110011111001101010010011101 0 +b10110011111001101010010011101 M +b10110011111001101010010011101 f +b10110011111001101010010011101 !" +0. +1% +#679500 +b0 5 +b0 L +b0 W +04 +b1010011101000000111101001110011 2 +b1010011101000000111101001110011 I +b1010011101000000111101001110011 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b1010011101000000111101001110011 , +b1010011101000000111101001110011 H +b11000 + +b11000 G +1- +1. +0% +#680000 +0] +1i +1=" +1@" +1h +09" +0;" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010011101000000111101001110011 &" +b1010011101000000111101001110011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#680010 +b1010011101000000111101001110011 [" +#680500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#681000 +1] +0=" +0@" +b11111 3" +0c +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#681500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#682000 +0s +b1100011010101100000110010101101 | +b1100011010101100000110010101101 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#682500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#683000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1100011010101100000110010101101 0 +b1100011010101100000110010101101 M +b1100011010101100000110010101101 f +b1100011010101100000110010101101 !" +0. +1% +#683500 +b10 5 +b10 L +b10 W +14 +b10011 7 +b10011 N +b10011 V +16 +b11011110110010000111111111011101 2 +b11011110110010000111111111011101 I +b11011110110010000111111111011101 Z +b1001 3 +b1001 J +b1001 Y +11 +b10 ' +b10 D +1) +b10011 & +b10011 C +1( +b11011110110010000111111111011101 , +b11011110110010000111111111011101 H +b1001 + +b1001 G +1- +1. +0% +#684000 +0] +b10100101111101000001001101101 { +b10100101111101000001001101101 A" +b100010011101111011000110100001 | +b100010011101111011000110100001 B" +0[ +1=" +1@" +0h +1;" +0r +0q +17" +b10110 3" +1c +1_ +b11101 0" +1k +b1100 -" +0u +14" +1v +1a +12" +1n +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011110110010000111111111011101 &" +b11011110110010000111111111011101 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#684010 +b11011110110010000111111111011101 L" +#684500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b10111110111001000001101100100010 2 +b10111110111001000001101100100010 I +b10111110111001000001101100100010 Z +b1101 3 +b1101 J +b1101 Y +b10010 ' +b10010 D +b0 & +b0 C +0( +b10111110111001000001101100100010 , +b10111110111001000001101100100010 H +b1101 + +b1101 G +1. +0% +#685000 +0c +0k +1>" +b10110101111010100100001010101001 { +b10110101111010100100001010101001 A" +1r +1q +07" +b10010 3" +1d +b1101 0" +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b10111110111001000001101100100010 &" +b10111110111001000001101100100010 ?" +b1101 %" +b1101 *" +b1101 1" +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +b10100101111101000001001101101 / +b10100101111101000001001101101 K +b10100101111101000001001101101 e +b10100101111101000001001101101 "" +b100010011101111011000110100001 0 +b100010011101111011000110100001 M +b100010011101111011000110100001 f +b100010011101111011000110100001 !" +0. +1% +#685010 +b10111110111001000001101100100010 P" +#685500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#686000 +1] +1[ +0=" +0>" +0@" +1h +0;" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b10110101111010100100001010101001 / +b10110101111010100100001010101001 K +b10110101111010100100001010101001 e +b10110101111010100100001010101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#686500 +b1011001111111101011010100000011 2 +b1011001111111101011010100000011 I +b1011001111111101011010100000011 Z +b11001 3 +b11001 J +b11001 Y +11 +b1011001111111101011010100000011 , +b1011001111111101011010100000011 H +b11001 + +b11001 G +1- +1. +0% +#687000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b1011001111111101011010100000011 &" +b1011001111111101011010100000011 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#687010 +b1011001111111101011010100000011 \" +#687500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#688000 +1] +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#688500 +b1 5 +b1 L +b1 W +14 +b11101111000010100010101100000001 2 +b11101111000010100010101100000001 I +b11101111000010100010101100000001 Z +11 +b1 ' +b1 D +1) +b11101111000010100010101100000001 , +b11101111000010100010101100000001 H +1- +1. +0% +#689000 +b10111001001001100101101110010111 { +b10111001001001100101101110010111 A" +1@" +0g +1;" +1_ +b11110 0" +1k +12" +1m +1/" +b11101111000010100010101100000001 &" +b11101111000010100010101100000001 ?" +1'" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#689010 +b11101111000010100010101100000001 C" +#689500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b11111011000001000011011010110 2 +b11111011000001000011011010110 I +b11111011000001000011011010110 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +b110 & +b110 C +1( +b11111011000001000011011010110 , +b11111011000001000011011010110 H +b10111 + +b10111 G +1. +0% +#690000 +0_ +0] +0s +b10101001110001111100101000100000 | +b10101001110001111100101000100000 B" +0\ +0[ +1>" +1g +0;" +0r +16" +17" +b1000 3" +1<" +1` +1^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11001 -" +1t +1u +1b +1a +0m +0/" +1x +1," +b10111001001001100101101110010111 / +b10111001001001100101101110010111 K +b10111001001001100101101110010111 e +b10111001001001100101101110010111 "" +b11111011000001000011011010110 &" +b11111011000001000011011010110 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#690010 +b11111011000001000011011010110 Z" +#690500 +b11000 5 +b11000 L +b11000 W +14 +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#691000 +15" +1] +0i +b1010011101000000111101001110011 { +b1010011101000000111101001110011 A" +1y +1\ +1[ +0>" +0@" +19" +1;" +0q +06" +b11111 3" +0^ +0<" +0` +b111 0" +1o +0k +18" +1l +b10100 -" +0t +b10000001111010011111010010100111 | +b10000001111010011111010010100111 B" +0b +0a +02" +1/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b1011 } +b1011 (" +b1011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101001110001111100101000100000 0 +b10101001110001111100101000100000 M +b10101001110001111100101000100000 f +b10101001110001111100101000100000 !" +0. +1% +#691500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101010110101110000100000010100 2 +b101010110101110000100000010100 I +b101010110101110000100000010100 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101010110101110000100000010100 , +b101010110101110000100000010100 H +b10101 + +b10101 G +1- +1. +0% +#692000 +0] +1i +1s +0[ +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +05" +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0o +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0/" +0x +0w +0," +b1010011101000000111101001110011 / +b1010011101000000111101001110011 K +b1010011101000000111101001110011 e +b1010011101000000111101001110011 "" +b10000001111010011111010010100111 0 +b10000001111010011111010010100111 M +b10000001111010011111010010100111 f +b10000001111010011111010010100111 !" +b101010110101110000100000010100 &" +b101010110101110000100000010100 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#692010 +b101010110101110000100000010100 X" +#692500 +b111111111000000100111000010000 2 +b111111111000000100111000010000 I +b111111111000000100111000010000 Z +b11100 3 +b11100 J +b11100 Y +b111111111000000100111000010000 , +b111111111000000100111000010000 H +b11100 + +b11100 G +1. +0% +#693000 +0^ +1[ +1=" +b11 3" +1d +0a +b111111111000000100111000010000 &" +b111111111000000100111000010000 ?" +b11100 %" +b11100 *" +b11100 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#693010 +b111111111000000100111000010000 _" +#693500 +b100 5 +b100 L +b100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#694000 +1] +0i +b1110111111010111001001111010100 { +b1110111111010111001001111010100 A" +0=" +0>" +0@" +1:" +1;" +b11111 3" +0d +0<" +0` +b11011 0" +1j +1k +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#694500 +b0 5 +b0 L +b0 W +04 +b11001001111111011100101011110111 2 +b11001001111111011100101011110111 I +b11001001111111011100101011110111 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b11001001111111011100101011110111 , +b11001001111111011100101011110111 H +b111 + +b111 G +1- +1. +0% +#695000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +0[ +1>" +1@" +0:" +0;" +b11000 3" +1^ +1_ +b11111 0" +0j +0k +1b +1a +12" +0/" +b11001001111111011100101011110111 &" +b11001001111111011100101011110111 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110111111010111001001111010100 / +b1110111111010111001001111010100 K +b1110111111010111001001111010100 e +b1110111111010111001001111010100 "" +0. +1% +#695010 +b11001001111111011100101011110111 J" +#695500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#696000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#696500 +14 +1) +1. +0% +#697000 +b11101111000010100010101100000001 { +b11101111000010100010101100000001 A" +1;" +1k +1/" +1$" +0. +1% +#697500 +04 +0) +1. +0% +#698000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +b11101111000010100010101100000001 / +b11101111000010100010101100000001 K +b11101111000010100010101100000001 e +b11101111000010100010101100000001 "" +0$" +0. +1% +#698500 +b11001000110011011000000001011011 2 +b11001000110011011000000001011011 I +b11001000110011011000000001011011 Z +b10101 3 +b10101 J +b10101 Y +11 +b11001000110011011000000001011011 , +b11001000110011011000000001011011 H +b10101 + +b10101 G +1- +1. +0% +#699000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b11001000110011011000000001011011 &" +b11001000110011011000000001011011 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#699010 +b11001000110011011000000001011011 X" +#699500 +b11 5 +b11 L +b11 W +14 +b11110 7 +b11110 N +b11110 V +16 +b100011001000100010001110000111 2 +b100011001000100010001110000111 I +b100011001000100010001110000111 Z +b10111 3 +b10111 J +b10111 Y +b11 ' +b11 D +1) +b11110 & +b11110 C +1( +b100011001000100010001110000111 , +b100011001000100010001110000111 H +b10111 + +b10111 G +1. +0% +#700000 +b1000101010100101011010100010111 { +b1000101010100101011010100010111 A" +0s +b10000110101011011100010111111001 | +b10000110101011011100010111111001 B" +0\ +0h +0g +1;" +0r +15" +16" +17" +b1000 3" +b11100 0" +1k +b1 -" +0t +0y +1z +0u +14" +1v +1b +1n +1m +1/" +1x +1," +b100011001000100010001110000111 &" +b100011001000100010001110000111 ?" +b10111 %" +b10111 *" +b10111 1" +b11 ~ +b11 )" +b11 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#700010 +b100011001000100010001110000111 Z" +#700500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +06 +b1011101101001010111100000101101 2 +b1011101101001010111100000101101 I +b1011101101001010111100000101101 Z +b1100 3 +b1100 J +b1100 Y +b1111 ' +b1111 D +b0 & +b0 C +0( +b1011101101001010111100000101101 , +b1011101101001010111100000101101 H +b1100 + +b1100 G +1. +0% +#701000 +1_ +0^ +0i +b11101111000001110111010000010 { +b11101111000001110111010000010 A" +1s +1\ +1[ +1@" +1=" +19" +1:" +1r +05" +06" +07" +b10011 3" +0<" +0` +1d +b10000 0" +0j +0o +1p +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +0x +0," +b1011101101001010111100000101101 &" +b1011101101001010111100000101101 ?" +b1100 %" +b1100 *" +b1100 1" +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0#" +b1000101010100101011010100010111 / +b1000101010100101011010100010111 K +b1000101010100101011010100010111 e +b1000101010100101011010100010111 "" +b10000110101011011100010111111001 0 +b10000110101011011100010111111001 M +b10000110101011011100010111111001 f +b10000110101011011100010111111001 !" +0. +1% +#701010 +b1011101101001010111100000101101 O" +#701500 +b11010 5 +b11010 L +b11010 W +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#702000 +1] +0k +1o +0s +b10000100001110011101011010100101 | +b10000100001110011101011010100101 B" +0=" +0>" +0@" +1g +19" +0:" +16" +17" +b11111 3" +0d +0_ +b101 0" +18" +1l +0p +b10110011111001101010010011101 { +b10110011111001101010010011101 A" +b1011 -" +1t +0u +14" +1v +02" +0m +1," +b11101111000001110111010000010 / +b11101111000001110111010000010 K +b11101111000001110111010000010 e +b11101111000001110111010000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#702500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b0 ' +b0 D +0) +b101 & +b101 C +1. +0% +#703000 +1i +1u +1h +09" +0;" +0q +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +04" +0v +b10010011010111101001111001101 | +b10010011010111101001111001101 B" +0n +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b10110011111001101010010011101 / +b10110011111001101010010011101 K +b10110011111001101010010011101 e +b10110011111001101010010011101 "" +b10000100001110011101011010100101 0 +b10000100001110011101011010100101 M +b10000100001110011101011010100101 f +b10000100001110011101011010100101 !" +0. +1% +#703500 +b1001 7 +b1001 N +b1001 V +b1001 & +b1001 C +1. +0% +#704000 +15" +1y +b11011110110010000111111111011101 | +b11011110110010000111111111011101 B" +06" +b10110 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010011010111101001111001101 0 +b10010011010111101001111001101 M +b10010011010111101001111001101 f +b10010011010111101001111001101 !" +b1001 } +b1001 (" +b1001 +" +0. +1% +#704500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#705000 +0i +b1110111111010111001001111010100 { +b1110111111010111001001111010100 A" +1s +1:" +1;" +1q +05" +07" +b11011 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0w +0," +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11011110110010000111111111011101 0 +b11011110110010000111111111011101 M +b11011110110010000111111111011101 f +b11011110110010000111111111011101 !" +0. +1% +#705500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#706000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b1110111111010111001001111010100 / +b1110111111010111001001111010100 K +b1110111111010111001001111010100 e +b1110111111010111001001111010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#706500 +b11101 7 +b11101 N +b11101 V +16 +b11101 & +b11101 C +1( +1. +0% +#707000 +0s +b11011110110010000111111010000110 | +b11011110110010000111111010000110 B" +0q +15" +16" +17" +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#707500 +b10111 7 +b10111 N +b10111 V +b1001110000000011101100100110101 2 +b1001110000000011101100100110101 I +b1001110000000011101100100110101 Z +b101 3 +b101 J +b101 Y +11 +b10111 & +b10111 C +b1001110000000011101100100110101 , +b1001110000000011101100100110101 H +b101 + +b101 G +1- +1. +0% +#708000 +0] +1t +0[ +1>" +1@" +0r +05" +16" +b11010 3" +1^ +1_ +b1000 -" +0z +b100011001000100010001110000111 | +b100011001000100010001110000111 B" +1a +12" +1x +b11011110110010000111111010000110 0 +b11011110110010000111111010000110 M +b11011110110010000111111010000110 f +b11011110110010000111111010000110 !" +b1001110000000011101100100110101 &" +b1001110000000011101100100110101 ?" +b101 %" +b101 *" +b101 1" +1'" +b10111 } +b10111 (" +b10111 +" +0. +1% +#708010 +b1001110000000011101100100110101 H" +#708500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#709000 +1] +0i +b10101001110001111100101000100000 { +b10101001110001111100101000100000 A" +1u +1s +1[ +0>" +0@" +0h +1:" +1;" +1r +1q +17" +06" +b11111 3" +0^ +0_ +b11001 0" +1j +1k +b11111 -" +04" +0v +0t +b11101111000010100010101100000001 | +b11101111000010100010101100000001 B" +0a +02" +1n +1/" +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +b100011001000100010001110000111 0 +b100011001000100010001110000111 M +b100011001000100010001110000111 f +b100011001000100010001110000111 !" +0. +1% +#709500 +b11111 5 +b11111 L +b11111 W +b11010 7 +b11010 N +b11010 V +b11111 ' +b11111 D +b11010 & +b11010 C +1. +0% +#710000 +0k +0j +0u +0s +0g +19" +0r +15" +b0 0" +18" +1l +1p +b1100110001110001011011011001000 { +b1100110001110001011011011001000 A" +b101 -" +14" +1v +1y +b10110011111001101010010011101 | +b10110011111001101010010011101 B" +1m +1x +b10101001110001111100101000100000 / +b10101001110001111100101000100000 K +b10101001110001111100101000100000 e +b10101001110001111100101000100000 "" +b11101111000010100010101100000001 0 +b11101111000010100010101100000001 M +b11101111000010100010101100000001 f +b11101111000010100010101100000001 !" +b11111 ~ +b11111 )" +b11111 ." +b11010 } +b11010 (" +b11010 +" +0. +1% +#710500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +b0 ' +b0 D +0) +b10 & +b10 C +1. +0% +#711000 +1i +1u +1s +1h +1g +09" +0:" +0;" +17" +b10100101111101000001001101101 | +b10100101111101000001001101101 B" +05" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +04" +0v +0y +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +b1100110001110001011011011001000 / +b1100110001110001011011011001000 K +b1100110001110001011011011001000 e +b1100110001110001011011011001000 "" +b10110011111001101010010011101 0 +b10110011111001101010010011101 M +b10110011111001101010010011101 f +b10110011111001101010010011101 !" +0. +1% +#711500 +b1010 5 +b1010 L +b1010 W +14 +b11001 7 +b11001 N +b11001 V +b10111001011011000011100011011001 2 +b10111001011011000011100011011001 I +b10111001011011000011100011011001 Z +b11000 3 +b11000 J +b11000 Y +11 +b1010 ' +b1010 D +1) +b11001 & +b11001 C +b10111001011011000011100011011001 , +b10111001011011000011100011011001 H +b11000 + +b11000 G +1- +1. +0% +#712000 +0] +0i +b11001101001101100001001110110100 { +b11001101001101100001001110110100 A" +0u +0s +1=" +1@" +0h +19" +1;" +1r +0q +15" +b111 3" +1c +0_ +1<" +1` +b10101 0" +1o +1k +b110 -" +14" +1v +1y +b1011001111111101011010100000011 | +b1011001111111101011010100000011 B" +12" +1n +1/" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100101111101000001001101101 0 +b10100101111101000001001101101 M +b10100101111101000001001101101 f +b10100101111101000001001101101 !" +b10111001011011000011100011011001 &" +b10111001011011000011100011011001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#712010 +b10111001011011000011100011011001 [" +#712500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10010 & +b10010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#713000 +1] +1i +1s +0=" +0@" +1h +09" +0;" +0r +1q +05" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +0y +b10110101111010100100001010101001 | +b10110101111010100100001010101001 B" +02" +0n +0/" +1x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +b11001101001101100001001110110100 / +b11001101001101100001001110110100 K +b11001101001101100001001110110100 e +b11001101001101100001001110110100 "" +b1011001111111101011010100000011 0 +b1011001111111101011010100000011 M +b1011001111111101011010100000011 f +b1011001111111101011010100000011 !" +0. +1% +#713500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +1. +0% +#714000 +b101100011101100001000111110 { +b101100011101100001000111110 A" +1;" +1r +07" +b1111 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110101111010100100001010101001 0 +b10110101111010100100001010101001 M +b10110101111010100100001010101001 f +b10110101111010100100001010101001 !" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#714500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#715000 +1k +1;" +b11101111000010100010101100000001 { +b11101111000010100010101100000001 A" +b11111 0" +08" +0l +b0 ~ +b0 )" +b0 ." +b101100011101100001000111110 / +b101100011101100001000111110 K +b101100011101100001000111110 e +b101100011101100001000111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#715500 +04 +0) +1. +0% +#716000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +b11101111000010100010101100000001 / +b11101111000010100010101100000001 K +b11101111000010100010101100000001 e +b11101111000010100010101100000001 "" +0$" +0. +1% +#716500 +1. +0% +#717000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#717500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#718000 +0i +b10000001111010011111010010100111 { +b10000001111010011111010010100111 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#718500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b0 ' +b0 D +0) +b110 & +b110 C +1( +1. +0% +#719000 +1i +0s +b10101001110001111100101000100000 | +b10101001110001111100101000100000 B" +1h +1g +09" +0;" +0r +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11001 -" +1t +1u +0n +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +b10000001111010011111010010100111 / +b10000001111010011111010010100111 K +b10000001111010011111010010100111 e +b10000001111010011111010010100111 "" +0. +1% +#719500 +b0 7 +b0 N +b0 V +06 +b110111101010010001011000110 2 +b110111101010010001011000110 I +b110111101010010001011000110 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 & +b0 C +0( +b110111101010010001011000110 , +b110111101010010001011000110 H +b10101 + +b10101 G +1- +1. +0% +#720000 +0] +1s +0[ +1>" +1@" +1r +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101001110001111100101000100000 0 +b10101001110001111100101000100000 M +b10101001110001111100101000100000 f +b10101001110001111100101000100000 !" +b110111101010010001011000110 &" +b110111101010010001011000110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#720010 +b110111101010010001011000110 X" +#720500 +b1011 5 +b1011 L +b1011 W +14 +b11110011100100010101000111101100 2 +b11110011100100010101000111101100 I +b11110011100100010101000111101100 Z +b10 3 +b10 J +b10 Y +b1011 ' +b1011 D +1) +b11110011100100010101000111101100 , +b11110011100100010101000111101100 H +b10 + +b10 G +1. +0% +#721000 +1_ +1] +0i +b10000001111010011111010010100111 { +b10000001111010011111010010100111 A" +0\ +1[ +1@" +0>" +0h +0g +19" +1;" +b11101 3" +0<" +0` +0^ +b10100 0" +1o +1k +1b +0a +1n +1m +1/" +b11110011100100010101000111101100 &" +b11110011100100010101000111101100 ?" +b10 %" +b10 *" +b10 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#721010 +b11110011100100010101000111101100 E" +#721500 +b1101 5 +b1101 L +b1101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#722000 +0o +1\ +0@" +1h +1:" +b11111 3" +0_ +b10010 0" +1p +b10111110111001000001101100100010 { +b10111110111001000001101100100010 A" +0b +02" +0n +b10000001111010011111010010100111 / +b10000001111010011111010010100111 K +b10000001111010011111010010100111 e +b10000001111010011111010010100111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +0. +1% +#722500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#723000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10111110111001000001101100100010 / +b10111110111001000001101100100010 K +b10111110111001000001101100100010 e +b10111110111001000001101100100010 "" +0. +1% +#723500 +1. +0% +#724000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#724500 +b1001 5 +b1001 L +b1001 W +14 +b10100000111111110001011000001001 2 +b10100000111111110001011000001001 I +b10100000111111110001011000001001 Z +b11110 3 +b11110 J +b11110 Y +11 +b1001 ' +b1001 D +1) +b10100000111111110001011000001001 , +b10100000111111110001011000001001 H +b11110 + +b11110 G +1- +1. +0% +#725000 +0] +0i +b11011110110010000111111111011101 { +b11011110110010000111111111011101 A" +0\ +1=" +1>" +1@" +0g +19" +1;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b10110 0" +1o +1k +1b +12" +1m +1/" +b10100000111111110001011000001001 &" +b10100000111111110001011000001001 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#725010 +b10100000111111110001011000001001 a" +#725500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#726000 +1] +1i +b101100011101100001000111110 | +b101100011101100001000111110 B" +1\ +0=" +0>" +0@" +1g +09" +0;" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +0u +14" +1v +0b +02" +0m +0/" +1," +b11011110110010000111111111011101 / +b11011110110010000111111111011101 K +b11011110110010000111111111011101 e +b11011110110010000111111111011101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#726500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b101 ' +b101 D +1) +b0 & +b0 C +0( +1. +0% +#727000 +0i +b1001110000000011101100100110101 { +b1001110000000011101100100110101 A" +0g +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11010 0" +1j +1k +b11111 -" +04" +0v +1m +1/" +0," +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101100011101100001000111110 0 +b101100011101100001000111110 M +b101100011101100001000111110 f +b101100011101100001000111110 !" +0. +1% +#727500 +b110 5 +b110 L +b110 W +b110 ' +b110 D +1. +0% +#728000 +0h +1g +b11001 0" +b10101001110001111100101000100000 { +b10101001110001111100101000100000 A" +1n +0m +b1001110000000011101100100110101 / +b1001110000000011101100100110101 K +b1001110000000011101100100110101 e +b1001110000000011101100100110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110 ~ +b110 )" +b110 ." +0. +1% +#728500 +b111 5 +b111 L +b111 W +b111 ' +b111 D +1. +0% +#729000 +0g +b11000 0" +b11001001111111011100101011110111 { +b11001001111111011100101011110111 A" +1m +b111 ~ +b111 )" +b111 ." +b10101001110001111100101000100000 / +b10101001110001111100101000100000 K +b10101001110001111100101000100000 e +b10101001110001111100101000100000 "" +0. +1% +#729500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b10100001011010110010101001101100 2 +b10100001011010110010101001101100 I +b10100001011010110010101001101100 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b10100001011010110010101001101100 , +b10100001011010110010101001101100 H +b1000 + +b1000 G +1- +1. +0% +#730000 +0] +1i +b100010011101111011000110100001 | +b100010011101111011000110100001 B" +1=" +1@" +1h +1g +0:" +0;" +0r +0q +17" +b10111 3" +1c +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +12" +0n +0m +0/" +1x +1w +1," +b11001001111111011100101011110111 / +b11001001111111011100101011110111 K +b11001001111111011100101011110111 e +b11001001111111011100101011110111 "" +b10100001011010110010101001101100 &" +b10100001011010110010101001101100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#730010 +b10100001011010110010101001101100 K" +#730500 +b0 7 +b0 N +b0 V +06 +b11001001001001100101011100011001 2 +b11001001001001100101011100011001 I +b11001001001001100101011100011001 Z +b1111 3 +b1111 J +b1111 Y +b0 & +b0 C +0( +b11001001001001100101011100011001 , +b11001001001001100101011100011001 H +b1111 + +b1111 G +1. +0% +#731000 +0c +0\ +0[ +1>" +1r +1q +07" +b10000 3" +1d +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +0x +0w +0," +b11001001001001100101011100011001 &" +b11001001001001100101011100011001 ?" +b1111 %" +b1111 *" +b1111 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010011101111011000110100001 0 +b100010011101111011000110100001 M +b100010011101111011000110100001 f +b100010011101111011000110100001 !" +0. +1% +#731010 +b11001001001001100101011100011001 R" +#731500 +b10010 5 +b10010 L +b10010 W +14 +b10110111111001010101111101001001 2 +b10110111111001010101111101001001 I +b10110111111001010101111101001001 Z +b101 3 +b101 J +b101 Y +b10010 ' +b10010 D +1) +b10110111111001010101111101001001 , +b10110111111001010101111101001001 H +b101 + +b101 G +1. +0% +#732000 +1^ +b10110101111010100100001010101001 { +b10110101111010100100001010101001 A" +1\ +0=" +1>" +0h +1;" +b11010 3" +0d +b1101 0" +0k +18" +1l +0b +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110111111001010101111101001001 &" +b10110111111001010101111101001001 ?" +b101 %" +b101 *" +b101 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#732010 +b10110111111001010101111101001001 H" +#732500 +b0 5 +b0 L +b0 W +04 +b100100110000101101001100000 2 +b100100110000101101001100000 I +b100100110000101101001100000 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b100100110000101101001100000 , +b100100110000101101001100000 H +b11 + +b11 G +1. +0% +#733000 +1] +0\ +0>" +1h +0;" +b11100 3" +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +0n +0/" +b100100110000101101001100000 &" +b100100110000101101001100000 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +b10110101111010100100001010101001 / +b10110101111010100100001010101001 K +b10110101111010100100001010101001 e +b10110101111010100100001010101001 "" +0. +1% +#733010 +b100100110000101101001100000 F" +#733500 +b10110 5 +b10110 L +b10110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#734000 +0i +b11000100111101101110011000001001 { +b11000100111101101110011000001001 A" +1\ +1[ +0@" +0h +1:" +1;" +b11111 3" +0_ +b1001 0" +1j +0k +18" +1l +0b +0a +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#734500 +b1000 5 +b1000 L +b1000 W +b11101 7 +b11101 N +b11101 V +16 +b1000 ' +b1000 D +b11101 & +b11101 C +1( +1. +0% +#735000 +19" +1k +1o +0s +b11011110110010000111111010000110 | +b11011110110010000111111010000110 B" +1h +1;" +0:" +0q +15" +16" +17" +b10111 0" +08" +0l +0j +b10100001011010110010101001101100 { +b10100001011010110010101001101100 A" +b10 -" +0t +0y +1z +0u +14" +1v +0n +1w +1," +b1000 ~ +b1000 )" +b1000 ." +b11101 } +b11101 (" +b11101 +" +1#" +b11000100111101101110011000001001 / +b11000100111101101110011000001001 K +b11000100111101101110011000001001 e +b11000100111101101110011000001001 "" +0. +1% +#735500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b1001011111101010000110110000110 2 +b1001011111101010000110110000110 I +b1001011111101010000110110000110 Z +b10 3 +b10 J +b10 Y +11 +b11 ' +b11 D +b0 & +b0 C +0( +b1001011111101010000110110000110 , +b1001011111101010000110110000110 H +b10 + +b10 G +1- +1. +0% +#736000 +1i +1s +0\ +1@" +0h +0g +09" +1q +05" +06" +07" +b11101 3" +1_ +b11100 0" +0o +b100100110000101101001100000 { +b100100110000101101001100000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1n +1m +0w +0," +b10100001011010110010101001101100 / +b10100001011010110010101001101100 K +b10100001011010110010101001101100 e +b10100001011010110010101001101100 "" +b11011110110010000111111010000110 0 +b11011110110010000111111010000110 M +b11011110110010000111111010000110 f +b11011110110010000111111010000110 !" +b1001011111101010000110110000110 &" +b1001011111101010000110110000110 ?" +b10 %" +b10 *" +b10 1" +1'" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#736010 +b1001011111101010000110110000110 E" +#736500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#737000 +1\ +0@" +1h +1g +0;" +b11111 3" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b100100110000101101001100000 / +b100100110000101101001100000 K +b100100110000101101001100000 e +b100100110000101101001100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#737500 +b10010 5 +b10010 L +b10010 W +14 +b11010 7 +b11010 N +b11010 V +16 +b10001000111000100010101101001 2 +b10001000111000100010101101001 I +b10001000111000100010101101001 Z +b1001 3 +b1001 J +b1001 Y +11 +b10010 ' +b10010 D +1) +b11010 & +b11010 C +1( +b10001000111000100010101101001 , +b10001000111000100010101101001 H +b1001 + +b1001 G +1- +1. +0% +#738000 +0] +b10110101111010100100001010101001 { +b10110101111010100100001010101001 A" +0s +b10110011111001101010010011101 | +b10110011111001101010010011101 B" +0[ +1=" +1@" +0h +1;" +0r +15" +17" +b10110 3" +1c +1_ +b1101 0" +0k +18" +1l +b101 -" +1y +0u +14" +1v +1a +12" +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001000111000100010101101001 &" +b10001000111000100010101101001 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#738010 +b10001000111000100010101101001 L" +#738500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#739000 +1] +1s +1[ +0=" +0@" +1h +0;" +1r +05" +07" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0n +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10110101111010100100001010101001 / +b10110101111010100100001010101001 K +b10110101111010100100001010101001 e +b10110101111010100100001010101001 "" +b10110011111001101010010011101 0 +b10110011111001101010010011101 M +b10110011111001101010010011101 f +b10110011111001101010010011101 !" +0. +1% +#739500 +b110 5 +b110 L +b110 W +14 +b11110011110111111110001000110010 2 +b11110011110111111110001000110010 I +b11110011110111111110001000110010 Z +b10000 3 +b10000 J +b10000 Y +11 +b110 ' +b110 D +1) +b11110011110111111110001000110010 , +b11110011110111111110001000110010 H +b10000 + +b10000 G +1- +1. +0% +#740000 +0i +b10101001110001111100101000100000 { +b10101001110001111100101000100000 A" +1@" +0h +1:" +1;" +b1111 3" +0_ +1<" +1` +b11001 0" +1j +1k +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110011110111111110001000110010 &" +b11110011110111111110001000110010 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#740010 +b11110011110111111110001000110010 S" +#740500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#741000 +1i +0s +b10000100001110011101011010100101 | +b10000100001110011101011010100101 B" +0@" +1h +0:" +0;" +16" +17" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1011 -" +1t +0u +14" +1v +02" +0n +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b10101001110001111100101000100000 / +b10101001110001111100101000100000 K +b10101001110001111100101000100000 e +b10101001110001111100101000100000 "" +0. +1% +#741500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#742000 +1s +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0t +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000100001110011101011010100101 0 +b10000100001110011101011010100101 M +b10000100001110011101011010100101 f +b10000100001110011101011010100101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#742500 +14 +b10101011100010110010110100101100 2 +b10101011100010110010110100101100 I +b10101011100010110010110100101100 Z +b10001 3 +b10001 J +b10001 Y +11 +1) +b10101011100010110010110100101100 , +b10101011100010110010110100101100 H +b10001 + +b10001 G +1- +1. +0% +#743000 +b11101111000010100010101100000001 { +b11101111000010100010101100000001 A" +0[ +1@" +1;" +b1110 3" +0_ +1<" +1` +1k +1a +12" +1/" +b10101011100010110010110100101100 &" +b10101011100010110010110100101100 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#743010 +b10101011100010110010110100101100 T" +#743500 +b11100 5 +b11100 L +b11100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#744000 +0k +0i +1[ +0@" +b111111111000000100111000010000 { +b111111111000000100111000010000 A" +19" +1:" +b11111 3" +0<" +0` +b11 0" +18" +1l +0j +0o +1p +0a +02" +b11101111000010100010101100000001 / +b11101111000010100010101100000001 K +b11101111000010100010101100000001 e +b11101111000010100010101100000001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +0. +1% +#744500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#745000 +b11110011110111111110001000110010 | +b11110011110111111110001000110010 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +b111111111000000100111000010000 / +b111111111000000100111000010000 K +b111111111000000100111000010000 e +b111111111000000100111000010000 "" +0. +1% +#745500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1101101101010001111011110011100 2 +b1101101101010001111011110011100 I +b1101101101010001111011110011100 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1101101101010001111011110011100 , +b1101101101010001111011110011100 H +b100 + +b100 G +1- +1. +0% +#746000 +0] +1i +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11011 3" +1^ +1_ +b11111 0" +0p +08" +0l +b11111 -" +04" +0v +12" +0/" +0," +b11110011110111111110001000110010 0 +b11110011110111111110001000110010 M +b11110011110111111110001000110010 f +b11110011110111111110001000110010 !" +b1101101101010001111011110011100 &" +b1101101101010001111011110011100 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#746010 +b1101101101010001111011110011100 G" +#746500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#747000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#747500 +1. +0% +#748000 +0. +1% +#748500 +b11010101001101010011001100011000 2 +b11010101001101010011001100011000 I +b11010101001101010011001100011000 Z +b11011 3 +b11011 J +b11011 Y +11 +b11010101001101010011001100011000 , +b11010101001101010011001100011000 H +b11011 + +b11011 G +1- +1. +0% +#749000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b11010101001101010011001100011000 &" +b11010101001101010011001100011000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0. +1% +#749010 +b11010101001101010011001100011000 ^" +#749500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#750000 +1] +0i +b10110011111001101010010011101 { +b10110011111001101010010011101 A" +1\ +1[ +0=" +0@" +0h +19" +1;" +b11111 3" +0c +0<" +0` +b101 0" +1o +0k +18" +1l +0b +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#750500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +1. +0% +#751000 +1i +b10101011100010110010110100101100 | +b10101011100010110010110100101100 B" +1h +09" +0;" +0q +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +0n +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b10110011111001101010010011101 / +b10110011111001101010010011101 K +b10110011111001101010010011101 e +b10110011111001101010010011101 "" +0. +1% +#751500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b11011110010101001100100111001111 2 +b11011110010101001100100111001111 I +b11011110010101001100100111001111 Z +b1011 3 +b1011 J +b1011 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b11011110010101001100100111001111 , +b11011110010101001100100111001111 H +b1011 + +b1011 G +1- +1. +0% +#752000 +0] +0i +b10001000111000100010101101001 { +b10001000111000100010101101001 A" +0\ +0[ +1=" +1@" +0g +19" +1;" +1q +07" +b10100 3" +1c +1_ +b10110 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101011100010110010110100101100 0 +b10101011100010110010110100101100 M +b10101011100010110010110100101100 f +b10101011100010110010110100101100 !" +b11011110010101001100100111001111 &" +b11011110010101001100100111001111 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#752010 +b11011110010101001100100111001111 N" +#752500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#753000 +1] +1i +1\ +1[ +0=" +0@" +1g +09" +0;" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10001000111000100010101101001 / +b10001000111000100010101101001 K +b10001000111000100010101101001 e +b10001000111000100010101101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#753500 +b11100110001000010011101110010100 2 +b11100110001000010011101110010100 I +b11100110001000010011101110010100 Z +b11000 3 +b11000 J +b11000 Y +11 +b11100110001000010011101110010100 , +b11100110001000010011101110010100 H +b11000 + +b11000 G +1- +1. +0% +#754000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100110001000010011101110010100 &" +b11100110001000010011101110010100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0. +1% +#754010 +b11100110001000010011101110010100 [" +#754500 +b10101 5 +b10101 L +b10101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#755000 +1] +0i +b110111101010010001011000110 { +b110111101010010001011000110 A" +0=" +0@" +0g +1:" +1;" +b11111 3" +0c +0<" +0` +b1010 0" +1j +0k +18" +1l +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#755500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#756000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b110111101010010001011000110 / +b110111101010010001011000110 K +b110111101010010001011000110 e +b110111101010010001011000110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#756500 +b1101 7 +b1101 N +b1101 V +16 +b1101 & +b1101 C +1( +1. +0% +#757000 +0s +b10111110111001000001101100100010 | +b10111110111001000001101100100010 B" +0q +15" +16" +17" +b10010 -" +0t +0y +1z +1u +1w +1," +b1101 } +b1101 (" +b1101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#757500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b10011000101111110000111001110011 2 +b10011000101111110000111001110011 I +b10011000101111110000111001110011 Z +b1101 3 +b1101 J +b1101 Y +11 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +b10011000101111110000111001110011 , +b10011000101111110000111001110011 H +b1101 + +b1101 G +1- +1. +0% +#758000 +0] +0i +b1011101101001010111100000101101 { +b1011101101001010111100000101101 A" +1s +0[ +1=" +1>" +1@" +19" +1:" +1;" +1q +05" +06" +07" +b10010 3" +0^ +0c +1d +1_ +b10011 0" +0j +0o +1p +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1/" +0w +0," +b10111110111001000001101100100010 0 +b10111110111001000001101100100010 M +b10111110111001000001101100100010 f +b10111110111001000001101100100010 !" +b10011000101111110000111001110011 &" +b10011000101111110000111001110011 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#758010 +b10011000101111110000111001110011 P" +#758500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b10101011111111111001000000100000 2 +b10101011111111111001000000100000 I +b10101011111111111001000000100000 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b10101011111111111001000000100000 , +b10101011111111111001000000100000 H +b11 + +b11 G +1. +0% +#759000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11010101001101010011001100011000 | +b11010101001101010011001100011000 B" +0\ +0=" +0>" +09" +0:" +0;" +0r +0q +15" +17" +b11100 3" +0d +b11111 0" +0p +0k +b100 -" +1y +0u +14" +1v +1b +0/" +1x +1w +1," +b10101011111111111001000000100000 &" +b10101011111111111001000000100000 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +b1011101101001010111100000101101 / +b1011101101001010111100000101101 K +b1011101101001010111100000101101 e +b1011101101001010111100000101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#759010 +b10101011111111111001000000100000 F" +#759500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#760000 +1s +1\ +1[ +0@" +1r +1q +05" +07" +b11111 3" +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010101001101010011001100011000 0 +b11010101001101010011001100011000 M +b11010101001101010011001100011000 f +b11010101001101010011001100011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#760500 +b11110000001011001001110100000000 2 +b11110000001011001001110100000000 I +b11110000001011001001110100000000 Z +b10011 3 +b10011 J +b10011 Y +11 +b11110000001011001001110100000000 , +b11110000001011001001110100000000 H +b10011 + +b10011 G +1- +1. +0% +#761000 +0\ +0[ +1@" +b1100 3" +0_ +1<" +1` +1b +1a +12" +b11110000001011001001110100000000 &" +b11110000001011001001110100000000 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#761010 +b11110000001011001001110100000000 V" +#761500 +b10010 7 +b10010 N +b10010 V +16 +b1000111010101101000010001000101 2 +b1000111010101101000010001000101 I +b1000111010101101000010001000101 Z +b1011 3 +b1011 J +b1011 Y +b10010 & +b10010 C +1( +b1000111010101101000010001000101 , +b1000111010101101000010001000101 H +b1011 + +b1011 G +1. +0% +#762000 +1_ +0] +b10110101111010100100001010101001 | +b10110101111010100100001010101001 B" +1@" +1=" +0r +17" +b10100 3" +0<" +0` +1c +b1101 -" +0u +14" +1v +1x +1," +b1000111010101101000010001000101 &" +b1000111010101101000010001000101 ?" +b1011 %" +b1011 *" +b1011 1" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#762010 +b1000111010101101000010001000101 N" +#762500 +b10 5 +b10 L +b10 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#763000 +1] +b1001011111101010000110110000110 { +b1001011111101010000110110000110 A" +1\ +1[ +0=" +0@" +0h +1;" +b11111 3" +0c +0_ +b11101 0" +1k +0b +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b10110101111010100100001010101001 0 +b10110101111010100100001010101001 M +b10110101111010100100001010101001 f +b10110101111010100100001010101001 !" +0. +1% +#763500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b0 ' +b0 D +0) +b11010 & +b11010 C +1. +0% +#764000 +0s +b10110011111001101010010011101 | +b10110011111001101010010011101 B" +1h +0;" +15" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b101 -" +1y +0n +0/" +b1001011111101010000110110000110 / +b1001011111101010000110110000110 K +b1001011111101010000110110000110 e +b1001011111101010000110110000110 "" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +0. +1% +#764500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b11110111100010110100000000100010 2 +b11110111100010110100000000100010 I +b11110111100010110100000000100010 Z +b11011 3 +b11011 J +b11011 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b11110111100010110100000000100010 , +b11110111100010110100000000100010 H +b11011 + +b11011 G +1- +1. +0% +#765000 +0] +0i +b10001000111000100010101101001 { +b10001000111000100010101101001 A" +1s +0\ +0[ +1=" +1@" +0g +19" +1;" +1r +05" +07" +b100 3" +1c +0_ +1<" +1` +b10110 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1m +1/" +0x +0," +b11110111100010110100000000100010 &" +b11110111100010110100000000100010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110011111001101010010011101 0 +b10110011111001101010010011101 M +b10110011111001101010010011101 f +b10110011111001101010010011101 !" +0. +1% +#765010 +b11110111100010110100000000100010 ^" +#765500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#766000 +1] +1i +1\ +1[ +0=" +0@" +1g +09" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0m +0/" +b10001000111000100010101101001 / +b10001000111000100010101101001 K +b10001000111000100010101101001 e +b10001000111000100010101101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#766500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#767000 +0s +b111111111000000100111000010000 | +b111111111000000100111000010000 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#767500 +b111001011001110001101001011101 2 +b111001011001110001101001011101 I +b111001011001110001101001011101 Z +b1111 3 +b1111 J +b1111 Y +11 +b111001011001110001101001011101 , +b111001011001110001101001011101 H +b1111 + +b1111 G +1- +1. +0% +#768000 +0] +0\ +0[ +1=" +1>" +1@" +b10000 3" +0^ +0c +1d +1_ +1b +1a +12" +b111111111000000100111000010000 0 +b111111111000000100111000010000 M +b111111111000000100111000010000 f +b111111111000000100111000010000 !" +b111001011001110001101001011101 &" +b111001011001110001101001011101 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +0. +1% +#768010 +b111001011001110001101001011101 R" +#768500 +b1 5 +b1 L +b1 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#769000 +1] +b10111001001001100101101110010111 { +b10111001001001100101101110010111 A" +1\ +1[ +0=" +0>" +0@" +0g +1;" +b11111 3" +0d +0_ +b11110 0" +1k +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#769500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b0 ' +b0 D +0) +b1 & +b1 C +1. +0% +#770000 +1u +1s +1g +0;" +0q +17" +05" +06" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11110 -" +04" +0v +0z +b10111001001001100101101110010111 | +b10111001001001100101101110010111 B" +0m +0/" +1w +b10111001001001100101101110010111 / +b10111001001001100101101110010111 K +b10111001001001100101101110010111 e +b10111001001001100101101110010111 "" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +0. +1% +#770500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#771000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111001001001100101101110010111 0 +b10111001001001100101101110010111 M +b10111001001001100101101110010111 f +b10111001001001100101101110010111 !" +0. +1% +#771500 +1. +0% +#772000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#772500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#773000 +b11110000001011001001110100000000 | +b11110000001011001001110100000000 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#773500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#774000 +0i +b11001001111111011100101011110111 { +b11001001111111011100101011110111 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b11110000001011001001110100000000 0 +b11110000001011001001110100000000 M +b11110000001011001001110100000000 f +b11110000001011001001110100000000 !" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#774500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101010111001010111111100100001 2 +b10101010111001010111111100100001 I +b10101010111001010111111100100001 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101010111001010111111100100001 , +b10101010111001010111111100100001 H +b10111 + +b10111 G +1- +1. +0% +#775000 +0] +1i +0\ +0[ +1>" +1@" +1h +1g +0:" +0;" +1r +1q +07" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0n +0m +0/" +0x +0w +0," +b10101010111001010111111100100001 &" +b10101010111001010111111100100001 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001001111111011100101011110111 / +b11001001111111011100101011110111 K +b11001001111111011100101011110111 e +b11001001111111011100101011110111 "" +0. +1% +#775010 +b10101010111001010111111100100001 Z" +#775500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#776000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#776500 +1. +0% +#777000 +0. +1% +#777500 +b10010 5 +b10010 L +b10010 W +14 +b1111 7 +b1111 N +b1111 V +16 +b1100101001100110100011000011010 2 +b1100101001100110100011000011010 I +b1100101001100110100011000011010 Z +b10 3 +b10 J +b10 Y +11 +b10010 ' +b10010 D +1) +b1111 & +b1111 C +1( +b1100101001100110100011000011010 , +b1100101001100110100011000011010 H +b10 + +b10 G +1- +1. +0% +#778000 +b10110101111010100100001010101001 { +b10110101111010100100001010101001 A" +0s +b111001011001110001101001011101 | +b111001011001110001101001011101 B" +0\ +1@" +0h +1;" +0r +0q +15" +16" +17" +b11101 3" +1_ +b1101 0" +0k +18" +1l +b10000 -" +0t +0y +1z +1u +1b +12" +1n +1/" +1x +1w +1," +b1100101001100110100011000011010 &" +b1100101001100110100011000011010 ?" +b10 %" +b10 *" +b10 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#778010 +b1100101001100110100011000011010 E" +#778500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +b1110001111010101000011010001001 2 +b1110001111010101000011010001001 I +b1110001111010101000011010001001 Z +b11000 3 +b11000 J +b11000 Y +b0 ' +b0 D +0) +b11 & +b11 C +b1110001111010101000011010001001 , +b1110001111010101000011010001001 H +b11000 + +b11000 G +1. +0% +#779000 +0_ +0] +1s +b10101011111111111001000000100000 | +b10101011111111111001000000100000 B" +1\ +1=" +1h +0;" +05" +06" +b111 3" +1<" +1` +1c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11100 -" +0z +0b +0n +0/" +b1110001111010101000011010001001 &" +b1110001111010101000011010001001 ?" +b11000 %" +b11000 *" +b11000 1" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +b10110101111010100100001010101001 / +b10110101111010100100001010101001 K +b10110101111010100100001010101001 e +b10110101111010100100001010101001 "" +b111001011001110001101001011101 0 +b111001011001110001101001011101 M +b111001011001110001101001011101 f +b111001011001110001101001011101 !" +0. +1% +#779010 +b1110001111010101000011010001001 [" +#779500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b10100100101001100011110110101 2 +b10100100101001100011110110101 I +b10100100101001100011110110101 Z +b10011 3 +b10011 J +b10011 Y +b11 ' +b11 D +1) +b0 & +b0 C +0( +b10100100101001100011110110101 , +b10100100101001100011110110101 H +b10011 + +b10011 G +1. +0% +#780000 +1] +b10101011111111111001000000100000 { +b10101011111111111001000000100000 A" +0\ +0[ +0=" +0h +0g +1;" +1r +1q +07" +b1100 3" +0c +b11100 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +1n +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101011111111111001000000100000 0 +b10101011111111111001000000100000 M +b10101011111111111001000000100000 f +b10101011111111111001000000100000 !" +b10100100101001100011110110101 &" +b10100100101001100011110110101 ?" +b10011 %" +b10011 *" +b10011 1" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#780010 +b10100100101001100011110110101 V" +#780500 +b0 5 +b0 L +b0 W +04 +16 +b10111101000110101101001011111 2 +b10111101000110101101001011111 I +b10111101000110101101001011111 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +1( +b10111101000110101101001011111 , +b10111101000110101101001011111 H +b10111 + +b10111 G +1. +0% +#781000 +0] +b11101111000010100010101100000001 | +b11101111000010100010101100000001 B" +1>" +1h +1g +0;" +17" +b1000 3" +1^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1u +0n +0m +0/" +1," +b10111101000110101101001011111 &" +b10111101000110101101001011111 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +1#" +b10101011111111111001000000100000 / +b10101011111111111001000000100000 K +b10101011111111111001000000100000 e +b10101011111111111001000000100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#781010 +b10111101000110101101001011111 Z" +#781500 +b10000 7 +b10000 N +b10000 V +b11110011001000101010001101010000 2 +b11110011001000101010001101010000 I +b11110011001000101010001101010000 Z +b1 3 +b1 J +b1 Y +b10000 & +b10000 C +b11110011001000101010001101010000 , +b11110011001000101010001101010000 H +b1 + +b1 G +1. +0% +#782000 +1_ +1] +0u +1\ +1@" +0>" +b11110011110111111110001000110010 | +b11110011110111111110001000110010 B" +b11110 3" +0<" +0` +0^ +b1111 -" +14" +1v +0b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101111000010100010101100000001 0 +b11101111000010100010101100000001 M +b11101111000010100010101100000001 f +b11101111000010100010101100000001 !" +b11110011001000101010001101010000 &" +b11110011001000101010001101010000 ?" +b1 %" +b1 *" +b1 1" +b10000 } +b10000 (" +b10000 +" +0. +1% +#782010 +b11110011001000101010001101010000 D" +#782500 +b0 7 +b0 N +b0 V +06 +b11001010100100001100111000101111 2 +b11001010100100001100111000101111 I +b11001010100100001100111000101111 Z +b10000 3 +b10000 J +b10000 Y +b0 & +b0 C +0( +b11001010100100001100111000101111 , +b11001010100100001100111000101111 H +b10000 + +b10000 G +1. +0% +#783000 +0_ +1[ +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1111 3" +1<" +1` +b11111 -" +04" +0v +0a +0," +b11001010100100001100111000101111 &" +b11001010100100001100111000101111 ?" +b10000 %" +b10000 *" +b10000 1" +b0 } +b0 (" +b0 +" +0#" +b11110011110111111110001000110010 0 +b11110011110111111110001000110010 M +b11110011110111111110001000110010 f +b11110011110111111110001000110010 !" +0. +1% +#783010 +b11001010100100001100111000101111 S" +#783500 +b11100111000010101111111110111 2 +b11100111000010101111111110111 I +b11100111000010101111111110111 Z +b10100 3 +b10100 J +b10100 Y +b11100111000010101111111110111 , +b11100111000010101111111110111 H +b10100 + +b10100 G +1. +0% +#784000 +0] +1>" +b1011 3" +1^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100111000010101111111110111 &" +b11100111000010101111111110111 ?" +b10100 %" +b10100 *" +b10100 1" +0. +1% +#784010 +b11100111000010101111111110111 W" +#784500 +b1001000110000001110101110101101 2 +b1001000110000001110101110101101 I +b1001000110000001110101110101101 Z +b1000 3 +b1000 J +b1000 Y +b1001000110000001110101110101101 , +b1001000110000001110101110101101 H +b1000 + +b1000 G +1. +0% +#785000 +1=" +1_ +1c +1@" +0>" +b10111 3" +0<" +0` +0^ +b1001000110000001110101110101101 &" +b1001000110000001110101110101101 ?" +b1000 %" +b1000 *" +b1000 1" +0. +1% +#785010 +b1001000110000001110101110101101 K" +#785500 +b11100 5 +b11100 L +b11100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#786000 +1] +0i +b111111111000000100111000010000 { +b111111111000000100111000010000 A" +0=" +0@" +19" +1:" +1;" +b11111 3" +0c +0_ +b11 0" +0j +0o +1p +0k +18" +1l +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#786500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +1. +0% +#787000 +1i +0s +b110111101010010001011000110 | +b110111101010010001011000110 B" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +16" +17" +b11111 0" +0p +08" +0l +b1010 -" +1t +0u +14" +1v +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +b111111111000000100111000010000 / +b111111111000000100111000010000 K +b111111111000000100111000010000 e +b111111111000000100111000010000 "" +0. +1% +#787500 +b10000 7 +b10000 N +b10000 V +b10010010000011101001010000110101 2 +b10010010000011101001010000110101 I +b10010010000011101001010000110101 Z +b11011 3 +b11011 J +b11011 Y +11 +b10000 & +b10000 C +b10010010000011101001010000110101 , +b10010010000011101001010000110101 H +b11011 + +b11011 G +1- +1. +0% +#788000 +0] +1s +0\ +0[ +1=" +1@" +1q +06" +b100 3" +1c +0_ +1<" +1` +b1111 -" +0t +b11001010100100001100111000101111 | +b11001010100100001100111000101111 B" +1b +1a +12" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110111101010010001011000110 0 +b110111101010010001011000110 M +b110111101010010001011000110 f +b110111101010010001011000110 !" +b10010010000011101001010000110101 &" +b10010010000011101001010000110101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10000 } +b10000 (" +b10000 +" +0. +1% +#788010 +b10010010000011101001010000110101 ^" +#788500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#789000 +1] +0i +b11000100111101101110011000001001 { +b11000100111101101110011000001001 A" +1\ +1[ +0=" +0@" +0h +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0<" +0` +b1001 0" +1j +0k +18" +1l +b11111 -" +04" +0v +0b +0a +02" +1n +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11001010100100001100111000101111 0 +b11001010100100001100111000101111 M +b11001010100100001100111000101111 f +b11001010100100001100111000101111 !" +0. +1% +#789500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#790000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b11000100111101101110011000001001 / +b11000100111101101110011000001001 K +b11000100111101101110011000001001 e +b11000100111101101110011000001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#790500 +b10000 5 +b10000 L +b10000 W +14 +b1011100001110100101100011111011 2 +b1011100001110100101100011111011 I +b1011100001110100101100011111011 Z +b101 3 +b101 J +b101 Y +11 +b10000 ' +b10000 D +1) +b1011100001110100101100011111011 , +b1011100001110100101100011111011 H +b101 + +b101 G +1- +1. +0% +#791000 +0] +b11001010100100001100111000101111 { +b11001010100100001100111000101111 A" +0[ +1>" +1@" +1;" +b11010 3" +1^ +1_ +b1111 0" +0k +18" +1l +1a +12" +1/" +b1011100001110100101100011111011 &" +b1011100001110100101100011111011 ?" +b101 %" +b101 *" +b101 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#791010 +b1011100001110100101100011111011 H" +#791500 +b11100 5 +b11100 L +b11100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#792000 +1] +0i +b111111111000000100111000010000 { +b111111111000000100111000010000 A" +1[ +0>" +0@" +19" +1:" +b11111 3" +0^ +0_ +b11 0" +0j +0o +1p +0a +02" +b11001010100100001100111000101111 / +b11001010100100001100111000101111 K +b11001010100100001100111000101111 e +b11001010100100001100111000101111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +0. +1% +#792500 +b1111 5 +b1111 L +b1111 W +b1111 ' +b1111 D +1. +0% +#793000 +1k +0h +0g +1;" +b10000 0" +08" +0l +b111001011001110001101001011101 { +b111001011001110001101001011101 A" +1n +1m +b1111 ~ +b1111 )" +b1111 ." +b111111111000000100111000010000 / +b111111111000000100111000010000 K +b111111111000000100111000010000 e +b111111111000000100111000010000 "" +0. +1% +#793500 +b10001 5 +b10001 L +b10001 W +b10001 ' +b10001 D +1. +0% +#794000 +0k +1i +1h +09" +0:" +b1110 0" +18" +1l +0p +b10101011100010110010110100101100 { +b10101011100010110010110100101100 A" +0n +b111001011001110001101001011101 / +b111001011001110001101001011101 K +b111001011001110001101001011101 e +b111001011001110001101001011101 "" +b10001 ~ +b10001 )" +b10001 ." +0. +1% +#794500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +1. +0% +#795000 +b11001010100100001100111000101111 | +b11001010100100001100111000101111 B" +1g +0;" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1111 -" +0u +14" +1v +0m +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +b10101011100010110010110100101100 / +b10101011100010110010110100101100 K +b10101011100010110010110100101100 e +b10101011100010110010110100101100 "" +0. +1% +#795500 +b11001 5 +b11001 L +b11001 W +14 +b11010 7 +b11010 N +b11010 V +b11001 ' +b11001 D +1) +b11010 & +b11010 C +1. +0% +#796000 +0i +b1011001111111101011010100000011 { +b1011001111111101011010100000011 A" +0s +0g +19" +1;" +0r +15" +b110 0" +1o +0k +18" +1l +b101 -" +1y +b10110011111001101010010011101 | +b10110011111001101010010011101 B" +1m +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001010100100001100111000101111 0 +b11001010100100001100111000101111 M +b11001010100100001100111000101111 f +b11001010100100001100111000101111 !" +b11001 ~ +b11001 )" +b11001 ." +1$" +b11010 } +b11010 (" +b11010 +" +0. +1% +#796500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#797000 +1i +1s +1g +09" +0;" +1r +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1011001111111101011010100000011 / +b1011001111111101011010100000011 K +b1011001111111101011010100000011 e +b1011001111111101011010100000011 "" +b10110011111001101010010011101 0 +b10110011111001101010010011101 M +b10110011111001101010010011101 f +b10110011111001101010010011101 !" +0. +1% +#797500 +1. +0% +#798000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#798500 +b1100110101000001100011111101101 2 +b1100110101000001100011111101101 I +b1100110101000001100011111101101 Z +b111 3 +b111 J +b111 Y +11 +b1100110101000001100011111101101 , +b1100110101000001100011111101101 H +b111 + +b111 G +1- +1. +0% +#799000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b1100110101000001100011111101101 &" +b1100110101000001100011111101101 ?" +b111 %" +b111 *" +b111 1" +1'" +0. +1% +#799010 +b1100110101000001100011111101101 J" +#799500 +b10000 5 +b10000 L +b10000 W +14 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#800000 +1] +b11001010100100001100111000101111 { +b11001010100100001100111000101111 A" +0s +b10100000111111110001011000001001 | +b10100000111111110001011000001001 B" +1\ +1[ +0>" +0@" +1;" +0r +15" +16" +17" +b11111 3" +0^ +0_ +b1111 0" +0k +18" +1l +b1 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#800500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1011101110111111110100000011100 2 +b1011101110111111110100000011100 I +b1011101110111111110100000011100 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1011101110111111110100000011100 , +b1011101110111111110100000011100 H +b11001 + +b11001 G +1- +1. +0% +#801000 +0] +1s +0[ +1=" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +05" +06" +07" +b110 3" +1c +0_ +1<" +1` +b11111 0" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0/" +0x +0," +b1011101110111111110100000011100 &" +b1011101110111111110100000011100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001010100100001100111000101111 / +b11001010100100001100111000101111 K +b11001010100100001100111000101111 e +b11001010100100001100111000101111 "" +b10100000111111110001011000001001 0 +b10100000111111110001011000001001 M +b10100000111111110001011000001001 f +b10100000111111110001011000001001 !" +0. +1% +#801010 +b1011101110111111110100000011100 \" +#801500 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#802000 +1] +0s +b1101101101010001111011110011100 | +b1101101101010001111011110011100 B" +1[ +0=" +0@" +16" +17" +b11111 3" +0c +0<" +0` +b11011 -" +1t +1u +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#802500 +b10000 7 +b10000 N +b10000 V +b10111001111000110000010010010001 2 +b10111001111000110000010010010001 I +b10111001111000110000010010010001 Z +b11001 3 +b11001 J +b11001 Y +11 +b10000 & +b10000 C +b10111001111000110000010010010001 , +b10111001111000110000010010010001 H +b11001 + +b11001 G +1- +1. +0% +#803000 +0] +0u +1s +0[ +1=" +1@" +b11001010100100001100111000101111 | +b11001010100100001100111000101111 B" +06" +b110 3" +1c +0_ +1<" +1` +b1111 -" +14" +1v +0t +1a +12" +b10111001111000110000010010010001 &" +b10111001111000110000010010010001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b10000 } +b10000 (" +b10000 +" +b1101101101010001111011110011100 0 +b1101101101010001111011110011100 M +b1101101101010001111011110011100 f +b1101101101010001111011110011100 !" +0. +1% +#803010 +b10111001111000110000010010010001 \" +#803500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b11001111110110111100001010101001 2 +b11001111110110111100001010101001 I +b11001111110110111100001010101001 Z +b11100 3 +b11100 J +b11100 Y +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b11001111110110111100001010101001 , +b11001111110110111100001010101001 H +b11100 + +b11100 G +1. +0% +#804000 +0c +0i +b10111101000110101101001011111 { +b10111101000110101101001011111 A" +1[ +1>" +0h +0g +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11 3" +1d +b1000 0" +1j +0k +18" +1l +b11111 -" +04" +0v +0a +1n +1m +1/" +0," +b11001010100100001100111000101111 0 +b11001010100100001100111000101111 M +b11001010100100001100111000101111 f +b11001010100100001100111000101111 !" +b11001111110110111100001010101001 &" +b11001111110110111100001010101001 ?" +b11100 %" +b11100 *" +b11100 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#804010 +b11001111110110111100001010101001 _" +#804500 +b0 5 +b0 L +b0 W +04 +b10010000011011110101100001010101 2 +b10010000011011110101100001010101 I +b10010000011011110101100001010101 Z +b10100 3 +b10100 J +b10100 Y +b0 ' +b0 D +0) +b10010000011011110101100001010101 , +b10010000011011110101100001010101 H +b10100 + +b10100 G +1. +0% +#805000 +1^ +1i +0=" +1>" +1h +1g +0:" +0;" +b1011 3" +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b10010000011011110101100001010101 &" +b10010000011011110101100001010101 ?" +b10100 %" +b10100 *" +b10100 1" +b0 ~ +b0 )" +b0 ." +0$" +b10111101000110101101001011111 / +b10111101000110101101001011111 K +b10111101000110101101001011111 e +b10111101000110101101001011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#805010 +b10010000011011110101100001010101 W" +#805500 +b10001 7 +b10001 N +b10001 V +16 +b110001111000111000010100101110 2 +b110001111000111000010100101110 I +b110001111000111000010100101110 Z +b1101 3 +b1101 J +b1101 Y +b10001 & +b10001 C +1( +b110001111000111000010100101110 , +b110001111000111000010100101110 H +b1101 + +b1101 G +1. +0% +#806000 +1_ +0^ +b10101011100010110010110100101100 | +b10101011100010110010110100101100 B" +0[ +1@" +1=" +0q +17" +b10010 3" +0<" +0` +1d +b1110 -" +0u +14" +1v +1a +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110001111000111000010100101110 &" +b110001111000111000010100101110 ?" +b1101 %" +b1101 *" +b1101 1" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#806010 +b110001111000111000010100101110 P" +#806500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#807000 +1] +1[ +0=" +0>" +0@" +1q +07" +b11111 3" +0d +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10101011100010110010110100101100 0 +b10101011100010110010110100101100 M +b10101011100010110010110100101100 f +b10101011100010110010110100101100 !" +0. +1% +#807500 +1. +0% +#808000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#808500 +b11111 5 +b11111 L +b11111 W +14 +b11110111101110101110111000110100 2 +b11110111101110101110111000110100 I +b11110111101110101110111000110100 Z +b10110 3 +b10110 J +b10110 Y +11 +b11111 ' +b11111 D +1) +b11110111101110101110111000110100 , +b11110111101110101110111000110100 H +b10110 + +b10110 G +1- +1. +0% +#809000 +0] +0i +b1100110001110001011011011001000 { +b1100110001110001011011011001000 A" +0\ +1>" +1@" +0h +0g +19" +1:" +1;" +b1001 3" +1^ +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +1b +12" +1n +1m +1/" +b11110111101110101110111000110100 &" +b11110111101110101110111000110100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#809010 +b11110111101110101110111000110100 Y" +#809500 +b10 5 +b10 L +b10 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#810000 +1] +1k +1i +1\ +0>" +0@" +1g +1;" +09" +0:" +b11111 3" +0^ +0<" +0` +b11101 0" +08" +0l +0p +b1100101001100110100011000011010 { +b1100101001100110100011000011010 A" +0b +02" +0m +b1100110001110001011011011001000 / +b1100110001110001011011011001000 K +b1100110001110001011011011001000 e +b1100110001110001011011011001000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +0. +1% +#810500 +b11101 5 +b11101 L +b11101 W +b11101 7 +b11101 N +b11101 V +16 +b1101011111000001111011101011001 2 +b1101011111000001111011101011001 I +b1101011111000001111011101011001 Z +b11111 3 +b11111 J +b11111 Y +11 +b11101 ' +b11101 D +b11101 & +b11101 C +1( +b1101011111000001111011101011001 , +b1101011111000001111011101011001 H +b11111 + +b11111 G +1- +1. +0% +#811000 +0] +0k +0i +0s +b11011110110010000111111010000110 | +b11011110110010000111111010000110 B" +0\ +0[ +1=" +1>" +1@" +1h +0g +19" +1:" +0q +15" +16" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10 0" +18" +1l +0j +0o +1p +b11011110110010000111111010000110 { +b11011110110010000111111010000110 A" +b10 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +0n +1m +1w +1," +b1101011111000001111011101011001 &" +b1101011111000001111011101011001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b11101 } +b11101 (" +b11101 +" +1#" +b1100101001100110100011000011010 / +b1100101001100110100011000011010 K +b1100101001100110100011000011010 e +b1100101001100110100011000011010 "" +0. +1% +#811500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#812000 +1] +1i +1s +1\ +1[ +0=" +0>" +0@" +1g +09" +0:" +0;" +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0m +0/" +0w +0," +b11011110110010000111111010000110 / +b11011110110010000111111010000110 K +b11011110110010000111111010000110 e +b11011110110010000111111010000110 "" +b11011110110010000111111010000110 0 +b11011110110010000111111010000110 M +b11011110110010000111111010000110 f +b11011110110010000111111010000110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#812500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#813000 +0s +b11001111110110111100001010101001 | +b11001111110110111100001010101001 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#813500 +b0 7 +b0 N +b0 V +06 +b11101001000010101011011001111010 2 +b11101001000010101011011001111010 I +b11101001000010101011011001111010 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 & +b0 C +0( +b11101001000010101011011001111010 , +b11101001000010101011011001111010 H +b11000 + +b11000 G +1- +1. +0% +#814000 +0] +1s +1=" +1@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b111 3" +1c +0_ +1<" +1` +b11111 -" +0z +04" +0v +12" +0," +b11001111110110111100001010101001 0 +b11001111110110111100001010101001 M +b11001111110110111100001010101001 f +b11001111110110111100001010101001 !" +b11101001000010101011011001111010 &" +b11101001000010101011011001111010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#814010 +b11101001000010101011011001111010 [" +#814500 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#815000 +1] +0s +b10010000011011110101100001010101 | +b10010000011011110101100001010101 B" +0=" +0@" +16" +17" +b11111 3" +0c +0<" +0` +b1011 -" +1t +0u +14" +1v +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#815500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#816000 +1s +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0t +04" +0v +0," +b10010000011011110101100001010101 0 +b10010000011011110101100001010101 M +b10010000011011110101100001010101 f +b10010000011011110101100001010101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#816500 +b101 5 +b101 L +b101 W +14 +b101 ' +b101 D +1) +1. +0% +#817000 +0i +b1011100001110100101100011111011 { +b1011100001110100101100011111011 A" +0g +1:" +1;" +b11010 0" +1j +1k +1m +1/" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#817500 +b0 5 +b0 L +b0 W +04 +b110001011010110100110001101 2 +b110001011010110100110001101 I +b110001011010110100110001101 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b110001011010110100110001101 , +b110001011010110100110001101 H +b11000 + +b11000 G +1- +1. +0% +#818000 +0] +1i +1=" +1@" +1g +0:" +0;" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b1011100001110100101100011111011 / +b1011100001110100101100011111011 K +b1011100001110100101100011111011 e +b1011100001110100101100011111011 "" +b110001011010110100110001101 &" +b110001011010110100110001101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#818010 +b110001011010110100110001101 [" +#818500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#819000 +1] +0i +b10110011111001101010010011101 { +b10110011111001101010010011101 A" +0=" +0@" +0h +19" +1;" +b11111 3" +0c +0<" +0` +b101 0" +1o +0k +18" +1l +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#819500 +b10100 5 +b10100 L +b10100 W +b1001 7 +b1001 N +b1001 V +16 +b11000100100111010011011010111010 2 +b11000100100111010011011010111010 I +b11000100100111010011011010111010 Z +b11110 3 +b11110 J +b11110 Y +11 +b10100 ' +b10100 D +b1001 & +b1001 C +1( +b11000100100111010011011010111010 , +b11000100100111010011011010111010 H +b11110 + +b11110 G +1- +1. +0% +#820000 +1:" +0] +1j +0s +b10001000111000100010101101001 | +b10001000111000100010101101001 B" +0\ +1=" +1>" +1@" +1h +09" +0q +15" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1011 0" +0o +b10010000011011110101100001010101 { +b10010000011011110101100001010101 A" +b10110 -" +1y +1u +1b +12" +0n +1w +1," +b10110011111001101010010011101 / +b10110011111001101010010011101 K +b10110011111001101010010011101 e +b10110011111001101010010011101 "" +b11000100100111010011011010111010 &" +b11000100100111010011011010111010 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#820010 +b11000100100111010011011010111010 a" +#820500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#821000 +1] +1s +1\ +0=" +0>" +0@" +0h +1q +05" +07" +b11111 3" +0d +0<" +0` +b1001 0" +b11110111101110101110111000110100 { +b11110111101110101110111000110100 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1n +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +b10010000011011110101100001010101 / +b10010000011011110101100001010101 K +b10010000011011110101100001010101 e +b10010000011011110101100001010101 "" +b10001000111000100010101101001 0 +b10001000111000100010101101001 M +b10001000111000100010101101001 f +b10001000111000100010101101001 !" +0. +1% +#821500 +b111 5 +b111 L +b111 W +b111 ' +b111 D +1. +0% +#822000 +1k +0g +1;" +b11000 0" +08" +0l +b1100110101000001100011111101101 { +b1100110101000001100011111101101 A" +1m +b11110111101110101110111000110100 / +b11110111101110101110111000110100 K +b11110111101110101110111000110100 e +b11110111101110101110111000110100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 ~ +b111 )" +b111 ." +0. +1% +#822500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#823000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1100110101000001100011111101101 / +b1100110101000001100011111101101 K +b1100110101000001100011111101101 e +b1100110101000001100011111101101 "" +0. +1% +#823500 +b10110 5 +b10110 L +b10110 W +14 +b111000011001001100010101 2 +b111000011001001100010101 I +b111000011001001100010101 Z +b11011 3 +b11011 J +b11011 Y +11 +b10110 ' +b10110 D +1) +b111000011001001100010101 , +b111000011001001100010101 H +b11011 + +b11011 G +1- +1. +0% +#824000 +0] +0i +b11110111101110101110111000110100 { +b11110111101110101110111000110100 A" +0\ +0[ +1=" +1@" +0h +1:" +1;" +b100 3" +1c +0_ +1<" +1` +b1001 0" +1j +0k +18" +1l +1b +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111000011001001100010101 &" +b111000011001001100010101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#824010 +b111000011001001100010101 ^" +#824500 +b10001 5 +b10001 L +b10001 W +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#825000 +1] +1i +0s +b1011100001110100101100011111011 | +b1011100001110100101100011111011 B" +1\ +1[ +0=" +0@" +1h +0g +0:" +0q +16" +17" +b11111 3" +0c +0<" +0` +b1110 0" +0j +b10101011100010110010110100101100 { +b10101011100010110010110100101100 A" +b11010 -" +1t +1u +0b +0a +02" +0n +1m +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b101 } +b101 (" +b101 +" +1#" +b11110111101110101110111000110100 / +b11110111101110101110111000110100 K +b11110111101110101110111000110100 e +b11110111101110101110111000110100 "" +0. +1% +#825500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b1100000101101001111000100011101 2 +b1100000101101001111000100011101 I +b1100000101101001111000100011101 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b110 & +b110 C +b1100000101101001111000100011101 , +b1100000101101001111000100011101 H +b1100 + +b1100 G +1- +1. +0% +#826000 +0] +1=" +1>" +1@" +1g +0;" +0r +1q +b10011 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +b10101001110001111100101000100000 | +b10101001110001111100101000100000 B" +12" +0m +0/" +1x +0w +b10101011100010110010110100101100 / +b10101011100010110010110100101100 K +b10101011100010110010110100101100 e +b10101011100010110010110100101100 "" +b1011100001110100101100011111011 0 +b1011100001110100101100011111011 M +b1011100001110100101100011111011 f +b1011100001110100101100011111011 !" +b1100000101101001111000100011101 &" +b1100000101101001111000100011101 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +0. +1% +#826010 +b1100000101101001111000100011101 O" +#826500 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#827000 +1] +0u +0t +0=" +0>" +0@" +0q +15" +b11111 3" +0d +0_ +b0 -" +14" +1v +1z +b1101011111000001111011101011001 | +b1101011111000001111011101011001 B" +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101001110001111100101000100000 0 +b10101001110001111100101000100000 M +b10101001110001111100101000100000 f +b10101001110001111100101000100000 !" +0. +1% +#827500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b11100001101010011111010101011000 2 +b11100001101010011111010101011000 I +b11100001101010011111010101011000 Z +b1010 3 +b1010 J +b1010 Y +11 +b100 ' +b100 D +1) +b0 & +b0 C +0( +b11100001101010011111010101011000 , +b11100001101010011111010101011000 H +b1010 + +b1010 G +1- +1. +0% +#828000 +0] +0i +b1101101101010001111011110011100 { +b1101101101010001111011110011100 A" +1s +0\ +1=" +1@" +1:" +1;" +1r +1q +05" +06" +07" +b10101 3" +1c +1_ +b11011 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1/" +0x +0w +0," +b1101011111000001111011101011001 0 +b1101011111000001111011101011001 M +b1101011111000001111011101011001 f +b1101011111000001111011101011001 !" +b11100001101010011111010101011000 &" +b11100001101010011111010101011000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#828010 +b11100001101010011111010101011000 M" +#828500 +b11001 5 +b11001 L +b11001 W +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#829000 +19" +1] +0k +1o +0s +b10101001110001111100101000100000 | +b10101001110001111100101000100000 B" +1\ +0=" +0@" +0g +0:" +0r +16" +17" +b11111 3" +0c +0_ +b110 0" +18" +1l +0j +b10111001111000110000010010010001 { +b10111001111000110000010010010001 A" +b11001 -" +1t +1u +0b +02" +1m +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +b110 } +b110 (" +b110 +" +1#" +b1101101101010001111011110011100 / +b1101101101010001111011110011100 K +b1101101101010001111011110011100 e +b1101101101010001111011110011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#829500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101111111100111101001101010111 2 +b101111111100111101001101010111 I +b101111111100111101001101010111 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101111111100111101001101010111 , +b101111111100111101001101010111 H +b10110 + +b10110 G +1- +1. +0% +#830000 +0] +1i +1s +0\ +1>" +1@" +1g +09" +0;" +1r +06" +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0m +0/" +0x +0," +b10111001111000110000010010010001 / +b10111001111000110000010010010001 K +b10111001111000110000010010010001 e +b10111001111000110000010010010001 "" +b10101001110001111100101000100000 0 +b10101001110001111100101000100000 M +b10101001110001111100101000100000 f +b10101001110001111100101000100000 !" +b101111111100111101001101010111 &" +b101111111100111101001101010111 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#830010 +b101111111100111101001101010111 Y" +#830500 +b10001 5 +b10001 L +b10001 W +14 +b11100 7 +b11100 N +b11100 V +16 +b1101100110101000001000111011110 2 +b1101100110101000001000111011110 I +b1101100110101000001000111011110 Z +b1 3 +b1 J +b1 Y +b10001 ' +b10001 D +1) +b11100 & +b11100 C +1( +b1101100110101000001000111011110 , +b1101100110101000001000111011110 H +b1 + +b1 G +1. +0% +#831000 +1_ +1] +b10101011100010110010110100101100 { +b10101011100010110010110100101100 A" +0s +b11001111110110111100001010101001 | +b11001111110110111100001010101001 B" +1\ +0[ +1@" +0>" +0g +1;" +15" +16" +17" +b11110 3" +0<" +0` +0^ +b1110 0" +0k +18" +1l +b11 -" +0t +0y +1z +0u +14" +1v +0b +1a +1m +1/" +1," +b1101100110101000001000111011110 &" +b1101100110101000001000111011110 ?" +b1 %" +b1 *" +b1 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#831010 +b1101100110101000001000111011110 D" +#831500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b1110111100110110110011111101111 2 +b1110111100110110110011111101111 I +b1110111100110110110011111101111 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b10001 & +b10001 C +b1110111100110110110011111101111 , +b1110111100110110110011111101111 H +b111 + +b111 G +1. +0% +#832000 +0] +1s +0\ +1>" +1g +0;" +0q +05" +06" +b11000 3" +1^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0z +b10101011100010110010110100101100 | +b10101011100010110010110100101100 B" +1b +0m +0/" +1w +b10101011100010110010110100101100 / +b10101011100010110010110100101100 K +b10101011100010110010110100101100 e +b10101011100010110010110100101100 "" +b11001111110110111100001010101001 0 +b11001111110110111100001010101001 M +b11001111110110111100001010101001 f +b11001111110110111100001010101001 !" +b1110111100110110110011111101111 &" +b1110111100110110110011111101111 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#832010 +b1110111100110110110011111101111 J" +#832500 +b10011 5 +b10011 L +b10011 W +14 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#833000 +1] +b10100100101001100011110110101 { +b10100100101001100011110110101 A" +1\ +1[ +0>" +0@" +0h +0g +1;" +0r +b11111 3" +0^ +0_ +b1100 0" +0k +18" +1l +b1100 -" +b10100100101001100011110110101 | +b10100100101001100011110110101 B" +0b +0a +02" +1n +1m +1/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b10011 } +b10011 (" +b10011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101011100010110010110100101100 0 +b10101011100010110010110100101100 M +b10101011100010110010110100101100 f +b10101011100010110010110100101100 !" +0. +1% +#833500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 ' +b0 D +0) +b11100 & +b11100 C +1. +0% +#834000 +0s +1h +1g +0;" +1r +1q +15" +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +0t +0y +1z +b11001111110110111100001010101001 | +b11001111110110111100001010101001 B" +0n +0m +0/" +0x +0w +b10100100101001100011110110101 / +b10100100101001100011110110101 K +b10100100101001100011110110101 e +b10100100101001100011110110101 "" +b10100100101001100011110110101 0 +b10100100101001100011110110101 M +b10100100101001100011110110101 f +b10100100101001100011110110101 !" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#834500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b10010001110011100111001011000 2 +b10010001110011100111001011000 I +b10010001110011100111001011000 Z +b1011 3 +b1011 J +b1011 Y +11 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b10010001110011100111001011000 , +b10010001110011100111001011000 H +b1011 + +b1011 G +1- +1. +0% +#835000 +0] +b10101011100010110010110100101100 { +b10101011100010110010110100101100 A" +1s +0\ +0[ +1=" +1@" +0g +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10100 3" +1c +1_ +b1110 0" +0k +18" +1l +b11111 -" +0z +04" +0v +1b +1a +12" +1m +1/" +0," +b10010001110011100111001011000 &" +b10010001110011100111001011000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001111110110111100001010101001 0 +b11001111110110111100001010101001 M +b11001111110110111100001010101001 f +b11001111110110111100001010101001 !" +0. +1% +#835010 +b10010001110011100111001011000 N" +#835500 +b11100 5 +b11100 L +b11100 W +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#836000 +1] +0i +0s +b11000100100111010011011010111010 | +b11000100100111010011011010111010 B" +1\ +1[ +0=" +0@" +1g +19" +1:" +0r +15" +16" +17" +b11111 3" +0c +0_ +b11 0" +0j +0o +1p +b11001111110110111100001010101001 { +b11001111110110111100001010101001 A" +b1 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +0m +1x +1," +b10101011100010110010110100101100 / +b10101011100010110010110100101100 K +b10101011100010110010110100101100 e +b10101011100010110010110100101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#836500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#837000 +1i +1s +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +05" +06" +07" +b11111 0" +0p +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001111110110111100001010101001 / +b11001111110110111100001010101001 K +b11001111110110111100001010101001 e +b11001111110110111100001010101001 "" +b11000100100111010011011010111010 0 +b11000100100111010011011010111010 M +b11000100100111010011011010111010 f +b11000100100111010011011010111010 !" +0. +1% +#837500 +b10101 5 +b10101 L +b10101 W +14 +b11010101011011000000110011110111 2 +b11010101011011000000110011110111 I +b11010101011011000000110011110111 Z +b1110 3 +b1110 J +b1110 Y +11 +b10101 ' +b10101 D +1) +b11010101011011000000110011110111 , +b11010101011011000000110011110111 H +b1110 + +b1110 G +1- +1. +0% +#838000 +0] +0i +b110111101010010001011000110 { +b110111101010010001011000110 A" +0\ +1=" +1>" +1@" +0g +1:" +1;" +b10001 3" +0^ +0c +1d +1_ +b1010 0" +1j +0k +18" +1l +1b +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010101011011000000110011110111 &" +b11010101011011000000110011110111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#838010 +b11010101011011000000110011110111 Q" +#838500 +b1100 5 +b1100 L +b1100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#839000 +1] +1k +0j +1\ +0=" +0>" +0@" +1g +1;" +19" +b11111 3" +0d +0_ +b10011 0" +08" +0l +1p +b1100000101101001111000100011101 { +b1100000101101001111000100011101 A" +0b +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +b110111101010010001011000110 / +b110111101010010001011000110 K +b110111101010010001011000110 e +b110111101010010001011000110 "" +0. +1% +#839500 +b0 5 +b0 L +b0 W +b1101111100101011111011010011 2 +b1101111100101011111011010011 I +b1101111100101011111011010011 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +b1101111100101011111011010011 , +b1101111100101011111011010011 H +b10110 + +b10110 G +1- +1. +0% +#840000 +0] +1i +b11101111000010100010101100000001 { +b11101111000010100010101100000001 A" +0\ +1>" +1@" +09" +0:" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0p +1b +12" +b1100000101101001111000100011101 / +b1100000101101001111000100011101 K +b1100000101101001111000100011101 e +b1100000101101001111000100011101 "" +b1101111100101011111011010011 &" +b1101111100101011111011010011 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0. +1% +#840010 +b1101111100101011111011010011 Y" +#840500 +b1100 5 +b1100 L +b1100 W +b11011010010010010001010111111011 2 +b11011010010010010001010111111011 I +b11011010010010010001010111111011 Z +b10001 3 +b10001 J +b10001 Y +b1100 ' +b1100 D +b11011010010010010001010111111011 , +b11011010010010010001010111111011 H +b10001 + +b10001 G +1. +0% +#841000 +1] +0i +b1100000101101001111000100011101 { +b1100000101101001111000100011101 A" +1\ +0[ +0>" +19" +1:" +b1110 3" +0^ +b10011 0" +0j +0o +1p +0b +1a +b11011010010010010001010111111011 &" +b11011010010010010001010111111011 ?" +b10001 %" +b10001 *" +b10001 1" +b1100 ~ +b1100 )" +b1100 ." +b11101111000010100010101100000001 / +b11101111000010100010101100000001 K +b11101111000010100010101100000001 e +b11101111000010100010101100000001 "" +0. +1% +#841010 +b11011010010010010001010111111011 T" +#841500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#842000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10101001110001111100101000100000 | +b10101001110001111100101000100000 B" +1[ +0@" +09" +0:" +0;" +0r +16" +17" +b11111 3" +0<" +0` +b11111 0" +0p +0k +b11001 -" +1t +1u +0a +02" +0/" +1x +1," +b1100000101101001111000100011101 / +b1100000101101001111000100011101 K +b1100000101101001111000100011101 e +b1100000101101001111000100011101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#842500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +1. +0% +#843000 +b11001010100100001100111000101111 { +b11001010100100001100111000101111 A" +1s +1;" +1r +06" +07" +b1111 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101001110001111100101000100000 0 +b10101001110001111100101000100000 M +b10101001110001111100101000100000 f +b10101001110001111100101000100000 !" +0. +1% +#843500 +b1111 5 +b1111 L +b1111 W +b11010 7 +b11010 N +b11010 V +16 +b1111 ' +b1111 D +b11010 & +b11010 C +1( +1. +0% +#844000 +1k +0i +0s +b10110011111001101010010011101 | +b10110011111001101010010011101 B" +0h +0g +1;" +19" +1:" +0r +15" +17" +b10000 0" +08" +0l +0j +0o +1p +b111001011001110001101001011101 { +b111001011001110001101001011101 A" +b101 -" +1y +0u +14" +1v +1n +1m +1x +1," +b11001010100100001100111000101111 / +b11001010100100001100111000101111 K +b11001010100100001100111000101111 e +b11001010100100001100111000101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111 ~ +b1111 )" +b1111 ." +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#844500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#845000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b111001011001110001101001011101 / +b111001011001110001101001011101 K +b111001011001110001101001011101 e +b111001011001110001101001011101 "" +b10110011111001101010010011101 0 +b10110011111001101010010011101 M +b10110011111001101010010011101 f +b10110011111001101010010011101 !" +0. +1% +#845500 +b10101 5 +b10101 L +b10101 W +b10100001101010001000111101011110 2 +b10100001101010001000111101011110 I +b10100001101010001000111101011110 Z +b11011 3 +b11011 J +b11011 Y +11 +b10101 ' +b10101 D +b10100001101010001000111101011110 , +b10100001101010001000111101011110 H +b11011 + +b11011 G +1- +1. +0% +#846000 +0] +0k +1j +0\ +0[ +1=" +1@" +1h +09" +1:" +b100 3" +1c +0_ +1<" +1` +b1010 0" +18" +1l +0p +b110111101010010001011000110 { +b110111101010010001011000110 A" +1b +1a +12" +0n +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100001101010001000111101011110 &" +b10100001101010001000111101011110 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#846010 +b10100001101010001000111101011110 ^" +#846500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#847000 +1] +1i +0s +b1100000101101001111000100011101 | +b1100000101101001111000100011101 B" +1\ +1[ +0=" +0@" +1g +0:" +0;" +15" +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +0b +0a +02" +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +b110111101010010001011000110 / +b110111101010010001011000110 K +b110111101010010001011000110 e +b110111101010010001011000110 "" +0. +1% +#847500 +b0 7 +b0 N +b0 V +06 +b10011100000101011010101010110 2 +b10011100000101011010101010110 I +b10011100000101011010101010110 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 & +b0 C +0( +b10011100000101011010101010110 , +b10011100000101011010101010110 H +b1000 + +b1000 G +1- +1. +0% +#848000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +1@" +05" +06" +07" +b10111 3" +1c +1_ +b11111 -" +0z +0u +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100000101101001111000100011101 0 +b1100000101101001111000100011101 M +b1100000101101001111000100011101 f +b1100000101101001111000100011101 !" +b10011100000101011010101010110 &" +b10011100000101011010101010110 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#848010 +b10011100000101011010101010110 K" +#848500 +b10001 5 +b10001 L +b10001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#849000 +1] +b11011010010010010001010111111011 { +b11011010010010010001010111111011 A" +0=" +0@" +0g +1;" +b11111 3" +0c +0_ +b1110 0" +0k +18" +1l +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#849500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#850000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11011010010010010001010111111011 / +b11011010010010010001010111111011 K +b11011010010010010001010111111011 e +b11011010010010010001010111111011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#850500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#851000 +0i +b11011110110010000111111010000110 { +b11011110110010000111111010000110 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#851500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b10100000010001111110001110101101 2 +b10100000010001111110001110101101 I +b10100000010001111110001110101101 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b10100000010001111110001110101101 , +b10100000010001111110001110101101 H +b11 + +b11 G +1- +1. +0% +#852000 +1i +0s +b111001011001110001101001011101 | +b111001011001110001101001011101 B" +0\ +0[ +1@" +1g +09" +0:" +0;" +0r +0q +15" +16" +17" +b11100 3" +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +1b +1a +12" +0m +0/" +1x +1w +1," +b11011110110010000111111010000110 / +b11011110110010000111111010000110 K +b11011110110010000111111010000110 e +b11011110110010000111111010000110 "" +b10100000010001111110001110101101 &" +b10100000010001111110001110101101 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#852010 +b10100000010001111110001110101101 F" +#852500 +b111 5 +b111 L +b111 W +14 +b11110 7 +b11110 N +b11110 V +b11011011110101010000010101100110 2 +b11011011110101010000010101100110 I +b11011011110101010000010101100110 Z +b10110 3 +b10110 J +b10110 Y +b111 ' +b111 D +1) +b11110 & +b11110 C +b11011011110101010000010101100110 , +b11011011110101010000010101100110 H +b10110 + +b10110 G +1. +0% +#853000 +0_ +0] +0i +b1110111100110110110011111101111 { +b1110111100110110110011111101111 A" +0u +1[ +1>" +0h +0g +1:" +1;" +1q +b1001 3" +1<" +1` +1^ +b11000 0" +1j +1k +b1 -" +14" +1v +b11000100100111010011011010111010 | +b11000100100111010011011010111010 B" +0a +1n +1m +1/" +0w +b11011011110101010000010101100110 &" +b11011011110101010000010101100110 ?" +b10110 %" +b10110 *" +b10110 1" +b111 ~ +b111 )" +b111 ." +1$" +b11110 } +b11110 (" +b11110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111001011001110001101001011101 0 +b111001011001110001101001011101 M +b111001011001110001101001011101 f +b111001011001110001101001011101 !" +0. +1% +#853010 +b11011011110101010000010101100110 Y" +#853500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#854000 +19" +1] +0k +1o +1s +1\ +0>" +0@" +b10100001101010001000111101011110 { +b10100001101010001000111101011110 A" +0:" +1r +05" +06" +07" +b11111 3" +0^ +0<" +0` +b100 0" +18" +1l +0j +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0," +b1110111100110110110011111101111 / +b1110111100110110110011111101111 K +b1110111100110110110011111101111 e +b1110111100110110110011111101111 "" +b11000100100111010011011010111010 0 +b11000100100111010011011010111010 M +b11000100100111010011011010111010 f +b11000100100111010011011010111010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#854500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +1. +0% +#855000 +1i +0s +b10001000111000100010101101001 | +b10001000111000100010101101001 B" +1h +1g +09" +0;" +0q +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10110 -" +1y +1u +0n +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b10100001101010001000111101011110 / +b10100001101010001000111101011110 K +b10100001101010001000111101011110 e +b10100001101010001000111101011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#855500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b11000110001011010010110011011111 2 +b11000110001011010010110011011111 I +b11000110001011010010110011011111 Z +b1111 3 +b1111 J +b1111 Y +11 +b100 ' +b100 D +1) +b0 & +b0 C +0( +b11000110001011010010110011011111 , +b11000110001011010010110011011111 H +b1111 + +b1111 G +1- +1. +0% +#856000 +0] +0i +b1101101101010001111011110011100 { +b1101101101010001111011110011100 A" +1s +0\ +0[ +1=" +1>" +1@" +1:" +1;" +1q +05" +07" +b10000 3" +0^ +0c +1d +1_ +b11011 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001000111000100010101101001 0 +b10001000111000100010101101001 M +b10001000111000100010101101001 f +b10001000111000100010101101001 !" +b11000110001011010010110011011111 &" +b11000110001011010010110011011111 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#856010 +b11000110001011010010110011011111 R" +#856500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b10011111101110110110000000100 2 +b10011111101110110110000000100 I +b10011111101110110110000000100 Z +b100 3 +b100 J +b100 Y +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b10011111101110110110000000100 , +b10011111101110110110000000100 H +b100 + +b100 G +1. +0% +#857000 +1^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b110111101010010001011000110 | +b110111101010010001011000110 B" +1\ +1[ +0=" +1>" +0:" +0;" +0q +16" +17" +b11011 3" +0d +b11111 0" +0j +0k +b1010 -" +1t +0u +14" +1v +0b +0a +0/" +1w +1," +b10011111101110110110000000100 &" +b10011111101110110110000000100 ?" +b100 %" +b100 *" +b100 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +b1101101101010001111011110011100 / +b1101101101010001111011110011100 K +b1101101101010001111011110011100 e +b1101101101010001111011110011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#857010 +b10011111101110110110000000100 G" +#857500 +b11100 5 +b11100 L +b11100 W +14 +b11111 7 +b11111 N +b11111 V +b100000100101011001111011111100 2 +b100000100101011001111011111100 I +b100000100101011001111011111100 Z +b10101 3 +b10101 J +b10101 Y +b11100 ' +b11100 D +1) +b11111 & +b11111 C +b100000100101011001111011111100 , +b100000100101011001111011111100 H +b10101 + +b10101 G +1. +0% +#858000 +0_ +0i +b11001111110110111100001010101001 { +b11001111110110111100001010101001 A" +0t +0[ +19" +1:" +1;" +0r +15" +b1010 3" +1<" +1` +b11 0" +0j +0o +1p +0k +18" +1l +b0 -" +1z +b1101011111000001111011101011001 | +b1101011111000001111011101011001 B" +1a +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110111101010010001011000110 0 +b110111101010010001011000110 M +b110111101010010001011000110 f +b110111101010010001011000110 !" +b100000100101011001111011111100 &" +b100000100101011001111011111100 ?" +b10101 %" +b10101 *" +b10101 1" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#858010 +b100000100101011001111011111100 X" +#858500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#859000 +1] +1i +1u +1[ +0>" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +17" +b11000110001011010010110011011111 | +b11000110001011010010110011011111 B" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +08" +0l +b10000 -" +04" +0v +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +b11001111110110111100001010101001 / +b11001111110110111100001010101001 K +b11001111110110111100001010101001 e +b11001111110110111100001010101001 "" +b1101011111000001111011101011001 0 +b1101011111000001111011101011001 M +b1101011111000001111011101011001 f +b1101011111000001111011101011001 !" +0. +1% +#859500 +b0 7 +b0 N +b0 V +06 +b1101011110100010011101001001010 2 +b1101011110100010011101001001010 I +b1101011110100010011101001001010 Z +b110 3 +b110 J +b110 Y +11 +b0 & +b0 C +0( +b1101011110100010011101001001010 , +b1101011110100010011101001001010 H +b110 + +b110 G +1- +1. +0% +#860000 +0] +1s +0\ +1>" +1@" +1r +1q +05" +06" +07" +b11001 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000110001011010010110011011111 0 +b11000110001011010010110011011111 M +b11000110001011010010110011011111 f +b11000110001011010010110011011111 !" +b1101011110100010011101001001010 &" +b1101011110100010011101001001010 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#860010 +b1101011110100010011101001001010 I" +#860500 +b11001 7 +b11001 N +b11001 V +16 +b10010100111111110011000111011000 2 +b10010100111111110011000111011000 I +b10010100111111110011000111011000 Z +b10100 3 +b10100 J +b10100 Y +b11001 & +b11001 C +1( +b10010100111111110011000111011000 , +b10010100111111110011000111011000 H +b10100 + +b10100 G +1. +0% +#861000 +0_ +0s +b10111001111000110000010010010001 | +b10111001111000110000010010010001 B" +1\ +0q +15" +17" +b1011 3" +1<" +1` +b110 -" +1y +0u +14" +1v +0b +1w +1," +b10010100111111110011000111011000 &" +b10010100111111110011000111011000 ?" +b10100 %" +b10100 *" +b10100 1" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#861010 +b10010100111111110011000111011000 W" +#861500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#862000 +1] +1s +0>" +0@" +1q +05" +07" +b11111 3" +0^ +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0w +0," +b10111001111000110000010010010001 0 +b10111001111000110000010010010001 M +b10111001111000110000010010010001 f +b10111001111000110000010010010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#862500 +b1011 7 +b1011 N +b1011 V +16 +b1011 & +b1011 C +1( +1. +0% +#863000 +0s +b10010001110011100111001011000 | +b10010001110011100111001011000 B" +0r +0q +15" +17" +b10100 -" +1y +1u +1x +1w +1," +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#863500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#864000 +0u +0y +1r +16" +b10 -" +14" +1v +1z +b11011110110010000111111010000110 | +b11011110110010000111111010000110 B" +0x +b10010001110011100111001011000 0 +b10010001110011100111001011000 M +b10010001110011100111001011000 f +b10010001110011100111001011000 !" +b11101 } +b11101 (" +b11101 +" +0. +1% +#864500 +b0 7 +b0 N +b0 V +06 +b11000000100010001100110110100110 2 +b11000000100010001100110110100110 I +b11000000100010001100110110100110 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 & +b0 C +0( +b11000000100010001100110110100110 , +b11000000100010001100110110100110 H +b1000 + +b1000 G +1- +1. +0% +#865000 +0] +1s +1=" +1@" +1q +05" +06" +07" +b10111 3" +1c +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0w +0," +b11000000100010001100110110100110 &" +b11000000100010001100110110100110 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11011110110010000111111010000110 0 +b11011110110010000111111010000110 M +b11011110110010000111111010000110 f +b11011110110010000111111010000110 !" +0. +1% +#865010 +b11000000100010001100110110100110 K" +#865500 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#866000 +1] +b10100000010001111110001110101101 | +b10100000010001111110001110101101 B" +0=" +0@" +0r +0q +17" +b11111 3" +0c +0_ +b11100 -" +1u +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#866500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#867000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10100000010001111110001110101101 0 +b10100000010001111110001110101101 M +b10100000010001111110001110101101 f +b10100000010001111110001110101101 !" +0. +1% +#867500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#868000 +0s +b10001000111000100010101101001 | +b10001000111000100010101101001 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#868500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +1. +0% +#869000 +0i +b10001000111000100010101101001 { +b10001000111000100010101101001 A" +1s +0g +19" +1;" +1q +05" +07" +b10110 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0w +0," +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10001000111000100010101101001 0 +b10001000111000100010101101001 M +b10001000111000100010101101001 f +b10001000111000100010101101001 !" +0. +1% +#869500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b1000011100000000001001010110011 2 +b1000011100000000001001010110011 I +b1000011100000000001001010110011 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b1000011100000000001001010110011 , +b1000011100000000001001010110011 H +b1011 + +b1011 G +1- +1. +0% +#870000 +0] +1i +0s +b10111001111000110000010010010001 | +b10111001111000110000010010010001 B" +0\ +0[ +1=" +1@" +1g +09" +0;" +0q +15" +17" +b10100 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +1y +0u +14" +1v +1b +1a +12" +0m +0/" +1w +1," +b10001000111000100010101101001 / +b10001000111000100010101101001 K +b10001000111000100010101101001 e +b10001000111000100010101101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000011100000000001001010110011 &" +b1000011100000000001001010110011 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#870010 +b1000011100000000001001010110011 N" +#870500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#871000 +1] +0i +b1100000101101001111000100011101 { +b1100000101101001111000100011101 A" +1s +1\ +1[ +0=" +0@" +19" +1:" +1;" +1q +05" +07" +b11111 3" +0c +0_ +b10011 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111001111000110000010010010001 0 +b10111001111000110000010010010001 M +b10111001111000110000010010010001 f +b10111001111000110000010010010001 !" +0. +1% +#871500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#872000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0:" +0;" +b11111 0" +0p +0k +0/" +b1100000101101001111000100011101 / +b1100000101101001111000100011101 K +b1100000101101001111000100011101 e +b1100000101101001111000100011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#872500 +1. +0% +#873000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#873500 +1. +0% +#874000 +0. +1% +#874500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#875000 +0i +b11100001101010011111010101011000 { +b11100001101010011111010101011000 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#875500 +b11 5 +b11 L +b11 W +b11 ' +b11 D +1. +0% +#876000 +1i +0g +09" +b11100 0" +0o +b10100000010001111110001110101101 { +b10100000010001111110001110101101 A" +1m +b11100001101010011111010101011000 / +b11100001101010011111010101011000 K +b11100001101010011111010101011000 e +b11100001101010011111010101011000 "" +b11 ~ +b11 )" +b11 ." +0. +1% +#876500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b10100111100010100010110010110111 2 +b10100111100010100010110010110111 I +b10100111100010100010110010110111 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b10100111100010100010110010110111 , +b10100111100010100010110010110111 H +b101 + +b101 G +1- +1. +0% +#877000 +0] +0s +b10110011111001101010010011101 | +b10110011111001101010010011101 B" +0[ +1>" +1@" +1h +1g +0;" +0r +15" +17" +b11010 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b101 -" +1y +0u +14" +1v +1a +12" +0n +0m +0/" +1x +1," +b10100111100010100010110010110111 &" +b10100111100010100010110010110111 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b10100000010001111110001110101101 / +b10100000010001111110001110101101 K +b10100000010001111110001110101101 e +b10100000010001111110001110101101 "" +0. +1% +#877010 +b10100111100010100010110010110111 H" +#877500 +b0 7 +b0 N +b0 V +06 +b11100101101000100111010100011101 2 +b11100101101000100111010100011101 I +b11100101101000100111010100011101 Z +b10011 3 +b10011 J +b10011 Y +b0 & +b0 C +0( +b11100101101000100111010100011101 , +b11100101101000100111010100011101 H +b10011 + +b10011 G +1. +0% +#878000 +0_ +1] +1s +0\ +0>" +1r +05" +07" +b1100 3" +1<" +1` +0^ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110011111001101010010011101 0 +b10110011111001101010010011101 M +b10110011111001101010010011101 f +b10110011111001101010010011101 !" +b11100101101000100111010100011101 &" +b11100101101000100111010100011101 ?" +b10011 %" +b10011 *" +b10011 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#878010 +b11100101101000100111010100011101 V" +#878500 +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 & +b10110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#879000 +0s +b11011011110101010000010101100110 | +b11011011110101010000010101100110 B" +1\ +1[ +0@" +0r +16" +17" +b11111 3" +0<" +0` +b1001 -" +1t +0u +14" +1v +0b +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#879500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b1110000000101101000000011110101 2 +b1110000000101101000000011110101 I +b1110000000101101000000011110101 Z +b10000 3 +b10000 J +b10000 Y +11 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b1110000000101101000000011110101 , +b1110000000101101000000011110101 H +b10000 + +b10000 G +1- +1. +0% +#880000 +0i +b10100111100010100010110010110111 { +b10100111100010100010110010110111 A" +1s +1@" +0g +1:" +1;" +1r +06" +07" +b1111 3" +0_ +1<" +1` +b11010 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1m +1/" +0x +0," +b11011011110101010000010101100110 0 +b11011011110101010000010101100110 M +b11011011110101010000010101100110 f +b11011011110101010000010101100110 !" +b1110000000101101000000011110101 &" +b1110000000101101000000011110101 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#880010 +b1110000000101101000000011110101 S" +#880500 +b1 5 +b1 L +b1 W +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#881000 +1i +b1101100110101000001000111011110 { +b1101100110101000001000111011110 A" +0s +b110001111000111000010100101110 | +b110001111000111000010100101110 B" +0@" +0:" +0q +15" +16" +17" +b11111 3" +0<" +0` +b11110 0" +0j +b10010 -" +0t +0y +1z +1u +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +b1101 } +b1101 (" +b1101 +" +1#" +b10100111100010100010110010110111 / +b10100111100010100010110010110111 K +b10100111100010100010110010110111 e +b10100111100010100010110010110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#881500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +b0 & +b0 C +0( +1. +0% +#882000 +0i +1s +0h +1g +1:" +1q +05" +06" +07" +b11001 0" +1j +b1101011110100010011101001001010 { +b1101011110100010011101001001010 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0m +0w +0," +b1101100110101000001000111011110 / +b1101100110101000001000111011110 K +b1101100110101000001000111011110 e +b1101100110101000001000111011110 "" +b110001111000111000010100101110 0 +b110001111000111000010100101110 M +b110001111000111000010100101110 f +b110001111000111000010100101110 !" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#882500 +b111 5 +b111 L +b111 W +b111 ' +b111 D +1. +0% +#883000 +0g +b11000 0" +b1110111100110110110011111101111 { +b1110111100110110110011111101111 A" +1m +b111 ~ +b111 )" +b111 ." +b1101011110100010011101001001010 / +b1101011110100010011101001001010 K +b1101011110100010011101001001010 e +b1101011110100010011101001001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#883500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +1. +0% +#884000 +1i +0s +b11010101011011000000110011110111 | +b11010101011011000000110011110111 B" +1h +1g +0:" +0;" +0r +15" +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +0t +0y +1z +1u +0n +0m +0/" +1x +1," +b1110111100110110110011111101111 / +b1110111100110110110011111101111 K +b1110111100110110110011111101111 e +b1110111100110110110011111101111 "" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#884500 +b10111 7 +b10111 N +b10111 V +b10111 & +b10111 C +1. +0% +#885000 +0u +1t +0q +05" +16" +b1000 -" +14" +1v +0z +b10111101000110101101001011111 | +b10111101000110101101001011111 B" +1w +b10111 } +b10111 (" +b10111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010101011011000000110011110111 0 +b11010101011011000000110011110111 M +b11010101011011000000110011110111 f +b11010101011011000000110011110111 !" +0. +1% +#885500 +b0 7 +b0 N +b0 V +06 +b10100111000110101100100101111001 2 +b10100111000110101100100101111001 I +b10100111000110101100100101111001 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 & +b0 C +0( +b10100111000110101100100101111001 , +b10100111000110101100100101111001 H +b1101 + +b1101 G +1- +1. +0% +#886000 +0] +1s +0[ +1=" +1>" +1@" +1r +1q +06" +07" +b10010 3" +0^ +0c +1d +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0w +0," +b10111101000110101101001011111 0 +b10111101000110101101001011111 M +b10111101000110101101001011111 f +b10111101000110101101001011111 !" +b10100111000110101100100101111001 &" +b10100111000110101100100101111001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#886010 +b10100111000110101100100101111001 P" +#886500 +b11100 5 +b11100 L +b11100 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1000100000100111110011011010010 2 +b1000100000100111110011011010010 I +b1000100000100111110011011010010 Z +b1011 3 +b1011 J +b1011 Y +b11100 ' +b11100 D +1) +b1000 & +b1000 C +1( +b1000100000100111110011011010010 , +b1000100000100111110011011010010 H +b1011 + +b1011 G +1. +0% +#887000 +1c +0i +b11001111110110111100001010101001 { +b11001111110110111100001010101001 A" +0s +b11000000100010001100110110100110 | +b11000000100010001100110110100110 B" +0\ +1=" +0>" +19" +1:" +1;" +15" +17" +b10100 3" +0d +b11 0" +0j +0o +1p +0k +18" +1l +b10111 -" +1y +1u +1b +1/" +1," +b1000100000100111110011011010010 &" +b1000100000100111110011011010010 ?" +b1011 %" +b1011 *" +b1011 1" +b11100 ~ +b11100 )" +b11100 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#887010 +b1000100000100111110011011010010 N" +#887500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#888000 +1] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0=" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +05" +07" +b11111 3" +0c +0_ +b11111 0" +0p +08" +0l +b11111 -" +0y +0u +0b +0a +02" +0/" +0," +b11001111110110111100001010101001 / +b11001111110110111100001010101001 K +b11001111110110111100001010101001 e +b11001111110110111100001010101001 "" +b11000000100010001100110110100110 0 +b11000000100010001100110110100110 M +b11000000100010001100110110100110 f +b11000000100010001100110110100110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#888500 +b1101111000100011100010000 2 +b1101111000100011100010000 I +b1101111000100011100010000 Z +b11101 3 +b11101 J +b11101 Y +11 +b1101111000100011100010000 , +b1101111000100011100010000 H +b11101 + +b11101 G +1- +1. +0% +#889000 +0] +0[ +1=" +1>" +1@" +b10 3" +0^ +0c +1d +0_ +1<" +1` +1a +12" +b1101111000100011100010000 &" +b1101111000100011100010000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#889010 +b1101111000100011100010000 `" +#889500 +b10000 5 +b10000 L +b10000 W +14 +b1111 7 +b1111 N +b1111 V +16 +b100111001111000001110010110101 2 +b100111001111000001110010110101 I +b100111001111000001110010110101 Z +b11 3 +b11 J +b11 Y +b10000 ' +b10000 D +1) +b1111 & +b1111 C +1( +b100111001111000001110010110101 , +b100111001111000001110010110101 H +b11 + +b11 G +1. +0% +#890000 +1_ +1] +b1110000000101101000000011110101 { +b1110000000101101000000011110101 A" +0s +b11000110001011010010110011011111 | +b11000110001011010010110011011111 B" +0\ +1@" +0=" +0>" +1;" +0r +0q +15" +16" +17" +b11100 3" +0<" +0` +0d +b1111 0" +0k +18" +1l +b10000 -" +0t +0y +1z +1u +1b +1/" +1x +1w +1," +b100111001111000001110010110101 &" +b100111001111000001110010110101 ?" +b11 %" +b11 *" +b11 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#890010 +b100111001111000001110010110101 F" +#890500 +b11110 5 +b11110 L +b11110 W +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#891000 +0i +1t +1\ +1[ +0@" +0h +19" +1:" +1r +05" +16" +b11111 3" +0_ +b1 0" +0j +0o +1p +b11000100100111010011011010111010 { +b11000100100111010011011010111010 A" +b11010 -" +0z +b10100111100010100010110010110111 | +b10100111100010100010110010110111 B" +0b +0a +02" +1n +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b101 } +b101 (" +b101 +" +b1110000000101101000000011110101 / +b1110000000101101000000011110101 K +b1110000000101101000000011110101 e +b1110000000101101000000011110101 "" +b11000110001011010010110011011111 0 +b11000110001011010010110011011111 M +b11000110001011010010110011011111 f +b11000110001011010010110011011111 !" +0. +1% +#891500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +06 +b1111 ' +b1111 D +b0 & +b0 C +0( +1. +0% +#892000 +1k +1s +0g +1;" +1q +06" +07" +b10000 0" +08" +0l +b11000110001011010010110011011111 { +b11000110001011010010110011011111 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +0w +0," +b11000100100111010011011010111010 / +b11000100100111010011011010111010 K +b11000100100111010011011010111010 e +b11000100100111010011011010111010 "" +b10100111100010100010110010110111 0 +b10100111100010100010110010110111 M +b10100111100010100010110010110111 f +b10100111100010100010110010110111 !" +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#892500 +b10100 5 +b10100 L +b10100 W +b10100 ' +b10100 D +1. +0% +#893000 +0k +1j +1h +1g +09" +1:" +b1011 0" +18" +1l +0p +b10010100111111110011000111011000 { +b10010100111111110011000111011000 A" +0n +0m +b10100 ~ +b10100 )" +b10100 ." +b11000110001011010010110011011111 / +b11000110001011010010110011011111 K +b11000110001011010010110011011111 e +b11000110001011010010110011011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#893500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +1. +0% +#894000 +1i +0s +b1100000101101001111000100011101 | +b1100000101101001111000100011101 B" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +16" +17" +b11111 0" +0j +08" +0l +b10011 -" +0t +0y +1z +1u +0/" +1," +b10010100111111110011000111011000 / +b10010100111111110011000111011000 K +b10010100111111110011000111011000 e +b10010100111111110011000111011000 "" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#894500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +1. +0% +#895000 +0i +b11001111110110111100001010101001 { +b11001111110110111100001010101001 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +19" +1:" +1;" +05" +06" +07" +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +0u +1/" +0," +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100000101101001111000100011101 0 +b1100000101101001111000100011101 M +b1100000101101001111000100011101 f +b1100000101101001111000100011101 !" +0. +1% +#895500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#896000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b11001111110110111100001010101001 / +b11001111110110111100001010101001 K +b11001111110110111100001010101001 e +b11001111110110111100001010101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#896500 +1. +0% +#897000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#897500 +b10001 5 +b10001 L +b10001 W +14 +b110101011000111111110101001110 2 +b110101011000111111110101001110 I +b110101011000111111110101001110 Z +b11110 3 +b11110 J +b11110 Y +11 +b10001 ' +b10001 D +1) +b110101011000111111110101001110 , +b110101011000111111110101001110 H +b11110 + +b11110 G +1- +1. +0% +#898000 +0] +b11011010010010010001010111111011 { +b11011010010010010001010111111011 A" +0\ +1=" +1>" +1@" +0g +1;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1110 0" +0k +18" +1l +1b +12" +1m +1/" +b110101011000111111110101001110 &" +b110101011000111111110101001110 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#898010 +b110101011000111111110101001110 a" +#898500 +b0 5 +b0 L +b0 W +04 +b11110110010011101101011100110110 2 +b11110110010011101101011100110110 I +b11110110010011101101011100110110 Z +b11101 3 +b11101 J +b11101 Y +b0 ' +b0 D +0) +b11110110010011101101011100110110 , +b11110110010011101101011100110110 H +b11101 + +b11101 G +1. +0% +#899000 +1\ +0[ +1g +0;" +b10 3" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +1a +0m +0/" +b11110110010011101101011100110110 &" +b11110110010011101101011100110110 ?" +b11101 %" +b11101 *" +b11101 1" +b0 ~ +b0 )" +b0 ." +0$" +b11011010010010010001010111111011 / +b11011010010010010001010111111011 K +b11011010010010010001010111111011 e +b11011010010010010001010111111011 "" +0. +1% +#899010 +b11110110010011101101011100110110 `" +#899500 +b110 5 +b110 L +b110 W +14 +b1100 7 +b1100 N +b1100 V +16 +b1010001101000010111101010100010 2 +b1010001101000010111101010100010 I +b1010001101000010111101010100010 Z +b10011 3 +b10011 J +b10011 Y +b110 ' +b110 D +1) +b1100 & +b1100 C +1( +b1010001101000010111101010100010 , +b1010001101000010111101010100010 H +b10011 + +b10011 G +1. +0% +#900000 +1] +0i +b1101011110100010011101001001010 { +b1101011110100010011101001001010 A" +0s +b1100000101101001111000100011101 | +b1100000101101001111000100011101 B" +0\ +0=" +0>" +0h +1:" +1;" +15" +16" +17" +b1100 3" +0d +b11001 0" +1j +1k +b10011 -" +0t +0y +1z +1u +1b +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010001101000010111101010100010 &" +b1010001101000010111101010100010 ?" +b10011 %" +b10011 *" +b10011 1" +b110 ~ +b110 )" +b110 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#900010 +b1010001101000010111101010100010 V" +#900500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10111111110111001111010011000101 2 +b10111111110111001111010011000101 I +b10111111110111001111010011000101 Z +b11000 3 +b11000 J +b11000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10111111110111001111010011000101 , +b10111111110111001111010011000101 H +b11000 + +b11000 G +1. +0% +#901000 +0] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +1=" +1h +0:" +0;" +05" +06" +07" +b111 3" +1c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +0u +0b +0a +0n +0/" +0," +b10111111110111001111010011000101 &" +b10111111110111001111010011000101 ?" +b11000 %" +b11000 *" +b11000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1101011110100010011101001001010 / +b1101011110100010011101001001010 K +b1101011110100010011101001001010 e +b1101011110100010011101001001010 "" +b1100000101101001111000100011101 0 +b1100000101101001111000100011101 M +b1100000101101001111000100011101 f +b1100000101101001111000100011101 !" +0. +1% +#901010 +b10111111110111001111010011000101 [" +#901500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#902000 +1] +0=" +0@" +b11111 3" +0c +0<" +0` +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#902500 +b11 5 +b11 L +b11 W +14 +b11 ' +b11 D +1) +1. +0% +#903000 +b100111001111000001110010110101 { +b100111001111000001110010110101 A" +0h +0g +1;" +b11100 0" +1k +1n +1m +1/" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#903500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#904000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b100111001111000001110010110101 / +b100111001111000001110010110101 K +b100111001111000001110010110101 e +b100111001111000001110010110101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#904500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#905000 +0s +b10001000111000100010101101001 | +b10001000111000100010101101001 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#905500 +b10100 5 +b10100 L +b10100 W +14 +b11001 7 +b11001 N +b11001 V +b10100 ' +b10100 D +1) +b11001 & +b11001 C +1. +0% +#906000 +0i +b10010100111111110011000111011000 { +b10010100111111110011000111011000 A" +0u +1:" +1;" +b10111001111000110000010010010001 | +b10111001111000110000010010010001 B" +b1011 0" +1j +0k +18" +1l +b110 -" +14" +1v +1/" +b10001000111000100010101101001 0 +b10001000111000100010101101001 M +b10001000111000100010101101001 f +b10001000111000100010101101001 !" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#906500 +b111 5 +b111 L +b111 W +b11011 7 +b11011 N +b11011 V +b10101000001111100111100000010101 2 +b10101000001111100111100000010101 I +b10101000001111100111100000010101 Z +b10010 3 +b10010 J +b10010 Y +11 +b111 ' +b111 D +b11011 & +b11011 C +b10101000001111100111100000010101 , +b10101000001111100111100000010101 H +b10010 + +b10010 G +1- +1. +0% +#907000 +1k +0\ +1@" +0h +0g +1;" +0r +b1101 3" +0_ +1<" +1` +b11000 0" +08" +0l +b1110111100110110110011111101111 { +b1110111100110110110011111101111 A" +b100 -" +b10100001101010001000111101011110 | +b10100001101010001000111101011110 B" +1b +12" +1n +1m +1x +b10101000001111100111100000010101 &" +b10101000001111100111100000010101 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b111 ~ +b111 )" +b111 ." +b11011 } +b11011 (" +b11011 +" +b10010100111111110011000111011000 / +b10010100111111110011000111011000 K +b10010100111111110011000111011000 e +b10010100111111110011000111011000 "" +b10111001111000110000010010010001 0 +b10111001111000110000010010010001 M +b10111001111000110000010010010001 f +b10111001111000110000010010010001 !" +0. +1% +#907010 +b10101000001111100111100000010101 U" +#907500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11110 & +b11110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#908000 +1i +0y +1\ +0@" +1h +1g +0:" +0;" +1q +16" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +1z +b110101011000111111110101001110 | +b110101011000111111110101001110 B" +0b +02" +0n +0m +0/" +0w +b1110111100110110110011111101111 / +b1110111100110110110011111101111 K +b1110111100110110110011111101111 e +b1110111100110110110011111101111 "" +b10100001101010001000111101011110 0 +b10100001101010001000111101011110 M +b10100001101010001000111101011110 f +b10100001101010001000111101011110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#908500 +b0 7 +b0 N +b0 V +06 +b1010011000011100110001011001011 2 +b1010011000011100110001011001011 I +b1010011000011100110001011001011 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 & +b0 C +0( +b1010011000011100110001011001011 , +b1010011000011100110001011001011 H +b1000 + +b1000 G +1- +1. +0% +#909000 +0] +1s +1=" +1@" +1r +05" +06" +07" +b10111 3" +1c +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0," +b1010011000011100110001011001011 &" +b1010011000011100110001011001011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110101011000111111110101001110 0 +b110101011000111111110101001110 M +b110101011000111111110101001110 f +b110101011000111111110101001110 !" +0. +1% +#909010 +b1010011000011100110001011001011 K" +#909500 +b10010011010001110111011001101001 2 +b10010011010001110111011001101001 I +b10010011010001110111011001101001 Z +b100 3 +b100 J +b100 Y +b10010011010001110111011001101001 , +b10010011010001110111011001101001 H +b100 + +b100 G +1. +0% +#910000 +1>" +1^ +0=" +b11011 3" +0c +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010011010001110111011001101001 &" +b10010011010001110111011001101001 ?" +b100 %" +b100 *" +b100 1" +0. +1% +#910010 +b10010011010001110111011001101001 G" +#910500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#911000 +1] +0s +b11001111110110111100001010101001 | +b11001111110110111100001010101001 B" +0>" +0@" +15" +16" +17" +b11111 3" +0^ +0_ +b11 -" +0t +0y +1z +0u +14" +1v +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#911500 +b10111 7 +b10111 N +b10111 V +b10111 & +b10111 C +1. +0% +#912000 +1t +0r +0q +05" +16" +b1000 -" +0z +b10111101000110101101001011111 | +b10111101000110101101001011111 B" +1x +1w +b11001111110110111100001010101001 0 +b11001111110110111100001010101001 M +b11001111110110111100001010101001 f +b11001111110110111100001010101001 !" +b10111 } +b10111 (" +b10111 +" +0. +1% +#912500 +b0 7 +b0 N +b0 V +06 +b10011001100011011001001000001000 2 +b10011001100011011001001000001000 I +b10011001100011011001001000001000 Z +11 +b0 & +b0 C +0( +b10011001100011011001001000001000 , +b10011001100011011001001000001000 H +1- +1. +0% +#913000 +1s +1@" +1r +1q +06" +07" +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0w +0," +b10011001100011011001001000001000 &" +b10011001100011011001001000001000 ?" +1'" +b0 } +b0 (" +b0 +" +0#" +b10111101000110101101001011111 0 +b10111101000110101101001011111 M +b10111101000110101101001011111 f +b10111101000110101101001011111 !" +0. +1% +#913010 +b10011001100011011001001000001000 C" +#913500 +b101 5 +b101 L +b101 W +14 +b0 2 +b0 I +b0 Z +01 +b101 ' +b101 D +1) +b0 , +b0 H +0- +1. +0% +#914000 +0i +b10100111100010100010110010110111 { +b10100111100010100010110010110111 A" +0@" +0g +1:" +1;" +0_ +b11010 0" +1j +1k +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +0'" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#914500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b110100011001101111010011110100 2 +b110100011001101111010011110100 I +b110100011001101111010011110100 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b110100011001101111010011110100 , +b110100011001101111010011110100 H +b1110 + +b1110 G +1- +1. +0% +#915000 +0] +1i +b1110000000101101000000011110101 | +b1110000000101101000000011110101 B" +0\ +1=" +1>" +1@" +1g +0:" +0;" +17" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +0u +14" +1v +1b +12" +0m +0/" +1," +b110100011001101111010011110100 &" +b110100011001101111010011110100 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +b10100111100010100010110010110111 / +b10100111100010100010110010110111 K +b10100111100010100010110010110111 e +b10100111100010100010110010110111 "" +0. +1% +#915010 +b110100011001101111010011110100 Q" +#915500 +b1010 5 +b1010 L +b1010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#916000 +1] +0i +b11100001101010011111010101011000 { +b11100001101010011111010101011000 A" +1\ +0=" +0>" +0@" +0h +19" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b10101 0" +1o +1k +b11111 -" +04" +0v +0b +02" +1n +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110000000101101000000011110101 0 +b1110000000101101000000011110101 M +b1110000000101101000000011110101 f +b1110000000101101000000011110101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#916500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#917000 +1i +0s +b1010011000011100110001011001011 | +b1010011000011100110001011001011 B" +1h +09" +0;" +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +0n +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b11100001101010011111010101011000 / +b11100001101010011111010101011000 K +b11100001101010011111010101011000 e +b11100001101010011111010101011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#917500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#918000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +07" +b11111 -" +0y +0u +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010011000011100110001011001011 0 +b1010011000011100110001011001011 M +b1010011000011100110001011001011 f +b1010011000011100110001011001011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#918500 +b1001111100010001110101111110100 2 +b1001111100010001110101111110100 I +b1001111100010001110101111110100 Z +b10010 3 +b10010 J +b10010 Y +11 +b1001111100010001110101111110100 , +b1001111100010001110101111110100 H +b10010 + +b10010 G +1- +1. +0% +#919000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b1001111100010001110101111110100 &" +b1001111100010001110101111110100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#919010 +b1001111100010001110101111110100 U" +#919500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#920000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#920500 +1. +0% +#921000 +0. +1% +#921500 +b11000101001000000011100000000010 2 +b11000101001000000011100000000010 I +b11000101001000000011100000000010 Z +b10001 3 +b10001 J +b10001 Y +11 +b11000101001000000011100000000010 , +b11000101001000000011100000000010 H +b10001 + +b10001 G +1- +1. +0% +#922000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b11000101001000000011100000000010 &" +b11000101001000000011100000000010 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0. +1% +#922010 +b11000101001000000011100000000010 T" +#922500 +b11010 5 +b11010 L +b11010 W +14 +b10001 7 +b10001 N +b10001 V +16 +b111000111101011011110101100100 2 +b111000111101011011110101100100 I +b111000111101011011110101100100 Z +b10010 3 +b10010 J +b10010 Y +b11010 ' +b11010 D +1) +b10001 & +b10001 C +1( +b111000111101011011110101100100 , +b111000111101011011110101100100 H +b10010 + +b10010 G +1. +0% +#923000 +0i +b10110011111001101010010011101 { +b10110011111001101010010011101 A" +b11000101001000000011100000000010 | +b11000101001000000011100000000010 B" +0\ +1[ +0h +19" +1;" +0q +17" +b1101 3" +b101 0" +1o +0k +18" +1l +b1110 -" +0u +14" +1v +1b +0a +1n +1/" +1w +1," +b111000111101011011110101100100 &" +b111000111101011011110101100100 ?" +b10010 %" +b10010 *" +b10010 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#923010 +b111000111101011011110101100100 U" +#923500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#924000 +1k +0o +1\ +0@" +0g +1;" +1:" +1q +07" +b11111 3" +0<" +0` +b10000 0" +08" +0l +1p +b11000110001011010010110011011111 { +b11000110001011010010110011011111 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1m +0w +0," +b10110011111001101010010011101 / +b10110011111001101010010011101 K +b10110011111001101010010011101 e +b10110011111001101010010011101 "" +b11000101001000000011100000000010 0 +b11000101001000000011100000000010 M +b11000101001000000011100000000010 f +b11000101001000000011100000000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#924500 +b1010 5 +b1010 L +b1010 W +b1110 7 +b1110 N +b1110 V +16 +b1010 ' +b1010 D +b1110 & +b1110 C +1( +1. +0% +#925000 +1o +0s +b110100011001101111010011110100 | +b110100011001101111010011110100 B" +1g +19" +0:" +0r +15" +16" +17" +b10101 0" +0p +b11100001101010011111010101011000 { +b11100001101010011111010101011000 A" +b10001 -" +0t +0y +1z +1u +0m +1x +1," +b1010 ~ +b1010 )" +b1010 ." +b1110 } +b1110 (" +b1110 +" +1#" +b11000110001011010010110011011111 / +b11000110001011010010110011011111 K +b11000110001011010010110011011111 e +b11000110001011010010110011011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#925500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#926000 +1i +1s +1h +09" +0;" +1r +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0," +b11100001101010011111010101011000 / +b11100001101010011111010101011000 K +b11100001101010011111010101011000 e +b11100001101010011111010101011000 "" +b110100011001101111010011110100 0 +b110100011001101111010011110100 M +b110100011001101111010011110100 f +b110100011001101111010011110100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#926500 +b10101 7 +b10101 N +b10101 V +16 +b10001111100110101000101010100111 2 +b10001111100110101000101010100111 I +b10001111100110101000101010100111 Z +b1100 3 +b1100 J +b1100 Y +11 +b10101 & +b10101 C +1( +b10001111100110101000101010100111 , +b10001111100110101000101010100111 H +b1100 + +b1100 G +1- +1. +0% +#927000 +0] +0s +b100000100101011001111011111100 | +b100000100101011001111011111100 B" +1=" +1>" +1@" +0q +16" +17" +b10011 3" +0^ +0c +1d +1_ +b1010 -" +1t +0u +14" +1v +12" +1w +1," +b10001111100110101000101010100111 &" +b10001111100110101000101010100111 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#927010 +b10001111100110101000101010100111 O" +#927500 +b11010 7 +b11010 N +b11010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#928000 +15" +1] +1y +0=" +0>" +0@" +0r +1q +06" +b11111 3" +0d +0_ +b101 -" +0t +b10110011111001101010010011101 | +b10110011111001101010010011101 B" +02" +1x +0w +b100000100101011001111011111100 0 +b100000100101011001111011111100 M +b100000100101011001111011111100 f +b100000100101011001111011111100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +0. +1% +#928500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +1. +0% +#929000 +0i +b1000100000100111110011011010010 { +b1000100000100111110011011010010 A" +1s +0h +0g +19" +1;" +1r +05" +07" +b10100 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10110011111001101010010011101 0 +b10110011111001101010010011101 M +b10110011111001101010010011101 f +b10110011111001101010010011101 !" +0. +1% +#929500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#930000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1000100000100111110011011010010 / +b1000100000100111110011011010010 K +b1000100000100111110011011010010 e +b1000100000100111110011011010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#930500 +b1011010010100110111110101100110 2 +b1011010010100110111110101100110 I +b1011010010100110111110101100110 Z +b1 3 +b1 J +b1 Y +11 +b1011010010100110111110101100110 , +b1011010010100110111110101100110 H +b1 + +b1 G +1- +1. +0% +#931000 +0[ +1@" +b11110 3" +1_ +1a +12" +b1011010010100110111110101100110 &" +b1011010010100110111110101100110 ?" +b1 %" +b1 *" +b1 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#931010 +b1011010010100110111110101100110 D" +#931500 +b10000 5 +b10000 L +b10000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#932000 +b1110000000101101000000011110101 { +b1110000000101101000000011110101 A" +1[ +0@" +1;" +b11111 3" +0_ +b1111 0" +0k +18" +1l +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#932500 +b0 5 +b0 L +b0 W +04 +b10010010000011111111001111 2 +b10010010000011111111001111 I +b10010010000011111111001111 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10010010000011111111001111 , +b10010010000011111111001111 H +b1010 + +b1010 G +1- +1. +0% +#933000 +0] +0\ +1=" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10101 3" +1c +1_ +b11111 0" +08" +0l +1b +12" +0/" +b10010010000011111111001111 &" +b10010010000011111111001111 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110000000101101000000011110101 / +b1110000000101101000000011110101 K +b1110000000101101000000011110101 e +b1110000000101101000000011110101 "" +0. +1% +#933010 +b10010010000011111111001111 M" +#933500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#934000 +1] +1\ +0=" +0@" +b11111 3" +0c +0_ +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#934500 +b100 5 +b100 L +b100 W +14 +b101100111010011011111000010000 2 +b101100111010011011111000010000 I +b101100111010011011111000010000 Z +b10001 3 +b10001 J +b10001 Y +11 +b100 ' +b100 D +1) +b101100111010011011111000010000 , +b101100111010011011111000010000 H +b10001 + +b10001 G +1- +1. +0% +#935000 +0i +b10010011010001110111011001101001 { +b10010011010001110111011001101001 A" +0[ +1@" +1:" +1;" +b1110 3" +0_ +1<" +1` +b11011 0" +1j +1k +1a +12" +1/" +b101100111010011011111000010000 &" +b101100111010011011111000010000 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#935010 +b101100111010011011111000010000 T" +#935500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#936000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b101100111010011011111000010000 | +b101100111010011011111000010000 B" +1[ +0@" +0:" +0;" +0q +17" +b11111 3" +0<" +0` +b11111 0" +0j +0k +b1110 -" +0u +14" +1v +0a +02" +0/" +1w +1," +b10010011010001110111011001101001 / +b10010011010001110111011001101001 K +b10010011010001110111011001101001 e +b10010011010001110111011001101001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#936500 +b0 7 +b0 N +b0 V +06 +b1010010010011000011001111110011 2 +b1010010010011000011001111110011 I +b1010010010011000011001111110011 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 & +b0 C +0( +b1010010010011000011001111110011 , +b1010010010011000011001111110011 H +b1111 + +b1111 G +1- +1. +0% +#937000 +0] +0\ +0[ +1=" +1>" +1@" +1q +07" +b10000 3" +0^ +0c +1d +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b1010010010011000011001111110011 &" +b1010010010011000011001111110011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101100111010011011111000010000 0 +b101100111010011011111000010000 M +b101100111010011011111000010000 f +b101100111010011011111000010000 !" +0. +1% +#937010 +b1010010010011000011001111110011 R" +#937500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#938000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#938500 +b11000000000000111000100101001101 2 +b11000000000000111000100101001101 I +b11000000000000111000100101001101 Z +b1 3 +b1 J +b1 Y +11 +b11000000000000111000100101001101 , +b11000000000000111000100101001101 H +b1 + +b1 G +1- +1. +0% +#939000 +0[ +1@" +b11110 3" +1_ +1a +12" +b11000000000000111000100101001101 &" +b11000000000000111000100101001101 ?" +b1 %" +b1 *" +b1 1" +1'" +0. +1% +#939010 +b11000000000000111000100101001101 D" +#939500 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#940000 +0s +b10100111100010100010110010110111 | +b10100111100010100010110010110111 B" +1[ +0@" +0q +16" +17" +b11111 3" +0_ +b11010 -" +1t +1u +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#940500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#941000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10100111100010100010110010110111 0 +b10100111100010100010110010110111 M +b10100111100010100010110010110111 f +b10100111100010100010110010110111 !" +0. +1% +#941500 +b1001 5 +b1001 L +b1001 W +14 +b11011 7 +b11011 N +b11011 V +16 +b1001 ' +b1001 D +1) +b11011 & +b11011 C +1( +1. +0% +#942000 +0i +b10001000111000100010101101001 { +b10001000111000100010101101001 A" +0s +b10100001101010001000111101011110 | +b10100001101010001000111101011110 B" +0g +19" +1;" +0r +0q +15" +17" +b10110 0" +1o +1k +b100 -" +1y +0u +14" +1v +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001 ~ +b1001 )" +b1001 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#942500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#943000 +1i +1s +1g +09" +0;" +1r +1q +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10001000111000100010101101001 / +b10001000111000100010101101001 K +b10001000111000100010101101001 e +b10001000111000100010101101001 "" +b10100001101010001000111101011110 0 +b10100001101010001000111101011110 M +b10100001101010001000111101011110 f +b10100001101010001000111101011110 !" +0. +1% +#943500 +b10000110110111100001111110010 2 +b10000110110111100001111110010 I +b10000110110111100001111110010 Z +b1101 3 +b1101 J +b1101 Y +11 +b10000110110111100001111110010 , +b10000110110111100001111110010 H +b1101 + +b1101 G +1- +1. +0% +#944000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000110110111100001111110010 &" +b10000110110111100001111110010 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +0. +1% +#944010 +b10000110110111100001111110010 P" +#944500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#945000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#945500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#946000 +b1010001101000010111101010100010 { +b1010001101000010111101010100010 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#946500 +b10010 5 +b10010 L +b10010 W +b10010 ' +b10010 D +1. +0% +#947000 +1g +b1101 0" +b111000111101011011110101100100 { +b111000111101011011110101100100 A" +0m +b10010 ~ +b10010 )" +b10010 ." +b1010001101000010111101010100010 / +b1010001101000010111101010100010 K +b1010001101000010111101010100010 e +b1010001101000010111101010100010 "" +0. +1% +#947500 +b0 5 +b0 L +b0 W +04 +b11111000011101111010010011101000 2 +b11111000011101111010010011101000 I +b11111000011101111010010011101000 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b11111000011101111010010011101000 , +b11111000011101111010010011101000 H +b1001 + +b1001 G +1- +1. +0% +#948000 +0] +0[ +1=" +1@" +1h +0;" +b10110 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0/" +b111000111101011011110101100100 / +b111000111101011011110101100100 K +b111000111101011011110101100100 e +b111000111101011011110101100100 "" +b11111000011101111010010011101000 &" +b11111000011101111010010011101000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#948010 +b11111000011101111010010011101000 L" +#948500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#949000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#949500 +b1001 5 +b1001 L +b1001 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1001 ' +b1001 D +1) +b1000 & +b1000 C +1( +1. +0% +#950000 +0i +b11111000011101111010010011101000 { +b11111000011101111010010011101000 A" +0s +b1010011000011100110001011001011 | +b1010011000011100110001011001011 B" +0g +19" +1;" +15" +17" +b10110 0" +1o +1k +b10111 -" +1y +1u +1m +1/" +1," +b1001 ~ +b1001 )" +b1001 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#950500 +b0 5 +b0 L +b0 W +b0 7 +b0 N +b0 V +06 +b1100011000010001111111111001011 2 +b1100011000010001111111111001011 I +b1100011000010001111111111001011 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +b0 & +b0 C +0( +b1100011000010001111111111001011 , +b1100011000010001111111111001011 H +b10100 + +b10100 G +1- +1. +0% +#951000 +0] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1>" +1@" +1g +09" +05" +07" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b10011001100011011001001000001000 { +b10011001100011011001001000001000 A" +b11111 -" +0y +0u +12" +0m +0," +b1100011000010001111111111001011 &" +b1100011000010001111111111001011 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +b0 } +b0 (" +b0 +" +0#" +b11111000011101111010010011101000 / +b11111000011101111010010011101000 K +b11111000011101111010010011101000 e +b11111000011101111010010011101000 "" +b1010011000011100110001011001011 0 +b1010011000011100110001011001011 M +b1010011000011100110001011001011 f +b1010011000011100110001011001011 !" +0. +1% +#951010 +b1100011000010001111111111001011 W" +#951500 +b11000 5 +b11000 L +b11000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#952000 +1] +0k +0i +0>" +0@" +b10111111110111001111010011000101 { +b10111111110111001111010011000101 A" +19" +b11111 3" +0^ +0<" +0` +b111 0" +18" +1l +1o +02" +b10011001100011011001001000001000 / +b10011001100011011001001000001000 K +b10011001100011011001001000001000 e +b10011001100011011001001000001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +0. +1% +#952500 +b10 5 +b10 L +b10 W +b10 ' +b10 D +1. +0% +#953000 +1k +1i +0h +1;" +09" +b11101 0" +08" +0l +0o +b1100101001100110100011000011010 { +b1100101001100110100011000011010 A" +1n +b10 ~ +b10 )" +b10 ." +b10111111110111001111010011000101 / +b10111111110111001111010011000101 K +b10111111110111001111010011000101 e +b10111111110111001111010011000101 "" +0. +1% +#953500 +b1101 5 +b1101 L +b1101 W +b11000001100010010011011001100101 2 +b11000001100010010011011001100101 I +b11000001100010010011011001100101 Z +b101 3 +b101 J +b101 Y +11 +b1101 ' +b1101 D +b11000001100010010011011001100101 , +b11000001100010010011011001100101 H +b101 + +b101 G +1- +1. +0% +#954000 +0] +0i +0[ +1>" +1@" +1h +0g +19" +1:" +b11010 3" +1^ +1_ +b10010 0" +0j +0o +1p +b10000110110111100001111110010 { +b10000110110111100001111110010 A" +1a +12" +0n +1m +b1100101001100110100011000011010 / +b1100101001100110100011000011010 K +b1100101001100110100011000011010 e +b1100101001100110100011000011010 "" +b11000001100010010011011001100101 &" +b11000001100010010011011001100101 ?" +b101 %" +b101 *" +b101 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +0. +1% +#954010 +b11000001100010010011011001100101 H" +#954500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b11101100001000010101111110100100 2 +b11101100001000010101111110100100 I +b11101100001000010101111110100100 Z +b110 3 +b110 J +b110 Y +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b11101100001000010101111110100100 , +b11101100001000010101111110100100 H +b110 + +b110 G +1. +0% +#955000 +1i +b1010001101000010111101010100010 | +b1010001101000010111101010100010 B" +0\ +1[ +1g +09" +0:" +0;" +0r +0q +17" +b11001 3" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +1b +0a +0m +0/" +1x +1w +1," +b11101100001000010101111110100100 &" +b11101100001000010101111110100100 ?" +b110 %" +b110 *" +b110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b10000110110111100001111110010 / +b10000110110111100001111110010 K +b10000110110111100001111110010 e +b10000110110111100001111110010 "" +0. +1% +#955010 +b11101100001000010101111110100100 I" +#955500 +b111 5 +b111 L +b111 W +14 +b10101 7 +b10101 N +b10101 V +b1101111101111000010100001010 2 +b1101111101111000010100001010 I +b1101111101111000010100001010 Z +b1111 3 +b1111 J +b1111 Y +b111 ' +b111 D +1) +b10101 & +b10101 C +b1101111101111000010100001010 , +b1101111101111000010100001010 H +b1111 + +b1111 G +1. +0% +#956000 +0^ +0i +b1110111100110110110011111101111 { +b1110111100110110110011111101111 A" +0s +0[ +1=" +0h +0g +1:" +1;" +1r +16" +b10000 3" +1d +b11000 0" +1j +1k +b1010 -" +1t +b100000100101011001111011111100 | +b100000100101011001111011111100 B" +1a +1n +1m +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010001101000010111101010100010 0 +b1010001101000010111101010100010 M +b1010001101000010111101010100010 f +b1010001101000010111101010100010 !" +b1101111101111000010100001010 &" +b1101111101111000010100001010 ?" +b1111 %" +b1111 *" +b1111 1" +b111 ~ +b111 )" +b111 ." +1$" +b10101 } +b10101 (" +b10101 +" +0. +1% +#956010 +b1101111101111000010100001010 R" +#956500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#957000 +1] +0k +1i +1s +1\ +1[ +0=" +0>" +0@" +1g +0:" +1q +06" +07" +b11111 3" +0d +0_ +b1101 0" +18" +1l +0j +b111000111101011011110101100100 { +b111000111101011011110101100100 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0m +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +b1110111100110110110011111101111 / +b1110111100110110110011111101111 K +b1110111100110110110011111101111 e +b1110111100110110110011111101111 "" +b100000100101011001111011111100 0 +b100000100101011001111011111100 M +b100000100101011001111011111100 f +b100000100101011001111011111100 !" +0. +1% +#957500 +b11011 5 +b11011 L +b11011 W +b10011 7 +b10011 N +b10011 V +16 +b11011 ' +b11011 D +b10011 & +b10011 C +1( +1. +0% +#958000 +0i +b1010001101000010111101010100010 | +b1010001101000010111101010100010 B" +0g +19" +0r +0q +17" +b100 0" +1o +b10100001101010001000111101011110 { +b10100001101010001000111101011110 A" +b1100 -" +0u +14" +1v +1m +1x +1w +1," +b111000111101011011110101100100 / +b111000111101011011110101100100 K +b111000111101011011110101100100 e +b111000111101011011110101100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011 ~ +b11011 )" +b11011 ." +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#958500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 ' +b0 D +0) +b10010 & +b10010 C +1. +0% +#959000 +1i +1h +1g +09" +0;" +1q +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +b111000111101011011110101100100 | +b111000111101011011110101100100 B" +0n +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +b10100001101010001000111101011110 / +b10100001101010001000111101011110 K +b10100001101010001000111101011110 e +b10100001101010001000111101011110 "" +b1010001101000010111101010100010 0 +b1010001101000010111101010100010 M +b1010001101000010111101010100010 f +b1010001101000010111101010100010 !" +0. +1% +#959500 +b10011 5 +b10011 L +b10011 W +14 +b0 7 +b0 N +b0 V +06 +b10011 ' +b10011 D +1) +b0 & +b0 C +0( +1. +0% +#960000 +b1010001101000010111101010100010 { +b1010001101000010111101010100010 A" +0h +0g +1;" +1r +07" +b1100 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111000111101011011110101100100 0 +b111000111101011011110101100100 M +b111000111101011011110101100100 f +b111000111101011011110101100100 !" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#960500 +b10101 5 +b10101 L +b10101 W +b10101 ' +b10101 D +1. +0% +#961000 +0i +1h +1:" +b1010 0" +1j +b100000100101011001111011111100 { +b100000100101011001111011111100 A" +0n +b10101 ~ +b10101 )" +b10101 ." +b1010001101000010111101010100010 / +b1010001101000010111101010100010 K +b1010001101000010111101010100010 e +b1010001101000010111101010100010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#961500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b101100111001000101101101110010 2 +b101100111001000101101101110010 I +b101100111001000101101101110010 Z +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b101100111001000101101101110010 , +b101100111001000101101101110010 H +1- +1. +0% +#962000 +1i +b1010001101000010111101010100010 | +b1010001101000010111101010100010 B" +1@" +1g +0:" +0;" +0r +0q +17" +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0u +14" +1v +12" +0m +0/" +1x +1w +1," +b100000100101011001111011111100 / +b100000100101011001111011111100 K +b100000100101011001111011111100 e +b100000100101011001111011111100 "" +b101100111001000101101101110010 &" +b101100111001000101101101110010 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#962010 +b101100111001000101101101110010 C" +#962500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#963000 +0i +b10100001101010001000111101011110 { +b10100001101010001000111101011110 A" +0@" +0h +0g +19" +1;" +1r +1q +07" +0_ +b100 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +1n +1m +1/" +0x +0w +0," +b0 &" +b0 ?" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010001101000010111101010100010 0 +b1010001101000010111101010100010 M +b1010001101000010111101010100010 f +b1010001101000010111101010100010 !" +0. +1% +#963500 +b101 5 +b101 L +b101 W +b10110001101010101011111110001110 2 +b10110001101010101011111110001110 I +b10110001101010101011111110001110 Z +b10101 3 +b10101 J +b10101 Y +11 +b101 ' +b101 D +b10110001101010101011111110001110 , +b10110001101010101011111110001110 H +b10101 + +b10101 G +1- +1. +0% +#964000 +1:" +0] +1k +1j +0[ +1>" +1@" +1h +1;" +09" +b1010 3" +1^ +0_ +1<" +1` +b11010 0" +08" +0l +0o +b11000001100010010011011001100101 { +b11000001100010010011011001100101 A" +1a +12" +0n +b10100001101010001000111101011110 / +b10100001101010001000111101011110 K +b10100001101010001000111101011110 e +b10100001101010001000111101011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110001101010101011111110001110 &" +b10110001101010101011111110001110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b101 ~ +b101 )" +b101 ." +0. +1% +#964010 +b10110001101010101011111110001110 X" +#964500 +b11 5 +b11 L +b11 W +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b10110 & +b10110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#965000 +1] +1i +0s +b11011011110101010000010101100110 | +b11011011110101010000010101100110 B" +1[ +0>" +0@" +0h +0:" +0r +16" +17" +b11111 3" +0^ +0<" +0` +b11100 0" +0j +b100111001111000001110010110101 { +b100111001111000001110010110101 A" +b1001 -" +1t +0u +14" +1v +0a +02" +1n +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +b10110 } +b10110 (" +b10110 +" +1#" +b11000001100010010011011001100101 / +b11000001100010010011011001100101 K +b11000001100010010011011001100101 e +b11000001100010010011011001100101 "" +0. +1% +#965500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +b0 & +b0 C +0( +1. +0% +#966000 +0k +1s +1g +1r +06" +07" +b1101 0" +18" +1l +b111000111101011011110101100100 { +b111000111101011011110101100100 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0x +0," +b100111001111000001110010110101 / +b100111001111000001110010110101 K +b100111001111000001110010110101 e +b100111001111000001110010110101 "" +b11011011110101010000010101100110 0 +b11011011110101010000010101100110 M +b11011011110101010000010101100110 f +b11011011110101010000010101100110 !" +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#966500 +b1 5 +b1 L +b1 W +b1 ' +b1 D +1. +0% +#967000 +1k +1h +0g +1;" +b11110 0" +08" +0l +b11000000000000111000100101001101 { +b11000000000000111000100101001101 A" +0n +1m +b1 ~ +b1 )" +b1 ." +b111000111101011011110101100100 / +b111000111101011011110101100100 K +b111000111101011011110101100100 e +b111000111101011011110101100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#967500 +b110 5 +b110 L +b110 W +b11100 7 +b11100 N +b11100 V +16 +b110 ' +b110 D +b11100 & +b11100 C +1( +1. +0% +#968000 +0i +0s +b11001111110110111100001010101001 | +b11001111110110111100001010101001 B" +0h +1g +1:" +15" +16" +17" +b11001 0" +1j +b11101100001000010101111110100100 { +b11101100001000010101111110100100 A" +b11 -" +0t +0y +1z +0u +14" +1v +1n +0m +1," +b11000000000000111000100101001101 / +b11000000000000111000100101001101 K +b11000000000000111000100101001101 e +b11000000000000111000100101001101 "" +b110 ~ +b110 )" +b110 ." +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#968500 +b1101 5 +b1101 L +b1101 W +b1010 7 +b1010 N +b1010 V +b1101 ' +b1101 D +b1010 & +b1010 C +1. +0% +#969000 +0j +1u +1y +1h +0g +19" +0r +17" +15" +06" +b10010 0" +1p +b10000110110111100001111110010 { +b10000110110111100001111110010 A" +b10101 -" +04" +0v +0z +b10010010000011111111001111 | +b10010010000011111111001111 B" +0n +1m +1x +b1101 ~ +b1101 )" +b1101 ." +b1010 } +b1010 (" +b1010 +" +b11101100001000010101111110100100 / +b11101100001000010101111110100100 K +b11101100001000010101111110100100 e +b11101100001000010101111110100100 "" +b11001111110110111100001010101001 0 +b11001111110110111100001010101001 M +b11001111110110111100001010101001 f +b11001111110110111100001010101001 !" +0. +1% +#969500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +b0 & +b0 C +0( +1. +0% +#970000 +0k +1j +1s +0h +09" +1:" +1r +05" +07" +b1000 0" +18" +1l +0p +b10111101000110101101001011111 { +b10111101000110101101001011111 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0x +0," +b10000110110111100001111110010 / +b10000110110111100001111110010 K +b10000110110111100001111110010 e +b10000110110111100001111110010 "" +b10010010000011111111001111 0 +b10010010000011111111001111 M +b10010010000011111111001111 f +b10010010000011111111001111 !" +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#970500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#971000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10111101000110101101001011111 / +b10111101000110101101001011111 K +b10111101000110101101001011111 e +b10111101000110101101001011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#971500 +b111 5 +b111 L +b111 W +14 +b1 7 +b1 N +b1 V +16 +b111 ' +b111 D +1) +b1 & +b1 C +1( +1. +0% +#972000 +0i +b1110111100110110110011111101111 { +b1110111100110110110011111101111 A" +b11000000000000111000100101001101 | +b11000000000000111000100101001101 B" +0h +0g +1:" +1;" +0q +17" +b11000 0" +1j +1k +b11110 -" +1u +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111 ~ +b111 )" +b111 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#972500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1111100011111000110000000110000 2 +b1111100011111000110000000110000 I +b1111100011111000110000000110000 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1111100011111000110000000110000 , +b1111100011111000110000000110000 H +b1010 + +b1010 G +1- +1. +0% +#973000 +0] +1i +0\ +1=" +1@" +1h +1g +0:" +0;" +1q +07" +b10101 3" +1c +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0n +0m +0/" +0w +0," +b1111100011111000110000000110000 &" +b1111100011111000110000000110000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110111100110110110011111101111 / +b1110111100110110110011111101111 K +b1110111100110110110011111101111 e +b1110111100110110110011111101111 "" +b11000000000000111000100101001101 0 +b11000000000000111000100101001101 M +b11000000000000111000100101001101 f +b11000000000000111000100101001101 !" +0. +1% +#973010 +b1111100011111000110000000110000 M" +#973500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#974000 +1] +1\ +0=" +0@" +b11111 3" +0c +0_ +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#974500 +b100 7 +b100 N +b100 V +16 +b100 & +b100 C +1( +1. +0% +#975000 +0s +b10010011010001110111011001101001 | +b10010011010001110111011001101001 B" +16" +17" +b11011 -" +1t +1u +1," +b100 } +b100 (" +b100 +" +1#" +0. +1% +#975500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#976000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +06" +07" +b11111 -" +0t +0u +0," +b10010011010001110111011001101001 0 +b10010011010001110111011001101001 M +b10010011010001110111011001101001 f +b10010011010001110111011001101001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#976500 +1. +0% +#977000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#977500 +1. +0% +#978000 +0. +1% +#978500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#979000 +0i +b1010011000011100110001011001011 { +b1010011000011100110001011001011 A" +19" +1;" +b10111 0" +1o +1k +1/" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#979500 +b111 5 +b111 L +b111 W +b111 ' +b111 D +1. +0% +#980000 +1:" +1j +0h +0g +09" +b11000 0" +0o +b1110111100110110110011111101111 { +b1110111100110110110011111101111 A" +1n +1m +b1010011000011100110001011001011 / +b1010011000011100110001011001011 K +b1010011000011100110001011001011 e +b1010011000011100110001011001011 "" +b111 ~ +b111 )" +b111 ." +0. +1% +#980500 +b100 7 +b100 N +b100 V +16 +b100 & +b100 C +1( +1. +0% +#981000 +0s +b10010011010001110111011001101001 | +b10010011010001110111011001101001 B" +16" +17" +b11011 -" +1t +1u +1," +b100 } +b100 (" +b100 +" +1#" +b1110111100110110110011111101111 / +b1110111100110110110011111101111 K +b1110111100110110110011111101111 e +b1110111100110110110011111101111 "" +0. +1% +#981500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b0 ' +b0 D +0) +b1 & +b1 C +1. +0% +#982000 +1i +1s +1h +1g +0:" +0;" +0q +06" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11110 -" +0t +b11000000000000111000100101001101 | +b11000000000000111000100101001101 B" +0n +0m +0/" +1w +b10010011010001110111011001101001 0 +b10010011010001110111011001101001 M +b10010011010001110111011001101001 f +b10010011010001110111011001101001 !" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +0. +1% +#982500 +b111 7 +b111 N +b111 V +b11101010010001101110010100100111 2 +b11101010010001101110010100100111 I +b11101010010001101110010100100111 Z +b11100 3 +b11100 J +b11100 Y +11 +b111 & +b111 C +b11101010010001101110010100100111 , +b11101010010001101110010100100111 H +b11100 + +b11100 G +1- +1. +0% +#983000 +0] +0s +1=" +1>" +1@" +0r +16" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11000 -" +1t +b1110111100110110110011111101111 | +b1110111100110110110011111101111 B" +12" +1x +b11101010010001101110010100100111 &" +b11101010010001101110010100100111 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b111 } +b111 (" +b111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000000000000111000100101001101 0 +b11000000000000111000100101001101 M +b11000000000000111000100101001101 f +b11000000000000111000100101001101 !" +0. +1% +#983010 +b11101010010001101110010100100111 _" +#983500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#984000 +1] +1s +0=" +0>" +0@" +1r +1q +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0w +0," +b1110111100110110110011111101111 0 +b1110111100110110110011111101111 M +b1110111100110110110011111101111 f +b1110111100110110110011111101111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#984500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#985000 +0i +b110100011001101111010011110100 { +b110100011001101111010011110100 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#985500 +b111 5 +b111 L +b111 W +b1101010101111101111001010001001 2 +b1101010101111101111001010001001 I +b1101010101111101111001010001001 Z +b10001 3 +b10001 J +b10001 Y +11 +b111 ' +b111 D +b1101010101111101111001010001001 , +b1101010101111101111001010001001 H +b10001 + +b10001 G +1- +1. +0% +#986000 +1j +0[ +1@" +0g +09" +1:" +b1110 3" +0_ +1<" +1` +b11000 0" +0p +b1110111100110110110011111101111 { +b1110111100110110110011111101111 A" +1a +12" +1m +b110100011001101111010011110100 / +b110100011001101111010011110100 K +b110100011001101111010011110100 e +b110100011001101111010011110100 "" +b1101010101111101111001010001001 &" +b1101010101111101111001010001001 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b111 ~ +b111 )" +b111 ." +0. +1% +#986010 +b1101010101111101111001010001001 T" +#986500 +b1110 5 +b1110 L +b1110 W +b11101101111100001011001011111011 2 +b11101101111100001011001011111011 I +b11101101111100001011001011111011 Z +b1010 3 +b1010 J +b1010 Y +b1110 ' +b1110 D +b11101101111100001011001011111011 , +b11101101111100001011001011111011 H +b1010 + +b1010 G +1. +0% +#987000 +1_ +0] +0j +0\ +1[ +1@" +1=" +1g +19" +b10101 3" +0<" +0` +1c +b10001 0" +1p +b110100011001101111010011110100 { +b110100011001101111010011110100 A" +1b +0a +0m +b11101101111100001011001011111011 &" +b11101101111100001011001011111011 ?" +b1010 %" +b1010 *" +b1010 1" +b1110 ~ +b1110 )" +b1110 ." +b1110111100110110110011111101111 / +b1110111100110110110011111101111 K +b1110111100110110110011111101111 e +b1110111100110110110011111101111 "" +0. +1% +#987010 +b11101101111100001011001011111011 M" +#987500 +b0 5 +b0 L +b0 W +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#988000 +1] +1i +b101100111001000101101101110010 | +b101100111001000101101101110010 B" +1\ +0=" +0@" +1h +09" +0:" +17" +b11111 3" +0c +0_ +b11111 0" +0p +b101100111001000101101101110010 { +b101100111001000101101101110010 A" +1u +0b +02" +0n +1," +b110100011001101111010011110100 / +b110100011001101111010011110100 K +b110100011001101111010011110100 e +b110100011001101111010011110100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +1#" +0. +1% +#988500 +b10101 5 +b10101 L +b10101 W +b11000 7 +b11000 N +b11000 V +b10101 ' +b10101 D +b11000 & +b11000 C +1. +0% +#989000 +0k +0i +0u +0s +0g +1:" +b10111111110111001111010011000101 | +b10111111110111001111010011000101 B" +15" +b1010 0" +18" +1l +1j +b10110001101010101011111110001110 { +b10110001101010101011111110001110 A" +b111 -" +14" +1v +1y +1m +b10101 ~ +b10101 )" +b10101 ." +b11000 } +b11000 (" +b11000 +" +b101100111001000101101101110010 / +b101100111001000101101101110010 K +b101100111001000101101101110010 e +b101100111001000101101101110010 "" +b101100111001000101101101110010 0 +b101100111001000101101101110010 M +b101100111001000101101101110010 f +b101100111001000101101101110010 !" +0. +1% +#989500 +b11000 5 +b11000 L +b11000 W +b0 7 +b0 N +b0 V +06 +b11000 ' +b11000 D +b0 & +b0 C +0( +1. +0% +#990000 +19" +1o +1s +1g +0:" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b111 0" +0j +b10111111110111001111010011000101 { +b10111111110111001111010011000101 A" +b11111 -" +0y +04" +0v +0m +0," +b10110001101010101011111110001110 / +b10110001101010101011111110001110 K +b10110001101010101011111110001110 e +b10110001101010101011111110001110 "" +b10111111110111001111010011000101 0 +b10111111110111001111010011000101 M +b10111111110111001111010011000101 f +b10111111110111001111010011000101 !" +b11000 ~ +b11000 )" +b11000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#990500 +b10001 5 +b10001 L +b10001 W +b10001 ' +b10001 D +1. +0% +#991000 +1i +0g +09" +b1110 0" +0o +b1101010101111101111001010001001 { +b1101010101111101111001010001001 A" +1m +b10001 ~ +b10001 )" +b10001 ." +b10111111110111001111010011000101 / +b10111111110111001111010011000101 K +b10111111110111001111010011000101 e +b10111111110111001111010011000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#991500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#992000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b1101010101111101111001010001001 / +b1101010101111101111001010001001 K +b1101010101111101111001010001001 e +b1101010101111101111001010001001 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#992500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#993000 +b1010001101000010111101010100010 | +b1010001101000010111101010100010 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#993500 +b101 7 +b101 N +b101 V +b101 & +b101 C +1. +0% +#994000 +1u +0s +1r +17" +16" +b11010 -" +04" +0v +1t +b11000001100010010011011001100101 | +b11000001100010010011011001100101 B" +0x +b1010001101000010111101010100010 0 +b1010001101000010111101010100010 M +b1010001101000010111101010100010 f +b1010001101000010111101010100010 !" +b101 } +b101 (" +b101 +" +0. +1% +#994500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#995000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11000001100010010011011001100101 0 +b11000001100010010011011001100101 M +b11000001100010010011011001100101 f +b11000001100010010011011001100101 !" +0. +1% +#995500 +b1111 5 +b1111 L +b1111 W +14 +b1000101000000011100000011100110 2 +b1000101000000011100000011100110 I +b1000101000000011100000011100110 Z +b10011 3 +b10011 J +b10011 Y +11 +b1111 ' +b1111 D +1) +b1000101000000011100000011100110 , +b1000101000000011100000011100110 H +b10011 + +b10011 G +1- +1. +0% +#996000 +0i +b1101111101111000010100001010 { +b1101111101111000010100001010 A" +0\ +0[ +1@" +0h +0g +19" +1:" +1;" +b1100 3" +0_ +1<" +1` +b10000 0" +0j +0o +1p +1k +1b +1a +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000101000000011100000011100110 &" +b1000101000000011100000011100110 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#996010 +b1000101000000011100000011100110 V" +#996500 +b0 5 +b0 L +b0 W +04 +b10000001011101100110001011101100 2 +b10000001011101100110001011101100 I +b10000001011101100110001011101100 Z +b11101 3 +b11101 J +b11101 Y +b0 ' +b0 D +0) +b10000001011101100110001011101100 , +b10000001011101100110001011101100 H +b11101 + +b11101 G +1. +0% +#997000 +0] +1i +1\ +1=" +1>" +1h +1g +09" +0:" +0;" +b10 3" +0^ +0c +1d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0n +0m +0/" +b10000001011101100110001011101100 &" +b10000001011101100110001011101100 ?" +b11101 %" +b11101 *" +b11101 1" +b0 ~ +b0 )" +b0 ." +0$" +b1101111101111000010100001010 / +b1101111101111000010100001010 K +b1101111101111000010100001010 e +b1101111101111000010100001010 "" +0. +1% +#997010 +b10000001011101100110001011101100 `" +#997500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#998000 +1] +b1100101001100110100011000011010 | +b1100101001100110100011000011010 B" +1[ +0=" +0>" +0@" +0r +17" +b11111 3" +0d +0<" +0` +b11101 -" +1u +0a +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#998500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +1. +0% +#999000 +0i +b110101011000111111110101001110 { +b110101011000111111110101001110 A" +0h +19" +1:" +1;" +1r +07" +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0," +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1100101001100110100011000011010 0 +b1100101001100110100011000011010 M +b1100101001100110100011000011010 f +b1100101001100110100011000011010 !" +0. +1% +#999500 +b0 5 +b0 L +b0 W +b100 7 +b100 N +b100 V +16 +b10000000110010110000010110011010 2 +b10000000110010110000010110011010 I +b10000000110010110000010110011010 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +b100 & +b100 C +1( +b10000000110010110000010110011010 , +b10000000110010110000010110011010 H +b10011 + +b10011 G +1- +1. +0% +#1000000 +1k +1i +0s +b10010011010001110111011001101001 | +b10010011010001110111011001101001 B" +0\ +0[ +1@" +1h +1;" +09" +0:" +16" +17" +b1100 3" +0_ +1<" +1` +b11111 0" +08" +0l +0p +b101100111001000101101101110010 { +b101100111001000101101101110010 A" +b11011 -" +1t +1u +1b +1a +12" +0n +1," +b110101011000111111110101001110 / +b110101011000111111110101001110 K +b110101011000111111110101001110 e +b110101011000111111110101001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000000110010110000010110011010 &" +b10000000110010110000010110011010 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +b100 } +b100 (" +b100 +" +1#" +0. +1% +#1000010 +b10000000110010110000010110011010 V" +#1000500 +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1001000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0@" +0;" +06" +07" +b11111 3" +0<" +0` +0k +b11111 -" +0t +0u +0b +0a +02" +0/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +b0 } +b0 (" +b0 +" +0#" +b101100111001000101101101110010 / +b101100111001000101101101110010 K +b101100111001000101101101110010 e +b101100111001000101101101110010 "" +b10010011010001110111011001101001 0 +b10010011010001110111011001101001 M +b10010011010001110111011001101001 f +b10010011010001110111011001101001 !" +0. +1% +#1001500 +b11011 5 +b11011 L +b11011 W +14 +b10011110111101011111100001111100 2 +b10011110111101011111100001111100 I +b10011110111101011111100001111100 Z +b11111 3 +b11111 J +b11111 Y +11 +b11011 ' +b11011 D +1) +b10011110111101011111100001111100 , +b10011110111101011111100001111100 H +b11111 + +b11111 G +1- +1. +0% +#1002000 +0] +0i +b10100001101010001000111101011110 { +b10100001101010001000111101011110 A" +0\ +0[ +1=" +1>" +1@" +0h +0g +19" +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b100 0" +1o +0k +18" +1l +1b +1a +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011110111101011111100001111100 &" +b10011110111101011111100001111100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#1002500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1003000 +1] +1i +1\ +1[ +0=" +0>" +0@" +1h +1g +09" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100001101010001000111101011110 / +b10100001101010001000111101011110 K +b10100001101010001000111101011110 e +b10100001101010001000111101011110 "" +0. +1% +#1003500 +b100 7 +b100 N +b100 V +16 +b11011110111101000100111000010000 2 +b11011110111101000100111000010000 I +b11011110111101000100111000010000 Z +b1101 3 +b1101 J +b1101 Y +11 +b100 & +b100 C +1( +b11011110111101000100111000010000 , +b11011110111101000100111000010000 H +b1101 + +b1101 G +1- +1. +0% +#1004000 +0] +0s +b10010011010001110111011001101001 | +b10010011010001110111011001101001 B" +0[ +1=" +1>" +1@" +16" +17" +b10010 3" +0^ +0c +1d +1_ +b11011 -" +1t +1u +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011110111101000100111000010000 &" +b11011110111101000100111000010000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#1004010 +b11011110111101000100111000010000 P" +#1004500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1005000 +1] +b1101010101111101111001010001001 { +b1101010101111101111001010001001 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0=" +0>" +0@" +0g +1;" +06" +07" +b11111 3" +0d +0_ +b1110 0" +0k +18" +1l +b11111 -" +0t +0u +0a +02" +1m +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10010011010001110111011001101001 0 +b10010011010001110111011001101001 M +b10010011010001110111011001101001 f +b10010011010001110111011001101001 !" +0. +1% +#1005500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1006000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b1101010101111101111001010001001 / +b1101010101111101111001010001001 K +b1101010101111101111001010001001 e +b1101010101111101111001010001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1006500 +b11010111111111101110000110101011 2 +b11010111111111101110000110101011 I +b11010111111111101110000110101011 Z +b10000 3 +b10000 J +b10000 Y +11 +b11010111111111101110000110101011 , +b11010111111111101110000110101011 H +b10000 + +b10000 G +1- +1. +0% +#1007000 +1@" +b1111 3" +0_ +1<" +1` +12" +b11010111111111101110000110101011 &" +b11010111111111101110000110101011 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1007010 +b11010111111111101110000110101011 S" +#1007500 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1008000 +0s +b10111101000110101101001011111 | +b10111101000110101101001011111 B" +0@" +0r +0q +16" +17" +b11111 3" +0<" +0` +b1000 -" +1t +0u +14" +1v +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#1008500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1009000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10111101000110101101001011111 0 +b10111101000110101101001011111 M +b10111101000110101101001011111 f +b10111101000110101101001011111 !" +0. +1% +#1009500 +1. +0% +#1010000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1010500 +b11011 5 +b11011 L +b11011 W +14 +b1000 7 +b1000 N +b1000 V +16 +b11011 ' +b11011 D +1) +b1000 & +b1000 C +1( +1. +0% +#1011000 +0i +b10100001101010001000111101011110 { +b10100001101010001000111101011110 A" +0s +b1010011000011100110001011001011 | +b1010011000011100110001011001011 B" +0h +0g +19" +1;" +15" +17" +b100 0" +1o +0k +18" +1l +b10111 -" +1y +1u +1n +1m +1/" +1," +b11011 ~ +b11011 )" +b11011 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#1011500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1012000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +1g +09" +0;" +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +0u +0n +0m +0/" +0," +b10100001101010001000111101011110 / +b10100001101010001000111101011110 K +b10100001101010001000111101011110 e +b10100001101010001000111101011110 "" +b1010011000011100110001011001011 0 +b1010011000011100110001011001011 M +b1010011000011100110001011001011 f +b1010011000011100110001011001011 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1012500 +1. +0% +#1013000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1013500 +b111 5 +b111 L +b111 W +14 +b11100100001001111101011101010111 2 +b11100100001001111101011101010111 I +b11100100001001111101011101010111 Z +b110 3 +b110 J +b110 Y +11 +b111 ' +b111 D +1) +b11100100001001111101011101010111 , +b11100100001001111101011101010111 H +b110 + +b110 G +1- +1. +0% +#1014000 +0] +0i +b1110111100110110110011111101111 { +b1110111100110110110011111101111 A" +0\ +1>" +1@" +0h +0g +1:" +1;" +b11001 3" +1^ +1_ +b11000 0" +1j +1k +1b +12" +1n +1m +1/" +b11100100001001111101011101010111 &" +b11100100001001111101011101010111 ?" +b110 %" +b110 *" +b110 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#1014010 +b11100100001001111101011101010111 I" +#1014500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b110110110111010111001110011011 2 +b110110110111010111001110011011 I +b110110110111010111001110011011 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +b1 & +b1 C +1( +b110110110111010111001110011011 , +b110110110111010111001110011011 H +b1111 + +b1111 G +1. +0% +#1015000 +0^ +1i +b11000000000000111000100101001101 | +b11000000000000111000100101001101 B" +0[ +1=" +1h +1g +0:" +0;" +0q +17" +b10000 3" +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11110 -" +1u +1a +0n +0m +0/" +1w +1," +b110110110111010111001110011011 &" +b110110110111010111001110011011 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b1110111100110110110011111101111 / +b1110111100110110110011111101111 K +b1110111100110110110011111101111 e +b1110111100110110110011111101111 "" +0. +1% +#1015010 +b110110110111010111001110011011 R" +#1015500 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1016000 +1] +0s +1\ +1[ +0=" +0>" +0@" +0r +16" +b11111 3" +0d +0_ +b11000 -" +1t +b1110111100110110110011111101111 | +b1110111100110110110011111101111 B" +0b +0a +02" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000000000000111000100101001101 0 +b11000000000000111000100101001101 M +b11000000000000111000100101001101 f +b11000000000000111000100101001101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 } +b111 (" +b111 +" +0. +1% +#1016500 +b0 7 +b0 N +b0 V +06 +b100111000100100101111001000101 2 +b100111000100100101111001000101 I +b100111000100100101111001000101 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 & +b0 C +0( +b100111000100100101111001000101 , +b100111000100100101111001000101 H +b1100 + +b1100 G +1- +1. +0% +#1017000 +0] +1s +1=" +1>" +1@" +1r +1q +06" +07" +b10011 3" +0^ +0c +1d +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0x +0w +0," +b100111000100100101111001000101 &" +b100111000100100101111001000101 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1110111100110110110011111101111 0 +b1110111100110110110011111101111 M +b1110111100110110110011111101111 f +b1110111100110110110011111101111 !" +0. +1% +#1017010 +b100111000100100101111001000101 O" +#1017500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1018000 +1] +0=" +0>" +0@" +b11111 3" +0d +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1018500 +b100 7 +b100 N +b100 V +16 +b100 & +b100 C +1( +1. +0% +#1019000 +0s +b10010011010001110111011001101001 | +b10010011010001110111011001101001 B" +16" +17" +b11011 -" +1t +1u +1," +b100 } +b100 (" +b100 +" +1#" +0. +1% +#1019500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1020000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +06" +07" +b11111 -" +0t +0u +0," +b10010011010001110111011001101001 0 +b10010011010001110111011001101001 M +b10010011010001110111011001101001 f +b10010011010001110111011001101001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1020500 +b10101 5 +b10101 L +b10101 W +14 +b100011111111111101110111100110 2 +b100011111111111101110111100110 I +b100011111111111101110111100110 Z +b1011 3 +b1011 J +b1011 Y +11 +b10101 ' +b10101 D +1) +b100011111111111101110111100110 , +b100011111111111101110111100110 H +b1011 + +b1011 G +1- +1. +0% +#1021000 +0] +0i +b10110001101010101011111110001110 { +b10110001101010101011111110001110 A" +0\ +0[ +1=" +1@" +0g +1:" +1;" +b10100 3" +1c +1_ +b1010 0" +1j +0k +18" +1l +1b +1a +12" +1m +1/" +b100011111111111101110111100110 &" +b100011111111111101110111100110 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1021010 +b100011111111111101110111100110 N" +#1021500 +b0 5 +b0 L +b0 W +04 +b10010000011111100011100110000010 2 +b10010000011111100011100110000010 I +b10010000011111100011100110000010 Z +b10011 3 +b10011 J +b10011 Y +b0 ' +b0 D +0) +b10010000011111100011100110000010 , +b10010000011111100011100110000010 H +b10011 + +b10011 G +1. +0% +#1022000 +0_ +1] +1i +0=" +1g +0:" +0;" +b1100 3" +1<" +1` +0c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b10110001101010101011111110001110 / +b10110001101010101011111110001110 K +b10110001101010101011111110001110 e +b10110001101010101011111110001110 "" +b10010000011111100011100110000010 &" +b10010000011111100011100110000010 ?" +b10011 %" +b10011 *" +b10011 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1022010 +b10010000011111100011100110000010 V" +#1022500 +b101 5 +b101 L +b101 W +14 +b1001011101110000010100010010100 2 +b1001011101110000010100010010100 I +b1001011101110000010100010010100 Z +b10110 3 +b10110 J +b10110 Y +b101 ' +b101 D +1) +b1001011101110000010100010010100 , +b1001011101110000010100010010100 H +b10110 + +b10110 G +1. +0% +#1023000 +0] +0i +b11000001100010010011011001100101 { +b11000001100010010011011001100101 A" +1[ +1>" +0g +1:" +1;" +b1001 3" +1^ +b11010 0" +1j +1k +0a +1m +1/" +b1001011101110000010100010010100 &" +b1001011101110000010100010010100 ?" +b10110 %" +b10110 *" +b10110 1" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1023010 +b1001011101110000010100010010100 Y" +#1023500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b10000110101101000011001110111000 2 +b10000110101101000011001110111000 I +b10000110101101000011001110111000 Z +b10100 3 +b10100 J +b10100 Y +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b10000110101101000011001110111000 , +b10000110101101000011001110111000 H +b10100 + +b10100 G +1. +0% +#1024000 +1i +0s +b110110110111010111001110011011 | +b110110110111010111001110011011 B" +1\ +1g +0:" +0;" +0r +0q +15" +16" +17" +b1011 3" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +0t +0y +1z +1u +0b +0m +0/" +1x +1w +1," +b11000001100010010011011001100101 / +b11000001100010010011011001100101 K +b11000001100010010011011001100101 e +b11000001100010010011011001100101 "" +b10000110101101000011001110111000 &" +b10000110101101000011001110111000 ?" +b10100 %" +b10100 *" +b10100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#1024010 +b10000110101101000011001110111000 W" +#1024500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b1001011110001111011001000010011 2 +b1001011110001111011001000010011 I +b1001011110001111011001000010011 Z +b10101 3 +b10101 J +b10101 Y +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b1001011110001111011001000010011 , +b1001011110001111011001000010011 H +b10101 + +b10101 G +1. +0% +#1025000 +0i +b10111101000110101101001011111 { +b10111101000110101101001011111 A" +1s +0[ +0h +0g +1:" +1;" +1r +1q +05" +06" +07" +b1010 3" +b1000 0" +1j +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +1n +1m +1/" +0x +0w +0," +b1001011110001111011001000010011 &" +b1001011110001111011001000010011 ?" +b10101 %" +b10101 *" +b10101 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110110110111010111001110011011 0 +b110110110111010111001110011011 M +b110110110111010111001110011011 f +b110110110111010111001110011011 !" +0. +1% +#1025010 +b1001011110001111011001000010011 X" +#1025500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1026000 +1] +1i +0s +b10111001111000110000010010010001 | +b10111001111000110000010010010001 B" +1[ +0>" +0@" +1h +1g +0:" +0;" +0q +15" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b110 -" +1y +0u +14" +1v +0a +02" +0n +0m +0/" +1w +1," +b10111101000110101101001011111 / +b10111101000110101101001011111 K +b10111101000110101101001011111 e +b10111101000110101101001011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1026500 +b10110 7 +b10110 N +b10110 V +b10110 & +b10110 C +1. +0% +#1027000 +16" +1t +0r +1q +05" +b1001 -" +0y +b1001011101110000010100010010100 | +b1001011101110000010100010010100 B" +1x +0w +b10110 } +b10110 (" +b10110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111001111000110000010010010001 0 +b10111001111000110000010010010001 M +b10111001111000110000010010010001 f +b10111001111000110000010010010001 !" +0. +1% +#1027500 +b10000 5 +b10000 L +b10000 W +14 +b11100 7 +b11100 N +b11100 V +b10000 ' +b10000 D +1) +b11100 & +b11100 C +1. +0% +#1028000 +b11010111111111101110000110101011 { +b11010111111111101110000110101011 A" +0t +1;" +1r +15" +b1111 0" +0k +18" +1l +b11 -" +1z +b11101010010001101110010100100111 | +b11101010010001101110010100100111 B" +1/" +0x +b1001011101110000010100010010100 0 +b1001011101110000010100010010100 M +b1001011101110000010100010010100 f +b1001011101110000010100010010100 !" +b10000 ~ +b10000 )" +b10000 ." +1$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#1028500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b10100000100000011001101010101010 2 +b10100000100000011001101010101010 I +b10100000100000011001101010101010 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +b10100000100000011001101010101010 , +b10100000100000011001101010101010 H +b110 + +b110 G +1- +1. +0% +#1029000 +0] +1u +0\ +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +17" +b11001 3" +1^ +1_ +b11111 0" +08" +0l +b10000 -" +04" +0v +b110110110111010111001110011011 | +b110110110111010111001110011011 B" +1b +12" +0/" +1x +1w +b10100000100000011001101010101010 &" +b10100000100000011001101010101010 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +b11010111111111101110000110101011 / +b11010111111111101110000110101011 K +b11010111111111101110000110101011 e +b11010111111111101110000110101011 "" +b11101010010001101110010100100111 0 +b11101010010001101110010100100111 M +b11101010010001101110010100100111 f +b11101010010001101110010100100111 !" +0. +1% +#1029010 +b10100000100000011001101010101010 I" +#1029500 +b0 7 +b0 N +b0 V +06 +b11101001111111110010100101111 2 +b11101001111111110010100101111 I +b11101001111111110010100101111 Z +b101 3 +b101 J +b101 Y +b0 & +b0 C +0( +b11101001111111110010100101111 , +b11101001111111110010100101111 H +b101 + +b101 G +1. +0% +#1030000 +1s +1\ +0[ +1r +1q +05" +06" +07" +b11010 3" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1a +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110110110111010111001110011011 0 +b110110110111010111001110011011 M +b110110110111010111001110011011 f +b110110110111010111001110011011 !" +b11101001111111110010100101111 &" +b11101001111111110010100101111 ?" +b101 %" +b101 *" +b101 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1030010 +b11101001111111110010100101111 H" +#1030500 +b101 5 +b101 L +b101 W +14 +b11110010001011111011100000110101 2 +b11110010001011111011100000110101 I +b11110010001011111011100000110101 Z +b11100 3 +b11100 J +b11100 Y +b101 ' +b101 D +1) +b11110010001011111011100000110101 , +b11110010001011111011100000110101 H +b11100 + +b11100 G +1. +0% +#1031000 +0_ +0^ +0i +b11101001111111110010100101111 { +b11101001111111110010100101111 A" +1[ +1=" +0g +1:" +1;" +b11 3" +1<" +1` +1d +b11010 0" +1j +1k +0a +1m +1/" +b11110010001011111011100000110101 &" +b11110010001011111011100000110101 ?" +b11100 %" +b11100 *" +b11100 1" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1031010 +b11110010001011111011100000110101 _" +#1031500 +b1101 5 +b1101 L +b1101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1032000 +1] +0j +b11011110111101000100111000010000 { +b11011110111101000100111000010000 A" +0=" +0>" +0@" +19" +b11111 3" +0d +0<" +0` +b10010 0" +1p +02" +b11101001111111110010100101111 / +b11101001111111110010100101111 K +b11101001111111110010100101111 e +b11101001111111110010100101111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +0. +1% +#1032500 +b11100 5 +b11100 L +b11100 W +b100110100101111110100101011001 2 +b100110100101111110100101011001 I +b100110100101111110100101011001 Z +b1010 3 +b1010 J +b1010 Y +11 +b11100 ' +b11100 D +b100110100101111110100101011001 , +b100110100101111110100101011001 H +b1010 + +b1010 G +1- +1. +0% +#1033000 +0] +0k +0\ +1=" +1@" +1g +b10101 3" +1c +1_ +b11 0" +18" +1l +b11110010001011111011100000110101 { +b11110010001011111011100000110101 A" +1b +12" +0m +b100110100101111110100101011001 &" +b100110100101111110100101011001 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b11011110111101000100111000010000 / +b11011110111101000100111000010000 K +b11011110111101000100111000010000 e +b11011110111101000100111000010000 "" +0. +1% +#1033010 +b100110100101111110100101011001 M" +#1033500 +b0 5 +b0 L +b0 W +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1034000 +1] +1k +1i +b11000000000000111000100101001101 | +b11000000000000111000100101001101 B" +1\ +0=" +0@" +1;" +b101100111001000101101101110010 { +b101100111001000101101101110010 A" +09" +0:" +0q +17" +b11111 3" +0c +0_ +b11111 0" +08" +0l +0p +b11110 -" +1u +0b +02" +1w +1," +b11110010001011111011100000110101 / +b11110010001011111011100000110101 K +b11110010001011111011100000110101 e +b11110010001011111011100000110101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +b1 } +b1 (" +b1 +" +1#" +0. +1% +#1034500 +04 +b11 7 +b11 N +b11 V +0) +b11 & +b11 C +1. +0% +#1035000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0r +0k +b11100 -" +b100111001111000001110010110101 | +b100111001111000001110010110101 B" +0/" +1x +0$" +b11 } +b11 (" +b11 +" +b101100111001000101101101110010 / +b101100111001000101101101110010 K +b101100111001000101101101110010 e +b101100111001000101101101110010 "" +b11000000000000111000100101001101 0 +b11000000000000111000100101001101 M +b11000000000000111000100101001101 f +b11000000000000111000100101001101 !" +0. +1% +#1035500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b111 ' +b111 D +1) +b0 & +b0 C +0( +1. +0% +#1036000 +0i +b1110111100110110110011111101111 { +b1110111100110110110011111101111 A" +0h +0g +1:" +1;" +1r +1q +07" +b11000 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100111001111000001110010110101 0 +b100111001111000001110010110101 M +b100111001111000001110010110101 f +b100111001111000001110010110101 !" +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1036500 +b0 5 +b0 L +b0 W +04 +b110101111011101001111111101100 2 +b110101111011101001111111101100 I +b110101111011101001111111101100 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b110101111011101001111111101100 , +b110101111011101001111111101100 H +b1100 + +b1100 G +1- +1. +0% +#1037000 +0] +1i +1=" +1>" +1@" +1h +1g +0:" +0;" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0n +0m +0/" +b110101111011101001111111101100 &" +b110101111011101001111111101100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110111100110110110011111101111 / +b1110111100110110110011111101111 K +b1110111100110110110011111101111 e +b1110111100110110110011111101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1037010 +b110101111011101001111111101100 O" +#1037500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1038000 +1] +0=" +0>" +0@" +b11111 3" +0d +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1038500 +b10000 5 +b10000 L +b10000 W +14 +b11001 7 +b11001 N +b11001 V +16 +b10001011111100111100101000010101 2 +b10001011111100111100101000010101 I +b10001011111100111100101000010101 Z +b11011 3 +b11011 J +b11011 Y +11 +b10000 ' +b10000 D +1) +b11001 & +b11001 C +1( +b10001011111100111100101000010101 , +b10001011111100111100101000010101 H +b11011 + +b11011 G +1- +1. +0% +#1039000 +0] +b11010111111111101110000110101011 { +b11010111111111101110000110101011 A" +0s +b10111001111000110000010010010001 | +b10111001111000110000010010010001 B" +0\ +0[ +1=" +1@" +1;" +0q +15" +17" +b100 3" +1c +0_ +1<" +1` +b1111 0" +0k +18" +1l +b110 -" +1y +0u +14" +1v +1b +1a +12" +1/" +1w +1," +b10001011111100111100101000010101 &" +b10001011111100111100101000010101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1039010 +b10001011111100111100101000010101 ^" +#1039500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1110010110110010111001111110000 2 +b1110010110110010111001111110000 I +b1110010110110010111001111110000 Z +b10010 3 +b10010 J +b10010 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1110010110110010111001111110000 , +b1110010110110010111001111110000 H +b10010 + +b10010 G +1. +0% +#1040000 +1] +1s +1[ +0=" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +07" +b1101 3" +0c +b11111 0" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +0/" +0w +0," +b11010111111111101110000110101011 / +b11010111111111101110000110101011 K +b11010111111111101110000110101011 e +b11010111111111101110000110101011 "" +b10111001111000110000010010010001 0 +b10111001111000110000010010010001 M +b10111001111000110000010010010001 f +b10111001111000110000010010010001 !" +b1110010110110010111001111110000 &" +b1110010110110010111001111110000 ?" +b10010 %" +b10010 *" +b10010 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1040010 +b1110010110110010111001111110000 U" +#1040500 +b100000101101001111101000001111 2 +b100000101101001111101000001111 I +b100000101101001111101000001111 Z +b10001 3 +b10001 J +b10001 Y +b100000101101001111101000001111 , +b100000101101001111101000001111 H +b10001 + +b10001 G +1. +0% +#1041000 +1\ +0[ +b1110 3" +0b +1a +b100000101101001111101000001111 &" +b100000101101001111101000001111 ?" +b10001 %" +b10001 *" +b10001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1041010 +b100000101101001111101000001111 T" +#1041500 +b1111 5 +b1111 L +b1111 W +14 +b10111 7 +b10111 N +b10111 V +16 +b1101001011101000111111010000000 2 +b1101001011101000111111010000000 I +b1101001011101000111111010000000 Z +b1011 3 +b1011 J +b1011 Y +b1111 ' +b1111 D +1) +b10111 & +b10111 C +1( +b1101001011101000111111010000000 , +b1101001011101000111111010000000 H +b1011 + +b1011 G +1. +0% +#1042000 +1_ +0] +0i +b110110110111010111001110011011 { +b110110110111010111001110011011 A" +0s +b10111101000110101101001011111 | +b10111101000110101101001011111 B" +0\ +1@" +1=" +0h +0g +19" +1:" +1;" +0r +0q +16" +17" +b10100 3" +0<" +0` +1c +b10000 0" +0j +0o +1p +1k +b1000 -" +1t +0u +14" +1v +1b +1n +1m +1/" +1x +1w +1," +b1101001011101000111111010000000 &" +b1101001011101000111111010000000 ?" +b1011 %" +b1011 *" +b1011 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#1042010 +b1101001011101000111111010000000 N" +#1042500 +b11100 5 +b11100 L +b11100 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1043000 +1] +0k +1s +1\ +1[ +0=" +0@" +1h +1g +1r +1q +06" +07" +b11111 3" +0c +0_ +b11 0" +18" +1l +b11110010001011111011100000110101 { +b11110010001011111011100000110101 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0n +0m +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +b0 } +b0 (" +b0 +" +0#" +b110110110111010111001110011011 / +b110110110111010111001110011011 K +b110110110111010111001110011011 e +b110110110111010111001110011011 "" +b10111101000110101101001011111 0 +b10111101000110101101001011111 M +b10111101000110101101001011111 f +b10111101000110101101001011111 !" +0. +1% +#1043500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1044000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b11110010001011111011100000110101 / +b11110010001011111011100000110101 K +b11110010001011111011100000110101 e +b11110010001011111011100000110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1044500 +b10111000001001101100010000010100 2 +b10111000001001101100010000010100 I +b10111000001001101100010000010100 Z +b100 3 +b100 J +b100 Y +11 +b10111000001001101100010000010100 , +b10111000001001101100010000010100 H +b100 + +b100 G +1- +1. +0% +#1045000 +0] +1>" +1@" +b11011 3" +1^ +1_ +12" +b10111000001001101100010000010100 &" +b10111000001001101100010000010100 ?" +b100 %" +b100 *" +b100 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1045010 +b10111000001001101100010000010100 G" +#1045500 +b10101 5 +b10101 L +b10101 W +14 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1046000 +1] +0i +b1001011110001111011001000010011 { +b1001011110001111011001000010011 A" +0s +b10000110101101000011001110111000 | +b10000110101101000011001110111000 B" +0>" +0@" +0g +1:" +1;" +16" +17" +b11111 3" +0^ +0_ +b1010 0" +1j +0k +18" +1l +b1011 -" +1t +0u +14" +1v +02" +1m +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#1046500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +b0 & +b0 C +0( +1. +0% +#1047000 +1k +1s +0h +1g +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11001 0" +08" +0l +b10100000100000011001101010101010 { +b10100000100000011001101010101010 A" +b11111 -" +0t +04" +0v +1n +0m +0," +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +b1001011110001111011001000010011 / +b1001011110001111011001000010011 K +b1001011110001111011001000010011 e +b1001011110001111011001000010011 "" +b10000110101101000011001110111000 0 +b10000110101101000011001110111000 M +b10000110101101000011001110111000 f +b10000110101101000011001110111000 !" +0. +1% +#1047500 +b11110 5 +b11110 L +b11110 W +b11110 ' +b11110 D +1. +0% +#1048000 +0k +0j +b110101011000111111110101001110 { +b110101011000111111110101001110 A" +19" +b1 0" +18" +1l +1p +b10100000100000011001101010101010 / +b10100000100000011001101010101010 K +b10100000100000011001101010101010 e +b10100000100000011001101010101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#1048500 +b11010 5 +b11010 L +b11010 W +b1111010011011001001101100010000 2 +b1111010011011001001101100010000 I +b1111010011011001001101100010000 Z +b11101 3 +b11101 J +b11101 Y +11 +b11010 ' +b11010 D +b1111010011011001001101100010000 , +b1111010011011001001101100010000 H +b11101 + +b11101 G +1- +1. +0% +#1049000 +0] +1o +b10110011111001101010010011101 { +b10110011111001101010010011101 A" +0[ +1=" +1>" +1@" +19" +0:" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b101 0" +0p +1a +12" +b1111010011011001001101100010000 &" +b1111010011011001001101100010000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +b110101011000111111110101001110 / +b110101011000111111110101001110 K +b110101011000111111110101001110 e +b110101011000111111110101001110 "" +0. +1% +#1049010 +b1111010011011001001101100010000 `" +#1049500 +b11110 5 +b11110 L +b11110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1050000 +1] +0o +b110101011000111111110101001110 { +b110101011000111111110101001110 A" +1[ +0=" +0>" +0@" +1:" +b11111 3" +0d +0<" +0` +b1 0" +1p +0a +02" +b10110011111001101010010011101 / +b10110011111001101010010011101 K +b10110011111001101010010011101 e +b10110011111001101010010011101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#1050500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1051000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b110101011000111111110101001110 / +b110101011000111111110101001110 K +b110101011000111111110101001110 e +b110101011000111111110101001110 "" +0. +1% +#1051500 +b10010000000100100111110110100001 2 +b10010000000100100111110110100001 I +b10010000000100100111110110100001 Z +b10110 3 +b10110 J +b10110 Y +11 +b10010000000100100111110110100001 , +b10010000000100100111110110100001 H +b10110 + +b10110 G +1- +1. +0% +#1052000 +0] +0\ +1>" +1@" +b1001 3" +1^ +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010000000100100111110110100001 &" +b10010000000100100111110110100001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +0. +1% +#1052010 +b10010000000100100111110110100001 Y" +#1052500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1053000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1053500 +b1101 7 +b1101 N +b1101 V +16 +b1111110101111101010100011011101 2 +b1111110101111101010100011011101 I +b1111110101111101010100011011101 Z +b10 3 +b10 J +b10 Y +11 +b1101 & +b1101 C +1( +b1111110101111101010100011011101 , +b1111110101111101010100011011101 H +b10 + +b10 G +1- +1. +0% +#1054000 +0s +b11011110111101000100111000010000 | +b11011110111101000100111000010000 B" +0\ +1@" +0q +15" +16" +17" +b11101 3" +1_ +b10010 -" +0t +0y +1z +1u +1b +12" +1w +1," +b1111110101111101010100011011101 &" +b1111110101111101010100011011101 ?" +b10 %" +b10 *" +b10 1" +1'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#1054010 +b1111110101111101010100011011101 E" +#1054500 +b11101 5 +b11101 L +b11101 W +14 +b100 7 +b100 N +b100 V +b101100011110111110101110110001 2 +b101100011110111110101110110001 I +b101100011110111110101110110001 Z +b10111 3 +b10111 J +b10111 Y +b11101 ' +b11101 D +1) +b100 & +b100 C +b101100011110111110101110110001 , +b101100011110111110101110110001 H +b10111 + +b10111 G +1. +0% +#1055000 +0_ +0] +0i +b1111010011011001001101100010000 { +b1111010011011001001101100010000 A" +1t +0[ +1>" +0g +19" +1:" +1;" +1q +05" +16" +b1000 3" +1<" +1` +1^ +b10 0" +0j +0o +1p +0k +18" +1l +b11011 -" +0z +b10111000001001101100010000010100 | +b10111000001001101100010000010100 B" +1a +1m +1/" +0w +b101100011110111110101110110001 &" +b101100011110111110101110110001 ?" +b10111 %" +b10111 *" +b10111 1" +b11101 ~ +b11101 )" +b11101 ." +1$" +b100 } +b100 (" +b100 +" +b11011110111101000100111000010000 0 +b11011110111101000100111000010000 M +b11011110111101000100111000010000 f +b11011110111101000100111000010000 !" +0. +1% +#1055010 +b101100011110111110101110110001 Z" +#1055500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1056000 +1] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0>" +0@" +1g +09" +0:" +0;" +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +0b +0a +02" +0m +0/" +0," +b1111010011011001001101100010000 / +b1111010011011001001101100010000 K +b1111010011011001001101100010000 e +b1111010011011001001101100010000 "" +b10111000001001101100010000010100 0 +b10111000001001101100010000010100 M +b10111000001001101100010000010100 f +b10111000001001101100010000010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1056500 +b10011110010001100110100000011110 2 +b10011110010001100110100000011110 I +b10011110010001100110100000011110 Z +b10010 3 +b10010 J +b10010 Y +11 +b10011110010001100110100000011110 , +b10011110010001100110100000011110 H +b10010 + +b10010 G +1- +1. +0% +#1057000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b10011110010001100110100000011110 &" +b10011110010001100110100000011110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1057010 +b10011110010001100110100000011110 U" +#1057500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1058000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1058500 +b1100 5 +b1100 L +b1100 W +14 +b11001 7 +b11001 N +b11001 V +16 +b1100 ' +b1100 D +1) +b11001 & +b11001 C +1( +1. +0% +#1059000 +0i +b110101111011101001111111101100 { +b110101111011101001111111101100 A" +0s +b10111001111000110000010010010001 | +b10111001111000110000010010010001 B" +19" +1:" +1;" +0q +15" +17" +b10011 0" +0j +0o +1p +1k +b110 -" +1y +0u +14" +1v +1/" +1w +1," +b1100 ~ +b1100 )" +b1100 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1059500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +b0 & +b0 C +0( +1. +0% +#1060000 +1j +b10111000001001101100010000010100 { +b10111000001001101100010000010100 A" +1s +09" +1:" +1q +05" +07" +b11011 0" +0p +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b110101111011101001111111101100 / +b110101111011101001111111101100 K +b110101111011101001111111101100 e +b110101111011101001111111101100 "" +b10111001111000110000010010010001 0 +b10111001111000110000010010010001 M +b10111001111000110000010010010001 f +b10111001111000110000010010010001 !" +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1060500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b1110011101001101110101110010110 2 +b1110011101001101110101110010110 I +b1110011101001101110101110010110 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b1110011101001101110101110010110 , +b1110011101001101110101110010110 H +b1111 + +b1111 G +1- +1. +0% +#1061000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b110101011000111111110101001110 | +b110101011000111111110101001110 B" +0\ +0[ +1=" +1>" +1@" +0:" +0;" +0r +15" +16" +17" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0j +0k +b1 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +0/" +1x +1," +b1110011101001101110101110010110 &" +b1110011101001101110101110010110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b10111000001001101100010000010100 / +b10111000001001101100010000010100 K +b10111000001001101100010000010100 e +b10111000001001101100010000010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1061010 +b1110011101001101110101110010110 R" +#1061500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1062000 +1] +1s +1\ +1[ +0=" +0>" +0@" +1r +05" +06" +07" +b11111 3" +0d +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110101011000111111110101001110 0 +b110101011000111111110101001110 M +b110101011000111111110101001110 f +b110101011000111111110101001110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1062500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#1063000 +0s +b100110100101111110100101011001 | +b100110100101111110100101011001 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1063500 +b11000 5 +b11000 L +b11000 W +14 +b11101 7 +b11101 N +b11101 V +b11000 ' +b11000 D +1) +b11101 & +b11101 C +1. +0% +#1064000 +0i +b10111111110111001111010011000101 { +b10111111110111001111010011000101 A" +0u +0y +19" +1;" +1r +0q +16" +b111 0" +1o +0k +18" +1l +b10 -" +14" +1v +1z +b1111010011011001001101100010000 | +b1111010011011001001101100010000 B" +1/" +0x +1w +b100110100101111110100101011001 0 +b100110100101111110100101011001 M +b100110100101111110100101011001 f +b100110100101111110100101011001 !" +b11000 ~ +b11000 )" +b11000 ." +1$" +b11101 } +b11101 (" +b11101 +" +0. +1% +#1064500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1101001011000100011011000001011 2 +b1101001011000100011011000001011 I +b1101001011000100011011000001011 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1101001011000100011011000001011 , +b1101001011000100011011000001011 H +b1 + +b1 G +1- +1. +0% +#1065000 +1i +1s +0[ +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +06" +07" +b11110 3" +1_ +b11111 0" +0o +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0/" +0w +0," +b1101001011000100011011000001011 &" +b1101001011000100011011000001011 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10111111110111001111010011000101 / +b10111111110111001111010011000101 K +b10111111110111001111010011000101 e +b10111111110111001111010011000101 "" +b1111010011011001001101100010000 0 +b1111010011011001001101100010000 M +b1111010011011001001101100010000 f +b1111010011011001001101100010000 !" +0. +1% +#1065010 +b1101001011000100011011000001011 D" +#1065500 +b10110 5 +b10110 L +b10110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1066000 +0i +b10010000000100100111110110100001 { +b10010000000100100111110110100001 A" +1[ +0@" +0h +1:" +1;" +b11111 3" +0_ +b1001 0" +1j +0k +18" +1l +0a +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#1066500 +b1001 5 +b1001 L +b1001 W +b10011 7 +b10011 N +b10011 V +16 +b1100101111001110100010010010011 2 +b1100101111001110100010010010011 I +b1100101111001110100010010010011 Z +b1000 3 +b1000 J +b1000 Y +11 +b1001 ' +b1001 D +b10011 & +b10011 C +1( +b1100101111001110100010010010011 , +b1100101111001110100010010010011 H +b1000 + +b1000 G +1- +1. +0% +#1067000 +19" +0] +1k +1o +b10010000011111100011100110000010 | +b10010000011111100011100110000010 B" +1=" +1@" +1h +0g +1;" +0:" +0r +0q +17" +b10111 3" +1c +1_ +b10110 0" +08" +0l +0j +b11111000011101111010010011101000 { +b11111000011101111010010011101000 A" +b1100 -" +0u +14" +1v +12" +0n +1m +1x +1w +1," +b1100101111001110100010010010011 &" +b1100101111001110100010010010011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +b10011 } +b10011 (" +b10011 +" +1#" +b10010000000100100111110110100001 / +b10010000000100100111110110100001 K +b10010000000100100111110110100001 e +b10010000000100100111110110100001 "" +0. +1% +#1067010 +b1100101111001110100010010010011 K" +#1067500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1111110110010100010111100100010 2 +b1111110110010100010111100100010 I +b1111110110010100010111100100010 Z +b110 3 +b110 J +b110 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1111110110010100010111100100010 , +b1111110110010100010111100100010 H +b110 + +b110 G +1. +0% +#1068000 +1>" +1^ +1i +0\ +0=" +1g +09" +0;" +1r +1q +07" +b11001 3" +0c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0m +0/" +0x +0w +0," +b11111000011101111010010011101000 / +b11111000011101111010010011101000 K +b11111000011101111010010011101000 e +b11111000011101111010010011101000 "" +b10010000011111100011100110000010 0 +b10010000011111100011100110000010 M +b10010000011111100011100110000010 f +b10010000011111100011100110000010 !" +b1111110110010100010111100100010 &" +b1111110110010100010111100100010 ?" +b110 %" +b110 *" +b110 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1068010 +b1111110110010100010111100100010 I" +#1068500 +b10000 7 +b10000 N +b10000 V +16 +b11011001010010001111011110011110 2 +b11011001010010001111011110011110 I +b11011001010010001111011110011110 Z +b10100 3 +b10100 J +b10100 Y +b10000 & +b10000 C +1( +b11011001010010001111011110011110 , +b11011001010010001111011110011110 H +b10100 + +b10100 G +1. +0% +#1069000 +0_ +b11010111111111101110000110101011 | +b11010111111111101110000110101011 B" +1\ +17" +b1011 3" +1<" +1` +b1111 -" +0u +14" +1v +0b +1," +b11011001010010001111011110011110 &" +b11011001010010001111011110011110 ?" +b10100 %" +b10100 *" +b10100 1" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1069010 +b11011001010010001111011110011110 W" +#1069500 +b11110 7 +b11110 N +b11110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1070000 +1] +0s +0>" +0@" +0r +15" +16" +b11111 3" +0^ +0<" +0` +b1 -" +0t +0y +1z +b110101011000111111110101001110 | +b110101011000111111110101001110 B" +02" +1x +b11010111111111101110000110101011 0 +b11010111111111101110000110101011 M +b11010111111111101110000110101011 f +b11010111111111101110000110101011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +0. +1% +#1070500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1071000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b110101011000111111110101001110 0 +b110101011000111111110101001110 M +b110101011000111111110101001110 f +b110101011000111111110101001110 !" +0. +1% +#1071500 +b11111 5 +b11111 L +b11111 W +14 +b1110011011101110110100101000001 2 +b1110011011101110110100101000001 I +b1110011011101110110100101000001 Z +b11001 3 +b11001 J +b11001 Y +11 +b11111 ' +b11111 D +1) +b1110011011101110110100101000001 , +b1110011011101110110100101000001 H +b11001 + +b11001 G +1- +1. +0% +#1072000 +0] +0i +b10011110111101011111100001111100 { +b10011110111101011111100001111100 A" +0[ +1=" +1@" +0h +0g +19" +1:" +1;" +b110 3" +1c +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +1a +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110011011101110110100101000001 &" +b1110011011101110110100101000001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#1072010 +b1110011011101110110100101000001 \" +#1072500 +b10010 5 +b10010 L +b10010 W +b1111001100100000011110101011000 2 +b1111001100100000011110101011000 I +b1111001100100000011110101011000 Z +b1100 3 +b1100 J +b1100 Y +b10010 ' +b10010 D +b1111001100100000011110101011000 , +b1111001100100000011110101011000 H +b1100 + +b1100 G +1. +0% +#1073000 +1_ +0c +1i +1[ +1@" +1>" +1g +09" +0:" +b10011 3" +0<" +0` +1d +b1101 0" +0p +b10011110010001100110100000011110 { +b10011110010001100110100000011110 A" +0a +0m +b1111001100100000011110101011000 &" +b1111001100100000011110101011000 ?" +b1100 %" +b1100 *" +b1100 1" +b10010 ~ +b10010 )" +b10010 ." +b10011110111101011111100001111100 / +b10011110111101011111100001111100 K +b10011110111101011111100001111100 e +b10011110111101011111100001111100 "" +0. +1% +#1073010 +b1111001100100000011110101011000 O" +#1073500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1074000 +1] +0=" +0>" +0@" +1h +0;" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0/" +b10011110010001100110100000011110 / +b10011110010001100110100000011110 K +b10011110010001100110100000011110 e +b10011110010001100110100000011110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1074500 +b11011000010100010100010100010011 2 +b11011000010100010100010100010011 I +b11011000010100010100010100010011 Z +b101 3 +b101 J +b101 Y +11 +b11011000010100010100010100010011 , +b11011000010100010100010100010011 H +b101 + +b101 G +1- +1. +0% +#1075000 +0] +0[ +1>" +1@" +b11010 3" +1^ +1_ +1a +12" +b11011000010100010100010100010011 &" +b11011000010100010100010100010011 ?" +b101 %" +b101 *" +b101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1075010 +b11011000010100010100010100010011 H" +#1075500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1076000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1076500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#1077000 +0i +b100110100101111110100101011001 { +b100110100101111110100101011001 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#1077500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1078000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b100110100101111110100101011001 / +b100110100101111110100101011001 K +b100110100101111110100101011001 e +b100110100101111110100101011001 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1078500 +1. +0% +#1079000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1079500 +b10001 5 +b10001 L +b10001 W +14 +b10100 7 +b10100 N +b10100 V +16 +b10001 ' +b10001 D +1) +b10100 & +b10100 C +1( +1. +0% +#1080000 +b100000101101001111101000001111 { +b100000101101001111101000001111 A" +0s +b11011001010010001111011110011110 | +b11011001010010001111011110011110 B" +0g +1;" +16" +17" +b1110 0" +0k +18" +1l +b1011 -" +1t +0u +14" +1v +1m +1/" +1," +b10001 ~ +b10001 )" +b10001 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#1080500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +b11100100111001101011001001101111 2 +b11100100111001101011001001101111 I +b11100100111001101011001001101111 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b1011 & +b1011 C +b11100100111001101011001001101111 , +b11100100111001101011001001101111 H +b1110 + +b1110 G +1- +1. +0% +#1081000 +15" +0] +1u +1y +0\ +1=" +1>" +1@" +1g +0;" +0r +0q +17" +06" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +04" +0v +0t +b1101001011101000111111010000000 | +b1101001011101000111111010000000 B" +1b +12" +0m +0/" +1x +1w +b11100100111001101011001001101111 &" +b11100100111001101011001001101111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +b100000101101001111101000001111 / +b100000101101001111101000001111 K +b100000101101001111101000001111 e +b100000101101001111101000001111 "" +b11011001010010001111011110011110 0 +b11011001010010001111011110011110 M +b11011001010010001111011110011110 f +b11011001010010001111011110011110 !" +0. +1% +#1081010 +b11100100111001101011001001101111 Q" +#1081500 +b1010 5 +b1010 L +b1010 W +14 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1082000 +1] +0i +b100110100101111110100101011001 { +b100110100101111110100101011001 A" +0u +1\ +0=" +0>" +0@" +0h +19" +1;" +b10001011111100111100101000010101 | +b10001011111100111100101000010101 B" +b11111 3" +0d +0_ +b10101 0" +1o +1k +b100 -" +14" +1v +0b +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101001011101000111111010000000 0 +b1101001011101000111111010000000 M +b1101001011101000111111010000000 f +b1101001011101000111111010000000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#1082500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b1100111110011011111100110110010 2 +b1100111110011011111100110110010 I +b1100111110011011111100110110010 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b1101 & +b1101 C +b1100111110011011111100110110010 , +b1100111110011011111100110110010 H +b11000 + +b11000 G +1- +1. +0% +#1083000 +0] +1i +1u +0y +1=" +1@" +1h +09" +0;" +1r +17" +16" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +04" +0v +1z +b11011110111101000100111000010000 | +b11011110111101000100111000010000 B" +12" +0n +0/" +0x +b1100111110011011111100110110010 &" +b1100111110011011111100110110010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +b100110100101111110100101011001 / +b100110100101111110100101011001 K +b100110100101111110100101011001 e +b100110100101111110100101011001 "" +b10001011111100111100101000010101 0 +b10001011111100111100101000010101 M +b10001011111100111100101000010101 f +b10001011111100111100101000010101 !" +0. +1% +#1083010 +b1100111110011011111100110110010 [" +#1083500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1084000 +1] +b1111110101111101010100011011101 { +b1111110101111101010100011011101 A" +1s +0=" +0@" +0h +1;" +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b11101 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1n +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011110111101000100111000010000 0 +b11011110111101000100111000010000 M +b11011110111101000100111000010000 f +b11011110111101000100111000010000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1084500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b1111001110100110001111000100010 2 +b1111001110100110001111000100010 I +b1111001110100110001111000100010 Z +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b1111001110100110001111000100010 , +b1111001110100110001111000100010 H +1- +1. +0% +#1085000 +b10010000011111100011100110000010 | +b10010000011111100011100110000010 B" +1@" +1h +0;" +0r +0q +17" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +12" +0n +0/" +1x +1w +1," +b1111001110100110001111000100010 &" +b1111001110100110001111000100010 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b1111110101111101010100011011101 / +b1111110101111101010100011011101 K +b1111110101111101010100011011101 e +b1111110101111101010100011011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1085010 +b1111001110100110001111000100010 C" +#1085500 +b1101 7 +b1101 N +b1101 V +b1111011000010100010011000110000 2 +b1111011000010100010011000110000 I +b1111011000010100010011000110000 Z +b10000 3 +b10000 J +b10000 Y +b1101 & +b1101 C +b1111011000010100010011000110000 , +b1111011000010100010011000110000 H +b10000 + +b10000 G +1. +0% +#1086000 +0_ +1u +0s +1r +17" +15" +16" +b1111 3" +1<" +1` +b10010 -" +04" +0v +0t +0y +1z +b11011110111101000100111000010000 | +b11011110111101000100111000010000 B" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010000011111100011100110000010 0 +b10010000011111100011100110000010 M +b10010000011111100011100110000010 f +b10010000011111100011100110000010 !" +b1111011000010100010011000110000 &" +b1111011000010100010011000110000 ?" +b10000 %" +b10000 *" +b10000 1" +b1101 } +b1101 (" +b1101 +" +0. +1% +#1086010 +b1111011000010100010011000110000 S" +#1086500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1087000 +1s +0@" +1q +05" +06" +07" +b11111 3" +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11011110111101000100111000010000 0 +b11011110111101000100111000010000 M +b11011110111101000100111000010000 f +b11011110111101000100111000010000 !" +0. +1% +#1087500 +b11001 5 +b11001 L +b11001 W +14 +b111111111111101010001011011011 2 +b111111111111101010001011011011 I +b111111111111101010001011011011 Z +b11010 3 +b11010 J +b11010 Y +11 +b11001 ' +b11001 D +1) +b111111111111101010001011011011 , +b111111111111101010001011011011 H +b11010 + +b11010 G +1- +1. +0% +#1088000 +0] +0i +b1110011011101110110100101000001 { +b1110011011101110110100101000001 A" +0\ +1=" +1@" +0g +19" +1;" +b101 3" +1c +0_ +1<" +1` +b110 0" +1o +0k +18" +1l +1b +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111111111111101010001011011011 &" +b111111111111101010001011011011 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#1088010 +b111111111111101010001011011011 ]" +#1088500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b10010001011011101111010101110010 2 +b10010001011011101111010101110010 I +b10010001011011101111010101110010 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b100 & +b100 C +1( +b10010001011011101111010101110010 , +b10010001011011101111010101110010 H +b11111 + +b11111 G +1. +0% +#1089000 +0c +1i +0s +b10111000001001101100010000010100 | +b10111000001001101100010000010100 B" +0[ +1>" +1g +09" +0;" +16" +17" +b0 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +1t +1u +1a +0m +0/" +1," +b10010001011011101111010101110010 &" +b10010001011011101111010101110010 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +b1110011011101110110100101000001 / +b1110011011101110110100101000001 K +b1110011011101110110100101000001 e +b1110011011101110110100101000001 "" +0. +1% +#1089500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1090000 +1] +0i +b11011000010100010100010100010011 { +b11011000010100010100010100010011 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0=" +0>" +0@" +0g +1:" +1;" +06" +07" +b11111 3" +0d +0<" +0` +b11010 0" +1j +1k +b11111 -" +0t +0u +0b +0a +02" +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111000001001101100010000010100 0 +b10111000001001101100010000010100 M +b10111000001001101100010000010100 f +b10111000001001101100010000010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1090500 +b1110 5 +b1110 L +b1110 W +b1000111001001001001101100000111 2 +b1000111001001001001101100000111 I +b1000111001001001001101100000111 Z +b1111 3 +b1111 J +b1111 Y +11 +b1110 ' +b1110 D +b1000111001001001001101100000111 , +b1000111001001001001101100000111 H +b1111 + +b1111 G +1- +1. +0% +#1091000 +0] +0j +0\ +0[ +1=" +1>" +1@" +0h +1g +19" +b10000 3" +0^ +0c +1d +1_ +b10001 0" +1p +b11100100111001101011001001101111 { +b11100100111001101011001001101111 A" +1b +1a +12" +1n +0m +b1000111001001001001101100000111 &" +b1000111001001001001101100000111 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b11011000010100010100010100010011 / +b11011000010100010100010100010011 K +b11011000010100010100010100010011 e +b11011000010100010100010100010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1091010 +b1000111001001001001101100000111 R" +#1091500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b1010100101100101010111111100001 2 +b1010100101100101010111111100001 I +b1010100101100101010111111100001 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b1010100101100101010111111100001 , +b1010100101100101010111111100001 H +b1 + +b1 G +1. +0% +#1092000 +1] +1i +0s +b1110011011101110110100101000001 | +b1110011011101110110100101000001 B" +1\ +0=" +0>" +1h +09" +0:" +0;" +0q +15" +17" +b11110 3" +0d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +1y +0u +14" +1v +0b +0n +0/" +1w +1," +b11100100111001101011001001101111 / +b11100100111001101011001001101111 K +b11100100111001101011001001101111 e +b11100100111001101011001001101111 "" +b1010100101100101010111111100001 &" +b1010100101100101010111111100001 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1092010 +b1010100101100101010111111100001 D" +#1092500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1093000 +1s +1[ +0@" +1q +05" +07" +b11111 3" +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110011011101110110100101000001 0 +b1110011011101110110100101000001 M +b1110011011101110110100101000001 f +b1110011011101110110100101000001 !" +0. +1% +#1093500 +1. +0% +#1094000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1094500 +1. +0% +#1095000 +0. +1% +#1095500 +1. +0% +#1096000 +0. +1% +#1096500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#1097000 +0i +b11110010001011111011100000110101 { +b11110010001011111011100000110101 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#1097500 +b11010 5 +b11010 L +b11010 W +b11010 ' +b11010 D +1. +0% +#1098000 +1o +0h +19" +0:" +b101 0" +0p +b111111111111101010001011011011 { +b111111111111101010001011011011 A" +1n +b11110010001011111011100000110101 / +b11110010001011111011100000110101 K +b11110010001011111011100000110101 e +b11110010001011111011100000110101 "" +b11010 ~ +b11010 )" +b11010 ." +0. +1% +#1098500 +b101 5 +b101 L +b101 W +b1010 7 +b1010 N +b1010 V +16 +b11011111011011011101110011110010 2 +b11011111011011011101110011110010 I +b11011111011011011101110011110010 Z +11 +b101 ' +b101 D +b1010 & +b1010 C +1( +b11011111011011011101110011110010 , +b11011111011011011101110011110010 H +1- +1. +0% +#1099000 +1:" +1k +1j +0s +b100110100101111110100101011001 | +b100110100101111110100101011001 B" +1@" +1h +0g +1;" +09" +0r +15" +17" +1_ +b11010 0" +08" +0l +0o +b11011000010100010100010100010011 { +b11011000010100010100010100010011 A" +b10101 -" +1y +1u +12" +0n +1m +1x +1," +b11011111011011011101110011110010 &" +b11011111011011011101110011110010 ?" +1'" +b101 ~ +b101 )" +b101 ." +b1010 } +b1010 (" +b1010 +" +1#" +b111111111111101010001011011011 / +b111111111111101010001011011011 K +b111111111111101010001011011011 e +b111111111111101010001011011011 "" +0. +1% +#1099010 +b11011111011011011101110011110010 C" +#1099500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11010100011001110001101110000101 2 +b11010100011001110001101110000101 I +b11010100011001110001101110000101 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11010100011001110001101110000101 , +b11010100011001110001101110000101 H +b10000 + +b10000 G +1. +0% +#1100000 +0_ +1i +1s +1g +0:" +0;" +1r +05" +07" +b1111 3" +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0," +b11011000010100010100010100010011 / +b11011000010100010100010100010011 K +b11011000010100010100010100010011 e +b11011000010100010100010100010011 "" +b100110100101111110100101011001 0 +b100110100101111110100101011001 M +b100110100101111110100101011001 f +b100110100101111110100101011001 !" +b11010100011001110001101110000101 &" +b11010100011001110001101110000101 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1100010 +b11010100011001110001101110000101 S" +#1100500 +b10101 5 +b10101 L +b10101 W +14 +b11011 7 +b11011 N +b11011 V +16 +b1110011001110101100001100000100 2 +b1110011001110101100001100000100 I +b1110011001110101100001100000100 Z +b11010 3 +b11010 J +b11010 Y +b10101 ' +b10101 D +1) +b11011 & +b11011 C +1( +b1110011001110101100001100000100 , +b1110011001110101100001100000100 H +b11010 + +b11010 G +1. +0% +#1101000 +0] +0i +b1001011110001111011001000010011 { +b1001011110001111011001000010011 A" +0s +b10001011111100111100101000010101 | +b10001011111100111100101000010101 B" +0\ +1=" +0g +1:" +1;" +0r +0q +15" +17" +b101 3" +1c +b1010 0" +1j +0k +18" +1l +b100 -" +1y +0u +14" +1v +1b +1m +1/" +1x +1w +1," +b1110011001110101100001100000100 &" +b1110011001110101100001100000100 ?" +b11010 %" +b11010 *" +b11010 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1101010 +b1110011001110101100001100000100 ]" +#1101500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1102000 +1] +1i +1u +0y +1\ +0=" +0@" +1g +0:" +0;" +1r +1q +17" +16" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +04" +0v +1z +b1111001100100000011110101011000 | +b1111001100100000011110101011000 B" +0b +02" +0m +0/" +0x +0w +b1001011110001111011001000010011 / +b1001011110001111011001000010011 K +b1001011110001111011001000010011 e +b1001011110001111011001000010011 "" +b10001011111100111100101000010101 0 +b10001011111100111100101000010101 M +b10001011111100111100101000010101 f +b10001011111100111100101000010101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#1102500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +1. +0% +#1103000 +0i +b1110011001110101100001100000100 { +b1110011001110101100001100000100 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +19" +1;" +05" +06" +07" +b101 0" +1o +0k +18" +1l +b11111 -" +0z +0u +1n +1/" +0," +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111001100100000011110101011000 0 +b1111001100100000011110101011000 M +b1111001100100000011110101011000 f +b1111001100100000011110101011000 !" +0. +1% +#1103500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b11010001100011111110010101010 2 +b11010001100011111110010101010 I +b11010001100011111110010101010 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b10 & +b10 C +1( +b11010001100011111110010101010 , +b11010001100011111110010101010 H +b1111 + +b1111 G +1- +1. +0% +#1104000 +0] +1i +b1111110101111101010100011011101 | +b1111110101111101010100011011101 B" +0\ +0[ +1=" +1>" +1@" +1h +09" +0;" +0r +17" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +1b +1a +12" +0n +0/" +1x +1," +b1110011001110101100001100000100 / +b1110011001110101100001100000100 K +b1110011001110101100001100000100 e +b1110011001110101100001100000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010001100011111110010101010 &" +b11010001100011111110010101010 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#1104010 +b11010001100011111110010101010 R" +#1104500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b11011110110011000100010000001110 2 +b11011110110011000100010000001110 I +b11011110110011000100010000001110 Z +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +b11011110110011000100010000001110 , +b11011110110011000100010000001110 H +1. +0% +#1105000 +0i +b10001011111100111100101000010101 { +b10001011111100111100101000010101 A" +0h +0g +19" +1;" +1r +07" +b100 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0x +0," +b11011110110011000100010000001110 &" +b11011110110011000100010000001110 ?" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111110101111101010100011011101 0 +b1111110101111101010100011011101 M +b1111110101111101010100011011101 f +b1111110101111101010100011011101 !" +0. +1% +#1105010 +b11011110110011000100010000001110 R" +#1105500 +b0 5 +b0 L +b0 W +04 +b111000111010001010101111000111 2 +b111000111010001010101111000111 I +b111000111010001010101111000111 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b111000111010001010101111000111 , +b111000111010001010101111000111 H +b11 + +b11 G +1. +0% +#1106000 +1] +1i +0=" +0>" +1h +1g +09" +0;" +b11100 3" +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b10001011111100111100101000010101 / +b10001011111100111100101000010101 K +b10001011111100111100101000010101 e +b10001011111100111100101000010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111000111010001010101111000111 &" +b111000111010001010101111000111 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1106010 +b111000111010001010101111000111 F" +#1106500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1107000 +1\ +1[ +0@" +b11111 3" +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1107500 +1. +0% +#1108000 +0. +1% +#1108500 +b1000 5 +b1000 L +b1000 W +14 +b11010001000101001111101110110001 2 +b11010001000101001111101110110001 I +b11010001000101001111101110110001 Z +b10101 3 +b10101 J +b10101 Y +11 +b1000 ' +b1000 D +1) +b11010001000101001111101110110001 , +b11010001000101001111101110110001 H +b10101 + +b10101 G +1- +1. +0% +#1109000 +0] +0i +b1100101111001110100010010010011 { +b1100101111001110100010010010011 A" +0[ +1>" +1@" +19" +1;" +b1010 3" +1^ +0_ +1<" +1` +b10111 0" +1o +1k +1a +12" +1/" +b11010001000101001111101110110001 &" +b11010001000101001111101110110001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#1109010 +b11010001000101001111101110110001 X" +#1109500 +b11000 7 +b11000 N +b11000 V +16 +b11110010000110101100001110101000 2 +b11110010000110101100001110101000 I +b11110010000110101100001110101000 Z +b1111 3 +b1111 J +b1111 Y +b11000 & +b11000 C +1( +b11110010000110101100001110101000 , +b11110010000110101100001110101000 H +b1111 + +b1111 G +1. +0% +#1110000 +1_ +0^ +0s +b1100111110011011111100110110010 | +b1100111110011011111100110110010 B" +0\ +1@" +1=" +15" +17" +b10000 3" +0<" +0` +1d +b111 -" +1y +0u +14" +1v +1b +1," +b1100101111001110100010010010011 / +b1100101111001110100010010010011 K +b1100101111001110100010010010011 e +b1100101111001110100010010010011 "" +b11110010000110101100001110101000 &" +b11110010000110101100001110101000 ?" +b1111 %" +b1111 *" +b1111 1" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#1110010 +b11110010000110101100001110101000 R" +#1110500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1111000 +1] +1s +1\ +1[ +0=" +0>" +0@" +0g +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b10110 0" +b11111000011101111010010011101000 { +b11111000011101111010010011101000 A" +b11111 -" +0y +04" +0v +0b +0a +02" +1m +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +b1100111110011011111100110110010 0 +b1100111110011011111100110110010 M +b1100111110011011111100110110010 f +b1100111110011011111100110110010 !" +0. +1% +#1111500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1112000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b11111000011101111010010011101000 / +b11111000011101111010010011101000 K +b11111000011101111010010011101000 e +b11111000011101111010010011101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1112500 +1. +0% +#1113000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1113500 +b1101 7 +b1101 N +b1101 V +16 +b1101 & +b1101 C +1( +1. +0% +#1114000 +0s +b11011110111101000100111000010000 | +b11011110111101000100111000010000 B" +0q +15" +16" +17" +b10010 -" +0t +0y +1z +1u +1w +1," +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#1114500 +b110 5 +b110 L +b110 W +14 +b10001 7 +b10001 N +b10001 V +b110 ' +b110 D +1) +b10001 & +b10001 C +1. +0% +#1115000 +0i +b1111110110010100010111100100010 { +b1111110110010100010111100100010 A" +0u +1s +0h +1:" +1;" +b100000101101001111101000001111 | +b100000101101001111101000001111 B" +05" +06" +b11001 0" +1j +1k +b1110 -" +14" +1v +0z +1n +1/" +b110 ~ +b110 )" +b110 ." +1$" +b10001 } +b10001 (" +b10001 +" +b11011110111101000100111000010000 0 +b11011110111101000100111000010000 M +b11011110111101000100111000010000 f +b11011110111101000100111000010000 !" +0. +1% +#1115500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101110010101011010010010010 2 +b101110010101011010010010010 I +b101110010101011010010010010 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101110010101011010010010010 , +b101110010101011010010010010 H +b10010 + +b10010 G +1- +1. +0% +#1116000 +1i +0\ +1@" +1h +0:" +0;" +1q +07" +b1101 3" +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0n +0/" +0w +0," +b1111110110010100010111100100010 / +b1111110110010100010111100100010 K +b1111110110010100010111100100010 e +b1111110110010100010111100100010 "" +b100000101101001111101000001111 0 +b100000101101001111101000001111 M +b100000101101001111101000001111 f +b100000101101001111101000001111 !" +b101110010101011010010010010 &" +b101110010101011010010010010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1116010 +b101110010101011010010010010 U" +#1116500 +b101 5 +b101 L +b101 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1117000 +0i +b11011000010100010100010100010011 { +b11011000010100010100010100010011 A" +0s +b1110011011101110110100101000001 | +b1110011011101110110100101000001 B" +1\ +0@" +0g +1:" +1;" +0q +15" +17" +b11111 3" +0<" +0` +b11010 0" +1j +1k +b110 -" +1y +0u +14" +1v +0b +02" +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1117500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1118000 +1i +1s +1g +0:" +0;" +1q +05" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0w +0," +b11011000010100010100010100010011 / +b11011000010100010100010100010011 K +b11011000010100010100010100010011 e +b11011000010100010100010100010011 "" +b1110011011101110110100101000001 0 +b1110011011101110110100101000001 M +b1110011011101110110100101000001 f +b1110011011101110110100101000001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1118500 +b11001 5 +b11001 L +b11001 W +14 +b11001000100010011110011101011010 2 +b11001000100010011110011101011010 I +b11001000100010011110011101011010 Z +b1010 3 +b1010 J +b1010 Y +11 +b11001 ' +b11001 D +1) +b11001000100010011110011101011010 , +b11001000100010011110011101011010 H +b1010 + +b1010 G +1- +1. +0% +#1119000 +0] +0i +b1110011011101110110100101000001 { +b1110011011101110110100101000001 A" +0\ +1=" +1@" +0g +19" +1;" +b10101 3" +1c +1_ +b110 0" +1o +0k +18" +1l +1b +12" +1m +1/" +b11001000100010011110011101011010 &" +b11001000100010011110011101011010 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1119010 +b11001000100010011110011101011010 M" +#1119500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1120000 +1] +1i +b100000101101001111101000001111 | +b100000101101001111101000001111 B" +1\ +0=" +0@" +1g +09" +0;" +0q +17" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +0b +02" +0m +0/" +1w +1," +b1110011011101110110100101000001 / +b1110011011101110110100101000001 K +b1110011011101110110100101000001 e +b1110011011101110110100101000001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#1120500 +b0 7 +b0 N +b0 V +06 +b10100111010011111110111000111 2 +b10100111010011111110111000111 I +b10100111010011111110111000111 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 & +b0 C +0( +b10100111010011111110111000111 , +b10100111010011111110111000111 H +b1111 + +b1111 G +1- +1. +0% +#1121000 +0] +0\ +0[ +1=" +1>" +1@" +1q +07" +b10000 3" +0^ +0c +1d +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b10100111010011111110111000111 &" +b10100111010011111110111000111 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100000101101001111101000001111 0 +b100000101101001111101000001111 M +b100000101101001111101000001111 f +b100000101101001111101000001111 !" +0. +1% +#1121010 +b10100111010011111110111000111 R" +#1121500 +b11100 5 +b11100 L +b11100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1122000 +1] +0i +b11110010001011111011100000110101 { +b11110010001011111011100000110101 A" +1\ +1[ +0=" +0>" +0@" +19" +1:" +1;" +b11111 3" +0d +0_ +b11 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#1122500 +b1100 5 +b1100 L +b1100 W +b10001110011100101000110000010111 2 +b10001110011100101000110000010111 I +b10001110011100101000110000010111 Z +b1 3 +b1 J +b1 Y +11 +b1100 ' +b1100 D +b10001110011100101000110000010111 , +b10001110011100101000110000010111 H +b1 + +b1 G +1- +1. +0% +#1123000 +1k +0[ +1@" +1;" +b1111001100100000011110101011000 { +b1111001100100000011110101011000 A" +b11110 3" +1_ +b10011 0" +08" +0l +1a +12" +b10001110011100101000110000010111 &" +b10001110011100101000110000010111 ?" +b1 %" +b1 *" +b1 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +b11110010001011111011100000110101 / +b11110010001011111011100000110101 K +b11110010001011111011100000110101 e +b11110010001011111011100000110101 "" +0. +1% +#1123010 +b10001110011100101000110000010111 D" +#1123500 +b0 5 +b0 L +b0 W +04 +b10010001110111000110010111111010 2 +b10010001110111000110010111111010 I +b10010001110111000110010111111010 Z +b1101 3 +b1101 J +b1101 Y +b0 ' +b0 D +0) +b10010001110111000110010111111010 , +b10010001110111000110010111111010 H +b1101 + +b1101 G +1. +0% +#1124000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1=" +1>" +09" +0:" +0;" +b10010 3" +0^ +0c +1d +b11111 0" +0p +0k +0/" +b1111001100100000011110101011000 / +b1111001100100000011110101011000 K +b1111001100100000011110101011000 e +b1111001100100000011110101011000 "" +b10010001110111000110010111111010 &" +b10010001110111000110010111111010 ?" +b1101 %" +b1101 *" +b1101 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1124010 +b10010001110111000110010111111010 P" +#1124500 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1125000 +1] +0s +b101100011110111110101110110001 | +b101100011110111110101110110001 B" +1[ +0=" +0>" +0@" +0r +0q +16" +17" +b11111 3" +0d +0_ +b1000 -" +1t +0u +14" +1v +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1125500 +b11011 7 +b11011 N +b11011 V +b11011 & +b11011 C +1. +0% +#1126000 +15" +1y +b10001011111100111100101000010101 | +b10001011111100111100101000010101 B" +06" +b100 -" +0t +b101100011110111110101110110001 0 +b101100011110111110101110110001 M +b101100011110111110101110110001 f +b101100011110111110101110110001 !" +b11011 } +b11011 (" +b11011 +" +0. +1% +#1126500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1127000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10001011111100111100101000010101 0 +b10001011111100111100101000010101 M +b10001011111100111100101000010101 f +b10001011111100111100101000010101 !" +0. +1% +#1127500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#1128000 +0s +b1110011011101110110100101000001 | +b1110011011101110110100101000001 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1128500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1129000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1110011011101110110100101000001 0 +b1110011011101110110100101000001 M +b1110011011101110110100101000001 f +b1110011011101110110100101000001 !" +0. +1% +#1129500 +b1011 5 +b1011 L +b1011 W +14 +b11001 7 +b11001 N +b11001 V +16 +b1011 ' +b1011 D +1) +b11001 & +b11001 C +1( +1. +0% +#1130000 +0i +b1101001011101000111111010000000 { +b1101001011101000111111010000000 A" +0s +b1110011011101110110100101000001 | +b1110011011101110110100101000001 B" +0h +0g +19" +1;" +0q +15" +17" +b10100 0" +1o +1k +b110 -" +1y +0u +14" +1v +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011 ~ +b1011 )" +b1011 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1130500 +b10011 5 +b10011 L +b10011 W +b11010 7 +b11010 N +b11010 V +b10011 ' +b10011 D +b11010 & +b11010 C +1. +0% +#1131000 +0k +1i +b10010000011111100011100110000010 { +b10010000011111100011100110000010 A" +09" +0r +1q +b1100 0" +18" +1l +0o +b101 -" +b1110011001110101100001100000100 | +b1110011001110101100001100000100 B" +1x +0w +b10011 ~ +b10011 )" +b10011 ." +b11010 } +b11010 (" +b11010 +" +b1101001011101000111111010000000 / +b1101001011101000111111010000000 K +b1101001011101000111111010000000 e +b1101001011101000111111010000000 "" +b1110011011101110110100101000001 0 +b1110011011101110110100101000001 M +b1110011011101110110100101000001 f +b1110011011101110110100101000001 !" +0. +1% +#1131500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +b10001110101000011001101011111101 2 +b10001110101000011001101011111101 I +b10001110101000011001101011111101 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b11001 & +b11001 C +b10001110101000011001101011111101 , +b10001110101000011001101011111101 H +b10 + +b10 G +1- +1. +0% +#1132000 +0\ +1@" +1h +1g +0;" +1r +0q +b11101 3" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b110 -" +b1110011011101110110100101000001 | +b1110011011101110110100101000001 B" +1b +12" +0n +0m +0/" +0x +1w +b10010000011111100011100110000010 / +b10010000011111100011100110000010 K +b10010000011111100011100110000010 e +b10010000011111100011100110000010 "" +b1110011001110101100001100000100 0 +b1110011001110101100001100000100 M +b1110011001110101100001100000100 f +b1110011001110101100001100000100 !" +b10001110101000011001101011111101 &" +b10001110101000011001101011111101 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#1132010 +b10001110101000011001101011111101 E" +#1132500 +14 +b101 7 +b101 N +b101 V +b10010001000011000010100110000111 2 +b10010001000011000010100110000111 I +b10010001000011000010100110000111 Z +b110 3 +b110 J +b110 Y +1) +b101 & +b101 C +b10010001000011000010100110000111 , +b10010001000011000010100110000111 H +b110 + +b110 G +1. +0% +#1133000 +16" +0] +b11011111011011011101110011110010 { +b11011111011011011101110011110010 A" +1u +1t +1>" +1;" +17" +b11011000010100010100010100010011 | +b11011000010100010100010100010011 B" +05" +b11001 3" +1^ +1k +b11010 -" +04" +0v +0y +1/" +b10010001000011000010100110000111 &" +b10010001000011000010100110000111 ?" +b110 %" +b110 *" +b110 1" +1$" +b101 } +b101 (" +b101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110011011101110110100101000001 0 +b1110011011101110110100101000001 M +b1110011011101110110100101000001 f +b1110011011101110110100101000001 !" +0. +1% +#1133010 +b10010001000011000010100110000111 I" +#1133500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1134000 +1] +0i +1s +1\ +0>" +0@" +0h +1:" +1q +06" +07" +b11111 3" +0^ +0_ +b11001 0" +1j +b10010001000011000010100110000111 { +b10010001000011000010100110000111 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1n +0w +0," +b11011111011011011101110011110010 / +b11011111011011011101110011110010 K +b11011111011011011101110011110010 e +b11011111011011011101110011110010 "" +b11011000010100010100010100010011 0 +b11011000010100010100010100010011 M +b11011000010100010100010100010011 f +b11011000010100010100010100010011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1134500 +b10 5 +b10 L +b10 W +b10100 7 +b10100 N +b10100 V +16 +b11101110110101111010010111010001 2 +b11101110110101111010010111010001 I +b11101110110101111010010111010001 Z +b10110 3 +b10110 J +b10110 Y +11 +b10 ' +b10 D +b10100 & +b10100 C +1( +b11101110110101111010010111010001 , +b11101110110101111010010111010001 H +b10110 + +b10110 G +1- +1. +0% +#1135000 +0] +1i +b10001110101000011001101011111101 { +b10001110101000011001101011111101 A" +0s +b11011001010010001111011110011110 | +b11011001010010001111011110011110 B" +0\ +1>" +1@" +0:" +16" +17" +b1001 3" +1^ +0_ +1<" +1` +b11101 0" +0j +b1011 -" +1t +0u +14" +1v +1b +12" +1," +b11101110110101111010010111010001 &" +b11101110110101111010010111010001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b10 ~ +b10 )" +b10 ." +b10100 } +b10100 (" +b10100 +" +1#" +b10010001000011000010100110000111 / +b10010001000011000010100110000111 K +b10010001000011000010100110000111 e +b10010001000011000010100110000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1135010 +b11101110110101111010010111010001 Y" +#1135500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b10011010001011000110101000101000 2 +b10011010001011000110101000101000 I +b10011010001011000110101000101000 Z +b1000 3 +b1000 J +b1000 Y +b0 ' +b0 D +0) +b111 & +b111 C +b10011010001011000110101000101000 , +b10011010001011000110101000101000 H +b1000 + +b1000 G +1. +0% +#1136000 +1=" +1_ +1c +1u +1\ +1@" +0>" +1h +0;" +0r +0q +17" +b10111 3" +0<" +0` +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11000 -" +04" +0v +b1110111100110110110011111101111 | +b1110111100110110110011111101111 B" +0b +0n +0/" +1x +1w +b10001110101000011001101011111101 / +b10001110101000011001101011111101 K +b10001110101000011001101011111101 e +b10001110101000011001101011111101 "" +b11011001010010001111011110011110 0 +b11011001010010001111011110011110 M +b11011001010010001111011110011110 f +b11011001010010001111011110011110 !" +b10011010001011000110101000101000 &" +b10011010001011000110101000101000 ?" +b1000 %" +b1000 *" +b1000 1" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +0. +1% +#1136010 +b10011010001011000110101000101000 K" +#1136500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1137000 +1] +b100000101101001111101000001111 { +b100000101101001111101000001111 A" +1s +0=" +0@" +0g +1;" +1r +1q +06" +07" +b11111 3" +0c +0_ +b1110 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1m +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110111100110110110011111101111 0 +b1110111100110110110011111101111 M +b1110111100110110110011111101111 f +b1110111100110110110011111101111 !" +0. +1% +#1137500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#1138000 +0s +b10011010001011000110101000101000 | +b10011010001011000110101000101000 B" +1g +0;" +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +1u +0m +0/" +1," +b100000101101001111101000001111 / +b100000101101001111101000001111 K +b100000101101001111101000001111 e +b100000101101001111101000001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#1138500 +b1111 5 +b1111 L +b1111 W +14 +b11001 7 +b11001 N +b11001 V +b1111 ' +b1111 D +1) +b11001 & +b11001 C +1. +0% +#1139000 +0i +b10100111010011111110111000111 { +b10100111010011111110111000111 A" +0u +0h +0g +19" +1:" +1;" +0q +b10000 0" +0j +0o +1p +1k +b110 -" +14" +1v +b1110011011101110110100101000001 | +b1110011011101110110100101000001 B" +1n +1m +1/" +1w +b1111 ~ +b1111 )" +b1111 ." +1$" +b11001 } +b11001 (" +b11001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011010001011000110101000101000 0 +b10011010001011000110101000101000 M +b10011010001011000110101000101000 f +b10011010001011000110101000101000 !" +0. +1% +#1139500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10100001001111001010100001111111 2 +b10100001001111001010100001111111 I +b10100001001111001010100001111111 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10100001001111001010100001111111 , +b10100001001111001010100001111111 H +b1111 + +b1111 G +1- +1. +0% +#1140000 +0] +1i +1s +0\ +0[ +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +1q +05" +07" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0n +0m +0/" +0w +0," +b10100111010011111110111000111 / +b10100111010011111110111000111 K +b10100111010011111110111000111 e +b10100111010011111110111000111 "" +b1110011011101110110100101000001 0 +b1110011011101110110100101000001 M +b1110011011101110110100101000001 f +b1110011011101110110100101000001 !" +b10100001001111001010100001111111 &" +b10100001001111001010100001111111 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1140010 +b10100001001111001010100001111111 R" +#1140500 +b1101011000000111011010111100111 2 +b1101011000000111011010111100111 I +b1101011000000111011010111100111 Z +b110 3 +b110 J +b110 Y +b1101011000000111011010111100111 , +b1101011000000111011010111100111 H +b110 + +b110 G +1. +0% +#1141000 +1^ +1[ +0=" +1>" +b11001 3" +0d +0a +b1101011000000111011010111100111 &" +b1101011000000111011010111100111 ?" +b110 %" +b110 *" +b110 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1141010 +b1101011000000111011010111100111 I" +#1141500 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1142000 +1] +0s +b1110011001110101100001100000100 | +b1110011001110101100001100000100 B" +1\ +0>" +0@" +0r +15" +17" +b11111 3" +0^ +0_ +b101 -" +1y +0u +14" +1v +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#1142500 +b11 5 +b11 L +b11 W +14 +b111 7 +b111 N +b111 V +b11 ' +b11 D +1) +b111 & +b111 C +1. +0% +#1143000 +16" +b111000111010001010101111000111 { +b111000111010001010101111000111 A" +1u +1t +0h +0g +1;" +0q +17" +05" +b11100 0" +1k +b11000 -" +04" +0v +0y +b1110111100110110110011111101111 | +b1110111100110110110011111101111 B" +1n +1m +1/" +1w +b11 ~ +b11 )" +b11 ." +1$" +b111 } +b111 (" +b111 +" +b1110011001110101100001100000100 0 +b1110011001110101100001100000100 M +b1110011001110101100001100000100 f +b1110011001110101100001100000100 !" +0. +1% +#1143500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11010010111100101101001001000000 2 +b11010010111100101101001001000000 I +b11010010111100101101001001000000 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11010010111100101101001001000000 , +b11010010111100101101001001000000 H +b101 + +b101 G +1- +1. +0% +#1144000 +0] +1s +0[ +1>" +1@" +1h +1g +0;" +1r +1q +06" +07" +b11010 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0n +0m +0/" +0x +0w +0," +b111000111010001010101111000111 / +b111000111010001010101111000111 K +b111000111010001010101111000111 e +b111000111010001010101111000111 "" +b1110111100110110110011111101111 0 +b1110111100110110110011111101111 M +b1110111100110110110011111101111 f +b1110111100110110110011111101111 !" +b11010010111100101101001001000000 &" +b11010010111100101101001001000000 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1144010 +b11010010111100101101001001000000 H" +#1144500 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1145000 +1] +0s +b1101001011101000111111010000000 | +b1101001011101000111111010000000 B" +1[ +0>" +0@" +0r +0q +15" +17" +b11111 3" +0^ +0_ +b10100 -" +1y +1u +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1145500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#1146000 +0i +b11101110110101111010010111010001 { +b11101110110101111010010111010001 A" +1s +0h +1:" +1;" +1r +1q +05" +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0w +0," +b1101001011101000111111010000000 0 +b1101001011101000111111010000000 M +b1101001011101000111111010000000 f +b1101001011101000111111010000000 !" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1146500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1147000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11101110110101111010010111010001 / +b11101110110101111010010111010001 K +b11101110110101111010010111010001 e +b11101110110101111010010111010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1147500 +1. +0% +#1148000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1148500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#1149000 +b11010100011001110001101110000101 | +b11010100011001110001101110000101 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#1149500 +14 +b0 7 +b0 N +b0 V +06 +1) +b0 & +b0 C +0( +1. +0% +#1150000 +b11011111011011011101110011110010 { +b11011111011011011101110011110010 A" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1k +b11111 -" +04" +0v +1/" +0," +b11010100011001110001101110000101 0 +b11010100011001110001101110000101 M +b11010100011001110001101110000101 f +b11010100011001110001101110000101 !" +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1150500 +04 +b11110000001111101111000001001010 2 +b11110000001111101111000001001010 I +b11110000001111101111000001001010 Z +b11000 3 +b11000 J +b11000 Y +11 +0) +b11110000001111101111000001001010 , +b11110000001111101111000001001010 H +b11000 + +b11000 G +1- +1. +0% +#1151000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1=" +1@" +0;" +b111 3" +1c +0_ +1<" +1` +0k +12" +0/" +b11110000001111101111000001001010 &" +b11110000001111101111000001001010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0$" +b11011111011011011101110011110010 / +b11011111011011011101110011110010 K +b11011111011011011101110011110010 e +b11011111011011011101110011110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1151010 +b11110000001111101111000001001010 [" +#1151500 +b10101111100111001100101110110010 2 +b10101111100111001100101110110010 I +b10101111100111001100101110110010 Z +b11 3 +b11 J +b11 Y +b10101111100111001100101110110010 , +b10101111100111001100101110110010 H +b11 + +b11 G +1. +0% +#1152000 +1_ +1] +0\ +0[ +1@" +0=" +b11100 3" +0<" +0` +0c +1b +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101111100111001100101110110010 &" +b10101111100111001100101110110010 ?" +b11 %" +b11 *" +b11 1" +0. +1% +#1152010 +b10101111100111001100101110110010 F" +#1152500 +b10101000000111000011101110001010 2 +b10101000000111000011101110001010 I +b10101000000111000011101110001010 Z +b110 3 +b110 J +b110 Y +b10101000000111000011101110001010 , +b10101000000111000011101110001010 H +b110 + +b110 G +1. +0% +#1153000 +0] +1[ +1>" +b11001 3" +1^ +0a +b10101000000111000011101110001010 &" +b10101000000111000011101110001010 ?" +b110 %" +b110 *" +b110 1" +0. +1% +#1153010 +b10101000000111000011101110001010 I" +#1153500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1154000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1154500 +b1100 5 +b1100 L +b1100 W +14 +b1100 ' +b1100 D +1) +1. +0% +#1155000 +0i +b1111001100100000011110101011000 { +b1111001100100000011110101011000 A" +19" +1:" +1;" +b10011 0" +0j +0o +1p +1k +1/" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#1155500 +b1111 5 +b1111 L +b1111 W +b1111 ' +b1111 D +1. +0% +#1156000 +0h +0g +b10000 0" +b10100001001111001010100001111111 { +b10100001001111001010100001111111 A" +1n +1m +b1111001100100000011110101011000 / +b1111001100100000011110101011000 K +b1111001100100000011110101011000 e +b1111001100100000011110101011000 "" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#1156500 +b11001 5 +b11001 L +b11001 W +b10001100101110110101110001000001 2 +b10001100101110110101110001000001 I +b10001100101110110101110001000001 Z +b10001 3 +b10001 J +b10001 Y +11 +b11001 ' +b11001 D +b10001100101110110101110001000001 , +b10001100101110110101110001000001 H +b10001 + +b10001 G +1- +1. +0% +#1157000 +0k +1o +0[ +1@" +1h +19" +0:" +b1110 3" +0_ +1<" +1` +b110 0" +18" +1l +0p +b1110011011101110110100101000001 { +b1110011011101110110100101000001 A" +1a +12" +0n +b10001100101110110101110001000001 &" +b10001100101110110101110001000001 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b10100001001111001010100001111111 / +b10100001001111001010100001111111 K +b10100001001111001010100001111111 e +b10100001001111001010100001111111 "" +0. +1% +#1157010 +b10001100101110110101110001000001 T" +#1157500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1158000 +1i +0s +b1101001011101000111111010000000 | +b1101001011101000111111010000000 B" +1[ +0@" +1g +09" +0;" +0r +0q +15" +17" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +1y +1u +0a +02" +0m +0/" +1x +1w +1," +b1110011011101110110100101000001 / +b1110011011101110110100101000001 K +b1110011011101110110100101000001 e +b1110011011101110110100101000001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#1158500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1159000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101001011101000111111010000000 0 +b1101001011101000111111010000000 M +b1101001011101000111111010000000 f +b1101001011101000111111010000000 !" +0. +1% +#1159500 +b10011 7 +b10011 N +b10011 V +16 +b11101001111010110011110000011100 2 +b11101001111010110011110000011100 I +b11101001111010110011110000011100 Z +b10010 3 +b10010 J +b10010 Y +11 +b10011 & +b10011 C +1( +b11101001111010110011110000011100 , +b11101001111010110011110000011100 H +b10010 + +b10010 G +1- +1. +0% +#1160000 +b10010000011111100011100110000010 | +b10010000011111100011100110000010 B" +0\ +1@" +0r +0q +17" +b1101 3" +0_ +1<" +1` +b1100 -" +0u +14" +1v +1b +12" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101001111010110011110000011100 &" +b11101001111010110011110000011100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#1160010 +b11101001111010110011110000011100 U" +#1160500 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1161000 +1u +1\ +0@" +1q +17" +b11111 3" +0<" +0` +b11101 -" +04" +0v +b10001110101000011001101011111101 | +b10001110101000011001101011111101 B" +0b +02" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +b10010000011111100011100110000010 0 +b10010000011111100011100110000010 M +b10010000011111100011100110000010 f +b10010000011111100011100110000010 !" +0. +1% +#1161500 +b1100 5 +b1100 L +b1100 W +14 +b11010 7 +b11010 N +b11010 V +b1100 ' +b1100 D +1) +b11010 & +b11010 C +1. +0% +#1162000 +0i +b1111001100100000011110101011000 { +b1111001100100000011110101011000 A" +0u +0s +19" +1:" +1;" +b1110011001110101100001100000100 | +b1110011001110101100001100000100 B" +15" +b10011 0" +0j +0o +1p +1k +b101 -" +14" +1v +1y +1/" +b10001110101000011001101011111101 0 +b10001110101000011001101011111101 M +b10001110101000011001101011111101 f +b10001110101000011001101011111101 !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b11010 } +b11010 (" +b11010 +" +0. +1% +#1162500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b1110011110100101100111001101111 2 +b1110011110100101100111001101111 I +b1110011110100101100111001101111 Z +11 +b11110 ' +b11110 D +b0 & +b0 C +0( +b1110011110100101100111001101111 , +b1110011110100101100111001101111 H +1- +1. +0% +#1163000 +0k +1s +1@" +0h +1r +05" +07" +1_ +b1 0" +18" +1l +b110101011000111111110101001110 { +b110101011000111111110101001110 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1n +0x +0," +b1110011110100101100111001101111 &" +b1110011110100101100111001101111 ?" +1'" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +b1111001100100000011110101011000 / +b1111001100100000011110101011000 K +b1111001100100000011110101011000 e +b1111001100100000011110101011000 "" +b1110011001110101100001100000100 0 +b1110011001110101100001100000100 M +b1110011001110101100001100000100 f +b1110011001110101100001100000100 !" +0. +1% +#1163010 +b1110011110100101100111001101111 C" +#1163500 +b1101 5 +b1101 L +b1101 W +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +01 +b1101 ' +b1101 D +b11001 & +b11001 C +1( +b0 , +b0 H +0- +1. +0% +#1164000 +1k +0s +b1110011011101110110100101000001 | +b1110011011101110110100101000001 B" +0@" +1h +0g +1;" +0q +15" +17" +0_ +b10010 0" +08" +0l +b10010001110111000110010111111010 { +b10010001110111000110010111111010 A" +b110 -" +1y +0u +14" +1v +02" +0n +1m +1w +1," +b110101011000111111110101001110 / +b110101011000111111110101001110 K +b110101011000111111110101001110 e +b110101011000111111110101001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +0'" +b1101 ~ +b1101 )" +b1101 ." +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1164500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10010100001011000111000010011011 2 +b10010100001011000111000010011011 I +b10010100001011000111000010011011 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10010100001011000111000010011011 , +b10010100001011000111000010011011 H +b10110 + +b10110 G +1- +1. +0% +#1165000 +0] +1i +1s +0\ +1>" +1@" +1g +09" +0:" +0;" +1q +05" +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0m +0/" +0w +0," +b10010100001011000111000010011011 &" +b10010100001011000111000010011011 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10010001110111000110010111111010 / +b10010001110111000110010111111010 K +b10010001110111000110010111111010 e +b10010001110111000110010111111010 "" +b1110011011101110110100101000001 0 +b1110011011101110110100101000001 M +b1110011011101110110100101000001 f +b1110011011101110110100101000001 !" +0. +1% +#1165010 +b10010100001011000111000010011011 Y" +#1165500 +b11101 5 +b11101 L +b11101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1166000 +1] +0i +b1111010011011001001101100010000 { +b1111010011011001001101100010000 A" +1\ +0>" +0@" +0g +19" +1:" +1;" +b11111 3" +0^ +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +0b +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#1166500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +1. +0% +#1167000 +1i +0s +b11011001010010001111011110011110 | +b11011001010010001111011110011110 B" +1g +09" +0:" +0;" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +1t +0u +14" +1v +0m +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b1111010011011001001101100010000 / +b1111010011011001001101100010000 K +b1111010011011001001101100010000 e +b1111010011011001001101100010000 "" +0. +1% +#1167500 +b11110 5 +b11110 L +b11110 W +14 +b10010 7 +b10010 N +b10010 V +b11110 ' +b11110 D +1) +b10010 & +b10010 C +1. +0% +#1168000 +0i +b110101011000111111110101001110 { +b110101011000111111110101001110 A" +1s +0h +19" +1:" +1;" +0r +06" +b1 0" +0j +0o +1p +0k +18" +1l +b1101 -" +0t +b11101001111010110011110000011100 | +b11101001111010110011110000011100 B" +1n +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011001010010001111011110011110 0 +b11011001010010001111011110011110 M +b11011001010010001111011110011110 f +b11011001010010001111011110011110 !" +b11110 ~ +b11110 )" +b11110 ." +1$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#1168500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +b0 ' +b0 D +0) +b1010 & +b1010 C +1. +0% +#1169000 +1i +1u +0s +1h +09" +0:" +0;" +17" +b11001000100010011110011101011010 | +b11001000100010011110011101011010 B" +15" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10101 -" +04" +0v +1y +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +b110101011000111111110101001110 / +b110101011000111111110101001110 K +b110101011000111111110101001110 e +b110101011000111111110101001110 "" +b11101001111010110011110000011100 0 +b11101001111010110011110000011100 M +b11101001111010110011110000011100 f +b11101001111010110011110000011100 !" +0. +1% +#1169500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1170000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001000100010011110011101011010 0 +b11001000100010011110011101011010 M +b11001000100010011110011101011010 f +b11001000100010011110011101011010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1170500 +b11110 5 +b11110 L +b11110 W +14 +b11110 ' +b11110 D +1) +1. +0% +#1171000 +0i +b110101011000111111110101001110 { +b110101011000111111110101001110 A" +0h +19" +1:" +1;" +b1 0" +0j +0o +1p +0k +18" +1l +1n +1/" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1171500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b1011011101100011100000101001 2 +b1011011101100011100000101001 I +b1011011101100011100000101001 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b1011011101100011100000101001 , +b1011011101100011100000101001 H +b1010 + +b1010 G +1- +1. +0% +#1172000 +0] +1i +0s +b11010001000101001111101110110001 | +b11010001000101001111101110110001 B" +0\ +1=" +1@" +1h +09" +0:" +0;" +0q +16" +17" +b10101 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +1t +0u +14" +1v +1b +12" +0n +0/" +1w +1," +b110101011000111111110101001110 / +b110101011000111111110101001110 K +b110101011000111111110101001110 e +b110101011000111111110101001110 "" +b1011011101100011100000101001 &" +b1011011101100011100000101001 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#1172010 +b1011011101100011100000101001 M" +#1172500 +b0 7 +b0 N +b0 V +06 +b11110000000111000011100111000101 2 +b11110000000111000011100111000101 I +b11110000000111000011100111000101 Z +b1110 3 +b1110 J +b1110 Y +b0 & +b0 C +0( +b11110000000111000011100111000101 , +b11110000000111000011100111000101 H +b1110 + +b1110 G +1. +0% +#1173000 +0c +1s +1>" +1q +06" +07" +b10001 3" +1d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b11110000000111000011100111000101 &" +b11110000000111000011100111000101 ?" +b1110 %" +b1110 *" +b1110 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010001000101001111101110110001 0 +b11010001000101001111101110110001 M +b11010001000101001111101110110001 f +b11010001000101001111101110110001 !" +0. +1% +#1173010 +b11110000000111000011100111000101 Q" +#1173500 +b11011 7 +b11011 N +b11011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1174000 +1] +0s +b10001011111100111100101000010101 | +b10001011111100111100101000010101 B" +1\ +0=" +0>" +0@" +0r +0q +15" +17" +b11111 3" +0d +0_ +b100 -" +1y +0u +14" +1v +0b +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#1174500 +b0 7 +b0 N +b0 V +06 +b111011010101101110010010101001 2 +b111011010101101110010010101001 I +b111011010101101110010010101001 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 & +b0 C +0( +b111011010101101110010010101001 , +b111011010101101110010010101001 H +b10001 + +b10001 G +1- +1. +0% +#1175000 +1s +0[ +1@" +1r +1q +05" +07" +b1110 3" +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0w +0," +b111011010101101110010010101001 &" +b111011010101101110010010101001 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10001011111100111100101000010101 0 +b10001011111100111100101000010101 M +b10001011111100111100101000010101 f +b10001011111100111100101000010101 !" +0. +1% +#1175010 +b111011010101101110010010101001 T" +#1175500 +b11010000110100110111001100011110 2 +b11010000110100110111001100011110 I +b11010000110100110111001100011110 Z +b10010 3 +b10010 J +b10010 Y +b11010000110100110111001100011110 , +b11010000110100110111001100011110 H +b10010 + +b10010 G +1. +0% +#1176000 +0\ +1[ +b1101 3" +1b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010000110100110111001100011110 &" +b11010000110100110111001100011110 ?" +b10010 %" +b10010 *" +b10010 1" +0. +1% +#1176010 +b11010000110100110111001100011110 U" +#1176500 +b10000100101101110111010110000 2 +b10000100101101110111010110000 I +b10000100101101110111010110000 Z +b1101 3 +b1101 J +b1101 Y +b10000100101101110111010110000 , +b10000100101101110111010110000 H +b1101 + +b1101 G +1. +0% +#1177000 +1_ +0] +1\ +0[ +1@" +1=" +1>" +b10010 3" +0<" +0` +0^ +0c +1d +0b +1a +b10000100101101110111010110000 &" +b10000100101101110111010110000 ?" +b1101 %" +b1101 *" +b1101 1" +0. +1% +#1177010 +b10000100101101110111010110000 P" +#1177500 +b10000111111101001110100010010000 2 +b10000111111101001110100010010000 I +b10000111111101001110100010010000 Z +b11 3 +b11 J +b11 Y +b10000111111101001110100010010000 , +b10000111111101001110100010010000 H +b11 + +b11 G +1. +0% +#1178000 +1] +0\ +0=" +0>" +b11100 3" +0d +1b +b10000111111101001110100010010000 &" +b10000111111101001110100010010000 ?" +b11 %" +b11 *" +b11 1" +0. +1% +#1178010 +b10000111111101001110100010010000 F" +#1178500 +b111 5 +b111 L +b111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1179000 +0i +b1110111100110110110011111101111 { +b1110111100110110110011111101111 A" +1\ +1[ +0@" +0h +0g +1:" +1;" +b11111 3" +0_ +b11000 0" +1j +1k +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#1179500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b11111010100010010100000111000011 2 +b11111010100010010100000111000011 I +b11111010100010010100000111000011 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b11111010100010010100000111000011 , +b11111010100010010100000111000011 H +b1100 + +b1100 G +1- +1. +0% +#1180000 +0] +1i +0s +b10111000001001101100010000010100 | +b10111000001001101100010000010100 B" +1=" +1>" +1@" +1h +1g +0:" +0;" +16" +17" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +1t +1u +12" +0n +0m +0/" +1," +b1110111100110110110011111101111 / +b1110111100110110110011111101111 K +b1110111100110110110011111101111 e +b1110111100110110110011111101111 "" +b11111010100010010100000111000011 &" +b11111010100010010100000111000011 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#1180010 +b11111010100010010100000111000011 O" +#1180500 +b11 5 +b11 L +b11 W +14 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1181000 +1] +b10000111111101001110100010010000 { +b10000111111101001110100010010000 A" +0u +0t +0=" +0>" +0@" +0h +0g +1;" +0q +15" +b11111 3" +0d +0_ +b11100 0" +1k +b10 -" +14" +1v +1z +b1111010011011001001101100010000 | +b1111010011011001001101100010000 B" +02" +1n +1m +1/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +b11101 } +b11101 (" +b11101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111000001001101100010000010100 0 +b10111000001001101100010000010100 M +b10111000001001101100010000010100 f +b10111000001001101100010000010100 !" +0. +1% +#1181500 +b11111 5 +b11111 L +b11111 W +b1100 7 +b1100 N +b1100 V +b11111 ' +b11111 D +b1100 & +b1100 C +1. +0% +#1182000 +0k +0i +1u +b10010001011011101111010101110010 { +b10010001011011101111010101110010 A" +19" +1:" +1q +17" +b0 0" +18" +1l +0j +0o +1p +b10011 -" +04" +0v +b11111010100010010100000111000011 | +b11111010100010010100000111000011 B" +0w +b10000111111101001110100010010000 / +b10000111111101001110100010010000 K +b10000111111101001110100010010000 e +b10000111111101001110100010010000 "" +b1111010011011001001101100010000 0 +b1111010011011001001101100010000 M +b1111010011011001001101100010000 f +b1111010011011001001101100010000 !" +b11111 ~ +b11111 )" +b11111 ." +b1100 } +b1100 (" +b1100 +" +0. +1% +#1182500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b10101100011111001101011000011110 2 +b10101100011111001101011000011110 I +b10101100011111001101011000011110 Z +b11101 3 +b11101 J +b11101 Y +11 +b10110 ' +b10110 D +b0 & +b0 C +0( +b10101100011111001101011000011110 , +b10101100011111001101011000011110 H +b11101 + +b11101 G +1- +1. +0% +#1183000 +0] +1j +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1=" +1>" +1@" +1g +09" +1:" +05" +06" +07" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b1001 0" +0p +b10010100001011000111000010011011 { +b10010100001011000111000010011011 A" +b11111 -" +0z +0u +1a +12" +0m +0," +b10101100011111001101011000011110 &" +b10101100011111001101011000011110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +b10010001011011101111010101110010 / +b10010001011011101111010101110010 K +b10010001011011101111010101110010 e +b10010001011011101111010101110010 "" +b11111010100010010100000111000011 0 +b11111010100010010100000111000011 M +b11111010100010010100000111000011 f +b11111010100010010100000111000011 !" +0. +1% +#1183010 +b10101100011111001101011000011110 `" +#1183500 +b0 5 +b0 L +b0 W +04 +b1110100101000011110111010101000 2 +b1110100101000011110111010101000 I +b1110100101000011110111010101000 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b1110100101000011110111010101000 , +b1110100101000011110111010101000 H +b0 + +b0 G +1. +0% +#1184000 +1_ +1] +1i +1[ +1@" +0=" +0>" +1h +0:" +0;" +b11111 3" +0<" +0` +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +0n +0/" +b10010100001011000111000010011011 / +b10010100001011000111000010011011 K +b10010100001011000111000010011011 e +b10010100001011000111000010011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110100101000011110111010101000 &" +b1110100101000011110111010101000 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1184010 +b1110100101000011110111010101000 C" +#1184500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#1185000 +0@" +0_ +02" +b0 &" +b0 ?" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1185500 +b11110 5 +b11110 L +b11110 W +14 +b11011 7 +b11011 N +b11011 V +16 +b100011000001000000010111001100 2 +b100011000001000000010111001100 I +b100011000001000000010111001100 Z +b11 3 +b11 J +b11 Y +11 +b11110 ' +b11110 D +1) +b11011 & +b11011 C +1( +b100011000001000000010111001100 , +b100011000001000000010111001100 H +b11 + +b11 G +1- +1. +0% +#1186000 +0i +b110101011000111111110101001110 { +b110101011000111111110101001110 A" +0s +b10001011111100111100101000010101 | +b10001011111100111100101000010101 B" +0\ +0[ +1@" +0h +19" +1:" +1;" +0r +0q +15" +17" +b11100 3" +1_ +b1 0" +0j +0o +1p +0k +18" +1l +b100 -" +1y +0u +14" +1v +1b +1a +12" +1n +1/" +1x +1w +1," +b100011000001000000010111001100 &" +b100011000001000000010111001100 ?" +b11 %" +b11 *" +b11 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#1186010 +b100011000001000000010111001100 F" +#1186500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1187000 +1i +1u +0y +1\ +1[ +0@" +1h +09" +0:" +0;" +1q +17" +16" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10001 -" +04" +0v +1z +b11110000000111000011100111000101 | +b11110000000111000011100111000101 B" +0b +0a +02" +0n +0/" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +b110101011000111111110101001110 / +b110101011000111111110101001110 K +b110101011000111111110101001110 e +b110101011000111111110101001110 "" +b10001011111100111100101000010101 0 +b10001011111100111100101000010101 M +b10001011111100111100101000010101 f +b10001011111100111100101000010101 !" +0. +1% +#1187500 +b10101 7 +b10101 N +b10101 V +b10101 & +b10101 C +1. +0% +#1188000 +0u +1t +1r +0q +05" +16" +b1010 -" +14" +1v +0z +b11010001000101001111101110110001 | +b11010001000101001111101110110001 B" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110000000111000011100111000101 0 +b11110000000111000011100111000101 M +b11110000000111000011100111000101 f +b11110000000111000011100111000101 !" +b10101 } +b10101 (" +b10101 +" +0. +1% +#1188500 +b0 7 +b0 N +b0 V +06 +b1111100011001001101110010010100 2 +b1111100011001001101110010010100 I +b1111100011001001101110010010100 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 & +b0 C +0( +b1111100011001001101110010010100 , +b1111100011001001101110010010100 H +b1100 + +b1100 G +1- +1. +0% +#1189000 +0] +1s +1=" +1>" +1@" +1q +06" +07" +b10011 3" +0^ +0c +1d +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0w +0," +b1111100011001001101110010010100 &" +b1111100011001001101110010010100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11010001000101001111101110110001 0 +b11010001000101001111101110110001 M +b11010001000101001111101110110001 f +b11010001000101001111101110110001 !" +0. +1% +#1189010 +b1111100011001001101110010010100 O" +#1189500 +b1101111011101011001011101100100 2 +b1101111011101011001011101100100 I +b1101111011101011001011101100100 Z +b11000 3 +b11000 J +b11000 Y +b1101111011101011001011101100100 , +b1101111011101011001011101100100 H +b11000 + +b11000 G +1. +0% +#1190000 +0_ +1c +1=" +0>" +b111 3" +1<" +1` +0d +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101111011101011001011101100100 &" +b1101111011101011001011101100100 ?" +b11000 %" +b11000 *" +b11000 1" +0. +1% +#1190010 +b1101111011101011001011101100100 [" +#1190500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1191000 +1] +0=" +0@" +b11111 3" +0c +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1191500 +1. +0% +#1192000 +0. +1% +#1192500 +b10100 5 +b10100 L +b10100 W +14 +b10100 ' +b10100 D +1) +1. +0% +#1193000 +0i +b11011001010010001111011110011110 { +b11011001010010001111011110011110 A" +1:" +1;" +b1011 0" +1j +0k +18" +1l +1/" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#1193500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +1. +0% +#1194000 +1i +0s +b1110011011101110110100101000001 | +b1110011011101110110100101000001 B" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +15" +17" +b11111 0" +0j +08" +0l +b110 -" +1y +0u +14" +1v +0/" +1w +1," +b11011001010010001111011110011110 / +b11011001010010001111011110011110 K +b11011001010010001111011110011110 e +b11011001010010001111011110011110 "" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1194500 +b1011 5 +b1011 L +b1011 W +14 +b111 7 +b111 N +b111 V +b10001001000010010100010010 2 +b10001001000010010100010010 I +b10001001000010010100010010 Z +b1110 3 +b1110 J +b1110 Y +11 +b1011 ' +b1011 D +1) +b111 & +b111 C +b10001001000010010100010010 , +b10001001000010010100010010 H +b1110 + +b1110 G +1- +1. +0% +#1195000 +16" +0] +0i +b1101001011101000111111010000000 { +b1101001011101000111111010000000 A" +1u +1t +0\ +1=" +1>" +1@" +0h +0g +19" +1;" +0r +17" +05" +b10001 3" +0^ +0c +1d +1_ +b10100 0" +1o +1k +b11000 -" +04" +0v +0y +b1110111100110110110011111101111 | +b1110111100110110110011111101111 B" +1b +12" +1n +1m +1/" +1x +b10001001000010010100010010 &" +b10001001000010010100010010 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b111 } +b111 (" +b111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110011011101110110100101000001 0 +b1110011011101110110100101000001 M +b1110011011101110110100101000001 f +b1110011011101110110100101000001 !" +0. +1% +#1195010 +b10001001000010010100010010 Q" +#1195500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b11110010100110000100111111011111 2 +b11110010100110000100111111011111 I +b11110010100110000100111111011111 Z +b110 3 +b110 J +b110 Y +b0 ' +b0 D +0) +b11110 & +b11110 C +b11110010100110000100111111011111 , +b11110010100110000100111111011111 H +b110 + +b110 G +1. +0% +#1196000 +1^ +1i +0u +0t +0=" +1>" +1h +1g +09" +0;" +1q +15" +b11001 3" +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +14" +1v +1z +b110101011000111111110101001110 | +b110101011000111111110101001110 B" +0n +0m +0/" +0w +b1101001011101000111111010000000 / +b1101001011101000111111010000000 K +b1101001011101000111111010000000 e +b1101001011101000111111010000000 "" +b1110111100110110110011111101111 0 +b1110111100110110110011111101111 M +b1110111100110110110011111101111 f +b1110111100110110110011111101111 !" +b11110010100110000100111111011111 &" +b11110010100110000100111111011111 ?" +b110 %" +b110 *" +b110 1" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#1196010 +b11110010100110000100111111011111 I" +#1196500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1197000 +1] +0i +b11011001010010001111011110011110 { +b11011001010010001111011110011110 A" +1s +1\ +0>" +0@" +1:" +1;" +1r +05" +06" +07" +b11111 3" +0^ +0_ +b1011 0" +1j +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110101011000111111110101001110 0 +b110101011000111111110101001110 M +b110101011000111111110101001110 f +b110101011000111111110101001110 !" +0. +1% +#1197500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b11001010111100010110110000011001 2 +b11001010111100010110110000011001 I +b11001010111100010110110000011001 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b11001010111100010110110000011001 , +b11001010111100010110110000011001 H +b11011 + +b11011 G +1- +1. +0% +#1198000 +0] +1i +0s +b10100001001111001010100001111111 | +b10100001001111001010100001111111 B" +0\ +0[ +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +16" +17" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0j +08" +0l +b10000 -" +0t +0y +1z +1u +1b +1a +12" +0/" +1x +1w +1," +b11011001010010001111011110011110 / +b11011001010010001111011110011110 K +b11011001010010001111011110011110 e +b11011001010010001111011110011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001010111100010110110000011001 &" +b11001010111100010110110000011001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#1198010 +b11001010111100010110110000011001 ^" +#1198500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1199000 +1] +1s +1\ +1[ +0=" +0@" +1r +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100001001111001010100001111111 0 +b10100001001111001010100001111111 M +b10100001001111001010100001111111 f +b10100001001111001010100001111111 !" +0. +1% +#1199500 +b10101 7 +b10101 N +b10101 V +16 +b10110000101110001001101100110110 2 +b10110000101110001001101100110110 I +b10110000101110001001101100110110 Z +b111 3 +b111 J +b111 Y +11 +b10101 & +b10101 C +1( +b10110000101110001001101100110110 , +b10110000101110001001101100110110 H +b111 + +b111 G +1- +1. +0% +#1200000 +0] +0s +b11010001000101001111101110110001 | +b11010001000101001111101110110001 B" +0\ +0[ +1>" +1@" +0q +16" +17" +b11000 3" +1^ +1_ +b1010 -" +1t +0u +14" +1v +1b +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110000101110001001101100110110 &" +b10110000101110001001101100110110 ?" +b111 %" +b111 *" +b111 1" +1'" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#1200010 +b10110000101110001001101100110110 J" +#1200500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1201000 +1] +1s +1\ +1[ +0>" +0@" +1q +06" +07" +b11111 3" +0^ +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11010001000101001111101110110001 0 +b11010001000101001111101110110001 M +b11010001000101001111101110110001 f +b11010001000101001111101110110001 !" +0. +1% +#1201500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#1202000 +b10001110011100101000110000010111 | +b10001110011100101000110000010111 B" +0q +17" +b11110 -" +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#1202500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1203000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10001110011100101000110000010111 0 +b10001110011100101000110000010111 M +b10001110011100101000110000010111 f +b10001110011100101000110000010111 !" +0. +1% +#1203500 +b101000100010000001011011100001 2 +b101000100010000001011011100001 I +b101000100010000001011011100001 Z +b10100 3 +b10100 J +b10100 Y +11 +b101000100010000001011011100001 , +b101000100010000001011011100001 H +b10100 + +b10100 G +1- +1. +0% +#1204000 +0] +1>" +1@" +b1011 3" +1^ +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101000100010000001011011100001 &" +b101000100010000001011011100001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +0. +1% +#1204010 +b101000100010000001011011100001 W" +#1204500 +b11100 5 +b11100 L +b11100 W +14 +b11 7 +b11 N +b11 V +16 +b10101001111110111000000100110010 2 +b10101001111110111000000100110010 I +b10101001111110111000000100110010 Z +b11011 3 +b11011 J +b11011 Y +b11100 ' +b11100 D +1) +b11 & +b11 C +1( +b10101001111110111000000100110010 , +b10101001111110111000000100110010 H +b11011 + +b11011 G +1. +0% +#1205000 +1=" +1c +0i +b11110010001011111011100000110101 { +b11110010001011111011100000110101 A" +b100011000001000000010111001100 | +b100011000001000000010111001100 B" +0\ +0[ +0>" +19" +1:" +1;" +0r +0q +17" +b100 3" +0^ +b11 0" +0j +0o +1p +0k +18" +1l +b11100 -" +1u +1b +1a +1/" +1x +1w +1," +b10101001111110111000000100110010 &" +b10101001111110111000000100110010 ?" +b11011 %" +b11011 *" +b11011 1" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#1205010 +b10101001111110111000000100110010 ^" +#1205500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1206000 +1] +1i +0s +1\ +1[ +0=" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +15" +16" +b11111 3" +0c +0<" +0` +b11111 0" +0p +08" +0l +b10011 -" +0t +0y +1z +b1111100011001001101110010010100 | +b1111100011001001101110010010100 B" +0b +0a +02" +0/" +0x +0w +b11110010001011111011100000110101 / +b11110010001011111011100000110101 K +b11110010001011111011100000110101 e +b11110010001011111011100000110101 "" +b100011000001000000010111001100 0 +b100011000001000000010111001100 M +b100011000001000000010111001100 f +b100011000001000000010111001100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#1206500 +b1111 7 +b1111 N +b1111 V +b111110010100100110100001100 2 +b111110010100100110100001100 I +b111110010100100110100001100 Z +b10010 3 +b10010 J +b10010 Y +11 +b1111 & +b1111 C +b111110010100100110100001100 , +b111110010100100110100001100 H +b10010 + +b10010 G +1- +1. +0% +#1207000 +0\ +1@" +0r +0q +b1101 3" +0_ +1<" +1` +b10000 -" +b10100001001111001010100001111111 | +b10100001001111001010100001111111 B" +1b +12" +1x +1w +b111110010100100110100001100 &" +b111110010100100110100001100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1111 } +b1111 (" +b1111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111100011001001101110010010100 0 +b1111100011001001101110010010100 M +b1111100011001001101110010010100 f +b1111100011001001101110010010100 !" +0. +1% +#1207010 +b111110010100100110100001100 U" +#1207500 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1208000 +0u +1\ +0@" +1r +b11111 3" +0<" +0` +b10 -" +14" +1v +b10101100011111001101011000011110 | +b10101100011111001101011000011110 B" +0b +02" +0x +b10100001001111001010100001111111 0 +b10100001001111001010100001111111 M +b10100001001111001010100001111111 f +b10100001001111001010100001111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +0. +1% +#1208500 +b10101 7 +b10101 N +b10101 V +b11001100010011111110000111000 2 +b11001100010011111110000111000 I +b11001100010011111110000111000 Z +b10010 3 +b10010 J +b10010 Y +11 +b10101 & +b10101 C +b11001100010011111110000111000 , +b11001100010011111110000111000 H +b10010 + +b10010 G +1- +1. +0% +#1209000 +1t +b11010001000101001111101110110001 | +b11010001000101001111101110110001 B" +0\ +1@" +05" +16" +b1101 3" +0_ +1<" +1` +b1010 -" +0z +1b +12" +b11001100010011111110000111000 &" +b11001100010011111110000111000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b10101 } +b10101 (" +b10101 +" +b10101100011111001101011000011110 0 +b10101100011111001101011000011110 M +b10101100011111001101011000011110 f +b10101100011111001101011000011110 !" +0. +1% +#1209010 +b11001100010011111110000111000 U" +#1209500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1210000 +1s +1\ +0@" +1q +06" +07" +b11111 3" +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0w +0," +b11010001000101001111101110110001 0 +b11010001000101001111101110110001 M +b11010001000101001111101110110001 f +b11010001000101001111101110110001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1210500 +1. +0% +#1211000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1211500 +b1 5 +b1 L +b1 W +14 +b10011 7 +b10011 N +b10011 V +16 +b1 ' +b1 D +1) +b10011 & +b10011 C +1( +1. +0% +#1212000 +b10001110011100101000110000010111 { +b10001110011100101000110000010111 A" +b10010000011111100011100110000010 | +b10010000011111100011100110000010 B" +0g +1;" +0r +0q +17" +b11110 0" +1k +b1100 -" +0u +14" +1v +1m +1/" +1x +1w +1," +b1 ~ +b1 )" +b1 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#1212500 +b0 5 +b0 L +b0 W +b10001 7 +b10001 N +b10001 V +b11101111101100011110101110110111 2 +b11101111101100011110101110110111 I +b11101111101100011110101110110111 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +b10001 & +b10001 C +b11101111101100011110101110110111 , +b11101111101100011110101110110111 H +b110 + +b110 G +1- +1. +0% +#1213000 +0] +0\ +1>" +1@" +1g +1r +b11001 3" +1^ +1_ +b11111 0" +b1110100101000011110111010101000 { +b1110100101000011110111010101000 A" +b1110 -" +b111011010101101110010010101001 | +b111011010101101110010010101001 B" +1b +12" +0m +0x +b11101111101100011110101110110111 &" +b11101111101100011110101110110111 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +b10001 } +b10001 (" +b10001 +" +b10001110011100101000110000010111 / +b10001110011100101000110000010111 K +b10001110011100101000110000010111 e +b10001110011100101000110000010111 "" +b10010000011111100011100110000010 0 +b10010000011111100011100110000010 M +b10010000011111100011100110000010 f +b10010000011111100011100110000010 !" +0. +1% +#1213010 +b11101111101100011110101110110111 I" +#1213500 +04 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1214000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +0s +1\ +0>" +0@" +0;" +1q +17" +16" +b11111 3" +0^ +0_ +0k +b11011 -" +04" +0v +1t +b10111000001001101100010000010100 | +b10111000001001101100010000010100 B" +0b +02" +0/" +0w +b1110100101000011110111010101000 / +b1110100101000011110111010101000 K +b1110100101000011110111010101000 e +b1110100101000011110111010101000 "" +b111011010101101110010010101001 0 +b111011010101101110010010101001 M +b111011010101101110010010101001 f +b111011010101101110010010101001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +b100 } +b100 (" +b100 +" +0. +1% +#1214500 +b11000 7 +b11000 N +b11000 V +b10110011010001111101001001101101 2 +b10110011010001111101001001101101 I +b10110011010001111101001001101101 Z +b1011 3 +b1011 J +b1011 Y +11 +b11000 & +b11000 C +b10110011010001111101001001101101 , +b10110011010001111101001001101101 H +b1011 + +b1011 G +1- +1. +0% +#1215000 +15" +0] +0u +1y +0\ +0[ +1=" +1@" +b1101111011101011001011101100100 | +b1101111011101011001011101100100 B" +06" +b10100 3" +1c +1_ +b111 -" +14" +1v +0t +1b +1a +12" +b10110011010001111101001001101101 &" +b10110011010001111101001001101101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b11000 } +b11000 (" +b11000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111000001001101100010000010100 0 +b10111000001001101100010000010100 M +b10111000001001101100010000010100 f +b10111000001001101100010000010100 !" +0. +1% +#1215010 +b10110011010001111101001001101101 N" +#1215500 +b0 7 +b0 N +b0 V +06 +b1011111000011100111010010000001 2 +b1011111000011100111010010000001 I +b1011111000011100111010010000001 Z +b11000 3 +b11000 J +b11000 Y +b0 & +b0 C +0( +b1011111000011100111010010000001 , +b1011111000011100111010010000001 H +b11000 + +b11000 G +1. +0% +#1216000 +0_ +1s +1\ +1[ +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b111 3" +1<" +1` +b11111 -" +0y +04" +0v +0b +0a +0," +b1101111011101011001011101100100 0 +b1101111011101011001011101100100 M +b1101111011101011001011101100100 f +b1101111011101011001011101100100 !" +b1011111000011100111010010000001 &" +b1011111000011100111010010000001 ?" +b11000 %" +b11000 *" +b11000 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1216010 +b1011111000011100111010010000001 [" +#1216500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1217000 +1] +0=" +0@" +b11111 3" +0c +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1217500 +b10110 7 +b10110 N +b10110 V +16 +b11111110111000011011001101100011 2 +b11111110111000011011001101100011 I +b11111110111000011011001101100011 Z +b1010 3 +b1010 J +b1010 Y +11 +b10110 & +b10110 C +1( +b11111110111000011011001101100011 , +b11111110111000011011001101100011 H +b1010 + +b1010 G +1- +1. +0% +#1218000 +0] +0s +b10010100001011000111000010011011 | +b10010100001011000111000010011011 B" +0\ +1=" +1@" +0r +16" +17" +b10101 3" +1c +1_ +b1001 -" +1t +0u +14" +1v +1b +12" +1x +1," +b11111110111000011011001101100011 &" +b11111110111000011011001101100011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#1218010 +b11111110111000011011001101100011 M" +#1218500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +b10100001100100101111010000111 2 +b10100001100100101111010000111 I +b10100001100100101111010000111 Z +b10110 3 +b10110 J +b10110 Y +b1100 ' +b1100 D +1) +b0 & +b0 C +b10100001100100101111010000111 , +b10100001100100101111010000111 H +b10110 + +b10110 G +1. +0% +#1219000 +1>" +0_ +1^ +0i +b1111100011001001101110010010100 { +b1111100011001001101110010010100 A" +1u +1s +0=" +19" +1:" +1;" +1r +17" +06" +b1001 3" +1<" +1` +0c +b10011 0" +0j +0o +1p +1k +b11111 -" +04" +0v +0t +b1110100101000011110111010101000 | +b1110100101000011110111010101000 B" +1/" +0x +b10100001100100101111010000111 &" +b10100001100100101111010000111 ?" +b10110 %" +b10110 *" +b10110 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +b10010100001011000111000010011011 0 +b10010100001011000111000010011011 M +b10010100001011000111000010011011 f +b10010100001011000111000010011011 !" +0. +1% +#1219010 +b10100001100100101111010000111 Y" +#1219500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10010 & +b10010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1220000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +1\ +0>" +0@" +09" +0:" +0;" +0r +b11111 3" +0^ +0<" +0` +b11111 0" +0p +0k +b1101 -" +14" +1v +b11001100010011111110000111000 | +b11001100010011111110000111000 B" +0b +02" +0/" +1x +b1111100011001001101110010010100 / +b1111100011001001101110010010100 K +b1111100011001001101110010010100 e +b1111100011001001101110010010100 "" +b1110100101000011110111010101000 0 +b1110100101000011110111010101000 M +b1110100101000011110111010101000 f +b1110100101000011110111010101000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#1220500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1221000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001100010011111110000111000 0 +b11001100010011111110000111000 M +b11001100010011111110000111000 f +b11001100010011111110000111000 !" +0. +1% +#1221500 +1. +0% +#1222000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1222500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#1223000 +0s +b10110000101110001001101100110110 | +b10110000101110001001101100110110 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b111 } +b111 (" +b111 +" +1#" +0. +1% +#1223500 +b1000 5 +b1000 L +b1000 W +14 +b1001 7 +b1001 N +b1001 V +b100001100001000000100111000 2 +b100001100001000000100111000 I +b100001100001000000100111000 Z +b1 3 +b1 J +b1 Y +11 +b1000 ' +b1000 D +1) +b1001 & +b1001 C +b100001100001000000100111000 , +b100001100001000000100111000 H +b1 + +b1 G +1- +1. +0% +#1224000 +15" +0i +b10011010001011000110101000101000 { +b10011010001011000110101000101000 A" +1y +0[ +1@" +19" +1;" +1r +06" +b11110 3" +1_ +b10111 0" +1o +1k +b10110 -" +0t +b11111000011101111010010011101000 | +b11111000011101111010010011101000 B" +1a +12" +1/" +0x +b10110000101110001001101100110110 0 +b10110000101110001001101100110110 M +b10110000101110001001101100110110 f +b10110000101110001001101100110110 !" +b100001100001000000100111000 &" +b100001100001000000100111000 ?" +b1 %" +b1 *" +b1 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1001 } +b1001 (" +b1001 +" +0. +1% +#1224010 +b100001100001000000100111000 D" +#1224500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b11000010101100011011100010000000 2 +b11000010101100011011100010000000 I +b11000010101100011011100010000000 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b1101 & +b1101 C +b11000010101100011011100010000000 , +b11000010101100011011100010000000 H +b11111 + +b11111 G +1. +0% +#1225000 +0_ +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0y +b10000100101101110111010110000 | +b10000100101101110111010110000 B" +0\ +1=" +1>" +09" +0;" +16" +b0 3" +1<" +1` +0^ +0c +1d +b11111 0" +0o +0k +b10010 -" +1z +1b +0/" +b11000010101100011011100010000000 &" +b11000010101100011011100010000000 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +b10011010001011000110101000101000 / +b10011010001011000110101000101000 K +b10011010001011000110101000101000 e +b10011010001011000110101000101000 "" +b11111000011101111010010011101000 0 +b11111000011101111010010011101000 M +b11111000011101111010010011101000 f +b11111000011101111010010011101000 !" +0. +1% +#1225500 +b101 5 +b101 L +b101 W +14 +b110 7 +b110 N +b110 V +b11110111110000110100011011011110 2 +b11110111110000110100011011011110 I +b11110111110000110100011011011110 Z +b101 ' +b101 D +1) +b110 & +b110 C +b11110111110000110100011011011110 , +b11110111110000110100011011011110 H +1. +0% +#1226000 +0i +b11010010111100101101001001000000 { +b11010010111100101101001001000000 A" +1t +0g +1:" +1;" +0r +1q +05" +16" +b11010 0" +1j +1k +b11001 -" +0z +b11101111101100011110101110110111 | +b11101111101100011110101110110111 B" +1m +1/" +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000100101101110111010110000 0 +b10000100101101110111010110000 M +b10000100101101110111010110000 f +b10000100101101110111010110000 !" +b11110111110000110100011011011110 &" +b11110111110000110100011011011110 ?" +b101 ~ +b101 )" +b101 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#1226500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b1101001001110110011111010000101 2 +b1101001001110110011111010000101 I +b1101001001110110011111010000101 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +b10011 & +b10011 C +b1101001001110110011111010000101 , +b1101001001110110011111010000101 H +b1111 + +b1111 G +1. +0% +#1227000 +1_ +1i +0u +1s +1@" +1g +0:" +0;" +0q +06" +b10000 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +14" +1v +0t +b10010000011111100011100110000010 | +b10010000011111100011100110000010 B" +0m +0/" +1w +b1101001001110110011111010000101 &" +b1101001001110110011111010000101 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b11010010111100101101001001000000 / +b11010010111100101101001001000000 K +b11010010111100101101001001000000 e +b11010010111100101101001001000000 "" +b11101111101100011110101110110111 0 +b11101111101100011110101110110111 M +b11101111101100011110101110110111 f +b11101111101100011110101110110111 !" +0. +1% +#1227010 +b1101001001110110011111010000101 R" +#1227500 +b1011 5 +b1011 L +b1011 W +14 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1228000 +1] +0i +b10110011010001111101001001101101 { +b10110011010001111101001001101101 A" +0s +1\ +1[ +0=" +0>" +0@" +0h +0g +19" +1;" +1r +15" +16" +b11111 3" +0d +0_ +b10100 0" +1o +1k +b10 -" +0t +0y +1z +b10101100011111001101011000011110 | +b10101100011111001101011000011110 B" +0b +0a +02" +1n +1m +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010000011111100011100110000010 0 +b10010000011111100011100110000010 M +b10010000011111100011100110000010 f +b10010000011111100011100110000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b11101 } +b11101 (" +b11101 +" +0. +1% +#1228500 +b1101 5 +b1101 L +b1101 W +b0 7 +b0 N +b0 V +06 +b10110100011000111001011101010110 2 +b10110100011000111001011101010110 I +b10110100011000111001011101010110 Z +b100 3 +b100 J +b100 Y +11 +b1101 ' +b1101 D +b0 & +b0 C +0( +b10110100011000111001011101010110 , +b10110100011000111001011101010110 H +b100 + +b100 G +1- +1. +0% +#1229000 +0] +0o +1s +1>" +1@" +1h +1:" +1q +05" +06" +07" +b11011 3" +1^ +1_ +b10010 0" +1p +b10000100101101110111010110000 { +b10000100101101110111010110000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0w +0," +b10110100011000111001011101010110 &" +b10110100011000111001011101010110 ?" +b100 %" +b100 *" +b100 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +b0 } +b0 (" +b0 +" +0#" +b10110011010001111101001001101101 / +b10110011010001111101001001101101 K +b10110011010001111101001001101101 e +b10110011010001111101001001101101 "" +b10101100011111001101011000011110 0 +b10101100011111001101011000011110 M +b10101100011111001101011000011110 f +b10101100011111001101011000011110 !" +0. +1% +#1229010 +b10110100011000111001011101010110 G" +#1229500 +b111 5 +b111 L +b111 W +b11010110100100111011111001110100 2 +b11010110100100111011111001110100 I +b11010110100100111011111001110100 Z +b0 3 +b0 J +b0 Y +b111 ' +b111 D +b11010110100100111011111001110100 , +b11010110100100111011111001110100 H +b0 + +b0 G +1. +0% +#1230000 +1] +1j +0>" +0h +09" +1:" +b11111 3" +0^ +b11000 0" +0p +b10110000101110001001101100110110 { +b10110000101110001001101100110110 A" +1n +b10000100101101110111010110000 / +b10000100101101110111010110000 K +b10000100101101110111010110000 e +b10000100101101110111010110000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010110100100111011111001110100 &" +b11010110100100111011111001110100 ?" +b0 %" +b0 *" +b0 1" +b111 ~ +b111 )" +b111 ." +0. +1% +#1230010 +b11010110100100111011111001110100 C" +#1230500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b0 , +b0 H +0- +1. +0% +#1231000 +1i +0@" +1h +1g +0:" +0;" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0m +0/" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10110000101110001001101100110110 / +b10110000101110001001101100110110 K +b10110000101110001001101100110110 e +b10110000101110001001101100110110 "" +0. +1% +#1231500 +b101 5 +b101 L +b101 W +14 +b101 ' +b101 D +1) +1. +0% +#1232000 +0i +b11010010111100101101001001000000 { +b11010010111100101101001001000000 A" +0g +1:" +1;" +b11010 0" +1j +1k +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#1232500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +1. +0% +#1233000 +1i +0s +b10100001100100101111010000111 | +b10100001100100101111010000111 B" +1g +0:" +0;" +0r +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +b11010010111100101101001001000000 / +b11010010111100101101001001000000 K +b11010010111100101101001001000000 e +b11010010111100101101001001000000 "" +0. +1% +#1233500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b11100100101011001011011101000101 2 +b11100100101011001011011101000101 I +b11100100101011001011011101000101 Z +b1101 3 +b1101 J +b1101 Y +11 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b11100100101011001011011101000101 , +b11100100101011001011011101000101 H +b1101 + +b1101 G +1- +1. +0% +#1234000 +0] +0i +b101100011110111110101110110001 { +b101100011110111110101110110001 A" +1s +0[ +1=" +1>" +1@" +0h +0g +1:" +1;" +1r +06" +07" +b10010 3" +0^ +0c +1d +1_ +b1000 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100001100100101111010000111 0 +b10100001100100101111010000111 M +b10100001100100101111010000111 f +b10100001100100101111010000111 !" +b11100100101011001011011101000101 &" +b11100100101011001011011101000101 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1234010 +b11100100101011001011011101000101 P" +#1234500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1235000 +1] +1i +1[ +0=" +0>" +0@" +1h +1g +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b101100011110111110101110110001 / +b101100011110111110101110110001 K +b101100011110111110101110110001 e +b101100011110111110101110110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1235500 +1. +0% +#1236000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1236500 +b10110 7 +b10110 N +b10110 V +16 +b10110 & +b10110 C +1( +1. +0% +#1237000 +0s +b10100001100100101111010000111 | +b10100001100100101111010000111 B" +0r +16" +17" +b1001 -" +1t +0u +14" +1v +1x +1," +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#1237500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +1. +0% +#1238000 +0i +b1101001001110110011111010000101 { +b1101001001110110011111010000101 A" +1s +0h +0g +19" +1:" +1;" +1r +06" +07" +b10000 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b10100001100100101111010000111 0 +b10100001100100101111010000111 M +b10100001100100101111010000111 f +b10100001100100101111010000111 !" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1238500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b10011011101010011000101010001011 2 +b10011011101010011000101010001011 I +b10011011101010011000101010001011 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b10011011101010011000101010001011 , +b10011011101010011000101010001011 H +b100 + +b100 G +1- +1. +0% +#1239000 +0] +1i +0s +b10011010001011000110101000101000 | +b10011010001011000110101000101000 B" +1>" +1@" +1h +1g +09" +0:" +0;" +15" +17" +b11011 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +12" +0n +0m +0/" +1," +b10011011101010011000101010001011 &" +b10011011101010011000101010001011 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b1101001001110110011111010000101 / +b1101001001110110011111010000101 K +b1101001001110110011111010000101 e +b1101001001110110011111010000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1239010 +b10011011101010011000101010001011 G" +#1239500 +b0 7 +b0 N +b0 V +06 +b11110100000110100011101110111001 2 +b11110100000110100011101110111001 I +b11110100000110100011101110111001 Z +b10011 3 +b10011 J +b10011 Y +b0 & +b0 C +0( +b11110100000110100011101110111001 , +b11110100000110100011101110111001 H +b10011 + +b10011 G +1. +0% +#1240000 +0_ +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +0>" +05" +07" +b1100 3" +1<" +1` +0^ +b11111 -" +0y +0u +1b +1a +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011010001011000110101000101000 0 +b10011010001011000110101000101000 M +b10011010001011000110101000101000 f +b10011010001011000110101000101000 !" +b11110100000110100011101110111001 &" +b11110100000110100011101110111001 ?" +b10011 %" +b10011 *" +b10011 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1240010 +b11110100000110100011101110111001 V" +#1240500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1241000 +1\ +1[ +0@" +b11111 3" +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1241500 +b1100 5 +b1100 L +b1100 W +14 +b10011 7 +b10011 N +b10011 V +16 +b1100 ' +b1100 D +1) +b10011 & +b10011 C +1( +1. +0% +#1242000 +0i +b1111100011001001101110010010100 { +b1111100011001001101110010010100 A" +b11110100000110100011101110111001 | +b11110100000110100011101110111001 B" +19" +1:" +1;" +0r +0q +17" +b10011 0" +0j +0o +1p +1k +b1100 -" +0u +14" +1v +1/" +1x +1w +1," +b1100 ~ +b1100 )" +b1100 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#1242500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b100001101111110111100100111000 2 +b100001101111110111100100111000 I +b100001101111110111100100111000 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b101 & +b101 C +b100001101111110111100100111000 , +b100001101111110111100100111000 H +b10101 + +b10101 G +1- +1. +0% +#1243000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +0s +0[ +1>" +1@" +09" +0:" +0;" +1r +17" +16" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0p +0k +b11010 -" +04" +0v +1t +b11010010111100101101001001000000 | +b11010010111100101101001001000000 B" +1a +12" +0/" +0x +b100001101111110111100100111000 &" +b100001101111110111100100111000 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b1111100011001001101110010010100 / +b1111100011001001101110010010100 K +b1111100011001001101110010010100 e +b1111100011001001101110010010100 "" +b11110100000110100011101110111001 0 +b11110100000110100011101110111001 M +b11110100000110100011101110111001 f +b11110100000110100011101110111001 !" +0. +1% +#1243010 +b100001101111110111100100111000 X" +#1243500 +b1011 5 +b1011 L +b1011 W +14 +b10111 7 +b10111 N +b10111 V +b100101111011010100111100000011 2 +b100101111011010100111100000011 I +b100101111011010100111100000011 Z +b110 3 +b110 J +b110 Y +b1011 ' +b1011 D +1) +b10111 & +b10111 C +b100101111011010100111100000011 , +b100101111011010100111100000011 H +b110 + +b110 G +1. +0% +#1244000 +1_ +0i +b10110011010001111101001001101101 { +b10110011010001111101001001101101 A" +0u +0\ +1[ +1@" +0h +0g +19" +1;" +0r +b11001 3" +0<" +0` +b10100 0" +1o +1k +b1000 -" +14" +1v +b101100011110111110101110110001 | +b101100011110111110101110110001 B" +1b +0a +1n +1m +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010010111100101101001001000000 0 +b11010010111100101101001001000000 M +b11010010111100101101001001000000 f +b11010010111100101101001001000000 !" +b100101111011010100111100000011 &" +b100101111011010100111100000011 ?" +b110 %" +b110 *" +b110 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b10111 } +b10111 (" +b10111 +" +0. +1% +#1244010 +b100101111011010100111100000011 I" +#1244500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110000100101100000001110101011 2 +b110000100101100000001110101011 I +b110000100101100000001110101011 Z +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110000100101100000001110101011 , +b110000100101100000001110101011 H +1. +0% +#1245000 +1i +1s +1h +1g +09" +0;" +1r +1q +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0w +0," +b110000100101100000001110101011 &" +b110000100101100000001110101011 ?" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10110011010001111101001001101101 / +b10110011010001111101001001101101 K +b10110011010001111101001001101101 e +b10110011010001111101001001101101 "" +b101100011110111110101110110001 0 +b101100011110111110101110110001 M +b101100011110111110101110110001 f +b101100011110111110101110110001 !" +0. +1% +#1245010 +b110000100101100000001110101011 I" +#1245500 +b10111 5 +b10111 L +b10111 W +14 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1246000 +1] +0i +b101100011110111110101110110001 { +b101100011110111110101110110001 A" +0s +b100001101111110111100100111000 | +b100001101111110111100100111000 B" +1\ +0>" +0@" +0h +0g +1:" +1;" +0q +16" +17" +b11111 3" +0^ +0_ +b1000 0" +1j +0k +18" +1l +b1010 -" +1t +0u +14" +1v +0b +02" +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#1246500 +b100 5 +b100 L +b100 W +b11 7 +b11 N +b11 V +b10100101011000000101100111000000 2 +b10100101011000000101100111000000 I +b10100101011000000101100111000000 Z +b10 3 +b10 J +b10 Y +11 +b100 ' +b100 D +b11 & +b11 C +b10100101011000000101100111000000 , +b10100101011000000101100111000000 H +b10 + +b10 G +1- +1. +0% +#1247000 +1k +1u +1s +0\ +1@" +1h +1g +1;" +0r +17" +06" +b11101 3" +1_ +b11011 0" +08" +0l +b10011011101010011000101010001011 { +b10011011101010011000101010001011 A" +b11100 -" +04" +0v +0t +b100011000001000000010111001100 | +b100011000001000000010111001100 B" +1b +12" +0n +0m +1x +b10100101011000000101100111000000 &" +b10100101011000000101100111000000 ?" +b10 %" +b10 *" +b10 1" +1'" +b100 ~ +b100 )" +b100 ." +b11 } +b11 (" +b11 +" +b101100011110111110101110110001 / +b101100011110111110101110110001 K +b101100011110111110101110110001 e +b101100011110111110101110110001 "" +b100001101111110111100100111000 0 +b100001101111110111100100111000 M +b100001101111110111100100111000 f +b100001101111110111100100111000 !" +0. +1% +#1247010 +b10100101011000000101100111000000 E" +#1247500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1248000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +0@" +0:" +0;" +1r +1q +07" +b11111 3" +0_ +b11111 0" +0j +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0/" +0x +0w +0," +b10011011101010011000101010001011 / +b10011011101010011000101010001011 K +b10011011101010011000101010001011 e +b10011011101010011000101010001011 "" +b100011000001000000010111001100 0 +b100011000001000000010111001100 M +b100011000001000000010111001100 f +b100011000001000000010111001100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1248500 +b10011 5 +b10011 L +b10011 W +14 +b11100110010111101011100000111001 2 +b11100110010111101011100000111001 I +b11100110010111101011100000111001 Z +b11000 3 +b11000 J +b11000 Y +11 +b10011 ' +b10011 D +1) +b11100110010111101011100000111001 , +b11100110010111101011100000111001 H +b11000 + +b11000 G +1- +1. +0% +#1249000 +0] +b11110100000110100011101110111001 { +b11110100000110100011101110111001 A" +1=" +1@" +0h +0g +1;" +b111 3" +1c +0_ +1<" +1` +b1100 0" +0k +18" +1l +12" +1n +1m +1/" +b11100110010111101011100000111001 &" +b11100110010111101011100000111001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1249010 +b11100110010111101011100000111001 [" +#1249500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b11011010101010101011010111011000 2 +b11011010101010101011010111011000 I +b11011010101010101011010111011000 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b11011010101010101011010111011000 , +b11011010101010101011010111011000 H +b1011 + +b1011 G +1. +0% +#1250000 +1_ +0s +b11111000011101111010010011101000 | +b11111000011101111010010011101000 B" +0\ +0[ +1@" +1h +1g +0;" +0q +15" +17" +b10100 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10110 -" +1y +1u +1b +1a +0n +0m +0/" +1w +1," +b11110100000110100011101110111001 / +b11110100000110100011101110111001 K +b11110100000110100011101110111001 e +b11110100000110100011101110111001 "" +b11011010101010101011010111011000 &" +b11011010101010101011010111011000 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#1250010 +b11011010101010101011010111011000 N" +#1250500 +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1251000 +16" +1] +0u +1t +1\ +1[ +0=" +0@" +1q +05" +b11111 3" +0c +0_ +b1011 -" +14" +1v +0y +b101000100010000001011011100001 | +b101000100010000001011011100001 B" +0b +0a +02" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 } +b10100 (" +b10100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111000011101111010010011101000 0 +b11111000011101111010010011101000 M +b11111000011101111010010011101000 f +b11111000011101111010010011101000 !" +0. +1% +#1251500 +b10101 5 +b10101 L +b10101 W +14 +b11001 7 +b11001 N +b11001 V +b10101 ' +b10101 D +1) +b11001 & +b11001 C +1. +0% +#1252000 +15" +0i +b100001101111110111100100111000 { +b100001101111110111100100111000 A" +1y +0g +1:" +1;" +0q +06" +b1010 0" +1j +0k +18" +1l +b110 -" +0t +b1110011011101110110100101000001 | +b1110011011101110110100101000001 B" +1m +1/" +1w +b101000100010000001011011100001 0 +b101000100010000001011011100001 M +b101000100010000001011011100001 f +b101000100010000001011011100001 !" +b10101 ~ +b10101 )" +b10101 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#1252500 +b1110 5 +b1110 L +b1110 W +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +b0 & +b0 C +0( +1. +0% +#1253000 +1k +0j +1s +0h +1g +1;" +19" +1q +05" +07" +b10001 0" +08" +0l +1p +b10001001000010010100010010 { +b10001001000010010100010010 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0m +0w +0," +b1110 ~ +b1110 )" +b1110 ." +b0 } +b0 (" +b0 +" +0#" +b100001101111110111100100111000 / +b100001101111110111100100111000 K +b100001101111110111100100111000 e +b100001101111110111100100111000 "" +b1110011011101110110100101000001 0 +b1110011011101110110100101000001 M +b1110011011101110110100101000001 f +b1110011011101110110100101000001 !" +0. +1% +#1253500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +1. +0% +#1254000 +1i +0s +b11110010001011111011100000110101 | +b11110010001011111011100000110101 B" +1h +09" +0:" +0;" +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +0t +0y +1z +0u +14" +1v +0n +0/" +1," +b10001001000010010100010010 / +b10001001000010010100010010 K +b10001001000010010100010010 e +b10001001000010010100010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#1254500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b10100001011010011000100000000 2 +b10100001011010011000100000000 I +b10100001011010011000100000000 Z +b1001 3 +b1001 J +b1001 Y +11 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b10100001011010011000100000000 , +b10100001011010011000100000000 H +b1001 + +b1001 G +1- +1. +0% +#1255000 +0] +0i +b100001101111110111100100111000 { +b100001101111110111100100111000 A" +1s +0[ +1=" +1@" +0g +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10110 3" +1c +1_ +b1010 0" +1j +0k +18" +1l +b11111 -" +0z +04" +0v +1a +12" +1m +1/" +0," +b10100001011010011000100000000 &" +b10100001011010011000100000000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110010001011111011100000110101 0 +b11110010001011111011100000110101 M +b11110010001011111011100000110101 f +b11110010001011111011100000110101 !" +0. +1% +#1255010 +b10100001011010011000100000000 L" +#1255500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1256000 +1] +1i +1[ +0=" +0@" +1g +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0m +0/" +b100001101111110111100100111000 / +b100001101111110111100100111000 K +b100001101111110111100100111000 e +b100001101111110111100100111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1256500 +b10011 7 +b10011 N +b10011 V +16 +b11111100001101100011001100001 2 +b11111100001101100011001100001 I +b11111100001101100011001100001 Z +b10010 3 +b10010 J +b10010 Y +11 +b10011 & +b10011 C +1( +b11111100001101100011001100001 , +b11111100001101100011001100001 H +b10010 + +b10010 G +1- +1. +0% +#1257000 +b11110100000110100011101110111001 | +b11110100000110100011101110111001 B" +0\ +1@" +0r +0q +17" +b1101 3" +0_ +1<" +1` +b1100 -" +0u +14" +1v +1b +12" +1x +1w +1," +b11111100001101100011001100001 &" +b11111100001101100011001100001 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1257010 +b11111100001101100011001100001 U" +#1257500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1110011111001100100101110111100 2 +b1110011111001100100101110111100 I +b1110011111001100100101110111100 Z +b11000 3 +b11000 J +b11000 Y +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b1110011111001100100101110111100 , +b1110011111001100100101110111100 H +b11000 + +b11000 G +1. +0% +#1258000 +0] +0i +b10100001011010011000100000000 { +b10100001011010011000100000000 A" +1\ +1=" +0g +19" +1;" +1r +1q +07" +b111 3" +1c +b10110 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1m +1/" +0x +0w +0," +b11110100000110100011101110111001 0 +b11110100000110100011101110111001 M +b11110100000110100011101110111001 f +b11110100000110100011101110111001 !" +b1110011111001100100101110111100 &" +b1110011111001100100101110111100 ?" +b11000 %" +b11000 *" +b11000 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1258010 +b1110011111001100100101110111100 [" +#1258500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1259000 +1] +1i +0s +b10101001111110111000000100110010 | +b10101001111110111000000100110010 B" +0=" +0@" +1g +09" +0;" +0r +0q +15" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b100 -" +1y +0u +14" +1v +02" +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +b10100001011010011000100000000 / +b10100001011010011000100000000 K +b10100001011010011000100000000 e +b10100001011010011000100000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1259500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1260000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101001111110111000000100110010 0 +b10101001111110111000000100110010 M +b10101001111110111000000100110010 f +b10101001111110111000000100110010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1260500 +1. +0% +#1261000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1261500 +b1 5 +b1 L +b1 W +14 +b111 7 +b111 N +b111 V +16 +b1000101000001101101010111011001 2 +b1000101000001101101010111011001 I +b1000101000001101101010111011001 Z +b11110 3 +b11110 J +b11110 Y +11 +b1 ' +b1 D +1) +b111 & +b111 C +1( +b1000101000001101101010111011001 , +b1000101000001101101010111011001 H +b11110 + +b11110 G +1- +1. +0% +#1262000 +0] +b100001100001000000100111000 { +b100001100001000000100111000 A" +0s +b10110000101110001001101100110110 | +b10110000101110001001101100110110 B" +0\ +1=" +1>" +1@" +0g +1;" +0r +0q +16" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11110 0" +1k +b11000 -" +1t +1u +1b +12" +1m +1/" +1x +1w +1," +b1000101000001101101010111011001 &" +b1000101000001101101010111011001 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#1262010 +b1000101000001101101010111011001 a" +#1262500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1263000 +1] +1s +1\ +0=" +0>" +0@" +1g +0;" +1r +1q +06" +07" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b100001100001000000100111000 / +b100001100001000000100111000 K +b100001100001000000100111000 e +b100001100001000000100111000 "" +b10110000101110001001101100110110 0 +b10110000101110001001101100110110 M +b10110000101110001001101100110110 f +b10110000101110001001101100110110 !" +0. +1% +#1263500 +b11000 5 +b11000 L +b11000 W +14 +b11111 7 +b11111 N +b11111 V +16 +b11000 ' +b11000 D +1) +b11111 & +b11111 C +1( +1. +0% +#1264000 +0i +b1110011111001100100101110111100 { +b1110011111001100100101110111100 A" +0s +b11110111110000110100011011011110 | +b11110111110000110100011011011110 B" +19" +1;" +0r +0q +15" +16" +17" +b111 0" +1o +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000 ~ +b11000 )" +b11000 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#1264500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b11011110100000001111000110110010 2 +b11011110100000001111000110110010 I +b11011110100000001111000110110010 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b11010 & +b11010 C +b11011110100000001111000110110010 , +b11011110100000001111000110110010 H +b10010 + +b10010 G +1- +1. +0% +#1265000 +1i +1y +0\ +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +15" +06" +b1101 3" +0_ +1<" +1` +b11111 0" +0o +08" +0l +b101 -" +0z +b1110011001110101100001100000100 | +b1110011001110101100001100000100 B" +1b +12" +0/" +0w +b11011110100000001111000110110010 &" +b11011110100000001111000110110010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +b1110011111001100100101110111100 / +b1110011111001100100101110111100 K +b1110011111001100100101110111100 e +b1110011111001100100101110111100 "" +b11110111110000110100011011011110 0 +b11110111110000110100011011011110 M +b11110111110000110100011011011110 f +b11110111110000110100011011011110 !" +0. +1% +#1265010 +b11011110100000001111000110110010 U" +#1265500 +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1266000 +1u +1\ +0@" +0q +17" +b11111 3" +0<" +0` +b10100 -" +04" +0v +b11011010101010101011010111011000 | +b11011010101010101011010111011000 B" +0b +02" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110011001110101100001100000100 0 +b1110011001110101100001100000100 M +b1110011001110101100001100000100 f +b1110011001110101100001100000100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 } +b1011 (" +b1011 +" +0. +1% +#1266500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b11111000111010001010010001010111 2 +b11111000111010001010010001010111 I +b11111000111010001010010001010111 Z +b11000 3 +b11000 J +b11000 Y +11 +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +b11111000111010001010010001010111 , +b11111000111010001010010001010111 H +b11000 + +b11000 G +1- +1. +0% +#1267000 +0] +0i +b10101001111110111000000100110010 { +b10101001111110111000000100110010 A" +1s +1=" +1@" +0h +0g +19" +1;" +1r +1q +05" +07" +b111 3" +1c +0_ +1<" +1` +b100 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +1m +1/" +0x +0w +0," +b11111000111010001010010001010111 &" +b11111000111010001010010001010111 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11011010101010101011010111011000 0 +b11011010101010101011010111011000 M +b11011010101010101011010111011000 f +b11011010101010101011010111011000 !" +0. +1% +#1267010 +b11111000111010001010010001010111 [" +#1267500 +b0 5 +b0 L +b0 W +04 +b10100001000010000000101010110010 2 +b10100001000010000000101010110010 I +b10100001000010000000101010110010 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b10100001000010000000101010110010 , +b10100001000010000000101010110010 H +b0 + +b0 G +1. +0% +#1268000 +1_ +1] +1i +1@" +0=" +1h +1g +09" +0;" +b11111 3" +0<" +0` +0c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b10101001111110111000000100110010 / +b10101001111110111000000100110010 K +b10101001111110111000000100110010 e +b10101001111110111000000100110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100001000010000000101010110010 &" +b10100001000010000000101010110010 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1268010 +b10100001000010000000101010110010 C" +#1268500 +b11110001111001111010010110010110 2 +b11110001111001111010010110010110 I +b11110001111001111010010110010110 Z +b10001 3 +b10001 J +b10001 Y +b11110001111001111010010110010110 , +b11110001111001111010010110010110 H +b10001 + +b10001 G +1. +0% +#1269000 +0_ +0[ +b1110 3" +1<" +1` +1a +b11110001111001111010010110010110 &" +b11110001111001111010010110010110 ?" +b10001 %" +b10001 *" +b10001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1269010 +b11110001111001111010010110010110 T" +#1269500 +b10100010001000010001101001010100 2 +b10100010001000010001101001010100 I +b10100010001000010001101001010100 Z +b1001 3 +b1001 J +b1001 Y +b10100010001000010001101001010100 , +b10100010001000010001101001010100 H +b1001 + +b1001 G +1. +0% +#1270000 +1_ +0] +1@" +1=" +b10110 3" +0<" +0` +1c +b10100010001000010001101001010100 &" +b10100010001000010001101001010100 ?" +b1001 %" +b1001 *" +b1001 1" +0. +1% +#1270010 +b10100010001000010001101001010100 L" +#1270500 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1271000 +1] +0s +b10101100011111001101011000011110 | +b10101100011111001101011000011110 B" +1[ +0=" +0@" +0q +15" +16" +17" +b11111 3" +0c +0_ +b10 -" +0t +0y +1z +0u +14" +1v +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#1271500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1272000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b10101100011111001101011000011110 0 +b10101100011111001101011000011110 M +b10101100011111001101011000011110 f +b10101100011111001101011000011110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1272500 +b10 7 +b10 N +b10 V +16 +b10 & +b10 C +1( +1. +0% +#1273000 +b10100101011000000101100111000000 | +b10100101011000000101100111000000 B" +0r +17" +b11101 -" +1u +1x +1," +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1273500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +1. +0% +#1274000 +0i +b1000101000001101101010111011001 { +b1000101000001101101010111011001 A" +0h +19" +1:" +1;" +1r +07" +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0," +b10100101011000000101100111000000 0 +b10100101011000000101100111000000 M +b10100101011000000101100111000000 f +b10100101011000000101100111000000 !" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1274500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b11111100101011010100011000110010 2 +b11111100101011010100011000110010 I +b11111100101011010100011000110010 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b11111100101011010100011000110010 , +b11111100101011010100011000110010 H +b1010 + +b1010 G +1- +1. +0% +#1275000 +0] +1i +b11110001111001111010010110010110 | +b11110001111001111010010110010110 B" +0\ +1=" +1@" +1h +09" +0:" +0;" +0q +17" +b10101 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +1b +12" +0n +0/" +1w +1," +b11111100101011010100011000110010 &" +b11111100101011010100011000110010 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b1000101000001101101010111011001 / +b1000101000001101101010111011001 K +b1000101000001101101010111011001 e +b1000101000001101101010111011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1275010 +b11111100101011010100011000110010 M" +#1275500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1276000 +1] +1\ +0=" +0@" +1q +07" +b11111 3" +0c +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110001111001111010010110010110 0 +b11110001111001111010010110010110 M +b11110001111001111010010110010110 f +b11110001111001111010010110010110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1276500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#1277000 +b11110100000110100011101110111001 | +b11110100000110100011101110111001 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1277500 +b1111 7 +b1111 N +b1111 V +b110000000100001100001001001 2 +b110000000100001100001001001 I +b110000000100001100001001001 Z +b11011 3 +b11011 J +b11011 Y +11 +b1111 & +b1111 C +b110000000100001100001001001 , +b110000000100001100001001001 H +b11011 + +b11011 G +1- +1. +0% +#1278000 +0] +1u +0s +0\ +0[ +1=" +1@" +17" +b1101001001110110011111010000101 | +b1101001001110110011111010000101 B" +15" +16" +b100 3" +1c +0_ +1<" +1` +b10000 -" +04" +0v +0t +0y +1z +1b +1a +12" +b11110100000110100011101110111001 0 +b11110100000110100011101110111001 M +b11110100000110100011101110111001 f +b11110100000110100011101110111001 !" +b110000000100001100001001001 &" +b110000000100001100001001001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1111 } +b1111 (" +b1111 +" +0. +1% +#1278010 +b110000000100001100001001001 ^" +#1278500 +b0 7 +b0 N +b0 V +06 +b10010010001110010011011000000000 2 +b10010010001110010011011000000000 I +b10010010001110010011011000000000 Z +b10110 3 +b10110 J +b10110 Y +b0 & +b0 C +0( +b10010010001110010011011000000000 , +b10010010001110010011011000000000 H +b10110 + +b10110 G +1. +0% +#1279000 +1>" +1^ +1s +1[ +0=" +1r +1q +05" +06" +07" +b1001 3" +0c +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0x +0w +0," +b10010010001110010011011000000000 &" +b10010010001110010011011000000000 ?" +b10110 %" +b10110 *" +b10110 1" +b0 } +b0 (" +b0 +" +0#" +b1101001001110110011111010000101 0 +b1101001001110110011111010000101 M +b1101001001110110011111010000101 f +b1101001001110110011111010000101 !" +0. +1% +#1279010 +b10010010001110010011011000000000 Y" +#1279500 +b11111 5 +b11111 L +b11111 W +14 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1280000 +1] +0i +b11110111110000110100011011011110 { +b11110111110000110100011011011110 A" +0s +b10011011101010011000101010001011 | +b10011011101010011000101010001011 B" +1\ +0>" +0@" +0h +0g +19" +1:" +1;" +16" +17" +b11111 3" +0^ +0<" +0` +b0 0" +0j +0o +1p +0k +18" +1l +b11011 -" +1t +1u +0b +02" +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#1280500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1281000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +1g +09" +0:" +0;" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +0n +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110111110000110100011011011110 / +b11110111110000110100011011011110 K +b11110111110000110100011011011110 e +b11110111110000110100011011011110 "" +b10011011101010011000101010001011 0 +b10011011101010011000101010001011 M +b10011011101010011000101010001011 f +b10011011101010011000101010001011 !" +0. +1% +#1281500 +b11010100100110100111010101101100 2 +b11010100100110100111010101101100 I +b11010100100110100111010101101100 Z +b11111 3 +b11111 J +b11111 Y +11 +b11010100100110100111010101101100 , +b11010100100110100111010101101100 H +b11111 + +b11111 G +1- +1. +0% +#1282000 +0] +0\ +0[ +1=" +1>" +1@" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010100100110100111010101101100 &" +b11010100100110100111010101101100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +0. +1% +#1282500 +b10101 7 +b10101 N +b10101 V +16 +b1001011111111001011100011001000 2 +b1001011111111001011100011001000 I +b1001011111111001011100011001000 Z +b11000 3 +b11000 J +b11000 Y +b10101 & +b10101 C +1( +b1001011111111001011100011001000 , +b1001011111111001011100011001000 H +b11000 + +b11000 G +1. +0% +#1283000 +1c +0s +b100001101111110111100100111000 | +b100001101111110111100100111000 B" +1\ +1[ +1=" +0>" +0q +16" +17" +b111 3" +0d +b1010 -" +1t +0u +14" +1v +0b +0a +1w +1," +b1001011111111001011100011001000 &" +b1001011111111001011100011001000 ?" +b11000 %" +b11000 *" +b11000 1" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#1283010 +b1001011111111001011100011001000 [" +#1283500 +b0 7 +b0 N +b0 V +06 +b10000101010011010011101000101011 2 +b10000101010011010011101000101011 I +b10000101010011010011101000101011 Z +b1000 3 +b1000 J +b1000 Y +b0 & +b0 C +0( +b10000101010011010011101000101011 , +b10000101010011010011101000101011 H +b1000 + +b1000 G +1. +0% +#1284000 +1_ +1s +1@" +1q +06" +07" +b10111 3" +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b100001101111110111100100111000 0 +b100001101111110111100100111000 M +b100001101111110111100100111000 f +b100001101111110111100100111000 !" +b10000101010011010011101000101011 &" +b10000101010011010011101000101011 ?" +b1000 %" +b1000 *" +b1000 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1284010 +b10000101010011010011101000101011 K" +#1284500 +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1285000 +1] +b10100001000010000000101010110010 { +b10100001000010000000101010110010 A" +0=" +0@" +1;" +b11111 3" +0c +0_ +1k +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1285500 +04 +0) +1. +0% +#1286000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +b10100001000010000000101010110010 / +b10100001000010000000101010110010 K +b10100001000010000000101010110010 e +b10100001000010000000101010110010 "" +0$" +0. +1% +#1286500 +b10010100011110100111011010111001 2 +b10010100011110100111011010111001 I +b10010100011110100111011010111001 Z +b110 3 +b110 J +b110 Y +11 +b10010100011110100111011010111001 , +b10010100011110100111011010111001 H +b110 + +b110 G +1- +1. +0% +#1287000 +0] +0\ +1>" +1@" +b11001 3" +1^ +1_ +1b +12" +b10010100011110100111011010111001 &" +b10010100011110100111011010111001 ?" +b110 %" +b110 *" +b110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1287010 +b10010100011110100111011010111001 I" +#1287500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1288000 +1] +b10100101011000000101100111000000 | +b10100101011000000101100111000000 B" +1\ +0>" +0@" +0r +17" +b11111 3" +0^ +0_ +b11101 -" +1u +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#1288500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +1. +0% +#1289000 +0i +b11100100101011001011011101000101 { +b11100100101011001011011101000101 A" +0g +19" +1:" +1;" +1r +07" +b10010 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0," +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10100101011000000101100111000000 0 +b10100101011000000101100111000000 M +b10100101011000000101100111000000 f +b10100101011000000101100111000000 !" +0. +1% +#1289500 +b10100 5 +b10100 L +b10100 W +b11000010100010110110111011010110 2 +b11000010100010110110111011010110 I +b11000010100010110110111011010110 Z +b101 3 +b101 J +b101 Y +11 +b10100 ' +b10100 D +b11000010100010110110111011010110 , +b11000010100010110110111011010110 H +b101 + +b101 G +1- +1. +0% +#1290000 +0] +0k +1j +0[ +1>" +1@" +1g +09" +1:" +b11010 3" +1^ +1_ +b1011 0" +18" +1l +0p +b101000100010000001011011100001 { +b101000100010000001011011100001 A" +1a +12" +0m +b11100100101011001011011101000101 / +b11100100101011001011011101000101 K +b11100100101011001011011101000101 e +b11100100101011001011011101000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000010100010110110111011010110 &" +b11000010100010110110111011010110 ?" +b101 %" +b101 *" +b101 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +0. +1% +#1290010 +b11000010100010110110111011010110 H" +#1290500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b1010110100000110111111011000110 2 +b1010110100000110111111011000110 I +b1010110100000110111111011000110 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b11 & +b11 C +1( +b1010110100000110111111011000110 , +b1010110100000110111111011000110 H +b11110 + +b11110 G +1. +0% +#1291000 +0_ +0^ +1i +b100011000001000000010111001100 | +b100011000001000000010111001100 B" +0\ +1[ +1=" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +17" +b1 3" +1<" +1` +1d +b11111 0" +0j +08" +0l +b11100 -" +1u +1b +0a +0/" +1x +1w +1," +b1010110100000110111111011000110 &" +b1010110100000110111111011000110 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +b101000100010000001011011100001 / +b101000100010000001011011100001 K +b101000100010000001011011100001 e +b101000100010000001011011100001 "" +0. +1% +#1291010 +b1010110100000110111111011000110 a" +#1291500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1292000 +1] +1\ +0=" +0>" +0@" +1r +1q +07" +b11111 3" +0d +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100011000001000000010111001100 0 +b100011000001000000010111001100 M +b100011000001000000010111001100 f +b100011000001000000010111001100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1292500 +b1011 7 +b1011 N +b1011 V +16 +b1011 & +b1011 C +1( +1. +0% +#1293000 +0s +b11011010101010101011010111011000 | +b11011010101010101011010111011000 B" +0r +0q +15" +17" +b10100 -" +1y +1u +1x +1w +1," +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1293500 +b10110 7 +b10110 N +b10110 V +b10110 & +b10110 C +1. +0% +#1294000 +16" +0u +1t +1q +05" +b1001 -" +14" +1v +0y +b10010010001110010011011000000000 | +b10010010001110010011011000000000 B" +0w +b11011010101010101011010111011000 0 +b11011010101010101011010111011000 M +b11011010101010101011010111011000 f +b11011010101010101011010111011000 !" +b10110 } +b10110 (" +b10110 +" +0. +1% +#1294500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1295000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b10010010001110010011011000000000 0 +b10010010001110010011011000000000 M +b10010010001110010011011000000000 f +b10010010001110010011011000000000 !" +0. +1% +#1295500 +b11011001001010100101110111101010 2 +b11011001001010100101110111101010 I +b11011001001010100101110111101010 Z +b11111 3 +b11111 J +b11111 Y +11 +b11011001001010100101110111101010 , +b11011001001010100101110111101010 H +b11111 + +b11111 G +1- +1. +0% +#1296000 +0] +0\ +0[ +1=" +1>" +1@" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011001001010100101110111101010 &" +b11011001001010100101110111101010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +0. +1% +#1296500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1297000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1297500 +b10100011101110000011110100001111 2 +b10100011101110000011110100001111 I +b10100011101110000011110100001111 Z +b10101 3 +b10101 J +b10101 Y +11 +b10100011101110000011110100001111 , +b10100011101110000011110100001111 H +b10101 + +b10101 G +1- +1. +0% +#1298000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b10100011101110000011110100001111 &" +b10100011101110000011110100001111 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +0. +1% +#1298010 +b10100011101110000011110100001111 X" +#1298500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1299000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1299500 +b1011 5 +b1011 L +b1011 W +14 +b11000 7 +b11000 N +b11000 V +16 +b1011 ' +b1011 D +1) +b11000 & +b11000 C +1( +1. +0% +#1300000 +0i +b11011010101010101011010111011000 { +b11011010101010101011010111011000 A" +0s +b1001011111111001011100011001000 | +b1001011111111001011100011001000 B" +0h +0g +19" +1;" +15" +17" +b10100 0" +1o +1k +b111 -" +1y +0u +14" +1v +1n +1m +1/" +1," +b1011 ~ +b1011 )" +b1011 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#1300500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1301000 +1i +1s +1h +1g +09" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +0n +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011010101010101011010111011000 / +b11011010101010101011010111011000 K +b11011010101010101011010111011000 e +b11011010101010101011010111011000 "" +b1001011111111001011100011001000 0 +b1001011111111001011100011001000 M +b1001011111111001011100011001000 f +b1001011111111001011100011001000 !" +0. +1% +#1301500 +1. +0% +#1302000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1302500 +1. +0% +#1303000 +0. +1% +#1303500 +1. +0% +#1304000 +0. +1% +#1304500 +1. +0% +#1305000 +0. +1% +#1305500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#1306000 +0i +b10000101010011010011101000101011 { +b10000101010011010011101000101011 A" +19" +1;" +b10111 0" +1o +1k +1/" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#1306500 +b10110 5 +b10110 L +b10110 W +b1101 7 +b1101 N +b1101 V +16 +b10110 ' +b10110 D +b1101 & +b1101 C +1( +1. +0% +#1307000 +1:" +0k +1j +0s +b11100100101011001011011101000101 | +b11100100101011001011011101000101 B" +0h +09" +0q +15" +16" +17" +b1001 0" +18" +1l +0o +b10010010001110010011011000000000 { +b10010010001110010011011000000000 A" +b10010 -" +0t +0y +1z +1u +1n +1w +1," +b10110 ~ +b10110 )" +b10110 ." +b1101 } +b1101 (" +b1101 +" +1#" +b10000101010011010011101000101011 / +b10000101010011010011101000101011 K +b10000101010011010011101000101011 e +b10000101010011010011101000101011 "" +0. +1% +#1307500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b11010000100001000000010011100011 2 +b11010000100001000000010011100011 I +b11010000100001000000010011100011 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b1100 & +b1100 C +b11010000100001000000010011100011 , +b11010000100001000000010011100011 H +b1 + +b1 G +1- +1. +0% +#1308000 +1i +0[ +1@" +1h +0:" +0;" +1q +b11110 3" +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +b1111100011001001101110010010100 | +b1111100011001001101110010010100 B" +1a +12" +0n +0/" +0w +b10010010001110010011011000000000 / +b10010010001110010011011000000000 K +b10010010001110010011011000000000 e +b10010010001110010011011000000000 "" +b11100100101011001011011101000101 0 +b11100100101011001011011101000101 M +b11100100101011001011011101000101 f +b11100100101011001011011101000101 !" +b11010000100001000000010011100011 &" +b11010000100001000000010011100011 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#1308010 +b11010000100001000000010011100011 D" +#1308500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1309000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0@" +05" +06" +07" +b11111 3" +0_ +b11111 -" +0z +0u +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111100011001001101110010010100 0 +b1111100011001001101110010010100 M +b1111100011001001101110010010100 f +b1111100011001001101110010010100 !" +0. +1% +#1309500 +1. +0% +#1310000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1310500 +1. +0% +#1311000 +0. +1% +#1311500 +b1 7 +b1 N +b1 V +16 +b10010000000001100100001011110100 2 +b10010000000001100100001011110100 I +b10010000000001100100001011110100 Z +b1000 3 +b1000 J +b1000 Y +11 +b1 & +b1 C +1( +b10010000000001100100001011110100 , +b10010000000001100100001011110100 H +b1000 + +b1000 G +1- +1. +0% +#1312000 +0] +b11010000100001000000010011100011 | +b11010000100001000000010011100011 B" +1=" +1@" +0q +17" +b10111 3" +1c +1_ +b11110 -" +1u +12" +1w +1," +b10010000000001100100001011110100 &" +b10010000000001100100001011110100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#1312010 +b10010000000001100100001011110100 K" +#1312500 +b1001 7 +b1001 N +b1001 V +b111001000000110100111110010110 2 +b111001000000110100111110010110 I +b111001000000110100111110010110 Z +b10101 3 +b10101 J +b10101 Y +b1001 & +b1001 C +b111001000000110100111110010110 , +b111001000000110100111110010110 H +b10101 + +b10101 G +1. +0% +#1313000 +1>" +0_ +1^ +0s +b10100010001000010001101001010100 | +b10100010001000010001101001010100 B" +0[ +0=" +15" +b1010 3" +1<" +1` +0c +b10110 -" +1y +1a +b111001000000110100111110010110 &" +b111001000000110100111110010110 ?" +b10101 %" +b10101 *" +b10101 1" +b1001 } +b1001 (" +b1001 +" +b11010000100001000000010011100011 0 +b11010000100001000000010011100011 M +b11010000100001000000010011100011 f +b11010000100001000000010011100011 !" +0. +1% +#1313010 +b111001000000110100111110010110 X" +#1313500 +b11011 7 +b11011 N +b11011 V +b1111000001110110001110000111101 2 +b1111000001110110001110000111101 I +b1111000001110110001110000111101 Z +b111 3 +b111 J +b111 Y +b11011 & +b11011 C +b1111000001110110001110000111101 , +b1111000001110110001110000111101 H +b111 + +b111 G +1. +0% +#1314000 +1_ +0u +0\ +1@" +0r +b11000 3" +0<" +0` +b100 -" +14" +1v +b110000000100001100001001001 | +b110000000100001100001001001 B" +1b +1x +b10100010001000010001101001010100 0 +b10100010001000010001101001010100 M +b10100010001000010001101001010100 f +b10100010001000010001101001010100 !" +b1111000001110110001110000111101 &" +b1111000001110110001110000111101 ?" +b111 %" +b111 *" +b111 1" +b11011 } +b11011 (" +b11011 +" +0. +1% +#1314010 +b1111000001110110001110000111101 J" +#1314500 +b11010 5 +b11010 L +b11010 W +14 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1315000 +1] +0i +b1110011001110101100001100000100 { +b1110011001110101100001100000100 A" +1u +1\ +1[ +0>" +0@" +0h +19" +1;" +1r +17" +b11111 3" +0^ +0_ +b101 0" +1o +0k +18" +1l +b10110 -" +04" +0v +b10100010001000010001101001010100 | +b10100010001000010001101001010100 B" +0b +0a +02" +1n +1/" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b1001 } +b1001 (" +b1001 +" +b110000000100001100001001001 0 +b110000000100001100001001001 M +b110000000100001100001001001 f +b110000000100001100001001001 !" +0. +1% +#1315500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11100111111100101011001101110011 2 +b11100111111100101011001101110011 I +b11100111111100101011001101110011 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11100111111100101011001101110011 , +b11100111111100101011001101110011 H +b1001 + +b1001 G +1- +1. +0% +#1316000 +0] +1i +1s +0[ +1=" +1@" +1h +09" +0;" +1q +05" +07" +b10110 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0n +0/" +0w +0," +b1110011001110101100001100000100 / +b1110011001110101100001100000100 K +b1110011001110101100001100000100 e +b1110011001110101100001100000100 "" +b10100010001000010001101001010100 0 +b10100010001000010001101001010100 M +b10100010001000010001101001010100 f +b10100010001000010001101001010100 !" +b11100111111100101011001101110011 &" +b11100111111100101011001101110011 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1316010 +b11100111111100101011001101110011 L" +#1316500 +b11001 5 +b11001 L +b11001 W +14 +b1111 7 +b1111 N +b1111 V +16 +b11110000010110001101010100000010 2 +b11110000010110001101010100000010 I +b11110000010110001101010100000010 Z +b10000 3 +b10000 J +b10000 Y +b11001 ' +b11001 D +1) +b1111 & +b1111 C +1( +b11110000010110001101010100000010 , +b11110000010110001101010100000010 H +b10000 + +b10000 G +1. +0% +#1317000 +0_ +1] +0i +b1110011011101110110100101000001 { +b1110011011101110110100101000001 A" +0s +b1101001001110110011111010000101 | +b1101001001110110011111010000101 B" +1[ +0=" +0g +19" +1;" +0r +0q +15" +16" +17" +b1111 3" +1<" +1` +0c +b110 0" +1o +0k +18" +1l +b10000 -" +0t +0y +1z +1u +0a +1m +1/" +1x +1w +1," +b11110000010110001101010100000010 &" +b11110000010110001101010100000010 ?" +b10000 %" +b10000 *" +b10000 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1317010 +b11110000010110001101010100000010 S" +#1317500 +b100 5 +b100 L +b100 W +b10011 7 +b10011 N +b10011 V +b1100000111111000100111101100100 2 +b1100000111111000100111101100100 I +b1100000111111000100111101100100 Z +b1101 3 +b1101 J +b1101 Y +b100 ' +b100 D +b10011 & +b10011 C +b1100000111111000100111101100100 , +b1100000111111000100111101100100 H +b1101 + +b1101 G +1. +0% +#1318000 +1:" +1_ +0] +1k +1j +0u +1s +0[ +1@" +1=" +1>" +1g +1;" +09" +b11110100000110100011101110111001 | +b11110100000110100011101110111001 B" +05" +06" +b10010 3" +0<" +0` +0^ +0c +1d +b11011 0" +08" +0l +0o +b10011011101010011000101010001011 { +b10011011101010011000101010001011 A" +b1100 -" +14" +1v +0z +1a +0m +b1110011011101110110100101000001 / +b1110011011101110110100101000001 K +b1110011011101110110100101000001 e +b1110011011101110110100101000001 "" +b1101001001110110011111010000101 0 +b1101001001110110011111010000101 M +b1101001001110110011111010000101 f +b1101001001110110011111010000101 !" +b1100000111111000100111101100100 &" +b1100000111111000100111101100100 ?" +b1101 %" +b1101 *" +b1101 1" +b100 ~ +b100 )" +b100 ." +b10011 } +b10011 (" +b10011 +" +0. +1% +#1318010 +b1100000111111000100111101100100 P" +#1318500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1319000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1[ +0=" +0>" +0@" +0:" +0;" +1r +1q +07" +b11111 3" +0d +0_ +b11111 0" +0j +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011011101010011000101010001011 / +b10011011101010011000101010001011 K +b10011011101010011000101010001011 e +b10011011101010011000101010001011 "" +b11110100000110100011101110111001 0 +b11110100000110100011101110111001 M +b11110100000110100011101110111001 f +b11110100000110100011101110111001 !" +0. +1% +#1319500 +1. +0% +#1320000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1320500 +b10000110101011001010100111000001 2 +b10000110101011001010100111000001 I +b10000110101011001010100111000001 Z +b11001 3 +b11001 J +b11001 Y +11 +b10000110101011001010100111000001 , +b10000110101011001010100111000001 H +b11001 + +b11001 G +1- +1. +0% +#1321000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b10000110101011001010100111000001 &" +b10000110101011001010100111000001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +0. +1% +#1321010 +b10000110101011001010100111000001 \" +#1321500 +b11000 5 +b11000 L +b11000 W +14 +b10111010101111001010110000110000 2 +b10111010101111001010110000110000 I +b10111010101111001010110000110000 Z +b0 3 +b0 J +b0 Y +b11000 ' +b11000 D +1) +b10111010101111001010110000110000 , +b10111010101111001010110000110000 H +b0 + +b0 G +1. +0% +#1322000 +1_ +1] +0i +b1001011111111001011100011001000 { +b1001011111111001011100011001000 A" +1[ +1@" +0=" +19" +1;" +b11111 3" +0<" +0` +0c +b111 0" +1o +0k +18" +1l +0a +1/" +b10111010101111001010110000110000 &" +b10111010101111001010110000110000 ?" +b0 %" +b0 *" +b0 1" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#1322010 +b10111010101111001010110000110000 C" +#1322500 +b1 5 +b1 L +b1 W +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +01 +b1 ' +b1 D +b11111 & +b11111 C +1( +b0 , +b0 H +0- +1. +0% +#1323000 +1k +1i +0s +b11011001001010100101110111101010 | +b11011001001010100101110111101010 B" +0@" +0g +1;" +09" +0r +0q +15" +16" +17" +0_ +b11110 0" +08" +0l +0o +b11010000100001000000010011100011 { +b11010000100001000000010011100011 A" +b0 -" +0t +0y +1z +0u +14" +1v +02" +1m +1x +1w +1," +b0 &" +b0 ?" +0'" +b1 ~ +b1 )" +b1 ." +b11111 } +b11111 (" +b11111 +" +1#" +b1001011111111001011100011001000 / +b1001011111111001011100011001000 K +b1001011111111001011100011001000 e +b1001011111111001011100011001000 "" +0. +1% +#1323500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11101011011001001110101000100110 2 +b11101011011001001110101000100110 I +b11101011011001001110101000100110 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11101011011001001110101000100110 , +b11101011011001001110101000100110 H +b10100 + +b10100 G +1- +1. +0% +#1324000 +0] +1s +1>" +1@" +1g +0;" +1r +1q +05" +06" +07" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0m +0/" +0x +0w +0," +b11010000100001000000010011100011 / +b11010000100001000000010011100011 K +b11010000100001000000010011100011 e +b11010000100001000000010011100011 "" +b11011001001010100101110111101010 0 +b11011001001010100101110111101010 M +b11011001001010100101110111101010 f +b11011001001010100101110111101010 !" +b11101011011001001110101000100110 &" +b11101011011001001110101000100110 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1324010 +b11101011011001001110101000100110 W" +#1324500 +b1111101111101100100010000000001 2 +b1111101111101100100010000000001 I +b1111101111101100100010000000001 Z +b1001 3 +b1001 J +b1001 Y +b1111101111101100100010000000001 , +b1111101111101100100010000000001 H +b1001 + +b1001 G +1. +0% +#1325000 +1=" +1_ +1c +0[ +1@" +0>" +b10110 3" +0<" +0` +0^ +1a +b1111101111101100100010000000001 &" +b1111101111101100100010000000001 ?" +b1001 %" +b1001 *" +b1001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1325010 +b1111101111101100100010000000001 L" +#1325500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1326000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1326500 +1. +0% +#1327000 +0. +1% +#1327500 +b10111110100011100111010001001000 2 +b10111110100011100111010001001000 I +b10111110100011100111010001001000 Z +b10110 3 +b10110 J +b10110 Y +11 +b10111110100011100111010001001000 , +b10111110100011100111010001001000 H +b10110 + +b10110 G +1- +1. +0% +#1328000 +0] +0\ +1>" +1@" +b1001 3" +1^ +0_ +1<" +1` +1b +12" +b10111110100011100111010001001000 &" +b10111110100011100111010001001000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +0. +1% +#1328010 +b10111110100011100111010001001000 Y" +#1328500 +b1101 5 +b1101 L +b1101 W +14 +b1100011101101111000111000100 2 +b1100011101101111000111000100 I +b1100011101101111000111000100 Z +b11001 3 +b11001 J +b11001 Y +b1101 ' +b1101 D +1) +b1100011101101111000111000100 , +b1100011101101111000111000100 H +b11001 + +b11001 G +1. +0% +#1329000 +1=" +1c +0i +b1100000111111000100111101100100 { +b1100000111111000100111101100100 A" +1\ +0[ +0>" +0g +19" +1:" +1;" +b110 3" +0^ +b10010 0" +0j +0o +1p +1k +0b +1a +1m +1/" +b1100011101101111000111000100 &" +b1100011101101111000111000100 ?" +b11001 %" +b11001 *" +b11001 1" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#1329010 +b1100011101101111000111000100 \" +#1329500 +b11011 5 +b11011 L +b11011 W +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1330000 +1] +0k +1o +0s +b10101100011111001101011000011110 | +b10101100011111001101011000011110 B" +1[ +0=" +0@" +0h +19" +0:" +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b100 0" +18" +1l +0p +b110000000100001100001001001 { +b110000000100001100001001001 A" +b10 -" +0t +0y +1z +0u +14" +1v +0a +02" +1n +1w +1," +b1100000111111000100111101100100 / +b1100000111111000100111101100100 K +b1100000111111000100111101100100 e +b1100000111111000100111101100100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#1330500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1331000 +1i +1s +1h +1g +09" +0;" +1q +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110000000100001100001001001 / +b110000000100001100001001001 K +b110000000100001100001001001 e +b110000000100001100001001001 "" +b10101100011111001101011000011110 0 +b10101100011111001101011000011110 M +b10101100011111001101011000011110 f +b10101100011111001101011000011110 !" +0. +1% +#1331500 +b1100 5 +b1100 L +b1100 W +14 +b11010 7 +b11010 N +b11010 V +16 +b10111110000110101011001010111111 2 +b10111110000110101011001010111111 I +b10111110000110101011001010111111 Z +b1000 3 +b1000 J +b1000 Y +11 +b1100 ' +b1100 D +1) +b11010 & +b11010 C +1( +b10111110000110101011001010111111 , +b10111110000110101011001010111111 H +b1000 + +b1000 G +1- +1. +0% +#1332000 +0] +0i +b1111100011001001101110010010100 { +b1111100011001001101110010010100 A" +0s +b1110011001110101100001100000100 | +b1110011001110101100001100000100 B" +1=" +1@" +19" +1:" +1;" +0r +15" +17" +b10111 3" +1c +1_ +b10011 0" +0j +0o +1p +1k +b101 -" +1y +0u +14" +1v +12" +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111110000110101011001010111111 &" +b10111110000110101011001010111111 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#1332010 +b10111110000110101011001010111111 K" +#1332500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b10010011011011001100010110011 2 +b10010011011011001100010110011 I +b10010011011011001100010110011 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b1101 & +b1101 C +b10010011011011001100010110011 , +b10010011011011001100010110011 H +b1110 + +b1110 G +1. +0% +#1333000 +0c +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +0y +0\ +1>" +09" +0:" +0;" +1r +0q +17" +16" +b10001 3" +1d +b11111 0" +0p +0k +b10010 -" +04" +0v +1z +b1100000111111000100111101100100 | +b1100000111111000100111101100100 B" +1b +0/" +0x +1w +b10010011011011001100010110011 &" +b10010011011011001100010110011 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +b1111100011001001101110010010100 / +b1111100011001001101110010010100 K +b1111100011001001101110010010100 e +b1111100011001001101110010010100 "" +b1110011001110101100001100000100 0 +b1110011001110101100001100000100 M +b1110011001110101100001100000100 f +b1110011001110101100001100000100 !" +0. +1% +#1333010 +b10010011011011001100010110011 Q" +#1333500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1334000 +1] +1s +1\ +0=" +0>" +0@" +1q +05" +06" +07" +b11111 3" +0d +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100000111111000100111101100100 0 +b1100000111111000100111101100100 M +b1100000111111000100111101100100 f +b1100000111111000100111101100100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1334500 +b11111 5 +b11111 L +b11111 W +14 +b1111001111011111100111111100011 2 +b1111001111011111100111111100011 I +b1111001111011111100111111100011 Z +b110 3 +b110 J +b110 Y +11 +b11111 ' +b11111 D +1) +b1111001111011111100111111100011 , +b1111001111011111100111111100011 H +b110 + +b110 G +1- +1. +0% +#1335000 +0] +0i +b11011001001010100101110111101010 { +b11011001001010100101110111101010 A" +0\ +1>" +1@" +0h +0g +19" +1:" +1;" +b11001 3" +1^ +1_ +b0 0" +0j +0o +1p +0k +18" +1l +1b +12" +1n +1m +1/" +b1111001111011111100111111100011 &" +b1111001111011111100111111100011 ?" +b110 %" +b110 *" +b110 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1335010 +b1111001111011111100111111100011 I" +#1335500 +b110 5 +b110 L +b110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1336000 +1] +1k +1j +1\ +0>" +0@" +1g +1;" +09" +1:" +b11111 3" +0^ +0_ +b11001 0" +08" +0l +0p +b1111001111011111100111111100011 { +b1111001111011111100111111100011 A" +0b +02" +0m +b11011001001010100101110111101010 / +b11011001001010100101110111101010 K +b11011001001010100101110111101010 e +b11011001001010100101110111101010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +0. +1% +#1336500 +b0 5 +b0 L +b0 W +04 +b1010111011010000000111111011011 2 +b1010111011010000000111111011011 I +b1010111011010000000111111011011 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b1010111011010000000111111011011 , +b1010111011010000000111111011011 H +b1111 + +b1111 G +1- +1. +0% +#1337000 +0] +1i +0\ +0[ +1=" +1>" +1@" +1h +0:" +0;" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0/" +b1010111011010000000111111011011 &" +b1010111011010000000111111011011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111001111011111100111111100011 / +b1111001111011111100111111100011 K +b1111001111011111100111111100011 e +b1111001111011111100111111100011 "" +0. +1% +#1337010 +b1010111011010000000111111011011 R" +#1337500 +b10111 5 +b10111 L +b10111 W +14 +b10100 7 +b10100 N +b10100 V +16 +b10111110010001110000100111001100 2 +b10111110010001110000100111001100 I +b10111110010001110000100111001100 Z +b1101 3 +b1101 J +b1101 Y +b10111 ' +b10111 D +1) +b10100 & +b10100 C +1( +b10111110010001110000100111001100 , +b10111110010001110000100111001100 H +b1101 + +b1101 G +1. +0% +#1338000 +0i +b101100011110111110101110110001 { +b101100011110111110101110110001 A" +0s +b11101011011001001110101000100110 | +b11101011011001001110101000100110 B" +1\ +0h +0g +1:" +1;" +16" +17" +b10010 3" +b1000 0" +1j +0k +18" +1l +b1011 -" +1t +0u +14" +1v +0b +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111110010001110000100111001100 &" +b10111110010001110000100111001100 ?" +b1101 %" +b1101 *" +b1101 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#1338010 +b10111110010001110000100111001100 P" +#1338500 +b1101 5 +b1101 L +b1101 W +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1339000 +15" +1] +1k +0j +1y +1[ +0=" +0>" +0@" +1h +1;" +19" +0q +06" +b11111 3" +0d +0_ +b10010 0" +08" +0l +1p +b10111110010001110000100111001100 { +b10111110010001110000100111001100 A" +b110 -" +0t +b1100011101101111000111000100 | +b1100011101101111000111000100 B" +0a +02" +0n +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +b11001 } +b11001 (" +b11001 +" +b101100011110111110101110110001 / +b101100011110111110101110110001 K +b101100011110111110101110110001 e +b101100011110111110101110110001 "" +b11101011011001001110101000100110 0 +b11101011011001001110101000100110 M +b11101011011001001110101000100110 f +b11101011011001001110101000100110 !" +0. +1% +#1339500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b0 ' +b0 D +0) +b11011 & +b11011 C +1. +0% +#1340000 +1i +1g +09" +0:" +0;" +0r +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b100 -" +b110000000100001100001001001 | +b110000000100001100001001001 B" +0m +0/" +1x +b10111110010001110000100111001100 / +b10111110010001110000100111001100 K +b10111110010001110000100111001100 e +b10111110010001110000100111001100 "" +b1100011101101111000111000100 0 +b1100011101101111000111000100 M +b1100011101101111000111000100 f +b1100011101101111000111000100 !" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#1340500 +b0 7 +b0 N +b0 V +06 +b11111010110011111100001001010 2 +b11111010110011111100001001010 I +b11111010110011111100001001010 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 & +b0 C +0( +b11111010110011111100001001010 , +b11111010110011111100001001010 H +b1101 + +b1101 G +1- +1. +0% +#1341000 +0] +1s +0[ +1=" +1>" +1@" +1r +1q +05" +07" +b10010 3" +0^ +0c +1d +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0w +0," +b11111010110011111100001001010 &" +b11111010110011111100001001010 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110000000100001100001001001 0 +b110000000100001100001001001 M +b110000000100001100001001001 f +b110000000100001100001001001 !" +0. +1% +#1341010 +b11111010110011111100001001010 P" +#1341500 +b1 5 +b1 L +b1 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1342000 +1] +b11010000100001000000010011100011 { +b11010000100001000000010011100011 A" +1[ +0=" +0>" +0@" +0g +1;" +b11111 3" +0d +0_ +b11110 0" +1k +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#1342500 +b0 5 +b0 L +b0 W +04 +16 +b11001101000011001110011011100100 2 +b11001101000011001110011011100100 I +b11001101000011001110011011100100 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +1( +b11001101000011001110011011100100 , +b11001101000011001110011011100100 H +b110 + +b110 G +1- +1. +0% +#1343000 +0] +b10111010101111001010110000110000 | +b10111010101111001010110000110000 B" +0\ +1>" +1@" +1g +0;" +17" +b11001 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1u +1b +12" +0m +0/" +1," +b11001101000011001110011011100100 &" +b11001101000011001110011011100100 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +b11010000100001000000010011100011 / +b11010000100001000000010011100011 K +b11010000100001000000010011100011 e +b11010000100001000000010011100011 "" +0. +1% +#1343010 +b11001101000011001110011011100100 I" +#1343500 +06 +b10111101110011110010010010000100 2 +b10111101110011110010010010000100 I +b10111101110011110010010010000100 Z +0( +b10111101110011110010010010000100 , +b10111101110011110010010010000100 H +1. +0% +#1344000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +07" +0u +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111010101111001010110000110000 0 +b10111010101111001010110000110000 M +b10111010101111001010110000110000 f +b10111010101111001010110000110000 !" +b10111101110011110010010010000100 &" +b10111101110011110010010010000100 ?" +0#" +0. +1% +#1344010 +b10111101110011110010010010000100 I" +#1344500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1345000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1345500 +1. +0% +#1346000 +0. +1% +#1346500 +1. +0% +#1347000 +0. +1% +#1347500 +1. +0% +#1348000 +0. +1% +#1348500 +b1101110001011010100110000110001 2 +b1101110001011010100110000110001 I +b1101110001011010100110000110001 Z +11 +b1101110001011010100110000110001 , +b1101110001011010100110000110001 H +1- +1. +0% +#1349000 +1@" +1_ +12" +b1101110001011010100110000110001 &" +b1101110001011010100110000110001 ?" +1'" +0. +1% +#1349010 +b1101110001011010100110000110001 C" +#1349500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#1350000 +0@" +0_ +02" +b0 &" +b0 ?" +0'" +0. +1% +#1350500 +b101 5 +b101 L +b101 W +14 +b101 ' +b101 D +1) +1. +0% +#1351000 +0i +b11000010100010110110111011010110 { +b11000010100010110110111011010110 A" +0g +1:" +1;" +b11010 0" +1j +1k +1m +1/" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#1351500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b10001110000001100001010001110100 2 +b10001110000001100001010001110100 I +b10001110000001100001010001110100 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b10001110000001100001010001110100 , +b10001110000001100001010001110100 H +b11011 + +b11011 G +1- +1. +0% +#1352000 +0] +1i +0s +b11101011011001001110101000100110 | +b11101011011001001110101000100110 B" +0\ +0[ +1=" +1@" +1g +0:" +0;" +16" +17" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1011 -" +1t +0u +14" +1v +1b +1a +12" +0m +0/" +1," +b11000010100010110110111011010110 / +b11000010100010110110111011010110 K +b11000010100010110110111011010110 e +b11000010100010110110111011010110 "" +b10001110000001100001010001110100 &" +b10001110000001100001010001110100 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#1352010 +b10001110000001100001010001110100 ^" +#1352500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b100111100001010011001001011010 2 +b100111100001010011001001011010 I +b100111100001010011001001011010 Z +b10001 3 +b10001 J +b10001 Y +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b100111100001010011001001011010 , +b100111100001010011001001011010 H +b10001 + +b10001 G +1. +0% +#1353000 +1] +0i +b10101100011111001101011000011110 { +b10101100011111001101011000011110 A" +1s +1\ +0=" +0g +19" +1:" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1110 3" +0c +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +04" +0v +0b +1m +1/" +0," +b100111100001010011001001011010 &" +b100111100001010011001001011010 ?" +b10001 %" +b10001 *" +b10001 1" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011011001001110101000100110 0 +b11101011011001001110101000100110 M +b11101011011001001110101000100110 f +b11101011011001001110101000100110 !" +0. +1% +#1353010 +b100111100001010011001001011010 T" +#1353500 +b101 5 +b101 L +b101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1354000 +1k +1j +1[ +0@" +1;" +b11000010100010110110111011010110 { +b11000010100010110110111011010110 A" +09" +1:" +b11111 3" +0<" +0` +b11010 0" +08" +0l +0p +0a +02" +b10101100011111001101011000011110 / +b10101100011111001101011000011110 K +b10101100011111001101011000011110 e +b10101100011111001101011000011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +0. +1% +#1354500 +b1011 5 +b1011 L +b1011 W +b1011 ' +b1011 D +1. +0% +#1355000 +19" +1o +0h +0:" +b10100 0" +0j +b11011010101010101011010111011000 { +b11011010101010101011010111011000 A" +1n +b1011 ~ +b1011 )" +b1011 ." +b11000010100010110110111011010110 / +b11000010100010110110111011010110 K +b11000010100010110110111011010110 e +b11000010100010110110111011010110 "" +0. +1% +#1355500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1356000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b11011010101010101011010111011000 / +b11011010101010101011010111011000 K +b11011010101010101011010111011000 e +b11011010101010101011010111011000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1356500 +b11 5 +b11 L +b11 W +14 +b11 7 +b11 N +b11 V +16 +b11110110001101111011010100011110 2 +b11110110001101111011010100011110 I +b11110110001101111011010100011110 Z +b10011 3 +b10011 J +b10011 Y +11 +b11 ' +b11 D +1) +b11 & +b11 C +1( +b11110110001101111011010100011110 , +b11110110001101111011010100011110 H +b10011 + +b10011 G +1- +1. +0% +#1357000 +b100011000001000000010111001100 { +b100011000001000000010111001100 A" +b100011000001000000010111001100 | +b100011000001000000010111001100 B" +0\ +0[ +1@" +0h +0g +1;" +0r +0q +17" +b1100 3" +0_ +1<" +1` +b11100 0" +1k +b11100 -" +1u +1b +1a +12" +1n +1m +1/" +1x +1w +1," +b11110110001101111011010100011110 &" +b11110110001101111011010100011110 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1357010 +b11110110001101111011010100011110 V" +#1357500 +b1100 5 +b1100 L +b1100 W +b0 7 +b0 N +b0 V +06 +b1100000000010011010011000101101 2 +b1100000000010011010011000101101 I +b1100000000010011010011000101101 Z +b10001 3 +b10001 J +b10001 Y +b1100 ' +b1100 D +b0 & +b0 C +0( +b1100000000010011010011000101101 , +b1100000000010011010011000101101 H +b10001 + +b10001 G +1. +0% +#1358000 +0i +1\ +1h +1g +19" +1:" +1r +1q +07" +b1110 3" +b10011 0" +0j +0o +1p +b1111100011001001101110010010100 { +b1111100011001001101110010010100 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0n +0m +0x +0w +0," +b100011000001000000010111001100 / +b100011000001000000010111001100 K +b100011000001000000010111001100 e +b100011000001000000010111001100 "" +b100011000001000000010111001100 0 +b100011000001000000010111001100 M +b100011000001000000010111001100 f +b100011000001000000010111001100 !" +b1100000000010011010011000101101 &" +b1100000000010011010011000101101 ?" +b10001 %" +b10001 *" +b10001 1" +b1100 ~ +b1100 )" +b1100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1358010 +b1100000000010011010011000101101 T" +#1358500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b11100001111100100111011101000001 2 +b11100001111100100111011101000001 I +b11100001111100100111011101000001 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b11100001111100100111011101000001 , +b11100001111100100111011101000001 H +b11111 + +b11111 G +1. +0% +#1359000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1100011101101111000111000100 | +b1100011101101111000111000100 B" +0\ +1=" +1>" +09" +0:" +0;" +0q +15" +17" +b0 3" +0^ +0c +1d +b11111 0" +0p +0k +b110 -" +1y +0u +14" +1v +1b +0/" +1w +1," +b11100001111100100111011101000001 &" +b11100001111100100111011101000001 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +b1111100011001001101110010010100 / +b1111100011001001101110010010100 K +b1111100011001001101110010010100 e +b1111100011001001101110010010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1359500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b1110001101101111111011010001011 2 +b1110001101101111111011010001011 I +b1110001101101111111011010001011 Z +b11101 3 +b11101 J +b11101 Y +b101 ' +b101 D +1) +b0 & +b0 C +0( +b1110001101101111111011010001011 , +b1110001101101111111011010001011 H +b11101 + +b11101 G +1. +0% +#1360000 +0i +b11000010100010110110111011010110 { +b11000010100010110110111011010110 A" +1s +1\ +0g +1:" +1;" +1q +05" +07" +b10 3" +b11010 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100011101101111000111000100 0 +b1100011101101111000111000100 M +b1100011101101111000111000100 f +b1100011101101111000111000100 !" +b1110001101101111111011010001011 &" +b1110001101101111111011010001011 ?" +b11101 %" +b11101 *" +b11101 1" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1360010 +b1110001101101111111011010001011 `" +#1360500 +b11100 5 +b11100 L +b11100 W +b11011011101100100010010101110101 2 +b11011011101100100010010101110101 I +b11011011101100100010010101110101 Z +b11100 ' +b11100 D +b11011011101100100010010101110101 , +b11011011101100100010010101110101 H +1. +0% +#1361000 +0k +0j +1g +19" +b11 0" +18" +1l +1p +b11110010001011111011100000110101 { +b11110010001011111011100000110101 A" +0m +b11011011101100100010010101110101 &" +b11011011101100100010010101110101 ?" +b11100 ~ +b11100 )" +b11100 ." +b11000010100010110110111011010110 / +b11000010100010110110111011010110 K +b11000010100010110110111011010110 e +b11000010100010110110111011010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1361010 +b11011011101100100010010101110101 `" +#1361500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1362000 +1] +1i +0s +b11111100101011010100011000110010 | +b11111100101011010100011000110010 B" +1[ +0=" +0>" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +15" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0p +08" +0l +b10101 -" +1y +1u +0a +02" +0/" +1x +1," +b11110010001011111011100000110101 / +b11110010001011111011100000110101 K +b11110010001011111011100000110101 e +b11110010001011111011100000110101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#1362500 +b1110110101011001111010001001001 2 +b1110110101011001111010001001001 I +b1110110101011001111010001001001 Z +b1001 3 +b1001 J +b1001 Y +11 +b1110110101011001111010001001001 , +b1110110101011001111010001001001 H +b1001 + +b1001 G +1- +1. +0% +#1363000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b1110110101011001111010001001001 &" +b1110110101011001111010001001001 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111100101011010100011000110010 0 +b11111100101011010100011000110010 M +b11111100101011010100011000110010 f +b11111100101011010100011000110010 !" +0. +1% +#1363010 +b1110110101011001111010001001001 L" +#1363500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1364000 +1] +1s +1[ +0=" +0@" +1r +05" +07" +b11111 3" +0c +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1364500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#1365000 +b100011000001000000010111001100 | +b100011000001000000010111001100 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1365500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b110000011110110001001110111110 2 +b110000011110110001001110111110 I +b110000011110110001001110111110 Z +b1100 3 +b1100 J +b1100 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b110000011110110001001110111110 , +b110000011110110001001110111110 H +b1100 + +b1100 G +1- +1. +0% +#1366000 +0] +0i +b1110110101011001111010001001001 { +b1110110101011001111010001001001 A" +1=" +1>" +1@" +0g +19" +1;" +1r +1q +07" +b10011 3" +0^ +0c +1d +1_ +b10110 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1m +1/" +0x +0w +0," +b100011000001000000010111001100 0 +b100011000001000000010111001100 M +b100011000001000000010111001100 f +b100011000001000000010111001100 !" +b110000011110110001001110111110 &" +b110000011110110001001110111110 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1366010 +b110000011110110001001110111110 O" +#1366500 +b101 5 +b101 L +b101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1367000 +1:" +1] +1j +b11000010100010110110111011010110 { +b11000010100010110110111011010110 A" +0=" +0>" +0@" +09" +b11111 3" +0d +0_ +b11010 0" +0o +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +b1110110101011001111010001001001 / +b1110110101011001111010001001001 K +b1110110101011001111010001001001 e +b1110110101011001111010001001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1367500 +b10010 5 +b10010 L +b10010 W +b11011111011100111000001100101100 2 +b11011111011100111000001100101100 I +b11011111011100111000001100101100 Z +b11 3 +b11 J +b11 Y +11 +b10010 ' +b10010 D +b11011111011100111000001100101100 , +b11011111011100111000001100101100 H +b11 + +b11 G +1- +1. +0% +#1368000 +0k +1i +0\ +0[ +1@" +0h +1g +0:" +b11100 3" +1_ +b1101 0" +18" +1l +0j +b11011110100000001111000110110010 { +b11011110100000001111000110110010 A" +1b +1a +12" +1n +0m +b11000010100010110110111011010110 / +b11000010100010110110111011010110 K +b11000010100010110110111011010110 e +b11000010100010110110111011010110 "" +b11011111011100111000001100101100 &" +b11011111011100111000001100101100 ?" +b11 %" +b11 *" +b11 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +0. +1% +#1368010 +b11011111011100111000001100101100 F" +#1368500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1369000 +1\ +1[ +0@" +1h +0;" +b11111 3" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11011110100000001111000110110010 / +b11011110100000001111000110110010 K +b11011110100000001111000110110010 e +b11011110100000001111000110110010 "" +0. +1% +#1369500 +b1100 7 +b1100 N +b1100 V +16 +b1010000101001110010001110111100 2 +b1010000101001110010001110111100 I +b1010000101001110010001110111100 Z +b1101 3 +b1101 J +b1101 Y +11 +b1100 & +b1100 C +1( +b1010000101001110010001110111100 , +b1010000101001110010001110111100 H +b1101 + +b1101 G +1- +1. +0% +#1370000 +0] +0s +b110000011110110001001110111110 | +b110000011110110001001110111110 B" +0[ +1=" +1>" +1@" +15" +16" +17" +b10010 3" +0^ +0c +1d +1_ +b10011 -" +0t +0y +1z +1u +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010000101001110010001110111100 &" +b1010000101001110010001110111100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#1370010 +b1010000101001110010001110111100 P" +#1370500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1371000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0=" +0>" +0@" +05" +06" +07" +b11111 3" +0d +0_ +b11111 -" +0z +0u +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b110000011110110001001110111110 0 +b110000011110110001001110111110 M +b110000011110110001001110111110 f +b110000011110110001001110111110 !" +0. +1% +#1371500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#1372000 +0s +b1100011101101111000111000100 | +b1100011101101111000111000100 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1372500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +1. +0% +#1373000 +0i +b11101011011001001110101000100110 { +b11101011011001001110101000100110 A" +1s +1:" +1;" +1q +05" +07" +b1011 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0w +0," +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1100011101101111000111000100 0 +b1100011101101111000111000100 M +b1100011101101111000111000100 f +b1100011101101111000111000100 !" +0. +1% +#1373500 +b10010 5 +b10010 L +b10010 W +b10010 ' +b10010 D +1. +0% +#1374000 +1i +0h +0:" +b1101 0" +0j +b11011110100000001111000110110010 { +b11011110100000001111000110110010 A" +1n +b11101011011001001110101000100110 / +b11101011011001001110101000100110 K +b11101011011001001110101000100110 e +b11101011011001001110101000100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010 ~ +b10010 )" +b10010 ." +0. +1% +#1374500 +b11111 5 +b11111 L +b11111 W +b110 7 +b110 N +b110 V +16 +b11111 ' +b11111 D +b110 & +b110 C +1( +1. +0% +#1375000 +0i +0s +b10111101110011110010010010000100 | +b10111101110011110010010010000100 B" +0g +19" +1:" +0r +16" +17" +b0 0" +0j +0o +1p +b11100001111100100111011101000001 { +b11100001111100100111011101000001 A" +b11001 -" +1t +1u +1m +1x +1," +b11111 ~ +b11111 )" +b11111 ." +b110 } +b110 (" +b110 +" +1#" +b11011110100000001111000110110010 / +b11011110100000001111000110110010 K +b11011110100000001111000110110010 e +b11011110100000001111000110110010 "" +0. +1% +#1375500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101101001011010010101110011111 2 +b101101001011010010101110011111 I +b101101001011010010101110011111 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101101001011010010101110011111 , +b101101001011010010101110011111 H +b11000 + +b11000 G +1- +1. +0% +#1376000 +0] +1i +1s +1=" +1@" +1h +1g +09" +0:" +0;" +1r +06" +07" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0n +0m +0/" +0x +0," +b11100001111100100111011101000001 / +b11100001111100100111011101000001 K +b11100001111100100111011101000001 e +b11100001111100100111011101000001 "" +b10111101110011110010010010000100 0 +b10111101110011110010010010000100 M +b10111101110011110010010010000100 f +b10111101110011110010010010000100 !" +b101101001011010010101110011111 &" +b101101001011010010101110011111 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1376010 +b101101001011010010101110011111 [" +#1376500 +b10111 5 +b10111 L +b10111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1377000 +1] +0i +b101100011110111110101110110001 { +b101100011110111110101110110001 A" +0=" +0@" +0h +0g +1:" +1;" +b11111 3" +0c +0<" +0` +b1000 0" +1j +0k +18" +1l +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1377500 +b1001 5 +b1001 L +b1001 W +b11001 7 +b11001 N +b11001 V +16 +b10100000111101110100010010010100 2 +b10100000111101110100010010010100 I +b10100000111101110100010010010100 Z +b11010 3 +b11010 J +b11010 Y +11 +b1001 ' +b1001 D +b11001 & +b11001 C +1( +b10100000111101110100010010010100 , +b10100000111101110100010010010100 H +b11010 + +b11010 G +1- +1. +0% +#1378000 +19" +0] +1k +1o +0s +b1100011101101111000111000100 | +b1100011101101111000111000100 B" +0\ +1=" +1@" +1h +1;" +0:" +0q +15" +17" +b101 3" +1c +0_ +1<" +1` +b10110 0" +08" +0l +0j +b1110110101011001111010001001001 { +b1110110101011001111010001001001 A" +b110 -" +1y +0u +14" +1v +1b +12" +0n +1w +1," +b101100011110111110101110110001 / +b101100011110111110101110110001 K +b101100011110111110101110110001 e +b101100011110111110101110110001 "" +b10100000111101110100010010010100 &" +b10100000111101110100010010010100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1378010 +b10100000111101110100010010010100 ]" +#1378500 +b10001 5 +b10001 L +b10001 W +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1379000 +1] +0k +1i +1u +1s +1\ +0=" +0@" +b1100000000010011010011000101101 { +b1100000000010011010011000101101 A" +09" +17" +b11010000100001000000010011100011 | +b11010000100001000000010011100011 B" +05" +b11111 3" +0c +0<" +0` +b1110 0" +18" +1l +0o +b11110 -" +04" +0v +0y +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b1 } +b1 (" +b1 +" +b1110110101011001111010001001001 / +b1110110101011001111010001001001 K +b1110110101011001111010001001001 e +b1110110101011001111010001001001 "" +b1100011101101111000111000100 0 +b1100011101101111000111000100 M +b1100011101101111000111000100 f +b1100011101101111000111000100 !" +0. +1% +#1379500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1380000 +1g +0;" +1q +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0w +0," +b1100000000010011010011000101101 / +b1100000000010011010011000101101 K +b1100000000010011010011000101101 e +b1100000000010011010011000101101 "" +b11010000100001000000010011100011 0 +b11010000100001000000010011100011 M +b11010000100001000000010011100011 f +b11010000100001000000010011100011 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1380500 +b10001 5 +b10001 L +b10001 W +14 +b10001 ' +b10001 D +1) +1. +0% +#1381000 +b1100000000010011010011000101101 { +b1100000000010011010011000101101 A" +0g +1;" +b1110 0" +0k +18" +1l +1m +1/" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1381500 +b1101 5 +b1101 L +b1101 W +b1110100101100000011110100010 2 +b1110100101100000011110100010 I +b1110100101100000011110100010 Z +b10110 3 +b10110 J +b10110 Y +11 +b1101 ' +b1101 D +b1110100101100000011110100010 , +b1110100101100000011110100010 H +b10110 + +b10110 G +1- +1. +0% +#1382000 +0] +1k +0i +0\ +1>" +1@" +1;" +b1010000101001110010001110111100 { +b1010000101001110010001110111100 A" +19" +1:" +b1001 3" +1^ +0_ +1<" +1` +b10010 0" +08" +0l +0j +0o +1p +1b +12" +b1100000000010011010011000101101 / +b1100000000010011010011000101101 K +b1100000000010011010011000101101 e +b1100000000010011010011000101101 "" +b1110100101100000011110100010 &" +b1110100101100000011110100010 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +0. +1% +#1382010 +b1110100101100000011110100010 Y" +#1382500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1383000 +1] +1i +0s +b1110100101100000011110100010 | +b1110100101100000011110100010 B" +1\ +0>" +0@" +1g +09" +0:" +0;" +0r +16" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +0b +02" +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +b1010000101001110010001110111100 / +b1010000101001110010001110111100 K +b1010000101001110010001110111100 e +b1010000101001110010001110111100 "" +0. +1% +#1383500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b101 ' +b101 D +1) +b0 & +b0 C +0( +1. +0% +#1384000 +0i +b11000010100010110110111011010110 { +b11000010100010110110111011010110 A" +1s +0g +1:" +1;" +1r +06" +07" +b11010 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110100101100000011110100010 0 +b1110100101100000011110100010 M +b1110100101100000011110100010 f +b1110100101100000011110100010 !" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1384500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b10100010101100111110101010011110 2 +b10100010101100111110101010011110 I +b10100010101100111110101010011110 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b10100010101100111110101010011110 , +b10100010101100111110101010011110 H +b1101 + +b1101 G +1- +1. +0% +#1385000 +0] +1i +0s +b1110100101100000011110100010 | +b1110100101100000011110100010 B" +0[ +1=" +1>" +1@" +1g +0:" +0;" +0r +16" +17" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +1a +12" +0m +0/" +1x +1," +b10100010101100111110101010011110 &" +b10100010101100111110101010011110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +b11000010100010110110111011010110 / +b11000010100010110110111011010110 K +b11000010100010110110111011010110 e +b11000010100010110110111011010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1385010 +b10100010101100111110101010011110 P" +#1385500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1386000 +1] +1s +1[ +0=" +0>" +0@" +1r +06" +07" +b11111 3" +0d +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110100101100000011110100010 0 +b1110100101100000011110100010 M +b1110100101100000011110100010 f +b1110100101100000011110100010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1386500 +1. +0% +#1387000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1387500 +1. +0% +#1388000 +0. +1% +#1388500 +1. +0% +#1389000 +0. +1% +#1389500 +b101 5 +b101 L +b101 W +14 +b110 7 +b110 N +b110 V +16 +b101 ' +b101 D +1) +b110 & +b110 C +1( +1. +0% +#1390000 +0i +b11000010100010110110111011010110 { +b11000010100010110110111011010110 A" +0s +b10111101110011110010010010000100 | +b10111101110011110010010010000100 B" +0g +1:" +1;" +0r +16" +17" +b11010 0" +1j +1k +b11001 -" +1t +1u +1m +1/" +1x +1," +b101 ~ +b101 )" +b101 ." +1$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#1390500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1391000 +1i +1s +1g +0:" +0;" +1r +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000010100010110110111011010110 / +b11000010100010110110111011010110 K +b11000010100010110110111011010110 e +b11000010100010110110111011010110 "" +b10111101110011110010010010000100 0 +b10111101110011110010010010000100 M +b10111101110011110010010010000100 f +b10111101110011110010010010000100 !" +0. +1% +#1391500 +1. +0% +#1392000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1392500 +b1010 5 +b1010 L +b1010 W +14 +b10111 7 +b10111 N +b10111 V +16 +b1010 ' +b1010 D +1) +b10111 & +b10111 C +1( +1. +0% +#1393000 +0i +b11111100101011010100011000110010 { +b11111100101011010100011000110010 A" +0s +b101100011110111110101110110001 | +b101100011110111110101110110001 B" +0h +19" +1;" +0r +0q +16" +17" +b10101 0" +1o +1k +b1000 -" +1t +0u +14" +1v +1n +1/" +1x +1w +1," +b1010 ~ +b1010 )" +b1010 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#1393500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11010010110100001100110110101110 2 +b11010010110100001100110110101110 I +b11010010110100001100110110101110 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11010010110100001100110110101110 , +b11010010110100001100110110101110 H +b10101 + +b10101 G +1- +1. +0% +#1394000 +0] +1i +1s +0[ +1>" +1@" +1h +09" +0;" +1r +1q +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0n +0/" +0x +0w +0," +b11111100101011010100011000110010 / +b11111100101011010100011000110010 K +b11111100101011010100011000110010 e +b11111100101011010100011000110010 "" +b101100011110111110101110110001 0 +b101100011110111110101110110001 M +b101100011110111110101110110001 f +b101100011110111110101110110001 !" +b11010010110100001100110110101110 &" +b11010010110100001100110110101110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1394010 +b11010010110100001100110110101110 X" +#1394500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1395000 +1] +b11110000010110001101010100000010 | +b11110000010110001101010100000010 B" +1[ +0>" +0@" +17" +b11111 3" +0^ +0<" +0` +b1111 -" +0u +14" +1v +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1395500 +b1110 7 +b1110 N +b1110 V +b1110 & +b1110 C +1. +0% +#1396000 +1u +0s +0r +17" +15" +16" +b10001 -" +04" +0v +0t +0y +1z +b10010011011011001100010110011 | +b10010011011011001100010110011 B" +1x +b11110000010110001101010100000010 0 +b11110000010110001101010100000010 M +b11110000010110001101010100000010 f +b11110000010110001101010100000010 !" +b1110 } +b1110 (" +b1110 +" +0. +1% +#1396500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +1. +0% +#1397000 +0i +b11011010101010101011010111011000 { +b11011010101010101011010111011000 A" +1s +0h +0g +19" +1;" +1r +05" +06" +07" +b10100 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0x +0," +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10010011011011001100010110011 0 +b10010011011011001100010110011 M +b10010011011011001100010110011 f +b10010011011011001100010110011 !" +0. +1% +#1397500 +b1111 5 +b1111 L +b1111 W +b1111 ' +b1111 D +1. +0% +#1398000 +0o +b1010111011010000000111111011011 { +b1010111011010000000111111011011 A" +1:" +b10000 0" +1p +b11011010101010101011010111011000 / +b11011010101010101011010111011000 K +b11011010101010101011010111011000 e +b11011010101010101011010111011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#1398500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1399000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1010111011010000000111111011011 / +b1010111011010000000111111011011 K +b1010111011010000000111111011011 e +b1010111011010000000111111011011 "" +0. +1% +#1399500 +b1 5 +b1 L +b1 W +14 +b10100 7 +b10100 N +b10100 V +16 +b1 ' +b1 D +1) +b10100 & +b10100 C +1( +1. +0% +#1400000 +b11010000100001000000010011100011 { +b11010000100001000000010011100011 A" +0s +b11101011011001001110101000100110 | +b11101011011001001110101000100110 B" +0g +1;" +16" +17" +b11110 0" +1k +b1011 -" +1t +0u +14" +1v +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1 ~ +b1 )" +b1 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#1400500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10110110011011010111101110000001 2 +b10110110011011010111101110000001 I +b10110110011011010111101110000001 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10110110011011010111101110000001 , +b10110110011011010111101110000001 H +b111 + +b111 G +1- +1. +0% +#1401000 +0] +1s +0\ +0[ +1>" +1@" +1g +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11000 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +04" +0v +1b +1a +12" +0m +0/" +0," +b10110110011011010111101110000001 &" +b10110110011011010111101110000001 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11010000100001000000010011100011 / +b11010000100001000000010011100011 K +b11010000100001000000010011100011 e +b11010000100001000000010011100011 "" +b11101011011001001110101000100110 0 +b11101011011001001110101000100110 M +b11101011011001001110101000100110 f +b11101011011001001110101000100110 !" +0. +1% +#1401010 +b10110110011011010111101110000001 J" +#1401500 +b10010100110000010000000100111101 2 +b10010100110000010000000100111101 I +b10010100110000010000000100111101 Z +b10001 3 +b10001 J +b10001 Y +b10010100110000010000000100111101 , +b10010100110000010000000100111101 H +b10001 + +b10001 G +1. +0% +#1402000 +0_ +1] +1\ +0>" +b1110 3" +1<" +1` +0^ +0b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010100110000010000000100111101 &" +b10010100110000010000000100111101 ?" +b10001 %" +b10001 *" +b10001 1" +0. +1% +#1402010 +b10010100110000010000000100111101 T" +#1402500 +b110101011011010111100110110110 2 +b110101011011010111100110110110 I +b110101011011010111100110110110 Z +b1110 3 +b1110 J +b1110 Y +b110101011011010111100110110110 , +b110101011011010111100110110110 H +b1110 + +b1110 G +1. +0% +#1403000 +1_ +0] +0\ +1[ +1@" +1=" +1>" +b10001 3" +0<" +0` +0^ +0c +1d +1b +0a +b110101011011010111100110110110 &" +b110101011011010111100110110110 ?" +b1110 %" +b1110 *" +b1110 1" +0. +1% +#1403010 +b110101011011010111100110110110 Q" +#1403500 +b10011 7 +b10011 N +b10011 V +16 +b10100010101101111100011011110000 2 +b10100010101101111100011011110000 I +b10100010101101111100011011110000 Z +b101 3 +b101 J +b101 Y +b10011 & +b10011 C +1( +b10100010101101111100011011110000 , +b10100010101101111100011011110000 H +b101 + +b101 G +1. +0% +#1404000 +1^ +b11110110001101111011010100011110 | +b11110110001101111011010100011110 B" +1\ +0[ +0=" +1>" +0r +0q +17" +b11010 3" +0d +b1100 -" +0u +14" +1v +0b +1a +1x +1w +1," +b10100010101101111100011011110000 &" +b10100010101101111100011011110000 ?" +b101 %" +b101 *" +b101 1" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#1404010 +b10100010101101111100011011110000 H" +#1404500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1405000 +1] +1[ +0>" +0@" +1r +1q +07" +b11111 3" +0^ +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11110110001101111011010100011110 0 +b11110110001101111011010100011110 M +b11110110001101111011010100011110 f +b11110110001101111011010100011110 !" +0. +1% +#1405500 +b101101101000101111100000110111 2 +b101101101000101111100000110111 I +b101101101000101111100000110111 Z +b11001 3 +b11001 J +b11001 Y +11 +b101101101000101111100000110111 , +b101101101000101111100000110111 H +b11001 + +b11001 G +1- +1. +0% +#1406000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101101101000101111100000110111 &" +b101101101000101111100000110111 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +0. +1% +#1406010 +b101101101000101111100000110111 \" +#1406500 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1407000 +1] +0s +b101100011110111110101110110001 | +b101100011110111110101110110001 B" +1[ +0=" +0@" +0r +0q +16" +17" +b11111 3" +0c +0<" +0` +b1000 -" +1t +0u +14" +1v +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#1407500 +b1100 5 +b1100 L +b1100 W +14 +b10011 7 +b10011 N +b10011 V +b101010110101111001100010100 2 +b101010110101111001100010100 I +b101010110101111001100010100 Z +b100 3 +b100 J +b100 Y +11 +b1100 ' +b1100 D +1) +b10011 & +b10011 C +b101010110101111001100010100 , +b101010110101111001100010100 H +b100 + +b100 G +1- +1. +0% +#1408000 +0] +0i +b110000011110110001001110111110 { +b110000011110110001001110111110 A" +1s +b11110110001101111011010100011110 | +b11110110001101111011010100011110 B" +1>" +1@" +19" +1:" +1;" +06" +b11011 3" +1^ +1_ +b10011 0" +0j +0o +1p +1k +b1100 -" +0t +12" +1/" +b101100011110111110101110110001 0 +b101100011110111110101110110001 M +b101100011110111110101110110001 f +b101100011110111110101110110001 !" +b101010110101111001100010100 &" +b101010110101111001100010100 ?" +b100 %" +b100 *" +b100 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b10011 } +b10011 (" +b10011 +" +0. +1% +#1408010 +b101010110101111001100010100 G" +#1408500 +b10001 5 +b10001 L +b10001 W +b11101 7 +b11101 N +b11101 V +b11101110111101100100010011011000 2 +b11101110111101100100010011011000 I +b11101110111101100100010011011000 Z +b10100 3 +b10100 J +b10100 Y +b10001 ' +b10001 D +b11101 & +b11101 C +b11101110111101100100010011011000 , +b11101110111101100100010011011000 H +b10100 + +b10100 G +1. +0% +#1409000 +0_ +0k +1i +0s +0g +09" +0:" +1r +15" +16" +b1011 3" +1<" +1` +b1110 0" +18" +1l +0p +b10010100110000010000000100111101 { +b10010100110000010000000100111101 A" +b10 -" +0t +0y +1z +b11011011101100100010010101110101 | +b11011011101100100010010101110101 B" +1m +0x +b11101110111101100100010011011000 &" +b11101110111101100100010011011000 ?" +b10100 %" +b10100 *" +b10100 1" +b10001 ~ +b10001 )" +b10001 ." +b11101 } +b11101 (" +b11101 +" +b110000011110110001001110111110 / +b110000011110110001001110111110 K +b110000011110110001001110111110 e +b110000011110110001001110111110 "" +b11110110001101111011010100011110 0 +b11110110001101111011010100011110 M +b11110110001101111011010100011110 f +b11110110001101111011010100011110 !" +0. +1% +#1409010 +b11101110111101100100010011011000 W" +#1409500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b1110010000110001111110101110010 2 +b1110010000110001111110101110010 I +b1110010000110001111110101110010 Z +b11 3 +b11 J +b11 Y +b10010 ' +b10010 D +b0 & +b0 C +0( +b1110010000110001111110101110010 , +b1110010000110001111110101110010 H +b11 + +b11 G +1. +0% +#1410000 +1_ +1] +1s +0\ +0[ +1@" +0>" +0h +1g +1q +05" +06" +07" +b11100 3" +0<" +0` +0^ +b1101 0" +b11011110100000001111000110110010 { +b11011110100000001111000110110010 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +1n +0m +0w +0," +b10010100110000010000000100111101 / +b10010100110000010000000100111101 K +b10010100110000010000000100111101 e +b10010100110000010000000100111101 "" +b11011011101100100010010101110101 0 +b11011011101100100010010101110101 M +b11011011101100100010010101110101 f +b11011011101100100010010101110101 !" +b1110010000110001111110101110010 &" +b1110010000110001111110101110010 ?" +b11 %" +b11 *" +b11 1" +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1410010 +b1110010000110001111110101110010 F" +#1410500 +b0 5 +b0 L +b0 W +04 +16 +b10001011101110000110001111000101 2 +b10001011101110000110001111000101 I +b10001011101110000110001111000101 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +1( +b10001011101110000110001111000101 , +b10001011101110000110001111000101 H +b101 + +b101 G +1. +0% +#1411000 +0] +b1101110001011010100110000110001 | +b1101110001011010100110000110001 B" +1\ +1>" +1h +0;" +17" +b11010 3" +1^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1u +0b +0n +0/" +1," +b10001011101110000110001111000101 &" +b10001011101110000110001111000101 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +1#" +b11011110100000001111000110110010 / +b11011110100000001111000110110010 K +b11011110100000001111000110110010 e +b11011110100000001111000110110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1411010 +b10001011101110000110001111000101 H" +#1411500 +b10100 5 +b10100 L +b10100 W +14 +06 +b11010100111000000111010010111110 2 +b11010100111000000111010010111110 I +b11010100111000000111010010111110 Z +b0 3 +b0 J +b0 Y +b10100 ' +b10100 D +1) +0( +b11010100111000000111010010111110 , +b11010100111000000111010010111110 H +b0 + +b0 G +1. +0% +#1412000 +1] +0i +b11101110111101100100010011011000 { +b11101110111101100100010011011000 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0>" +1:" +1;" +07" +b11111 3" +0^ +b1011 0" +1j +0k +18" +1l +0u +0a +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101110001011010100110000110001 0 +b1101110001011010100110000110001 M +b1101110001011010100110000110001 f +b1101110001011010100110000110001 !" +b11010100111000000111010010111110 &" +b11010100111000000111010010111110 ?" +b0 %" +b0 *" +b0 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +0#" +0. +1% +#1412010 +b11010100111000000111010010111110 C" +#1412500 +b111 5 +b111 L +b111 W +b10101111010101110011100101101 2 +b10101111010101110011100101101 I +b10101111010101110011100101101 Z +b11 3 +b11 J +b11 Y +b111 ' +b111 D +b10101111010101110011100101101 , +b10101111010101110011100101101 H +b11 + +b11 G +1. +0% +#1413000 +1k +0\ +0[ +0h +0g +1;" +b11100 3" +b11000 0" +08" +0l +b10110110011011010111101110000001 { +b10110110011011010111101110000001 A" +1b +1a +1n +1m +b10101111010101110011100101101 &" +b10101111010101110011100101101 ?" +b11 %" +b11 *" +b11 1" +b111 ~ +b111 )" +b111 ." +b11101110111101100100010011011000 / +b11101110111101100100010011011000 K +b11101110111101100100010011011000 e +b11101110111101100100010011011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1413010 +b10101111010101110011100101101 F" +#1413500 +b10100 5 +b10100 L +b10100 W +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1414000 +0k +0s +b101100011110111110101110110001 | +b101100011110111110101110110001 B" +1\ +1[ +0@" +1h +1g +0r +0q +16" +17" +b11111 3" +0_ +b1011 0" +18" +1l +b11101110111101100100010011011000 { +b11101110111101100100010011011000 A" +b1000 -" +1t +0u +14" +1v +0b +0a +02" +0n +0m +1x +1w +1," +b10110110011011010111101110000001 / +b10110110011011010111101110000001 K +b10110110011011010111101110000001 e +b10110110011011010111101110000001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#1414500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1415000 +1i +1s +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +06" +07" +b11111 0" +0j +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101110111101100100010011011000 / +b11101110111101100100010011011000 K +b11101110111101100100010011011000 e +b11101110111101100100010011011000 "" +b101100011110111110101110110001 0 +b101100011110111110101110110001 M +b101100011110111110101110110001 f +b101100011110111110101110110001 !" +0. +1% +#1415500 +1. +0% +#1416000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1416500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#1417000 +0s +b1110110101011001111010001001001 | +b1110110101011001111010001001001 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#1417500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1418000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b1110110101011001111010001001001 0 +b1110110101011001111010001001001 M +b1110110101011001111010001001001 f +b1110110101011001111010001001001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1418500 +1. +0% +#1419000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1419500 +1. +0% +#1420000 +0. +1% +#1420500 +1. +0% +#1421000 +0. +1% +#1421500 +b11110111010101000011101001010 2 +b11110111010101000011101001010 I +b11110111010101000011101001010 Z +b11011 3 +b11011 J +b11011 Y +11 +b11110111010101000011101001010 , +b11110111010101000011101001010 H +b11011 + +b11011 G +1- +1. +0% +#1422000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b11110111010101000011101001010 &" +b11110111010101000011101001010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0. +1% +#1422010 +b11110111010101000011101001010 ^" +#1422500 +b11011110110100101000100110000001 2 +b11011110110100101000100110000001 I +b11011110110100101000100110000001 Z +b1100 3 +b1100 J +b1100 Y +b11011110110100101000100110000001 , +b11011110110100101000100110000001 H +b1100 + +b1100 G +1. +0% +#1423000 +1_ +0c +1\ +1[ +1@" +1>" +b10011 3" +0<" +0` +1d +0b +0a +b11011110110100101000100110000001 &" +b11011110110100101000100110000001 ?" +b1100 %" +b1100 *" +b1100 1" +0. +1% +#1423010 +b11011110110100101000100110000001 O" +#1423500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1424000 +1] +0=" +0>" +0@" +b11111 3" +0d +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1424500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#1425000 +0s +b1110110101011001111010001001001 | +b1110110101011001111010001001001 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#1425500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b111110011101010000011001111 2 +b111110011101010000011001111 I +b111110011101010000011001111 Z +b10 3 +b10 J +b10 Y +11 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b111110011101010000011001111 , +b111110011101010000011001111 H +b10 + +b10 G +1- +1. +0% +#1426000 +0i +b11100001111100100111011101000001 { +b11100001111100100111011101000001 A" +1s +0\ +1@" +0h +0g +19" +1:" +1;" +1q +05" +07" +b11101 3" +1_ +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1n +1m +1/" +0w +0," +b1110110101011001111010001001001 0 +b1110110101011001111010001001001 M +b1110110101011001111010001001001 f +b1110110101011001111010001001001 !" +b111110011101010000011001111 &" +b111110011101010000011001111 ?" +b10 %" +b10 *" +b10 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1426010 +b111110011101010000011001111 E" +#1426500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1427000 +1i +0s +b10100000111101110100010010010100 | +b10100000111101110100010010010100 B" +1\ +0@" +1h +1g +09" +0:" +0;" +0r +15" +17" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b101 -" +1y +0u +14" +1v +0b +02" +0n +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b11100001111100100111011101000001 / +b11100001111100100111011101000001 K +b11100001111100100111011101000001 e +b11100001111100100111011101000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1427500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b11011010001100100011110110111100 2 +b11011010001100100011110110111100 I +b11011010001100100011110110111100 Z +b1101 3 +b1101 J +b1101 Y +11 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +b11011010001100100011110110111100 , +b11011010001100100011110110111100 H +b1101 + +b1101 G +1- +1. +0% +#1428000 +0] +0i +b10111110000110101011001010111111 { +b10111110000110101011001010111111 A" +1s +0[ +1=" +1>" +1@" +19" +1;" +1r +05" +07" +b10010 3" +0^ +0c +1d +1_ +b10111 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100000111101110100010010010100 0 +b10100000111101110100010010010100 M +b10100000111101110100010010010100 f +b10100000111101110100010010010100 !" +b11011010001100100011110110111100 &" +b11011010001100100011110110111100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1428010 +b11011010001100100011110110111100 P" +#1428500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1429000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1[ +0=" +0>" +0@" +09" +0;" +b11111 3" +0d +0_ +b11111 0" +0o +0k +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10111110000110101011001010111111 / +b10111110000110101011001010111111 K +b10111110000110101011001010111111 e +b10111110000110101011001010111111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1429500 +1. +0% +#1430000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1430500 +b10100 5 +b10100 L +b10100 W +14 +b1010 7 +b1010 N +b1010 V +16 +b10100 ' +b10100 D +1) +b1010 & +b1010 C +1( +1. +0% +#1431000 +0i +b11101110111101100100010011011000 { +b11101110111101100100010011011000 A" +0s +b11111100101011010100011000110010 | +b11111100101011010100011000110010 B" +1:" +1;" +0r +15" +17" +b1011 0" +1j +0k +18" +1l +b10101 -" +1y +1u +1/" +1x +1," +b10100 ~ +b10100 )" +b10100 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#1431500 +b100 5 +b100 L +b100 W +b11110001011111001110001111011101 2 +b11110001011111001110001111011101 I +b11110001011111001110001111011101 Z +b1110 3 +b1110 J +b1110 Y +11 +b100 ' +b100 D +b11110001011111001110001111011101 , +b11110001011111001110001111011101 H +b1110 + +b1110 G +1- +1. +0% +#1432000 +0] +1k +0\ +1=" +1>" +1@" +1;" +b101010110101111001100010100 { +b101010110101111001100010100 A" +b10001 3" +0^ +0c +1d +1_ +b11011 0" +08" +0l +1b +12" +b11101110111101100100010011011000 / +b11101110111101100100010011011000 K +b11101110111101100100010011011000 e +b11101110111101100100010011011000 "" +b11111100101011010100011000110010 0 +b11111100101011010100011000110010 M +b11111100101011010100011000110010 f +b11111100101011010100011000110010 !" +b11110001011111001110001111011101 &" +b11110001011111001110001111011101 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b100 ~ +b100 )" +b100 ." +0. +1% +#1432010 +b11110001011111001110001111011101 Q" +#1432500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1433000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +0=" +0>" +0@" +0:" +0;" +1r +05" +07" +b11111 3" +0d +0_ +b11111 0" +0j +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101010110101111001100010100 / +b101010110101111001100010100 K +b101010110101111001100010100 e +b101010110101111001100010100 "" +0. +1% +#1433500 +b11011 5 +b11011 L +b11011 W +14 +b11011 ' +b11011 D +1) +1. +0% +#1434000 +0i +b11110111010101000011101001010 { +b11110111010101000011101001010 A" +0h +0g +19" +1;" +b100 0" +1o +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#1434500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +1. +0% +#1435000 +1i +b10010100110000010000000100111101 | +b10010100110000010000000100111101 B" +1h +1g +09" +0;" +0q +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +0n +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b11110111010101000011101001010 / +b11110111010101000011101001010 K +b11110111010101000011101001010 e +b11110111010101000011101001010 "" +0. +1% +#1435500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#1436000 +0s +b11011011101100100010010101110101 | +b11011011101100100010010101110101 B" +15" +16" +b10 -" +0t +0y +1z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010100110000010000000100111101 0 +b10010100110000010000000100111101 M +b10010100110000010000000100111101 f +b10010100110000010000000100111101 !" +b11101 } +b11101 (" +b11101 +" +0. +1% +#1436500 +b0 7 +b0 N +b0 V +06 +b10111010000110000101001110000110 2 +b10111010000110000101001110000110 I +b10111010000110000101001110000110 Z +b110 3 +b110 J +b110 Y +11 +b0 & +b0 C +0( +b10111010000110000101001110000110 , +b10111010000110000101001110000110 H +b110 + +b110 G +1- +1. +0% +#1437000 +0] +1s +0\ +1>" +1@" +1q +05" +06" +07" +b11001 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0w +0," +b10111010000110000101001110000110 &" +b10111010000110000101001110000110 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11011011101100100010010101110101 0 +b11011011101100100010010101110101 M +b11011011101100100010010101110101 f +b11011011101100100010010101110101 !" +0. +1% +#1437010 +b10111010000110000101001110000110 I" +#1437500 +b10100 5 +b10100 L +b10100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1438000 +1] +0i +b11101110111101100100010011011000 { +b11101110111101100100010011011000 A" +1\ +0>" +0@" +1:" +1;" +b11111 3" +0^ +0_ +b1011 0" +1j +0k +18" +1l +0b +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#1438500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1439000 +1i +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0j +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11101110111101100100010011011000 / +b11101110111101100100010011011000 K +b11101110111101100100010011011000 e +b11101110111101100100010011011000 "" +0. +1% +#1439500 +b10101101000111000001110001111010 2 +b10101101000111000001110001111010 I +b10101101000111000001110001111010 Z +b10100 3 +b10100 J +b10100 Y +11 +b10101101000111000001110001111010 , +b10101101000111000001110001111010 H +b10100 + +b10100 G +1- +1. +0% +#1440000 +0] +1>" +1@" +b1011 3" +1^ +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101101000111000001110001111010 &" +b10101101000111000001110001111010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +0. +1% +#1440010 +b10101101000111000001110001111010 W" +#1440500 +b100 7 +b100 N +b100 V +16 +b1001010010011000101101101100111 2 +b1001010010011000101101101100111 I +b1001010010011000101101101100111 Z +b10111 3 +b10111 J +b10111 Y +b100 & +b100 C +1( +b1001010010011000101101101100111 , +b1001010010011000101101101100111 H +b10111 + +b10111 G +1. +0% +#1441000 +0s +b101010110101111001100010100 | +b101010110101111001100010100 B" +0\ +0[ +16" +17" +b1000 3" +b11011 -" +1t +1u +1b +1a +1," +b1001010010011000101101101100111 &" +b1001010010011000101101101100111 ?" +b10111 %" +b10111 *" +b10111 1" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#1441010 +b1001010010011000101101101100111 Z" +#1441500 +b10110 5 +b10110 L +b10110 W +14 +b111 7 +b111 N +b111 V +b10101011001100000100111000001010 2 +b10101011001100000100111000001010 I +b10101011001100000100111000001010 Z +b1101 3 +b1101 J +b1101 Y +b10110 ' +b10110 D +1) +b111 & +b111 C +b10101011001100000100111000001010 , +b10101011001100000100111000001010 H +b1101 + +b1101 G +1. +0% +#1442000 +1_ +0^ +0i +b1110100101100000011110100010 { +b1110100101100000011110100010 A" +1\ +1@" +1=" +0h +1:" +1;" +0r +0q +b10010 3" +0<" +0` +1d +b1001 0" +1j +0k +18" +1l +b11000 -" +b10110110011011010111101110000001 | +b10110110011011010111101110000001 B" +0b +1n +1/" +1x +1w +b101010110101111001100010100 0 +b101010110101111001100010100 M +b101010110101111001100010100 f +b101010110101111001100010100 !" +b10101011001100000100111000001010 &" +b10101011001100000100111000001010 ?" +b1101 %" +b1101 *" +b1101 1" +b10110 ~ +b10110 )" +b10110 ." +1$" +b111 } +b111 (" +b111 +" +0. +1% +#1442010 +b10101011001100000100111000001010 P" +#1442500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1443000 +19" +1] +1o +1s +1[ +0=" +0>" +0@" +1h +0g +0:" +1r +1q +06" +07" +b11111 3" +0d +0_ +b110 0" +0j +b101101101000101111100000110111 { +b101101101000101111100000110111 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0n +1m +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +b1110100101100000011110100010 / +b1110100101100000011110100010 K +b1110100101100000011110100010 e +b1110100101100000011110100010 "" +b10110110011011010111101110000001 0 +b10110110011011010111101110000001 M +b10110110011011010111101110000001 f +b10110110011011010111101110000001 !" +0. +1% +#1443500 +b0 5 +b0 L +b0 W +04 +b11010101111100001100100100000001 2 +b11010101111100001100100100000001 I +b11010101111100001100100100000001 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b11010101111100001100100100000001 , +b11010101111100001100100100000001 H +b10110 + +b10110 G +1- +1. +0% +#1444000 +0] +1i +0\ +1>" +1@" +1g +09" +0;" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0m +0/" +b101101101000101111100000110111 / +b101101101000101111100000110111 K +b101101101000101111100000110111 e +b101101101000101111100000110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010101111100001100100100000001 &" +b11010101111100001100100100000001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1444010 +b11010101111100001100100100000001 Y" +#1444500 +b1001001010101110101101010101101 2 +b1001001010101110101101010101101 I +b1001001010101110101101010101101 Z +b11101 3 +b11101 J +b11101 Y +b1001001010101110101101010101101 , +b1001001010101110101101010101101 H +b11101 + +b11101 G +1. +0% +#1445000 +0^ +1\ +0[ +1=" +b10 3" +1d +0b +1a +b1001001010101110101101010101101 &" +b1001001010101110101101010101101 ?" +b11101 %" +b11101 *" +b11101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1445010 +b1001001010101110101101010101101 `" +#1445500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1446000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1446500 +b11010110110100001111110100100010 2 +b11010110110100001111110100100010 I +b11010110110100001111110100100010 Z +b10100 3 +b10100 J +b10100 Y +11 +b11010110110100001111110100100010 , +b11010110110100001111110100100010 H +b10100 + +b10100 G +1- +1. +0% +#1447000 +0] +1>" +1@" +b1011 3" +1^ +0_ +1<" +1` +12" +b11010110110100001111110100100010 &" +b11010110110100001111110100100010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +0. +1% +#1447010 +b11010110110100001111110100100010 W" +#1447500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1448000 +1] +0>" +0@" +b11111 3" +0^ +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1448500 +b10110 5 +b10110 L +b10110 W +14 +b10110 ' +b10110 D +1) +1. +0% +#1449000 +0i +b11010101111100001100100100000001 { +b11010101111100001100100100000001 A" +0h +1:" +1;" +b1001 0" +1j +0k +18" +1l +1n +1/" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#1449500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b100110001000011011001001101 2 +b100110001000011011001001101 I +b100110001000011011001001101 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b100110001000011011001001101 , +b100110001000011011001001101 H +b11011 + +b11011 G +1- +1. +0% +#1450000 +0] +1i +b10010100110000010000000100111101 | +b10010100110000010000000100111101 B" +0\ +0[ +1=" +1@" +1h +0:" +0;" +0q +17" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +1b +1a +12" +0n +0/" +1w +1," +b11010101111100001100100100000001 / +b11010101111100001100100100000001 K +b11010101111100001100100100000001 e +b11010101111100001100100100000001 "" +b100110001000011011001001101 &" +b100110001000011011001001101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#1450010 +b100110001000011011001001101 ^" +#1450500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1451000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010100110000010000000100111101 0 +b10010100110000010000000100111101 M +b10010100110000010000000100111101 f +b10010100110000010000000100111101 !" +0. +1% +#1451500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1452000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1452500 +b111 5 +b111 L +b111 W +14 +b11001001100100100101111111011000 2 +b11001001100100100101111111011000 I +b11001001100100100101111111011000 Z +b10100 3 +b10100 J +b10100 Y +11 +b111 ' +b111 D +1) +b11001001100100100101111111011000 , +b11001001100100100101111111011000 H +b10100 + +b10100 G +1- +1. +0% +#1453000 +0] +0i +b10110110011011010111101110000001 { +b10110110011011010111101110000001 A" +1>" +1@" +0h +0g +1:" +1;" +b1011 3" +1^ +0_ +1<" +1` +b11000 0" +1j +1k +12" +1n +1m +1/" +b11001001100100100101111111011000 &" +b11001001100100100101111111011000 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1453010 +b11001001100100100101111111011000 W" +#1453500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b1001011010101101000001110000000 2 +b1001011010101101000001110000000 I +b1001011010101101000001110000000 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b11 & +b11 C +1( +b1001011010101101000001110000000 , +b1001011010101101000001110000000 H +b10000 + +b10000 G +1. +0% +#1454000 +1] +1i +b10101111010101110011100101101 | +b10101111010101110011100101101 B" +0>" +1h +1g +0:" +0;" +0r +0q +17" +b1111 3" +0^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11100 -" +1u +0n +0m +0/" +1x +1w +1," +b10110110011011010111101110000001 / +b10110110011011010111101110000001 K +b10110110011011010111101110000001 e +b10110110011011010111101110000001 "" +b1001011010101101000001110000000 &" +b1001011010101101000001110000000 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#1454010 +b1001011010101101000001110000000 S" +#1454500 +b1001 5 +b1001 L +b1001 W +14 +b1010 7 +b1010 N +b1010 V +b10111100110101110001010000001 2 +b10111100110101110001010000001 I +b10111100110101110001010000001 Z +b11110 3 +b11110 J +b11110 Y +b1001 ' +b1001 D +1) +b1010 & +b1010 C +b10111100110101110001010000001 , +b10111100110101110001010000001 H +b11110 + +b11110 G +1. +0% +#1455000 +0] +0i +b1110110101011001111010001001001 { +b1110110101011001111010001001001 A" +0s +0\ +1=" +1>" +0g +19" +1;" +1q +15" +b1 3" +0^ +0c +1d +b10110 0" +1o +1k +b10101 -" +1y +b11111100101011010100011000110010 | +b11111100101011010100011000110010 B" +1b +1m +1/" +0w +b10111100110101110001010000001 &" +b10111100110101110001010000001 ?" +b11110 %" +b11110 *" +b11110 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b1010 } +b1010 (" +b1010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101111010101110011100101101 0 +b10101111010101110011100101101 M +b10101111010101110011100101101 f +b10101111010101110011100101101 !" +0. +1% +#1455010 +b10111100110101110001010000001 a" +#1455500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110100110110111110111010100101 2 +b110100110110111110111010100101 I +b110100110110111110111010100101 Z +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110100110110111110111010100101 , +b110100110110111110111010100101 H +1. +0% +#1456000 +1i +1s +1g +09" +0;" +1r +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0," +b1110110101011001111010001001001 / +b1110110101011001111010001001001 K +b1110110101011001111010001001001 e +b1110110101011001111010001001001 "" +b11111100101011010100011000110010 0 +b11111100101011010100011000110010 M +b11111100101011010100011000110010 f +b11111100101011010100011000110010 !" +b110100110110111110111010100101 &" +b110100110110111110111010100101 ?" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1456010 +b110100110110111110111010100101 a" +#1456500 +b11011 5 +b11011 L +b11011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1457000 +1] +0i +b100110001000011011001001101 { +b100110001000011011001001101 A" +1\ +0=" +0>" +0@" +0h +0g +19" +1;" +b11111 3" +0d +0<" +0` +b100 0" +1o +0k +18" +1l +0b +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1457500 +b11101 5 +b11101 L +b11101 W +b11101 ' +b11101 D +1. +0% +#1458000 +0o +1h +1:" +b10 0" +1p +b1001001010101110101101010101101 { +b1001001010101110101101010101101 A" +0n +b100110001000011011001001101 / +b100110001000011011001001101 K +b100110001000011011001001101 e +b100110001000011011001001101 "" +b11101 ~ +b11101 )" +b11101 ." +0. +1% +#1458500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1459000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1001001010101110101101010101101 / +b1001001010101110101101010101101 K +b1001001010101110101101010101101 e +b1001001010101110101101010101101 "" +0. +1% +#1459500 +1. +0% +#1460000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1460500 +1. +0% +#1461000 +0. +1% +#1461500 +1. +0% +#1462000 +0. +1% +#1462500 +1. +0% +#1463000 +0. +1% +#1463500 +1. +0% +#1464000 +0. +1% +#1464500 +b10110010010111110110100111111110 2 +b10110010010111110110100111111110 I +b10110010010111110110100111111110 Z +b11101 3 +b11101 J +b11101 Y +11 +b10110010010111110110100111111110 , +b10110010010111110110100111111110 H +b11101 + +b11101 G +1- +1. +0% +#1465000 +0] +0[ +1=" +1>" +1@" +b10 3" +0^ +0c +1d +0_ +1<" +1` +1a +12" +b10110010010111110110100111111110 &" +b10110010010111110110100111111110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +0. +1% +#1465010 +b10110010010111110110100111111110 `" +#1465500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1466000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1466500 +1. +0% +#1467000 +0. +1% +#1467500 +b1010 7 +b1010 N +b1010 V +16 +b10101000100111110000000100010010 2 +b10101000100111110000000100010010 I +b10101000100111110000000100010010 Z +b11010 3 +b11010 J +b11010 Y +11 +b1010 & +b1010 C +1( +b10101000100111110000000100010010 , +b10101000100111110000000100010010 H +b11010 + +b11010 G +1- +1. +0% +#1468000 +0] +0s +b11111100101011010100011000110010 | +b11111100101011010100011000110010 B" +0\ +1=" +1@" +0r +15" +17" +b101 3" +1c +0_ +1<" +1` +b10101 -" +1y +1u +1b +12" +1x +1," +b10101000100111110000000100010010 &" +b10101000100111110000000100010010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#1468010 +b10101000100111110000000100010010 ]" +#1468500 +b0 7 +b0 N +b0 V +06 +b100010011110010010000100011101 2 +b100010011110010010000100011101 I +b100010011110010010000100011101 Z +b11011 3 +b11011 J +b11011 Y +b0 & +b0 C +0( +b100010011110010010000100011101 , +b100010011110010010000100011101 H +b11011 + +b11011 G +1. +0% +#1469000 +1s +0[ +1r +05" +07" +b100 3" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0x +0," +b100010011110010010000100011101 &" +b100010011110010010000100011101 ?" +b11011 %" +b11011 *" +b11011 1" +b0 } +b0 (" +b0 +" +0#" +b11111100101011010100011000110010 0 +b11111100101011010100011000110010 M +b11111100101011010100011000110010 f +b11111100101011010100011000110010 !" +0. +1% +#1469010 +b100010011110010010000100011101 ^" +#1469500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1470000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1470500 +b10011 7 +b10011 N +b10011 V +16 +b11110000010000111110101011111111 2 +b11110000010000111110101011111111 I +b11110000010000111110101011111111 Z +b11110 3 +b11110 J +b11110 Y +11 +b10011 & +b10011 C +1( +b11110000010000111110101011111111 , +b11110000010000111110101011111111 H +b11110 + +b11110 G +1- +1. +0% +#1471000 +0] +b11110110001101111011010100011110 | +b11110110001101111011010100011110 B" +0\ +1=" +1>" +1@" +0r +0q +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1100 -" +0u +14" +1v +1b +12" +1x +1w +1," +b11110000010000111110101011111111 &" +b11110000010000111110101011111111 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#1471010 +b11110000010000111110101011111111 a" +#1471500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1472000 +1] +1\ +0=" +0>" +0@" +1r +1q +07" +b11111 3" +0d +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0w +0," +b11110110001101111011010100011110 0 +b11110110001101111011010100011110 M +b11110110001101111011010100011110 f +b11110110001101111011010100011110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1472500 +1. +0% +#1473000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1473500 +b101 5 +b101 L +b101 W +14 +b101 ' +b101 D +1) +1. +0% +#1474000 +0i +b10001011101110000110001111000101 { +b10001011101110000110001111000101 A" +0g +1:" +1;" +b11010 0" +1j +1k +1m +1/" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#1474500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b11101100101111110011100100100010 2 +b11101100101111110011100100100010 I +b11101100101111110011100100100010 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b11101100101111110011100100100010 , +b11101100101111110011100100100010 H +b10111 + +b10111 G +1- +1. +0% +#1475000 +0] +1i +0s +b11001001100100100101111111011000 | +b11001001100100100101111111011000 B" +0\ +0[ +1>" +1@" +1g +0:" +0;" +16" +17" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1011 -" +1t +0u +14" +1v +1b +1a +12" +0m +0/" +1," +b11101100101111110011100100100010 &" +b11101100101111110011100100100010 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b10001011101110000110001111000101 / +b10001011101110000110001111000101 K +b10001011101110000110001111000101 e +b10001011101110000110001111000101 "" +0. +1% +#1475010 +b11101100101111110011100100100010 Z" +#1475500 +b10100 5 +b10100 L +b10100 W +14 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1476000 +15" +1] +0i +b11001001100100100101111111011000 { +b11001001100100100101111111011000 A" +1y +1\ +1[ +0>" +0@" +1:" +1;" +0q +06" +b11111 3" +0^ +0<" +0` +b1011 0" +1j +0k +18" +1l +b110 -" +0t +b101101101000101111100000110111 | +b101101101000101111100000110111 B" +0b +0a +02" +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001001100100100101111111011000 0 +b11001001100100100101111111011000 M +b11001001100100100101111111011000 f +b11001001100100100101111111011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#1476500 +b111 5 +b111 L +b111 W +b0 7 +b0 N +b0 V +06 +b111 ' +b111 D +b0 & +b0 C +0( +1. +0% +#1477000 +1k +1s +0h +0g +1;" +1q +05" +07" +b11000 0" +08" +0l +b10110110011011010111101110000001 { +b10110110011011010111101110000001 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +0w +0," +b111 ~ +b111 )" +b111 ." +b0 } +b0 (" +b0 +" +0#" +b11001001100100100101111111011000 / +b11001001100100100101111111011000 K +b11001001100100100101111111011000 e +b11001001100100100101111111011000 "" +b101101101000101111100000110111 0 +b101101101000101111100000110111 M +b101101101000101111100000110111 f +b101101101000101111100000110111 !" +0. +1% +#1477500 +b1000 5 +b1000 L +b1000 W +b1000 ' +b1000 D +1. +0% +#1478000 +19" +1o +1h +1g +0:" +b10111 0" +0j +b10111110000110101011001010111111 { +b10111110000110101011001010111111 A" +0n +0m +b10110110011011010111101110000001 / +b10110110011011010111101110000001 K +b10110110011011010111101110000001 e +b10110110011011010111101110000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000 ~ +b1000 )" +b1000 ." +0. +1% +#1478500 +b0 5 +b0 L +b0 W +04 +b1001101111110111001100111010110 2 +b1001101111110111001100111010110 I +b1001101111110111001100111010110 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b1001101111110111001100111010110 , +b1001101111110111001100111010110 H +b1000 + +b1000 G +1- +1. +0% +#1479000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1=" +1@" +09" +0;" +b10111 3" +1c +1_ +b11111 0" +0o +0k +12" +0/" +b1001101111110111001100111010110 &" +b1001101111110111001100111010110 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111110000110101011001010111111 / +b10111110000110101011001010111111 K +b10111110000110101011001010111111 e +b10111110000110101011001010111111 "" +0. +1% +#1479010 +b1001101111110111001100111010110 K" +#1479500 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1480000 +1] +0s +b10101000100111110000000100010010 | +b10101000100111110000000100010010 B" +0=" +0@" +0r +15" +17" +b11111 3" +0c +0_ +b101 -" +1y +0u +14" +1v +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#1480500 +b10010 7 +b10010 N +b10010 V +b10010 & +b10010 C +1. +0% +#1481000 +1s +b11011110100000001111000110110010 | +b11011110100000001111000110110010 B" +05" +b1101 -" +0y +b10010 } +b10010 (" +b10010 +" +b10101000100111110000000100010010 0 +b10101000100111110000000100010010 M +b10101000100111110000000100010010 f +b10101000100111110000000100010010 !" +0. +1% +#1481500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +1. +0% +#1482000 +0i +b10110010010111110110100111111110 { +b10110010010111110110100111111110 A" +0g +19" +1:" +1;" +1r +07" +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0," +b11011110100000001111000110110010 0 +b11011110100000001111000110110010 M +b11011110100000001111000110110010 f +b11011110100000001111000110110010 !" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1482500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#1483000 +1i +b11110110001101111011010100011110 | +b11110110001101111011010100011110 B" +1g +09" +0:" +0;" +0r +0q +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0u +14" +1v +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b10110010010111110110100111111110 / +b10110010010111110110100111111110 K +b10110010010111110110100111111110 e +b10110010010111110110100111111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1483500 +b0 7 +b0 N +b0 V +06 +b10100011000001000000110100011111 2 +b10100011000001000000110100011111 I +b10100011000001000000110100011111 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 & +b0 C +0( +b10100011000001000000110100011111 , +b10100011000001000000110100011111 H +b10001 + +b10001 G +1- +1. +0% +#1484000 +0[ +1@" +1r +1q +07" +b1110 3" +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110001101111011010100011110 0 +b11110110001101111011010100011110 M +b11110110001101111011010100011110 f +b11110110001101111011010100011110 !" +b10100011000001000000110100011111 &" +b10100011000001000000110100011111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1484010 +b10100011000001000000110100011111 T" +#1484500 +b11110001000110011111000101110110 2 +b11110001000110011111000101110110 I +b11110001000110011111000101110110 Z +b10101 3 +b10101 J +b10101 Y +b11110001000110011111000101110110 , +b11110001000110011111000101110110 H +b10101 + +b10101 G +1. +0% +#1485000 +0] +1>" +b1010 3" +1^ +b11110001000110011111000101110110 &" +b11110001000110011111000101110110 ?" +b10101 %" +b10101 *" +b10101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1485010 +b11110001000110011111000101110110 X" +#1485500 +b10101 5 +b10101 L +b10101 W +14 +b10111 7 +b10111 N +b10111 V +16 +b1100100011111011000000110100 2 +b1100100011111011000000110100 I +b1100100011111011000000110100 Z +b1101 3 +b1101 J +b1101 Y +b10101 ' +b10101 D +1) +b10111 & +b10111 C +1( +b1100100011111011000000110100 , +b1100100011111011000000110100 H +b1101 + +b1101 G +1. +0% +#1486000 +1_ +0^ +0i +b11110001000110011111000101110110 { +b11110001000110011111000101110110 A" +0s +b11101100101111110011100100100010 | +b11101100101111110011100100100010 B" +1@" +1=" +0g +1:" +1;" +0r +0q +16" +17" +b10010 3" +0<" +0` +1d +b1010 0" +1j +0k +18" +1l +b1000 -" +1t +0u +14" +1v +1m +1/" +1x +1w +1," +b1100100011111011000000110100 &" +b1100100011111011000000110100 ?" +b1101 %" +b1101 *" +b1101 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#1486010 +b1100100011111011000000110100 P" +#1486500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11010 & +b11010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1487000 +15" +1] +1i +1y +1[ +0=" +0>" +0@" +1g +0:" +0;" +1q +06" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b101 -" +0t +b10101000100111110000000100010010 | +b10101000100111110000000100010010 B" +0a +02" +0m +0/" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +b11110001000110011111000101110110 / +b11110001000110011111000101110110 K +b11110001000110011111000101110110 e +b11110001000110011111000101110110 "" +b11101100101111110011100100100010 0 +b11101100101111110011100100100010 M +b11101100101111110011100100100010 f +b11101100101111110011100100100010 !" +0. +1% +#1487500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b100111000100111001001110100101 2 +b100111000100111001001110100101 I +b100111000100111001001110100101 Z +b1001 3 +b1001 J +b1001 Y +11 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +b100111000100111001001110100101 , +b100111000100111001001110100101 H +b1001 + +b1001 G +1- +1. +0% +#1488000 +0] +0i +b1100100011111011000000110100 { +b1100100011111011000000110100 A" +1s +0[ +1=" +1@" +0g +19" +1:" +1;" +1r +05" +07" +b10110 3" +1c +1_ +b10010 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000100111110000000100010010 0 +b10101000100111110000000100010010 M +b10101000100111110000000100010010 f +b10101000100111110000000100010010 !" +b100111000100111001001110100101 &" +b100111000100111001001110100101 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1488010 +b100111000100111001001110100101 L" +#1488500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1489000 +1] +1i +0s +b10101000100111110000000100010010 | +b10101000100111110000000100010010 B" +1[ +0=" +0@" +1g +09" +0:" +0;" +0r +15" +17" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b101 -" +1y +0u +14" +1v +0a +02" +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b1100100011111011000000110100 / +b1100100011111011000000110100 K +b1100100011111011000000110100 e +b1100100011111011000000110100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1489500 +b10100 7 +b10100 N +b10100 V +b10001110101101110110011011010111 2 +b10001110101101110110011011010111 I +b10001110101101110110011011010111 Z +b100 3 +b100 J +b100 Y +11 +b10100 & +b10100 C +b10001110101101110110011011010111 , +b10001110101101110110011011010111 H +b100 + +b100 G +1- +1. +0% +#1490000 +16" +0] +1t +1>" +1@" +1r +05" +b11011 3" +1^ +1_ +b1011 -" +0y +b11001001100100100101111111011000 | +b11001001100100100101111111011000 B" +12" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000100111110000000100010010 0 +b10101000100111110000000100010010 M +b10101000100111110000000100010010 f +b10101000100111110000000100010010 !" +b10001110101101110110011011010111 &" +b10001110101101110110011011010111 ?" +b100 %" +b100 *" +b100 1" +1'" +b10100 } +b10100 (" +b10100 +" +0. +1% +#1490010 +b10001110101101110110011011010111 G" +#1490500 +b10111 5 +b10111 L +b10111 W +14 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1491000 +1] +0i +b11101100101111110011100100100010 { +b11101100101111110011100100100010 A" +1s +0>" +0@" +0h +0g +1:" +1;" +0r +0q +06" +b11111 3" +0^ +0_ +b1000 0" +1j +0k +18" +1l +b1100 -" +0t +b11110110001101111011010100011110 | +b11110110001101111011010100011110 B" +02" +1n +1m +1/" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10011 } +b10011 (" +b10011 +" +b11001001100100100101111111011000 0 +b11001001100100100101111111011000 M +b11001001100100100101111111011000 f +b11001001100100100101111111011000 !" +0. +1% +#1491500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b0 ' +b0 D +0) +b110 & +b110 C +1. +0% +#1492000 +1i +1u +0s +1h +1g +0:" +0;" +1q +17" +16" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +04" +0v +1t +b10111010000110000101001110000110 | +b10111010000110000101001110000110 B" +0n +0m +0/" +0w +b11101100101111110011100100100010 / +b11101100101111110011100100100010 K +b11101100101111110011100100100010 e +b11101100101111110011100100100010 "" +b11110110001101111011010100011110 0 +b11110110001101111011010100011110 M +b11110110001101111011010100011110 f +b11110110001101111011010100011110 !" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +0. +1% +#1492500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#1493000 +0i +b11010101111100001100100100000001 { +b11010101111100001100100100000001 A" +1s +0h +1:" +1;" +1r +06" +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0," +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111010000110000101001110000110 0 +b10111010000110000101001110000110 M +b10111010000110000101001110000110 f +b10111010000110000101001110000110 !" +0. +1% +#1493500 +b11100 5 +b11100 L +b11100 W +b10001 7 +b10001 N +b10001 V +16 +b100011011101101101011011100010 2 +b100011011101101101011011100010 I +b100011011101101101011011100010 Z +b111 3 +b111 J +b111 Y +11 +b11100 ' +b11100 D +b10001 & +b10001 C +1( +b100011011101101101011011100010 , +b100011011101101101011011100010 H +b111 + +b111 G +1- +1. +0% +#1494000 +0] +0j +b10100011000001000000110100011111 | +b10100011000001000000110100011111 B" +0\ +0[ +1>" +1@" +1h +19" +0q +17" +b11000 3" +1^ +1_ +b11 0" +1p +b11110010001011111011100000110101 { +b11110010001011111011100000110101 A" +b1110 -" +0u +14" +1v +1b +1a +12" +0n +1w +1," +b11010101111100001100100100000001 / +b11010101111100001100100100000001 K +b11010101111100001100100100000001 e +b11010101111100001100100100000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100011011101101101011011100010 &" +b100011011101101101011011100010 ?" +b111 %" +b111 *" +b111 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#1494010 +b100011011101101101011011100010 J" +#1494500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +06 +b11011011110111001001000101001100 2 +b11011011110111001001000101001100 I +b11011011110111001001000101001100 Z +b1001 3 +b1001 J +b1001 Y +b1111 ' +b1111 D +b0 & +b0 C +0( +b11011011110111001001000101001100 , +b11011011110111001001000101001100 H +b1001 + +b1001 G +1. +0% +#1495000 +1=" +1c +1k +1\ +0>" +0h +0g +1;" +1q +07" +b10110 3" +0^ +b10000 0" +08" +0l +b1010111011010000000111111011011 { +b1010111011010000000111111011011 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1n +1m +0w +0," +b11011011110111001001000101001100 &" +b11011011110111001001000101001100 ?" +b1001 %" +b1001 *" +b1001 1" +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0#" +b11110010001011111011100000110101 / +b11110010001011111011100000110101 K +b11110010001011111011100000110101 e +b11110010001011111011100000110101 "" +b10100011000001000000110100011111 0 +b10100011000001000000110100011111 M +b10100011000001000000110100011111 f +b10100011000001000000110100011111 !" +0. +1% +#1495010 +b11011011110111001001000101001100 L" +#1495500 +b0 5 +b0 L +b0 W +04 +b11100101010010101100011001111111 2 +b11100101010010101100011001111111 I +b11100101010010101100011001111111 Z +b11011 3 +b11011 J +b11011 Y +b0 ' +b0 D +0) +b11100101010010101100011001111111 , +b11100101010010101100011001111111 H +b11011 + +b11011 G +1. +0% +#1496000 +0_ +1i +0\ +1h +1g +09" +0:" +0;" +b100 3" +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0n +0m +0/" +b1010111011010000000111111011011 / +b1010111011010000000111111011011 K +b1010111011010000000111111011011 e +b1010111011010000000111111011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100101010010101100011001111111 &" +b11100101010010101100011001111111 ?" +b11011 %" +b11011 *" +b11011 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1496010 +b11100101010010101100011001111111 ^" +#1496500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1497000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1497500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#1498000 +0s +b11110000010000111110101011111111 | +b11110000010000111110101011111111 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#1498500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +1. +0% +#1499000 +0i +b11011010101010101011010111011000 { +b11011010101010101011010111011000 A" +1s +0h +0g +19" +1;" +1r +05" +06" +07" +b10100 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11110000010000111110101011111111 0 +b11110000010000111110101011111111 M +b11110000010000111110101011111111 f +b11110000010000111110101011111111 !" +0. +1% +#1499500 +b11000 5 +b11000 L +b11000 W +b11001 7 +b11001 N +b11001 V +16 +b11000 ' +b11000 D +b11001 & +b11001 C +1( +1. +0% +#1500000 +0k +0s +b101101101000101111100000110111 | +b101101101000101111100000110111 B" +1h +1g +0q +15" +17" +b111 0" +18" +1l +b101101001011010010101110011111 { +b101101001011010010101110011111 A" +b110 -" +1y +0u +14" +1v +0n +0m +1w +1," +b11011010101010101011010111011000 / +b11011010101010101011010111011000 K +b11011010101010101011010111011000 e +b11011010101010101011010111011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000 ~ +b11000 )" +b11000 ." +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1500500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b1010100011010111111101011101110 2 +b1010100011010111111101011101110 I +b1010100011010111111101011101110 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b101 & +b101 C +b1010100011010111111101011101110 , +b1010100011010111111101011101110 H +b11 + +b11 G +1- +1. +0% +#1501000 +16" +1i +1u +1t +0\ +0[ +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +17" +b10001011101110000110001111000101 | +b10001011101110000110001111000101 B" +05" +b11100 3" +1_ +b11111 0" +0o +08" +0l +b11010 -" +04" +0v +0y +1b +1a +12" +0/" +b1010100011010111111101011101110 &" +b1010100011010111111101011101110 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b101101001011010010101110011111 / +b101101001011010010101110011111 K +b101101001011010010101110011111 e +b101101001011010010101110011111 "" +b101101101000101111100000110111 0 +b101101101000101111100000110111 M +b101101101000101111100000110111 f +b101101101000101111100000110111 !" +0. +1% +#1501010 +b1010100011010111111101011101110 F" +#1501500 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1502000 +15" +0u +1y +1\ +1[ +0@" +0r +06" +b11111 3" +0_ +b100 -" +14" +1v +0t +b11100101010010101100011001111111 | +b11100101010010101100011001111111 B" +0b +0a +02" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001011101110000110001111000101 0 +b10001011101110000110001111000101 M +b10001011101110000110001111000101 f +b10001011101110000110001111000101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +0. +1% +#1502500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +1. +0% +#1503000 +0i +b1001101111110111001100111010110 { +b1001101111110111001100111010110 A" +1s +19" +1;" +1r +1q +05" +07" +b10111 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0w +0," +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11100101010010101100011001111111 0 +b11100101010010101100011001111111 M +b11100101010010101100011001111111 f +b11100101010010101100011001111111 !" +0. +1% +#1503500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1504000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b1001101111110111001100111010110 / +b1001101111110111001100111010110 K +b1001101111110111001100111010110 e +b1001101111110111001100111010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1504500 +b10001111001101100111001100100110 2 +b10001111001101100111001100100110 I +b10001111001101100111001100100110 Z +b10100 3 +b10100 J +b10100 Y +11 +b10001111001101100111001100100110 , +b10001111001101100111001100100110 H +b10100 + +b10100 G +1- +1. +0% +#1505000 +0] +1>" +1@" +b1011 3" +1^ +0_ +1<" +1` +12" +b10001111001101100111001100100110 &" +b10001111001101100111001100100110 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1505010 +b10001111001101100111001100100110 W" +#1505500 +b11011 7 +b11011 N +b11011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1506000 +1] +0s +b11100101010010101100011001111111 | +b11100101010010101100011001111111 B" +0>" +0@" +0r +0q +15" +17" +b11111 3" +0^ +0<" +0` +b100 -" +1y +0u +14" +1v +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#1506500 +b11100 7 +b11100 N +b11100 V +b11100 & +b11100 C +1. +0% +#1507000 +0y +1r +1q +16" +b11 -" +1z +b11110010001011111011100000110101 | +b11110010001011111011100000110101 B" +0x +0w +b11100 } +b11100 (" +b11100 +" +b11100101010010101100011001111111 0 +b11100101010010101100011001111111 M +b11100101010010101100011001111111 f +b11100101010010101100011001111111 !" +0. +1% +#1507500 +b1100 5 +b1100 L +b1100 W +14 +b10 7 +b10 N +b10 V +b11110110011000111000001111011000 2 +b11110110011000111000001111011000 I +b11110110011000111000001111011000 Z +b1 3 +b1 J +b1 Y +11 +b1100 ' +b1100 D +1) +b10 & +b10 C +b11110110011000111000001111011000 , +b11110110011000111000001111011000 H +b1 + +b1 G +1- +1. +0% +#1508000 +0i +b11011110110100101000100110000001 { +b11011110110100101000100110000001 A" +1u +1s +0[ +1@" +19" +1:" +1;" +0r +17" +05" +06" +b11110 3" +1_ +b10011 0" +0j +0o +1p +1k +b11101 -" +04" +0v +0z +b111110011101010000011001111 | +b111110011101010000011001111 B" +1a +12" +1/" +1x +b11110010001011111011100000110101 0 +b11110010001011111011100000110101 M +b11110010001011111011100000110101 f +b11110010001011111011100000110101 !" +b11110110011000111000001111011000 &" +b11110110011000111000001111011000 ?" +b1 %" +b1 *" +b1 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b10 } +b10 (" +b10 +" +0. +1% +#1508010 +b11110110011000111000001111011000 D" +#1508500 +b10110 5 +b10110 L +b10110 W +b10111 7 +b10111 N +b10111 V +b11010100000111010010111000100101 2 +b11010100000111010010111000100101 I +b11010100000111010010111000100101 Z +b1000 3 +b1000 J +b1000 Y +b10110 ' +b10110 D +b10111 & +b10111 C +b11010100000111010010111000100101 , +b11010100000111010010111000100101 H +b1000 + +b1000 G +1. +0% +#1509000 +0] +0k +1j +0u +0s +1[ +1=" +0h +09" +1:" +0q +16" +b10111 3" +1c +b1001 0" +18" +1l +0p +b11010101111100001100100100000001 { +b11010101111100001100100100000001 A" +b1000 -" +14" +1v +1t +b11101100101111110011100100100010 | +b11101100101111110011100100100010 B" +0a +1n +1w +b11010100000111010010111000100101 &" +b11010100000111010010111000100101 ?" +b1000 %" +b1000 *" +b1000 1" +b10110 ~ +b10110 )" +b10110 ." +b10111 } +b10111 (" +b10111 +" +b11011110110100101000100110000001 / +b11011110110100101000100110000001 K +b11011110110100101000100110000001 e +b11011110110100101000100110000001 "" +b111110011101010000011001111 0 +b111110011101010000011001111 M +b111110011101010000011001111 f +b111110011101010000011001111 !" +0. +1% +#1509010 +b11010100000111010010111000100101 K" +#1509500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1510000 +19" +1] +1k +1o +1s +0=" +0@" +1h +0g +1;" +0:" +1r +1q +06" +07" +b11111 3" +0c +0_ +b10110 0" +08" +0l +0j +b11011011110111001001000101001100 { +b11011011110111001001000101001100 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0n +1m +0x +0w +0," +b11010101111100001100100100000001 / +b11010101111100001100100100000001 K +b11010101111100001100100100000001 e +b11010101111100001100100100000001 "" +b11101100101111110011100100100010 0 +b11101100101111110011100100100010 M +b11101100101111110011100100100010 f +b11101100101111110011100100100010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1510500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1511000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11011011110111001001000101001100 / +b11011011110111001001000101001100 K +b11011011110111001001000101001100 e +b11011011110111001001000101001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1511500 +b10101 5 +b10101 L +b10101 W +14 +b110 7 +b110 N +b110 V +16 +b10101 ' +b10101 D +1) +b110 & +b110 C +1( +1. +0% +#1512000 +0i +b11110001000110011111000101110110 { +b11110001000110011111000101110110 A" +0s +b10111010000110000101001110000110 | +b10111010000110000101001110000110 B" +0g +1:" +1;" +0r +16" +17" +b1010 0" +1j +0k +18" +1l +b11001 -" +1t +1u +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101 ~ +b10101 )" +b10101 ." +1$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#1512500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +b0 & +b0 C +0( +1. +0% +#1513000 +19" +1k +1o +1s +1g +1;" +0:" +1r +06" +07" +b10111 0" +08" +0l +0j +b11010100000111010010111000100101 { +b11010100000111010010111000100101 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0x +0," +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +b11110001000110011111000101110110 / +b11110001000110011111000101110110 K +b11110001000110011111000101110110 e +b11110001000110011111000101110110 "" +b10111010000110000101001110000110 0 +b10111010000110000101001110000110 M +b10111010000110000101001110000110 f +b10111010000110000101001110000110 !" +0. +1% +#1513500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1514000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b11010100000111010010111000100101 / +b11010100000111010010111000100101 K +b11010100000111010010111000100101 e +b11010100000111010010111000100101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1514500 +b101 7 +b101 N +b101 V +16 +b11010110110110100001100100110101 2 +b11010110110110100001100100110101 I +b11010110110110100001100100110101 Z +b1000 3 +b1000 J +b1000 Y +11 +b101 & +b101 C +1( +b11010110110110100001100100110101 , +b11010110110110100001100100110101 H +b1000 + +b1000 G +1- +1. +0% +#1515000 +0] +0s +b10001011101110000110001111000101 | +b10001011101110000110001111000101 B" +1=" +1@" +0q +16" +17" +b10111 3" +1c +1_ +b11010 -" +1t +1u +12" +1w +1," +b11010110110110100001100100110101 &" +b11010110110110100001100100110101 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1515010 +b11010110110110100001100100110101 K" +#1515500 +b11110 5 +b11110 L +b11110 W +14 +b10100 7 +b10100 N +b10100 V +b11010010110101111101111101000011 2 +b11010010110101111101111101000011 I +b11010010110101111101111101000011 Z +b10010 3 +b10010 J +b10010 Y +b11110 ' +b11110 D +1) +b10100 & +b10100 C +b11010010110101111101111101000011 , +b11010010110101111101111101000011 H +b10010 + +b10010 G +1. +0% +#1516000 +0_ +1] +0i +b11110000010000111110101011111111 { +b11110000010000111110101011111111 A" +0u +0\ +0=" +0h +19" +1:" +1;" +1q +b1101 3" +1<" +1` +0c +b1 0" +0j +0o +1p +0k +18" +1l +b1011 -" +14" +1v +b10001111001101100111001100100110 | +b10001111001101100111001100100110 B" +1b +1n +1/" +0w +b10001011101110000110001111000101 0 +b10001011101110000110001111000101 M +b10001011101110000110001111000101 f +b10001011101110000110001111000101 !" +b11010010110101111101111101000011 &" +b11010010110101111101111101000011 ?" +b10010 %" +b10010 *" +b10010 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b10100 } +b10100 (" +b10100 +" +0. +1% +#1516010 +b11010010110101111101111101000011 U" +#1516500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10000110010010000000000001011101 2 +b10000110010010000000000001011101 I +b10000110010010000000000001011101 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10000110010010000000000001011101 , +b10000110010010000000000001011101 H +b11001 + +b11001 G +1. +0% +#1517000 +0] +1i +1s +1\ +0[ +1=" +1h +09" +0:" +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b110 3" +1c +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +04" +0v +0b +1a +0n +0/" +0," +b10000110010010000000000001011101 &" +b10000110010010000000000001011101 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110000010000111110101011111111 / +b11110000010000111110101011111111 K +b11110000010000111110101011111111 e +b11110000010000111110101011111111 "" +b10001111001101100111001100100110 0 +b10001111001101100111001100100110 M +b10001111001101100111001100100110 f +b10001111001101100111001100100110 !" +0. +1% +#1517010 +b10000110010010000000000001011101 \" +#1517500 +b10011 5 +b10011 L +b10011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1518000 +1] +b11110110001101111011010100011110 { +b11110110001101111011010100011110 A" +1[ +0=" +0@" +0h +0g +1;" +b11111 3" +0c +0<" +0` +b1100 0" +0k +18" +1l +0a +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#1518500 +b11011 5 +b11011 L +b11011 W +b111 7 +b111 N +b111 V +16 +b11011 ' +b11011 D +b111 & +b111 C +1( +1. +0% +#1519000 +0i +b11100101010010101100011001111111 { +b11100101010010101100011001111111 A" +0s +b100011011101101101011011100010 | +b100011011101101101011011100010 B" +19" +0r +0q +16" +17" +b100 0" +1o +b11000 -" +1t +1u +1x +1w +1," +b11011 ~ +b11011 )" +b11011 ." +b111 } +b111 (" +b111 +" +1#" +b11110110001101111011010100011110 / +b11110110001101111011010100011110 K +b11110110001101111011010100011110 e +b11110110001101111011010100011110 "" +0. +1% +#1519500 +b1100 5 +b1100 L +b1100 W +b11010 7 +b11010 N +b11010 V +b1100111011000011111101001011000 2 +b1100111011000011111101001011000 I +b1100111011000011111101001011000 Z +b1011 3 +b1011 J +b1011 Y +11 +b1100 ' +b1100 D +b11010 & +b11010 C +b1100111011000011111101001011000 , +b1100111011000011111101001011000 H +b1011 + +b1011 G +1- +1. +0% +#1520000 +15" +0] +1k +0o +0u +1y +0\ +0[ +1=" +1@" +1h +1g +1;" +1:" +1q +06" +b10100 3" +1c +1_ +b10011 0" +08" +0l +1p +b11011110110100101000100110000001 { +b11011110110100101000100110000001 A" +b101 -" +14" +1v +0t +b10101000100111110000000100010010 | +b10101000100111110000000100010010 B" +1b +1a +12" +0n +0m +0w +b11100101010010101100011001111111 / +b11100101010010101100011001111111 K +b11100101010010101100011001111111 e +b11100101010010101100011001111111 "" +b100011011101101101011011100010 0 +b100011011101101101011011100010 M +b100011011101101101011011100010 f +b100011011101101101011011100010 !" +b1100111011000011111101001011000 &" +b1100111011000011111101001011000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +b11010 } +b11010 (" +b11010 +" +0. +1% +#1520010 +b1100111011000011111101001011000 N" +#1520500 +b0 5 +b0 L +b0 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1521000 +1] +1i +b11010100111000000111010010111110 { +b11010100111000000111010010111110 A" +1s +1\ +1[ +0=" +0@" +09" +0:" +1r +05" +07" +b11111 3" +0c +0_ +b11111 0" +0p +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +b0 } +b0 (" +b0 +" +0#" +b11011110110100101000100110000001 / +b11011110110100101000100110000001 K +b11011110110100101000100110000001 e +b11011110110100101000100110000001 "" +b10101000100111110000000100010010 0 +b10101000100111110000000100010010 M +b10101000100111110000000100010010 f +b10101000100111110000000100010010 !" +0. +1% +#1521500 +04 +b1111 7 +b1111 N +b1111 V +16 +0) +b1111 & +b1111 C +1( +1. +0% +#1522000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1010111011010000000111111011011 | +b1010111011010000000111111011011 B" +0;" +0r +0q +15" +16" +17" +0k +b10000 -" +0t +0y +1z +1u +0/" +1x +1w +1," +b11010100111000000111010010111110 / +b11010100111000000111010010111110 K +b11010100111000000111010010111110 e +b11010100111000000111010010111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#1522500 +b0 7 +b0 N +b0 V +06 +b111010010000100010010110011000 2 +b111010010000100010010110011000 I +b111010010000100010010110011000 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 & +b0 C +0( +b111010010000100010010110011000 , +b111010010000100010010110011000 H +b10010 + +b10010 G +1- +1. +0% +#1523000 +1s +0\ +1@" +1r +1q +05" +06" +07" +b1101 3" +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0w +0," +b111010010000100010010110011000 &" +b111010010000100010010110011000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010111011010000000111111011011 0 +b1010111011010000000111111011011 M +b1010111011010000000111111011011 f +b1010111011010000000111111011011 !" +0. +1% +#1523010 +b111010010000100010010110011000 U" +#1523500 +b11100101011100100001001011100011 2 +b11100101011100100001001011100011 I +b11100101011100100001001011100011 Z +b1101 3 +b1101 J +b1101 Y +b11100101011100100001001011100011 , +b11100101011100100001001011100011 H +b1101 + +b1101 G +1. +0% +#1524000 +1_ +0] +1\ +0[ +1@" +1=" +1>" +b10010 3" +0<" +0` +0^ +0c +1d +0b +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100101011100100001001011100011 &" +b11100101011100100001001011100011 ?" +b1101 %" +b1101 *" +b1101 1" +0. +1% +#1524010 +b11100101011100100001001011100011 P" +#1524500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1525000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1525500 +b1111 7 +b1111 N +b1111 V +16 +b1111 & +b1111 C +1( +1. +0% +#1526000 +0s +b1010111011010000000111111011011 | +b1010111011010000000111111011011 B" +0r +0q +15" +16" +17" +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#1526500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b11101010110111100010100010100110 2 +b11101010110111100010100010100110 I +b11101010110111100010100010100110 Z +b10101 3 +b10101 J +b10101 Y +11 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +b11101010110111100010100010100110 , +b11101010110111100010100010100110 H +b10101 + +b10101 G +1- +1. +0% +#1527000 +0] +0i +b11110001011111001110001111011101 { +b11110001011111001110001111011101 A" +1s +0[ +1>" +1@" +0h +19" +1:" +1;" +1r +1q +05" +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b10001 0" +0j +0o +1p +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1n +1/" +0x +0w +0," +b11101010110111100010100010100110 &" +b11101010110111100010100010100110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1010111011010000000111111011011 0 +b1010111011010000000111111011011 M +b1010111011010000000111111011011 f +b1010111011010000000111111011011 !" +0. +1% +#1527010 +b11101010110111100010100010100110 X" +#1527500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1528000 +1] +1i +1[ +0>" +0@" +1h +09" +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0n +0/" +b11110001011111001110001111011101 / +b11110001011111001110001111011101 K +b11110001011111001110001111011101 e +b11110001011111001110001111011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1528500 +1. +0% +#1529000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1529500 +1. +0% +#1530000 +0. +1% +#1530500 +1. +0% +#1531000 +0. +1% +#1531500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#1532000 +b11110110001101111011010100011110 { +b11110110001101111011010100011110 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#1532500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b0 ' +b0 D +0) +b1 & +b1 C +1( +1. +0% +#1533000 +b11110110011000111000001111011000 | +b11110110011000111000001111011000 B" +1h +1g +0;" +0q +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +1u +0n +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b11110110001101111011010100011110 / +b11110110001101111011010100011110 K +b11110110001101111011010100011110 e +b11110110001101111011010100011110 "" +0. +1% +#1533500 +b111 5 +b111 L +b111 W +14 +b10101 7 +b10101 N +b10101 V +b11010110100100110101100011111001 2 +b11010110100100110101100011111001 I +b11010110100100110101100011111001 Z +b1011 3 +b1011 J +b1011 Y +11 +b111 ' +b111 D +1) +b10101 & +b10101 C +b11010110100100110101100011111001 , +b11010110100100110101100011111001 H +b1011 + +b1011 G +1- +1. +0% +#1534000 +0] +0i +b100011011101101101011011100010 { +b100011011101101101011011100010 A" +0u +0s +0\ +0[ +1=" +1@" +0h +0g +1:" +1;" +b11101010110111100010100010100110 | +b11101010110111100010100010100110 B" +16" +b10100 3" +1c +1_ +b11000 0" +1j +1k +b1010 -" +14" +1v +1t +1b +1a +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110011000111000001111011000 0 +b11110110011000111000001111011000 M +b11110110011000111000001111011000 f +b11110110011000111000001111011000 !" +b11010110100100110101100011111001 &" +b11010110100100110101100011111001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b10101 } +b10101 (" +b10101 +" +0. +1% +#1534010 +b11010110100100110101100011111001 N" +#1534500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1535000 +1] +1i +1s +1\ +1[ +0=" +0@" +1h +1g +0:" +0;" +1q +06" +07" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0n +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b100011011101101101011011100010 / +b100011011101101101011011100010 K +b100011011101101101011011100010 e +b100011011101101101011011100010 "" +b11101010110111100010100010100110 0 +b11101010110111100010100010100110 M +b11101010110111100010100010100110 f +b11101010110111100010100010100110 !" +0. +1% +#1535500 +b11111 7 +b11111 N +b11111 V +16 +b11111 & +b11111 C +1( +1. +0% +#1536000 +0s +b11100001111100100111011101000001 | +b11100001111100100111011101000001 B" +0r +0q +15" +16" +17" +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#1536500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1537000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11100001111100100111011101000001 0 +b11100001111100100111011101000001 M +b11100001111100100111011101000001 f +b11100001111100100111011101000001 !" +0. +1% +#1537500 +b10001 5 +b10001 L +b10001 W +14 +b10101100111000010000110110011111 2 +b10101100111000010000110110011111 I +b10101100111000010000110110011111 Z +b10 3 +b10 J +b10 Y +11 +b10001 ' +b10001 D +1) +b10101100111000010000110110011111 , +b10101100111000010000110110011111 H +b10 + +b10 G +1- +1. +0% +#1538000 +b10100011000001000000110100011111 { +b10100011000001000000110100011111 A" +0\ +1@" +0g +1;" +b11101 3" +1_ +b1110 0" +0k +18" +1l +1b +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101100111000010000110110011111 &" +b10101100111000010000110110011111 ?" +b10 %" +b10 *" +b10 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#1538010 +b10101100111000010000110110011111 E" +#1538500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1539000 +1\ +0@" +1g +0;" +b11111 3" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100011000001000000110100011111 / +b10100011000001000000110100011111 K +b10100011000001000000110100011111 e +b10100011000001000000110100011111 "" +0. +1% +#1539500 +b11101 7 +b11101 N +b11101 V +16 +b11101 & +b11101 C +1( +1. +0% +#1540000 +0s +b10110010010111110110100111111110 | +b10110010010111110110100111111110 B" +0q +15" +16" +17" +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#1540500 +b10110 7 +b10110 N +b10110 V +b101111100110101101111110010011 2 +b101111100110101101111110010011 I +b101111100110101101111110010011 Z +b111 3 +b111 J +b111 Y +11 +b10110 & +b10110 C +b101111100110101101111110010011 , +b101111100110101101111110010011 H +b111 + +b111 G +1- +1. +0% +#1541000 +0] +1t +0\ +0[ +1>" +1@" +0r +1q +05" +16" +b11000 3" +1^ +1_ +b1001 -" +0z +b11010101111100001100100100000001 | +b11010101111100001100100100000001 B" +1b +1a +12" +1x +0w +b101111100110101101111110010011 &" +b101111100110101101111110010011 ?" +b111 %" +b111 *" +b111 1" +1'" +b10110 } +b10110 (" +b10110 +" +b10110010010111110110100111111110 0 +b10110010010111110110100111111110 M +b10110010010111110110100111111110 f +b10110010010111110110100111111110 !" +0. +1% +#1541010 +b101111100110101101111110010011 J" +#1541500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1542000 +1] +0i +b101111100110101101111110010011 { +b101111100110101101111110010011 A" +1s +1\ +1[ +0>" +0@" +0h +0g +1:" +1;" +1r +06" +07" +b11111 3" +0^ +0_ +b11000 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1n +1m +1/" +0x +0," +b11010101111100001100100100000001 0 +b11010101111100001100100100000001 M +b11010101111100001100100100000001 f +b11010101111100001100100100000001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1542500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b11000010000110110110011010010000 2 +b11000010000110110110011010010000 I +b11000010000110110110011010010000 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b11000010000110110110011010010000 , +b11000010000110110110011010010000 H +b11101 + +b11101 G +1- +1. +0% +#1543000 +0] +1i +b11110110001101111011010100011110 | +b11110110001101111011010100011110 B" +0[ +1=" +1>" +1@" +1h +1g +0:" +0;" +0r +0q +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +1a +12" +0n +0m +0/" +1x +1w +1," +b11000010000110110110011010010000 &" +b11000010000110110110011010010000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b101111100110101101111110010011 / +b101111100110101101111110010011 K +b101111100110101101111110010011 e +b101111100110101101111110010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1543010 +b11000010000110110110011010010000 `" +#1543500 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1544000 +1] +1u +0s +1[ +0=" +0>" +0@" +17" +b101111100110101101111110010011 | +b101111100110101101111110010011 B" +16" +b11111 3" +0d +0<" +0` +b11000 -" +04" +0v +1t +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110001101111011010100011110 0 +b11110110001101111011010100011110 M +b11110110001101111011010100011110 f +b11110110001101111011010100011110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 } +b111 (" +b111 +" +0. +1% +#1544500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#1545000 +0i +b11010101111100001100100100000001 { +b11010101111100001100100100000001 A" +1s +0h +1:" +1;" +1r +1q +06" +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0w +0," +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b101111100110101101111110010011 0 +b101111100110101101111110010011 M +b101111100110101101111110010011 f +b101111100110101101111110010011 !" +0. +1% +#1545500 +b10100 5 +b10100 L +b10100 W +b10100 ' +b10100 D +1. +0% +#1546000 +1h +b1011 0" +b10001111001101100111001100100110 { +b10001111001101100111001100100110 A" +0n +b11010101111100001100100100000001 / +b11010101111100001100100100000001 K +b11010101111100001100100100000001 e +b11010101111100001100100100000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100 ~ +b10100 )" +b10100 ." +0. +1% +#1546500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1547000 +1i +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0j +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10001111001101100111001100100110 / +b10001111001101100111001100100110 K +b10001111001101100111001100100110 e +b10001111001101100111001100100110 "" +0. +1% +#1547500 +b11100 7 +b11100 N +b11100 V +16 +b1110001000001010000110000000010 2 +b1110001000001010000110000000010 I +b1110001000001010000110000000010 Z +b11110 3 +b11110 J +b11110 Y +11 +b11100 & +b11100 C +1( +b1110001000001010000110000000010 , +b1110001000001010000110000000010 H +b11110 + +b11110 G +1- +1. +0% +#1548000 +0] +0s +b11110010001011111011100000110101 | +b11110010001011111011100000110101 B" +0\ +1=" +1>" +1@" +15" +16" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11 -" +0t +0y +1z +0u +14" +1v +1b +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110001000001010000110000000010 &" +b1110001000001010000110000000010 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#1548010 +b1110001000001010000110000000010 a" +#1548500 +b0 7 +b0 N +b0 V +06 +b11011110111000101100101011010 2 +b11011110111000101100101011010 I +b11011110111000101100101011010 Z +b0 & +b0 C +0( +b11011110111000101100101011010 , +b11011110111000101100101011010 H +1. +0% +#1549000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b11011110111000101100101011010 &" +b11011110111000101100101011010 ?" +b0 } +b0 (" +b0 +" +0#" +b11110010001011111011100000110101 0 +b11110010001011111011100000110101 M +b11110010001011111011100000110101 f +b11110010001011111011100000110101 !" +0. +1% +#1549010 +b11011110111000101100101011010 a" +#1549500 +b10101 5 +b10101 L +b10101 W +14 +b1101110010001111100010010100000 2 +b1101110010001111100010010100000 I +b1101110010001111100010010100000 Z +b101 3 +b101 J +b101 Y +b10101 ' +b10101 D +1) +b1101110010001111100010010100000 , +b1101110010001111100010010100000 H +b101 + +b101 G +1. +0% +#1550000 +1_ +1^ +0i +b11101010110111100010100010100110 { +b11101010110111100010100010100110 A" +1\ +0[ +1@" +0=" +1>" +0g +1:" +1;" +b11010 3" +0<" +0` +0d +b1010 0" +1j +0k +18" +1l +0b +1a +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101110010001111100010010100000 &" +b1101110010001111100010010100000 ?" +b101 %" +b101 *" +b101 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#1550010 +b1101110010001111100010010100000 H" +#1550500 +b1101 5 +b1101 L +b1101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1551000 +1] +1k +0j +1[ +0>" +0@" +1;" +b11100101011100100001001011100011 { +b11100101011100100001001011100011 A" +19" +b11111 3" +0^ +0_ +b10010 0" +08" +0l +1p +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +b11101010110111100010100010100110 / +b11101010110111100010100010100110 K +b11101010110111100010100010100110 e +b11101010110111100010100010100110 "" +0. +1% +#1551500 +b0 5 +b0 L +b0 W +04 +b1000000111011000001101111001001 2 +b1000000111011000001101111001001 I +b1000000111011000001101111001001 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b1000000111011000001101111001001 , +b1000000111011000001101111001001 H +b1000 + +b1000 G +1- +1. +0% +#1552000 +0] +1i +1=" +1@" +1g +09" +0:" +0;" +b10111 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b11100101011100100001001011100011 / +b11100101011100100001001011100011 K +b11100101011100100001001011100011 e +b11100101011100100001001011100011 "" +b1000000111011000001101111001001 &" +b1000000111011000001101111001001 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1552010 +b1000000111011000001101111001001 K" +#1552500 +b11010011100001010101111011111 2 +b11010011100001010101111011111 I +b11010011100001010101111011111 Z +b1110 3 +b1110 J +b1110 Y +b11010011100001010101111011111 , +b11010011100001010101111011111 H +b1110 + +b1110 G +1. +0% +#1553000 +0c +0\ +1>" +b10001 3" +1d +1b +b11010011100001010101111011111 &" +b11010011100001010101111011111 ?" +b1110 %" +b1110 *" +b1110 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1553010 +b11010011100001010101111011111 Q" +#1553500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1554000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1554500 +1. +0% +#1555000 +0. +1% +#1555500 +b10101 5 +b10101 L +b10101 W +14 +b1011011100001001000110101 2 +b1011011100001001000110101 I +b1011011100001001000110101 Z +b10010 3 +b10010 J +b10010 Y +11 +b10101 ' +b10101 D +1) +b1011011100001001000110101 , +b1011011100001001000110101 H +b10010 + +b10010 G +1- +1. +0% +#1556000 +0i +b11101010110111100010100010100110 { +b11101010110111100010100010100110 A" +0\ +1@" +0g +1:" +1;" +b1101 3" +0_ +1<" +1` +b1010 0" +1j +0k +18" +1l +1b +12" +1m +1/" +b1011011100001001000110101 &" +b1011011100001001000110101 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#1556010 +b1011011100001001000110101 U" +#1556500 +b11011 5 +b11011 L +b11011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1557000 +19" +1o +1\ +0@" +0h +0:" +b11111 3" +0<" +0` +b100 0" +0j +b11100101010010101100011001111111 { +b11100101010010101100011001111111 A" +0b +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +b11101010110111100010100010100110 / +b11101010110111100010100010100110 K +b11101010110111100010100010100110 e +b11101010110111100010100010100110 "" +0. +1% +#1557500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 ' +b0 D +0) +b10 & +b10 C +1( +1. +0% +#1558000 +1i +b10101100111000010000110110011111 | +b10101100111000010000110110011111 B" +1h +1g +09" +0;" +0r +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +0n +0m +0/" +1x +1," +b11100101010010101100011001111111 / +b11100101010010101100011001111111 K +b11100101010010101100011001111111 e +b11100101010010101100011001111111 "" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#1558500 +b10001 5 +b10001 L +b10001 W +14 +b11011 7 +b11011 N +b11011 V +b11000010011000111101001110000101 2 +b11000010011000111101001110000101 I +b11000010011000111101001110000101 Z +b100 3 +b100 J +b100 Y +11 +b10001 ' +b10001 D +1) +b11011 & +b11011 C +b11000010011000111101001110000101 , +b11000010011000111101001110000101 H +b100 + +b100 G +1- +1. +0% +#1559000 +0] +b10100011000001000000110100011111 { +b10100011000001000000110100011111 A" +0u +0s +1>" +1@" +0g +1;" +0q +15" +b11011 3" +1^ +1_ +b1110 0" +0k +18" +1l +b100 -" +14" +1v +1y +b11100101010010101100011001111111 | +b11100101010010101100011001111111 B" +12" +1m +1/" +1w +b11000010011000111101001110000101 &" +b11000010011000111101001110000101 ?" +b100 %" +b100 *" +b100 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b11011 } +b11011 (" +b11011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101100111000010000110110011111 0 +b10101100111000010000110110011111 M +b10101100111000010000110110011111 f +b10101100111000010000110110011111 !" +0. +1% +#1559010 +b11000010011000111101001110000101 G" +#1559500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11000000110000101111001110011111 2 +b11000000110000101111001110011111 I +b11000000110000101111001110011111 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11000000110000101111001110011111 , +b11000000110000101111001110011111 H +b11110 + +b11110 G +1. +0% +#1560000 +0_ +0^ +1s +0\ +1=" +1g +0;" +1r +1q +05" +07" +b1 3" +1<" +1` +1d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0m +0/" +0x +0w +0," +b10100011000001000000110100011111 / +b10100011000001000000110100011111 K +b10100011000001000000110100011111 e +b10100011000001000000110100011111 "" +b11100101010010101100011001111111 0 +b11100101010010101100011001111111 M +b11100101010010101100011001111111 f +b11100101010010101100011001111111 !" +b11000000110000101111001110011111 &" +b11000000110000101111001110011111 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1560010 +b11000000110000101111001110011111 a" +#1560500 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1561000 +1] +0s +b11100101011100100001001011100011 | +b11100101011100100001001011100011 B" +1\ +0=" +0>" +0@" +0q +15" +16" +17" +b11111 3" +0d +0<" +0` +b10010 -" +0t +0y +1z +1u +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 } +b1101 (" +b1101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1561500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b1100001001100001010100100111000 2 +b1100001001100001010100100111000 I +b1100001001100001010100100111000 Z +b1101 3 +b1101 J +b1101 Y +11 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b1100001001100001010100100111000 , +b1100001001100001010100100111000 H +b1101 + +b1101 G +1- +1. +0% +#1562000 +0] +0i +b11000010000110110110011010010000 { +b11000010000110110110011010010000 A" +1s +0[ +1=" +1>" +1@" +0g +19" +1:" +1;" +1q +05" +06" +07" +b10010 3" +0^ +0c +1d +1_ +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1m +1/" +0w +0," +b11100101011100100001001011100011 0 +b11100101011100100001001011100011 M +b11100101011100100001001011100011 f +b11100101011100100001001011100011 !" +b1100001001100001010100100111000 &" +b1100001001100001010100100111000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1562010 +b1100001001100001010100100111000 P" +#1562500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1563000 +1] +1i +1[ +0=" +0>" +0@" +1g +09" +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000010000110110110011010010000 / +b11000010000110110110011010010000 K +b11000010000110110110011010010000 e +b11000010000110110110011010010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1563500 +b11101011000011000001000011001101 2 +b11101011000011000001000011001101 I +b11101011000011000001000011001101 Z +b1011 3 +b1011 J +b1011 Y +11 +b11101011000011000001000011001101 , +b11101011000011000001000011001101 H +b1011 + +b1011 G +1- +1. +0% +#1564000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011000011000001000011001101 &" +b11101011000011000001000011001101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +0. +1% +#1564010 +b11101011000011000001000011001101 N" +#1564500 +b11011001000000111000100011111010 2 +b11011001000000111000100011111010 I +b11011001000000111000100011111010 Z +b1000 3 +b1000 J +b1000 Y +b11011001000000111000100011111010 , +b11011001000000111000100011111010 H +b1000 + +b1000 G +1. +0% +#1565000 +1\ +1[ +b10111 3" +0b +0a +b11011001000000111000100011111010 &" +b11011001000000111000100011111010 ?" +b1000 %" +b1000 *" +b1000 1" +0. +1% +#1565010 +b11011001000000111000100011111010 K" +#1565500 +b101 5 +b101 L +b101 W +14 +b11001 7 +b11001 N +b11001 V +16 +b11100101100001111010110011011100 2 +b11100101100001111010110011011100 I +b11100101100001111010110011011100 Z +b10010 3 +b10010 J +b10010 Y +b101 ' +b101 D +1) +b11001 & +b11001 C +1( +b11100101100001111010110011011100 , +b11100101100001111010110011011100 H +b10010 + +b10010 G +1. +0% +#1566000 +0_ +1] +0i +b1101110010001111100010010100000 { +b1101110010001111100010010100000 A" +0s +b10000110010010000000000001011101 | +b10000110010010000000000001011101 B" +0\ +0=" +0g +1:" +1;" +0q +15" +17" +b1101 3" +1<" +1` +0c +b11010 0" +1j +1k +b110 -" +1y +0u +14" +1v +1b +1m +1/" +1w +1," +b11100101100001111010110011011100 &" +b11100101100001111010110011011100 ?" +b10010 %" +b10010 *" +b10010 1" +b101 ~ +b101 )" +b101 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1566010 +b11100101100001111010110011011100 U" +#1566500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11100 & +b11100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1567000 +1i +0y +1\ +0@" +1g +0:" +0;" +1q +16" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +1z +b11110010001011111011100000110101 | +b11110010001011111011100000110101 B" +0b +02" +0m +0/" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b1101110010001111100010010100000 / +b1101110010001111100010010100000 K +b1101110010001111100010010100000 e +b1101110010001111100010010100000 "" +b10000110010010000000000001011101 0 +b10000110010010000000000001011101 M +b10000110010010000000000001011101 f +b10000110010010000000000001011101 !" +0. +1% +#1567500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b11011010101111100001111011101110 2 +b11011010101111100001111011101110 I +b11011010101111100001111011101110 Z +b1000 3 +b1000 J +b1000 Y +11 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +b11011010101111100001111011101110 , +b11011010101111100001111011101110 H +b1000 + +b1000 G +1- +1. +0% +#1568000 +0] +0i +b101101001011010010101110011111 { +b101101001011010010101110011111 A" +1s +1=" +1@" +19" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10111 3" +1c +1_ +b111 0" +1o +0k +18" +1l +b11111 -" +0z +04" +0v +12" +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110010001011111011100000110101 0 +b11110010001011111011100000110101 M +b11110010001011111011100000110101 f +b11110010001011111011100000110101 !" +b11011010101111100001111011101110 &" +b11011010101111100001111011101110 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1568010 +b11011010101111100001111011101110 K" +#1568500 +b11111 5 +b11111 L +b11111 W +b11011011010110001011010001001011 2 +b11011011010110001011010001001011 I +b11011011010110001011010001001011 Z +b1110 3 +b1110 J +b1110 Y +b11111 ' +b11111 D +b11011011010110001011010001001011 , +b11011011010110001011010001001011 H +b1110 + +b1110 G +1. +0% +#1569000 +0c +0o +0\ +1>" +0h +0g +1:" +b10001 3" +1d +b0 0" +1p +b11100001111100100111011101000001 { +b11100001111100100111011101000001 A" +1b +1n +1m +b11011011010110001011010001001011 &" +b11011011010110001011010001001011 ?" +b1110 %" +b1110 *" +b1110 1" +b11111 ~ +b11111 )" +b11111 ." +b101101001011010010101110011111 / +b101101001011010010101110011111 K +b101101001011010010101110011111 e +b101101001011010010101110011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1569010 +b11011011010110001011010001001011 Q" +#1569500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b10000110001011101101010110010111 2 +b10000110001011101101010110010111 I +b10000110001011101101010110010111 Z +b10100 3 +b10100 J +b10100 Y +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b10000110001011101101010110010111 , +b10000110001011101101010110010111 H +b10100 + +b10100 G +1. +0% +#1570000 +0_ +1^ +1i +b10100011000001000000110100011111 | +b10100011000001000000110100011111 B" +1\ +0=" +1>" +1h +1g +09" +0:" +0;" +0q +17" +b1011 3" +1<" +1` +0d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +0b +0n +0m +0/" +1w +1," +b11100001111100100111011101000001 / +b11100001111100100111011101000001 K +b11100001111100100111011101000001 e +b11100001111100100111011101000001 "" +b10000110001011101101010110010111 &" +b10000110001011101101010110010111 ?" +b10100 %" +b10100 *" +b10100 1" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#1570010 +b10000110001011101101010110010111 W" +#1570500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1571000 +1] +0>" +0@" +1q +07" +b11111 3" +0^ +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100011000001000000110100011111 0 +b10100011000001000000110100011111 M +b10100011000001000000110100011111 f +b10100011000001000000110100011111 !" +0. +1% +#1571500 +b10000 7 +b10000 N +b10000 V +16 +b1101001111010101001000111101 2 +b1101001111010101001000111101 I +b1101001111010101001000111101 Z +b11 3 +b11 J +b11 Y +11 +b10000 & +b10000 C +1( +b1101001111010101001000111101 , +b1101001111010101001000111101 H +b11 + +b11 G +1- +1. +0% +#1572000 +b1001011010101101000001110000000 | +b1001011010101101000001110000000 B" +0\ +0[ +1@" +17" +b11100 3" +1_ +b1111 -" +0u +14" +1v +1b +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101001111010101001000111101 &" +b1101001111010101001000111101 ?" +b11 %" +b11 *" +b11 1" +1'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#1572010 +b1101001111010101001000111101 F" +#1572500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1573000 +1\ +1[ +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0_ +b11111 -" +04" +0v +0b +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1001011010101101000001110000000 0 +b1001011010101101000001110000000 M +b1001011010101101000001110000000 f +b1001011010101101000001110000000 !" +0. +1% +#1573500 +b1011 7 +b1011 N +b1011 V +16 +b1011 & +b1011 C +1( +1. +0% +#1574000 +0s +b11101011000011000001000011001101 | +b11101011000011000001000011001101 B" +0r +0q +15" +17" +b10100 -" +1y +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#1574500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +1. +0% +#1575000 +0i +b11101011000011000001000011001101 { +b11101011000011000001000011001101 A" +1s +0h +0g +19" +1;" +1r +1q +05" +07" +b10100 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0x +0w +0," +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11101011000011000001000011001101 0 +b11101011000011000001000011001101 M +b11101011000011000001000011001101 f +b11101011000011000001000011001101 !" +0. +1% +#1575500 +b11101 5 +b11101 L +b11101 W +b1000 7 +b1000 N +b1000 V +16 +b11101 ' +b11101 D +b1000 & +b1000 C +1( +1. +0% +#1576000 +0k +0o +0s +b11011010101111100001111011101110 | +b11011010101111100001111011101110 B" +1h +1:" +15" +17" +b10 0" +18" +1l +1p +b11000010000110110110011010010000 { +b11000010000110110110011010010000 A" +b10111 -" +1y +1u +0n +1," +b11101011000011000001000011001101 / +b11101011000011000001000011001101 K +b11101011000011000001000011001101 e +b11101011000011000001000011001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101 ~ +b11101 )" +b11101 ." +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#1576500 +b11100 5 +b11100 L +b11100 W +b0 7 +b0 N +b0 V +06 +b11100 ' +b11100 D +b0 & +b0 C +0( +1. +0% +#1577000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1g +05" +07" +b11 0" +b11110010001011111011100000110101 { +b11110010001011111011100000110101 A" +b11111 -" +0y +0u +0m +0," +b11100 ~ +b11100 )" +b11100 ." +b0 } +b0 (" +b0 +" +0#" +b11000010000110110110011010010000 / +b11000010000110110110011010010000 K +b11000010000110110110011010010000 e +b11000010000110110110011010010000 "" +b11011010101111100001111011101110 0 +b11011010101111100001111011101110 M +b11011010101111100001111011101110 f +b11011010101111100001111011101110 !" +0. +1% +#1577500 +b1000 5 +b1000 L +b1000 W +b1000 ' +b1000 D +1. +0% +#1578000 +1k +1o +1;" +b11011010101111100001111011101110 { +b11011010101111100001111011101110 A" +19" +0:" +b10111 0" +08" +0l +0p +b11110010001011111011100000110101 / +b11110010001011111011100000110101 K +b11110010001011111011100000110101 e +b11110010001011111011100000110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000 ~ +b1000 )" +b1000 ." +0. +1% +#1578500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1579000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11011010101111100001111011101110 / +b11011010101111100001111011101110 K +b11011010101111100001111011101110 e +b11011010101111100001111011101110 "" +0. +1% +#1579500 +b1110 7 +b1110 N +b1110 V +16 +b10010110111010110000101011110 2 +b10010110111010110000101011110 I +b10010110111010110000101011110 Z +b11010 3 +b11010 J +b11010 Y +11 +b1110 & +b1110 C +1( +b10010110111010110000101011110 , +b10010110111010110000101011110 H +b11010 + +b11010 G +1- +1. +0% +#1580000 +0] +0s +b11011011010110001011010001001011 | +b11011011010110001011010001001011 B" +0\ +1=" +1@" +0r +15" +16" +17" +b101 3" +1c +0_ +1<" +1` +b10001 -" +0t +0y +1z +1u +1b +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010110111010110000101011110 &" +b10010110111010110000101011110 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#1580010 +b10010110111010110000101011110 ]" +#1580500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10011111011110001001000110000000 2 +b10011111011110001001000110000000 I +b10011111011110001001000110000000 Z +b10 3 +b10 J +b10 Y +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b10011111011110001001000110000000 , +b10011111011110001001000110000000 H +b10 + +b10 G +1. +0% +#1581000 +1_ +1] +0i +b10000110001011101101010110010111 { +b10000110001011101101010110010111 A" +1s +1@" +0=" +1:" +1;" +1r +05" +06" +07" +b11101 3" +0<" +0` +0c +b1011 0" +1j +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b10011111011110001001000110000000 &" +b10011111011110001001000110000000 ?" +b10 %" +b10 *" +b10 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11011011010110001011010001001011 0 +b11011011010110001011010001001011 M +b11011011010110001011010001001011 f +b11011011010110001011010001001011 !" +0. +1% +#1581010 +b10011111011110001001000110000000 E" +#1581500 +b1011 5 +b1011 L +b1011 W +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1582000 +19" +1k +1o +b11010100111000000111010010111110 | +b11010100111000000111010010111110 B" +1\ +0@" +0h +0g +1;" +0:" +17" +b11111 3" +0_ +b10100 0" +08" +0l +0j +b11101011000011000001000011001101 { +b11101011000011000001000011001101 A" +1u +0b +02" +1n +1m +1," +b10000110001011101101010110010111 / +b10000110001011101101010110010111 K +b10000110001011101101010110010111 e +b10000110001011101101010110010111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1#" +0. +1% +#1582500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#1583000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +1g +09" +0;" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0u +0n +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +0#" +b11101011000011000001000011001101 / +b11101011000011000001000011001101 K +b11101011000011000001000011001101 e +b11101011000011000001000011001101 "" +b11010100111000000111010010111110 0 +b11010100111000000111010010111110 M +b11010100111000000111010010111110 f +b11010100111000000111010010111110 !" +0. +1% +#1583500 +b1111 7 +b1111 N +b1111 V +16 +b1111 & +b1111 C +1( +1. +0% +#1584000 +0s +b1010111011010000000111111011011 | +b1010111011010000000111111011011 B" +0r +0q +15" +16" +17" +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#1584500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +1. +0% +#1585000 +0i +b11011011010110001011010001001011 { +b11011011010110001011010001001011 A" +1s +0h +19" +1:" +1;" +1r +1q +05" +06" +07" +b10001 0" +0j +0o +1p +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0w +0," +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1010111011010000000111111011011 0 +b1010111011010000000111111011011 M +b1010111011010000000111111011011 f +b1010111011010000000111111011011 !" +0. +1% +#1585500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1586000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b11011011010110001011010001001011 / +b11011011010110001011010001001011 K +b11011011010110001011010001001011 e +b11011011010110001011010001001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1586500 +b1100 5 +b1100 L +b1100 W +14 +b10100110000110010001001010100100 2 +b10100110000110010001001010100100 I +b10100110000110010001001010100100 Z +b1001 3 +b1001 J +b1001 Y +11 +b1100 ' +b1100 D +1) +b10100110000110010001001010100100 , +b10100110000110010001001010100100 H +b1001 + +b1001 G +1- +1. +0% +#1587000 +0] +0i +b11011110110100101000100110000001 { +b11011110110100101000100110000001 A" +0[ +1=" +1@" +19" +1:" +1;" +b10110 3" +1c +1_ +b10011 0" +0j +0o +1p +1k +1a +12" +1/" +b10100110000110010001001010100100 &" +b10100110000110010001001010100100 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1587010 +b10100110000110010001001010100100 L" +#1587500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1588000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1[ +0=" +0@" +09" +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0p +0k +0a +02" +0/" +b11011110110100101000100110000001 / +b11011110110100101000100110000001 K +b11011110110100101000100110000001 e +b11011110110100101000100110000001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1588500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#1589000 +0s +b11111100101011010100011000110010 | +b11111100101011010100011000110010 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1589500 +b11010 5 +b11010 L +b11010 W +14 +b10 7 +b10 N +b10 V +b11010 ' +b11010 D +1) +b10 & +b10 C +1. +0% +#1590000 +0i +b10010110111010110000101011110 { +b10010110111010110000101011110 A" +1s +b10011111011110001001000110000000 | +b10011111011110001001000110000000 B" +0h +19" +1;" +05" +b101 0" +1o +0k +18" +1l +b11101 -" +0y +1n +1/" +b11111100101011010100011000110010 0 +b11111100101011010100011000110010 M +b11111100101011010100011000110010 f +b11111100101011010100011000110010 !" +b11010 ~ +b11010 )" +b11010 ." +1$" +b10 } +b10 (" +b10 +" +0. +1% +#1590500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1591000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10010110111010110000101011110 / +b10010110111010110000101011110 K +b10010110111010110000101011110 e +b10010110111010110000101011110 "" +b10011111011110001001000110000000 0 +b10011111011110001001000110000000 M +b10011111011110001001000110000000 f +b10011111011110001001000110000000 !" +0. +1% +#1591500 +b10001 7 +b10001 N +b10001 V +b10001 & +b10001 C +1. +0% +#1592000 +0u +1r +0q +b1110 -" +14" +1v +b10100011000001000000110100011111 | +b10100011000001000000110100011111 B" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001 } +b10001 (" +b10001 +" +0. +1% +#1592500 +b1100 7 +b1100 N +b1100 V +b1100 & +b1100 C +1. +0% +#1593000 +1u +0s +1q +17" +15" +16" +b10011 -" +04" +0v +0t +0y +1z +b11011110110100101000100110000001 | +b11011110110100101000100110000001 B" +0w +b1100 } +b1100 (" +b1100 +" +b10100011000001000000110100011111 0 +b10100011000001000000110100011111 M +b10100011000001000000110100011111 f +b10100011000001000000110100011111 !" +0. +1% +#1593500 +b1011 5 +b1011 L +b1011 W +14 +b1000 7 +b1000 N +b1000 V +b11001010010001110010000010000 2 +b11001010010001110010000010000 I +b11001010010001110010000010000 Z +b111 3 +b111 J +b111 Y +11 +b1011 ' +b1011 D +1) +b1000 & +b1000 C +b11001010010001110010000010000 , +b11001010010001110010000010000 H +b111 + +b111 G +1- +1. +0% +#1594000 +0] +0i +b11101011000011000001000011001101 { +b11101011000011000001000011001101 A" +1y +b11011010101111100001111011101110 | +b11011010101111100001111011101110 B" +0\ +0[ +1>" +1@" +0h +0g +19" +1;" +15" +06" +b11000 3" +1^ +1_ +b10100 0" +1o +1k +b10111 -" +0z +1b +1a +12" +1n +1m +1/" +b11011110110100101000100110000001 0 +b11011110110100101000100110000001 M +b11011110110100101000100110000001 f +b11011110110100101000100110000001 !" +b11001010010001110010000010000 &" +b11001010010001110010000010000 ?" +b111 %" +b111 *" +b111 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b1000 } +b1000 (" +b1000 +" +0. +1% +#1594010 +b11001010010001110010000010000 J" +#1594500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b1010001110111000111101010000100 2 +b1010001110111000111101010000100 I +b1010001110111000111101010000100 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b0 & +b0 C +b1010001110111000111101010000100 , +b1010001110111000111101010000100 H +b1110 + +b1110 G +1. +0% +#1595000 +0^ +1i +1s +b11010100111000000111010010111110 | +b11010100111000000111010010111110 B" +1[ +1=" +1h +1g +09" +0;" +05" +b10001 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +0a +0n +0m +0/" +b1010001110111000111101010000100 &" +b1010001110111000111101010000100 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +b11101011000011000001000011001101 / +b11101011000011000001000011001101 K +b11101011000011000001000011001101 e +b11101011000011000001000011001101 "" +b11011010101111100001111011101110 0 +b11011010101111100001111011101110 M +b11011010101111100001111011101110 f +b11011010101111100001111011101110 !" +0. +1% +#1595010 +b1010001110111000111101010000100 Q" +#1595500 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1596000 +1] +0u +0s +1\ +0=" +0>" +0@" +0q +15" +16" +b11111 3" +0d +0_ +b10 -" +14" +1v +0t +0y +1z +b11000010000110110110011010010000 | +b11000010000110110110011010010000 B" +0b +02" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010100111000000111010010111110 0 +b11010100111000000111010010111110 M +b11010100111000000111010010111110 f +b11010100111000000111010010111110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +0. +1% +#1596500 +b11011 7 +b11011 N +b11011 V +b111001010110001101010110 2 +b111001010110001101010110 I +b111001010110001101010110 Z +b10110 3 +b10110 J +b10110 Y +11 +b11011 & +b11011 C +b111001010110001101010110 , +b111001010110001101010110 H +b10110 + +b10110 G +1- +1. +0% +#1597000 +0] +1y +0\ +1>" +1@" +0r +15" +06" +b1001 3" +1^ +0_ +1<" +1` +b100 -" +0z +b11100101010010101100011001111111 | +b11100101010010101100011001111111 B" +1b +12" +1x +b111001010110001101010110 &" +b111001010110001101010110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11011 } +b11011 (" +b11011 +" +b11000010000110110110011010010000 0 +b11000010000110110110011010010000 M +b11000010000110110110011010010000 f +b11000010000110110110011010010000 !" +0. +1% +#1597010 +b111001010110001101010110 Y" +#1597500 +b11101 5 +b11101 L +b11101 W +14 +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1598000 +1] +0i +b11000010000110110110011010010000 { +b11000010000110110110011010010000 A" +1u +1s +1\ +0>" +0@" +0g +19" +1:" +1;" +17" +b1101001111010101001000111101 | +b1101001111010101001000111101 B" +05" +b11111 3" +0^ +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +b11100 -" +04" +0v +0y +0b +02" +1m +1/" +b11100101010010101100011001111111 0 +b11100101010010101100011001111111 M +b11100101010010101100011001111111 f +b11100101010010101100011001111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b11 } +b11 (" +b11 +" +0. +1% +#1598500 +b11111 5 +b11111 L +b11111 W +b0 7 +b0 N +b0 V +06 +b11111000111010001100000110 2 +b11111000111010001100000110 I +b11111000111010001100000110 Z +b1 3 +b1 J +b1 Y +11 +b11111 ' +b11111 D +b0 & +b0 C +0( +b11111000111010001100000110 , +b11111000111010001100000110 H +b1 + +b1 G +1- +1. +0% +#1599000 +0[ +1@" +0h +1r +1q +07" +b11110 3" +1_ +b0 0" +b11100001111100100111011101000001 { +b11100001111100100111011101000001 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1n +0x +0w +0," +b11111000111010001100000110 &" +b11111000111010001100000110 ?" +b1 %" +b1 *" +b1 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +b0 } +b0 (" +b0 +" +0#" +b11000010000110110110011010010000 / +b11000010000110110110011010010000 K +b11000010000110110110011010010000 e +b11000010000110110110011010010000 "" +b1101001111010101001000111101 0 +b1101001111010101001000111101 M +b1101001111010101001000111101 f +b1101001111010101001000111101 !" +0. +1% +#1599010 +b11111000111010001100000110 D" +#1599500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1600000 +1i +1[ +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0m +0/" +b11100001111100100111011101000001 / +b11100001111100100111011101000001 K +b11100001111100100111011101000001 e +b11100001111100100111011101000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1600500 +b100 7 +b100 N +b100 V +16 +b11011100001001010011100101010111 2 +b11011100001001010011100101010111 I +b11011100001001010011100101010111 Z +b1011 3 +b1011 J +b1011 Y +11 +b100 & +b100 C +1( +b11011100001001010011100101010111 , +b11011100001001010011100101010111 H +b1011 + +b1011 G +1- +1. +0% +#1601000 +0] +0s +b11000010011000111101001110000101 | +b11000010011000111101001110000101 B" +0\ +0[ +1=" +1@" +16" +17" +b10100 3" +1c +1_ +b11011 -" +1t +1u +1b +1a +12" +1," +b11011100001001010011100101010111 &" +b11011100001001010011100101010111 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1601010 +b11011100001001010011100101010111 N" +#1601500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b11100001001111111110001110001000 2 +b11100001001111111110001110001000 I +b11100001001111111110001110001000 Z +b1110 3 +b1110 J +b1110 Y +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +b11100001001111111110001110001000 , +b11100001001111111110001110001000 H +b1110 + +b1110 G +1. +0% +#1602000 +0c +0i +b1100001001100001010100100111000 { +b1100001001100001010100100111000 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +1>" +0g +19" +1:" +1;" +06" +07" +b10001 3" +1d +b10010 0" +0j +0o +1p +1k +b11111 -" +0t +0u +0a +1m +1/" +0," +b11000010011000111101001110000101 0 +b11000010011000111101001110000101 M +b11000010011000111101001110000101 f +b11000010011000111101001110000101 !" +b11100001001111111110001110001000 &" +b11100001001111111110001110001000 ?" +b1110 %" +b1110 *" +b1110 1" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1602010 +b11100001001111111110001110001000 Q" +#1602500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1603000 +1] +1i +1\ +0=" +0>" +0@" +1g +09" +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100001001100001010100100111000 / +b1100001001100001010100100111000 K +b1100001001100001010100100111000 e +b1100001001100001010100100111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1603500 +b1101 7 +b1101 N +b1101 V +16 +b1101 & +b1101 C +1( +1. +0% +#1604000 +0s +b1100001001100001010100100111000 | +b1100001001100001010100100111000 B" +0q +15" +16" +17" +b10010 -" +0t +0y +1z +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#1604500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1605000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1100001001100001010100100111000 0 +b1100001001100001010100100111000 M +b1100001001100001010100100111000 f +b1100001001100001010100100111000 !" +0. +1% +#1605500 +b100 5 +b100 L +b100 W +14 +b100 ' +b100 D +1) +1. +0% +#1606000 +0i +b11000010011000111101001110000101 { +b11000010011000111101001110000101 A" +1:" +1;" +b11011 0" +1j +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#1606500 +b10001 5 +b10001 L +b10001 W +b11010 7 +b11010 N +b11010 V +16 +b10001 ' +b10001 D +b11010 & +b11010 C +1( +1. +0% +#1607000 +0k +1i +0s +b10010110111010110000101011110 | +b10010110111010110000101011110 B" +0g +0:" +0r +15" +17" +b1110 0" +18" +1l +0j +b10100011000001000000110100011111 { +b10100011000001000000110100011111 A" +b101 -" +1y +0u +14" +1v +1m +1x +1," +b10001 ~ +b10001 )" +b10001 ." +b11010 } +b11010 (" +b11010 +" +1#" +b11000010011000111101001110000101 / +b11000010011000111101001110000101 K +b11000010011000111101001110000101 e +b11000010011000111101001110000101 "" +0. +1% +#1607500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +b0 ' +b0 D +0) +b11101 & +b11101 C +1. +0% +#1608000 +0y +1g +0;" +1r +0q +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10 -" +1z +b11000010000110110110011010010000 | +b11000010000110110110011010010000 B" +0m +0/" +0x +1w +b10100011000001000000110100011111 / +b10100011000001000000110100011111 K +b10100011000001000000110100011111 e +b10100011000001000000110100011111 "" +b10010110111010110000101011110 0 +b10010110111010110000101011110 M +b10010110111010110000101011110 f +b10010110111010110000101011110 !" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +0. +1% +#1608500 +14 +b0 7 +b0 N +b0 V +06 +1) +b0 & +b0 C +0( +1. +0% +#1609000 +b11010100111000000111010010111110 { +b11010100111000000111010010111110 A" +1s +1;" +1q +05" +06" +07" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0w +0," +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000010000110110110011010010000 0 +b11000010000110110110011010010000 M +b11000010000110110110011010010000 f +b11000010000110110110011010010000 !" +0. +1% +#1609500 +b11110 5 +b11110 L +b11110 W +b11110 ' +b11110 D +1. +0% +#1610000 +0k +0i +0h +19" +1:" +b1 0" +18" +1l +0j +0o +1p +b11000000110000101111001110011111 { +b11000000110000101111001110011111 A" +1n +b11010100111000000111010010111110 / +b11010100111000000111010010111110 K +b11010100111000000111010010111110 e +b11010100111000000111010010111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#1610500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1611000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11000000110000101111001110011111 / +b11000000110000101111001110011111 K +b11000000110000101111001110011111 e +b11000000110000101111001110011111 "" +0. +1% +#1611500 +b1100100010011110100010111001111 2 +b1100100010011110100010111001111 I +b1100100010011110100010111001111 Z +b11100 3 +b11100 J +b11100 Y +11 +b1100100010011110100010111001111 , +b1100100010011110100010111001111 H +b11100 + +b11100 G +1- +1. +0% +#1612000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100100010011110100010111001111 &" +b1100100010011110100010111001111 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#1612010 +b1100100010011110100010111001111 _" +#1612500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1613000 +1] +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1613500 +b1100 7 +b1100 N +b1100 V +16 +b1100011000000001101011000000100 2 +b1100011000000001101011000000100 I +b1100011000000001101011000000100 Z +b1111 3 +b1111 J +b1111 Y +11 +b1100 & +b1100 C +1( +b1100011000000001101011000000100 , +b1100011000000001101011000000100 H +b1111 + +b1111 G +1- +1. +0% +#1614000 +0] +0s +b11011110110100101000100110000001 | +b11011110110100101000100110000001 B" +0\ +0[ +1=" +1>" +1@" +15" +16" +17" +b10000 3" +0^ +0c +1d +1_ +b10011 -" +0t +0y +1z +1u +1b +1a +12" +1," +b1100011000000001101011000000100 &" +b1100011000000001101011000000100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#1614010 +b1100011000000001101011000000100 R" +#1614500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b10100000001011101101010001100001 2 +b10100000001011101101010001100001 I +b10100000001011101101010001100001 Z +b0 3 +b0 J +b0 Y +b1 ' +b1 D +1) +b0 & +b0 C +0( +b10100000001011101101010001100001 , +b10100000001011101101010001100001 H +b0 + +b0 G +1. +0% +#1615000 +1] +b11111000111010001100000110 { +b11111000111010001100000110 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0=" +0>" +0g +1;" +05" +06" +07" +b11111 3" +0d +b11110 0" +1k +b11111 -" +0z +0u +0b +0a +1m +1/" +0," +b10100000001011101101010001100001 &" +b10100000001011101101010001100001 ?" +b0 %" +b0 *" +b0 1" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11011110110100101000100110000001 0 +b11011110110100101000100110000001 M +b11011110110100101000100110000001 f +b11011110110100101000100110000001 !" +0. +1% +#1615010 +b10100000001011101101010001100001 C" +#1615500 +b0 5 +b0 L +b0 W +04 +b11111010110100010110101001100101 2 +b11111010110100010110101001100101 I +b11111010110100010110101001100101 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b11111010110100010110101001100101 , +b11111010110100010110101001100101 H +b11010 + +b11010 G +1. +0% +#1616000 +0_ +0] +0\ +1=" +1g +0;" +b101 3" +1<" +1` +1c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0m +0/" +b11111000111010001100000110 / +b11111000111010001100000110 K +b11111000111010001100000110 e +b11111000111010001100000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111010110100010110101001100101 &" +b11111010110100010110101001100101 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1616010 +b11111010110100010110101001100101 ]" +#1616500 +b1101 5 +b1101 L +b1101 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1617000 +1] +0i +b1100001001100001010100100111000 { +b1100001001100001010100100111000 A" +0s +b10000110010010000000000001011101 | +b10000110010010000000000001011101 B" +1\ +0=" +0@" +0g +19" +1:" +1;" +0q +15" +17" +b11111 3" +0c +0<" +0` +b10010 0" +0j +0o +1p +1k +b110 -" +1y +0u +14" +1v +0b +02" +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1617500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1618000 +1i +1s +1g +09" +0:" +0;" +1q +05" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0w +0," +b1100001001100001010100100111000 / +b1100001001100001010100100111000 K +b1100001001100001010100100111000 e +b1100001001100001010100100111000 "" +b10000110010010000000000001011101 0 +b10000110010010000000000001011101 M +b10000110010010000000000001011101 f +b10000110010010000000000001011101 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1618500 +b11010 5 +b11010 L +b11010 W +14 +b1001011010101011101000000001111 2 +b1001011010101011101000000001111 I +b1001011010101011101000000001111 Z +b10000 3 +b10000 J +b10000 Y +11 +b11010 ' +b11010 D +1) +b1001011010101011101000000001111 , +b1001011010101011101000000001111 H +b10000 + +b10000 G +1- +1. +0% +#1619000 +0i +b11111010110100010110101001100101 { +b11111010110100010110101001100101 A" +1@" +0h +19" +1;" +b1111 3" +0_ +1<" +1` +b101 0" +1o +0k +18" +1l +12" +1n +1/" +b1001011010101011101000000001111 &" +b1001011010101011101000000001111 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1619010 +b1001011010101011101000000001111 S" +#1619500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1620000 +1i +0@" +1h +09" +0;" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0/" +b11111010110100010110101001100101 / +b11111010110100010110101001100101 K +b11111010110100010110101001100101 e +b11111010110100010110101001100101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1620500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#1621000 +0s +b10000110001011101101010110010111 | +b10000110001011101101010110010111 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1621500 +b10 7 +b10 N +b10 V +b10 & +b10 C +1. +0% +#1622000 +1u +1s +0r +17" +06" +b11101 -" +04" +0v +0t +b10011111011110001001000110000000 | +b10011111011110001001000110000000 B" +1x +b10000110001011101101010110010111 0 +b10000110001011101101010110010111 M +b10000110001011101101010110010111 f +b10000110001011101101010110010111 !" +b10 } +b10 (" +b10 +" +0. +1% +#1622500 +b11000 7 +b11000 N +b11000 V +b111001010010101100010011001100 2 +b111001010010101100010011001100 I +b111001010010101100010011001100 Z +b11 3 +b11 J +b11 Y +11 +b11000 & +b11000 C +b111001010010101100010011001100 , +b111001010010101100010011001100 H +b11 + +b11 G +1- +1. +0% +#1623000 +0u +0s +0\ +0[ +1@" +1r +15" +b11100 3" +1_ +b111 -" +14" +1v +1y +b101101001011010010101110011111 | +b101101001011010010101110011111 B" +1b +1a +12" +0x +b111001010010101100010011001100 &" +b111001010010101100010011001100 ?" +b11 %" +b11 *" +b11 1" +1'" +b11000 } +b11000 (" +b11000 +" +b10011111011110001001000110000000 0 +b10011111011110001001000110000000 M +b10011111011110001001000110000000 f +b10011111011110001001000110000000 !" +0. +1% +#1623010 +b111001010010101100010011001100 F" +#1623500 +b10001 7 +b10001 N +b10001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 & +b10001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1624000 +1s +1\ +1[ +0@" +0q +05" +b11111 3" +0_ +b1110 -" +0y +b10100011000001000000110100011111 | +b10100011000001000000110100011111 B" +0b +0a +02" +1w +b101101001011010010101110011111 0 +b101101001011010010101110011111 M +b101101001011010010101110011111 f +b101101001011010010101110011111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 } +b10001 (" +b10001 +" +0. +1% +#1624500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +1) +b0 & +b0 C +0( +1. +0% +#1625000 +b11111000111010001100000110 { +b11111000111010001100000110 A" +0g +1;" +1q +07" +b11110 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0w +0," +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10100011000001000000110100011111 0 +b10100011000001000000110100011111 M +b10100011000001000000110100011111 f +b10100011000001000000110100011111 !" +0. +1% +#1625500 +b0 5 +b0 L +b0 W +04 +b1011101111011101001001010100010 2 +b1011101111011101001001010100010 I +b1011101111011101001001010100010 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b1011101111011101001001010100010 , +b1011101111011101001001010100010 H +b101 + +b101 G +1- +1. +0% +#1626000 +0] +0[ +1>" +1@" +1g +0;" +b11010 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0m +0/" +b11111000111010001100000110 / +b11111000111010001100000110 K +b11111000111010001100000110 e +b11111000111010001100000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011101111011101001001010100010 &" +b1011101111011101001001010100010 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1626010 +b1011101111011101001001010100010 H" +#1626500 +b11011 5 +b11011 L +b11011 W +14 +b10100101111111111101111010100010 2 +b10100101111111111101111010100010 I +b10100101111111111101111010100010 Z +b11101 3 +b11101 J +b11101 Y +b11011 ' +b11011 D +1) +b10100101111111111101111010100010 , +b10100101111111111101111010100010 H +b11101 + +b11101 G +1. +0% +#1627000 +0_ +0^ +0i +b11100101010010101100011001111111 { +b11100101010010101100011001111111 A" +1=" +0h +0g +19" +1;" +b10 3" +1<" +1` +1d +b100 0" +1o +0k +18" +1l +1n +1m +1/" +b10100101111111111101111010100010 &" +b10100101111111111101111010100010 ?" +b11101 %" +b11101 *" +b11101 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1627010 +b10100101111111111101111010100010 `" +#1627500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1628000 +1] +1i +1[ +0=" +0>" +0@" +1h +1g +09" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0m +0/" +b11100101010010101100011001111111 / +b11100101010010101100011001111111 K +b11100101010010101100011001111111 e +b11100101010010101100011001111111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1628500 +b10011 7 +b10011 N +b10011 V +16 +b1000001000100101101010110001001 2 +b1000001000100101101010110001001 I +b1000001000100101101010110001001 Z +b1101 3 +b1101 J +b1101 Y +11 +b10011 & +b10011 C +1( +b1000001000100101101010110001001 , +b1000001000100101101010110001001 H +b1101 + +b1101 G +1- +1. +0% +#1629000 +0] +b11110110001101111011010100011110 | +b11110110001101111011010100011110 B" +0[ +1=" +1>" +1@" +0r +0q +17" +b10010 3" +0^ +0c +1d +1_ +b1100 -" +0u +14" +1v +1a +12" +1x +1w +1," +b1000001000100101101010110001001 &" +b1000001000100101101010110001001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1629010 +b1000001000100101101010110001001 P" +#1629500 +b101 7 +b101 N +b101 V +b1101110000010101001011101001001 2 +b1101110000010101001011101001001 I +b1101110000010101001011101001001 Z +b1011 3 +b1011 J +b1011 Y +b101 & +b101 C +b1101110000010101001011101001001 , +b1101110000010101001011101001001 H +b1011 + +b1011 G +1. +0% +#1630000 +1c +1u +0s +0\ +1=" +0>" +1r +17" +16" +b10100 3" +0d +b11010 -" +04" +0v +1t +b1011101111011101001001010100010 | +b1011101111011101001001010100010 B" +1b +0x +b11110110001101111011010100011110 0 +b11110110001101111011010100011110 M +b11110110001101111011010100011110 f +b11110110001101111011010100011110 !" +b1101110000010101001011101001001 &" +b1101110000010101001011101001001 ?" +b1011 %" +b1011 *" +b1011 1" +b101 } +b101 (" +b101 +" +0. +1% +#1630010 +b1101110000010101001011101001001 N" +#1630500 +b11000 7 +b11000 N +b11000 V +b11101000011101111001010011100110 2 +b11101000011101111001010011100110 I +b11101000011101111001010011100110 Z +b101 3 +b101 J +b101 Y +b11000 & +b11000 C +b11101000011101111001010011100110 , +b11101000011101111001010011100110 H +b101 + +b101 G +1. +0% +#1631000 +1>" +15" +1^ +0u +1y +1\ +0=" +1q +06" +b11010 3" +0c +b111 -" +14" +1v +0t +b101101001011010010101110011111 | +b101101001011010010101110011111 B" +0b +0w +b11101000011101111001010011100110 &" +b11101000011101111001010011100110 ?" +b101 %" +b101 *" +b101 1" +b11000 } +b11000 (" +b11000 +" +b1011101111011101001001010100010 0 +b1011101111011101001001010100010 M +b1011101111011101001001010100010 f +b1011101111011101001001010100010 !" +0. +1% +#1631010 +b11101000011101111001010011100110 H" +#1631500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1632000 +1] +1s +1[ +0>" +0@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0_ +b11111 -" +0y +04" +0v +0a +02" +0," +b101101001011010010101110011111 0 +b101101001011010010101110011111 M +b101101001011010010101110011111 f +b101101001011010010101110011111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1632500 +b10 5 +b10 L +b10 W +14 +b1010 7 +b1010 N +b1010 V +16 +b10 ' +b10 D +1) +b1010 & +b1010 C +1( +1. +0% +#1633000 +b10011111011110001001000110000000 { +b10011111011110001001000110000000 A" +0s +b11111100101011010100011000110010 | +b11111100101011010100011000110010 B" +0h +1;" +0r +15" +17" +b11101 0" +1k +b10101 -" +1y +1u +1n +1/" +1x +1," +b10 ~ +b10 )" +b10 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1633500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1634000 +1s +1h +0;" +1r +05" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0," +b10011111011110001001000110000000 / +b10011111011110001001000110000000 K +b10011111011110001001000110000000 e +b10011111011110001001000110000000 "" +b11111100101011010100011000110010 0 +b11111100101011010100011000110010 M +b11111100101011010100011000110010 f +b11111100101011010100011000110010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1634500 +b1111 7 +b1111 N +b1111 V +16 +b10001100001111011100010110110110 2 +b10001100001111011100010110110110 I +b10001100001111011100010110110110 Z +b10001 3 +b10001 J +b10001 Y +11 +b1111 & +b1111 C +1( +b10001100001111011100010110110110 , +b10001100001111011100010110110110 H +b10001 + +b10001 G +1- +1. +0% +#1635000 +0s +b1100011000000001101011000000100 | +b1100011000000001101011000000100 B" +0[ +1@" +0r +0q +15" +16" +17" +b1110 3" +0_ +1<" +1` +b10000 -" +0t +0y +1z +1u +1a +12" +1x +1w +1," +b10001100001111011100010110110110 &" +b10001100001111011100010110110110 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1635010 +b10001100001111011100010110110110 T" +#1635500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1636000 +1s +1[ +0@" +1r +1q +05" +06" +07" +b11111 3" +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0w +0," +b1100011000000001101011000000100 0 +b1100011000000001101011000000100 M +b1100011000000001101011000000100 f +b1100011000000001101011000000100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1636500 +b11000000000110000100101011000101 2 +b11000000000110000100101011000101 I +b11000000000110000100101011000101 Z +b1001 3 +b1001 J +b1001 Y +11 +b11000000000110000100101011000101 , +b11000000000110000100101011000101 H +b1001 + +b1001 G +1- +1. +0% +#1637000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b11000000000110000100101011000101 &" +b11000000000110000100101011000101 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1637010 +b11000000000110000100101011000101 L" +#1637500 +b11111 5 +b11111 L +b11111 W +14 +b10100110000100000011010111010 2 +b10100110000100000011010111010 I +b10100110000100000011010111010 Z +b11011 3 +b11011 J +b11011 Y +b11111 ' +b11111 D +1) +b10100110000100000011010111010 , +b10100110000100000011010111010 H +b11011 + +b11011 G +1. +0% +#1638000 +0_ +0i +b11100001111100100111011101000001 { +b11100001111100100111011101000001 A" +0\ +0h +0g +19" +1:" +1;" +b100 3" +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +1b +1n +1m +1/" +b10100110000100000011010111010 &" +b10100110000100000011010111010 ?" +b11011 %" +b11011 *" +b11011 1" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#1638010 +b10100110000100000011010111010 ^" +#1638500 +b11110 5 +b11110 L +b11110 W +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1639000 +1] +b10100000001011101101010001100001 | +b10100000001011101101010001100001 B" +1\ +1[ +0=" +0@" +1g +17" +b11111 3" +0c +0<" +0` +b1 0" +b11000000110000101111001110011111 { +b11000000110000101111001110011111 A" +1u +0b +0a +02" +0m +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1#" +b11100001111100100111011101000001 / +b11100001111100100111011101000001 K +b11100001111100100111011101000001 e +b11100001111100100111011101000001 "" +0. +1% +#1639500 +b11 5 +b11 L +b11 W +06 +b11 ' +b11 D +0( +1. +0% +#1640000 +1k +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +1;" +09" +0:" +07" +b11100 0" +08" +0l +0p +b111001010010101100010011001100 { +b111001010010101100010011001100 A" +0u +1m +0," +b11000000110000101111001110011111 / +b11000000110000101111001110011111 K +b11000000110000101111001110011111 e +b11000000110000101111001110011111 "" +b10100000001011101101010001100001 0 +b10100000001011101101010001100001 M +b10100000001011101101010001100001 f +b10100000001011101101010001100001 !" +b11 ~ +b11 )" +b11 ." +0#" +0. +1% +#1640500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1641000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b111001010010101100010011001100 / +b111001010010101100010011001100 K +b111001010010101100010011001100 e +b111001010010101100010011001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1641500 +b10111 5 +b10111 L +b10111 W +14 +b111 7 +b111 N +b111 V +16 +b10111 ' +b10111 D +1) +b111 & +b111 C +1( +1. +0% +#1642000 +0i +b11101100101111110011100100100010 { +b11101100101111110011100100100010 A" +0s +b11001010010001110010000010000 | +b11001010010001110010000010000 B" +0h +0g +1:" +1;" +0r +0q +16" +17" +b1000 0" +1j +0k +18" +1l +b11000 -" +1t +1u +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111 ~ +b10111 )" +b10111 ." +1$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#1642500 +b0 5 +b0 L +b0 W +04 +b11101101001100110010100010010011 2 +b11101101001100110010100010010011 I +b11101101001100110010100010010011 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b11101101001100110010100010010011 , +b11101101001100110010100010010011 H +b10101 + +b10101 G +1- +1. +0% +#1643000 +0] +1i +0[ +1>" +1@" +1h +1g +0:" +0;" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0m +0/" +b11101101001100110010100010010011 &" +b11101101001100110010100010010011 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101100101111110011100100100010 / +b11101100101111110011100100100010 K +b11101100101111110011100100100010 e +b11101100101111110011100100100010 "" +b11001010010001110010000010000 0 +b11001010010001110010000010000 M +b11001010010001110010000010000 f +b11001010010001110010000010000 !" +0. +1% +#1643010 +b11101101001100110010100010010011 X" +#1643500 +b1011 7 +b1011 N +b1011 V +b1100001101111101010011100010001 2 +b1100001101111101010011100010001 I +b1100001101111101010011100010001 Z +b11010 3 +b11010 J +b11010 Y +b1011 & +b1011 C +b1100001101111101010011100010001 , +b1100001101111101010011100010001 H +b11010 + +b11010 G +1. +0% +#1644000 +1=" +15" +1c +1y +b1101110000010101001011101001001 | +b1101110000010101001011101001001 B" +0\ +1[ +0>" +06" +b101 3" +0^ +b10100 -" +0t +1b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100001101111101010011100010001 &" +b1100001101111101010011100010001 ?" +b11010 %" +b11010 *" +b11010 1" +b1011 } +b1011 (" +b1011 +" +0. +1% +#1644010 +b1100001101111101010011100010001 ]" +#1644500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1645000 +1] +1s +1\ +0=" +0@" +1r +1q +05" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1101110000010101001011101001001 0 +b1101110000010101001011101001001 M +b1101110000010101001011101001001 f +b1101110000010101001011101001001 !" +0. +1% +#1645500 +b10111 5 +b10111 L +b10111 W +14 +b10111 ' +b10111 D +1) +1. +0% +#1646000 +0i +b11101100101111110011100100100010 { +b11101100101111110011100100100010 A" +0h +0g +1:" +1;" +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#1646500 +b10001 5 +b10001 L +b10001 W +b10001 ' +b10001 D +1. +0% +#1647000 +1i +1h +0:" +b1110 0" +0j +b10001100001111011100010110110110 { +b10001100001111011100010110110110 A" +0n +b10001 ~ +b10001 )" +b10001 ." +b11101100101111110011100100100010 / +b11101100101111110011100100100010 K +b11101100101111110011100100100010 e +b11101100101111110011100100100010 "" +0. +1% +#1647500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +1. +0% +#1648000 +0s +b1101110000010101001011101001001 | +b1101110000010101001011101001001 B" +1g +0;" +0r +0q +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +1y +1u +0m +0/" +1x +1w +1," +b10001100001111011100010110110110 / +b10001100001111011100010110110110 K +b10001100001111011100010110110110 e +b10001100001111011100010110110110 "" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#1648500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1649000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101110000010101001011101001001 0 +b1101110000010101001011101001001 M +b1101110000010101001011101001001 f +b1101110000010101001011101001001 !" +0. +1% +#1649500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#1650000 +0i +b11100001001111111110001110001000 { +b11100001001111111110001110001000 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#1650500 +b11110 5 +b11110 L +b11110 W +b1100011001000000000111010010010 2 +b1100011001000000000111010010010 I +b1100011001000000000111010010010 Z +b1000 3 +b1000 J +b1000 Y +11 +b11110 ' +b11110 D +b1100011001000000000111010010010 , +b1100011001000000000111010010010 H +b1000 + +b1000 G +1- +1. +0% +#1651000 +0] +0k +1=" +1@" +b11000000110000101111001110011111 { +b11000000110000101111001110011111 A" +b10111 3" +1c +1_ +b1 0" +18" +1l +12" +b1100011001000000000111010010010 &" +b1100011001000000000111010010010 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +b11100001001111111110001110001000 / +b11100001001111111110001110001000 K +b11100001001111111110001110001000 e +b11100001001111111110001110001000 "" +0. +1% +#1651010 +b1100011001000000000111010010010 K" +#1651500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1652000 +1] +1i +0s +b10000110010010000000000001011101 | +b10000110010010000000000001011101 B" +0=" +0@" +1h +09" +0:" +0;" +0q +15" +17" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b110 -" +1y +0u +14" +1v +02" +0n +0/" +1w +1," +b11000000110000101111001110011111 / +b11000000110000101111001110011111 K +b11000000110000101111001110011111 e +b11000000110000101111001110011111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1652500 +b10100110110010110110111000100100 2 +b10100110110010110110111000100100 I +b10100110110010110110111000100100 Z +b10110 3 +b10110 J +b10110 Y +11 +b10100110110010110110111000100100 , +b10100110110010110110111000100100 H +b10110 + +b10110 G +1- +1. +0% +#1653000 +0] +0\ +1>" +1@" +b1001 3" +1^ +0_ +1<" +1` +1b +12" +b10100110110010110110111000100100 &" +b10100110110010110110111000100100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000110010010000000000001011101 0 +b10000110010010000000000001011101 M +b10000110010010000000000001011101 f +b10000110010010000000000001011101 !" +0. +1% +#1653010 +b10100110110010110110111000100100 Y" +#1653500 +b10010 5 +b10010 L +b10010 W +14 +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1654000 +16" +1] +b11100101100001111010110011011100 { +b11100101100001111010110011011100 A" +1u +1t +1\ +0>" +0@" +0h +1;" +17" +b11101000011101111001010011100110 | +b11101000011101111001010011100110 B" +05" +b11111 3" +0^ +0<" +0` +b1101 0" +0k +18" +1l +b11010 -" +04" +0v +0y +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b101 } +b101 (" +b101 +" +0. +1% +#1654500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1655000 +1s +1h +0;" +1q +06" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11100101100001111010110011011100 / +b11100101100001111010110011011100 K +b11100101100001111010110011011100 e +b11100101100001111010110011011100 "" +b11101000011101111001010011100110 0 +b11101000011101111001010011100110 M +b11101000011101111001010011100110 f +b11101000011101111001010011100110 !" +0. +1% +#1655500 +b1011 5 +b1011 L +b1011 W +14 +b10001 7 +b10001 N +b10001 V +16 +b1011 ' +b1011 D +1) +b10001 & +b10001 C +1( +1. +0% +#1656000 +0i +b1101110000010101001011101001001 { +b1101110000010101001011101001001 A" +b10001100001111011100010110110110 | +b10001100001111011100010110110110 B" +0h +0g +19" +1;" +0q +17" +b10100 0" +1o +1k +b1110 -" +0u +14" +1v +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011 ~ +b1011 )" +b1011 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#1656500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1657000 +1i +1h +1g +09" +0;" +1q +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1101110000010101001011101001001 / +b1101110000010101001011101001001 K +b1101110000010101001011101001001 e +b1101110000010101001011101001001 "" +b10001100001111011100010110110110 0 +b10001100001111011100010110110110 M +b10001100001111011100010110110110 f +b10001100001111011100010110110110 !" +0. +1% +#1657500 +b11101010111011001000000000100111 2 +b11101010111011001000000000100111 I +b11101010111011001000000000100111 Z +b1000 3 +b1000 J +b1000 Y +11 +b11101010111011001000000000100111 , +b11101010111011001000000000100111 H +b1000 + +b1000 G +1- +1. +0% +#1658000 +0] +1=" +1@" +b10111 3" +1c +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101010111011001000000000100111 &" +b11101010111011001000000000100111 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +0. +1% +#1658010 +b11101010111011001000000000100111 K" +#1658500 +b10111 5 +b10111 L +b10111 W +14 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1659000 +1] +0i +b11101100101111110011100100100010 { +b11101100101111110011100100100010 A" +b10001100001111011100010110110110 | +b10001100001111011100010110110110 B" +0=" +0@" +0h +0g +1:" +1;" +0q +17" +b11111 3" +0c +0_ +b1000 0" +1j +0k +18" +1l +b1110 -" +0u +14" +1v +02" +1n +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#1659500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1660000 +1i +1h +1g +0:" +0;" +1q +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0w +0," +b11101100101111110011100100100010 / +b11101100101111110011100100100010 K +b11101100101111110011100100100010 e +b11101100101111110011100100100010 "" +b10001100001111011100010110110110 0 +b10001100001111011100010110110110 M +b10001100001111011100010110110110 f +b10001100001111011100010110110110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1660500 +b11 5 +b11 L +b11 W +14 +b1011011011111111000111111101110 2 +b1011011011111111000111111101110 I +b1011011011111111000111111101110 Z +b11110 3 +b11110 J +b11110 Y +11 +b11 ' +b11 D +1) +b1011011011111111000111111101110 , +b1011011011111111000111111101110 H +b11110 + +b11110 G +1- +1. +0% +#1661000 +0] +b111001010010101100010011001100 { +b111001010010101100010011001100 A" +0\ +1=" +1>" +1@" +0h +0g +1;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11100 0" +1k +1b +12" +1n +1m +1/" +b1011011011111111000111111101110 &" +b1011011011111111000111111101110 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1661010 +b1011011011111111000111111101110 a" +#1661500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1662000 +1] +1\ +0=" +0>" +0@" +1h +1g +0;" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b111001010010101100010011001100 / +b111001010010101100010011001100 K +b111001010010101100010011001100 e +b111001010010101100010011001100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1662500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#1663000 +b11111000111010001100000110 | +b11111000111010001100000110 B" +0q +17" +b11110 -" +1u +1w +1," +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1663500 +b101 5 +b101 L +b101 W +14 +b11111 7 +b11111 N +b11111 V +b101 ' +b101 D +1) +b11111 & +b11111 C +1. +0% +#1664000 +0i +b11101000011101111001010011100110 { +b11101000011101111001010011100110 A" +0u +0s +0g +1:" +1;" +0r +15" +16" +b11010 0" +1j +1k +b0 -" +14" +1v +0t +0y +1z +b11100001111100100111011101000001 | +b11100001111100100111011101000001 B" +1m +1/" +1x +b11111000111010001100000110 0 +b11111000111010001100000110 M +b11111000111010001100000110 f +b11111000111010001100000110 !" +b101 ~ +b101 )" +b101 ." +1$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#1664500 +b11 5 +b11 L +b11 W +b11000 7 +b11000 N +b11000 V +b1011010011110100000110100010 2 +b1011010011110100000110100010 I +b1011010011110100000110100010 Z +b1110 3 +b1110 J +b1110 Y +11 +b11 ' +b11 D +b11000 & +b11000 C +b1011010011110100000110100010 , +b1011010011110100000110100010 H +b1110 + +b1110 G +1- +1. +0% +#1665000 +0] +1i +1y +0\ +1=" +1>" +1@" +0h +0:" +1r +1q +15" +06" +b10001 3" +0^ +0c +1d +1_ +b11100 0" +0j +b111001010010101100010011001100 { +b111001010010101100010011001100 A" +b111 -" +0z +b101101001011010010101110011111 | +b101101001011010010101110011111 B" +1b +12" +1n +0x +0w +b1011010011110100000110100010 &" +b1011010011110100000110100010 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11 ~ +b11 )" +b11 ." +b11000 } +b11000 (" +b11000 +" +b11101000011101111001010011100110 / +b11101000011101111001010011100110 K +b11101000011101111001010011100110 e +b11101000011101111001010011100110 "" +b11100001111100100111011101000001 0 +b11100001111100100111011101000001 M +b11100001111100100111011101000001 f +b11100001111100100111011101000001 !" +0. +1% +#1665010 +b1011010011110100000110100010 Q" +#1665500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001100010111010101010111001000 2 +b1001100010111010101010111001000 I +b1001100010111010101010111001000 Z +b11000 3 +b11000 J +b11000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001100010111010101010111001000 , +b1001100010111010101010111001000 H +b11000 + +b11000 G +1. +0% +#1666000 +0_ +1c +1s +1\ +1=" +0>" +1h +1g +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b111 3" +1<" +1` +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +0b +0n +0m +0/" +0," +b111001010010101100010011001100 / +b111001010010101100010011001100 K +b111001010010101100010011001100 e +b111001010010101100010011001100 "" +b101101001011010010101110011111 0 +b101101001011010010101110011111 M +b101101001011010010101110011111 f +b101101001011010010101110011111 !" +b1001100010111010101010111001000 &" +b1001100010111010101010111001000 ?" +b11000 %" +b11000 *" +b11000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1666010 +b1001100010111010101010111001000 [" +#1666500 +b1000 5 +b1000 L +b1000 W +14 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1667000 +1] +0i +b11101010111011001000000000100111 { +b11101010111011001000000000100111 A" +0s +b1011011011111111000111111101110 | +b1011011011111111000111111101110 B" +0=" +0@" +19" +1;" +0r +15" +16" +17" +b11111 3" +0c +0<" +0` +b10111 0" +1o +1k +b1 -" +0t +0y +1z +0u +14" +1v +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1667500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +b0 ' +b0 D +0) +b11 & +b11 C +1. +0% +#1668000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +1s +09" +0;" +0q +17" +05" +06" +b11111 0" +0o +0k +b11100 -" +04" +0v +0z +b111001010010101100010011001100 | +b111001010010101100010011001100 B" +0/" +1w +b11101010111011001000000000100111 / +b11101010111011001000000000100111 K +b11101010111011001000000000100111 e +b11101010111011001000000000100111 "" +b1011011011111111000111111101110 0 +b1011011011111111000111111101110 M +b1011011011111111000111111101110 f +b1011011011111111000111111101110 !" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +0. +1% +#1668500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +1. +0% +#1669000 +0i +b11101101001100110010100010010011 { +b11101101001100110010100010010011 A" +0g +1:" +1;" +1r +1q +07" +b1010 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0w +0," +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111001010010101100010011001100 0 +b111001010010101100010011001100 M +b111001010010101100010011001100 f +b111001010010101100010011001100 !" +0. +1% +#1669500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1670000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11101101001100110010100010010011 / +b11101101001100110010100010010011 K +b11101101001100110010100010010011 e +b11101101001100110010100010010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1670500 +b1001 5 +b1001 L +b1001 W +14 +b11111 7 +b11111 N +b11111 V +16 +b1110011000111010000111110011001 2 +b1110011000111010000111110011001 I +b1110011000111010000111110011001 Z +b10010 3 +b10010 J +b10010 Y +11 +b1001 ' +b1001 D +1) +b11111 & +b11111 C +1( +b1110011000111010000111110011001 , +b1110011000111010000111110011001 H +b10010 + +b10010 G +1- +1. +0% +#1671000 +0i +b11000000000110000100101011000101 { +b11000000000110000100101011000101 A" +0s +b11100001111100100111011101000001 | +b11100001111100100111011101000001 B" +0\ +1@" +0g +19" +1;" +0r +0q +15" +16" +17" +b1101 3" +0_ +1<" +1` +b10110 0" +1o +1k +b0 -" +0t +0y +1z +0u +14" +1v +1b +12" +1m +1/" +1x +1w +1," +b1110011000111010000111110011001 &" +b1110011000111010000111110011001 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1671010 +b1110011000111010000111110011001 U" +#1671500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b11111011010100111100001101001010 2 +b11111011010100111100001101001010 I +b11111011010100111100001101001010 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b10010 & +b10010 C +b11111011010100111100001101001010 , +b11111011010100111100001101001010 H +b1011 + +b1011 G +1. +0% +#1672000 +1_ +0] +1i +1s +0[ +1@" +1=" +1g +09" +0;" +1q +05" +06" +b10100 3" +0<" +0` +1c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +0z +b1110011000111010000111110011001 | +b1110011000111010000111110011001 B" +1a +0m +0/" +0w +b11000000000110000100101011000101 / +b11000000000110000100101011000101 K +b11000000000110000100101011000101 e +b11000000000110000100101011000101 "" +b11100001111100100111011101000001 0 +b11100001111100100111011101000001 M +b11100001111100100111011101000001 f +b11100001111100100111011101000001 !" +b11111011010100111100001101001010 &" +b11111011010100111100001101001010 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#1672010 +b11111011010100111100001101001010 N" +#1672500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1673000 +1] +1\ +1[ +0=" +0@" +1r +07" +b11111 3" +0c +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110011000111010000111110011001 0 +b1110011000111010000111110011001 M +b1110011000111010000111110011001 f +b1110011000111010000111110011001 !" +0. +1% +#1673500 +1. +0% +#1674000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1674500 +16 +b11011100010010111011000001110010 2 +b11011100010010111011000001110010 I +b11011100010010111011000001110010 Z +b1000 3 +b1000 J +b1000 Y +11 +1( +b11011100010010111011000001110010 , +b11011100010010111011000001110010 H +b1000 + +b1000 G +1- +1. +0% +#1675000 +0] +b10100000001011101101010001100001 | +b10100000001011101101010001100001 B" +1=" +1@" +17" +b10111 3" +1c +1_ +1u +12" +1," +b11011100010010111011000001110010 &" +b11011100010010111011000001110010 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +1#" +0. +1% +#1675010 +b11011100010010111011000001110010 K" +#1675500 +b1 5 +b1 L +b1 W +14 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1676000 +1] +b11111000111010001100000110 { +b11111000111010001100000110 A" +0=" +0@" +0g +1;" +0q +b11111 3" +0c +0_ +b11110 0" +1k +b11110 -" +b11111000111010001100000110 | +b11111000111010001100000110 B" +02" +1m +1/" +1w +b10100000001011101101010001100001 0 +b10100000001011101101010001100001 M +b10100000001011101101010001100001 f +b10100000001011101101010001100001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b1 } +b1 (" +b1 +" +0. +1% +#1676500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101101010010110001001011011001 2 +b101101010010110001001011011001 I +b101101010010110001001011011001 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101101010010110001001011011001 , +b101101010010110001001011011001 H +b100 + +b100 G +1- +1. +0% +#1677000 +0] +1>" +1@" +1g +0;" +1q +07" +b11011 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0m +0/" +0w +0," +b101101010010110001001011011001 &" +b101101010010110001001011011001 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111000111010001100000110 / +b11111000111010001100000110 K +b11111000111010001100000110 e +b11111000111010001100000110 "" +b11111000111010001100000110 0 +b11111000111010001100000110 M +b11111000111010001100000110 f +b11111000111010001100000110 !" +0. +1% +#1677010 +b101101010010110001001011011001 G" +#1677500 +b1 5 +b1 L +b1 W +14 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1678000 +1] +b11111000111010001100000110 { +b11111000111010001100000110 A" +0s +b11100001111100100111011101000001 | +b11100001111100100111011101000001 B" +0>" +0@" +0g +1;" +0r +0q +15" +16" +17" +b11111 3" +0^ +0_ +b11110 0" +1k +b0 -" +0t +0y +1z +0u +14" +1v +02" +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#1678500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +b11001111000110111001010101011101 2 +b11001111000110111001010101011101 I +b11001111000110111001010101011101 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b11101 & +b11101 C +b11001111000110111001010101011101 , +b11001111000110111001010101011101 H +b11000 + +b11000 G +1- +1. +0% +#1679000 +0] +1=" +1@" +1g +0;" +1r +b111 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +b10100101111111111101111010100010 | +b10100101111111111101111010100010 B" +12" +0m +0/" +0x +b11001111000110111001010101011101 &" +b11001111000110111001010101011101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +b11111000111010001100000110 / +b11111000111010001100000110 K +b11111000111010001100000110 e +b11111000111010001100000110 "" +b11100001111100100111011101000001 0 +b11100001111100100111011101000001 M +b11100001111100100111011101000001 f +b11100001111100100111011101000001 !" +0. +1% +#1679010 +b11001111000110111001010101011101 [" +#1679500 +b0 7 +b0 N +b0 V +06 +b11000010100000011000011000001001 2 +b11000010100000011000011000001001 I +b11000010100000011000011000001001 Z +b1100 3 +b1100 J +b1100 Y +b0 & +b0 C +0( +b11000010100000011000011000001001 , +b11000010100000011000011000001001 H +b1100 + +b1100 G +1. +0% +#1680000 +1_ +0c +1s +1@" +1>" +1q +05" +06" +07" +b10011 3" +0<" +0` +1d +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100101111111111101111010100010 0 +b10100101111111111101111010100010 M +b10100101111111111101111010100010 f +b10100101111111111101111010100010 !" +b11000010100000011000011000001001 &" +b11000010100000011000011000001001 ?" +b1100 %" +b1100 *" +b1100 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1680010 +b11000010100000011000011000001001 O" +#1680500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1681000 +1] +0=" +0>" +0@" +b11111 3" +0d +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1681500 +b1 5 +b1 L +b1 W +14 +b1100 7 +b1100 N +b1100 V +16 +b1 ' +b1 D +1) +b1100 & +b1100 C +1( +1. +0% +#1682000 +b11111000111010001100000110 { +b11111000111010001100000110 A" +0s +b11000010100000011000011000001001 | +b11000010100000011000011000001001 B" +0g +1;" +15" +16" +17" +b11110 0" +1k +b10011 -" +0t +0y +1z +1u +1m +1/" +1," +b1 ~ +b1 )" +b1 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#1682500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +b0 ' +b0 D +0) +b1011 & +b1011 C +1. +0% +#1683000 +1y +1g +0;" +0r +0q +15" +06" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +0z +b11111011010100111100001101001010 | +b11111011010100111100001101001010 B" +0m +0/" +1x +1w +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +b11111000111010001100000110 / +b11111000111010001100000110 K +b11111000111010001100000110 e +b11111000111010001100000110 "" +b11000010100000011000011000001001 0 +b11000010100000011000011000001001 M +b11000010100000011000011000001001 f +b11000010100000011000011000001001 !" +0. +1% +#1683500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1684000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111011010100111100001101001010 0 +b11111011010100111100001101001010 M +b11111011010100111100001101001010 f +b11111011010100111100001101001010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1684500 +b10010 5 +b10010 L +b10010 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1000011000011111111000000001111 2 +b1000011000011111111000000001111 I +b1000011000011111111000000001111 Z +b11 3 +b11 J +b11 Y +11 +b10010 ' +b10010 D +1) +b1000 & +b1000 C +1( +b1000011000011111111000000001111 , +b1000011000011111111000000001111 H +b11 + +b11 G +1- +1. +0% +#1685000 +b1110011000111010000111110011001 { +b1110011000111010000111110011001 A" +0s +b11011100010010111011000001110010 | +b11011100010010111011000001110010 B" +0\ +0[ +1@" +0h +1;" +15" +17" +b11100 3" +1_ +b1101 0" +0k +18" +1l +b10111 -" +1y +1u +1b +1a +12" +1n +1/" +1," +b1000011000011111111000000001111 &" +b1000011000011111111000000001111 ?" +b11 %" +b11 *" +b11 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1685010 +b1000011000011111111000000001111 F" +#1685500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11110 & +b11110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1686000 +0u +0y +1\ +1[ +0@" +1h +0;" +0r +16" +b11111 3" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +14" +1v +1z +b1011011011111111000111111101110 | +b1011011011111111000111111101110 B" +0b +0a +02" +0n +0/" +1x +b1110011000111010000111110011001 / +b1110011000111010000111110011001 K +b1110011000111010000111110011001 e +b1110011000111010000111110011001 "" +b11011100010010111011000001110010 0 +b11011100010010111011000001110010 M +b11011100010010111011000001110010 f +b11011100010010111011000001110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#1686500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +1) +b0 & +b0 C +0( +1. +0% +#1687000 +0i +b10111010000110000101001110000110 { +b10111010000110000101001110000110 A" +1s +0h +1:" +1;" +1r +05" +06" +07" +b11001 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0," +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011011011111111000111111101110 0 +b1011011011111111000111111101110 M +b1011011011111111000111111101110 f +b1011011011111111000111111101110 !" +0. +1% +#1687500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +1. +0% +#1688000 +1i +0s +b11111100101011010100011000110010 | +b11111100101011010100011000110010 B" +1h +0:" +0;" +0r +15" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10101 -" +1y +1u +0n +0/" +1x +1," +b10111010000110000101001110000110 / +b10111010000110000101001110000110 K +b10111010000110000101001110000110 e +b10111010000110000101001110000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#1688500 +b10001 7 +b10001 N +b10001 V +b111000111000101110110111000110 2 +b111000111000101110110111000110 I +b111000111000101110110111000110 Z +b10110 3 +b10110 J +b10110 Y +11 +b10001 & +b10001 C +b111000111000101110110111000110 , +b111000111000101110110111000110 H +b10110 + +b10110 G +1- +1. +0% +#1689000 +0] +0u +1s +0\ +1>" +1@" +1r +0q +05" +b1001 3" +1^ +0_ +1<" +1` +b1110 -" +14" +1v +0y +b10001100001111011100010110110110 | +b10001100001111011100010110110110 B" +1b +12" +0x +1w +b111000111000101110110111000110 &" +b111000111000101110110111000110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b10001 } +b10001 (" +b10001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111100101011010100011000110010 0 +b11111100101011010100011000110010 M +b11111100101011010100011000110010 f +b11111100101011010100011000110010 !" +0. +1% +#1689010 +b111000111000101110110111000110 Y" +#1689500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1690000 +1] +1\ +0>" +0@" +1q +07" +b11111 3" +0^ +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0w +0," +b10001100001111011100010110110110 0 +b10001100001111011100010110110110 M +b10001100001111011100010110110110 f +b10001100001111011100010110110110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1690500 +1. +0% +#1691000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1691500 +1. +0% +#1692000 +0. +1% +#1692500 +b10 5 +b10 L +b10 W +14 +b10 ' +b10 D +1) +1. +0% +#1693000 +b10011111011110001001000110000000 { +b10011111011110001001000110000000 A" +0h +1;" +b11101 0" +1k +1n +1/" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#1693500 +b1001 5 +b1001 L +b1001 W +b1001 ' +b1001 D +1. +0% +#1694000 +0i +1h +0g +19" +b10110 0" +1o +b11000000000110000100101011000101 { +b11000000000110000100101011000101 A" +0n +1m +b10011111011110001001000110000000 / +b10011111011110001001000110000000 K +b10011111011110001001000110000000 e +b10011111011110001001000110000000 "" +b1001 ~ +b1001 )" +b1001 ." +0. +1% +#1694500 +b1 5 +b1 L +b1 W +b1001010001111100011011100000101 2 +b1001010001111100011011100000101 I +b1001010001111100011011100000101 Z +b101 3 +b101 J +b101 Y +11 +b1 ' +b1 D +b1001010001111100011011100000101 , +b1001010001111100011011100000101 H +b101 + +b101 G +1- +1. +0% +#1695000 +0] +1i +b11111000111010001100000110 { +b11111000111010001100000110 A" +0[ +1>" +1@" +09" +b11010 3" +1^ +1_ +b11110 0" +0o +1a +12" +b1001010001111100011011100000101 &" +b1001010001111100011011100000101 ?" +b101 %" +b101 *" +b101 1" +1'" +b1 ~ +b1 )" +b1 ." +b11000000000110000100101011000101 / +b11000000000110000100101011000101 K +b11000000000110000100101011000101 e +b11000000000110000100101011000101 "" +0. +1% +#1695010 +b1001010001111100011011100000101 H" +#1695500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1696000 +1] +1[ +0>" +0@" +1g +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0m +0/" +b11111000111010001100000110 / +b11111000111010001100000110 K +b11111000111010001100000110 e +b11111000111010001100000110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1696500 +1. +0% +#1697000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1697500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#1698000 +0i +b1100011000000001101011000000100 { +b1100011000000001101011000000100 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#1698500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1699000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1100011000000001101011000000100 / +b1100011000000001101011000000100 K +b1100011000000001101011000000100 e +b1100011000000001101011000000100 "" +0. +1% +#1699500 +b10111 5 +b10111 L +b10111 W +14 +b10 7 +b10 N +b10 V +16 +b10101100110111001100111110011111 2 +b10101100110111001100111110011111 I +b10101100110111001100111110011111 Z +b1001 3 +b1001 J +b1001 Y +11 +b10111 ' +b10111 D +1) +b10 & +b10 C +1( +b10101100110111001100111110011111 , +b10101100110111001100111110011111 H +b1001 + +b1001 G +1- +1. +0% +#1700000 +0] +0i +b11101100101111110011100100100010 { +b11101100101111110011100100100010 A" +b10011111011110001001000110000000 | +b10011111011110001001000110000000 B" +0[ +1=" +1@" +0h +0g +1:" +1;" +0r +17" +b10110 3" +1c +1_ +b1000 0" +1j +0k +18" +1l +b11101 -" +1u +1a +12" +1n +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101100110111001100111110011111 &" +b10101100110111001100111110011111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#1700010 +b10101100110111001100111110011111 L" +#1700500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1701000 +1] +1k +1[ +0=" +0@" +1g +1;" +1r +07" +b11111 3" +0c +0_ +b11001 0" +08" +0l +b10111010000110000101001110000110 { +b10111010000110000101001110000110 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0m +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +b11101100101111110011100100100010 / +b11101100101111110011100100100010 K +b11101100101111110011100100100010 e +b11101100101111110011100100100010 "" +b10011111011110001001000110000000 0 +b10011111011110001001000110000000 M +b10011111011110001001000110000000 f +b10011111011110001001000110000000 !" +0. +1% +#1701500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1702000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b10111010000110000101001110000110 / +b10111010000110000101001110000110 K +b10111010000110000101001110000110 e +b10111010000110000101001110000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1702500 +1. +0% +#1703000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1703500 +1. +0% +#1704000 +0. +1% +#1704500 +1. +0% +#1705000 +0. +1% +#1705500 +b1101 5 +b1101 L +b1101 W +14 +b10011111000111101101010011101000 2 +b10011111000111101101010011101000 I +b10011111000111101101010011101000 Z +b10110 3 +b10110 J +b10110 Y +11 +b1101 ' +b1101 D +1) +b10011111000111101101010011101000 , +b10011111000111101101010011101000 H +b10110 + +b10110 G +1- +1. +0% +#1706000 +0] +0i +b1000001000100101101010110001001 { +b1000001000100101101010110001001 A" +0\ +1>" +1@" +0g +19" +1:" +1;" +b1001 3" +1^ +0_ +1<" +1` +b10010 0" +0j +0o +1p +1k +1b +12" +1m +1/" +b10011111000111101101010011101000 &" +b10011111000111101101010011101000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#1706010 +b10011111000111101101010011101000 Y" +#1706500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b10001000100001111101110010100 2 +b10001000100001111101110010100 I +b10001000100001111101110010100 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b10001000100001111101110010100 , +b10001000100001111101110010100 H +b101 + +b101 G +1. +0% +#1707000 +1_ +1i +0s +b10011111000111101101010011101000 | +b10011111000111101101010011101000 B" +1\ +0[ +1@" +1g +09" +0:" +0;" +0r +16" +17" +b11010 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +0b +1a +0m +0/" +1x +1," +b10001000100001111101110010100 &" +b10001000100001111101110010100 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +b1000001000100101101010110001001 / +b1000001000100101101010110001001 K +b1000001000100101101010110001001 e +b1000001000100101101010110001001 "" +0. +1% +#1707010 +b10001000100001111101110010100 H" +#1707500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1708000 +1] +0i +b11001010010001110010000010000 { +b11001010010001110010000010000 A" +1s +1[ +0>" +0@" +0h +0g +1:" +1;" +1r +06" +07" +b11111 3" +0^ +0_ +b11000 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011111000111101101010011101000 0 +b10011111000111101101010011101000 M +b10011111000111101101010011101000 f +b10011111000111101101010011101000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1708500 +b11100 5 +b11100 L +b11100 W +b1000110001110100101100010001000 2 +b1000110001110100101100010001000 I +b1000110001110100101100010001000 Z +b10000 3 +b10000 J +b10000 Y +11 +b11100 ' +b11100 D +b1000110001110100101100010001000 , +b1000110001110100101100010001000 H +b10000 + +b10000 G +1- +1. +0% +#1709000 +0k +0j +1@" +1h +1g +19" +b1111 3" +0_ +1<" +1` +b11 0" +18" +1l +1p +b1100100010011110100010111001111 { +b1100100010011110100010111001111 A" +12" +0n +0m +b1000110001110100101100010001000 &" +b1000110001110100101100010001000 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b11001010010001110010000010000 / +b11001010010001110010000010000 K +b11001010010001110010000010000 e +b11001010010001110010000010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1709010 +b1000110001110100101100010001000 S" +#1709500 +b0 5 +b0 L +b0 W +04 +b1110000111101110010111110001001 2 +b1110000111101110010111110001001 I +b1110000111101110010111110001001 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b1110000111101110010111110001001 , +b1110000111101110010111110001001 H +b11 + +b11 G +1. +0% +#1710000 +1_ +1i +0\ +0[ +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11100 3" +0<" +0` +b11111 0" +0p +08" +0l +1b +1a +0/" +b1100100010011110100010111001111 / +b1100100010011110100010111001111 K +b1100100010011110100010111001111 e +b1100100010011110100010111001111 "" +b1110000111101110010111110001001 &" +b1110000111101110010111110001001 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1710010 +b1110000111101110010111110001001 F" +#1710500 +b10011101111111101010010010000001 2 +b10011101111111101010010010000001 I +b10011101111111101010010010000001 Z +b1111 3 +b1111 J +b1111 Y +b10011101111111101010010010000001 , +b10011101111111101010010010000001 H +b1111 + +b1111 G +1. +0% +#1711000 +0] +1=" +1>" +b10000 3" +0^ +0c +1d +b10011101111111101010010010000001 &" +b10011101111111101010010010000001 ?" +b1111 %" +b1111 *" +b1111 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1711010 +b10011101111111101010010010000001 R" +#1711500 +b1101 5 +b1101 L +b1101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1712000 +1] +0i +b1000001000100101101010110001001 { +b1000001000100101101010110001001 A" +1\ +1[ +0=" +0>" +0@" +0g +19" +1:" +1;" +b11111 3" +0d +0_ +b10010 0" +0j +0o +1p +1k +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#1712500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1713000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1000001000100101101010110001001 / +b1000001000100101101010110001001 K +b1000001000100101101010110001001 e +b1000001000100101101010110001001 "" +0. +1% +#1713500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#1714000 +0s +b10000110010010000000000001011101 | +b10000110010010000000000001011101 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#1714500 +b1011 7 +b1011 N +b1011 V +b1011 & +b1011 C +1. +0% +#1715000 +1u +0r +17" +b10100 -" +04" +0v +b11111011010100111100001101001010 | +b11111011010100111100001101001010 B" +1x +b1011 } +b1011 (" +b1011 +" +b10000110010010000000000001011101 0 +b10000110010010000000000001011101 M +b10000110010010000000000001011101 f +b10000110010010000000000001011101 !" +0. +1% +#1715500 +b10 7 +b10 N +b10 V +b1000011110101101101001000001101 2 +b1000011110101101101001000001101 I +b1000011110101101101001000001101 Z +b1101 3 +b1101 J +b1101 Y +11 +b10 & +b10 C +b1000011110101101101001000001101 , +b1000011110101101101001000001101 H +b1101 + +b1101 G +1- +1. +0% +#1716000 +0] +1s +0[ +1=" +1>" +1@" +1q +05" +b10010 3" +0^ +0c +1d +1_ +b11101 -" +0y +b10011111011110001001000110000000 | +b10011111011110001001000110000000 B" +1a +12" +0w +b11111011010100111100001101001010 0 +b11111011010100111100001101001010 M +b11111011010100111100001101001010 f +b11111011010100111100001101001010 !" +b1000011110101101101001000001101 &" +b1000011110101101101001000001101 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10 } +b10 (" +b10 +" +0. +1% +#1716010 +b1000011110101101101001000001101 P" +#1716500 +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1717000 +1] +0s +1[ +0=" +0>" +0@" +0q +15" +16" +b11111 3" +0d +0_ +b10000 -" +0t +0y +1z +b10011101111111101010010010000001 | +b10011101111111101010010010000001 B" +0a +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 } +b1111 (" +b1111 +" +b10011111011110001001000110000000 0 +b10011111011110001001000110000000 M +b10011111011110001001000110000000 f +b10011111011110001001000110000000 !" +0. +1% +#1717500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1718000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b10011101111111101010010010000001 0 +b10011101111111101010010010000001 M +b10011101111111101010010010000001 f +b10011101111111101010010010000001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1718500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#1719000 +0i +b1100001101111101010011100010001 { +b1100001101111101010011100010001 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1719500 +b1011 5 +b1011 L +b1011 W +b11000 7 +b11000 N +b11000 V +16 +b1011 ' +b1011 D +b11000 & +b11000 C +1( +1. +0% +#1720000 +1k +0s +b11001111000110111001010101011101 | +b11001111000110111001010101011101 B" +0g +1;" +15" +17" +b10100 0" +08" +0l +b11111011010100111100001101001010 { +b11111011010100111100001101001010 A" +b111 -" +1y +0u +14" +1v +1m +1," +b1100001101111101010011100010001 / +b1100001101111101010011100010001 K +b1100001101111101010011100010001 e +b1100001101111101010011100010001 "" +b1011 ~ +b1011 )" +b1011 ." +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#1720500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b0 ' +b0 D +0) +b100 & +b100 C +1. +0% +#1721000 +16" +1i +1u +1t +1h +1g +09" +0;" +17" +b101101010010110001001011011001 | +b101101010010110001001011011001 B" +05" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +04" +0v +0y +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +b11111011010100111100001101001010 / +b11111011010100111100001101001010 K +b11111011010100111100001101001010 e +b11111011010100111100001101001010 "" +b11001111000110111001010101011101 0 +b11001111000110111001010101011101 M +b11001111000110111001010101011101 f +b11001111000110111001010101011101 !" +0. +1% +#1721500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +1. +0% +#1722000 +0i +b1000011110101101101001000001101 { +b1000011110101101101001000001101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +19" +1:" +1;" +06" +07" +b10010 0" +0j +0o +1p +1k +b11111 -" +0t +0u +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101101010010110001001011011001 0 +b101101010010110001001011011001 M +b101101010010110001001011011001 f +b101101010010110001001011011001 !" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1722500 +b110 5 +b110 L +b110 W +b1111 7 +b1111 N +b1111 V +16 +b100111011000100000010001011101 2 +b100111011000100000010001011101 I +b100111011000100000010001011101 Z +b11100 3 +b11100 J +b11100 Y +11 +b110 ' +b110 D +b1111 & +b1111 C +1( +b100111011000100000010001011101 , +b100111011000100000010001011101 H +b11100 + +b11100 G +1- +1. +0% +#1723000 +0] +1j +0s +b10011101111111101010010010000001 | +b10011101111111101010010010000001 B" +1=" +1>" +1@" +0h +1g +09" +1:" +0r +0q +15" +16" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11001 0" +0p +b10111010000110000101001110000110 { +b10111010000110000101001110000110 A" +b10000 -" +0t +0y +1z +1u +12" +1n +0m +1x +1w +1," +b100111011000100000010001011101 &" +b100111011000100000010001011101 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b110 ~ +b110 )" +b110 ." +b1111 } +b1111 (" +b1111 +" +1#" +b1000011110101101101001000001101 / +b1000011110101101101001000001101 K +b1000011110101101101001000001101 e +b1000011110101101101001000001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1723010 +b100111011000100000010001011101 _" +#1723500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1724000 +1] +1i +1s +0=" +0>" +0@" +1h +0:" +0;" +1r +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0/" +0x +0w +0," +b10111010000110000101001110000110 / +b10111010000110000101001110000110 K +b10111010000110000101001110000110 e +b10111010000110000101001110000110 "" +b10011101111111101010010010000001 0 +b10011101111111101010010010000001 M +b10011101111111101010010010000001 f +b10011101111111101010010010000001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1724500 +b11110 5 +b11110 L +b11110 W +14 +b1111001111001011111011100101110 2 +b1111001111001011111011100101110 I +b1111001111001011111011100101110 Z +b10111 3 +b10111 J +b10111 Y +11 +b11110 ' +b11110 D +1) +b1111001111001011111011100101110 , +b1111001111001011111011100101110 H +b10111 + +b10111 G +1- +1. +0% +#1725000 +0] +0i +b1011011011111111000111111101110 { +b1011011011111111000111111101110 A" +0\ +0[ +1>" +1@" +0h +19" +1:" +1;" +b1000 3" +1^ +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +1b +1a +12" +1n +1/" +b1111001111001011111011100101110 &" +b1111001111001011111011100101110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1725010 +b1111001111001011111011100101110 Z" +#1725500 +b1111 5 +b1111 L +b1111 W +b10100111100100011010111110110100 2 +b10100111100100011010111110110100 I +b10100111100100011010111110110100 Z +b11001 3 +b11001 J +b11001 Y +b1111 ' +b1111 D +b10100111100100011010111110110100 , +b10100111100100011010111110110100 H +b11001 + +b11001 G +1. +0% +#1726000 +1=" +1c +1k +1\ +0>" +0g +1;" +b110 3" +0^ +b10000 0" +08" +0l +b10011101111111101010010010000001 { +b10011101111111101010010010000001 A" +0b +1m +b1011011011111111000111111101110 / +b1011011011111111000111111101110 K +b1011011011111111000111111101110 e +b1011011011111111000111111101110 "" +b10100111100100011010111110110100 &" +b10100111100100011010111110110100 ?" +b11001 %" +b11001 *" +b11001 1" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#1726010 +b10100111100100011010111110110100 \" +#1726500 +b10 5 +b10 L +b10 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1727000 +1] +1i +1[ +0=" +0@" +1g +09" +0:" +b11111 3" +0c +0<" +0` +b11101 0" +0p +b10011111011110001001000110000000 { +b10011111011110001001000110000000 A" +0a +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b10011101111111101010010010000001 / +b10011101111111101010010010000001 K +b10011101111111101010010010000001 e +b10011101111111101010010010000001 "" +0. +1% +#1727500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b10010010111000101011011101001101 2 +b10010010111000101011011101001101 I +b10010010111000101011011101001101 Z +11 +b0 ' +b0 D +0) +b101 & +b101 C +1( +b10010010111000101011011101001101 , +b10010010111000101011011101001101 H +1- +1. +0% +#1728000 +0s +b10001000100001111101110010100 | +b10001000100001111101110010100 B" +1@" +1h +0;" +0q +16" +17" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11010 -" +1t +1u +12" +0n +0/" +1w +1," +b10011111011110001001000110000000 / +b10011111011110001001000110000000 K +b10011111011110001001000110000000 e +b10011111011110001001000110000000 "" +b10010010111000101011011101001101 &" +b10010010111000101011011101001101 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#1728010 +b10010010111000101011011101001101 C" +#1728500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#1729000 +1s +0@" +1q +06" +07" +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0w +0," +b0 &" +b0 ?" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001000100001111101110010100 0 +b10001000100001111101110010100 M +b10001000100001111101110010100 f +b10001000100001111101110010100 !" +0. +1% +#1729500 +1. +0% +#1730000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1730500 +b11 5 +b11 L +b11 W +14 +b100110100110100111010110110111 2 +b100110100110100111010110110111 I +b100110100110100111010110110111 Z +b110 3 +b110 J +b110 Y +11 +b11 ' +b11 D +1) +b100110100110100111010110110111 , +b100110100110100111010110110111 H +b110 + +b110 G +1- +1. +0% +#1731000 +0] +b1110000111101110010111110001001 { +b1110000111101110010111110001001 A" +0\ +1>" +1@" +0h +0g +1;" +b11001 3" +1^ +1_ +b11100 0" +1k +1b +12" +1n +1m +1/" +b100110100110100111010110110111 &" +b100110100110100111010110110111 ?" +b110 %" +b110 *" +b110 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#1731010 +b100110100110100111010110110111 I" +#1731500 +b0 5 +b0 L +b0 W +04 +b10110111110110000111011100110 2 +b10110111110110000111011100110 I +b10110111110110000111011100110 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b10110111110110000111011100110 , +b10110111110110000111011100110 H +b11010 + +b11010 G +1. +0% +#1732000 +1=" +0_ +1c +0>" +1h +1g +0;" +b101 3" +1<" +1` +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1110000111101110010111110001001 / +b1110000111101110010111110001001 K +b1110000111101110010111110001001 e +b1110000111101110010111110001001 "" +b10110111110110000111011100110 &" +b10110111110110000111011100110 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1732010 +b10110111110110000111011100110 ]" +#1732500 +b1000 5 +b1000 L +b1000 W +14 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1733000 +1] +0i +b11011100010010111011000001110010 { +b11011100010010111011000001110010 A" +0s +b11100001111100100111011101000001 | +b11100001111100100111011101000001 B" +1\ +0=" +0@" +19" +1;" +0r +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b10111 0" +1o +1k +b0 -" +0t +0y +1z +0u +14" +1v +0b +02" +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1733500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1734000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0;" +1r +1q +05" +06" +07" +b11111 0" +0o +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0w +0," +b11011100010010111011000001110010 / +b11011100010010111011000001110010 K +b11011100010010111011000001110010 e +b11011100010010111011000001110010 "" +b11100001111100100111011101000001 0 +b11100001111100100111011101000001 M +b11100001111100100111011101000001 f +b11100001111100100111011101000001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1734500 +b1100 7 +b1100 N +b1100 V +16 +b1100 & +b1100 C +1( +1. +0% +#1735000 +0s +b11000010100000011000011000001001 | +b11000010100000011000011000001001 B" +15" +16" +17" +b10011 -" +0t +0y +1z +1u +1," +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1735500 +14 +b11010 7 +b11010 N +b11010 V +b1010111010001110001010110010000 2 +b1010111010001110001010110010000 I +b1010111010001110001010110010000 Z +b10011 3 +b10011 J +b10011 Y +11 +1) +b11010 & +b11010 C +b1010111010001110001010110010000 , +b1010111010001110001010110010000 H +b10011 + +b10011 G +1- +1. +0% +#1736000 +b10010010111000101011011101001101 { +b10010010111000101011011101001101 A" +0u +1y +0\ +0[ +1@" +1;" +0r +15" +06" +b1100 3" +0_ +1<" +1` +1k +b101 -" +14" +1v +0z +b10110111110110000111011100110 | +b10110111110110000111011100110 B" +1b +1a +12" +1/" +1x +b11000010100000011000011000001001 0 +b11000010100000011000011000001001 M +b11000010100000011000011000001001 f +b11000010100000011000011000001001 !" +b1010111010001110001010110010000 &" +b1010111010001110001010110010000 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +1$" +b11010 } +b11010 (" +b11010 +" +0. +1% +#1736010 +b1010111010001110001010110010000 V" +#1736500 +b10111 5 +b10111 L +b10111 W +b11000 7 +b11000 N +b11000 V +b110000000000101110100101000011 2 +b110000000000101110100101000011 I +b110000000000101110100101000011 Z +b11101 3 +b11101 J +b11101 Y +b10111 ' +b10111 D +b11000 & +b11000 C +b110000000000101110100101000011 , +b110000000000101110100101000011 H +b11101 + +b11101 G +1. +0% +#1737000 +0] +0k +0i +1\ +1=" +1>" +0h +0g +1:" +1r +b10 3" +0^ +0c +1d +b1000 0" +18" +1l +1j +b1111001111001011111011100101110 { +b1111001111001011111011100101110 A" +b111 -" +b11001111000110111001010101011101 | +b11001111000110111001010101011101 B" +0b +1n +1m +0x +b110000000000101110100101000011 &" +b110000000000101110100101000011 ?" +b11101 %" +b11101 *" +b11101 1" +b10111 ~ +b10111 )" +b10111 ." +b11000 } +b11000 (" +b11000 +" +b10010010111000101011011101001101 / +b10010010111000101011011101001101 K +b10010010111000101011011101001101 e +b10010010111000101011011101001101 "" +b10110111110110000111011100110 0 +b10110111110110000111011100110 M +b10110111110110000111011100110 f +b10110111110110000111011100110 !" +0. +1% +#1737010 +b110000000000101110100101000011 `" +#1737500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b110100100010010110101000000101 2 +b110100100010010110101000000101 I +b110100100010010110101000000101 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b10001 & +b10001 C +b110100100010010110101000000101 , +b110100100010010110101000000101 H +b11110 + +b11110 G +1. +0% +#1738000 +1i +1s +0\ +1[ +1h +1g +0:" +0;" +0q +05" +b1 3" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0y +b10001100001111011100010110110110 | +b10001100001111011100010110110110 B" +1b +0a +0n +0m +0/" +1w +b1111001111001011111011100101110 / +b1111001111001011111011100101110 K +b1111001111001011111011100101110 e +b1111001111001011111011100101110 "" +b11001111000110111001010101011101 0 +b11001111000110111001010101011101 M +b11001111000110111001010101011101 f +b11001111000110111001010101011101 !" +b110100100010010110101000000101 &" +b110100100010010110101000000101 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#1738010 +b110100100010010110101000000101 a" +#1738500 +b11101 7 +b11101 N +b11101 V +b1111011010111100001010000101000 2 +b1111011010111100001010000101000 I +b1111011010111100001010000101000 Z +b11101 & +b11101 C +b1111011010111100001010000101000 , +b1111011010111100001010000101000 H +1. +0% +#1739000 +0s +b110000000000101110100101000011 | +b110000000000101110100101000011 B" +15" +16" +b10 -" +0t +0y +1z +b1111011010111100001010000101000 &" +b1111011010111100001010000101000 ?" +b11101 } +b11101 (" +b11101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001100001111011100010110110110 0 +b10001100001111011100010110110110 M +b10001100001111011100010110110110 f +b10001100001111011100010110110110 !" +0. +1% +#1739010 +b1111011010111100001010000101000 a" +#1739500 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1740000 +1] +1u +1\ +0=" +0>" +0@" +0r +1q +17" +b11111 3" +0d +0<" +0` +b10001 -" +04" +0v +b1011010011110100000110100010 | +b1011010011110100000110100010 B" +0b +02" +1x +0w +b110000000000101110100101000011 0 +b110000000000101110100101000011 M +b110000000000101110100101000011 f +b110000000000101110100101000011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +0. +1% +#1740500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +1. +0% +#1741000 +0i +b100111011000100000010001011101 { +b100111011000100000010001011101 A" +1s +19" +1:" +1;" +1r +05" +06" +07" +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1011010011110100000110100010 0 +b1011010011110100000110100010 M +b1011010011110100000110100010 f +b1011010011110100000110100010 !" +0. +1% +#1741500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1742000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b100111011000100000010001011101 / +b100111011000100000010001011101 K +b100111011000100000010001011101 e +b100111011000100000010001011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1742500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#1743000 +b1010111010001110001010110010000 { +b1010111010001110001010110010000 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1743500 +b0 5 +b0 L +b0 W +04 +b110001100001001010000000010111 2 +b110001100001001010000000010111 I +b110001100001001010000000010111 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b110001100001001010000000010111 , +b110001100001001010000000010111 H +b11101 + +b11101 G +1- +1. +0% +#1744000 +0] +0[ +1=" +1>" +1@" +1h +1g +0;" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0m +0/" +b1010111010001110001010110010000 / +b1010111010001110001010110010000 K +b1010111010001110001010110010000 e +b1010111010001110001010110010000 "" +b110001100001001010000000010111 &" +b110001100001001010000000010111 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1744010 +b110001100001001010000000010111 `" +#1744500 +b111 5 +b111 L +b111 W +14 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1745000 +1] +0i +b11001010010001110010000010000 { +b11001010010001110010000010000 A" +b1000110001110100101100010001000 | +b1000110001110100101100010001000 B" +1[ +0=" +0>" +0@" +0h +0g +1:" +1;" +17" +b11111 3" +0d +0<" +0` +b11000 0" +1j +1k +b1111 -" +0u +14" +1v +0a +02" +1n +1m +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1745500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101010011111111101101100000011 2 +b101010011111111101101100000011 I +b101010011111111101101100000011 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101010011111111101101100000011 , +b101010011111111101101100000011 H +b1111 + +b1111 G +1- +1. +0% +#1746000 +0] +1i +0\ +0[ +1=" +1>" +1@" +1h +1g +0:" +0;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +04" +0v +1b +1a +12" +0n +0m +0/" +0," +b11001010010001110010000010000 / +b11001010010001110010000010000 K +b11001010010001110010000010000 e +b11001010010001110010000010000 "" +b1000110001110100101100010001000 0 +b1000110001110100101100010001000 M +b1000110001110100101100010001000 f +b1000110001110100101100010001000 !" +b101010011111111101101100000011 &" +b101010011111111101101100000011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1746010 +b101010011111111101101100000011 R" +#1746500 +b1111 5 +b1111 L +b1111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1747000 +1] +0i +b101010011111111101101100000011 { +b101010011111111101101100000011 A" +1\ +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0d +0_ +b10000 0" +0j +0o +1p +1k +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1747500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1748000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b101010011111111101101100000011 / +b101010011111111101101100000011 K +b101010011111111101101100000011 e +b101010011111111101101100000011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1748500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#1749000 +0s +b10101100110111001100111110011111 | +b10101100110111001100111110011111 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1749500 +b0 7 +b0 N +b0 V +06 +b11111011011010101001010010101101 2 +b11111011011010101001010010101101 I +b11111011011010101001010010101101 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 & +b0 C +0( +b11111011011010101001010010101101 , +b11111011011010101001010010101101 H +b11011 + +b11011 G +1- +1. +0% +#1750000 +0] +1s +0\ +0[ +1=" +1@" +1q +05" +07" +b100 3" +1c +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b10101100110111001100111110011111 0 +b10101100110111001100111110011111 M +b10101100110111001100111110011111 f +b10101100110111001100111110011111 !" +b11111011011010101001010010101101 &" +b11111011011010101001010010101101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1750010 +b11111011011010101001010010101101 ^" +#1750500 +b1110000100010111010111100100011 2 +b1110000100010111010111100100011 I +b1110000100010111010111100100011 Z +b11001 3 +b11001 J +b11001 Y +b1110000100010111010111100100011 , +b1110000100010111010111100100011 H +b11001 + +b11001 G +1. +0% +#1751000 +1\ +b110 3" +0b +b1110000100010111010111100100011 &" +b1110000100010111010111100100011 ?" +b11001 %" +b11001 *" +b11001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1751010 +b1110000100010111010111100100011 \" +#1751500 +b11110010111110001110000010101101 2 +b11110010111110001110000010101101 I +b11110010111110001110000010101101 Z +b11011 3 +b11011 J +b11011 Y +b11110010111110001110000010101101 , +b11110010111110001110000010101101 H +b11011 + +b11011 G +1. +0% +#1752000 +0\ +b100 3" +1b +b11110010111110001110000010101101 &" +b11110010111110001110000010101101 ?" +b11011 %" +b11011 *" +b11011 1" +0. +1% +#1752010 +b11110010111110001110000010101101 ^" +#1752500 +b10001 7 +b10001 N +b10001 V +16 +b100010111010101001001101001100 2 +b100010111010101001001101001100 I +b100010111010101001001101001100 Z +b1111 3 +b1111 J +b1111 Y +b10001 & +b10001 C +1( +b100010111010101001001101001100 , +b100010111010101001001101001100 H +b1111 + +b1111 G +1. +0% +#1753000 +1_ +0c +b10001100001111011100010110110110 | +b10001100001111011100010110110110 B" +1@" +1>" +0q +17" +b10000 3" +0<" +0` +1d +b1110 -" +0u +14" +1v +1w +1," +b100010111010101001001101001100 &" +b100010111010101001001101001100 ?" +b1111 %" +b1111 *" +b1111 1" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#1753010 +b100010111010101001001101001100 R" +#1753500 +b100 5 +b100 L +b100 W +14 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1754000 +1] +0i +b101101010010110001001011011001 { +b101101010010110001001011011001 A" +1u +0s +1\ +1[ +0=" +0>" +0@" +1:" +1;" +0r +17" +16" +b11111 3" +0d +0_ +b11011 0" +1j +1k +b11000 -" +04" +0v +1t +b11001010010001110010000010000 | +b11001010010001110010000010000 B" +0b +0a +02" +1/" +1x +b10001100001111011100010110110110 0 +b10001100001111011100010110110110 M +b10001100001111011100010110110110 f +b10001100001111011100010110110110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +b111 } +b111 (" +b111 +" +0. +1% +#1754500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101111111000000001011010011100 2 +b101111111000000001011010011100 I +b101111111000000001011010011100 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101111111000000001011010011100 , +b101111111000000001011010011100 H +b11 + +b11 G +1- +1. +0% +#1755000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0\ +0[ +1@" +0:" +0;" +1r +1q +06" +07" +b11100 3" +1_ +b11111 0" +0j +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0/" +0x +0w +0," +b101111111000000001011010011100 &" +b101111111000000001011010011100 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101101010010110001001011011001 / +b101101010010110001001011011001 K +b101101010010110001001011011001 e +b101101010010110001001011011001 "" +b11001010010001110010000010000 0 +b11001010010001110010000010000 M +b11001010010001110010000010000 f +b11001010010001110010000010000 !" +0. +1% +#1755010 +b101111111000000001011010011100 F" +#1755500 +b10001 5 +b10001 L +b10001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1756000 +b10001100001111011100010110110110 { +b10001100001111011100010110110110 A" +1\ +1[ +0@" +0g +1;" +b11111 3" +0_ +b1110 0" +0k +18" +1l +0b +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#1756500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b10110010010010111011000111000011 2 +b10110010010010111011000111000011 I +b10110010010010111011000111000011 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b10110010010010111011000111000011 , +b10110010010010111011000111000011 H +b10010 + +b10010 G +1- +1. +0% +#1757000 +0s +b11110010111110001110000010101101 | +b11110010111110001110000010101101 B" +0\ +1@" +1g +0;" +0r +0q +15" +17" +b1101 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +1y +0u +14" +1v +1b +12" +0m +0/" +1x +1w +1," +b10110010010010111011000111000011 &" +b10110010010010111011000111000011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +b10001100001111011100010110110110 / +b10001100001111011100010110110110 K +b10001100001111011100010110110110 e +b10001100001111011100010110110110 "" +0. +1% +#1757010 +b10110010010010111011000111000011 U" +#1757500 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1758000 +1u +0y +1\ +0@" +1r +1q +17" +16" +b11111 3" +0<" +0` +b10011 -" +04" +0v +1z +b11000010100000011000011000001001 | +b11000010100000011000011000001001 B" +0b +02" +0x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110010111110001110000010101101 0 +b11110010111110001110000010101101 M +b11110010111110001110000010101101 f +b11110010111110001110000010101101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +0. +1% +#1758500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1759000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +06" +07" +b11111 -" +0z +0u +0," +b0 } +b0 (" +b0 +" +0#" +b11000010100000011000011000001001 0 +b11000010100000011000011000001001 M +b11000010100000011000011000001001 f +b11000010100000011000011000001001 !" +0. +1% +#1759500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#1760000 +0i +b100010111010101001001101001100 { +b100010111010101001001101001100 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#1760500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b1110100100011011111000110001101 2 +b1110100100011011111000110001101 I +b1110100100011011111000110001101 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b1110100100011011111000110001101 , +b1110100100011011111000110001101 H +b100 + +b100 G +1- +1. +0% +#1761000 +0] +1i +0s +b1110000100010111010111100100011 | +b1110000100010111010111100100011 B" +1>" +1@" +1h +1g +09" +0:" +0;" +0q +15" +17" +b11011 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +1y +0u +14" +1v +12" +0n +0m +0/" +1w +1," +b1110100100011011111000110001101 &" +b1110100100011011111000110001101 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +b100010111010101001001101001100 / +b100010111010101001001101001100 K +b100010111010101001001101001100 e +b100010111010101001001101001100 "" +0. +1% +#1761010 +b1110100100011011111000110001101 G" +#1761500 +b0 7 +b0 N +b0 V +06 +b10101010101000001101011011011011 2 +b10101010101000001101011011011011 I +b10101010101000001101011011011011 Z +b111 3 +b111 J +b111 Y +b0 & +b0 C +0( +b10101010101000001101011011011011 , +b10101010101000001101011011011011 H +b111 + +b111 G +1. +0% +#1762000 +1s +0\ +0[ +1q +05" +07" +b11000 3" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110000100010111010111100100011 0 +b1110000100010111010111100100011 M +b1110000100010111010111100100011 f +b1110000100010111010111100100011 !" +b10101010101000001101011011011011 &" +b10101010101000001101011011011011 ?" +b111 %" +b111 *" +b111 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1762010 +b10101010101000001101011011011011 J" +#1762500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1763000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1763500 +1. +0% +#1764000 +0. +1% +#1764500 +b1001000010101001011101011001001 2 +b1001000010101001011101011001001 I +b1001000010101001011101011001001 Z +b1 3 +b1 J +b1 Y +11 +b1001000010101001011101011001001 , +b1001000010101001011101011001001 H +b1 + +b1 G +1- +1. +0% +#1765000 +0[ +1@" +b11110 3" +1_ +1a +12" +b1001000010101001011101011001001 &" +b1001000010101001011101011001001 ?" +b1 %" +b1 *" +b1 1" +1'" +0. +1% +#1765010 +b1001000010101001011101011001001 D" +#1765500 +b100000100000110101011101111110 2 +b100000100000110101011101111110 I +b100000100000110101011101111110 Z +b10 3 +b10 J +b10 Y +b100000100000110101011101111110 , +b100000100000110101011101111110 H +b10 + +b10 G +1. +0% +#1766000 +0\ +1[ +b11101 3" +1b +0a +b100000100000110101011101111110 &" +b100000100000110101011101111110 ?" +b10 %" +b10 *" +b10 1" +0. +1% +#1766010 +b100000100000110101011101111110 E" +#1766500 +b111 5 +b111 L +b111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1767000 +0i +b10101010101000001101011011011011 { +b10101010101000001101011011011011 A" +1\ +0@" +0h +0g +1:" +1;" +b11111 3" +0_ +b11000 0" +1j +1k +0b +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#1767500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b1010011011100010010001111000110 2 +b1010011011100010010001111000110 I +b1010011011100010010001111000110 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b1010011011100010010001111000110 , +b1010011011100010010001111000110 H +b11111 + +b11111 G +1- +1. +0% +#1768000 +0] +1i +b1000110001110100101100010001000 | +b1000110001110100101100010001000 B" +0\ +0[ +1=" +1>" +1@" +1h +1g +0:" +0;" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +0u +14" +1v +1b +1a +12" +0n +0m +0/" +1," +b10101010101000001101011011011011 / +b10101010101000001101011011011011 K +b10101010101000001101011011011011 e +b10101010101000001101011011011011 "" +b1010011011100010010001111000110 &" +b1010011011100010010001111000110 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#1768500 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1769000 +1] +1u +0s +1\ +1[ +0=" +0>" +0@" +17" +b1110100100011011111000110001101 | +b1110100100011011111000110001101 B" +16" +b11111 3" +0d +0<" +0` +b11011 -" +04" +0v +1t +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000110001110100101100010001000 0 +b1000110001110100101100010001000 M +b1000110001110100101100010001000 f +b1000110001110100101100010001000 !" +0. +1% +#1769500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +1. +0% +#1770000 +0i +b10000110001011101101010110010111 { +b10000110001011101101010110010111 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1:" +1;" +06" +07" +b1011 0" +1j +0k +18" +1l +b11111 -" +0t +0u +1/" +0," +b1110100100011011111000110001101 0 +b1110100100011011111000110001101 M +b1110100100011011111000110001101 f +b1110100100011011111000110001101 !" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1770500 +b101 5 +b101 L +b101 W +b10111 7 +b10111 N +b10111 V +16 +b101 ' +b101 D +b10111 & +b10111 C +1( +1. +0% +#1771000 +1k +0s +b1111001111001011111011100101110 | +b1111001111001011111011100101110 B" +0g +1;" +0r +0q +16" +17" +b11010 0" +08" +0l +b10001000100001111101110010100 { +b10001000100001111101110010100 A" +b1000 -" +1t +0u +14" +1v +1m +1x +1w +1," +b101 ~ +b101 )" +b101 ." +b10111 } +b10111 (" +b10111 +" +1#" +b10000110001011101101010110010111 / +b10000110001011101101010110010111 K +b10000110001011101101010110010111 e +b10000110001011101101010110010111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1771500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 ' +b0 D +0) +b1100 & +b1100 C +1. +0% +#1772000 +1i +1u +0t +1g +0:" +0;" +1r +1q +17" +15" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10011 -" +04" +0v +1z +b11000010100000011000011000001001 | +b11000010100000011000011000001001 B" +0m +0/" +0x +0w +b10001000100001111101110010100 / +b10001000100001111101110010100 K +b10001000100001111101110010100 e +b10001000100001111101110010100 "" +b1111001111001011111011100101110 0 +b1111001111001011111011100101110 M +b1111001111001011111011100101110 f +b1111001111001011111011100101110 !" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#1772500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1773000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +06" +07" +b11111 -" +0z +0u +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000010100000011000011000001001 0 +b11000010100000011000011000001001 M +b11000010100000011000011000001001 f +b11000010100000011000011000001001 !" +0. +1% +#1773500 +1. +0% +#1774000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1774500 +1. +0% +#1775000 +0. +1% +#1775500 +b1100 7 +b1100 N +b1100 V +16 +b1100 & +b1100 C +1( +1. +0% +#1776000 +0s +b11000010100000011000011000001001 | +b11000010100000011000011000001001 B" +15" +16" +17" +b10011 -" +0t +0y +1z +1u +1," +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#1776500 +b1001 7 +b1001 N +b1001 V +b1001 & +b1001 C +1. +0% +#1777000 +1y +0q +15" +06" +b10110 -" +0z +b10101100110111001100111110011111 | +b10101100110111001100111110011111 B" +1w +b1001 } +b1001 (" +b1001 +" +b11000010100000011000011000001001 0 +b11000010100000011000011000001001 M +b11000010100000011000011000001001 f +b11000010100000011000011000001001 !" +0. +1% +#1777500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +1. +0% +#1778000 +0i +b110001100001001010000000010111 { +b110001100001001010000000010111 A" +1s +0g +19" +1:" +1;" +1q +05" +07" +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0w +0," +b10101100110111001100111110011111 0 +b10101100110111001100111110011111 M +b10101100110111001100111110011111 f +b10101100110111001100111110011111 !" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1778500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b10111011000110101110010010001011 2 +b10111011000110101110010010001011 I +b10111011000110101110010010001011 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +b10111011000110101110010010001011 , +b10111011000110101110010010001011 H +b1111 + +b1111 G +1- +1. +0% +#1779000 +0] +1i +0s +b1000011110101101101001000001101 | +b1000011110101101101001000001101 B" +0\ +0[ +1=" +1>" +1@" +1g +09" +0:" +0;" +0q +15" +16" +17" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +0t +0y +1z +1u +1b +1a +12" +0m +0/" +1w +1," +b10111011000110101110010010001011 &" +b10111011000110101110010010001011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +b110001100001001010000000010111 / +b110001100001001010000000010111 K +b110001100001001010000000010111 e +b110001100001001010000000010111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1779010 +b10111011000110101110010010001011 R" +#1779500 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1780000 +1] +0u +1t +1\ +1[ +0=" +0>" +0@" +0r +05" +16" +b11111 3" +0d +0_ +b1000 -" +14" +1v +0z +b1111001111001011111011100101110 | +b1111001111001011111011100101110 B" +0b +0a +02" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000011110101101101001000001101 0 +b1000011110101101101001000001101 M +b1000011110101101101001000001101 f +b1000011110101101101001000001101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +0. +1% +#1780500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1781000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1111001111001011111011100101110 0 +b1111001111001011111011100101110 M +b1111001111001011111011100101110 f +b1111001111001011111011100101110 !" +0. +1% +#1781500 +b10101 5 +b10101 L +b10101 W +14 +b10101 ' +b10101 D +1) +1. +0% +#1782000 +0i +b11101101001100110010100010010011 { +b11101101001100110010100010010011 A" +0g +1:" +1;" +b1010 0" +1j +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#1782500 +b110 5 +b110 L +b110 W +b110 ' +b110 D +1. +0% +#1783000 +1k +0h +1g +1;" +b11001 0" +08" +0l +b100110100110100111010110110111 { +b100110100110100111010110110111 A" +1n +0m +b110 ~ +b110 )" +b110 ." +b11101101001100110010100010010011 / +b11101101001100110010100010010011 K +b11101101001100110010100010010011 e +b11101101001100110010100010010011 "" +0. +1% +#1783500 +b10101 5 +b10101 L +b10101 W +b1000 7 +b1000 N +b1000 V +16 +b10101 ' +b10101 D +b1000 & +b1000 C +1( +1. +0% +#1784000 +0k +0s +b11011100010010111011000001110010 | +b11011100010010111011000001110010 B" +1h +0g +15" +17" +b1010 0" +18" +1l +b11101101001100110010100010010011 { +b11101101001100110010100010010011 A" +b10111 -" +1y +1u +0n +1m +1," +b100110100110100111010110110111 / +b100110100110100111010110110111 K +b100110100110100111010110110111 e +b100110100110100111010110110111 "" +b10101 ~ +b10101 )" +b10101 ." +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#1784500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b11011001111011001101000100000010 2 +b11011001111011001101000100000010 I +b11011001111011001101000100000010 Z +11 +b0 ' +b0 D +0) +b110 & +b110 C +b11011001111011001101000100000010 , +b11011001111011001101000100000010 H +1- +1. +0% +#1785000 +16" +1i +1t +1@" +1g +0:" +0;" +0r +05" +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +0y +b100110100110100111010110110111 | +b100110100110100111010110110111 B" +12" +0m +0/" +1x +b11011001111011001101000100000010 &" +b11011001111011001101000100000010 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +b11101101001100110010100010010011 / +b11101101001100110010100010010011 K +b11101101001100110010100010010011 e +b11101101001100110010100010010011 "" +b11011100010010111011000001110010 0 +b11011100010010111011000001110010 M +b11011100010010111011000001110010 f +b11011100010010111011000001110010 !" +0. +1% +#1785010 +b11011001111011001101000100000010 C" +#1785500 +b0 7 +b0 N +b0 V +b11000111100100111111101011101011 2 +b11000111100100111111101011101011 I +b11000111100100111111101011101011 Z +b10110 3 +b10110 J +b10110 Y +b0 & +b0 C +b11000111100100111111101011101011 , +b11000111100100111111101011101011 H +b10110 + +b10110 G +1. +0% +#1786000 +0_ +0] +1s +0\ +1>" +1r +06" +b1001 3" +1<" +1` +1^ +b11111 -" +0t +b11011001111011001101000100000010 | +b11011001111011001101000100000010 B" +1b +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100110100110100111010110110111 0 +b100110100110100111010110110111 M +b100110100110100111010110110111 f +b100110100110100111010110110111 !" +b11000111100100111111101011101011 &" +b11000111100100111111101011101011 ?" +b10110 %" +b10110 *" +b10110 1" +b0 } +b0 (" +b0 +" +0. +1% +#1786010 +b11000111100100111111101011101011 Y" +#1786500 +b10 5 +b10 L +b10 W +14 +06 +b1000110010100100100000000000100 2 +b1000110010100100100000000000100 I +b1000110010100100100000000000100 Z +b10011 3 +b10011 J +b10011 Y +b10 ' +b10 D +1) +0( +b1000110010100100100000000000100 , +b1000110010100100100000000000100 H +b10011 + +b10011 G +1. +0% +#1787000 +1] +b100000100000110101011101111110 { +b100000100000110101011101111110 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +0>" +0h +1;" +07" +b1100 3" +0^ +b11101 0" +1k +0u +1a +1n +1/" +0," +b1000110010100100100000000000100 &" +b1000110010100100100000000000100 ?" +b10011 %" +b10011 *" +b10011 1" +b10 ~ +b10 )" +b10 ." +1$" +0#" +b11011001111011001101000100000010 0 +b11011001111011001101000100000010 M +b11011001111011001101000100000010 f +b11011001111011001101000100000010 !" +0. +1% +#1787010 +b1000110010100100100000000000100 V" +#1787500 +b11001 5 +b11001 L +b11001 W +b11000110100001010011110010000010 2 +b11000110100001010011110010000010 I +b11000110100001010011110010000010 Z +b11000 3 +b11000 J +b11000 Y +b11001 ' +b11001 D +b11000110100001010011110010000010 , +b11000110100001010011110010000010 H +b11000 + +b11000 G +1. +0% +#1788000 +0] +0k +0i +1\ +1[ +1=" +1h +0g +19" +b111 3" +1c +b110 0" +18" +1l +1o +b1110000100010111010111100100011 { +b1110000100010111010111100100011 A" +0b +0a +0n +1m +b100000100000110101011101111110 / +b100000100000110101011101111110 K +b100000100000110101011101111110 e +b100000100000110101011101111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000110100001010011110010000010 &" +b11000110100001010011110010000010 ?" +b11000 %" +b11000 *" +b11000 1" +b11001 ~ +b11001 )" +b11001 ." +0. +1% +#1788010 +b11000110100001010011110010000010 [" +#1788500 +b11000 5 +b11000 L +b11000 W +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1789000 +1] +0s +b10101100110111001100111110011111 | +b10101100110111001100111110011111 B" +0=" +0@" +1g +0q +15" +17" +b11111 3" +0c +0<" +0` +b111 0" +b11000110100001010011110010000010 { +b11000110100001010011110010000010 A" +b10110 -" +1y +1u +02" +0m +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +b1001 } +b1001 (" +b1001 +" +1#" +b1110000100010111010111100100011 / +b1110000100010111010111100100011 K +b1110000100010111010111100100011 e +b1110000100010111010111100100011 "" +0. +1% +#1789500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10111111111011111001110001011 2 +b10111111111011111001110001011 I +b10111111111011111001110001011 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10111111111011111001110001011 , +b10111111111011111001110001011 H +b1110 + +b1110 G +1- +1. +0% +#1790000 +0] +1i +1s +0\ +1=" +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +07" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0o +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0/" +0w +0," +b11000110100001010011110010000010 / +b11000110100001010011110010000010 K +b11000110100001010011110010000010 e +b11000110100001010011110010000010 "" +b10101100110111001100111110011111 0 +b10101100110111001100111110011111 M +b10101100110111001100111110011111 f +b10101100110111001100111110011111 !" +b10111111111011111001110001011 &" +b10111111111011111001110001011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1790010 +b10111111111011111001110001011 Q" +#1790500 +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 & +b10110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1791000 +1] +0s +b11000111100100111111101011101011 | +b11000111100100111111101011101011 B" +1\ +0=" +0>" +0@" +0r +16" +17" +b11111 3" +0d +0_ +b1001 -" +1t +0u +14" +1v +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1791500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1111001110111111101000100111000 2 +b1111001110111111101000100111000 I +b1111001110111111101000100111000 Z +11 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +b1111001110111111101000100111000 , +b1111001110111111101000100111000 H +1- +1. +0% +#1792000 +0i +b1000011110101101101001000001101 { +b1000011110101101101001000001101 A" +1s +1@" +0g +19" +1:" +1;" +1r +06" +07" +1_ +b10010 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1m +1/" +0x +0," +b11000111100100111111101011101011 0 +b11000111100100111111101011101011 M +b11000111100100111111101011101011 f +b11000111100100111111101011101011 !" +b1111001110111111101000100111000 &" +b1111001110111111101000100111000 ?" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1792010 +b1111001110111111101000100111000 C" +#1792500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +b0 , +b0 H +0- +1. +0% +#1793000 +1i +0s +b1000011110101101101001000001101 | +b1000011110101101101001000001101 B" +0@" +1g +09" +0:" +0;" +0q +15" +16" +17" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +0t +0y +1z +1u +02" +0m +0/" +1w +1," +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +b1000011110101101101001000001101 / +b1000011110101101101001000001101 K +b1000011110101101101001000001101 e +b1000011110101101101001000001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1793500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +1. +0% +#1794000 +0i +b11110010111110001110000010101101 { +b11110010111110001110000010101101 A" +1s +0h +0g +19" +1;" +1q +05" +06" +07" +b100 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000011110101101101001000001101 0 +b1000011110101101101001000001101 M +b1000011110101101101001000001101 f +b1000011110101101101001000001101 !" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1794500 +b0 5 +b0 L +b0 W +04 +b11111100101100100100100011100101 2 +b11111100101100100100100011100101 I +b11111100101100100100100011100101 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b11111100101100100100100011100101 , +b11111100101100100100100011100101 H +b10100 + +b10100 G +1- +1. +0% +#1795000 +0] +1i +1>" +1@" +1h +1g +09" +0;" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0m +0/" +b11111100101100100100100011100101 &" +b11111100101100100100100011100101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110010111110001110000010101101 / +b11110010111110001110000010101101 K +b11110010111110001110000010101101 e +b11110010111110001110000010101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1795010 +b11111100101100100100100011100101 W" +#1795500 +b11001101110100000011111111010110 2 +b11001101110100000011111111010110 I +b11001101110100000011111111010110 Z +b11011 3 +b11011 J +b11011 Y +b11001101110100000011111111010110 , +b11001101110100000011111111010110 H +b11011 + +b11011 G +1. +0% +#1796000 +1=" +1c +0\ +0[ +0>" +b100 3" +0^ +1b +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001101110100000011111111010110 &" +b11001101110100000011111111010110 ?" +b11011 %" +b11011 *" +b11011 1" +0. +1% +#1796010 +b11001101110100000011111111010110 ^" +#1796500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1797000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1797500 +b10000010000010110111001110001000 2 +b10000010000010110111001110001000 I +b10000010000010110111001110001000 Z +b11100 3 +b11100 J +b11100 Y +11 +b10000010000010110111001110001000 , +b10000010000010110111001110001000 H +b11100 + +b11100 G +1- +1. +0% +#1798000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b10000010000010110111001110001000 &" +b10000010000010110111001110001000 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#1798010 +b10000010000010110111001110001000 _" +#1798500 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1799000 +1] +b1000110010100100100000000000100 | +b1000110010100100100000000000100 B" +0=" +0>" +0@" +0r +0q +17" +b11111 3" +0d +0<" +0` +b1100 -" +0u +14" +1v +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#1799500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1800000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b1000110010100100100000000000100 0 +b1000110010100100100000000000100 M +b1000110010100100100000000000100 f +b1000110010100100100000000000100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1800500 +b10011 5 +b10011 L +b10011 W +14 +b1 7 +b1 N +b1 V +16 +b10011 ' +b10011 D +1) +b1 & +b1 C +1( +1. +0% +#1801000 +b1000110010100100100000000000100 { +b1000110010100100100000000000100 A" +b1001000010101001011101011001001 | +b1001000010101001011101011001001 B" +0h +0g +1;" +0q +17" +b1100 0" +0k +18" +1l +b11110 -" +1u +1n +1m +1/" +1w +1," +b10011 ~ +b10011 )" +b10011 ." +1$" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1801500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b0 ' +b0 D +0) +b11000 & +b11000 C +1. +0% +#1802000 +0u +0s +1h +1g +0;" +1q +15" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +14" +1v +1y +b11000110100001010011110010000010 | +b11000110100001010011110010000010 B" +0n +0m +0/" +0w +b1000110010100100100000000000100 / +b1000110010100100100000000000100 K +b1000110010100100100000000000100 e +b1000110010100100100000000000100 "" +b1001000010101001011101011001001 0 +b1001000010101001011101011001001 M +b1001000010101001011101011001001 f +b1001000010101001011101011001001 !" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +0. +1% +#1802500 +b10011 7 +b10011 N +b10011 V +b10101010110011101011100101111010 2 +b10101010110011101011100101111010 I +b10101010110011101011100101111010 Z +b10101 3 +b10101 J +b10101 Y +11 +b10011 & +b10011 C +b10101010110011101011100101111010 , +b10101010110011101011100101111010 H +b10101 + +b10101 G +1- +1. +0% +#1803000 +0] +1s +0[ +1>" +1@" +0r +0q +05" +b1010 3" +1^ +0_ +1<" +1` +b1100 -" +0y +b1000110010100100100000000000100 | +b1000110010100100100000000000100 B" +1a +12" +1x +1w +b10101010110011101011100101111010 &" +b10101010110011101011100101111010 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10011 } +b10011 (" +b10011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000110100001010011110010000010 0 +b11000110100001010011110010000010 M +b11000110100001010011110010000010 f +b11000110100001010011110010000010 !" +0. +1% +#1803010 +b10101010110011101011100101111010 X" +#1803500 +b0 7 +b0 N +b0 V +06 +b1101000000001111110111001111001 2 +b1101000000001111110111001111001 I +b1101000000001111110111001111001 Z +b11111 3 +b11111 J +b11111 Y +b0 & +b0 C +0( +b1101000000001111110111001111001 , +b1101000000001111110111001111001 H +b11111 + +b11111 G +1. +0% +#1804000 +0^ +0\ +1=" +1r +1q +07" +b0 3" +1d +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0x +0w +0," +b1000110010100100100000000000100 0 +b1000110010100100100000000000100 M +b1000110010100100100000000000100 f +b1000110010100100100000000000100 !" +b1101000000001111110111001111001 &" +b1101000000001111110111001111001 ?" +b11111 %" +b11111 *" +b11111 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1804500 +b11001010000011001101110110001100 2 +b11001010000011001101110110001100 I +b11001010000011001101110110001100 Z +b1010 3 +b1010 J +b1010 Y +b11001010000011001101110110001100 , +b11001010000011001101110110001100 H +b1010 + +b1010 G +1. +0% +#1805000 +1_ +1c +1[ +1@" +1=" +0>" +b10101 3" +0<" +0` +0d +0a +b11001010000011001101110110001100 &" +b11001010000011001101110110001100 ?" +b1010 %" +b1010 *" +b1010 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1805010 +b11001010000011001101110110001100 M" +#1805500 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1806000 +1] +0s +b10110111110110000111011100110 | +b10110111110110000111011100110 B" +1\ +0=" +0@" +0r +15" +17" +b11111 3" +0c +0_ +b101 -" +1y +0u +14" +1v +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#1806500 +b101 5 +b101 L +b101 W +14 +b1100 7 +b1100 N +b1100 V +b1010100011011001000110100010000 2 +b1010100011011001000110100010000 I +b1010100011011001000110100010000 Z +b100 3 +b100 J +b100 Y +11 +b101 ' +b101 D +1) +b1100 & +b1100 C +b1010100011011001000110100010000 , +b1010100011011001000110100010000 H +b100 + +b100 G +1- +1. +0% +#1807000 +0] +0i +b10001000100001111101110010100 { +b10001000100001111101110010100 A" +1u +0y +1>" +1@" +0g +1:" +1;" +1r +17" +16" +b11011 3" +1^ +1_ +b11010 0" +1j +1k +b10011 -" +04" +0v +1z +b11000010100000011000011000001001 | +b11000010100000011000011000001001 B" +12" +1m +1/" +0x +b1010100011011001000110100010000 &" +b1010100011011001000110100010000 ?" +b100 %" +b100 *" +b100 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b1100 } +b1100 (" +b1100 +" +b10110111110110000111011100110 0 +b10110111110110000111011100110 M +b10110111110110000111011100110 f +b10110111110110000111011100110 !" +0. +1% +#1807010 +b1010100011011001000110100010000 G" +#1807500 +b1110 5 +b1110 L +b1110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1808000 +1] +0j +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0>" +0@" +0h +1g +19" +05" +06" +07" +b11111 3" +0^ +0_ +b10001 0" +1p +b10111111111011111001110001011 { +b10111111111011111001110001011 A" +b11111 -" +0z +0u +02" +1n +0m +0," +b10001000100001111101110010100 / +b10001000100001111101110010100 K +b10001000100001111101110010100 e +b10001000100001111101110010100 "" +b11000010100000011000011000001001 0 +b11000010100000011000011000001001 M +b11000010100000011000011000001001 f +b11000010100000011000011000001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1808500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1809000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10111111111011111001110001011 / +b10111111111011111001110001011 K +b10111111111011111001110001011 e +b10111111111011111001110001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1809500 +b1110 5 +b1110 L +b1110 W +14 +b11001001011001011100000111110001 2 +b11001001011001011100000111110001 I +b11001001011001011100000111110001 Z +b101 3 +b101 J +b101 Y +11 +b1110 ' +b1110 D +1) +b11001001011001011100000111110001 , +b11001001011001011100000111110001 H +b101 + +b101 G +1- +1. +0% +#1810000 +0] +0i +b10111111111011111001110001011 { +b10111111111011111001110001011 A" +0[ +1>" +1@" +0h +19" +1:" +1;" +b11010 3" +1^ +1_ +b10001 0" +0j +0o +1p +1k +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001001011001011100000111110001 &" +b11001001011001011100000111110001 ?" +b101 %" +b101 *" +b101 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#1810010 +b11001001011001011100000111110001 H" +#1810500 +b10000 5 +b10000 L +b10000 W +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1811000 +1] +0k +1i +0s +b100110100110100111010110110111 | +b100110100110100111010110110111 B" +1[ +0>" +0@" +1h +09" +0:" +0r +16" +17" +b11111 3" +0^ +0_ +b1111 0" +18" +1l +0p +b1000110001110100101100010001000 { +b1000110001110100101100010001000 A" +b11001 -" +1t +1u +0a +02" +0n +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +b110 } +b110 (" +b110 +" +1#" +b10111111111011111001110001011 / +b10111111111011111001110001011 K +b10111111111011111001110001011 e +b10111111111011111001110001011 "" +0. +1% +#1811500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1812000 +1s +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +06" +07" +b11111 0" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0," +b1000110001110100101100010001000 / +b1000110001110100101100010001000 K +b1000110001110100101100010001000 e +b1000110001110100101100010001000 "" +b100110100110100111010110110111 0 +b100110100110100111010110110111 M +b100110100110100111010110110111 f +b100110100110100111010110110111 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1812500 +b10001110101001011111011101000100 2 +b10001110101001011111011101000100 I +b10001110101001011111011101000100 Z +b1110 3 +b1110 J +b1110 Y +11 +b10001110101001011111011101000100 , +b10001110101001011111011101000100 H +b1110 + +b1110 G +1- +1. +0% +#1813000 +0] +0\ +1=" +1>" +1@" +b10001 3" +0^ +0c +1d +1_ +1b +12" +b10001110101001011111011101000100 &" +b10001110101001011111011101000100 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1813010 +b10001110101001011111011101000100 Q" +#1813500 +b1001 5 +b1001 L +b1001 W +14 +b11101 7 +b11101 N +b11101 V +16 +b111110101111001001011111100100 2 +b111110101111001001011111100100 I +b111110101111001001011111100100 Z +b111 3 +b111 J +b111 Y +b1001 ' +b1001 D +1) +b11101 & +b11101 C +1( +b111110101111001001011111100100 , +b111110101111001001011111100100 H +b111 + +b111 G +1. +0% +#1814000 +1^ +0i +b10101100110111001100111110011111 { +b10101100110111001100111110011111 A" +0s +b110001100001001010000000010111 | +b110001100001001010000000010111 B" +0[ +0=" +1>" +0g +19" +1;" +0q +15" +16" +17" +b11000 3" +0d +b10110 0" +1o +1k +b10 -" +0t +0y +1z +0u +14" +1v +1a +1m +1/" +1w +1," +b111110101111001001011111100100 &" +b111110101111001001011111100100 ?" +b111 %" +b111 *" +b111 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#1814010 +b111110101111001001011111100100 J" +#1814500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b11100001111001101101010001001111 2 +b11100001111001101101010001001111 I +b11100001111001101101010001001111 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b10001 & +b10001 C +b11100001111001101101010001001111 , +b11100001111001101101010001001111 H +b1100 + +b1100 G +1. +0% +#1815000 +0^ +1i +1s +b10001100001111011100010110110110 | +b10001100001111011100010110110110 B" +1\ +1[ +1=" +1g +09" +0;" +05" +06" +b10011 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +0z +0b +0a +0m +0/" +b11100001111001101101010001001111 &" +b11100001111001101101010001001111 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +b10101100110111001100111110011111 / +b10101100110111001100111110011111 K +b10101100110111001100111110011111 e +b10101100110111001100111110011111 "" +b110001100001001010000000010111 0 +b110001100001001010000000010111 M +b110001100001001010000000010111 f +b110001100001001010000000010111 !" +0. +1% +#1815010 +b11100001111001101101010001001111 O" +#1815500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1816000 +1] +0i +b10110111110110000111011100110 { +b10110111110110000111011100110 A" +0=" +0>" +0@" +0h +19" +1;" +1q +07" +b11111 3" +0d +0_ +b101 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +1n +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001100001111011100010110110110 0 +b10001100001111011100010110110110 M +b10001100001111011100010110110110 f +b10001100001111011100010110110110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1816500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b10111010101110010000001010001011 2 +b10111010101110010000001010001011 I +b10111010101110010000001010001011 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +b10111010101110010000001010001011 , +b10111010101110010000001010001011 H +b1001 + +b1001 G +1- +1. +0% +#1817000 +0] +1i +0s +b11000110100001010011110010000010 | +b11000110100001010011110010000010 B" +0[ +1=" +1@" +1h +09" +0;" +15" +17" +b10110 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +1y +0u +14" +1v +1a +12" +0n +0/" +1," +b10111010101110010000001010001011 &" +b10111010101110010000001010001011 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +b10110111110110000111011100110 / +b10110111110110000111011100110 K +b10110111110110000111011100110 e +b10110111110110000111011100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1817010 +b10111010101110010000001010001011 L" +#1817500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b11110010010011011010100100001 2 +b11110010010011011010100100001 I +b11110010010011011010100100001 Z +b10100 3 +b10100 J +b10100 Y +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b11110010010011011010100100001 , +b11110010010011011010100100001 H +b10100 + +b10100 G +1. +0% +#1818000 +1>" +0_ +1^ +0i +b10101010110011101011100101111010 { +b10101010110011101011100101111010 A" +1s +1[ +0=" +0g +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1011 3" +1<" +1` +0c +b1010 0" +1j +0k +18" +1l +b11111 -" +0y +04" +0v +0a +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000110100001010011110010000010 0 +b11000110100001010011110010000010 M +b11000110100001010011110010000010 f +b11000110100001010011110010000010 !" +b11110010010011011010100100001 &" +b11110010010011011010100100001 ?" +b10100 %" +b10100 *" +b10100 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1818010 +b11110010010011011010100100001 W" +#1818500 +b0 5 +b0 L +b0 W +04 +b11110101000110010101100101111101 2 +b11110101000110010101100101111101 I +b11110101000110010101100101111101 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b11110101000110010101100101111101 , +b11110101000110010101100101111101 H +b1110 + +b1110 G +1. +0% +#1819000 +1_ +0^ +1i +0\ +1@" +1=" +1g +0:" +0;" +b10001 3" +0<" +0` +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +0m +0/" +b11110101000110010101100101111101 &" +b11110101000110010101100101111101 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101010110011101011100101111010 / +b10101010110011101011100101111010 K +b10101010110011101011100101111010 e +b10101010110011101011100101111010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1819010 +b11110101000110010101100101111101 Q" +#1819500 +b1010010111100111111001100111101 2 +b1010010111100111111001100111101 I +b1010010111100111111001100111101 Z +b0 3 +b0 J +b0 Y +b1010010111100111111001100111101 , +b1010010111100111111001100111101 H +b0 + +b0 G +1. +0% +#1820000 +1] +1\ +0=" +0>" +b11111 3" +0d +0b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010010111100111111001100111101 &" +b1010010111100111111001100111101 ?" +b0 %" +b0 *" +b0 1" +0. +1% +#1820010 +b1010010111100111111001100111101 C" +#1820500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#1821000 +0@" +0_ +02" +b0 &" +b0 ?" +0'" +0. +1% +#1821500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#1822000 +0s +b10111010101110010000001010001011 | +b10111010101110010000001010001011 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#1822500 +14 +b11000 7 +b11000 N +b11000 V +1) +b11000 & +b11000 C +1. +0% +#1823000 +b1010010111100111111001100111101 { +b1010010111100111111001100111101 A" +0u +1;" +1q +1k +b111 -" +14" +1v +b11000110100001010011110010000010 | +b11000110100001010011110010000010 B" +1/" +0w +1$" +b11000 } +b11000 (" +b11000 +" +b10111010101110010000001010001011 0 +b10111010101110010000001010001011 M +b10111010101110010000001010001011 f +b10111010101110010000001010001011 !" +0. +1% +#1823500 +04 +b0 7 +b0 N +b0 V +06 +0) +b0 & +b0 C +0( +1. +0% +#1824000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0k +b11111 -" +0y +04" +0v +0/" +0," +b1010010111100111111001100111101 / +b1010010111100111111001100111101 K +b1010010111100111111001100111101 e +b1010010111100111111001100111101 "" +b11000110100001010011110010000010 0 +b11000110100001010011110010000010 M +b11000110100001010011110010000010 f +b11000110100001010011110010000010 !" +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1824500 +b110001110000011101001110110011 2 +b110001110000011101001110110011 I +b110001110000011101001110110011 Z +b10010 3 +b10010 J +b10010 Y +11 +b110001110000011101001110110011 , +b110001110000011101001110110011 H +b10010 + +b10010 G +1- +1. +0% +#1825000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b110001110000011101001110110011 &" +b110001110000011101001110110011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1825010 +b110001110000011101001110110011 U" +#1825500 +b11101 7 +b11101 N +b11101 V +16 +b10110110100010001110011001101 2 +b10110110100010001110011001101 I +b10110110100010001110011001101 Z +b11000 3 +b11000 J +b11000 Y +b11101 & +b11101 C +1( +b10110110100010001110011001101 , +b10110110100010001110011001101 H +b11000 + +b11000 G +1. +0% +#1826000 +0] +0s +b110001100001001010000000010111 | +b110001100001001010000000010111 B" +1\ +1=" +0q +15" +16" +17" +b111 3" +1c +b10 -" +0t +0y +1z +0u +14" +1v +0b +1w +1," +b10110110100010001110011001101 &" +b10110110100010001110011001101 ?" +b11000 %" +b11000 *" +b11000 1" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#1826010 +b10110110100010001110011001101 [" +#1826500 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1827000 +1] +1s +0=" +0@" +0r +05" +06" +b11111 3" +0c +0<" +0` +b1100 -" +0z +b1000110010100100100000000000100 | +b1000110010100100100000000000100 B" +02" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +b110001100001001010000000010111 0 +b110001100001001010000000010111 M +b110001100001001010000000010111 f +b110001100001001010000000010111 !" +0. +1% +#1827500 +b1100 7 +b1100 N +b1100 V +b1100 & +b1100 C +1. +0% +#1828000 +1u +0s +1r +1q +17" +15" +16" +b10011 -" +04" +0v +0t +0y +1z +b11100001111001101101010001001111 | +b11100001111001101101010001001111 B" +0x +0w +b1000110010100100100000000000100 0 +b1000110010100100100000000000100 M +b1000110010100100100000000000100 f +b1000110010100100100000000000100 !" +b1100 } +b1100 (" +b1100 +" +0. +1% +#1828500 +b0 7 +b0 N +b0 V +06 +b1100100100111011110011101010 2 +b1100100100111011110011101010 I +b1100100100111011110011101010 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 & +b0 C +0( +b1100100100111011110011101010 , +b1100100100111011110011101010 H +b11111 + +b11111 G +1- +1. +0% +#1829000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1>" +1@" +05" +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0z +0u +1b +1a +12" +0," +b1100100100111011110011101010 &" +b1100100100111011110011101010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11100001111001101101010001001111 0 +b11100001111001101101010001001111 M +b11100001111001101101010001001111 f +b11100001111001101101010001001111 !" +0. +1% +#1829500 +b10101000011100110111110110101001 2 +b10101000011100110111110110101001 I +b10101000011100110111110110101001 Z +b1010 3 +b1010 J +b1010 Y +b10101000011100110111110110101001 , +b10101000011100110111110110101001 H +b1010 + +b1010 G +1. +0% +#1830000 +1_ +1c +1[ +1@" +1=" +0>" +b10101 3" +0<" +0` +0d +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101000011100110111110110101001 &" +b10101000011100110111110110101001 ?" +b1010 %" +b1010 *" +b1010 1" +0. +1% +#1830010 +b10101000011100110111110110101001 M" +#1830500 +b10000 5 +b10000 L +b10000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1831000 +1] +b1000110001110100101100010001000 { +b1000110001110100101100010001000 A" +1\ +0=" +0@" +1;" +b11111 3" +0c +0_ +b1111 0" +0k +18" +1l +0b +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#1831500 +b1 5 +b1 L +b1 W +b1101110001000100101010100101110 2 +b1101110001000100101010100101110 I +b1101110001000100101010100101110 Z +b1101 3 +b1101 J +b1101 Y +11 +b1 ' +b1 D +b1101110001000100101010100101110 , +b1101110001000100101010100101110 H +b1101 + +b1101 G +1- +1. +0% +#1832000 +0] +1k +0[ +1=" +1>" +1@" +0g +1;" +b10010 3" +0^ +0c +1d +1_ +b11110 0" +08" +0l +b1001000010101001011101011001001 { +b1001000010101001011101011001001 A" +1a +12" +1m +b1000110001110100101100010001000 / +b1000110001110100101100010001000 K +b1000110001110100101100010001000 e +b1000110001110100101100010001000 "" +b1101110001000100101010100101110 &" +b1101110001000100101010100101110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1 ~ +b1 )" +b1 ." +0. +1% +#1832010 +b1101110001000100101010100101110 P" +#1832500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1833000 +1] +0s +b11100001111001101101010001001111 | +b11100001111001101101010001001111 B" +1[ +0=" +0>" +0@" +1g +0;" +15" +16" +17" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10011 -" +0t +0y +1z +1u +0a +02" +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +b1001000010101001011101011001001 / +b1001000010101001011101011001001 K +b1001000010101001011101011001001 e +b1001000010101001011101011001001 "" +0. +1% +#1833500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10110110110100110011110010011011 2 +b10110110110100110011110010011011 I +b10110110110100110011110010011011 Z +b10111 3 +b10111 J +b10111 Y +11 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b10110110110100110011110010011011 , +b10110110110100110011110010011011 H +b10111 + +b10111 G +1- +1. +0% +#1834000 +0] +0i +b11110010010011011010100100001 { +b11110010010011011010100100001 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1>" +1@" +1:" +1;" +05" +06" +07" +b1000 3" +1^ +0_ +1<" +1` +b1011 0" +1j +0k +18" +1l +b11111 -" +0z +0u +1b +1a +12" +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100001111001101101010001001111 0 +b11100001111001101101010001001111 M +b11100001111001101101010001001111 f +b11100001111001101101010001001111 !" +b10110110110100110011110010011011 &" +b10110110110100110011110010011011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1834010 +b10110110110100110011110010011011 Z" +#1834500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b10000111110111010100011110111101 2 +b10000111110111010100011110111101 I +b10000111110111010100011110111101 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b1 & +b1 C +1( +b10000111110111010100011110111101 , +b10000111110111010100011110111101 H +b10110 + +b10110 G +1. +0% +#1835000 +1i +b1001000010101001011101011001001 | +b1001000010101001011101011001001 B" +1[ +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +17" +b1001 3" +b11111 0" +0j +08" +0l +b11110 -" +1u +0a +0/" +1w +1," +b10000111110111010100011110111101 &" +b10000111110111010100011110111101 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b11110010010011011010100100001 / +b11110010010011011010100100001 K +b11110010010011011010100100001 e +b11110010010011011010100100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1835010 +b10000111110111010100011110111101 Y" +#1835500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1836000 +1] +0i +b10111010101110010000001010001011 { +b10111010101110010000001010001011 A" +1\ +0>" +0@" +0g +19" +1;" +1q +07" +b11111 3" +0^ +0<" +0` +b10110 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001000010101001011101011001001 0 +b1001000010101001011101011001001 M +b1001000010101001011101011001001 f +b1001000010101001011101011001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1836500 +b0 5 +b0 L +b0 W +04 +b10100101101110000011010100111 2 +b10100101101110000011010100111 I +b10100101101110000011010100111 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b10100101101110000011010100111 , +b10100101101110000011010100111 H +b110 + +b110 G +1- +1. +0% +#1837000 +0] +1i +0\ +1>" +1@" +1g +09" +0;" +b11001 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0m +0/" +b10100101101110000011010100111 &" +b10100101101110000011010100111 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111010101110010000001010001011 / +b10111010101110010000001010001011 K +b10111010101110010000001010001011 e +b10111010101110010000001010001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1837010 +b10100101101110000011010100111 I" +#1837500 +b1001 5 +b1001 L +b1001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1838000 +1] +0i +b10111010101110010000001010001011 { +b10111010101110010000001010001011 A" +1\ +0>" +0@" +0g +19" +1;" +b11111 3" +0^ +0_ +b10110 0" +1o +1k +0b +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#1838500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1839000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10111010101110010000001010001011 / +b10111010101110010000001010001011 K +b10111010101110010000001010001011 e +b10111010101110010000001010001011 "" +0. +1% +#1839500 +b111 5 +b111 L +b111 W +14 +b1 7 +b1 N +b1 V +16 +b111 ' +b111 D +1) +b1 & +b1 C +1( +1. +0% +#1840000 +0i +b111110101111001001011111100100 { +b111110101111001001011111100100 A" +b1001000010101001011101011001001 | +b1001000010101001011101011001001 B" +0h +0g +1:" +1;" +0q +17" +b11000 0" +1j +1k +b11110 -" +1u +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111 ~ +b111 )" +b111 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#1840500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1841000 +1i +1h +1g +0:" +0;" +1q +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b111110101111001001011111100100 / +b111110101111001001011111100100 K +b111110101111001001011111100100 e +b111110101111001001011111100100 "" +b1001000010101001011101011001001 0 +b1001000010101001011101011001001 M +b1001000010101001011101011001001 f +b1001000010101001011101011001001 !" +0. +1% +#1841500 +1. +0% +#1842000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1842500 +1. +0% +#1843000 +0. +1% +#1843500 +b10001 5 +b10001 L +b10001 W +14 +b10111 7 +b10111 N +b10111 V +16 +b1100100100101101100111011011110 2 +b1100100100101101100111011011110 I +b1100100100101101100111011011110 Z +b10 3 +b10 J +b10 Y +11 +b10001 ' +b10001 D +1) +b10111 & +b10111 C +1( +b1100100100101101100111011011110 , +b1100100100101101100111011011110 H +b10 + +b10 G +1- +1. +0% +#1844000 +b10001100001111011100010110110110 { +b10001100001111011100010110110110 A" +0s +b10110110110100110011110010011011 | +b10110110110100110011110010011011 B" +0\ +1@" +0g +1;" +0r +0q +16" +17" +b11101 3" +1_ +b1110 0" +0k +18" +1l +b1000 -" +1t +0u +14" +1v +1b +12" +1m +1/" +1x +1w +1," +b1100100100101101100111011011110 &" +b1100100100101101100111011011110 ?" +b10 %" +b10 *" +b10 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#1844010 +b1100100100101101100111011011110 E" +#1844500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1845000 +1s +1\ +0@" +1g +0;" +1r +1q +06" +07" +b11111 3" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10001100001111011100010110110110 / +b10001100001111011100010110110110 K +b10001100001111011100010110110110 e +b10001100001111011100010110110110 "" +b10110110110100110011110010011011 0 +b10110110110100110011110010011011 M +b10110110110100110011110010011011 f +b10110110110100110011110010011011 !" +0. +1% +#1845500 +b11101 5 +b11101 L +b11101 W +14 +b10010011010111110000001111001100 2 +b10010011010111110000001111001100 I +b10010011010111110000001111001100 Z +b11010 3 +b11010 J +b11010 Y +11 +b11101 ' +b11101 D +1) +b10010011010111110000001111001100 , +b10010011010111110000001111001100 H +b11010 + +b11010 G +1- +1. +0% +#1846000 +0] +0i +b110001100001001010000000010111 { +b110001100001001010000000010111 A" +0\ +1=" +1@" +0g +19" +1:" +1;" +b101 3" +1c +0_ +1<" +1` +b10 0" +0j +0o +1p +0k +18" +1l +1b +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010011010111110000001111001100 &" +b10010011010111110000001111001100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#1846010 +b10010011010111110000001111001100 ]" +#1846500 +b10 5 +b10 L +b10 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1847000 +1] +1k +1i +1\ +0=" +0@" +0h +1g +1;" +09" +0:" +b11111 3" +0c +0<" +0` +b11101 0" +08" +0l +0p +b1100100100101101100111011011110 { +b1100100100101101100111011011110 A" +0b +02" +1n +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b110001100001001010000000010111 / +b110001100001001010000000010111 K +b110001100001001010000000010111 e +b110001100001001010000000010111 "" +0. +1% +#1847500 +b0 5 +b0 L +b0 W +04 +b11001110010110100010001100110000 2 +b11001110010110100010001100110000 I +b11001110010110100010001100110000 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +0) +b11001110010110100010001100110000 , +b11001110010110100010001100110000 H +b11010 + +b11010 G +1- +1. +0% +#1848000 +0] +0\ +1=" +1@" +1h +0;" +b101 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0/" +b1100100100101101100111011011110 / +b1100100100101101100111011011110 K +b1100100100101101100111011011110 e +b1100100100101101100111011011110 "" +b11001110010110100010001100110000 &" +b11001110010110100010001100110000 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1848010 +b11001110010110100010001100110000 ]" +#1848500 +b10010 5 +b10010 L +b10010 W +14 +b11001000001100110011110000100101 2 +b11001000001100110011110000100101 I +b11001000001100110011110000100101 Z +b10001 3 +b10001 J +b10001 Y +b10010 ' +b10010 D +1) +b11001000001100110011110000100101 , +b11001000001100110011110000100101 H +b10001 + +b10001 G +1. +0% +#1849000 +1] +b110001110000011101001110110011 { +b110001110000011101001110110011 A" +1\ +0[ +0=" +0h +1;" +b1110 3" +0c +b1101 0" +0k +18" +1l +0b +1a +1n +1/" +b11001000001100110011110000100101 &" +b11001000001100110011110000100101 ?" +b10001 %" +b10001 *" +b10001 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1849010 +b11001000001100110011110000100101 T" +#1849500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1850000 +0s +b110001100001001010000000010111 | +b110001100001001010000000010111 B" +1[ +0@" +1h +0;" +0q +15" +16" +17" +b11111 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10 -" +0t +0y +1z +0u +14" +1v +0a +02" +0n +0/" +1w +1," +b110001110000011101001110110011 / +b110001110000011101001110110011 K +b110001110000011101001110110011 e +b110001110000011101001110110011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#1850500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1851000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110001100001001010000000010111 0 +b110001100001001010000000010111 M +b110001100001001010000000010111 f +b110001100001001010000000010111 !" +0. +1% +#1851500 +b11111 5 +b11111 L +b11111 W +14 +b11101101011111110001010100110111 2 +b11101101011111110001010100110111 I +b11101101011111110001010100110111 Z +b10110 3 +b10110 J +b10110 Y +11 +b11111 ' +b11111 D +1) +b11101101011111110001010100110111 , +b11101101011111110001010100110111 H +b10110 + +b10110 G +1- +1. +0% +#1852000 +0] +0i +b1100100100111011110011101010 { +b1100100100111011110011101010 A" +0\ +1>" +1@" +0h +0g +19" +1:" +1;" +b1001 3" +1^ +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +1b +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101101011111110001010100110111 &" +b11101101011111110001010100110111 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#1852010 +b11101101011111110001010100110111 Y" +#1852500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1853000 +1] +1i +b1100100100101101100111011011110 | +b1100100100101101100111011011110 B" +1\ +0>" +0@" +1h +1g +09" +0:" +0;" +0r +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +0b +02" +0n +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +b1100100100111011110011101010 / +b1100100100111011110011101010 K +b1100100100111011110011101010 e +b1100100100111011110011101010 "" +0. +1% +#1853500 +b10010 7 +b10010 N +b10010 V +b10110110100100111000110000011100 2 +b10110110100100111000110000011100 I +b10110110100100111000110000011100 Z +b1101 3 +b1101 J +b1101 Y +11 +b10010 & +b10010 C +b10110110100100111000110000011100 , +b10110110100100111000110000011100 H +b1101 + +b1101 G +1- +1. +0% +#1854000 +0] +0u +0[ +1=" +1>" +1@" +b110001110000011101001110110011 | +b110001110000011101001110110011 B" +b10010 3" +0^ +0c +1d +1_ +b1101 -" +14" +1v +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100100100101101100111011011110 0 +b1100100100101101100111011011110 M +b1100100100101101100111011011110 f +b1100100100101101100111011011110 !" +b10110110100100111000110000011100 &" +b10110110100100111000110000011100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10010 } +b10010 (" +b10010 +" +0. +1% +#1854010 +b10110110100100111000110000011100 P" +#1854500 +b11100 7 +b11100 N +b11100 V +b11001100001011011101010111101011 2 +b11001100001011011101010111101011 I +b11001100001011011101010111101011 Z +b11101 3 +b11101 J +b11101 Y +b11100 & +b11100 C +b11001100001011011101010111101011 , +b11001100001011011101010111101011 H +b11101 + +b11101 G +1. +0% +#1855000 +0_ +0s +1r +15" +16" +b10 3" +1<" +1` +b11 -" +0t +0y +1z +b10000010000010110111001110001000 | +b10000010000010110111001110001000 B" +0x +b11001100001011011101010111101011 &" +b11001100001011011101010111101011 ?" +b11101 %" +b11101 *" +b11101 1" +b11100 } +b11100 (" +b11100 +" +b110001110000011101001110110011 0 +b110001110000011101001110110011 M +b110001110000011101001110110011 f +b110001110000011101001110110011 !" +0. +1% +#1855010 +b11001100001011011101010111101011 `" +#1855500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1856000 +1] +0i +b11101101011111110001010100110111 { +b11101101011111110001010100110111 A" +1s +1[ +0=" +0>" +0@" +0h +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0<" +0` +b1001 0" +1j +0k +18" +1l +b11111 -" +0z +04" +0v +0a +02" +1n +1/" +0," +b10000010000010110111001110001000 0 +b10000010000010110111001110001000 M +b10000010000010110111001110001000 f +b10000010000010110111001110001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1856500 +b111 5 +b111 L +b111 W +b11110 7 +b11110 N +b11110 V +16 +b111 ' +b111 D +b11110 & +b11110 C +1( +1. +0% +#1857000 +1k +0s +b1111011010111100001010000101000 | +b1111011010111100001010000101000 B" +0g +1;" +0r +15" +16" +17" +b11000 0" +08" +0l +b111110101111001001011111100100 { +b111110101111001001011111100100 A" +b1 -" +0t +0y +1z +0u +14" +1v +1m +1x +1," +b111 ~ +b111 )" +b111 ." +b11110 } +b11110 (" +b11110 +" +1#" +b11101101011111110001010100110111 / +b11101101011111110001010100110111 K +b11101101011111110001010100110111 e +b11101101011111110001010100110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1857500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1858000 +1i +1s +1h +1g +0:" +0;" +1r +05" +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0," +b111110101111001001011111100100 / +b111110101111001001011111100100 K +b111110101111001001011111100100 e +b111110101111001001011111100100 "" +b1111011010111100001010000101000 0 +b1111011010111100001010000101000 M +b1111011010111100001010000101000 f +b1111011010111100001010000101000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1858500 +1. +0% +#1859000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1859500 +b10 5 +b10 L +b10 W +14 +b10 7 +b10 N +b10 V +16 +b10 ' +b10 D +1) +b10 & +b10 C +1( +1. +0% +#1860000 +b1100100100101101100111011011110 { +b1100100100101101100111011011110 A" +b1100100100101101100111011011110 | +b1100100100101101100111011011110 B" +0h +1;" +0r +17" +b11101 0" +1k +b11101 -" +1u +1n +1/" +1x +1," +b10 ~ +b10 )" +b10 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#1860500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1861000 +1h +0;" +1r +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100100100101101100111011011110 / +b1100100100101101100111011011110 K +b1100100100101101100111011011110 e +b1100100100101101100111011011110 "" +b1100100100101101100111011011110 0 +b1100100100101101100111011011110 M +b1100100100101101100111011011110 f +b1100100100101101100111011011110 !" +0. +1% +#1861500 +b11000110001010111010010100010101 2 +b11000110001010111010010100010101 I +b11000110001010111010010100010101 Z +b11100 3 +b11100 J +b11100 Y +11 +b11000110001010111010010100010101 , +b11000110001010111010010100010101 H +b11100 + +b11100 G +1- +1. +0% +#1862000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000110001010111010010100010101 &" +b11000110001010111010010100010101 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#1862010 +b11000110001010111010010100010101 _" +#1862500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1863000 +1] +0s +b10111010101110010000001010001011 | +b10111010101110010000001010001011 B" +0=" +0>" +0@" +0q +15" +17" +b11111 3" +0d +0<" +0` +b10110 -" +1y +1u +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#1863500 +b10011 7 +b10011 N +b10011 V +b10011 & +b10011 C +1. +0% +#1864000 +0u +1s +0r +05" +b1100 -" +14" +1v +0y +b1000110010100100100000000000100 | +b1000110010100100100000000000100 B" +1x +b10111010101110010000001010001011 0 +b10111010101110010000001010001011 M +b10111010101110010000001010001011 f +b10111010101110010000001010001011 !" +b10011 } +b10011 (" +b10011 +" +0. +1% +#1864500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +1. +0% +#1865000 +0i +b11111011010100111100001101001010 { +b11111011010100111100001101001010 A" +0h +0g +19" +1;" +1r +1q +07" +b10100 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0w +0," +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1000110010100100100000000000100 0 +b1000110010100100100000000000100 M +b1000110010100100100000000000100 f +b1000110010100100100000000000100 !" +0. +1% +#1865500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1866000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b11111011010100111100001101001010 / +b11111011010100111100001101001010 K +b11111011010100111100001101001010 e +b11111011010100111100001101001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1866500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#1867000 +b101111111000000001011010011100 | +b101111111000000001011010011100 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1867500 +b1111 7 +b1111 N +b1111 V +b1111101111111011111110101000100 2 +b1111101111111011111110101000100 I +b1111101111111011111110101000100 Z +b11100 3 +b11100 J +b11100 Y +11 +b1111 & +b1111 C +b1111101111111011111110101000100 , +b1111101111111011111110101000100 H +b11100 + +b11100 G +1- +1. +0% +#1868000 +0] +0s +b10111011000110101110010010001011 | +b10111011000110101110010010001011 B" +1=" +1>" +1@" +15" +16" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b10000 -" +0t +0y +1z +12" +b101111111000000001011010011100 0 +b101111111000000001011010011100 M +b101111111000000001011010011100 f +b101111111000000001011010011100 !" +b1111101111111011111110101000100 &" +b1111101111111011111110101000100 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b1111 } +b1111 (" +b1111 +" +0. +1% +#1868010 +b1111101111111011111110101000100 _" +#1868500 +b1111 5 +b1111 L +b1111 W +14 +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1869000 +1] +0i +b10111011000110101110010010001011 { +b10111011000110101110010010001011 A" +1s +b101111111000000001011010011100 | +b101111111000000001011010011100 B" +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +05" +06" +b11111 3" +0d +0<" +0` +b10000 0" +0j +0o +1p +1k +b11100 -" +0z +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b11 } +b11 (" +b11 +" +b10111011000110101110010010001011 0 +b10111011000110101110010010001011 M +b10111011000110101110010010001011 f +b10111011000110101110010010001011 !" +0. +1% +#1869500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1870000 +1i +1h +1g +09" +0:" +0;" +1r +1q +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0w +0," +b10111011000110101110010010001011 / +b10111011000110101110010010001011 K +b10111011000110101110010010001011 e +b10111011000110101110010010001011 "" +b101111111000000001011010011100 0 +b101111111000000001011010011100 M +b101111111000000001011010011100 f +b101111111000000001011010011100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1870500 +b101011101100100000000110011011 2 +b101011101100100000000110011011 I +b101011101100100000000110011011 Z +b1000 3 +b1000 J +b1000 Y +11 +b101011101100100000000110011011 , +b101011101100100000000110011011 H +b1000 + +b1000 G +1- +1. +0% +#1871000 +0] +1=" +1@" +b10111 3" +1c +1_ +12" +b101011101100100000000110011011 &" +b101011101100100000000110011011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1871010 +b101011101100100000000110011011 K" +#1871500 +b10101110011010100010101001101000 2 +b10101110011010100010101001101000 I +b10101110011010100010101001101000 Z +b1010 3 +b1010 J +b1010 Y +b10101110011010100010101001101000 , +b10101110011010100010101001101000 H +b1010 + +b1010 G +1. +0% +#1872000 +0\ +b10101 3" +1b +b10101110011010100010101001101000 &" +b10101110011010100010101001101000 ?" +b1010 %" +b1010 *" +b1010 1" +0. +1% +#1872010 +b10101110011010100010101001101000 M" +#1872500 +b1110 5 +b1110 L +b1110 W +14 +b10011111111101111111010001000100 2 +b10011111111101111111010001000100 I +b10011111111101111111010001000100 Z +b11100 3 +b11100 J +b11100 Y +b1110 ' +b1110 D +1) +b10011111111101111111010001000100 , +b10011111111101111111010001000100 H +b11100 + +b11100 G +1. +0% +#1873000 +0_ +0c +0i +b11110101000110010101100101111101 { +b11110101000110010101100101111101 A" +1\ +1>" +0h +19" +1:" +1;" +b11 3" +1<" +1` +1d +b10001 0" +0j +0o +1p +1k +0b +1n +1/" +b10011111111101111111010001000100 &" +b10011111111101111111010001000100 ?" +b11100 %" +b11100 *" +b11100 1" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#1873010 +b10011111111101111111010001000100 _" +#1873500 +b0 5 +b0 L +b0 W +04 +b11000001001101101111100110010011 2 +b11000001001101101111100110010011 I +b11000001001101101111100110010011 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b11000001001101101111100110010011 , +b11000001001101101111100110010011 H +b11010 + +b11010 G +1. +0% +#1874000 +1c +1i +0\ +1=" +0>" +1h +09" +0:" +0;" +b101 3" +0d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0n +0/" +b11110101000110010101100101111101 / +b11110101000110010101100101111101 K +b11110101000110010101100101111101 e +b11110101000110010101100101111101 "" +b11000001001101101111100110010011 &" +b11000001001101101111100110010011 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1874010 +b11000001001101101111100110010011 ]" +#1874500 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1875000 +1] +b110001110000011101001110110011 | +b110001110000011101001110110011 B" +1\ +0=" +0@" +0r +17" +b11111 3" +0c +0<" +0` +b1101 -" +0u +14" +1v +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1875500 +b10101 5 +b10101 L +b10101 W +14 +b11001 7 +b11001 N +b11001 V +b10101 ' +b10101 D +1) +b11001 & +b11001 C +1. +0% +#1876000 +0i +b10101010110011101011100101111010 { +b10101010110011101011100101111010 A" +0s +0g +1:" +1;" +1r +0q +15" +b1010 0" +1j +0k +18" +1l +b110 -" +1y +b1110000100010111010111100100011 | +b1110000100010111010111100100011 B" +1m +1/" +0x +1w +b110001110000011101001110110011 0 +b110001110000011101001110110011 M +b110001110000011101001110110011 f +b110001110000011101001110110011 !" +b10101 ~ +b10101 )" +b10101 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#1876500 +b1110 5 +b1110 L +b1110 W +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +b0 & +b0 C +0( +1. +0% +#1877000 +1k +0j +1s +0h +1g +1;" +19" +1q +05" +07" +b10001 0" +08" +0l +1p +b11110101000110010101100101111101 { +b11110101000110010101100101111101 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0m +0w +0," +b1110 ~ +b1110 )" +b1110 ." +b0 } +b0 (" +b0 +" +0#" +b10101010110011101011100101111010 / +b10101010110011101011100101111010 K +b10101010110011101011100101111010 e +b10101010110011101011100101111010 "" +b1110000100010111010111100100011 0 +b1110000100010111010111100100011 M +b1110000100010111010111100100011 f +b1110000100010111010111100100011 !" +0. +1% +#1877500 +b0 5 +b0 L +b0 W +04 +b1001001001100000100011101000001 2 +b1001001001100000100011101000001 I +b1001001001100000100011101000001 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b1001001001100000100011101000001 , +b1001001001100000100011101000001 H +b1000 + +b1000 G +1- +1. +0% +#1878000 +0] +1i +1=" +1@" +1h +09" +0:" +0;" +b10111 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0n +0/" +b11110101000110010101100101111101 / +b11110101000110010101100101111101 K +b11110101000110010101100101111101 e +b11110101000110010101100101111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001001001100000100011101000001 &" +b1001001001100000100011101000001 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1878010 +b1001001001100000100011101000001 K" +#1878500 +b11100 5 +b11100 L +b11100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1879000 +1] +0i +b10011111111101111111010001000100 { +b10011111111101111111010001000100 A" +0=" +0@" +19" +1:" +1;" +b11111 3" +0c +0_ +b11 0" +0j +0o +1p +0k +18" +1l +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1879500 +b11010 5 +b11010 L +b11010 W +b1101 7 +b1101 N +b1101 V +16 +b1011100000001101001111111010010 2 +b1011100000001101001111111010010 I +b1011100000001101001111111010010 Z +b10110 3 +b10110 J +b10110 Y +11 +b11010 ' +b11010 D +b1101 & +b1101 C +1( +b1011100000001101001111111010010 , +b1011100000001101001111111010010 H +b10110 + +b10110 G +1- +1. +0% +#1880000 +0] +1o +0s +b10110110100100111000110000011100 | +b10110110100100111000110000011100 B" +0\ +1>" +1@" +0h +19" +0:" +0q +15" +16" +17" +b1001 3" +1^ +0_ +1<" +1` +b101 0" +0p +b11000001001101101111100110010011 { +b11000001001101101111100110010011 A" +b10010 -" +0t +0y +1z +1u +1b +12" +1n +1w +1," +b10011111111101111111010001000100 / +b10011111111101111111010001000100 K +b10011111111101111111010001000100 e +b10011111111101111111010001000100 "" +b1011100000001101001111111010010 &" +b1011100000001101001111111010010 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#1880010 +b1011100000001101001111111010010 Y" +#1880500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1881000 +1] +1i +1s +1\ +0>" +0@" +1h +09" +0;" +1q +05" +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0n +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000001001101101111100110010011 / +b11000001001101101111100110010011 K +b11000001001101101111100110010011 e +b11000001001101101111100110010011 "" +b10110110100100111000110000011100 0 +b10110110100100111000110000011100 M +b10110110100100111000110000011100 f +b10110110100100111000110000011100 !" +0. +1% +#1881500 +b1010 5 +b1010 L +b1010 W +14 +b1 7 +b1 N +b1 V +16 +b11100010100100100100100011101101 2 +b11100010100100100100100011101101 I +b11100010100100100100100011101101 Z +b11100 3 +b11100 J +b11100 Y +11 +b1010 ' +b1010 D +1) +b1 & +b1 C +1( +b11100010100100100100100011101101 , +b11100010100100100100100011101101 H +b11100 + +b11100 G +1- +1. +0% +#1882000 +0] +0i +b10101110011010100010101001101000 { +b10101110011010100010101001101000 A" +b1001000010101001011101011001001 | +b1001000010101001011101011001001 B" +1=" +1>" +1@" +0h +19" +1;" +0q +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b10101 0" +1o +1k +b11110 -" +1u +12" +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100010100100100100100011101101 &" +b11100010100100100100100011101101 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#1882010 +b11100010100100100100100011101101 _" +#1882500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1883000 +1] +0=" +0>" +0@" +0g +1q +07" +b11111 3" +0d +0<" +0` +b10100 0" +b11111011010100111100001101001010 { +b11111011010100111100001101001010 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1m +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +b10101110011010100010101001101000 / +b10101110011010100010101001101000 K +b10101110011010100010101001101000 e +b10101110011010100010101001101000 "" +b1001000010101001011101011001001 0 +b1001000010101001011101011001001 M +b1001000010101001011101011001001 f +b1001000010101001011101011001001 !" +0. +1% +#1883500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +1. +0% +#1884000 +1i +0s +b1100100100111011110011101010 | +b1100100100111011110011101010 B" +1h +1g +09" +0;" +0r +0q +15" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b0 -" +0t +0y +1z +0u +14" +1v +0n +0m +0/" +1x +1w +1," +b11111011010100111100001101001010 / +b11111011010100111100001101001010 K +b11111011010100111100001101001010 e +b11111011010100111100001101001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#1884500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1885000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100100100111011110011101010 0 +b1100100100111011110011101010 M +b1100100100111011110011101010 f +b1100100100111011110011101010 !" +0. +1% +#1885500 +1. +0% +#1886000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1886500 +1. +0% +#1887000 +0. +1% +#1887500 +1. +0% +#1888000 +0. +1% +#1888500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#1889000 +0s +b10101110011010100010101001101000 | +b10101110011010100010101001101000 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#1889500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b11100010101100010001111001111101 2 +b11100010101100010001111001111101 I +b11100010101100010001111001111101 Z +b10110 3 +b10110 J +b10110 Y +11 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b11100010101100010001111001111101 , +b11100010101100010001111001111101 H +b10110 + +b10110 G +1- +1. +0% +#1890000 +0] +0i +b1111011010111100001010000101000 { +b1111011010111100001010000101000 A" +1s +0\ +1>" +1@" +0h +19" +1:" +1;" +1r +05" +07" +b1001 3" +1^ +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1n +1/" +0x +0," +b10101110011010100010101001101000 0 +b10101110011010100010101001101000 M +b10101110011010100010101001101000 f +b10101110011010100010101001101000 !" +b11100010101100010001111001111101 &" +b11100010101100010001111001111101 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1890010 +b11100010101100010001111001111101 Y" +#1890500 +b11011 5 +b11011 L +b11011 W +b11100 7 +b11100 N +b11100 V +16 +b100010011101000000010000011100 2 +b100010011101000000010000011100 I +b100010011101000000010000011100 Z +b10101 3 +b10101 J +b10101 Y +b11011 ' +b11011 D +b11100 & +b11100 C +1( +b100010011101000000010000011100 , +b100010011101000000010000011100 H +b10101 + +b10101 G +1. +0% +#1891000 +1o +0s +b11100010100100100100100011101101 | +b11100010100100100100100011101101 B" +1\ +0[ +0g +19" +0:" +15" +16" +17" +b1010 3" +b100 0" +0p +b11001101110100000011111111010110 { +b11001101110100000011111111010110 A" +b11 -" +0t +0y +1z +0u +14" +1v +0b +1a +1m +1," +b100010011101000000010000011100 &" +b100010011101000000010000011100 ?" +b10101 %" +b10101 *" +b10101 1" +b11011 ~ +b11011 )" +b11011 ." +b11100 } +b11100 (" +b11100 +" +1#" +b1111011010111100001010000101000 / +b1111011010111100001010000101000 K +b1111011010111100001010000101000 e +b1111011010111100001010000101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1891010 +b100010011101000000010000011100 X" +#1891500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1892000 +1] +1i +1s +1[ +0>" +0@" +1h +1g +09" +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +04" +0v +0a +02" +0n +0m +0/" +0," +b11001101110100000011111111010110 / +b11001101110100000011111111010110 K +b11001101110100000011111111010110 e +b11001101110100000011111111010110 "" +b11100010100100100100100011101101 0 +b11100010100100100100100011101101 M +b11100010100100100100100011101101 f +b11100010100100100100100011101101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1892500 +b1000 5 +b1000 L +b1000 W +14 +b11011 7 +b11011 N +b11011 V +16 +b10011001101101100010111111111110 2 +b10011001101101100010111111111110 I +b10011001101101100010111111111110 Z +b11111 3 +b11111 J +b11111 Y +11 +b1000 ' +b1000 D +1) +b11011 & +b11011 C +1( +b10011001101101100010111111111110 , +b10011001101101100010111111111110 H +b11111 + +b11111 G +1- +1. +0% +#1893000 +0] +0i +b1001001001100000100011101000001 { +b1001001001100000100011101000001 A" +0s +b11001101110100000011111111010110 | +b11001101110100000011111111010110 B" +0\ +0[ +1=" +1>" +1@" +19" +1;" +0r +0q +15" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10111 0" +1o +1k +b100 -" +1y +0u +14" +1v +1b +1a +12" +1/" +1x +1w +1," +b10011001101101100010111111111110 &" +b10011001101101100010111111111110 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1893500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1894000 +1] +0k +1i +1s +1\ +1[ +0=" +0>" +0@" +0h +09" +1r +1q +05" +07" +b11111 3" +0d +0<" +0` +b1101 0" +18" +1l +0o +b110001110000011101001110110011 { +b110001110000011101001110110011 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1n +0x +0w +0," +b1001001001100000100011101000001 / +b1001001001100000100011101000001 K +b1001001001100000100011101000001 e +b1001001001100000100011101000001 "" +b11001101110100000011111111010110 0 +b11001101110100000011111111010110 M +b11001101110100000011111111010110 f +b11001101110100000011111111010110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1894500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +1. +0% +#1895000 +0s +b11100001111001101101010001001111 | +b11100001111001101101010001001111 B" +1h +0;" +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +0n +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +b110001110000011101001110110011 / +b110001110000011101001110110011 K +b110001110000011101001110110011 e +b110001110000011101001110110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1895500 +b11101 7 +b11101 N +b11101 V +b10100101111100110100101110001000 2 +b10100101111100110100101110001000 I +b10100101111100110100101110001000 Z +b111 3 +b111 J +b111 Y +11 +b11101 & +b11101 C +b10100101111100110100101110001000 , +b10100101111100110100101110001000 H +b111 + +b111 G +1- +1. +0% +#1896000 +0] +0u +0\ +0[ +1>" +1@" +0q +b11000 3" +1^ +1_ +b10 -" +14" +1v +b11001100001011011101010111101011 | +b11001100001011011101010111101011 B" +1b +1a +12" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100001111001101101010001001111 0 +b11100001111001101101010001001111 M +b11100001111001101101010001001111 f +b11100001111001101101010001001111 !" +b10100101111100110100101110001000 &" +b10100101111100110100101110001000 ?" +b111 %" +b111 *" +b111 1" +1'" +b11101 } +b11101 (" +b11101 +" +0. +1% +#1896010 +b10100101111100110100101110001000 J" +#1896500 +b1010 5 +b1010 L +b1010 W +14 +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1897000 +1] +0i +b10101110011010100010101001101000 { +b10101110011010100010101001101000 A" +1u +1y +1\ +1[ +0>" +0@" +0h +19" +1;" +0r +1q +17" +15" +06" +b11111 3" +0^ +0_ +b10101 0" +1o +1k +b10101 -" +04" +0v +0z +b10101110011010100010101001101000 | +b10101110011010100010101001101000 B" +0b +0a +02" +1n +1/" +1x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b1010 } +b1010 (" +b1010 +" +b11001100001011011101010111101011 0 +b11001100001011011101010111101011 M +b11001100001011011101010111101011 f +b11001100001011011101010111101011 !" +0. +1% +#1897500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b10110000001010101110001111010011 2 +b10110000001010101110001111010011 I +b10110000001010101110001111010011 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b10010 & +b10010 C +b10110000001010101110001111010011 , +b10110000001010101110001111010011 H +b10001 + +b10001 G +1- +1. +0% +#1898000 +1i +0u +1s +0[ +1@" +1h +09" +0;" +b110001110000011101001110110011 | +b110001110000011101001110110011 B" +05" +b1110 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +14" +1v +0y +1a +12" +0n +0/" +b10101110011010100010101001101000 / +b10101110011010100010101001101000 K +b10101110011010100010101001101000 e +b10101110011010100010101001101000 "" +b10101110011010100010101001101000 0 +b10101110011010100010101001101000 M +b10101110011010100010101001101000 f +b10101110011010100010101001101000 !" +b10110000001010101110001111010011 &" +b10110000001010101110001111010011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#1898010 +b10110000001010101110001111010011 T" +#1898500 +b11111 7 +b11111 N +b11111 V +b10110000100001110010010100111010 2 +b10110000100001110010010100111010 I +b10110000100001110010010100111010 Z +b101 3 +b101 J +b101 Y +b11111 & +b11111 C +b10110000100001110010010100111010 , +b10110000100001110010010100111010 H +b101 + +b101 G +1. +0% +#1899000 +1_ +0] +0s +1@" +1>" +0q +15" +16" +b11010 3" +0<" +0` +1^ +b0 -" +0t +0y +1z +b10011001101101100010111111111110 | +b10011001101101100010111111111110 B" +1w +b10110000100001110010010100111010 &" +b10110000100001110010010100111010 ?" +b101 %" +b101 *" +b101 1" +b11111 } +b11111 (" +b11111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110001110000011101001110110011 0 +b110001110000011101001110110011 M +b110001110000011101001110110011 f +b110001110000011101001110110011 !" +0. +1% +#1899010 +b10110000100001110010010100111010 H" +#1899500 +b100 7 +b100 N +b100 V +b11010001001001111011100011101101 2 +b11010001001001111011100011101101 I +b11010001001001111011100011101101 Z +b11 3 +b11 J +b11 Y +b100 & +b100 C +b11010001001001111011100011101101 , +b11010001001001111011100011101101 H +b11 + +b11 G +1. +0% +#1900000 +1] +1u +1t +0\ +0>" +1r +1q +17" +05" +16" +b11100 3" +0^ +b11011 -" +04" +0v +0z +b1010100011011001000110100010000 | +b1010100011011001000110100010000 B" +1b +0x +0w +b10011001101101100010111111111110 0 +b10011001101101100010111111111110 M +b10011001101101100010111111111110 f +b10011001101101100010111111111110 !" +b11010001001001111011100011101101 &" +b11010001001001111011100011101101 ?" +b11 %" +b11 *" +b11 1" +b100 } +b100 (" +b100 +" +0. +1% +#1900010 +b11010001001001111011100011101101 F" +#1900500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1901000 +0i +b11001100001011011101010111101011 { +b11001100001011011101010111101011 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0@" +0g +19" +1:" +1;" +06" +07" +b11111 3" +0_ +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +0u +0b +0a +02" +1m +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1010100011011001000110100010000 0 +b1010100011011001000110100010000 M +b1010100011011001000110100010000 f +b1010100011011001000110100010000 !" +0. +1% +#1901500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b101110111010001101101010000100 2 +b101110111010001101101010000100 I +b101110111010001101101010000100 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +b101110111010001101101010000100 , +b101110111010001101101010000100 H +b11 + +b11 G +1- +1. +0% +#1902000 +1i +0s +b11110101000110010101100101111101 | +b11110101000110010101100101111101 B" +0\ +0[ +1@" +1g +09" +0:" +0;" +0r +15" +16" +17" +b11100 3" +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10001 -" +0t +0y +1z +1u +1b +1a +12" +0m +0/" +1x +1," +b11001100001011011101010111101011 / +b11001100001011011101010111101011 K +b11001100001011011101010111101011 e +b11001100001011011101010111101011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101110111010001101101010000100 &" +b101110111010001101101010000100 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#1902010 +b101110111010001101101010000100 F" +#1902500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1903000 +1s +1\ +1[ +0@" +1r +05" +06" +07" +b11111 3" +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110101000110010101100101111101 0 +b11110101000110010101100101111101 M +b11110101000110010101100101111101 f +b11110101000110010101100101111101 !" +0. +1% +#1903500 +b1110 5 +b1110 L +b1110 W +14 +b1010 7 +b1010 N +b1010 V +16 +b1110 ' +b1110 D +1) +b1010 & +b1010 C +1( +1. +0% +#1904000 +0i +b11110101000110010101100101111101 { +b11110101000110010101100101111101 A" +0s +b10101110011010100010101001101000 | +b10101110011010100010101001101000 B" +0h +19" +1:" +1;" +0r +15" +17" +b10001 0" +0j +0o +1p +1k +b10101 -" +1y +1u +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#1904500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1905000 +1i +1s +1h +09" +0:" +0;" +1r +05" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110101000110010101100101111101 / +b11110101000110010101100101111101 K +b11110101000110010101100101111101 e +b11110101000110010101100101111101 "" +b10101110011010100010101001101000 0 +b10101110011010100010101001101000 M +b10101110011010100010101001101000 f +b10101110011010100010101001101000 !" +0. +1% +#1905500 +1. +0% +#1906000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1906500 +b1000 5 +b1000 L +b1000 W +14 +b10001 7 +b10001 N +b10001 V +16 +b100000101100110010101110111110 2 +b100000101100110010101110111110 I +b100000101100110010101110111110 Z +b11100 3 +b11100 J +b11100 Y +11 +b1000 ' +b1000 D +1) +b10001 & +b10001 C +1( +b100000101100110010101110111110 , +b100000101100110010101110111110 H +b11100 + +b11100 G +1- +1. +0% +#1907000 +0] +0i +b1001001001100000100011101000001 { +b1001001001100000100011101000001 A" +b10110000001010101110001111010011 | +b10110000001010101110001111010011 B" +1=" +1>" +1@" +19" +1;" +0q +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b10111 0" +1o +1k +b1110 -" +0u +14" +1v +12" +1/" +1w +1," +b100000101100110010101110111110 &" +b100000101100110010101110111110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#1907010 +b100000101100110010101110111110 _" +#1907500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b111100011011001111100100001 2 +b111100011011001111100100001 I +b111100011011001111100100001 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b111100011011001111100100001 , +b111100011011001111100100001 H +b10111 + +b10111 G +1. +0% +#1908000 +1^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +0[ +0=" +1>" +09" +0;" +1q +07" +b1000 3" +0d +b11111 0" +0o +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +0/" +0w +0," +b1001001001100000100011101000001 / +b1001001001100000100011101000001 K +b1001001001100000100011101000001 e +b1001001001100000100011101000001 "" +b10110000001010101110001111010011 0 +b10110000001010101110001111010011 M +b10110000001010101110001111010011 f +b10110000001010101110001111010011 !" +b111100011011001111100100001 &" +b111100011011001111100100001 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1908010 +b111100011011001111100100001 Z" +#1908500 +b111 5 +b111 L +b111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1909000 +1] +0i +b10100101111100110100101110001000 { +b10100101111100110100101110001000 A" +1\ +1[ +0>" +0@" +0h +0g +1:" +1;" +b11111 3" +0^ +0<" +0` +b11000 0" +1j +1k +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1909500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1910000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b10100101111100110100101110001000 / +b10100101111100110100101110001000 K +b10100101111100110100101110001000 e +b10100101111100110100101110001000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1910500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#1911000 +0i +b100000101100110010101110111110 { +b100000101100110010101110111110 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1911500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +1. +0% +#1912000 +1i +0s +b10111011000110101110010010001011 | +b10111011000110101110010010001011 B" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +16" +17" +b11111 0" +0p +08" +0l +b10000 -" +0t +0y +1z +1u +0/" +1x +1w +1," +b100000101100110010101110111110 / +b100000101100110010101110111110 K +b100000101100110010101110111110 e +b100000101100110010101110111110 "" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#1912500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1913000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111011000110101110010010001011 0 +b10111011000110101110010010001011 M +b10111011000110101110010010001011 f +b10111011000110101110010010001011 !" +0. +1% +#1913500 +1. +0% +#1914000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1914500 +b10001 5 +b10001 L +b10001 W +14 +b11000111110100011101100100101101 2 +b11000111110100011101100100101101 I +b11000111110100011101100100101101 Z +b11100 3 +b11100 J +b11100 Y +11 +b10001 ' +b10001 D +1) +b11000111110100011101100100101101 , +b11000111110100011101100100101101 H +b11100 + +b11100 G +1- +1. +0% +#1915000 +0] +b10110000001010101110001111010011 { +b10110000001010101110001111010011 A" +1=" +1>" +1@" +0g +1;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b1110 0" +0k +18" +1l +12" +1m +1/" +b11000111110100011101100100101101 &" +b11000111110100011101100100101101 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#1915010 +b11000111110100011101100100101101 _" +#1915500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1916000 +1] +0=" +0>" +0@" +1g +0;" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0m +0/" +b10110000001010101110001111010011 / +b10110000001010101110001111010011 K +b10110000001010101110001111010011 e +b10110000001010101110001111010011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1916500 +b111 7 +b111 N +b111 V +16 +b11100001010111110111110110100010 2 +b11100001010111110111110110100010 I +b11100001010111110111110110100010 Z +b10001 3 +b10001 J +b10001 Y +11 +b111 & +b111 C +1( +b11100001010111110111110110100010 , +b11100001010111110111110110100010 H +b10001 + +b10001 G +1- +1. +0% +#1917000 +0s +b10100101111100110100101110001000 | +b10100101111100110100101110001000 B" +0[ +1@" +0r +0q +16" +17" +b1110 3" +0_ +1<" +1` +b11000 -" +1t +1u +1a +12" +1x +1w +1," +b11100001010111110111110110100010 &" +b11100001010111110111110110100010 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1917010 +b11100001010111110111110110100010 T" +#1917500 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1918000 +0t +1[ +0@" +1r +15" +b11111 3" +0<" +0` +b10010 -" +1z +b10110110100100111000110000011100 | +b10110110100100111000110000011100 B" +0a +02" +0x +b10100101111100110100101110001000 0 +b10100101111100110100101110001000 M +b10100101111100110100101110001000 f +b10100101111100110100101110001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 } +b1101 (" +b1101 +" +0. +1% +#1918500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1919000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10110110100100111000110000011100 0 +b10110110100100111000110000011100 M +b10110110100100111000110000011100 f +b10110110100100111000110000011100 !" +0. +1% +#1919500 +b11111000111100000110001001010000 2 +b11111000111100000110001001010000 I +b11111000111100000110001001010000 Z +b11111 3 +b11111 J +b11111 Y +11 +b11111000111100000110001001010000 , +b11111000111100000110001001010000 H +b11111 + +b11111 G +1- +1. +0% +#1920000 +0] +0\ +0[ +1=" +1>" +1@" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111000111100000110001001010000 &" +b11111000111100000110001001010000 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +0. +1% +#1920500 +16 +b11000110100001000101111100000110 2 +b11000110100001000101111100000110 I +b11000110100001000101111100000110 Z +b101 3 +b101 J +b101 Y +1( +b11000110100001000101111100000110 , +b11000110100001000101111100000110 H +b101 + +b101 G +1. +0% +#1921000 +1_ +1^ +b1010010111100111111001100111101 | +b1010010111100111111001100111101 B" +1\ +1@" +0=" +1>" +17" +b11010 3" +0<" +0` +0d +1u +0b +1," +b11000110100001000101111100000110 &" +b11000110100001000101111100000110 ?" +b101 %" +b101 *" +b101 1" +1#" +0. +1% +#1921010 +b11000110100001000101111100000110 H" +#1921500 +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1922000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0>" +0@" +07" +b11111 3" +0^ +0_ +0u +0a +02" +0," +b1010010111100111111001100111101 0 +b1010010111100111111001100111101 M +b1010010111100111111001100111101 f +b1010010111100111111001100111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0#" +0. +1% +#1922500 +1. +0% +#1923000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1923500 +b11000110101100111000001010100 2 +b11000110101100111000001010100 I +b11000110101100111000001010100 Z +b1101 3 +b1101 J +b1101 Y +11 +b11000110101100111000001010100 , +b11000110101100111000001010100 H +b1101 + +b1101 G +1- +1. +0% +#1924000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b11000110101100111000001010100 &" +b11000110101100111000001010100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +0. +1% +#1924010 +b11000110101100111000001010100 P" +#1924500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1925000 +1] +0s +b11000111110100011101100100101101 | +b11000111110100011101100100101101 B" +1[ +0=" +0>" +0@" +15" +16" +17" +b11111 3" +0d +0_ +b11 -" +0t +0y +1z +0u +14" +1v +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#1925500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +1. +0% +#1926000 +b110001110000011101001110110011 { +b110001110000011101001110110011 A" +1s +0h +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1101 0" +0k +18" +1l +b11111 -" +0z +04" +0v +1n +1/" +0," +b11000111110100011101100100101101 0 +b11000111110100011101100100101101 M +b11000111110100011101100100101101 f +b11000111110100011101100100101101 !" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1926500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#1927000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b110001110000011101001110110011 / +b110001110000011101001110110011 K +b110001110000011101001110110011 e +b110001110000011101001110110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1927500 +b110 5 +b110 L +b110 W +14 +b10110110100101000111101101101110 2 +b10110110100101000111101101101110 I +b10110110100101000111101101101110 Z +b11101 3 +b11101 J +b11101 Y +11 +b110 ' +b110 D +1) +b10110110100101000111101101101110 , +b10110110100101000111101101101110 H +b11101 + +b11101 G +1- +1. +0% +#1928000 +0] +0i +b10100101101110000011010100111 { +b10100101101110000011010100111 A" +0[ +1=" +1>" +1@" +0h +1:" +1;" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11001 0" +1j +1k +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110110100101000111101101101110 &" +b10110110100101000111101101101110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#1928010 +b10110110100101000111101101101110 `" +#1928500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1929000 +1] +1i +0s +b11000110100001000101111100000110 | +b11000110100001000101111100000110 B" +1[ +0=" +0>" +0@" +1h +0:" +0;" +0q +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11010 -" +1t +1u +0a +02" +0n +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +b10100101101110000011010100111 / +b10100101101110000011010100111 K +b10100101101110000011010100111 e +b10100101101110000011010100111 "" +0. +1% +#1929500 +b11 7 +b11 N +b11 V +b10010010001110101100111011011000 2 +b10010010001110101100111011011000 I +b10010010001110101100111011011000 Z +b11010 3 +b11010 J +b11010 Y +11 +b11 & +b11 C +b10010010001110101100111011011000 , +b10010010001110101100111011011000 H +b11010 + +b11010 G +1- +1. +0% +#1930000 +0] +1s +0\ +1=" +1@" +0r +06" +b101 3" +1c +0_ +1<" +1` +b11100 -" +0t +b101110111010001101101010000100 | +b101110111010001101101010000100 B" +1b +12" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000110100001000101111100000110 0 +b11000110100001000101111100000110 M +b11000110100001000101111100000110 f +b11000110100001000101111100000110 !" +b10010010001110101100111011011000 &" +b10010010001110101100111011011000 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11 } +b11 (" +b11 +" +0. +1% +#1930010 +b10010010001110101100111011011000 ]" +#1930500 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1931000 +1] +0u +1\ +0=" +0@" +b1000110010100100100000000000100 | +b1000110010100100100000000000100 B" +b11111 3" +0c +0<" +0` +b1100 -" +14" +1v +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +b101110111010001101101010000100 0 +b101110111010001101101010000100 M +b101110111010001101101010000100 f +b101110111010001101101010000100 !" +0. +1% +#1931500 +b0 7 +b0 N +b0 V +06 +b110110110100000101010101111010 2 +b110110110100000101010101111010 I +b110110110100000101010101111010 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 & +b0 C +0( +b110110110100000101010101111010 , +b110110110100000101010101111010 H +b10010 + +b10010 G +1- +1. +0% +#1932000 +0\ +1@" +1r +1q +07" +b1101 3" +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0x +0w +0," +b1000110010100100100000000000100 0 +b1000110010100100100000000000100 M +b1000110010100100100000000000100 f +b1000110010100100100000000000100 !" +b110110110100000101010101111010 &" +b110110110100000101010101111010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1932010 +b110110110100000101010101111010 U" +#1932500 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1933000 +0s +b1111011010111100001010000101000 | +b1111011010111100001010000101000 B" +1\ +0@" +0r +15" +16" +17" +b11111 3" +0<" +0` +b1 -" +0t +0y +1z +0u +14" +1v +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1933500 +b1111 5 +b1111 L +b1111 W +14 +b10110 7 +b10110 N +b10110 V +b1111 ' +b1111 D +1) +b10110 & +b10110 C +1. +0% +#1934000 +0i +b10111011000110101110010010001011 { +b10111011000110101110010010001011 A" +1t +b11100010101100010001111001111101 | +b11100010101100010001111001111101 B" +0h +0g +19" +1:" +1;" +05" +16" +b10000 0" +0j +0o +1p +1k +b1001 -" +0z +1n +1m +1/" +b1111011010111100001010000101000 0 +b1111011010111100001010000101000 M +b1111011010111100001010000101000 f +b1111011010111100001010000101000 !" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#1934500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110101100100001100001101000111 2 +b110101100100001100001101000111 I +b110101100100001100001101000111 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110101100100001100001101000111 , +b110101100100001100001101000111 H +b110 + +b110 G +1- +1. +0% +#1935000 +0] +1i +1s +0\ +1>" +1@" +1h +1g +09" +0:" +0;" +1r +06" +07" +b11001 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0n +0m +0/" +0x +0," +b110101100100001100001101000111 &" +b110101100100001100001101000111 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10111011000110101110010010001011 / +b10111011000110101110010010001011 K +b10111011000110101110010010001011 e +b10111011000110101110010010001011 "" +b11100010101100010001111001111101 0 +b11100010101100010001111001111101 M +b11100010101100010001111001111101 f +b11100010101100010001111001111101 !" +0. +1% +#1935010 +b110101100100001100001101000111 I" +#1935500 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1936000 +1] +b1010010111100111111001100111101 | +b1010010111100111111001100111101 B" +1\ +0>" +0@" +17" +b11111 3" +0^ +0_ +1u +0b +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1#" +0. +1% +#1936500 +b10010 7 +b10010 N +b10010 V +b10101010000010111001110110010001 2 +b10101010000010111001110110010001 I +b10101010000010111001110110010001 Z +b10100 3 +b10100 J +b10100 Y +11 +b10010 & +b10010 C +b10101010000010111001110110010001 , +b10101010000010111001110110010001 H +b10100 + +b10100 G +1- +1. +0% +#1937000 +0] +0u +1>" +1@" +0r +b1011 3" +1^ +0_ +1<" +1` +b1101 -" +14" +1v +b110110110100000101010101111010 | +b110110110100000101010101111010 B" +12" +1x +b10101010000010111001110110010001 &" +b10101010000010111001110110010001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b10010 } +b10010 (" +b10010 +" +b1010010111100111111001100111101 0 +b1010010111100111111001100111101 M +b1010010111100111111001100111101 f +b1010010111100111111001100111101 !" +0. +1% +#1937010 +b10101010000010111001110110010001 W" +#1937500 +b0 7 +b0 N +b0 V +06 +b110110101100110110000111001001 2 +b110110101100110110000111001001 I +b110110101100110110000111001001 Z +b11001 3 +b11001 J +b11001 Y +b0 & +b0 C +0( +b110110101100110110000111001001 , +b110110101100110110000111001001 H +b11001 + +b11001 G +1. +0% +#1938000 +1=" +1c +0[ +0>" +1r +07" +b110 3" +0^ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0x +0," +b110110110100000101010101111010 0 +b110110110100000101010101111010 M +b110110110100000101010101111010 f +b110110110100000101010101111010 !" +b110110101100110110000111001001 &" +b110110101100110110000111001001 ?" +b11001 %" +b11001 *" +b11001 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1938010 +b110110101100110110000111001001 \" +#1938500 +b10011 7 +b10011 N +b10011 V +16 +b1110101001000000001010110001100 2 +b1110101001000000001010110001100 I +b1110101001000000001010110001100 Z +b10001 3 +b10001 J +b10001 Y +b10011 & +b10011 C +1( +b1110101001000000001010110001100 , +b1110101001000000001010110001100 H +b10001 + +b10001 G +1. +0% +#1939000 +1] +b1000110010100100100000000000100 | +b1000110010100100100000000000100 B" +0=" +0r +0q +17" +b1110 3" +0c +b1100 -" +0u +14" +1v +1x +1w +1," +b1110101001000000001010110001100 &" +b1110101001000000001010110001100 ?" +b10001 %" +b10001 *" +b10001 1" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1939010 +b1110101001000000001010110001100 T" +#1939500 +b0 7 +b0 N +b0 V +06 +b10110110000010000000101111011101 2 +b10110110000010000000101111011101 I +b10110110000010000000101111011101 Z +b10110 3 +b10110 J +b10110 Y +b0 & +b0 C +0( +b10110110000010000000101111011101 , +b10110110000010000000101111011101 H +b10110 + +b10110 G +1. +0% +#1940000 +0] +0\ +1[ +1>" +1r +1q +07" +b1001 3" +1^ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +0x +0w +0," +b1000110010100100100000000000100 0 +b1000110010100100100000000000100 M +b1000110010100100100000000000100 f +b1000110010100100100000000000100 !" +b10110110000010000000101111011101 &" +b10110110000010000000101111011101 ?" +b10110 %" +b10110 *" +b10110 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1940010 +b10110110000010000000101111011101 Y" +#1940500 +b10010 7 +b10010 N +b10010 V +16 +b11000111010101000111010111011000 2 +b11000111010101000111010111011000 I +b11000111010101000111010111011000 Z +b11100 3 +b11100 J +b11100 Y +b10010 & +b10010 C +1( +b11000111010101000111010111011000 , +b11000111010101000111010111011000 H +b11100 + +b11100 G +1. +0% +#1941000 +0^ +b110110110100000101010101111010 | +b110110110100000101010101111010 B" +1\ +1=" +0r +17" +b11 3" +1d +b1101 -" +0u +14" +1v +0b +1x +1," +b11000111010101000111010111011000 &" +b11000111010101000111010111011000 ?" +b11100 %" +b11100 *" +b11100 1" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1941010 +b11000111010101000111010111011000 _" +#1941500 +b111 7 +b111 N +b111 V +b10000000000101001110010001110111 2 +b10000000000101001110010001110111 I +b10000000000101001110010001110111 Z +b11101 3 +b11101 J +b11101 Y +b111 & +b111 C +b10000000000101001110010001110111 , +b10000000000101001110010001110111 H +b11101 + +b11101 G +1. +0% +#1942000 +1u +0s +0[ +0q +17" +16" +b10 3" +b11000 -" +04" +0v +1t +b10100101111100110100101110001000 | +b10100101111100110100101110001000 B" +1a +1w +b110110110100000101010101111010 0 +b110110110100000101010101111010 M +b110110110100000101010101111010 f +b110110110100000101010101111010 !" +b10000000000101001110010001110111 &" +b10000000000101001110010001110111 ?" +b11101 %" +b11101 *" +b11101 1" +b111 } +b111 (" +b111 +" +0. +1% +#1942010 +b10000000000101001110010001110111 `" +#1942500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b1000100100100101001010111101110 2 +b1000100100100101001010111101110 I +b1000100100100101001010111101110 Z +b1100 3 +b1100 J +b1100 Y +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b1000100100100101001010111101110 , +b1000100100100101001010111101110 H +b1100 + +b1100 G +1. +0% +#1943000 +1_ +0i +b10111011000110101110010010001011 { +b10111011000110101110010010001011 A" +1s +1[ +1@" +0h +0g +19" +1:" +1;" +1r +1q +06" +07" +b10011 3" +0<" +0` +b10000 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +1n +1m +1/" +0x +0w +0," +b1000100100100101001010111101110 &" +b1000100100100101001010111101110 ?" +b1100 %" +b1100 *" +b1100 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10100101111100110100101110001000 0 +b10100101111100110100101110001000 M +b10100101111100110100101110001000 f +b10100101111100110100101110001000 !" +0. +1% +#1943010 +b1000100100100101001010111101110 O" +#1943500 +b101 5 +b101 L +b101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1944000 +1] +1j +0=" +0>" +0@" +1h +09" +1:" +b11111 3" +0d +0_ +b11010 0" +0p +b11000110100001000101111100000110 { +b11000110100001000101111100000110 A" +02" +0n +b10111011000110101110010010001011 / +b10111011000110101110010010001011 K +b10111011000110101110010010001011 e +b10111011000110101110010010001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +0. +1% +#1944500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +1. +0% +#1945000 +1i +0s +b111100011011001111100100001 | +b111100011011001111100100001 B" +1g +0:" +0;" +0r +0q +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1000 -" +1t +0u +14" +1v +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +b11000110100001000101111100000110 / +b11000110100001000101111100000110 K +b11000110100001000101111100000110 e +b11000110100001000101111100000110 "" +0. +1% +#1945500 +b10010 7 +b10010 N +b10010 V +b10010 & +b10010 C +1. +0% +#1946000 +1s +1q +06" +b1101 -" +0t +b110110110100000101010101111010 | +b110110110100000101010101111010 B" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111100011011001111100100001 0 +b111100011011001111100100001 M +b111100011011001111100100001 f +b111100011011001111100100001 !" +b10010 } +b10010 (" +b10010 +" +0. +1% +#1946500 +b10001 7 +b10001 N +b10001 V +b10001 & +b10001 C +1. +0% +#1947000 +1r +0q +b1110 -" +b1110101001000000001010110001100 | +b1110101001000000001010110001100 B" +0x +1w +b10001 } +b10001 (" +b10001 +" +b110110110100000101010101111010 0 +b110110110100000101010101111010 M +b110110110100000101010101111010 f +b110110110100000101010101111010 !" +0. +1% +#1947500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +1. +0% +#1948000 +b110110110100000101010101111010 { +b110110110100000101010101111010 A" +0h +1;" +1q +07" +b1101 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0w +0," +b1110101001000000001010110001100 0 +b1110101001000000001010110001100 M +b1110101001000000001010110001100 f +b1110101001000000001010110001100 !" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1948500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b1100101111010011111010011011101 2 +b1100101111010011111010011011101 I +b1100101111010011111010011011101 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b11 & +b11 C +1( +b1100101111010011111010011011101 , +b1100101111010011111010011011101 H +b100 + +b100 G +1- +1. +0% +#1949000 +0] +b101110111010001101101010000100 | +b101110111010001101101010000100 B" +1>" +1@" +1h +0;" +0r +0q +17" +b11011 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11100 -" +1u +12" +0n +0/" +1x +1w +1," +b1100101111010011111010011011101 &" +b1100101111010011111010011011101 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +b110110110100000101010101111010 / +b110110110100000101010101111010 K +b110110110100000101010101111010 e +b110110110100000101010101111010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1949010 +b1100101111010011111010011011101 G" +#1949500 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1950000 +1] +0u +0>" +0@" +b1000110010100100100000000000100 | +b1000110010100100100000000000100 B" +b11111 3" +0^ +0_ +b1100 -" +14" +1v +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101110111010001101101010000100 0 +b101110111010001101101010000100 M +b101110111010001101101010000100 f +b101110111010001101101010000100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +0. +1% +#1950500 +b11 7 +b11 N +b11 V +b11 & +b11 C +1. +0% +#1951000 +1u +17" +b101110111010001101101010000100 | +b101110111010001101101010000100 B" +b11100 -" +04" +0v +b11 } +b11 (" +b11 +" +b1000110010100100100000000000100 0 +b1000110010100100100000000000100 M +b1000110010100100100000000000100 f +b1000110010100100100000000000100 !" +0. +1% +#1951500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1952000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b101110111010001101101010000100 0 +b101110111010001101101010000100 M +b101110111010001101101010000100 f +b101110111010001101101010000100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1952500 +b1001 5 +b1001 L +b1001 W +14 +b110 7 +b110 N +b110 V +16 +b10100000110100010111000011011 2 +b10100000110100010111000011011 I +b10100000110100010111000011011 Z +b111 3 +b111 J +b111 Y +11 +b1001 ' +b1001 D +1) +b110 & +b110 C +1( +b10100000110100010111000011011 , +b10100000110100010111000011011 H +b111 + +b111 G +1- +1. +0% +#1953000 +0] +0i +b10111010101110010000001010001011 { +b10111010101110010000001010001011 A" +0s +b110101100100001100001101000111 | +b110101100100001100001101000111 B" +0\ +0[ +1>" +1@" +0g +19" +1;" +0r +16" +17" +b11000 3" +1^ +1_ +b10110 0" +1o +1k +b11001 -" +1t +1u +1b +1a +12" +1m +1/" +1x +1," +b10100000110100010111000011011 &" +b10100000110100010111000011011 ?" +b111 %" +b111 *" +b111 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1953010 +b10100000110100010111000011011 J" +#1953500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1954000 +1] +1i +1s +1\ +1[ +0>" +0@" +1g +09" +0;" +1r +06" +07" +b11111 3" +0^ +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0m +0/" +0x +0," +b10111010101110010000001010001011 / +b10111010101110010000001010001011 K +b10111010101110010000001010001011 e +b10111010101110010000001010001011 "" +b110101100100001100001101000111 0 +b110101100100001100001101000111 M +b110101100100001100001101000111 f +b110101100100001100001101000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1954500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#1955000 +0s +b110110101100110110000111001001 | +b110110101100110110000111001001 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1955500 +b0 7 +b0 N +b0 V +06 +b10110110011000010000111111111011 2 +b10110110011000010000111111111011 I +b10110110011000010000111111111011 Z +b101 3 +b101 J +b101 Y +11 +b0 & +b0 C +0( +b10110110011000010000111111111011 , +b10110110011000010000111111111011 H +b101 + +b101 G +1- +1. +0% +#1956000 +0] +1s +0[ +1>" +1@" +1q +05" +07" +b11010 3" +1^ +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0w +0," +b110110101100110110000111001001 0 +b110110101100110110000111001001 M +b110110101100110110000111001001 f +b110110101100110110000111001001 !" +b10110110011000010000111111111011 &" +b10110110011000010000111111111011 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1956010 +b10110110011000010000111111111011 H" +#1956500 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1957000 +1] +0s +b111100011011001111100100001 | +b111100011011001111100100001 B" +1[ +0>" +0@" +0r +0q +16" +17" +b11111 3" +0^ +0_ +b1000 -" +1t +0u +14" +1v +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1957500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#1958000 +0i +b111100011011001111100100001 { +b111100011011001111100100001 A" +1s +0h +0g +1:" +1;" +1r +1q +06" +07" +b1000 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0w +0," +b111100011011001111100100001 0 +b111100011011001111100100001 M +b111100011011001111100100001 f +b111100011011001111100100001 !" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1958500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +1. +0% +#1959000 +1i +b1110101001000000001010110001100 | +b1110101001000000001010110001100 B" +1h +1g +0:" +0;" +0q +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +0n +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b111100011011001111100100001 / +b111100011011001111100100001 K +b111100011011001111100100001 e +b111100011011001111100100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1959500 +b0 7 +b0 N +b0 V +06 +b1111110101100010011111001011001 2 +b1111110101100010011111001011001 I +b1111110101100010011111001011001 Z +b11 3 +b11 J +b11 Y +11 +b0 & +b0 C +0( +b1111110101100010011111001011001 , +b1111110101100010011111001011001 H +b11 + +b11 G +1- +1. +0% +#1960000 +0\ +0[ +1@" +1q +07" +b11100 3" +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110101001000000001010110001100 0 +b1110101001000000001010110001100 M +b1110101001000000001010110001100 f +b1110101001000000001010110001100 !" +b1111110101100010011111001011001 &" +b1111110101100010011111001011001 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1960010 +b1111110101100010011111001011001 F" +#1960500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1961000 +1\ +1[ +0@" +b11111 3" +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1961500 +b10111 5 +b10111 L +b10111 W +14 +b10 7 +b10 N +b10 V +16 +b1011101101111000001100111010111 2 +b1011101101111000001100111010111 I +b1011101101111000001100111010111 Z +b10011 3 +b10011 J +b10011 Y +11 +b10111 ' +b10111 D +1) +b10 & +b10 C +1( +b1011101101111000001100111010111 , +b1011101101111000001100111010111 H +b10011 + +b10011 G +1- +1. +0% +#1962000 +0i +b111100011011001111100100001 { +b111100011011001111100100001 A" +b1100100100101101100111011011110 | +b1100100100101101100111011011110 B" +0\ +0[ +1@" +0h +0g +1:" +1;" +0r +17" +b1100 3" +0_ +1<" +1` +b1000 0" +1j +0k +18" +1l +b11101 -" +1u +1b +1a +12" +1n +1m +1/" +1x +1," +b1011101101111000001100111010111 &" +b1011101101111000001100111010111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#1962010 +b1011101101111000001100111010111 V" +#1962500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11110 & +b11110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1963000 +1i +0u +0s +1\ +1[ +0@" +1h +1g +0:" +0;" +b1111011010111100001010000101000 | +b1111011010111100001010000101000 B" +15" +16" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +14" +1v +0t +0y +1z +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +b111100011011001111100100001 / +b111100011011001111100100001 K +b111100011011001111100100001 e +b111100011011001111100100001 "" +b1100100100101101100111011011110 0 +b1100100100101101100111011011110 M +b1100100100101101100111011011110 f +b1100100100101101100111011011110 !" +0. +1% +#1963500 +b11111 5 +b11111 L +b11111 W +14 +b1111 7 +b1111 N +b1111 V +b11111 ' +b11111 D +1) +b1111 & +b1111 C +1. +0% +#1964000 +0i +b11111000111100000110001001010000 { +b11111000111100000110001001010000 A" +1u +0h +0g +19" +1:" +1;" +0q +17" +b0 0" +0j +0o +1p +0k +18" +1l +b10000 -" +04" +0v +b10111011000110101110010010001011 | +b10111011000110101110010010001011 B" +1n +1m +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111011010111100001010000101000 0 +b1111011010111100001010000101000 M +b1111011010111100001010000101000 f +b1111011010111100001010000101000 !" +b11111 ~ +b11111 )" +b11111 ." +1$" +b1111 } +b1111 (" +b1111 +" +0. +1% +#1964500 +b11100 5 +b11100 L +b11100 W +b0 7 +b0 N +b0 V +06 +b11100 ' +b11100 D +b0 & +b0 C +0( +1. +0% +#1965000 +1s +1h +1g +1r +1q +05" +06" +07" +b11 0" +b11000111010101000111010111011000 { +b11000111010101000111010111011000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0x +0w +0," +b11100 ~ +b11100 )" +b11100 ." +b0 } +b0 (" +b0 +" +0#" +b11111000111100000110001001010000 / +b11111000111100000110001001010000 K +b11111000111100000110001001010000 e +b11111000111100000110001001010000 "" +b10111011000110101110010010001011 0 +b10111011000110101110010010001011 M +b10111011000110101110010010001011 f +b10111011000110101110010010001011 !" +0. +1% +#1965500 +b0 5 +b0 L +b0 W +04 +b1101011010010010111000001011011 2 +b1101011010010010111000001011011 I +b1101011010010010111000001011011 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b1101011010010010111000001011011 , +b1101011010010010111000001011011 H +b11011 + +b11011 G +1- +1. +0% +#1966000 +0] +1i +0\ +0[ +1=" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0p +08" +0l +1b +1a +12" +0/" +b11000111010101000111010111011000 / +b11000111010101000111010111011000 K +b11000111010101000111010111011000 e +b11000111010101000111010111011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101011010010010111000001011011 &" +b1101011010010010111000001011011 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#1966010 +b1101011010010010111000001011011 ^" +#1966500 +b11011 5 +b11011 L +b11011 W +14 +b1010 7 +b1010 N +b1010 V +16 +b11001010011010000101001110111101 2 +b11001010011010000101001110111101 I +b11001010011010000101001110111101 Z +b11000 3 +b11000 J +b11000 Y +b11011 ' +b11011 D +1) +b1010 & +b1010 C +1( +b11001010011010000101001110111101 , +b11001010011010000101001110111101 H +b11000 + +b11000 G +1. +0% +#1967000 +0i +b1101011010010010111000001011011 { +b1101011010010010111000001011011 A" +0s +b10101110011010100010101001101000 | +b10101110011010100010101001101000 B" +1\ +1[ +0h +0g +19" +1;" +0r +15" +17" +b111 3" +b100 0" +1o +0k +18" +1l +b10101 -" +1y +1u +0b +0a +1n +1m +1/" +1x +1," +b11001010011010000101001110111101 &" +b11001010011010000101001110111101 ?" +b11000 %" +b11000 *" +b11000 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1967010 +b11001010011010000101001110111101 [" +#1967500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1968000 +16" +1] +1i +0u +1t +0=" +0@" +1h +1g +09" +0;" +1r +05" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +14" +1v +0y +b10101010000010111001110110010001 | +b10101010000010111001110110010001 B" +02" +0n +0m +0/" +0x +b1101011010010010111000001011011 / +b1101011010010010111000001011011 K +b1101011010010010111000001011011 e +b1101011010010010111000001011011 "" +b10101110011010100010101001101000 0 +b10101110011010100010101001101000 M +b10101110011010100010101001101000 f +b10101110011010100010101001101000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +0. +1% +#1968500 +b110 5 +b110 L +b110 W +14 +b11100 7 +b11100 N +b11100 V +b110 ' +b110 D +1) +b11100 & +b11100 C +1. +0% +#1969000 +0i +b110101100100001100001101000111 { +b110101100100001100001101000111 A" +0t +b11000111010101000111010111011000 | +b11000111010101000111010111011000 B" +0h +1:" +1;" +15" +b11001 0" +1j +1k +b11 -" +1z +1n +1/" +b110 ~ +b110 )" +b110 ." +1$" +b11100 } +b11100 (" +b11100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101010000010111001110110010001 0 +b10101010000010111001110110010001 M +b10101010000010111001110110010001 f +b10101010000010111001110110010001 !" +0. +1% +#1969500 +b101 5 +b101 L +b101 W +b1011 7 +b1011 N +b1011 V +b1011000011011001001111001010010 2 +b1011000011011001001111001010010 I +b1011000011011001001111001010010 Z +b1 3 +b1 J +b1 Y +11 +b101 ' +b101 D +b1011 & +b1011 C +b1011000011011001001111001010010 , +b1011000011011001001111001010010 H +b1 + +b1 G +1- +1. +0% +#1970000 +1u +1y +0[ +1@" +1h +0g +0r +0q +17" +15" +06" +b11110 3" +1_ +b11010 0" +b10110110011000010000111111111011 { +b10110110011000010000111111111011 A" +b10100 -" +04" +0v +0z +b11111011010100111100001101001010 | +b11111011010100111100001101001010 B" +1a +12" +0n +1m +1x +1w +b110101100100001100001101000111 / +b110101100100001100001101000111 K +b110101100100001100001101000111 e +b110101100100001100001101000111 "" +b11000111010101000111010111011000 0 +b11000111010101000111010111011000 M +b11000111010101000111010111011000 f +b11000111010101000111010111011000 !" +b1011000011011001001111001010010 &" +b1011000011011001001111001010010 ?" +b1 %" +b1 *" +b1 1" +1'" +b101 ~ +b101 )" +b101 ." +b1011 } +b1011 (" +b1011 +" +0. +1% +#1970010 +b1011000011011001001111001010010 D" +#1970500 +b11110 5 +b11110 L +b11110 W +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1971000 +0k +0j +0u +1[ +0@" +0h +1g +19" +b1101011010010010111000001011011 | +b1101011010010010111000001011011 B" +b11111 3" +0_ +b1 0" +18" +1l +1p +b1111011010111100001010000101000 { +b1111011010111100001010000101000 A" +b100 -" +14" +1v +0a +02" +1n +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b11011 } +b11011 (" +b11011 +" +b10110110011000010000111111111011 / +b10110110011000010000111111111011 K +b10110110011000010000111111111011 e +b10110110011000010000111111111011 "" +b11111011010100111100001101001010 0 +b11111011010100111100001101001010 M +b11111011010100111100001101001010 f +b11111011010100111100001101001010 !" +0. +1% +#1971500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1100011000011110100000101001 2 +b1100011000011110100000101001 I +b1100011000011110100000101001 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1100011000011110100000101001 , +b1100011000011110100000101001 H +b111 + +b111 G +1- +1. +0% +#1972000 +0] +1i +1s +0\ +0[ +1>" +1@" +1h +09" +0:" +0;" +1r +1q +05" +07" +b11000 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0n +0/" +0x +0w +0," +b1111011010111100001010000101000 / +b1111011010111100001010000101000 K +b1111011010111100001010000101000 e +b1111011010111100001010000101000 "" +b1101011010010010111000001011011 0 +b1101011010010010111000001011011 M +b1101011010010010111000001011011 f +b1101011010010010111000001011011 !" +b1100011000011110100000101001 &" +b1100011000011110100000101001 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1972010 +b1100011000011110100000101001 J" +#1972500 +b11100 5 +b11100 L +b11100 W +14 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1973000 +1] +0i +b11000111010101000111010111011000 { +b11000111010101000111010111011000 A" +0s +b10000000000101001110010001110111 | +b10000000000101001110010001110111 B" +1\ +1[ +0>" +0@" +19" +1:" +1;" +0q +15" +16" +17" +b11111 3" +0^ +0_ +b11 0" +0j +0o +1p +0k +18" +1l +b10 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1973500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#1974000 +1i +1s +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +06" +07" +b11111 0" +0p +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0w +0," +b11000111010101000111010111011000 / +b11000111010101000111010111011000 K +b11000111010101000111010111011000 e +b11000111010101000111010111011000 "" +b10000000000101001110010001110111 0 +b10000000000101001110010001110111 M +b10000000000101001110010001110111 f +b10000000000101001110010001110111 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1974500 +b10000 7 +b10000 N +b10000 V +16 +b11010011101111000100010100100110 2 +b11010011101111000100010100100110 I +b11010011101111000100010100100110 Z +b10100 3 +b10100 J +b10100 Y +11 +b10000 & +b10000 C +1( +b11010011101111000100010100100110 , +b11010011101111000100010100100110 H +b10100 + +b10100 G +1- +1. +0% +#1975000 +0] +b1000110001110100101100010001000 | +b1000110001110100101100010001000 B" +1>" +1@" +17" +b1011 3" +1^ +0_ +1<" +1` +b1111 -" +0u +14" +1v +12" +1," +b11010011101111000100010100100110 &" +b11010011101111000100010100100110 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1975010 +b11010011101111000100010100100110 W" +#1975500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1976000 +1] +0>" +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0<" +0` +b11111 -" +04" +0v +02" +0," +b1000110001110100101100010001000 0 +b1000110001110100101100010001000 M +b1000110001110100101100010001000 f +b1000110001110100101100010001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1976500 +14 +1) +1. +0% +#1977000 +b1010010111100111111001100111101 { +b1010010111100111111001100111101 A" +1;" +1k +1/" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1977500 +b11011 5 +b11011 L +b11011 W +b11011 ' +b11011 D +1. +0% +#1978000 +0k +0i +0h +0g +19" +b100 0" +18" +1l +1o +b1101011010010010111000001011011 { +b1101011010010010111000001011011 A" +1n +1m +b1010010111100111111001100111101 / +b1010010111100111111001100111101 K +b1010010111100111111001100111101 e +b1010010111100111111001100111101 "" +b11011 ~ +b11011 )" +b11011 ." +0. +1% +#1978500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b1011101101110100010100100111011 2 +b1011101101110100010100100111011 I +b1011101101110100010100100111011 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +b1011101101110100010100100111011 , +b1011101101110100010100100111011 H +b11110 + +b11110 G +1- +1. +0% +#1979000 +0] +1i +0s +b11000110101100111000001010100 | +b11000110101100111000001010100 B" +0\ +1=" +1>" +1@" +1h +1g +09" +0;" +0q +15" +16" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +0t +0y +1z +1u +1b +12" +0n +0m +0/" +1w +1," +b1011101101110100010100100111011 &" +b1011101101110100010100100111011 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +b1101011010010010111000001011011 / +b1101011010010010111000001011011 K +b1101011010010010111000001011011 e +b1101011010010010111000001011011 "" +0. +1% +#1979010 +b1011101101110100010100100111011 a" +#1979500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1980000 +1] +0i +b11000110101100111000001010100 { +b11000110101100111000001010100 A" +1s +1\ +0=" +0>" +0@" +0g +19" +1:" +1;" +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b10010 0" +0j +0o +1p +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000110101100111000001010100 0 +b11000110101100111000001010100 M +b11000110101100111000001010100 f +b11000110101100111000001010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1980500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +1. +0% +#1981000 +1i +0s +b10000000000101001110010001110111 | +b10000000000101001110010001110111 B" +1g +09" +0:" +0;" +0q +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +b11000110101100111000001010100 / +b11000110101100111000001010100 K +b11000110101100111000001010100 e +b11000110101100111000001010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1981500 +b10001 7 +b10001 N +b10001 V +b10001 & +b10001 C +1. +0% +#1982000 +1s +b1110101001000000001010110001100 | +b1110101001000000001010110001100 B" +05" +06" +b1110 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000000000101001110010001110111 0 +b10000000000101001110010001110111 M +b10000000000101001110010001110111 f +b10000000000101001110010001110111 !" +b10001 } +b10001 (" +b10001 +" +0. +1% +#1982500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#1983000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1110101001000000001010110001100 0 +b1110101001000000001010110001100 M +b1110101001000000001010110001100 f +b1110101001000000001010110001100 !" +0. +1% +#1983500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#1984000 +b1011101101111000001100111010111 | +b1011101101111000001100111010111 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#1984500 +b10010 5 +b10010 L +b10010 W +14 +b1 7 +b1 N +b1 V +b10010 ' +b10010 D +1) +b1 & +b1 C +1. +0% +#1985000 +b110110110100000101010101111010 { +b110110110100000101010101111010 A" +1u +0h +1;" +1r +17" +b1101 0" +0k +18" +1l +b11110 -" +04" +0v +b1011000011011001001111001010010 | +b1011000011011001001111001010010 B" +1n +1/" +0x +b10010 ~ +b10010 )" +b10010 ." +1$" +b1 } +b1 (" +b1 +" +b1011101101111000001100111010111 0 +b1011101101111000001100111010111 M +b1011101101111000001100111010111 f +b1011101101111000001100111010111 !" +0. +1% +#1985500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b11001001101111101100010110000 2 +b11001001101111101100010110000 I +b11001001101111101100010110000 Z +b11001 3 +b11001 J +b11001 Y +11 +b1010 ' +b1010 D +b0 & +b0 C +0( +b11001001101111101100010110000 , +b11001001101111101100010110000 H +b11001 + +b11001 G +1- +1. +0% +#1986000 +0] +1k +0i +0[ +1=" +1@" +1;" +b10101110011010100010101001101000 { +b10101110011010100010101001101000 A" +19" +1q +07" +b110 3" +1c +0_ +1<" +1` +b10101 0" +08" +0l +1o +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0w +0," +b110110110100000101010101111010 / +b110110110100000101010101111010 K +b110110110100000101010101111010 e +b110110110100000101010101111010 "" +b1011000011011001001111001010010 0 +b1011000011011001001111001010010 M +b1011000011011001001111001010010 f +b1011000011011001001111001010010 !" +b11001001101111101100010110000 &" +b11001001101111101100010110000 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1986010 +b11001001101111101100010110000 \" +#1986500 +b0 5 +b0 L +b0 W +04 +b10011101011000101110101110000011 2 +b10011101011000101110101110000011 I +b10011101011000101110101110000011 Z +b1000 3 +b1000 J +b1000 Y +b0 ' +b0 D +0) +b10011101011000101110101110000011 , +b10011101011000101110101110000011 H +b1000 + +b1000 G +1. +0% +#1987000 +1_ +1i +1[ +1@" +1h +09" +0;" +b10111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +0n +0/" +b10011101011000101110101110000011 &" +b10011101011000101110101110000011 ?" +b1000 %" +b1000 *" +b1000 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101110011010100010101001101000 / +b10101110011010100010101001101000 K +b10101110011010100010101001101000 e +b10101110011010100010101001101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1987010 +b10011101011000101110101110000011 K" +#1987500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1988000 +1] +0=" +0@" +b11111 3" +0c +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#1988500 +b10010 7 +b10010 N +b10010 V +16 +b10010 & +b10010 C +1( +1. +0% +#1989000 +b110110110100000101010101111010 | +b110110110100000101010101111010 B" +0r +17" +b1101 -" +0u +14" +1v +1x +1," +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#1989500 +b11100 7 +b11100 N +b11100 V +b11100 & +b11100 C +1. +0% +#1990000 +0s +1r +15" +16" +b11 -" +0t +0y +1z +b11000111010101000111010111011000 | +b11000111010101000111010111011000 B" +0x +b110110110100000101010101111010 0 +b110110110100000101010101111010 M +b110110110100000101010101111010 f +b110110110100000101010101111010 !" +b11100 } +b11100 (" +b11100 +" +0. +1% +#1990500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#1991000 +0q +b10 -" +b10000000000101001110010001110111 | +b10000000000101001110010001110111 B" +1w +b11101 } +b11101 (" +b11101 +" +b11000111010101000111010111011000 0 +b11000111010101000111010111011000 M +b11000111010101000111010111011000 f +b11000111010101000111010111011000 !" +0. +1% +#1991500 +b0 7 +b0 N +b0 V +06 +b10101010010101110010111101011101 2 +b10101010010101110010111101011101 I +b10101010010101110010111101011101 Z +b100 3 +b100 J +b100 Y +11 +b0 & +b0 C +0( +b10101010010101110010111101011101 , +b10101010010101110010111101011101 H +b100 + +b100 G +1- +1. +0% +#1992000 +0] +1s +1>" +1@" +1q +05" +06" +07" +b11011 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0w +0," +b10000000000101001110010001110111 0 +b10000000000101001110010001110111 M +b10000000000101001110010001110111 f +b10000000000101001110010001110111 !" +b10101010010101110010111101011101 &" +b10101010010101110010111101011101 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1992010 +b10101010010101110010111101011101 G" +#1992500 +b1110 7 +b1110 N +b1110 V +16 +b1101010010101101111000100010110 2 +b1101010010101101111000100010110 I +b1101010010101101111000100010110 Z +b10011 3 +b10011 J +b10011 Y +b1110 & +b1110 C +1( +b1101010010101101111000100010110 , +b1101010010101101111000100010110 H +b10011 + +b10011 G +1. +0% +#1993000 +0_ +1] +0s +b11110101000110010101100101111101 | +b11110101000110010101100101111101 B" +0\ +0[ +0>" +0r +15" +16" +17" +b1100 3" +1<" +1` +0^ +b10001 -" +0t +0y +1z +1u +1b +1a +1x +1," +b1101010010101101111000100010110 &" +b1101010010101101111000100010110 ?" +b10011 %" +b10011 *" +b10011 1" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1993010 +b1101010010101101111000100010110 V" +#1993500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1994000 +1s +1\ +1[ +0@" +1r +05" +06" +07" +b11111 3" +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0," +b11110101000110010101100101111101 0 +b11110101000110010101100101111101 M +b11110101000110010101100101111101 f +b11110101000110010101100101111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#1994500 +b11011 5 +b11011 L +b11011 W +14 +b10011000010111001001101000110100 2 +b10011000010111001001101000110100 I +b10011000010111001001101000110100 Z +b1000 3 +b1000 J +b1000 Y +11 +b11011 ' +b11011 D +1) +b10011000010111001001101000110100 , +b10011000010111001001101000110100 H +b1000 + +b1000 G +1- +1. +0% +#1995000 +0] +0i +b1101011010010010111000001011011 { +b1101011010010010111000001011011 A" +1=" +1@" +0h +0g +19" +1;" +b10111 3" +1c +1_ +b100 0" +1o +0k +18" +1l +12" +1n +1m +1/" +b10011000010111001001101000110100 &" +b10011000010111001001101000110100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#1995010 +b10011000010111001001101000110100 K" +#1995500 +b10111 5 +b10111 L +b10111 W +b1011011011101000101011010111111 2 +b1011011011101000101011010111111 I +b1011011011101000101011010111111 Z +b1 3 +b1 J +b1 Y +b10111 ' +b10111 D +b1011011011101000101011010111111 , +b1011011011101000101011010111111 H +b1 + +b1 G +1. +0% +#1996000 +1:" +1] +1j +b111100011011001111100100001 { +b111100011011001111100100001 A" +0[ +0=" +09" +b11110 3" +0c +b1000 0" +0o +1a +b1101011010010010111000001011011 / +b1101011010010010111000001011011 K +b1101011010010010111000001011011 e +b1101011010010010111000001011011 "" +b1011011011101000101011010111111 &" +b1011011011101000101011010111111 ?" +b1 %" +b1 *" +b1 1" +b10111 ~ +b10111 )" +b10111 ." +0. +1% +#1996010 +b1011011011101000101011010111111 D" +#1996500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#1997000 +1i +1[ +0@" +1h +1g +0:" +0;" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111100011011001111100100001 / +b111100011011001111100100001 K +b111100011011001111100100001 e +b111100011011001111100100001 "" +0. +1% +#1997500 +1. +0% +#1998000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#1998500 +1. +0% +#1999000 +0. +1% +#1999500 +b10010 7 +b10010 N +b10010 V +16 +b10010 & +b10010 C +1( +1. +0% +#2000000 +b110110110100000101010101111010 | +b110110110100000101010101111010 B" +0r +17" +b1101 -" +0u +14" +1v +1x +1," +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#2000500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b10010111111101101111001010110101 2 +b10010111111101101111001010110101 I +b10010111111101101111001010110101 Z +b10110 3 +b10110 J +b10110 Y +11 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b10010111111101101111001010110101 , +b10010111111101101111001010110101 H +b10110 + +b10110 G +1- +1. +0% +#2001000 +0] +0i +b10110110011000010000111111111011 { +b10110110011000010000111111111011 A" +0\ +1>" +1@" +0g +1:" +1;" +1r +07" +b1001 3" +1^ +0_ +1<" +1` +b11010 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1m +1/" +0x +0," +b10010111111101101111001010110101 &" +b10010111111101101111001010110101 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110110110100000101010101111010 0 +b110110110100000101010101111010 M +b110110110100000101010101111010 f +b110110110100000101010101111010 !" +0. +1% +#2001010 +b10010111111101101111001010110101 Y" +#2001500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2002000 +1] +1i +1\ +0>" +0@" +1g +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0m +0/" +b10110110011000010000111111111011 / +b10110110011000010000111111111011 K +b10110110011000010000111111111011 e +b10110110011000010000111111111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2002500 +b1 7 +b1 N +b1 V +16 +b1001000001111111111001100100000 2 +b1001000001111111111001100100000 I +b1001000001111111111001100100000 Z +b100 3 +b100 J +b100 Y +11 +b1 & +b1 C +1( +b1001000001111111111001100100000 , +b1001000001111111111001100100000 H +b100 + +b100 G +1- +1. +0% +#2003000 +0] +b1011011011101000101011010111111 | +b1011011011101000101011010111111 B" +1>" +1@" +0q +17" +b11011 3" +1^ +1_ +b11110 -" +1u +12" +1w +1," +b1001000001111111111001100100000 &" +b1001000001111111111001100100000 ?" +b100 %" +b100 *" +b100 1" +1'" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2003010 +b1001000001111111111001100100000 G" +#2003500 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2004000 +1] +0s +0>" +0@" +1q +16" +b11111 3" +0^ +0_ +b11011 -" +1t +b1001000001111111111001100100000 | +b1001000001111111111001100100000 B" +02" +0w +b1011011011101000101011010111111 0 +b1011011011101000101011010111111 M +b1011011011101000101011010111111 f +b1011011011101000101011010111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +0. +1% +#2004500 +b1011 7 +b1011 N +b1011 V +b1011 & +b1011 C +1. +0% +#2005000 +15" +1y +0r +0q +06" +b10100 -" +0t +b11111011010100111100001101001010 | +b11111011010100111100001101001010 B" +1x +1w +b1011 } +b1011 (" +b1011 +" +b1001000001111111111001100100000 0 +b1001000001111111111001100100000 M +b1001000001111111111001100100000 f +b1001000001111111111001100100000 !" +0. +1% +#2005500 +b11011 7 +b11011 N +b11011 V +b11011 & +b11011 C +1. +0% +#2006000 +0u +b1101011010010010111000001011011 | +b1101011010010010111000001011011 B" +b100 -" +14" +1v +b11111011010100111100001101001010 0 +b11111011010100111100001101001010 M +b11111011010100111100001101001010 f +b11111011010100111100001101001010 !" +b11011 } +b11011 (" +b11011 +" +0. +1% +#2006500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2007000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1101011010010010111000001011011 0 +b1101011010010010111000001011011 M +b1101011010010010111000001011011 f +b1101011010010010111000001011011 !" +0. +1% +#2007500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#2008000 +0i +b10011000010111001001101000110100 { +b10011000010111001001101000110100 A" +19" +1;" +b10111 0" +1o +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#2008500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2009000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10011000010111001001101000110100 / +b10011000010111001001101000110100 K +b10011000010111001001101000110100 e +b10011000010111001001101000110100 "" +0. +1% +#2009500 +1. +0% +#2010000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2010500 +b11110 5 +b11110 L +b11110 W +14 +b1101 7 +b1101 N +b1101 V +16 +b10010011110001101101010001110111 2 +b10010011110001101101010001110111 I +b10010011110001101101010001110111 Z +b10001 3 +b10001 J +b10001 Y +11 +b11110 ' +b11110 D +1) +b1101 & +b1101 C +1( +b10010011110001101101010001110111 , +b10010011110001101101010001110111 H +b10001 + +b10001 G +1- +1. +0% +#2011000 +0i +b1011101101110100010100100111011 { +b1011101101110100010100100111011 A" +0s +b11000110101100111000001010100 | +b11000110101100111000001010100 B" +0[ +1@" +0h +19" +1:" +1;" +0q +15" +16" +17" +b1110 3" +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +b10010 -" +0t +0y +1z +1u +1a +12" +1n +1/" +1w +1," +b10010011110001101101010001110111 &" +b10010011110001101101010001110111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#2011010 +b10010011110001101101010001110111 T" +#2011500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2012000 +1i +1s +1[ +0@" +1h +09" +0:" +0;" +1q +05" +06" +07" +b11111 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0n +0/" +0w +0," +b1011101101110100010100100111011 / +b1011101101110100010100100111011 K +b1011101101110100010100100111011 e +b1011101101110100010100100111011 "" +b11000110101100111000001010100 0 +b11000110101100111000001010100 M +b11000110101100111000001010100 f +b11000110101100111000001010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2012500 +b11101 7 +b11101 N +b11101 V +16 +b11101 & +b11101 C +1( +1. +0% +#2013000 +0s +b10000000000101001110010001110111 | +b10000000000101001110010001110111 B" +0q +15" +16" +17" +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2013500 +b10101 7 +b10101 N +b10101 V +b10100100010110111100101111010011 2 +b10100100010110111100101111010011 I +b10100100010110111100101111010011 Z +b10011 3 +b10011 J +b10011 Y +11 +b10101 & +b10101 C +b10100100010110111100101111010011 , +b10100100010110111100101111010011 H +b10011 + +b10011 G +1- +1. +0% +#2014000 +1t +b100010011101000000010000011100 | +b100010011101000000010000011100 B" +0\ +0[ +1@" +05" +16" +b1100 3" +0_ +1<" +1` +b1010 -" +0z +1b +1a +12" +b10000000000101001110010001110111 0 +b10000000000101001110010001110111 M +b10000000000101001110010001110111 f +b10000000000101001110010001110111 !" +b10100100010110111100101111010011 &" +b10100100010110111100101111010011 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b10101 } +b10101 (" +b10101 +" +0. +1% +#2014010 +b10100100010110111100101111010011 V" +#2014500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2015000 +1s +1\ +1[ +0@" +1q +06" +07" +b11111 3" +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b100010011101000000010000011100 0 +b100010011101000000010000011100 M +b100010011101000000010000011100 f +b100010011101000000010000011100 !" +0. +1% +#2015500 +b11 5 +b11 L +b11 W +14 +b1101 7 +b1101 N +b1101 V +16 +b11 ' +b11 D +1) +b1101 & +b1101 C +1( +1. +0% +#2016000 +b1111110101100010011111001011001 { +b1111110101100010011111001011001 A" +0s +b11000110101100111000001010100 | +b11000110101100111000001010100 B" +0h +0g +1;" +0q +15" +16" +17" +b11100 0" +1k +b10010 -" +0t +0y +1z +1u +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11 ~ +b11 )" +b11 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#2016500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2017000 +1s +1h +1g +0;" +1q +05" +06" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1111110101100010011111001011001 / +b1111110101100010011111001011001 K +b1111110101100010011111001011001 e +b1111110101100010011111001011001 "" +b11000110101100111000001010100 0 +b11000110101100111000001010100 M +b11000110101100111000001010100 f +b11000110101100111000001010100 !" +0. +1% +#2017500 +b11010 5 +b11010 L +b11010 W +14 +b11101 7 +b11101 N +b11101 V +16 +b11010 ' +b11010 D +1) +b11101 & +b11101 C +1( +1. +0% +#2018000 +0i +b10010010001110101100111011011000 { +b10010010001110101100111011011000 A" +0s +b10000000000101001110010001110111 | +b10000000000101001110010001110111 B" +0h +19" +1;" +0q +15" +16" +17" +b101 0" +1o +0k +18" +1l +b10 -" +0t +0y +1z +0u +14" +1v +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010 ~ +b11010 )" +b11010 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#2018500 +b11100 5 +b11100 L +b11100 W +b111 7 +b111 N +b111 V +b11100 ' +b11100 D +b111 & +b111 C +1. +0% +#2019000 +0o +1u +1t +1h +1:" +0r +17" +05" +16" +b11 0" +1p +b11000111010101000111010111011000 { +b11000111010101000111010111011000 A" +b11000 -" +04" +0v +0z +b1100011000011110100000101001 | +b1100011000011110100000101001 B" +0n +1x +b11100 ~ +b11100 )" +b11100 ." +b111 } +b111 (" +b111 +" +b10010010001110101100111011011000 / +b10010010001110101100111011011000 K +b10010010001110101100111011011000 e +b10010010001110101100111011011000 "" +b10000000000101001110010001110111 0 +b10000000000101001110010001110111 M +b10000000000101001110010001110111 f +b10000000000101001110010001110111 !" +0. +1% +#2019500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b1110110100111011011100000000 2 +b1110110100111011011100000000 I +b1110110100111011011100000000 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b10110 & +b10110 C +b1110110100111011011100000000 , +b1110110100111011011100000000 H +b10 + +b10 G +1- +1. +0% +#2020000 +1i +0u +0\ +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +b11101 3" +1_ +b11111 0" +0p +08" +0l +b1001 -" +14" +1v +b10010111111101101111001010110101 | +b10010111111101101111001010110101 B" +1b +12" +0/" +0w +b11000111010101000111010111011000 / +b11000111010101000111010111011000 K +b11000111010101000111010111011000 e +b11000111010101000111010111011000 "" +b1100011000011110100000101001 0 +b1100011000011110100000101001 M +b1100011000011110100000101001 f +b1100011000011110100000101001 !" +b1110110100111011011100000000 &" +b1110110100111011011100000000 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#2020010 +b1110110100111011011100000000 E" +#2020500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1000011100100001011011011110111 2 +b1000011100100001011011011110111 I +b1000011100100001011011011110111 Z +b1101 3 +b1101 J +b1101 Y +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b1000011100100001011011011110111 , +b1000011100100001011011011110111 H +b1101 + +b1101 G +1. +0% +#2021000 +0] +0i +b10111010101110010000001010001011 { +b10111010101110010000001010001011 A" +1s +1\ +0[ +1=" +1>" +0g +19" +1;" +1r +06" +07" +b10010 3" +0^ +0c +1d +b10110 0" +1o +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1a +1m +1/" +0x +0," +b1000011100100001011011011110111 &" +b1000011100100001011011011110111 ?" +b1101 %" +b1101 *" +b1101 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010111111101101111001010110101 0 +b10010111111101101111001010110101 M +b10010111111101101111001010110101 f +b10010111111101101111001010110101 !" +0. +1% +#2021010 +b1000011100100001011011011110111 P" +#2021500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2022000 +1] +1i +0s +b10111010101110010000001010001011 | +b10111010101110010000001010001011 B" +1[ +0=" +0>" +0@" +1g +09" +0;" +0q +15" +17" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +1y +1u +0a +02" +0m +0/" +1w +1," +b10111010101110010000001010001011 / +b10111010101110010000001010001011 K +b10111010101110010000001010001011 e +b10111010101110010000001010001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#2022500 +b11100 7 +b11100 N +b11100 V +b11111011000011101011010111001110 2 +b11111011000011101011010111001110 I +b11111011000011101011010111001110 Z +b1011 3 +b1011 J +b1011 Y +11 +b11100 & +b11100 C +b11111011000011101011010111001110 , +b11111011000011101011010111001110 H +b1011 + +b1011 G +1- +1. +0% +#2023000 +0] +0u +0y +0\ +0[ +1=" +1@" +1q +16" +b10100 3" +1c +1_ +b11 -" +14" +1v +1z +b11000111010101000111010111011000 | +b11000111010101000111010111011000 B" +1b +1a +12" +0w +b11111011000011101011010111001110 &" +b11111011000011101011010111001110 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b11100 } +b11100 (" +b11100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111010101110010000001010001011 0 +b10111010101110010000001010001011 M +b10111010101110010000001010001011 f +b10111010101110010000001010001011 !" +0. +1% +#2023010 +b11111011000011101011010111001110 N" +#2023500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2024000 +1] +0i +b10010010001110101100111011011000 { +b10010010001110101100111011011000 A" +1s +1\ +1[ +0=" +0@" +0h +19" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0_ +b101 0" +1o +0k +18" +1l +b11111 -" +0z +04" +0v +0b +0a +02" +1n +1/" +0," +b11000111010101000111010111011000 0 +b11000111010101000111010111011000 M +b11000111010101000111010111011000 f +b11000111010101000111010111011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2024500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2025000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10010010001110101100111011011000 / +b10010010001110101100111011011000 K +b10010010001110101100111011011000 e +b10010010001110101100111011011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2025500 +b10100 5 +b10100 L +b10100 W +14 +b10101100000111101110010001100000 2 +b10101100000111101110010001100000 I +b10101100000111101110010001100000 Z +b1001 3 +b1001 J +b1001 Y +11 +b10100 ' +b10100 D +1) +b10101100000111101110010001100000 , +b10101100000111101110010001100000 H +b1001 + +b1001 G +1- +1. +0% +#2026000 +0] +0i +b11010011101111000100010100100110 { +b11010011101111000100010100100110 A" +0[ +1=" +1@" +1:" +1;" +b10110 3" +1c +1_ +b1011 0" +1j +0k +18" +1l +1a +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101100000111101110010001100000 &" +b10101100000111101110010001100000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#2026010 +b10101100000111101110010001100000 L" +#2026500 +b1111 5 +b1111 L +b1111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2027000 +1] +1k +0j +1[ +0=" +0@" +0h +0g +1;" +19" +b11111 3" +0c +0_ +b10000 0" +08" +0l +1p +b10111011000110101110010010001011 { +b10111011000110101110010010001011 A" +0a +02" +1n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +b11010011101111000100010100100110 / +b11010011101111000100010100100110 K +b11010011101111000100010100100110 e +b11010011101111000100010100100110 "" +0. +1% +#2027500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2028000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b10111011000110101110010010001011 / +b10111011000110101110010010001011 K +b10111011000110101110010010001011 e +b10111011000110101110010010001011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2028500 +1. +0% +#2029000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2029500 +b11000 5 +b11000 L +b11000 W +14 +b1110111110010000011101111101001 2 +b1110111110010000011101111101001 I +b1110111110010000011101111101001 Z +b11011 3 +b11011 J +b11011 Y +11 +b11000 ' +b11000 D +1) +b1110111110010000011101111101001 , +b1110111110010000011101111101001 H +b11011 + +b11011 G +1- +1. +0% +#2030000 +0] +0i +b11001010011010000101001110111101 { +b11001010011010000101001110111101 A" +0\ +0[ +1=" +1@" +19" +1;" +b100 3" +1c +0_ +1<" +1` +b111 0" +1o +0k +18" +1l +1b +1a +12" +1/" +b1110111110010000011101111101001 &" +b1110111110010000011101111101001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#2030010 +b1110111110010000011101111101001 ^" +#2030500 +b1100 5 +b1100 L +b1100 W +b11011 7 +b11011 N +b11011 V +16 +b10100011110100101011000000101010 2 +b10100011110100101011000000101010 I +b10100011110100101011000000101010 Z +b100 3 +b100 J +b100 Y +b1100 ' +b1100 D +b11011 & +b11011 C +1( +b10100011110100101011000000101010 , +b10100011110100101011000000101010 H +b100 + +b100 G +1. +0% +#2031000 +1>" +1_ +1^ +1k +0o +0s +b1110111110010000011101111101001 | +b1110111110010000011101111101001 B" +1\ +1[ +1@" +0=" +1;" +b1000100100100101001010111101110 { +b1000100100100101001010111101110 A" +1:" +0r +0q +15" +17" +b11011 3" +0<" +0` +0c +b10011 0" +08" +0l +1p +b100 -" +1y +0u +14" +1v +0b +0a +1x +1w +1," +b10100011110100101011000000101010 &" +b10100011110100101011000000101010 ?" +b100 %" +b100 *" +b100 1" +b1100 ~ +b1100 )" +b1100 ." +b11011 } +b11011 (" +b11011 +" +1#" +b11001010011010000101001110111101 / +b11001010011010000101001110111101 K +b11001010011010000101001110111101 e +b11001010011010000101001110111101 "" +0. +1% +#2031010 +b10100011110100101011000000101010 G" +#2031500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1100000111000111101000000010010 2 +b1100000111000111101000000010010 I +b1100000111000111101000000010010 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1100000111000111101000000010010 , +b1100000111000111101000000010010 H +b0 + +b0 G +1. +0% +#2032000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0>" +09" +0:" +0;" +1r +1q +05" +07" +b11111 3" +0^ +b11111 0" +0p +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0w +0," +b1000100100100101001010111101110 / +b1000100100100101001010111101110 K +b1000100100100101001010111101110 e +b1000100100100101001010111101110 "" +b1110111110010000011101111101001 0 +b1110111110010000011101111101001 M +b1110111110010000011101111101001 f +b1110111110010000011101111101001 !" +b1100000111000111101000000010010 &" +b1100000111000111101000000010010 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2032010 +b1100000111000111101000000010010 C" +#2032500 +b10111 5 +b10111 L +b10111 W +14 +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +01 +b10111 ' +b10111 D +1) +b10110 & +b10110 C +1( +b0 , +b0 H +0- +1. +0% +#2033000 +0i +b111100011011001111100100001 { +b111100011011001111100100001 A" +0s +b10010111111101101111001010110101 | +b10010111111101101111001010110101 B" +0@" +0h +0g +1:" +1;" +0r +16" +17" +0_ +b1000 0" +1j +0k +18" +1l +b1001 -" +1t +0u +14" +1v +02" +1n +1m +1/" +1x +1," +b0 &" +b0 ?" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2033500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11101100011100101101110001011100 2 +b11101100011100101101110001011100 I +b11101100011100101101110001011100 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11101100011100101101110001011100 , +b11101100011100101101110001011100 H +b11111 + +b11111 G +1- +1. +0% +#2034000 +0] +1i +1s +0\ +0[ +1=" +1>" +1@" +1h +1g +0:" +0;" +1r +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0n +0m +0/" +0x +0," +b111100011011001111100100001 / +b111100011011001111100100001 K +b111100011011001111100100001 e +b111100011011001111100100001 "" +b10010111111101101111001010110101 0 +b10010111111101101111001010110101 M +b10010111111101101111001010110101 f +b10010111111101101111001010110101 !" +b11101100011100101101110001011100 &" +b11101100011100101101110001011100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2034500 +b11001100000101000011011101001101 2 +b11001100000101000011011101001101 I +b11001100000101000011011101001101 Z +b10111 3 +b10111 J +b10111 Y +b11001100000101000011011101001101 , +b11001100000101000011011101001101 H +b10111 + +b10111 G +1. +0% +#2035000 +1^ +0=" +1>" +b1000 3" +0d +b11001100000101000011011101001101 &" +b11001100000101000011011101001101 ?" +b10111 %" +b10111 *" +b10111 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2035010 +b11001100000101000011011101001101 Z" +#2035500 +b11100010100100101000100111000111 2 +b11100010100100101000100111000111 I +b11100010100100101000100111000111 Z +b11101 3 +b11101 J +b11101 Y +b11100010100100101000100111000111 , +b11100010100100101000100111000111 H +b11101 + +b11101 G +1. +0% +#2036000 +0^ +1\ +1=" +b10 3" +1d +0b +b11100010100100101000100111000111 &" +b11100010100100101000100111000111 ?" +b11101 %" +b11101 *" +b11101 1" +0. +1% +#2036010 +b11100010100100101000100111000111 `" +#2036500 +b10011 5 +b10011 L +b10011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2037000 +1] +b10100100010110111100101111010011 { +b10100100010110111100101111010011 A" +1[ +0=" +0>" +0@" +0h +0g +1;" +b11111 3" +0d +0<" +0` +b1100 0" +0k +18" +1l +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#2037500 +b10010 5 +b10010 L +b10010 W +b10010 ' +b10010 D +1. +0% +#2038000 +1g +b1101 0" +b110110110100000101010101111010 { +b110110110100000101010101111010 A" +0m +b10100100010110111100101111010011 / +b10100100010110111100101111010011 K +b10100100010110111100101111010011 e +b10100100010110111100101111010011 "" +b10010 ~ +b10010 )" +b10010 ." +0. +1% +#2038500 +b11100 5 +b11100 L +b11100 W +b11100 ' +b11100 D +1. +0% +#2039000 +0i +1h +19" +1:" +b11 0" +0j +0o +1p +b11000111010101000111010111011000 { +b11000111010101000111010111011000 A" +0n +b11100 ~ +b11100 )" +b11100 ." +b110110110100000101010101111010 / +b110110110100000101010101111010 K +b110110110100000101010101111010 e +b110110110100000101010101111010 "" +0. +1% +#2039500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b1111101000000010010110100011101 2 +b1111101000000010010110100011101 I +b1111101000000010010110100011101 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +b1111101000000010010110100011101 , +b1111101000000010010110100011101 H +b11111 + +b11111 G +1- +1. +0% +#2040000 +0] +1i +0s +b11001100000101000011011101001101 | +b11001100000101000011011101001101 B" +0\ +0[ +1=" +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +16" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +08" +0l +b1000 -" +1t +0u +14" +1v +1b +1a +12" +0/" +1x +1w +1," +b11000111010101000111010111011000 / +b11000111010101000111010111011000 K +b11000111010101000111010111011000 e +b11000111010101000111010111011000 "" +b1111101000000010010110100011101 &" +b1111101000000010010110100011101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#2040500 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2041000 +1] +1\ +1[ +0=" +0>" +0@" +1q +b11111 3" +0d +0<" +0` +b1001 -" +b10010111111101101111001010110101 | +b10010111111101101111001010110101 B" +0b +0a +02" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 } +b10110 (" +b10110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001100000101000011011101001101 0 +b11001100000101000011011101001101 M +b11001100000101000011011101001101 f +b11001100000101000011011101001101 !" +0. +1% +#2041500 +b0 7 +b0 N +b0 V +06 +b10010101000111011010001000100101 2 +b10010101000111011010001000100101 I +b10010101000111011010001000100101 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 & +b0 C +0( +b10010101000111011010001000100101 , +b10010101000111011010001000100101 H +b1110 + +b1110 G +1- +1. +0% +#2042000 +0] +1s +0\ +1=" +1>" +1@" +1r +06" +07" +b10001 3" +0^ +0c +1d +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0x +0," +b10010111111101101111001010110101 0 +b10010111111101101111001010110101 M +b10010111111101101111001010110101 f +b10010111111101101111001010110101 !" +b10010101000111011010001000100101 &" +b10010101000111011010001000100101 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2042010 +b10010101000111011010001000100101 Q" +#2042500 +b1110 5 +b1110 L +b1110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2043000 +1] +0i +b10010101000111011010001000100101 { +b10010101000111011010001000100101 A" +1\ +0=" +0>" +0@" +0h +19" +1:" +1;" +b11111 3" +0d +0_ +b10001 0" +0j +0o +1p +1k +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2043500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b10111011001000110000000000100111 2 +b10111011001000110000000000100111 I +b10111011001000110000000000100111 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b10111011001000110000000000100111 , +b10111011001000110000000000100111 H +b1010 + +b1010 G +1- +1. +0% +#2044000 +0] +1i +b1000110001110100101100010001000 | +b1000110001110100101100010001000 B" +0\ +1=" +1@" +1h +09" +0:" +0;" +17" +b10101 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +0u +14" +1v +1b +12" +0n +0/" +1," +b10010101000111011010001000100101 / +b10010101000111011010001000100101 K +b10010101000111011010001000100101 e +b10010101000111011010001000100101 "" +b10111011001000110000000000100111 &" +b10111011001000110000000000100111 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#2044010 +b10111011001000110000000000100111 M" +#2044500 +b10010 5 +b10010 L +b10010 W +14 +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2045000 +1] +b110110110100000101010101111010 { +b110110110100000101010101111010 A" +1u +0s +1\ +0=" +0@" +0h +1;" +0q +17" +16" +b11111 3" +0c +0_ +b1101 0" +0k +18" +1l +b11010 -" +04" +0v +1t +b10110110011000010000111111111011 | +b10110110011000010000111111111011 B" +0b +02" +1n +1/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b101 } +b101 (" +b101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000110001110100101100010001000 0 +b1000110001110100101100010001000 M +b1000110001110100101100010001000 f +b1000110001110100101100010001000 !" +0. +1% +#2045500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b0 ' +b0 D +0) +b100 & +b100 C +1. +0% +#2046000 +1h +0;" +1q +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +b10100011110100101011000000101010 | +b10100011110100101011000000101010 B" +0n +0/" +0w +b110110110100000101010101111010 / +b110110110100000101010101111010 K +b110110110100000101010101111010 e +b110110110100000101010101111010 "" +b10110110011000010000111111111011 0 +b10110110011000010000111111111011 M +b10110110011000010000111111111011 f +b10110110011000010000111111111011 !" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +0. +1% +#2046500 +b0 7 +b0 N +b0 V +06 +b11101100001011000010011011111110 2 +b11101100001011000010011011111110 I +b11101100001011000010011011111110 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 & +b0 C +0( +b11101100001011000010011011111110 , +b11101100001011000010011011111110 H +b10001 + +b10001 G +1- +1. +0% +#2047000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1@" +06" +07" +b1110 3" +0_ +1<" +1` +b11111 -" +0t +0u +1a +12" +0," +b11101100001011000010011011111110 &" +b11101100001011000010011011111110 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100011110100101011000000101010 0 +b10100011110100101011000000101010 M +b10100011110100101011000000101010 f +b10100011110100101011000000101010 !" +0. +1% +#2047010 +b11101100001011000010011011111110 T" +#2047500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2048000 +1[ +0@" +b11111 3" +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2048500 +1. +0% +#2049000 +0. +1% +#2049500 +1. +0% +#2050000 +0. +1% +#2050500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#2051000 +0i +b1100011000011110100000101001 { +b1100011000011110100000101001 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#2051500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +1. +0% +#2052000 +1i +0s +b100010011101000000010000011100 | +b100010011101000000010000011100 B" +1h +1g +0:" +0;" +0q +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1010 -" +1t +0u +14" +1v +0n +0m +0/" +1w +1," +b1100011000011110100000101001 / +b1100011000011110100000101001 K +b1100011000011110100000101001 e +b1100011000011110100000101001 "" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#2052500 +b0 7 +b0 N +b0 V +06 +b11001000000010110101101101001 2 +b11001000000010110101101101001 I +b11001000000010110101101101001 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 & +b0 C +0( +b11001000000010110101101101001 , +b11001000000010110101101101001 H +b11100 + +b11100 G +1- +1. +0% +#2053000 +0] +1s +1=" +1>" +1@" +1q +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0w +0," +b11001000000010110101101101001 &" +b11001000000010110101101101001 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010011101000000010000011100 0 +b100010011101000000010000011100 M +b100010011101000000010000011100 f +b100010011101000000010000011100 !" +0. +1% +#2053010 +b11001000000010110101101101001 _" +#2053500 +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 & +b10110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2054000 +1] +0s +b10010111111101101111001010110101 | +b10010111111101101111001010110101 B" +0=" +0>" +0@" +0r +16" +17" +b11111 3" +0d +0<" +0` +b1001 -" +1t +0u +14" +1v +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#2054500 +1. +0% +#2055000 +b10010111111101101111001010110101 0 +b10010111111101101111001010110101 M +b10010111111101101111001010110101 f +b10010111111101101111001010110101 !" +0. +1% +#2055500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2056000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2056500 +b10 5 +b10 L +b10 W +14 +b10 ' +b10 D +1) +1. +0% +#2057000 +b1110110100111011011100000000 { +b1110110100111011011100000000 A" +0h +1;" +b11101 0" +1k +1n +1/" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2057500 +b11101 5 +b11101 L +b11101 W +b111001000111100000001110001 2 +b111001000111100000001110001 I +b111001000111100000001110001 Z +b10101 3 +b10101 J +b10101 Y +11 +b11101 ' +b11101 D +b111001000111100000001110001 , +b111001000111100000001110001 H +b10101 + +b10101 G +1- +1. +0% +#2058000 +0] +0k +0i +0[ +1>" +1@" +1h +0g +19" +1:" +b1010 3" +1^ +0_ +1<" +1` +b10 0" +18" +1l +0j +0o +1p +b11100010100100101000100111000111 { +b11100010100100101000100111000111 A" +1a +12" +0n +1m +b1110110100111011011100000000 / +b1110110100111011011100000000 K +b1110110100111011011100000000 e +b1110110100111011011100000000 "" +b111001000111100000001110001 &" +b111001000111100000001110001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +0. +1% +#2058010 +b111001000111100000001110001 X" +#2058500 +b10100 5 +b10100 L +b10100 W +b1100 7 +b1100 N +b1100 V +16 +b1110111001010001100011010111110 2 +b1110111001010001100011010111110 I +b1110111001010001100011010111110 Z +b1011 3 +b1011 J +b1011 Y +b10100 ' +b10100 D +b1100 & +b1100 C +1( +b1110111001010001100011010111110 , +b1110111001010001100011010111110 H +b1011 + +b1011 G +1. +0% +#2059000 +1=" +1_ +1c +1j +0s +b1000100100100101001010111101110 | +b1000100100100101001010111101110 B" +0\ +1@" +0>" +1g +09" +1:" +15" +16" +17" +b10100 3" +0<" +0` +0^ +b1011 0" +0p +b11010011101111000100010100100110 { +b11010011101111000100010100100110 A" +b10011 -" +0t +0y +1z +1u +1b +0m +1," +b1110111001010001100011010111110 &" +b1110111001010001100011010111110 ?" +b1011 %" +b1011 *" +b1011 1" +b10100 ~ +b10100 )" +b10100 ." +b1100 } +b1100 (" +b1100 +" +1#" +b11100010100100101000100111000111 / +b11100010100100101000100111000111 K +b11100010100100101000100111000111 e +b11100010100100101000100111000111 "" +0. +1% +#2059010 +b1110111001010001100011010111110 N" +#2059500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2060000 +1] +1i +1\ +1[ +0=" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +b11111 3" +0c +0_ +b11111 0" +0j +08" +0l +b10010 -" +b1000011100100001011011011110111 | +b1000011100100001011011011110111 B" +0b +0a +02" +0/" +1w +b11010011101111000100010100100110 / +b11010011101111000100010100100110 K +b11010011101111000100010100100110 e +b11010011101111000100010100100110 "" +b1000100100100101001010111101110 0 +b1000100100100101001010111101110 M +b1000100100100101001010111101110 f +b1000100100100101001010111101110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#2060500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b11001101111001110100111010101110 2 +b11001101111001110100111010101110 I +b11001101111001110100111010101110 Z +b11111 3 +b11111 J +b11111 Y +11 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b11001101111001110100111010101110 , +b11001101111001110100111010101110 H +b11111 + +b11111 G +1- +1. +0% +#2061000 +0] +b110110110100000101010101111010 { +b110110110100000101010101111010 A" +1s +0\ +0[ +1=" +1>" +1@" +0h +1;" +1q +05" +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1101 0" +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1/" +0w +0," +b11001101111001110100111010101110 &" +b11001101111001110100111010101110 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000011100100001011011011110111 0 +b1000011100100001011011011110111 M +b1000011100100001011011011110111 f +b1000011100100001011011011110111 !" +0. +1% +#2061500 +b1101 5 +b1101 L +b1101 W +b10111000110101010011100110000000 2 +b10111000110101010011100110000000 I +b10111000110101010011100110000000 Z +b10111 3 +b10111 J +b10111 Y +b1101 ' +b1101 D +b10111000110101010011100110000000 , +b10111000110101010011100110000000 H +b10111 + +b10111 G +1. +0% +#2062000 +1^ +1k +0i +0=" +1>" +1h +0g +1;" +19" +1:" +b1000 3" +0d +b10010 0" +08" +0l +0j +0o +1p +b1000011100100001011011011110111 { +b1000011100100001011011011110111 A" +0n +1m +b110110110100000101010101111010 / +b110110110100000101010101111010 K +b110110110100000101010101111010 e +b110110110100000101010101111010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111000110101010011100110000000 &" +b10111000110101010011100110000000 ?" +b10111 %" +b10111 *" +b10111 1" +b1101 ~ +b1101 )" +b1101 ." +0. +1% +#2062010 +b10111000110101010011100110000000 Z" +#2062500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2063000 +1] +1i +0s +b10111011000110101110010010001011 | +b10111011000110101110010010001011 B" +1\ +1[ +0>" +0@" +1g +09" +0:" +0;" +0r +0q +15" +16" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +0t +0y +1z +1u +0b +0a +02" +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +b1000011100100001011011011110111 / +b1000011100100001011011011110111 K +b1000011100100001011011011110111 e +b1000011100100001011011011110111 "" +0. +1% +#2063500 +b1110 7 +b1110 N +b1110 V +b1110 & +b1110 C +1. +0% +#2064000 +1q +b10001 -" +b10010101000111011010001000100101 | +b10010101000111011010001000100101 B" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111011000110101110010010001011 0 +b10111011000110101110010010001011 M +b10111011000110101110010010001011 f +b10111011000110101110010010001011 !" +b1110 } +b1110 (" +b1110 +" +0. +1% +#2064500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b11011001001110011010100011111100 2 +b11011001001110011010100011111100 I +b11011001001110011010100011111100 Z +b1010 3 +b1010 J +b1010 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b11011001001110011010100011111100 , +b11011001001110011010100011111100 H +b1010 + +b1010 G +1- +1. +0% +#2065000 +0] +0i +b10101100000111101110010001100000 { +b10101100000111101110010001100000 A" +1s +0\ +1=" +1@" +0g +19" +1;" +1r +05" +06" +07" +b10101 3" +1c +1_ +b10110 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +1/" +0x +0," +b11011001001110011010100011111100 &" +b11011001001110011010100011111100 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10010101000111011010001000100101 0 +b10010101000111011010001000100101 M +b10010101000111011010001000100101 f +b10010101000111011010001000100101 !" +0. +1% +#2065010 +b11011001001110011010100011111100 M" +#2065500 +b11101 5 +b11101 L +b11101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2066000 +1] +0k +0o +1\ +0=" +0@" +b11100010100100101000100111000111 { +b11100010100100101000100111000111 A" +1:" +b11111 3" +0c +0_ +b10 0" +18" +1l +1p +0b +02" +b10101100000111101110010001100000 / +b10101100000111101110010001100000 K +b10101100000111101110010001100000 e +b10101100000111101110010001100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +0. +1% +#2066500 +b1011 5 +b1011 L +b1011 W +b10000010100011100111101011000010 2 +b10000010100011100111101011000010 I +b10000010100011100111101011000010 Z +b1010 3 +b1010 J +b1010 Y +11 +b1011 ' +b1011 D +b10000010100011100111101011000010 , +b10000010100011100111101011000010 H +b1010 + +b1010 G +1- +1. +0% +#2067000 +0] +1k +1o +0\ +1=" +1@" +0h +1;" +19" +0:" +b10101 3" +1c +1_ +b10100 0" +08" +0l +0p +b1110111001010001100011010111110 { +b1110111001010001100011010111110 A" +1b +12" +1n +b10000010100011100111101011000010 &" +b10000010100011100111101011000010 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +b11100010100100101000100111000111 / +b11100010100100101000100111000111 K +b11100010100100101000100111000111 e +b11100010100100101000100111000111 "" +0. +1% +#2067010 +b10000010100011100111101011000010 M" +#2067500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2068000 +1] +1i +0s +b10010101000111011010001000100101 | +b10010101000111011010001000100101 B" +1\ +0=" +0@" +1h +1g +09" +0;" +0r +15" +16" +17" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +0t +0y +1z +1u +0b +02" +0n +0m +0/" +1x +1," +b1110111001010001100011010111110 / +b1110111001010001100011010111110 K +b1110111001010001100011010111110 e +b1110111001010001100011010111110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#2068500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2069000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010101000111011010001000100101 0 +b10010101000111011010001000100101 M +b10010101000111011010001000100101 f +b10010101000111011010001000100101 !" +0. +1% +#2069500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#2070000 +b10100100010110111100101111010011 | +b10100100010110111100101111010011 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#2070500 +b1 7 +b1 N +b1 V +b1 & +b1 C +1. +0% +#2071000 +1u +1r +17" +b11110 -" +04" +0v +b1011011011101000101011010111111 | +b1011011011101000101011010111111 B" +0x +b1 } +b1 (" +b1 +" +b10100100010110111100101111010011 0 +b10100100010110111100101111010011 M +b10100100010110111100101111010011 f +b10100100010110111100101111010011 !" +0. +1% +#2071500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2072000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b1011011011101000101011010111111 0 +b1011011011101000101011010111111 M +b1011011011101000101011010111111 f +b1011011011101000101011010111111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2072500 +1. +0% +#2073000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2073500 +b1111 7 +b1111 N +b1111 V +16 +b101110011101010111000111100111 2 +b101110011101010111000111100111 I +b101110011101010111000111100111 Z +b11101 3 +b11101 J +b11101 Y +11 +b1111 & +b1111 C +1( +b101110011101010111000111100111 , +b101110011101010111000111100111 H +b11101 + +b11101 G +1- +1. +0% +#2074000 +0] +0s +b10111011000110101110010010001011 | +b10111011000110101110010010001011 B" +0[ +1=" +1>" +1@" +0r +0q +15" +16" +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b10000 -" +0t +0y +1z +1u +1a +12" +1x +1w +1," +b101110011101010111000111100111 &" +b101110011101010111000111100111 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#2074010 +b101110011101010111000111100111 `" +#2074500 +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2075000 +1] +1y +b1110111001010001100011010111110 | +b1110111001010001100011010111110 B" +1[ +0=" +0>" +0@" +15" +06" +b11111 3" +0d +0<" +0` +b10100 -" +0z +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 } +b1011 (" +b1011 +" +b10111011000110101110010010001011 0 +b10111011000110101110010010001011 M +b10111011000110101110010010001011 f +b10111011000110101110010010001011 !" +0. +1% +#2075500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2076000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b1110111001010001100011010111110 0 +b1110111001010001100011010111110 M +b1110111001010001100011010111110 f +b1110111001010001100011010111110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2076500 +b1110111001001011001011110100000 2 +b1110111001001011001011110100000 I +b1110111001001011001011110100000 Z +b10101 3 +b10101 J +b10101 Y +11 +b1110111001001011001011110100000 , +b1110111001001011001011110100000 H +b10101 + +b10101 G +1- +1. +0% +#2077000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b1110111001001011001011110100000 &" +b1110111001001011001011110100000 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2077010 +b1110111001001011001011110100000 X" +#2077500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2078000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2078500 +b10101 5 +b10101 L +b10101 W +14 +b1110 7 +b1110 N +b1110 V +16 +b10101 ' +b10101 D +1) +b1110 & +b1110 C +1( +1. +0% +#2079000 +0i +b1110111001001011001011110100000 { +b1110111001001011001011110100000 A" +0s +b10010101000111011010001000100101 | +b10010101000111011010001000100101 B" +0g +1:" +1;" +0r +15" +16" +17" +b1010 0" +1j +0k +18" +1l +b10001 -" +0t +0y +1z +1u +1m +1/" +1x +1," +b10101 ~ +b10101 )" +b10101 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#2079500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1101111011001000100100000110110 2 +b1101111011001000100100000110110 I +b1101111011001000100100000110110 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1101111011001000100100000110110 , +b1101111011001000100100000110110 H +b11000 + +b11000 G +1- +1. +0% +#2080000 +0] +1i +1s +1=" +1@" +1g +0:" +0;" +1r +05" +06" +07" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0m +0/" +0x +0," +b1110111001001011001011110100000 / +b1110111001001011001011110100000 K +b1110111001001011001011110100000 e +b1110111001001011001011110100000 "" +b10010101000111011010001000100101 0 +b10010101000111011010001000100101 M +b10010101000111011010001000100101 f +b10010101000111011010001000100101 !" +b1101111011001000100100000110110 &" +b1101111011001000100100000110110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2080010 +b1101111011001000100100000110110 [" +#2080500 +b1011101111000011001011110010001 2 +b1011101111000011001011110010001 I +b1011101111000011001011110010001 Z +b1011 3 +b1011 J +b1011 Y +b1011101111000011001011110010001 , +b1011101111000011001011110010001 H +b1011 + +b1011 G +1. +0% +#2081000 +1_ +0\ +0[ +1@" +b10100 3" +0<" +0` +1b +1a +b1011101111000011001011110010001 &" +b1011101111000011001011110010001 ?" +b1011 %" +b1011 *" +b1011 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2081010 +b1011101111000011001011110010001 N" +#2081500 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2082000 +1] +b1011011011101000101011010111111 | +b1011011011101000101011010111111 B" +1\ +1[ +0=" +0@" +0q +17" +b11111 3" +0c +0_ +b11110 -" +1u +0b +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#2082500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2083000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1011011011101000101011010111111 0 +b1011011011101000101011010111111 M +b1011011011101000101011010111111 f +b1011011011101000101011010111111 !" +0. +1% +#2083500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#2084000 +b1011011011101000101011010111111 | +b1011011011101000101011010111111 B" +0q +17" +b11110 -" +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#2084500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2085000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1011011011101000101011010111111 0 +b1011011011101000101011010111111 M +b1011011011101000101011010111111 f +b1011011011101000101011010111111 !" +0. +1% +#2085500 +1. +0% +#2086000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2086500 +b10101 5 +b10101 L +b10101 W +14 +b100 7 +b100 N +b100 V +16 +b10101010000110100010010111000000 2 +b10101010000110100010010111000000 I +b10101010000110100010010111000000 Z +b10011 3 +b10011 J +b10011 Y +11 +b10101 ' +b10101 D +1) +b100 & +b100 C +1( +b10101010000110100010010111000000 , +b10101010000110100010010111000000 H +b10011 + +b10011 G +1- +1. +0% +#2087000 +0i +b1110111001001011001011110100000 { +b1110111001001011001011110100000 A" +0s +b10100011110100101011000000101010 | +b10100011110100101011000000101010 B" +0\ +0[ +1@" +0g +1:" +1;" +16" +17" +b1100 3" +0_ +1<" +1` +b1010 0" +1j +0k +18" +1l +b11011 -" +1t +1u +1b +1a +12" +1m +1/" +1," +b10101010000110100010010111000000 &" +b10101010000110100010010111000000 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#2087010 +b10101010000110100010010111000000 V" +#2087500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2088000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0@" +1g +0:" +0;" +06" +07" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +0b +0a +02" +0m +0/" +0," +b1110111001001011001011110100000 / +b1110111001001011001011110100000 K +b1110111001001011001011110100000 e +b1110111001001011001011110100000 "" +b10100011110100101011000000101010 0 +b10100011110100101011000000101010 M +b10100011110100101011000000101010 f +b10100011110100101011000000101010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2088500 +b10000011110100101000011010100000 2 +b10000011110100101000011010100000 I +b10000011110100101000011010100000 Z +b11111 3 +b11111 J +b11111 Y +11 +b10000011110100101000011010100000 , +b10000011110100101000011010100000 H +b11111 + +b11111 G +1- +1. +0% +#2089000 +0] +0\ +0[ +1=" +1>" +1@" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1b +1a +12" +b10000011110100101000011010100000 &" +b10000011110100101000011010100000 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2089500 +b10111 5 +b10111 L +b10111 W +14 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2090000 +1] +0i +b10111000110101010011100110000000 { +b10111000110101010011100110000000 A" +0s +b10011000010111001001101000110100 | +b10011000010111001001101000110100 B" +1\ +1[ +0=" +0>" +0@" +0h +0g +1:" +1;" +15" +17" +b11111 3" +0d +0<" +0` +b1000 0" +1j +0k +18" +1l +b10111 -" +1y +1u +0b +0a +02" +1n +1m +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#2090500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b1101101101000100101011011001 2 +b1101101101000100101011011001 I +b1101101101000100101011011001 Z +b1010 3 +b1010 J +b1010 Y +11 +b100 ' +b100 D +b0 & +b0 C +0( +b1101101101000100101011011001 , +b1101101101000100101011011001 H +b1010 + +b1010 G +1- +1. +0% +#2091000 +0] +1k +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1=" +1@" +1h +1g +1;" +05" +07" +b10101 3" +1c +1_ +b11011 0" +08" +0l +b10100011110100101011000000101010 { +b10100011110100101011000000101010 A" +b11111 -" +0y +0u +1b +12" +0n +0m +0," +b1101101101000100101011011001 &" +b1101101101000100101011011001 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +b10111000110101010011100110000000 / +b10111000110101010011100110000000 K +b10111000110101010011100110000000 e +b10111000110101010011100110000000 "" +b10011000010111001001101000110100 0 +b10011000010111001001101000110100 M +b10011000010111001001101000110100 f +b10011000010111001001101000110100 !" +0. +1% +#2091010 +b1101101101000100101011011001 M" +#2091500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b100101101001011011111100110101 2 +b100101101001011011111100110101 I +b100101101001011011111100110101 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b100101101001011011111100110101 , +b100101101001011011111100110101 H +b10 + +b10 G +1. +0% +#2092000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11101100001011000010011011111110 | +b11101100001011000010011011111110 B" +0=" +0:" +0;" +0q +17" +b11101 3" +0c +b11111 0" +0j +0k +b1110 -" +0u +14" +1v +0/" +1w +1," +b10100011110100101011000000101010 / +b10100011110100101011000000101010 K +b10100011110100101011000000101010 e +b10100011110100101011000000101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100101101001011011111100110101 &" +b100101101001011011111100110101 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#2092010 +b100101101001011011111100110101 E" +#2092500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2093000 +b1111110101100010011111001011001 { +b1111110101100010011111001011001 A" +1\ +0@" +0h +0g +1;" +1q +07" +b11111 3" +0_ +b11100 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1n +1m +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101100001011000010011011111110 0 +b11101100001011000010011011111110 M +b11101100001011000010011011111110 f +b11101100001011000010011011111110 !" +0. +1% +#2093500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2094000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1111110101100010011111001011001 / +b1111110101100010011111001011001 K +b1111110101100010011111001011001 e +b1111110101100010011111001011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2094500 +1. +0% +#2095000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2095500 +16 +b11101101101110011010010110111 2 +b11101101101110011010010110111 I +b11101101101110011010010110111 Z +b11001 3 +b11001 J +b11001 Y +11 +1( +b11101101101110011010010110111 , +b11101101101110011010010110111 H +b11001 + +b11001 G +1- +1. +0% +#2096000 +0] +b1100000111000111101000000010010 | +b1100000111000111101000000010010 B" +0[ +1=" +1@" +17" +b110 3" +1c +0_ +1<" +1` +1u +1a +12" +1," +b11101101101110011010010110111 &" +b11101101101110011010010110111 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +1#" +0. +1% +#2096010 +b11101101101110011010010110111 \" +#2096500 +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2097000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0=" +0@" +07" +b11111 3" +0c +0<" +0` +0u +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0#" +b1100000111000111101000000010010 0 +b1100000111000111101000000010010 M +b1100000111000111101000000010010 f +b1100000111000111101000000010010 !" +0. +1% +#2097500 +b11 5 +b11 L +b11 W +14 +b11 ' +b11 D +1) +1. +0% +#2098000 +b1111110101100010011111001011001 { +b1111110101100010011111001011001 A" +0h +0g +1;" +b11100 0" +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#2098500 +b0 5 +b0 L +b0 W +04 +b11010101010110101111010010110010 2 +b11010101010110101111010010110010 I +b11010101010110101111010010110010 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b11010101010110101111010010110010 , +b11010101010110101111010010110010 H +b100 + +b100 G +1- +1. +0% +#2099000 +0] +1>" +1@" +1h +1g +0;" +b11011 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0n +0m +0/" +b11010101010110101111010010110010 &" +b11010101010110101111010010110010 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111110101100010011111001011001 / +b1111110101100010011111001011001 K +b1111110101100010011111001011001 e +b1111110101100010011111001011001 "" +0. +1% +#2099010 +b11010101010110101111010010110010 G" +#2099500 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2100000 +1] +b110110110100000101010101111010 | +b110110110100000101010101111010 B" +0>" +0@" +0r +17" +b11111 3" +0^ +0_ +b1101 -" +0u +14" +1v +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#2100500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#2101000 +0i +b10010111111101101111001010110101 { +b10010111111101101111001010110101 A" +0h +1:" +1;" +1r +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0," +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110110110100000101010101111010 0 +b110110110100000101010101111010 M +b110110110100000101010101111010 f +b110110110100000101010101111010 !" +0. +1% +#2101500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +1. +0% +#2102000 +1i +b110110110100000101010101111010 | +b110110110100000101010101111010 B" +1h +0:" +0;" +0r +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +0u +14" +1v +0n +0/" +1x +1," +b10010111111101101111001010110101 / +b10010111111101101111001010110101 K +b10010111111101101111001010110101 e +b10010111111101101111001010110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#2102500 +b10101 7 +b10101 N +b10101 V +b10101 & +b10101 C +1. +0% +#2103000 +0s +1r +0q +16" +b1010 -" +1t +b1110111001001011001011110100000 | +b1110111001001011001011110100000 B" +0x +1w +b10101 } +b10101 (" +b10101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110110110100000101010101111010 0 +b110110110100000101010101111010 M +b110110110100000101010101111010 f +b110110110100000101010101111010 !" +0. +1% +#2103500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#2104000 +0t +b101110011101010111000111100111 | +b101110011101010111000111100111 B" +15" +b10 -" +1z +b1110111001001011001011110100000 0 +b1110111001001011001011110100000 M +b1110111001001011001011110100000 f +b1110111001001011001011110100000 !" +b11101 } +b11101 (" +b11101 +" +0. +1% +#2104500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2105000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b101110011101010111000111100111 0 +b101110011101010111000111100111 M +b101110011101010111000111100111 f +b101110011101010111000111100111 !" +0. +1% +#2105500 +b100001110011011100010110001001 2 +b100001110011011100010110001001 I +b100001110011011100010110001001 Z +b10 3 +b10 J +b10 Y +11 +b100001110011011100010110001001 , +b100001110011011100010110001001 H +b10 + +b10 G +1- +1. +0% +#2106000 +0\ +1@" +b11101 3" +1_ +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100001110011011100010110001001 &" +b100001110011011100010110001001 ?" +b10 %" +b10 *" +b10 1" +1'" +0. +1% +#2106010 +b100001110011011100010110001001 E" +#2106500 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2107000 +0s +b10010010001110101100111011011000 | +b10010010001110101100111011011000 B" +1\ +0@" +0r +15" +17" +b11111 3" +0_ +b101 -" +1y +0u +14" +1v +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#2107500 +b0 7 +b0 N +b0 V +06 +b11100101001010010001101000011001 2 +b11100101001010010001101000011001 I +b11100101001010010001101000011001 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b11100101001010010001101000011001 , +b11100101001010010001101000011001 H +b111 + +b111 G +1- +1. +0% +#2108000 +0] +1s +0\ +0[ +1>" +1@" +1r +05" +07" +b11000 3" +1^ +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0," +b10010010001110101100111011011000 0 +b10010010001110101100111011011000 M +b10010010001110101100111011011000 f +b10010010001110101100111011011000 !" +b11100101001010010001101000011001 &" +b11100101001010010001101000011001 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2108010 +b11100101001010010001101000011001 J" +#2108500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2109000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2109500 +b10000 5 +b10000 L +b10000 W +14 +b11001100010100111110011001110011 2 +b11001100010100111110011001110011 I +b11001100010100111110011001110011 Z +b11110 3 +b11110 J +b11110 Y +11 +b10000 ' +b10000 D +1) +b11001100010100111110011001110011 , +b11001100010100111110011001110011 H +b11110 + +b11110 G +1- +1. +0% +#2110000 +0] +b1000110001110100101100010001000 { +b1000110001110100101100010001000 A" +0\ +1=" +1>" +1@" +1;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1111 0" +0k +18" +1l +1b +12" +1/" +b11001100010100111110011001110011 &" +b11001100010100111110011001110011 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#2110010 +b11001100010100111110011001110011 a" +#2110500 +b0 5 +b0 L +b0 W +04 +b10001000101100111111100000000111 2 +b10001000101100111111100000000111 I +b10001000101100111111100000000111 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b10001000101100111111100000000111 , +b10001000101100111111100000000111 H +b11001 + +b11001 G +1. +0% +#2111000 +1c +1\ +0[ +1=" +0>" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b110 3" +0d +b11111 0" +08" +0l +0b +1a +0/" +b10001000101100111111100000000111 &" +b10001000101100111111100000000111 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +b1000110001110100101100010001000 / +b1000110001110100101100010001000 K +b1000110001110100101100010001000 e +b1000110001110100101100010001000 "" +0. +1% +#2111010 +b10001000101100111111100000000111 \" +#2111500 +b10000 7 +b10000 N +b10000 V +16 +b10001001111100010011011101000 2 +b10001001111100010011011101000 I +b10001001111100010011011101000 Z +b11101 3 +b11101 J +b11101 Y +b10000 & +b10000 C +1( +b10001001111100010011011101000 , +b10001001111100010011011101000 H +b11101 + +b11101 G +1. +0% +#2112000 +0c +b1000110001110100101100010001000 | +b1000110001110100101100010001000 B" +1>" +17" +b10 3" +1d +b1111 -" +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001001111100010011011101000 &" +b10001001111100010011011101000 ?" +b11101 %" +b11101 *" +b11101 1" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#2112010 +b10001001111100010011011101000 `" +#2112500 +b110 7 +b110 N +b110 V +b1100001010010111101011111100 2 +b1100001010010111101011111100 I +b1100001010010111101011111100 Z +b10111 3 +b10111 J +b10111 Y +b110 & +b110 C +b1100001010010111101011111100 , +b1100001010010111101011111100 H +b10111 + +b10111 G +1. +0% +#2113000 +1^ +1u +0s +0\ +0=" +1>" +0r +17" +16" +b1000 3" +0d +b11001 -" +04" +0v +1t +b110101100100001100001101000111 | +b110101100100001100001101000111 B" +1b +1x +b1100001010010111101011111100 &" +b1100001010010111101011111100 ?" +b10111 %" +b10111 *" +b10111 1" +b110 } +b110 (" +b110 +" +b1000110001110100101100010001000 0 +b1000110001110100101100010001000 M +b1000110001110100101100010001000 f +b1000110001110100101100010001000 !" +0. +1% +#2113010 +b1100001010010111101011111100 Z" +#2113500 +b11101 5 +b11101 L +b11101 W +14 +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2114000 +15" +1] +0i +b10001001111100010011011101000 { +b10001001111100010011011101000 A" +1y +b1101101101000100101011011001 | +b1101101101000100101011011001 B" +1\ +1[ +0>" +0@" +0g +19" +1:" +1;" +06" +b11111 3" +0^ +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +b10101 -" +0t +0b +0a +02" +1m +1/" +b110101100100001100001101000111 0 +b110101100100001100001101000111 M +b110101100100001100001101000111 f +b110101100100001100001101000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b1010 } +b1010 (" +b1010 +" +0. +1% +#2114500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b0 ' +b0 D +0) +b11010 & +b11010 C +1. +0% +#2115000 +1i +0u +1g +09" +0:" +0;" +b10010010001110101100111011011000 | +b10010010001110101100111011011000 B" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b101 -" +14" +1v +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +b10001001111100010011011101000 / +b10001001111100010011011101000 K +b10001001111100010011011101000 e +b10001001111100010011011101000 "" +b1101101101000100101011011001 0 +b1101101101000100101011011001 M +b1101101101000100101011011001 f +b1101101101000100101011011001 !" +0. +1% +#2115500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10011100000000010110101110111000 2 +b10011100000000010110101110111000 I +b10011100000000010110101110111000 Z +b1101 3 +b1101 J +b1101 Y +11 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b10011100000000010110101110111000 , +b10011100000000010110101110111000 H +b1101 + +b1101 G +1- +1. +0% +#2116000 +0] +0i +b11010011101111000100010100100110 { +b11010011101111000100010100100110 A" +1s +0[ +1=" +1>" +1@" +1:" +1;" +1r +05" +07" +b10010 3" +0^ +0c +1d +1_ +b1011 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010010001110101100111011011000 0 +b10010010001110101100111011011000 M +b10010010001110101100111011011000 f +b10010010001110101100111011011000 !" +b10011100000000010110101110111000 &" +b10011100000000010110101110111000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2116010 +b10011100000000010110101110111000 P" +#2116500 +b10010 5 +b10010 L +b10010 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2117000 +1] +1i +1[ +0=" +0>" +0@" +0h +0:" +b11111 3" +0d +0_ +b1101 0" +0j +b110110110100000101010101111010 { +b110110110100000101010101111010 A" +0a +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +b11010011101111000100010100100110 / +b11010011101111000100010100100110 K +b11010011101111000100010100100110 e +b11010011101111000100010100100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2117500 +b101 5 +b101 L +b101 W +b101 ' +b101 D +1. +0% +#2118000 +1k +0i +1h +0g +1;" +1:" +b11010 0" +08" +0l +1j +b10110110011000010000111111111011 { +b10110110011000010000111111111011 A" +0n +1m +b110110110100000101010101111010 / +b110110110100000101010101111010 K +b110110110100000101010101111010 e +b110110110100000101010101111010 "" +b101 ~ +b101 )" +b101 ." +0. +1% +#2118500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b0 ' +b0 D +0) +b11 & +b11 C +1( +1. +0% +#2119000 +1i +b1111110101100010011111001011001 | +b1111110101100010011111001011001 B" +1g +0:" +0;" +0r +0q +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11100 -" +1u +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +b10110110011000010000111111111011 / +b10110110011000010000111111111011 K +b10110110011000010000111111111011 e +b10110110011000010000111111111011 "" +0. +1% +#2119500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +1. +0% +#2120000 +0i +b1000100100100101001010111101110 { +b1000100100100101001010111101110 A" +19" +1:" +1;" +1r +1q +07" +b10011 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111110101100010011111001011001 0 +b1111110101100010011111001011001 M +b1111110101100010011111001011001 f +b1111110101100010011111001011001 !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2120500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#2121000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10101010000110100010010111000000 | +b10101010000110100010010111000000 B" +09" +0:" +0;" +0r +0q +17" +b11111 0" +0p +0k +b1100 -" +0u +14" +1v +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b1000100100100101001010111101110 / +b1000100100100101001010111101110 K +b1000100100100101001010111101110 e +b1000100100100101001010111101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2121500 +b1101 7 +b1101 N +b1101 V +b1010001010111111010101100100011 2 +b1010001010111111010101100100011 I +b1010001010111111010101100100011 Z +b1110 3 +b1110 J +b1110 Y +11 +b1101 & +b1101 C +b1010001010111111010101100100011 , +b1010001010111111010101100100011 H +b1110 + +b1110 G +1- +1. +0% +#2122000 +0] +1u +0s +0\ +1=" +1>" +1@" +1r +17" +15" +16" +b10001 3" +0^ +0c +1d +1_ +b10010 -" +04" +0v +0t +0y +1z +b10011100000000010110101110111000 | +b10011100000000010110101110111000 B" +1b +12" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101010000110100010010111000000 0 +b10101010000110100010010111000000 M +b10101010000110100010010111000000 f +b10101010000110100010010111000000 !" +b1010001010111111010101100100011 &" +b1010001010111111010101100100011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1101 } +b1101 (" +b1101 +" +0. +1% +#2122010 +b1010001010111111010101100100011 Q" +#2122500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2123000 +1] +0i +b10011100000000010110101110111000 { +b10011100000000010110101110111000 A" +1s +1\ +0=" +0>" +0@" +0g +19" +1:" +1;" +1q +05" +06" +07" +b11111 3" +0d +0_ +b10010 0" +0j +0o +1p +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1m +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10011100000000010110101110111000 0 +b10011100000000010110101110111000 M +b10011100000000010110101110111000 f +b10011100000000010110101110111000 !" +0. +1% +#2123500 +b0 5 +b0 L +b0 W +04 +b11001010000101000110111101100001 2 +b11001010000101000110111101100001 I +b11001010000101000110111101100001 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b11001010000101000110111101100001 , +b11001010000101000110111101100001 H +b11100 + +b11100 G +1- +1. +0% +#2124000 +0] +1i +1=" +1>" +1@" +1g +09" +0:" +0;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b10011100000000010110101110111000 / +b10011100000000010110101110111000 K +b10011100000000010110101110111000 e +b10011100000000010110101110111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001010000101000110111101100001 &" +b11001010000101000110111101100001 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2124010 +b11001010000101000110111101100001 _" +#2124500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2125000 +1] +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2125500 +b110110101000000001011100101 2 +b110110101000000001011100101 I +b110110101000000001011100101 Z +b1101 3 +b1101 J +b1101 Y +11 +b110110101000000001011100101 , +b110110101000000001011100101 H +b1101 + +b1101 G +1- +1. +0% +#2126000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b110110101000000001011100101 &" +b110110101000000001011100101 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +0. +1% +#2126010 +b110110101000000001011100101 P" +#2126500 +b1011 5 +b1011 L +b1011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2127000 +1] +0i +b1011101111000011001011110010001 { +b1011101111000011001011110010001 A" +1[ +0=" +0>" +0@" +0h +0g +19" +1;" +b11111 3" +0d +0_ +b10100 0" +1o +1k +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#2127500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b1001110100010010000111001011000 2 +b1001110100010010000111001011000 I +b1001110100010010000111001011000 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +b1001110100010010000111001011000 , +b1001110100010010000111001011000 H +b11 + +b11 G +1- +1. +0% +#2128000 +1i +0s +b1011101111000011001011110010001 | +b1011101111000011001011110010001 B" +0\ +0[ +1@" +1h +1g +09" +0;" +0r +0q +15" +17" +b11100 3" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +1y +1u +1b +1a +12" +0n +0m +0/" +1x +1w +1," +b1011101111000011001011110010001 / +b1011101111000011001011110010001 K +b1011101111000011001011110010001 e +b1011101111000011001011110010001 "" +b1001110100010010000111001011000 &" +b1001110100010010000111001011000 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#2128010 +b1001110100010010000111001011000 F" +#2128500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2129000 +b11101100001011000010011011111110 { +b11101100001011000010011011111110 A" +1s +1\ +1[ +0@" +0g +1;" +1r +1q +05" +07" +b11111 3" +0_ +b1110 0" +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1m +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011101111000011001011110010001 0 +b1011101111000011001011110010001 M +b1011101111000011001011110010001 f +b1011101111000011001011110010001 !" +0. +1% +#2129500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b0 ' +b0 D +0) +b111 & +b111 C +1( +1. +0% +#2130000 +0s +b11100101001010010001101000011001 | +b11100101001010010001101000011001 B" +1g +0;" +0r +0q +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +1t +1u +0m +0/" +1x +1w +1," +b11101100001011000010011011111110 / +b11101100001011000010011011111110 K +b11101100001011000010011011111110 e +b11101100001011000010011011111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#2130500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2131000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100101001010010001101000011001 0 +b11100101001010010001101000011001 M +b11100101001010010001101000011001 f +b11100101001010010001101000011001 !" +0. +1% +#2131500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#2132000 +0s +b11010011101111000100010100100110 | +b11010011101111000100010100100110 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#2132500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b10001011111100101111011111101011 2 +b10001011111100101111011111101011 I +b10001011111100101111011111101011 Z +b10001 3 +b10001 J +b10001 Y +11 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b10001011111100101111011111101011 , +b10001011111100101111011111101011 H +b10001 + +b10001 G +1- +1. +0% +#2133000 +0i +b10110110011000010000111111111011 { +b10110110011000010000111111111011 A" +1s +0[ +1@" +0g +1:" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1110 3" +0_ +1<" +1` +b11010 0" +1j +1k +b11111 -" +0t +04" +0v +1a +12" +1m +1/" +0," +b10001011111100101111011111101011 &" +b10001011111100101111011111101011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11010011101111000100010100100110 0 +b11010011101111000100010100100110 M +b11010011101111000100010100100110 f +b11010011101111000100010100100110 !" +0. +1% +#2133010 +b10001011111100101111011111101011 T" +#2133500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2134000 +1i +b1000110001110100101100010001000 | +b1000110001110100101100010001000 B" +1[ +0@" +1g +0:" +0;" +17" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +0u +14" +1v +0a +02" +0m +0/" +1," +b10110110011000010000111111111011 / +b10110110011000010000111111111011 K +b10110110011000010000111111111011 e +b10110110011000010000111111111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#2134500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2135000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000110001110100101100010001000 0 +b1000110001110100101100010001000 M +b1000110001110100101100010001000 f +b1000110001110100101100010001000 !" +0. +1% +#2135500 +b101 7 +b101 N +b101 V +16 +b11010000000000100000110100110110 2 +b11010000000000100000110100110110 I +b11010000000000100000110100110110 Z +b1101 3 +b1101 J +b1101 Y +11 +b101 & +b101 C +1( +b11010000000000100000110100110110 , +b11010000000000100000110100110110 H +b1101 + +b1101 G +1- +1. +0% +#2136000 +0] +0s +b10110110011000010000111111111011 | +b10110110011000010000111111111011 B" +0[ +1=" +1>" +1@" +0q +16" +17" +b10010 3" +0^ +0c +1d +1_ +b11010 -" +1t +1u +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010000000000100000110100110110 &" +b11010000000000100000110100110110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#2136010 +b11010000000000100000110100110110 P" +#2136500 +b1001 5 +b1001 L +b1001 W +14 +b10000 7 +b10000 N +b10000 V +b1101010110111000100111010111011 2 +b1101010110111000100111010111011 I +b1101010110111000100111010111011 Z +b1011 3 +b1011 J +b1011 Y +b1001 ' +b1001 D +1) +b10000 & +b10000 C +b1101010110111000100111010111011 , +b1101010110111000100111010111011 H +b1011 + +b1011 G +1. +0% +#2137000 +1c +0i +b10101100000111101110010001100000 { +b10101100000111101110010001100000 A" +0u +1s +0\ +1=" +0>" +0g +19" +1;" +1q +06" +b10100 3" +0d +b10110 0" +1o +1k +b1111 -" +14" +1v +0t +b1000110001110100101100010001000 | +b1000110001110100101100010001000 B" +1b +1m +1/" +0w +b1101010110111000100111010111011 &" +b1101010110111000100111010111011 ?" +b1011 %" +b1011 *" +b1011 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b10000 } +b10000 (" +b10000 +" +b10110110011000010000111111111011 0 +b10110110011000010000111111111011 M +b10110110011000010000111111111011 f +b10110110011000010000111111111011 !" +0. +1% +#2137010 +b1101010110111000100111010111011 N" +#2137500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1000 & +b1000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2138000 +1] +1i +1u +0s +1\ +1[ +0=" +0@" +1g +09" +0;" +17" +b10011000010111001001101000110100 | +b10011000010111001001101000110100 B" +15" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +04" +0v +1y +0b +0a +02" +0m +0/" +b10101100000111101110010001100000 / +b10101100000111101110010001100000 K +b10101100000111101110010001100000 e +b10101100000111101110010001100000 "" +b1000110001110100101100010001000 0 +b1000110001110100101100010001000 M +b1000110001110100101100010001000 f +b1000110001110100101100010001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +0. +1% +#2138500 +b1101 7 +b1101 N +b1101 V +b1101 & +b1101 C +1. +0% +#2139000 +0y +0q +16" +b10010 -" +1z +b11010000000000100000110100110110 | +b11010000000000100000110100110110 B" +1w +b1101 } +b1101 (" +b1101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011000010111001001101000110100 0 +b10011000010111001001101000110100 M +b10011000010111001001101000110100 f +b10011000010111001001101000110100 !" +0. +1% +#2139500 +b1 7 +b1 N +b1 V +b1 & +b1 C +1. +0% +#2140000 +1s +b1011011011101000101011010111111 | +b1011011011101000101011010111111 B" +05" +06" +b11110 -" +0z +b11010000000000100000110100110110 0 +b11010000000000100000110100110110 M +b11010000000000100000110100110110 f +b11010000000000100000110100110110 !" +b1 } +b1 (" +b1 +" +0. +1% +#2140500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2141000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1011011011101000101011010111111 0 +b1011011011101000101011010111111 M +b1011011011101000101011010111111 f +b1011011011101000101011010111111 !" +0. +1% +#2141500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#2142000 +0i +b10010010001110101100111011011000 { +b10010010001110101100111011011000 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#2142500 +b0 5 +b0 L +b0 W +04 +b10111001010100101110110101011010 2 +b10111001010100101110110101011010 I +b10111001010100101110110101011010 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b10111001010100101110110101011010 , +b10111001010100101110110101011010 H +b10111 + +b10111 G +1- +1. +0% +#2143000 +0] +1i +0\ +0[ +1>" +1@" +1h +09" +0;" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0n +0/" +b10111001010100101110110101011010 &" +b10111001010100101110110101011010 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010010001110101100111011011000 / +b10010010001110101100111011011000 K +b10010010001110101100111011011000 e +b10010010001110101100111011011000 "" +0. +1% +#2143010 +b10111001010100101110110101011010 Z" +#2143500 +b1111 7 +b1111 N +b1111 V +16 +b10010011101111101111011101010010 2 +b10010011101111101111011101010010 I +b10010011101111101111011101010010 Z +b100 3 +b100 J +b100 Y +b1111 & +b1111 C +1( +b10010011101111101111011101010010 , +b10010011101111101111011101010010 H +b100 + +b100 G +1. +0% +#2144000 +1_ +0s +b10111011000110101110010010001011 | +b10111011000110101110010010001011 B" +1\ +1[ +1@" +0r +0q +15" +16" +17" +b11011 3" +0<" +0` +b10000 -" +0t +0y +1z +1u +0b +0a +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010011101111101111011101010010 &" +b10010011101111101111011101010010 ?" +b100 %" +b100 *" +b100 1" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#2144010 +b10010011101111101111011101010010 G" +#2144500 +b1101 5 +b1101 L +b1101 W +14 +b11011 7 +b11011 N +b11011 V +b11001011111100101100111101001001 2 +b11001011111100101100111101001001 I +b11001011111100101100111101001001 Z +b1011 3 +b1011 J +b1011 Y +b1101 ' +b1101 D +1) +b11011 & +b11011 C +b11001011111100101100111101001001 , +b11001011111100101100111101001001 H +b1011 + +b1011 G +1. +0% +#2145000 +1=" +1c +0i +b11010000000000100000110100110110 { +b11010000000000100000110100110110 A" +0u +1y +0\ +0[ +0>" +0g +19" +1:" +1;" +b1110111110010000011101111101001 | +b1110111110010000011101111101001 B" +15" +06" +b10100 3" +0^ +b10010 0" +0j +0o +1p +1k +b100 -" +14" +1v +0z +1b +1a +1m +1/" +b11001011111100101100111101001001 &" +b11001011111100101100111101001001 ?" +b1011 %" +b1011 *" +b1011 1" +b1101 ~ +b1101 )" +b1101 ." +1$" +b11011 } +b11011 (" +b11011 +" +b10111011000110101110010010001011 0 +b10111011000110101110010010001011 M +b10111011000110101110010010001011 f +b10111011000110101110010010001011 !" +0. +1% +#2145010 +b11001011111100101100111101001001 N" +#2145500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b1110011100001011100011010100000 2 +b1110011100001011100011010100000 I +b1110011100001011100011010100000 Z +b10001 3 +b10001 J +b10001 Y +b0 ' +b0 D +0) +b10101 & +b10101 C +b1110011100001011100011010100000 , +b1110011100001011100011010100000 H +b10001 + +b10001 G +1. +0% +#2146000 +16" +0_ +1] +1i +1t +1\ +0=" +1g +09" +0:" +0;" +1r +05" +b1110 3" +1<" +1` +0c +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1010 -" +0y +b1110111001001011001011110100000 | +b1110111001001011001011110100000 B" +0b +0m +0/" +0x +b11010000000000100000110100110110 / +b11010000000000100000110100110110 K +b11010000000000100000110100110110 e +b11010000000000100000110100110110 "" +b1110111110010000011101111101001 0 +b1110111110010000011101111101001 M +b1110111110010000011101111101001 f +b1110111110010000011101111101001 !" +b1110011100001011100011010100000 &" +b1110011100001011100011010100000 ?" +b10001 %" +b10001 *" +b10001 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +0. +1% +#2146010 +b1110011100001011100011010100000 T" +#2146500 +b11010 5 +b11010 L +b11010 W +14 +b11110 7 +b11110 N +b11110 V +b111111111111011101000011111101 2 +b111111111111011101000011111101 I +b111111111111011101000011111101 Z +b110 3 +b110 J +b110 Y +b11010 ' +b11010 D +1) +b11110 & +b11110 C +b111111111111011101000011111101 , +b111111111111011101000011111101 H +b110 + +b110 G +1. +0% +#2147000 +1_ +0] +0i +b10010010001110101100111011011000 { +b10010010001110101100111011011000 A" +0t +0\ +1[ +1@" +1>" +0h +19" +1;" +0r +1q +15" +b11001 3" +0<" +0` +1^ +b101 0" +1o +0k +18" +1l +b1 -" +1z +b11001100010100111110011001110011 | +b11001100010100111110011001110011 B" +1b +0a +1n +1/" +1x +0w +b111111111111011101000011111101 &" +b111111111111011101000011111101 ?" +b110 %" +b110 *" +b110 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b11110 } +b11110 (" +b11110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110111001001011001011110100000 0 +b1110111001001011001011110100000 M +b1110111001001011001011110100000 f +b1110111001001011001011110100000 !" +0. +1% +#2147010 +b111111111111011101000011111101 I" +#2147500 +b1100 5 +b1100 L +b1100 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2148000 +1] +1k +0o +1s +1\ +0>" +0@" +1h +1;" +1:" +1r +05" +06" +07" +b11111 3" +0^ +0_ +b10011 0" +08" +0l +1p +b1000100100100101001010111101110 { +b1000100100100101001010111101110 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0x +0," +b10010010001110101100111011011000 / +b10010010001110101100111011011000 K +b10010010001110101100111011011000 e +b10010010001110101100111011011000 "" +b11001100010100111110011001110011 0 +b11001100010100111110011001110011 M +b11001100010100111110011001110011 f +b11001100010100111110011001110011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2148500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2149000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0:" +0;" +b11111 0" +0p +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1000100100100101001010111101110 / +b1000100100100101001010111101110 K +b1000100100100101001010111101110 e +b1000100100100101001010111101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2149500 +b11111 7 +b11111 N +b11111 V +16 +b1011111100000110000111011110001 2 +b1011111100000110000111011110001 I +b1011111100000110000111011110001 Z +b11000 3 +b11000 J +b11000 Y +11 +b11111 & +b11111 C +1( +b1011111100000110000111011110001 , +b1011111100000110000111011110001 H +b11000 + +b11000 G +1- +1. +0% +#2150000 +0] +0s +b10000011110100101000011010100000 | +b10000011110100101000011010100000 B" +1=" +1@" +0r +0q +15" +16" +17" +b111 3" +1c +0_ +1<" +1` +b0 -" +0t +0y +1z +0u +14" +1v +12" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011111100000110000111011110001 &" +b1011111100000110000111011110001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#2150010 +b1011111100000110000111011110001 [" +#2150500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2151000 +1] +0i +b10001000101100111111100000000111 { +b10001000101100111111100000000111 A" +1s +0=" +0@" +0g +19" +1;" +1r +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b110 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +1m +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10000011110100101000011010100000 0 +b10000011110100101000011010100000 M +b10000011110100101000011010100000 f +b10000011110100101000011010100000 !" +0. +1% +#2151500 +b0 5 +b0 L +b0 W +04 +b10001101010010100100000001101010 2 +b10001101010010100100000001101010 I +b10001101010010100100000001101010 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b10001101010010100100000001101010 , +b10001101010010100100000001101010 H +b10111 + +b10111 G +1- +1. +0% +#2152000 +0] +1i +0\ +0[ +1>" +1@" +1g +09" +0;" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0m +0/" +b10001000101100111111100000000111 / +b10001000101100111111100000000111 K +b10001000101100111111100000000111 e +b10001000101100111111100000000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001101010010100100000001101010 &" +b10001101010010100100000001101010 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2152010 +b10001101010010100100000001101010 Z" +#2152500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2153000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2153500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#2154000 +0i +b11001011111100101100111101001001 { +b11001011111100101100111101001001 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#2154500 +b1010 5 +b1010 L +b1010 W +b1010 ' +b1010 D +1. +0% +#2155000 +1g +b10101 0" +b1101101101000100101011011001 { +b1101101101000100101011011001 A" +0m +b1010 ~ +b1010 )" +b1010 ." +b11001011111100101100111101001001 / +b11001011111100101100111101001001 K +b11001011111100101100111101001001 e +b11001011111100101100111101001001 "" +0. +1% +#2155500 +b111 5 +b111 L +b111 W +b111 ' +b111 D +1. +0% +#2156000 +1:" +1j +0g +09" +b11000 0" +0o +b11100101001010010001101000011001 { +b11100101001010010001101000011001 A" +1m +b1101101101000100101011011001 / +b1101101101000100101011011001 K +b1101101101000100101011011001 e +b1101101101000100101011011001 "" +b111 ~ +b111 )" +b111 ." +0. +1% +#2156500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b10110101010101111011110011111100 2 +b10110101010101111011110011111100 I +b10110101010101111011110011111100 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +b10110101010101111011110011111100 , +b10110101010101111011110011111100 H +b1101 + +b1101 G +1- +1. +0% +#2157000 +0] +1i +0s +b11001010000101000110111101100001 | +b11001010000101000110111101100001 B" +0[ +1=" +1>" +1@" +1h +1g +0:" +0;" +15" +16" +17" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +0t +0y +1z +0u +14" +1v +1a +12" +0n +0m +0/" +1," +b10110101010101111011110011111100 &" +b10110101010101111011110011111100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +b11100101001010010001101000011001 / +b11100101001010010001101000011001 K +b11100101001010010001101000011001 e +b11100101001010010001101000011001 "" +0. +1% +#2157010 +b10110101010101111011110011111100 P" +#2157500 +b1111 5 +b1111 L +b1111 W +14 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2158000 +1] +0i +b10111011000110101110010010001011 { +b10111011000110101110010010001011 A" +1u +1t +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +17" +b10010011101111101111011101010010 | +b10010011101111101111011101010010 B" +05" +16" +b11111 3" +0d +0_ +b10000 0" +0j +0o +1p +1k +b11011 -" +04" +0v +0z +0a +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001010000101000110111101100001 0 +b11001010000101000110111101100001 M +b11001010000101000110111101100001 f +b11001010000101000110111101100001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#2158500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +b0 & +b0 C +0( +1. +0% +#2159000 +0k +1j +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10001101010010100100000001101010 { +b10001101010010100100000001101010 A" +09" +1:" +06" +07" +b1000 0" +18" +1l +0p +b11111 -" +0t +0u +0," +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +b10111011000110101110010010001011 / +b10111011000110101110010010001011 K +b10111011000110101110010010001011 e +b10111011000110101110010010001011 "" +b10010011101111101111011101010010 0 +b10010011101111101111011101010010 M +b10010011101111101111011101010010 f +b10010011101111101111011101010010 !" +0. +1% +#2159500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2160000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b10001101010010100100000001101010 / +b10001101010010100100000001101010 K +b10001101010010100100000001101010 e +b10001101010010100100000001101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2160500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#2161000 +0i +b11100101001010010001101000011001 { +b11100101001010010001101000011001 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b111 ~ +b111 )" +b111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2161500 +b10000 5 +b10000 L +b10000 W +b10000 ' +b10000 D +1. +0% +#2162000 +0k +1i +1h +1g +0:" +b1111 0" +18" +1l +0j +b1000110001110100101100010001000 { +b1000110001110100101100010001000 A" +0n +0m +b11100101001010010001101000011001 / +b11100101001010010001101000011001 K +b11100101001010010001101000011001 e +b11100101001010010001101000011001 "" +b10000 ~ +b10000 )" +b10000 ." +0. +1% +#2162500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b11011101101001011010010101111110 2 +b11011101101001011010010101111110 I +b11011101101001011010010101111110 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b10 & +b10 C +1( +b11011101101001011010010101111110 , +b11011101101001011010010101111110 H +b1110 + +b1110 G +1- +1. +0% +#2163000 +0] +b100001110011011100010110001001 | +b100001110011011100010110001001 B" +0\ +1=" +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +17" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +08" +0l +b11101 -" +1u +1b +12" +0/" +1x +1," +b11011101101001011010010101111110 &" +b11011101101001011010010101111110 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +b1000110001110100101100010001000 / +b1000110001110100101100010001000 K +b1000110001110100101100010001000 e +b1000110001110100101100010001000 "" +0. +1% +#2163010 +b11011101101001011010010101111110 Q" +#2163500 +b11101 5 +b11101 L +b11101 W +14 +b11101 7 +b11101 N +b11101 V +b10110101000001001110001111011101 2 +b10110101000001001110001111011101 I +b10110101000001001110001111011101 Z +b10101 3 +b10101 J +b10101 Y +b11101 ' +b11101 D +1) +b11101 & +b11101 C +b10110101000001001110001111011101 , +b10110101000001001110001111011101 H +b10101 + +b10101 G +1. +0% +#2164000 +0_ +1^ +0i +b10001001111100010011011101000 { +b10001001111100010011011101000 A" +0u +0s +1\ +0[ +0=" +1>" +0g +19" +1:" +1;" +1r +0q +15" +16" +b1010 3" +1<" +1` +0d +b10 0" +0j +0o +1p +0k +18" +1l +b10 -" +14" +1v +0t +0y +1z +b10001001111100010011011101000 | +b10001001111100010011011101000 B" +0b +1a +1m +1/" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100001110011011100010110001001 0 +b100001110011011100010110001001 M +b100001110011011100010110001001 f +b100001110011011100010110001001 !" +b10110101000001001110001111011101 &" +b10110101000001001110001111011101 ?" +b10101 %" +b10101 *" +b10101 1" +b11101 ~ +b11101 )" +b11101 ." +1$" +b11101 } +b11101 (" +b11101 +" +0. +1% +#2164010 +b10110101000001001110001111011101 X" +#2164500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2165000 +1] +1i +1s +1[ +0>" +0@" +1g +09" +0:" +0;" +1q +05" +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10001001111100010011011101000 / +b10001001111100010011011101000 K +b10001001111100010011011101000 e +b10001001111100010011011101000 "" +b10001001111100010011011101000 0 +b10001001111100010011011101000 M +b10001001111100010011011101000 f +b10001001111100010011011101000 !" +0. +1% +#2165500 +1. +0% +#2166000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2166500 +b10101 7 +b10101 N +b10101 V +16 +b10101 & +b10101 C +1( +1. +0% +#2167000 +0s +b10110101000001001110001111011101 | +b10110101000001001110001111011101 B" +0q +16" +17" +b1010 -" +1t +0u +14" +1v +1w +1," +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#2167500 +14 +b0 7 +b0 N +b0 V +06 +1) +b0 & +b0 C +0( +1. +0% +#2168000 +b1100000111000111101000000010010 { +b1100000111000111101000000010010 A" +1s +1;" +1q +06" +07" +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0w +0," +b10110101000001001110001111011101 0 +b10110101000001001110001111011101 M +b10110101000001001110001111011101 f +b10110101000001001110001111011101 !" +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2168500 +b101 5 +b101 L +b101 W +b10101 7 +b10101 N +b10101 V +16 +b101 ' +b101 D +b10101 & +b10101 C +1( +1. +0% +#2169000 +0i +0s +b10110101000001001110001111011101 | +b10110101000001001110001111011101 B" +0g +1:" +0q +16" +17" +b11010 0" +1j +b10110110011000010000111111111011 { +b10110110011000010000111111111011 A" +b1010 -" +1t +0u +14" +1v +1m +1w +1," +b101 ~ +b101 )" +b101 ." +b10101 } +b10101 (" +b10101 +" +1#" +b1100000111000111101000000010010 / +b1100000111000111101000000010010 K +b1100000111000111101000000010010 e +b1100000111000111101000000010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2169500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2170000 +1i +1s +1g +0:" +0;" +1q +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0w +0," +b10110110011000010000111111111011 / +b10110110011000010000111111111011 K +b10110110011000010000111111111011 e +b10110110011000010000111111111011 "" +b10110101000001001110001111011101 0 +b10110101000001001110001111011101 M +b10110101000001001110001111011101 f +b10110101000001001110001111011101 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2170500 +b1101 5 +b1101 L +b1101 W +14 +b11 7 +b11 N +b11 V +16 +b1101 ' +b1101 D +1) +b11 & +b11 C +1( +1. +0% +#2171000 +0i +b10110101010101111011110011111100 { +b10110101010101111011110011111100 A" +b1001110100010010000111001011000 | +b1001110100010010000111001011000 B" +0g +19" +1:" +1;" +0r +0q +17" +b10010 0" +0j +0o +1p +1k +b11100 -" +1u +1m +1/" +1x +1w +1," +b1101 ~ +b1101 )" +b1101 ." +1$" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2171500 +b10110 5 +b10110 L +b10110 W +b100 7 +b100 N +b100 V +b10101100100010000110001110 2 +b10101100100010000110001110 I +b10101100100010000110001110 Z +b110 3 +b110 J +b110 Y +11 +b10110 ' +b10110 D +b100 & +b100 C +b10101100100010000110001110 , +b10101100100010000110001110 H +b110 + +b110 G +1- +1. +0% +#2172000 +0] +0k +1j +0s +0\ +1>" +1@" +0h +1g +09" +1:" +1r +1q +16" +b11001 3" +1^ +1_ +b1001 0" +18" +1l +0p +b10010111111101101111001010110101 { +b10010111111101101111001010110101 A" +b11011 -" +1t +b10010011101111101111011101010010 | +b10010011101111101111011101010010 B" +1b +12" +1n +0m +0x +0w +b10110101010101111011110011111100 / +b10110101010101111011110011111100 K +b10110101010101111011110011111100 e +b10110101010101111011110011111100 "" +b1001110100010010000111001011000 0 +b1001110100010010000111001011000 M +b1001110100010010000111001011000 f +b1001110100010010000111001011000 !" +b10101100100010000110001110 &" +b10101100100010000110001110 ?" +b110 %" +b110 *" +b110 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +b100 } +b100 (" +b100 +" +0. +1% +#2172010 +b10101100100010000110001110 I" +#2172500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2173000 +1] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0>" +0@" +1h +0:" +0;" +06" +07" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +0b +02" +0n +0/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10010111111101101111001010110101 / +b10010111111101101111001010110101 K +b10010111111101101111001010110101 e +b10010111111101101111001010110101 "" +b10010011101111101111011101010010 0 +b10010011101111101111011101010010 M +b10010011101111101111011101010010 f +b10010011101111101111011101010010 !" +0. +1% +#2173500 +b10001 7 +b10001 N +b10001 V +16 +b10001110010001101101101101101100 2 +b10001110010001101101101101101100 I +b10001110010001101101101101101100 Z +b101 3 +b101 J +b101 Y +11 +b10001 & +b10001 C +1( +b10001110010001101101101101101100 , +b10001110010001101101101101101100 H +b101 + +b101 G +1- +1. +0% +#2174000 +0] +b1110011100001011100011010100000 | +b1110011100001011100011010100000 B" +0[ +1>" +1@" +0q +17" +b11010 3" +1^ +1_ +b1110 -" +0u +14" +1v +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001110010001101101101101101100 &" +b10001110010001101101101101101100 ?" +b101 %" +b101 *" +b101 1" +1'" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#2174010 +b10001110010001101101101101101100 H" +#2174500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2175000 +1] +0i +b10001110010001101101101101101100 { +b10001110010001101101101101101100 A" +1[ +0>" +0@" +0g +1:" +1;" +1q +07" +b11111 3" +0^ +0_ +b11010 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1m +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1110011100001011100011010100000 0 +b1110011100001011100011010100000 M +b1110011100001011100011010100000 f +b1110011100001011100011010100000 !" +0. +1% +#2175500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2176000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b10001110010001101101101101101100 / +b10001110010001101101101101101100 K +b10001110010001101101101101101100 e +b10001110010001101101101101101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2176500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#2177000 +0s +b11010011101111000100010100100110 | +b11010011101111000100010100100110 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2177500 +b111 7 +b111 N +b111 V +b111 & +b111 C +1. +0% +#2178000 +1u +0r +0q +17" +b11000 -" +04" +0v +b11100101001010010001101000011001 | +b11100101001010010001101000011001 B" +1x +1w +b11010011101111000100010100100110 0 +b11010011101111000100010100100110 M +b11010011101111000100010100100110 f +b11010011101111000100010100100110 !" +b111 } +b111 (" +b111 +" +0. +1% +#2178500 +b10101 5 +b10101 L +b10101 W +14 +b10110 7 +b10110 N +b10110 V +b10101 ' +b10101 D +1) +b10110 & +b10110 C +1. +0% +#2179000 +0i +b10110101000001001110001111011101 { +b10110101000001001110001111011101 A" +0u +0g +1:" +1;" +1q +b1010 0" +1j +0k +18" +1l +b1001 -" +14" +1v +b10010111111101101111001010110101 | +b10010111111101101111001010110101 B" +1m +1/" +0w +b10101 ~ +b10101 )" +b10101 ." +1$" +b10110 } +b10110 (" +b10110 +" +b11100101001010010001101000011001 0 +b11100101001010010001101000011001 M +b11100101001010010001101000011001 f +b11100101001010010001101000011001 !" +0. +1% +#2179500 +b11101 5 +b11101 L +b11101 W +b11111 7 +b11111 N +b11111 V +b11101 ' +b11101 D +b11111 & +b11111 C +1. +0% +#2180000 +0j +b10001001111100010011011101000 { +b10001001111100010011011101000 A" +0t +19" +0q +15" +b10 0" +1p +b0 -" +1z +b10000011110100101000011010100000 | +b10000011110100101000011010100000 B" +1w +b10110101000001001110001111011101 / +b10110101000001001110001111011101 K +b10110101000001001110001111011101 e +b10110101000001001110001111011101 "" +b10010111111101101111001010110101 0 +b10010111111101101111001010110101 M +b10010111111101101111001010110101 f +b10010111111101101111001010110101 !" +b11101 ~ +b11101 )" +b11101 ." +b11111 } +b11111 (" +b11111 +" +0. +1% +#2180500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b10010111000001001011010111011 2 +b10010111000001001011010111011 I +b10010111000001001011010111011 Z +b11010 3 +b11010 J +b11010 Y +11 +b10110 ' +b10110 D +b0 & +b0 C +0( +b10010111000001001011010111011 , +b10010111000001001011010111011 H +b11010 + +b11010 G +1- +1. +0% +#2181000 +0] +1j +1s +0\ +1=" +1@" +0h +1g +09" +1:" +1r +1q +05" +06" +07" +b101 3" +1c +0_ +1<" +1` +b1001 0" +0p +b10010111111101101111001010110101 { +b10010111111101101111001010110101 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1n +0m +0x +0w +0," +b10010111000001001011010111011 &" +b10010111000001001011010111011 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +b10001001111100010011011101000 / +b10001001111100010011011101000 K +b10001001111100010011011101000 e +b10001001111100010011011101000 "" +b10000011110100101000011010100000 0 +b10000011110100101000011010100000 M +b10000011110100101000011010100000 f +b10000011110100101000011010100000 !" +0. +1% +#2181010 +b10010111000001001011010111011 ]" +#2181500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2182000 +1] +1i +1\ +0=" +0@" +1h +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0/" +b10010111111101101111001010110101 / +b10010111111101101111001010110101 K +b10010111111101101111001010110101 e +b10010111111101101111001010110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2182500 +b11111 5 +b11111 L +b11111 W +14 +b100010111110011010011010001001 2 +b100010111110011010011010001001 I +b100010111110011010011010001001 Z +b10001 3 +b10001 J +b10001 Y +11 +b11111 ' +b11111 D +1) +b100010111110011010011010001001 , +b100010111110011010011010001001 H +b10001 + +b10001 G +1- +1. +0% +#2183000 +0i +b10000011110100101000011010100000 { +b10000011110100101000011010100000 A" +0[ +1@" +0h +0g +19" +1:" +1;" +b1110 3" +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +1a +12" +1n +1m +1/" +b100010111110011010011010001001 &" +b100010111110011010011010001001 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2183010 +b100010111110011010011010001001 T" +#2183500 +b0 5 +b0 L +b0 W +04 +b10100101001011101110010101000111 2 +b10100101001011101110010101000111 I +b10100101001011101110010101000111 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b10100101001011101110010101000111 , +b10100101001011101110010101000111 H +b11110 + +b11110 G +1. +0% +#2184000 +0] +1i +0\ +1[ +1=" +1>" +1h +1g +09" +0:" +0;" +b1 3" +0^ +0c +1d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +0a +0n +0m +0/" +b10000011110100101000011010100000 / +b10000011110100101000011010100000 K +b10000011110100101000011010100000 e +b10000011110100101000011010100000 "" +b10100101001011101110010101000111 &" +b10100101001011101110010101000111 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2184010 +b10100101001011101110010101000111 a" +#2184500 +b10011 5 +b10011 L +b10011 W +14 +b1110 7 +b1110 N +b1110 V +16 +b11111011011010101010011001110110 2 +b11111011011010101010011001110110 I +b11111011011010101010011001110110 Z +b11100 3 +b11100 J +b11100 Y +b10011 ' +b10011 D +1) +b1110 & +b1110 C +1( +b11111011011010101010011001110110 , +b11111011011010101010011001110110 H +b11100 + +b11100 G +1. +0% +#2185000 +b10101010000110100010010111000000 { +b10101010000110100010010111000000 A" +0s +b11011101101001011010010101111110 | +b11011101101001011010010101111110 B" +1\ +0h +0g +1;" +0r +15" +16" +17" +b11 3" +b1100 0" +0k +18" +1l +b10001 -" +0t +0y +1z +1u +0b +1n +1m +1/" +1x +1," +b11111011011010101010011001110110 &" +b11111011011010101010011001110110 ?" +b11100 %" +b11100 *" +b11100 1" +b10011 ~ +b10011 )" +b10011 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2185010 +b11111011011010101010011001110110 _" +#2185500 +b11 5 +b11 L +b11 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2186000 +1] +1k +0=" +0>" +0@" +1;" +b1001110100010010000111001011000 { +b1001110100010010000111001011000 A" +b11111 3" +0d +0<" +0` +b11100 0" +08" +0l +02" +b10101010000110100010010111000000 / +b10101010000110100010010111000000 K +b10101010000110100010010111000000 e +b10101010000110100010010111000000 "" +b11011101101001011010010101111110 0 +b11011101101001011010010101111110 M +b11011101101001011010010101111110 f +b11011101101001011010010101111110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +0. +1% +#2186500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011000000110010111111000010001 2 +b11011000000110010111111000010001 I +b11011000000110010111111000010001 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011000000110010111111000010001 , +b11011000000110010111111000010001 H +b1110 + +b1110 G +1- +1. +0% +#2187000 +0] +1s +0\ +1=" +1>" +1@" +1h +1g +0;" +1r +05" +06" +07" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0n +0m +0/" +0x +0," +b11011000000110010111111000010001 &" +b11011000000110010111111000010001 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001110100010010000111001011000 / +b1001110100010010000111001011000 K +b1001110100010010000111001011000 e +b1001110100010010000111001011000 "" +0. +1% +#2187010 +b11011000000110010111111000010001 Q" +#2187500 +b11011 5 +b11011 L +b11011 W +14 +b10011 7 +b10011 N +b10011 V +16 +b111010101101101011111110011101 2 +b111010101101101011111110011101 I +b111010101101101011111110011101 Z +b10010 3 +b10010 J +b10010 Y +b11011 ' +b11011 D +1) +b10011 & +b10011 C +1( +b111010101101101011111110011101 , +b111010101101101011111110011101 H +b10010 + +b10010 G +1. +0% +#2188000 +0_ +1] +0i +b1110111110010000011101111101001 { +b1110111110010000011101111101001 A" +b10101010000110100010010111000000 | +b10101010000110100010010111000000 B" +0=" +0>" +0h +0g +19" +1;" +0r +0q +17" +b1101 3" +1<" +1` +0d +b100 0" +1o +0k +18" +1l +b1100 -" +0u +14" +1v +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111010101101101011111110011101 &" +b111010101101101011111110011101 ?" +b10010 %" +b10010 *" +b10010 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#2188010 +b111010101101101011111110011101 U" +#2188500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011110111111011110011101100011 2 +b11011110111111011110011101100011 I +b11011110111111011110011101100011 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011110111111011110011101100011 , +b11011110111111011110011101100011 H +b1 + +b1 G +1. +0% +#2189000 +1_ +1i +1\ +0[ +1@" +1h +1g +09" +0;" +1r +1q +07" +b11110 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1a +0n +0m +0/" +0x +0w +0," +b11011110111111011110011101100011 &" +b11011110111111011110011101100011 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110111110010000011101111101001 / +b1110111110010000011101111101001 K +b1110111110010000011101111101001 e +b1110111110010000011101111101001 "" +b10101010000110100010010111000000 0 +b10101010000110100010010111000000 M +b10101010000110100010010111000000 f +b10101010000110100010010111000000 !" +0. +1% +#2189010 +b11011110111111011110011101100011 D" +#2189500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2190000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2190500 +b10101 5 +b10101 L +b10101 W +14 +b11110 7 +b11110 N +b11110 V +16 +b11000111101010111101110001011010 2 +b11000111101010111101110001011010 I +b11000111101010111101110001011010 Z +b11010 3 +b11010 J +b11010 Y +11 +b10101 ' +b10101 D +1) +b11110 & +b11110 C +1( +b11000111101010111101110001011010 , +b11000111101010111101110001011010 H +b11010 + +b11010 G +1- +1. +0% +#2191000 +0] +0i +b10110101000001001110001111011101 { +b10110101000001001110001111011101 A" +0s +b10100101001011101110010101000111 | +b10100101001011101110010101000111 B" +0\ +1=" +1@" +0g +1:" +1;" +0r +15" +16" +17" +b101 3" +1c +0_ +1<" +1` +b1010 0" +1j +0k +18" +1l +b1 -" +0t +0y +1z +0u +14" +1v +1b +12" +1m +1/" +1x +1," +b11000111101010111101110001011010 &" +b11000111101010111101110001011010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#2191010 +b11000111101010111101110001011010 ]" +#2191500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2192000 +1] +1i +1s +1\ +0=" +0@" +1g +0:" +0;" +1r +05" +06" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0m +0/" +0x +0," +b10110101000001001110001111011101 / +b10110101000001001110001111011101 K +b10110101000001001110001111011101 e +b10110101000001001110001111011101 "" +b10100101001011101110010101000111 0 +b10100101001011101110010101000111 M +b10100101001011101110010101000111 f +b10100101001011101110010101000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2192500 +b100 7 +b100 N +b100 V +16 +b100111101111110110110100100111 2 +b100111101111110110110100100111 I +b100111101111110110110100100111 Z +b10011 3 +b10011 J +b10011 Y +11 +b100 & +b100 C +1( +b100111101111110110110100100111 , +b100111101111110110110100100111 H +b10011 + +b10011 G +1- +1. +0% +#2193000 +0s +b10010011101111101111011101010010 | +b10010011101111101111011101010010 B" +0\ +0[ +1@" +16" +17" +b1100 3" +0_ +1<" +1` +b11011 -" +1t +1u +1b +1a +12" +1," +b100111101111110110110100100111 &" +b100111101111110110110100100111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2193010 +b100111101111110110110100100111 V" +#2193500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2194000 +0i +b1011111100000110000111011110001 { +b1011111100000110000111011110001 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0@" +19" +1;" +06" +07" +b11111 3" +0<" +0` +b111 0" +1o +0k +18" +1l +b11111 -" +0t +0u +0b +0a +02" +1/" +0," +b10010011101111101111011101010010 0 +b10010011101111101111011101010010 M +b10010011101111101111011101010010 f +b10010011101111101111011101010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2194500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2195000 +1i +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0o +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1011111100000110000111011110001 / +b1011111100000110000111011110001 K +b1011111100000110000111011110001 e +b1011111100000110000111011110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2195500 +b10100 5 +b10100 L +b10100 W +14 +b11111 7 +b11111 N +b11111 V +16 +b111000100101101000100100101101 2 +b111000100101101000100100101101 I +b111000100101101000100100101101 Z +b1 3 +b1 J +b1 Y +11 +b10100 ' +b10100 D +1) +b11111 & +b11111 C +1( +b111000100101101000100100101101 , +b111000100101101000100100101101 H +b1 + +b1 G +1- +1. +0% +#2196000 +0i +b11010011101111000100010100100110 { +b11010011101111000100010100100110 A" +0s +b10000011110100101000011010100000 | +b10000011110100101000011010100000 B" +0[ +1@" +1:" +1;" +0r +0q +15" +16" +17" +b11110 3" +1_ +b1011 0" +1j +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +1a +12" +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111000100101101000100100101101 &" +b111000100101101000100100101101 ?" +b1 %" +b1 *" +b1 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#2196010 +b111000100101101000100100101101 D" +#2196500 +b10011 5 +b10011 L +b10011 W +b0 7 +b0 N +b0 V +06 +b10100101010000000100111110011111 2 +b10100101010000000100111110011111 I +b10100101010000000100111110011111 Z +b10111 3 +b10111 J +b10111 Y +b10011 ' +b10011 D +b0 & +b0 C +0( +b10100101010000000100111110011111 , +b10100101010000000100111110011111 H +b10111 + +b10111 G +1. +0% +#2197000 +0_ +0] +1i +1s +0\ +1>" +0h +0g +0:" +1r +1q +05" +06" +07" +b1000 3" +1<" +1` +1^ +b1100 0" +0j +b100111101111110110110100100111 { +b100111101111110110110100100111 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1n +1m +0x +0w +0," +b10100101010000000100111110011111 &" +b10100101010000000100111110011111 ?" +b10111 %" +b10111 *" +b10111 1" +b10011 ~ +b10011 )" +b10011 ." +b0 } +b0 (" +b0 +" +0#" +b11010011101111000100010100100110 / +b11010011101111000100010100100110 K +b11010011101111000100010100100110 e +b11010011101111000100010100100110 "" +b10000011110100101000011010100000 0 +b10000011110100101000011010100000 M +b10000011110100101000011010100000 f +b10000011110100101000011010100000 !" +0. +1% +#2197010 +b10100101010000000100111110011111 Z" +#2197500 +b10001 5 +b10001 L +b10001 W +b10010110010000001001001000010000 2 +b10010110010000001001001000010000 I +b10010110010000001001001000010000 Z +b1101 3 +b1101 J +b1101 Y +b10001 ' +b10001 D +b10010110010000001001001000010000 , +b10010110010000001001001000010000 H +b1101 + +b1101 G +1. +0% +#2198000 +1_ +0^ +1\ +1@" +1=" +1h +b10010 3" +0<" +0` +1d +b1110 0" +b100010111110011010011010001001 { +b100010111110011010011010001001 A" +0b +0n +b100111101111110110110100100111 / +b100111101111110110110100100111 K +b100111101111110110110100100111 e +b100111101111110110110100100111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010110010000001001001000010000 &" +b10010110010000001001001000010000 ?" +b1101 %" +b1101 *" +b1101 1" +b10001 ~ +b10001 )" +b10001 ." +0. +1% +#2198010 +b10010110010000001001001000010000 P" +#2198500 +b1010 5 +b1010 L +b1010 W +b11 7 +b11 N +b11 V +16 +b10010000010000000110111111111111 2 +b10010000010000000110111111111111 I +b10010000010000000110111111111111 Z +b10011 3 +b10011 J +b10011 Y +b1010 ' +b1010 D +b11 & +b11 C +1( +b10010000010000000110111111111111 , +b10010000010000000110111111111111 H +b10011 + +b10011 G +1. +0% +#2199000 +0_ +1] +1k +0i +b1001110100010010000111001011000 | +b1001110100010010000111001011000 B" +0\ +0=" +0>" +0h +1g +1;" +19" +0r +0q +17" +b1100 3" +1<" +1` +0d +b10101 0" +08" +0l +1o +b1101101101000100101011011001 { +b1101101101000100101011011001 A" +b11100 -" +1u +1b +1n +0m +1x +1w +1," +b10010000010000000110111111111111 &" +b10010000010000000110111111111111 ?" +b10011 %" +b10011 *" +b10011 1" +b1010 ~ +b1010 )" +b1010 ." +b11 } +b11 (" +b11 +" +1#" +b100010111110011010011010001001 / +b100010111110011010011010001001 K +b100010111110011010011010001001 e +b100010111110011010011010001001 "" +0. +1% +#2199010 +b10010000010000000110111111111111 V" +#2199500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b10010111111010111100001101111010 2 +b10010111111010111100001101111010 I +b10010111111010111100001101111010 Z +b1000 3 +b1000 J +b1000 Y +b11110 ' +b11110 D +b0 & +b0 C +0( +b10010111111010111100001101111010 , +b10010111111010111100001101111010 H +b1000 + +b1000 G +1. +0% +#2200000 +1_ +0] +0k +0o +1\ +1[ +1@" +1=" +b10100101001011101110010101000111 { +b10100101001011101110010101000111 A" +1:" +1r +1q +07" +b10111 3" +0<" +0` +1c +b1 0" +18" +1l +1p +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +0x +0w +0," +b1101101101000100101011011001 / +b1101101101000100101011011001 K +b1101101101000100101011011001 e +b1101101101000100101011011001 "" +b1001110100010010000111001011000 0 +b1001110100010010000111001011000 M +b1001110100010010000111001011000 f +b1001110100010010000111001011000 !" +b10010111111010111100001101111010 &" +b10010111111010111100001101111010 ?" +b1000 %" +b1000 *" +b1000 1" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2200010 +b10010111111010111100001101111010 K" +#2200500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2201000 +1] +1i +0=" +0@" +1h +09" +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100101001011101110010101000111 / +b10100101001011101110010101000111 K +b10100101001011101110010101000111 e +b10100101001011101110010101000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2201500 +b11011 5 +b11011 L +b11011 W +14 +b10001010101010110110 2 +b10001010101010110110 I +b10001010101010110110 Z +b100 3 +b100 J +b100 Y +11 +b11011 ' +b11011 D +1) +b10001010101010110110 , +b10001010101010110110 H +b100 + +b100 G +1- +1. +0% +#2202000 +0] +0i +b1110111110010000011101111101001 { +b1110111110010000011101111101001 A" +1>" +1@" +0h +0g +19" +1;" +b11011 3" +1^ +1_ +b100 0" +1o +0k +18" +1l +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001010101010110110 &" +b10001010101010110110 ?" +b100 %" +b100 *" +b100 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#2202010 +b10001010101010110110 G" +#2202500 +b10110 5 +b10110 L +b10110 W +b1000111110000100001110101110101 2 +b1000111110000100001110101110101 I +b1000111110000100001110101110101 Z +b10001 3 +b10001 J +b10001 Y +b10110 ' +b10110 D +b1000111110000100001110101110101 , +b1000111110000100001110101110101 H +b10001 + +b10001 G +1. +0% +#2203000 +1:" +0_ +1] +1j +0[ +0>" +1g +09" +b1110 3" +1<" +1` +0^ +b1001 0" +0o +b10010111111101101111001010110101 { +b10010111111101101111001010110101 A" +1a +0m +b1000111110000100001110101110101 &" +b1000111110000100001110101110101 ?" +b10001 %" +b10001 *" +b10001 1" +b10110 ~ +b10110 )" +b10110 ." +b1110111110010000011101111101001 / +b1110111110010000011101111101001 K +b1110111110010000011101111101001 e +b1110111110010000011101111101001 "" +0. +1% +#2203010 +b1000111110000100001110101110101 T" +#2203500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2204000 +1i +0s +b11010011101111000100010100100110 | +b11010011101111000100010100100110 B" +1[ +0@" +1h +0:" +0;" +16" +17" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +1t +0u +14" +1v +0a +02" +0n +0/" +1," +b10010111111101101111001010110101 / +b10010111111101101111001010110101 K +b10010111111101101111001010110101 e +b10010111111101101111001010110101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#2204500 +b10110 7 +b10110 N +b10110 V +b10110 & +b10110 C +1. +0% +#2205000 +0r +b1001 -" +b10010111111101101111001010110101 | +b10010111111101101111001010110101 B" +1x +b10110 } +b10110 (" +b10110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010011101111000100010100100110 0 +b11010011101111000100010100100110 M +b11010011101111000100010100100110 f +b11010011101111000100010100100110 !" +0. +1% +#2205500 +b1101 5 +b1101 L +b1101 W +14 +b11101 7 +b11101 N +b11101 V +b11100011000001111100100001110110 2 +b11100011000001111100100001110110 I +b11100011000001111100100001110110 Z +b10100 3 +b10100 J +b10100 Y +11 +b1101 ' +b1101 D +1) +b11101 & +b11101 C +b11100011000001111100100001110110 , +b11100011000001111100100001110110 H +b10100 + +b10100 G +1- +1. +0% +#2206000 +0] +0i +b10010110010000001001001000010000 { +b10010110010000001001001000010000 A" +0t +1>" +1@" +0g +19" +1:" +1;" +1r +0q +15" +b1011 3" +1^ +0_ +1<" +1` +b10010 0" +0j +0o +1p +1k +b10 -" +1z +b10001001111100010011011101000 | +b10001001111100010011011101000 B" +12" +1m +1/" +0x +1w +b10010111111101101111001010110101 0 +b10010111111101101111001010110101 M +b10010111111101101111001010110101 f +b10010111111101101111001010110101 !" +b11100011000001111100100001110110 &" +b11100011000001111100100001110110 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b11101 } +b11101 (" +b11101 +" +0. +1% +#2206010 +b11100011000001111100100001110110 W" +#2206500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2207000 +1] +1i +1s +0>" +0@" +1g +09" +0:" +0;" +1q +05" +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10010110010000001001001000010000 / +b10010110010000001001001000010000 K +b10010110010000001001001000010000 e +b10010110010000001001001000010000 "" +b10001001111100010011011101000 0 +b10001001111100010011011101000 M +b10001001111100010011011101000 f +b10001001111100010011011101000 !" +0. +1% +#2207500 +b1111 5 +b1111 L +b1111 W +14 +b10000110011100101100100000001011 2 +b10000110011100101100100000001011 I +b10000110011100101100100000001011 Z +b101 3 +b101 J +b101 Y +11 +b1111 ' +b1111 D +1) +b10000110011100101100100000001011 , +b10000110011100101100100000001011 H +b101 + +b101 G +1- +1. +0% +#2208000 +0] +0i +b10111011000110101110010010001011 { +b10111011000110101110010010001011 A" +0[ +1>" +1@" +0h +0g +19" +1:" +1;" +b11010 3" +1^ +1_ +b10000 0" +0j +0o +1p +1k +1a +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000110011100101100100000001011 &" +b10000110011100101100100000001011 ?" +b101 %" +b101 *" +b101 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#2208010 +b10000110011100101100100000001011 H" +#2208500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2209000 +1] +1i +0s +b10010111111010111100001101111010 | +b10010111111010111100001101111010 B" +1[ +0>" +0@" +1h +1g +09" +0:" +0;" +15" +17" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +0a +02" +0n +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b10111011000110101110010010001011 / +b10111011000110101110010010001011 K +b10111011000110101110010010001011 e +b10111011000110101110010010001011 "" +0. +1% +#2209500 +b11000 7 +b11000 N +b11000 V +b1100000010001111010001011110 2 +b1100000010001111010001011110 I +b1100000010001111010001011110 Z +b11001 3 +b11001 J +b11001 Y +11 +b11000 & +b11000 C +b1100000010001111010001011110 , +b1100000010001111010001011110 H +b11001 + +b11001 G +1- +1. +0% +#2210000 +0] +0u +0[ +1=" +1@" +b1011111100000110000111011110001 | +b1011111100000110000111011110001 B" +b110 3" +1c +0_ +1<" +1` +b111 -" +14" +1v +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010111111010111100001101111010 0 +b10010111111010111100001101111010 M +b10010111111010111100001101111010 f +b10010111111010111100001101111010 !" +b1100000010001111010001011110 &" +b1100000010001111010001011110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11000 } +b11000 (" +b11000 +" +0. +1% +#2210010 +b1100000010001111010001011110 \" +#2210500 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2211000 +1] +1u +1[ +0=" +0@" +0q +17" +b11111 3" +0c +0<" +0` +b10110 -" +04" +0v +b10101100000111101110010001100000 | +b10101100000111101110010001100000 B" +0a +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +b1011111100000110000111011110001 0 +b1011111100000110000111011110001 M +b1011111100000110000111011110001 f +b1011111100000110000111011110001 !" +0. +1% +#2211500 +b10111 7 +b10111 N +b10111 V +b10111 & +b10111 C +1. +0% +#2212000 +16" +0u +1t +0r +05" +b1000 -" +14" +1v +0y +b10100101010000000100111110011111 | +b10100101010000000100111110011111 B" +1x +b10101100000111101110010001100000 0 +b10101100000111101110010001100000 M +b10101100000111101110010001100000 f +b10101100000111101110010001100000 !" +b10111 } +b10111 (" +b10111 +" +0. +1% +#2212500 +b1001 5 +b1001 L +b1001 W +14 +b1101 7 +b1101 N +b1101 V +b1001 ' +b1001 D +1) +b1101 & +b1101 C +1. +0% +#2213000 +0i +b10101100000111101110010001100000 { +b10101100000111101110010001100000 A" +1u +0t +0g +19" +1;" +1r +17" +15" +b10110 0" +1o +1k +b10010 -" +04" +0v +1z +b10010110010000001001001000010000 | +b10010110010000001001001000010000 B" +1m +1/" +0x +b1001 ~ +b1001 )" +b1001 ." +1$" +b1101 } +b1101 (" +b1101 +" +b10100101010000000100111110011111 0 +b10100101010000000100111110011111 M +b10100101010000000100111110011111 f +b10100101010000000100111110011111 !" +0. +1% +#2213500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2214000 +1i +1s +1g +09" +0;" +1q +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0w +0," +b10101100000111101110010001100000 / +b10101100000111101110010001100000 K +b10101100000111101110010001100000 e +b10101100000111101110010001100000 "" +b10010110010000001001001000010000 0 +b10010110010000001001001000010000 M +b10010110010000001001001000010000 f +b10010110010000001001001000010000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2214500 +1. +0% +#2215000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2215500 +b1110110011010011010010001001101 2 +b1110110011010011010010001001101 I +b1110110011010011010010001001101 Z +b11001 3 +b11001 J +b11001 Y +11 +b1110110011010011010010001001101 , +b1110110011010011010010001001101 H +b11001 + +b11001 G +1- +1. +0% +#2216000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b1110110011010011010010001001101 &" +b1110110011010011010010001001101 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +0. +1% +#2216010 +b1110110011010011010010001001101 \" +#2216500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2217000 +1] +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2217500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#2218000 +0s +b11100101001010010001101000011001 | +b11100101001010010001101000011001 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b111 } +b111 (" +b111 +" +1#" +0. +1% +#2218500 +b1100 7 +b1100 N +b1100 V +b11100000101011010111111110001001 2 +b11100000101011010111111110001001 I +b11100000101011010111111110001001 Z +b11101 3 +b11101 J +b11101 Y +11 +b1100 & +b1100 C +b11100000101011010111111110001001 , +b11100000101011010111111110001001 H +b11101 + +b11101 G +1- +1. +0% +#2219000 +0] +0t +0[ +1=" +1>" +1@" +1r +1q +15" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b10011 -" +1z +b1000100100100101001010111101110 | +b1000100100100101001010111101110 B" +1a +12" +0x +0w +b11100000101011010111111110001001 &" +b11100000101011010111111110001001 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b1100 } +b1100 (" +b1100 +" +b11100101001010010001101000011001 0 +b11100101001010010001101000011001 M +b11100101001010010001101000011001 f +b11100101001010010001101000011001 !" +0. +1% +#2219010 +b11100000101011010111111110001001 `" +#2219500 +b0 7 +b0 N +b0 V +06 +b11111110000010111110011011010 2 +b11111110000010111110011011010 I +b11111110000010111110011011010 Z +b11010 3 +b11010 J +b11010 Y +b0 & +b0 C +0( +b11111110000010111110011011010 , +b11111110000010111110011011010 H +b11010 + +b11010 G +1. +0% +#2220000 +1c +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1[ +1=" +0>" +05" +06" +07" +b101 3" +0d +b11111 -" +0z +0u +1b +0a +0," +b1000100100100101001010111101110 0 +b1000100100100101001010111101110 M +b1000100100100101001010111101110 f +b1000100100100101001010111101110 !" +b11111110000010111110011011010 &" +b11111110000010111110011011010 ?" +b11010 %" +b11010 *" +b11010 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2220010 +b11111110000010111110011011010 ]" +#2220500 +b10 5 +b10 L +b10 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2221000 +1] +b100001110011011100010110001001 { +b100001110011011100010110001001 A" +1\ +0=" +0@" +0h +1;" +b11111 3" +0c +0<" +0` +b11101 0" +1k +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2221500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b11101000000111000110010110110 2 +b11101000000111000110010110110 I +b11101000000111000110010110110 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b11101000000111000110010110110 , +b11101000000111000110010110110 H +b11011 + +b11011 G +1- +1. +0% +#2222000 +0] +b10010000010000000110111111111111 | +b10010000010000000110111111111111 B" +0\ +0[ +1=" +1@" +1h +0;" +0r +0q +17" +b100 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +1b +1a +12" +0n +0/" +1x +1w +1," +b100001110011011100010110001001 / +b100001110011011100010110001001 K +b100001110011011100010110001001 e +b100001110011011100010110001001 "" +b11101000000111000110010110110 &" +b11101000000111000110010110110 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#2222010 +b11101000000111000110010110110 ^" +#2222500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2223000 +1] +1\ +1[ +0=" +0@" +1r +1q +07" +b11111 3" +0c +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010000010000000110111111111111 0 +b10010000010000000110111111111111 M +b10010000010000000110111111111111 f +b10010000010000000110111111111111 !" +0. +1% +#2223500 +b11111 5 +b11111 L +b11111 W +14 +b10101 7 +b10101 N +b10101 V +16 +b11111 ' +b11111 D +1) +b10101 & +b10101 C +1( +1. +0% +#2224000 +0i +b10000011110100101000011010100000 { +b10000011110100101000011010100000 A" +0s +b10110101000001001110001111011101 | +b10110101000001001110001111011101 B" +0h +0g +19" +1:" +1;" +0q +16" +17" +b0 0" +0j +0o +1p +0k +18" +1l +b1010 -" +1t +0u +14" +1v +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111 ~ +b11111 )" +b11111 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#2224500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11100110001110010101011000010 2 +b11100110001110010101011000010 I +b11100110001110010101011000010 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11100110001110010101011000010 , +b11100110001110010101011000010 H +b1100 + +b1100 G +1- +1. +0% +#2225000 +0] +1i +1s +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +1q +06" +07" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0m +0/" +0w +0," +b11100110001110010101011000010 &" +b11100110001110010101011000010 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10000011110100101000011010100000 / +b10000011110100101000011010100000 K +b10000011110100101000011010100000 e +b10000011110100101000011010100000 "" +b10110101000001001110001111011101 0 +b10110101000001001110001111011101 M +b10110101000001001110001111011101 f +b10110101000001001110001111011101 !" +0. +1% +#2225010 +b11100110001110010101011000010 O" +#2225500 +b10011011111100100110101111010100 2 +b10011011111100100110101111010100 I +b10011011111100100110101111010100 Z +b11110 3 +b11110 J +b11110 Y +b10011011111100100110101111010100 , +b10011011111100100110101111010100 H +b11110 + +b11110 G +1. +0% +#2226000 +0_ +0\ +b1 3" +1<" +1` +1b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011011111100100110101111010100 &" +b10011011111100100110101111010100 ?" +b11110 %" +b11110 *" +b11110 1" +0. +1% +#2226010 +b10011011111100100110101111010100 a" +#2226500 +b10010 5 +b10010 L +b10010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2227000 +1] +b111010101101101011111110011101 { +b111010101101101011111110011101 A" +1\ +0=" +0>" +0@" +0h +1;" +b11111 3" +0d +0<" +0` +b1101 0" +0k +18" +1l +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#2227500 +b11100 5 +b11100 L +b11100 W +b10001 7 +b10001 N +b10001 V +16 +b1010010001001001001001001100000 2 +b1010010001001001001001001100000 I +b1010010001001001001001001100000 Z +b10010 3 +b10010 J +b10010 Y +11 +b11100 ' +b11100 D +b10001 & +b10001 C +1( +b1010010001001001001001001100000 , +b1010010001001001001001001100000 H +b10010 + +b10010 G +1- +1. +0% +#2228000 +0i +b1000111110000100001110101110101 | +b1000111110000100001110101110101 B" +0\ +1@" +1h +19" +1:" +0q +17" +b1101 3" +0_ +1<" +1` +b11 0" +0j +0o +1p +b11111011011010101010011001110110 { +b11111011011010101010011001110110 A" +b1110 -" +0u +14" +1v +1b +12" +0n +1w +1," +b111010101101101011111110011101 / +b111010101101101011111110011101 K +b111010101101101011111110011101 e +b111010101101101011111110011101 "" +b1010010001001001001001001100000 &" +b1010010001001001001001001100000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#2228010 +b1010010001001001001001001100000 U" +#2228500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2229000 +1i +1u +0s +1\ +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +17" +b10101100000111101110010001100000 | +b10101100000111101110010001100000 B" +15" +b11111 3" +0<" +0` +b11111 0" +0p +08" +0l +b10110 -" +04" +0v +1y +0b +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +b11111011011010101010011001110110 / +b11111011011010101010011001110110 K +b11111011011010101010011001110110 e +b11111011011010101010011001110110 "" +b1000111110000100001110101110101 0 +b1000111110000100001110101110101 M +b1000111110000100001110101110101 f +b1000111110000100001110101110101 !" +0. +1% +#2229500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +1. +0% +#2230000 +0i +b10101100000111101110010001100000 { +b10101100000111101110010001100000 A" +1s +0g +19" +1;" +1q +05" +07" +b10110 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101100000111101110010001100000 0 +b10101100000111101110010001100000 M +b10101100000111101110010001100000 f +b10101100000111101110010001100000 !" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2230500 +b0 5 +b0 L +b0 W +04 +b10011100110000101110011100001111 2 +b10011100110000101110011100001111 I +b10011100110000101110011100001111 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b10011100110000101110011100001111 , +b10011100110000101110011100001111 H +b11100 + +b11100 G +1- +1. +0% +#2231000 +0] +1i +1=" +1>" +1@" +1g +09" +0;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b10011100110000101110011100001111 &" +b10011100110000101110011100001111 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101100000111101110010001100000 / +b10101100000111101110010001100000 K +b10101100000111101110010001100000 e +b10101100000111101110010001100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2231010 +b10011100110000101110011100001111 _" +#2231500 +14 +b11010001101100101100110100010101 2 +b11010001101100101100110100010101 I +b11010001101100101100110100010101 Z +b100 3 +b100 J +b100 Y +1) +b11010001101100101100110100010101 , +b11010001101100101100110100010101 H +b100 + +b100 G +1. +0% +#2232000 +1_ +1^ +b1100000111000111101000000010010 { +b1100000111000111101000000010010 A" +1@" +0=" +1>" +1;" +b11011 3" +0<" +0` +0d +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010001101100101100110100010101 &" +b11010001101100101100110100010101 ?" +b100 %" +b100 *" +b100 1" +1$" +0. +1% +#2232010 +b11010001101100101100110100010101 G" +#2232500 +b10111 5 +b10111 L +b10111 W +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2233000 +1] +0k +0i +0s +b1011111100000110000111011110001 | +b1011111100000110000111011110001 B" +0>" +0@" +0h +0g +1:" +15" +17" +b11111 3" +0^ +0_ +b1000 0" +18" +1l +1j +b10100101010000000100111110011111 { +b10100101010000000100111110011111 A" +b111 -" +1y +0u +14" +1v +02" +1n +1m +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +b11000 } +b11000 (" +b11000 +" +1#" +b1100000111000111101000000010010 / +b1100000111000111101000000010010 K +b1100000111000111101000000010010 e +b1100000111000111101000000010010 "" +0. +1% +#2233500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1100001100100101011100011011010 2 +b1100001100100101011100011011010 I +b1100001100100101011100011011010 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1100001100100101011100011011010 , +b1100001100100101011100011011010 H +b1011 + +b1011 G +1- +1. +0% +#2234000 +0] +1i +1s +0\ +0[ +1=" +1@" +1h +1g +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10100 3" +1c +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +04" +0v +1b +1a +12" +0n +0m +0/" +0," +b10100101010000000100111110011111 / +b10100101010000000100111110011111 K +b10100101010000000100111110011111 e +b10100101010000000100111110011111 "" +b1011111100000110000111011110001 0 +b1011111100000110000111011110001 M +b1011111100000110000111011110001 f +b1011111100000110000111011110001 !" +b1100001100100101011100011011010 &" +b1100001100100101011100011011010 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2234010 +b1100001100100101011100011011010 N" +#2234500 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2235000 +1] +0s +b10010110010000001001001000010000 | +b10010110010000001001001000010000 B" +1\ +1[ +0=" +0@" +0q +15" +16" +17" +b11111 3" +0c +0_ +b10010 -" +0t +0y +1z +1u +0b +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 } +b1101 (" +b1101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2235500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2236000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10010110010000001001001000010000 0 +b10010110010000001001001000010000 M +b10010110010000001001001000010000 f +b10010110010000001001001000010000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2236500 +1. +0% +#2237000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2237500 +b11110 7 +b11110 N +b11110 V +16 +b10111101100100011011010111010111 2 +b10111101100100011011010111010111 I +b10111101100100011011010111010111 Z +11 +b11110 & +b11110 C +1( +b10111101100100011011010111010111 , +b10111101100100011011010111010111 H +1- +1. +0% +#2238000 +0s +b10011011111100100110101111010100 | +b10011011111100100110101111010100 B" +1@" +0r +15" +16" +17" +1_ +b1 -" +0t +0y +1z +0u +14" +1v +12" +1x +1," +b10111101100100011011010111010111 &" +b10111101100100011011010111010111 ?" +1'" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#2238010 +b10111101100100011011010111010111 C" +#2238500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b11101100111010010010010110001110 2 +b11101100111010010010010110001110 I +b11101100111010010010010110001110 Z +b10000 3 +b10000 J +b10000 Y +b10 ' +b10 D +1) +b0 & +b0 C +0( +b11101100111010010010010110001110 , +b11101100111010010010010110001110 H +b10000 + +b10000 G +1. +0% +#2239000 +0_ +b100001110011011100010110001001 { +b100001110011011100010110001001 A" +1s +0h +1;" +1r +05" +06" +07" +b1111 3" +1<" +1` +b11101 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0," +b11101100111010010010010110001110 &" +b11101100111010010010010110001110 ?" +b10000 %" +b10000 *" +b10000 1" +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10011011111100100110101111010100 0 +b10011011111100100110101111010100 M +b10011011111100100110101111010100 f +b10011011111100100110101111010100 !" +0. +1% +#2239010 +b11101100111010010010010110001110 S" +#2239500 +b10110 5 +b10110 L +b10110 W +b1100011000011110100000010110 2 +b1100011000011110100000010110 I +b1100011000011110100000010110 Z +b10110 ' +b10110 D +b1100011000011110100000010110 , +b1100011000011110100000010110 H +1. +0% +#2240000 +0k +0i +b10010111111101101111001010110101 { +b10010111111101101111001010110101 A" +1:" +b1001 0" +18" +1l +1j +b100001110011011100010110001001 / +b100001110011011100010110001001 K +b100001110011011100010110001001 e +b100001110011011100010110001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100011000011110100000010110 &" +b1100011000011110100000010110 ?" +b10110 ~ +b10110 )" +b10110 ." +0. +1% +#2240010 +b1100011000011110100000010110 S" +#2240500 +b11000 5 +b11000 L +b11000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2241000 +19" +1o +0@" +1h +0:" +b11111 3" +0<" +0` +b111 0" +0j +b1011111100000110000111011110001 { +b1011111100000110000111011110001 A" +02" +0n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +b10010111111101101111001010110101 / +b10010111111101101111001010110101 K +b10010111111101101111001010110101 e +b10010111111101101111001010110101 "" +0. +1% +#2241500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#2242000 +1i +b10010000010000000110111111111111 | +b10010000010000000110111111111111 B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +17" +b11111 0" +0o +08" +0l +b1100 -" +0u +14" +1v +0/" +1x +1w +1," +b1011111100000110000111011110001 / +b1011111100000110000111011110001 K +b1011111100000110000111011110001 e +b1011111100000110000111011110001 "" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#2242500 +b10111 5 +b10111 L +b10111 W +14 +b1011 7 +b1011 N +b1011 V +b10111 ' +b10111 D +1) +b1011 & +b1011 C +1. +0% +#2243000 +0i +b10100101010000000100111110011111 { +b10100101010000000100111110011111 A" +1u +0s +0h +0g +1:" +1;" +17" +b1100001100100101011100011011010 | +b1100001100100101011100011011010 B" +15" +b1000 0" +1j +0k +18" +1l +b10100 -" +04" +0v +1y +1n +1m +1/" +b10111 ~ +b10111 )" +b10111 ." +1$" +b1011 } +b1011 (" +b1011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010000010000000110111111111111 0 +b10010000010000000110111111111111 M +b10010000010000000110111111111111 f +b10010000010000000110111111111111 !" +0. +1% +#2243500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11000011011001011100011111111111 2 +b11000011011001011100011111111111 I +b11000011011001011100011111111111 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11000011011001011100011111111111 , +b11000011011001011100011111111111 H +b1000 + +b1000 G +1- +1. +0% +#2244000 +0] +1i +1s +1=" +1@" +1h +1g +0:" +0;" +1r +1q +05" +07" +b10111 3" +1c +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0n +0m +0/" +0x +0w +0," +b10100101010000000100111110011111 / +b10100101010000000100111110011111 K +b10100101010000000100111110011111 e +b10100101010000000100111110011111 "" +b1100001100100101011100011011010 0 +b1100001100100101011100011011010 M +b1100001100100101011100011011010 f +b1100001100100101011100011011010 !" +b11000011011001011100011111111111 &" +b11000011011001011100011111111111 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2244010 +b11000011011001011100011111111111 K" +#2244500 +b1000 5 +b1000 L +b1000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2245000 +1] +0i +b11000011011001011100011111111111 { +b11000011011001011100011111111111 A" +0=" +0@" +19" +1;" +b11111 3" +0c +0_ +b10111 0" +1o +1k +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2245500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2246000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b11000011011001011100011111111111 / +b11000011011001011100011111111111 K +b11000011011001011100011111111111 e +b11000011011001011100011111111111 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2246500 +1. +0% +#2247000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2247500 +b11101 7 +b11101 N +b11101 V +16 +b11101 & +b11101 C +1( +1. +0% +#2248000 +0s +b11100000101011010111111110001001 | +b11100000101011010111111110001001 B" +0q +15" +16" +17" +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#2248500 +b10101 7 +b10101 N +b10101 V +b10101 & +b10101 C +1. +0% +#2249000 +1t +b10110101000001001110001111011101 | +b10110101000001001110001111011101 B" +05" +16" +b1010 -" +0z +b10101 } +b10101 (" +b10101 +" +b11100000101011010111111110001001 0 +b11100000101011010111111110001001 M +b11100000101011010111111110001001 f +b11100000101011010111111110001001 !" +0. +1% +#2249500 +b0 7 +b0 N +b0 V +06 +b11110001010010011110110100111111 2 +b11110001010010011110110100111111 I +b11110001010010011110110100111111 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 & +b0 C +0( +b11110001010010011110110100111111 , +b11110001010010011110110100111111 H +b1001 + +b1001 G +1- +1. +0% +#2250000 +0] +1s +0[ +1=" +1@" +1q +06" +07" +b10110 3" +1c +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0w +0," +b10110101000001001110001111011101 0 +b10110101000001001110001111011101 M +b10110101000001001110001111011101 f +b10110101000001001110001111011101 !" +b11110001010010011110110100111111 &" +b11110001010010011110110100111111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2250010 +b11110001010010011110110100111111 L" +#2250500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2251000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2251500 +1. +0% +#2252000 +0. +1% +#2252500 +b11000 5 +b11000 L +b11000 W +14 +b10100 7 +b10100 N +b10100 V +16 +b11000 ' +b11000 D +1) +b10100 & +b10100 C +1( +1. +0% +#2253000 +0i +b1011111100000110000111011110001 { +b1011111100000110000111011110001 A" +0s +b11100011000001111100100001110110 | +b11100011000001111100100001110110 B" +19" +1;" +16" +17" +b111 0" +1o +0k +18" +1l +b1011 -" +1t +0u +14" +1v +1/" +1," +b11000 ~ +b11000 )" +b11000 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#2253500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b11011001100001111110101000011010 2 +b11011001100001111110101000011010 I +b11011001100001111110101000011010 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b10101 & +b10101 C +b11011001100001111110101000011010 , +b11011001100001111110101000011010 H +b10110 + +b10110 G +1- +1. +0% +#2254000 +0] +1i +0\ +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0o +08" +0l +b1010 -" +b10110101000001001110001111011101 | +b10110101000001001110001111011101 B" +1b +12" +0/" +1w +b1011111100000110000111011110001 / +b1011111100000110000111011110001 K +b1011111100000110000111011110001 e +b1011111100000110000111011110001 "" +b11100011000001111100100001110110 0 +b11100011000001111100100001110110 M +b11100011000001111100100001110110 f +b11100011000001111100100001110110 !" +b11011001100001111110101000011010 &" +b11011001100001111110101000011010 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +0. +1% +#2254010 +b11011001100001111110101000011010 Y" +#2254500 +b0 7 +b0 N +b0 V +06 +b1010000101010010011110010001001 2 +b1010000101010010011110010001001 I +b1010000101010010011110010001001 Z +b11 3 +b11 J +b11 Y +b0 & +b0 C +0( +b1010000101010010011110010001001 , +b1010000101010010011110010001001 H +b11 + +b11 G +1. +0% +#2255000 +1_ +1] +1s +0[ +1@" +0>" +1q +06" +07" +b11100 3" +0<" +0` +0^ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0w +0," +b1010000101010010011110010001001 &" +b1010000101010010011110010001001 ?" +b11 %" +b11 *" +b11 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110101000001001110001111011101 0 +b10110101000001001110001111011101 M +b10110101000001001110001111011101 f +b10110101000001001110001111011101 !" +0. +1% +#2255010 +b1010000101010010011110010001001 F" +#2255500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2256000 +1\ +1[ +0@" +b11111 3" +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2256500 +b1000011010100000101100100100000 2 +b1000011010100000101100100100000 I +b1000011010100000101100100100000 Z +b11100 3 +b11100 J +b11100 Y +11 +b1000011010100000101100100100000 , +b1000011010100000101100100100000 H +b11100 + +b11100 G +1- +1. +0% +#2257000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b1000011010100000101100100100000 &" +b1000011010100000101100100100000 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#2257010 +b1000011010100000101100100100000 _" +#2257500 +b11101 5 +b11101 L +b11101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2258000 +1] +0i +b11100000101011010111111110001001 { +b11100000101011010111111110001001 A" +0=" +0>" +0@" +0g +19" +1:" +1;" +b11111 3" +0d +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#2258500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b1010001111000111110000100101 2 +b1010001111000111110000100101 I +b1010001111000111110000100101 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b1010001111000111110000100101 , +b1010001111000111110000100101 H +b110 + +b110 G +1- +1. +0% +#2259000 +0] +1i +0s +b10011011111100100110101111010100 | +b10011011111100100110101111010100 B" +0\ +1>" +1@" +1g +09" +0:" +0;" +0r +15" +16" +17" +b11001 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +0t +0y +1z +0u +14" +1v +1b +12" +0m +0/" +1x +1," +b1010001111000111110000100101 &" +b1010001111000111110000100101 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b11100000101011010111111110001001 / +b11100000101011010111111110001001 K +b11100000101011010111111110001001 e +b11100000101011010111111110001001 "" +0. +1% +#2259010 +b1010001111000111110000100101 I" +#2259500 +b10111 5 +b10111 L +b10111 W +14 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2260000 +1] +0i +b10100101010000000100111110011111 { +b10100101010000000100111110011111 A" +1\ +0>" +0@" +0h +0g +1:" +1;" +0q +b11111 3" +0^ +0_ +b1000 0" +1j +0k +18" +1l +b0 -" +b10000011110100101000011010100000 | +b10000011110100101000011010100000 B" +0b +02" +1n +1m +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011111100100110101111010100 0 +b10011011111100100110101111010100 M +b10011011111100100110101111010100 f +b10011011111100100110101111010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#2260500 +b10100 5 +b10100 L +b10100 W +b0 7 +b0 N +b0 V +06 +b10100 ' +b10100 D +b0 & +b0 C +0( +1. +0% +#2261000 +1s +1h +1g +1r +1q +05" +06" +07" +b1011 0" +b11100011000001111100100001110110 { +b11100011000001111100100001110110 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0x +0w +0," +b10100 ~ +b10100 )" +b10100 ." +b0 } +b0 (" +b0 +" +0#" +b10100101010000000100111110011111 / +b10100101010000000100111110011111 K +b10100101010000000100111110011111 e +b10100101010000000100111110011111 "" +b10000011110100101000011010100000 0 +b10000011110100101000011010100000 M +b10000011110100101000011010100000 f +b10000011110100101000011010100000 !" +0. +1% +#2261500 +b0 5 +b0 L +b0 W +04 +b11000011000011101010100001101111 2 +b11000011000011101010100001101111 I +b11000011000011101010100001101111 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b11000011000011101010100001101111 , +b11000011000011101010100001101111 H +b101 + +b101 G +1- +1. +0% +#2262000 +0] +1i +0[ +1>" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11010 3" +1^ +1_ +b11111 0" +0j +08" +0l +1a +12" +0/" +b11100011000001111100100001110110 / +b11100011000001111100100001110110 K +b11100011000001111100100001110110 e +b11100011000001111100100001110110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000011000011101010100001101111 &" +b11000011000011101010100001101111 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2262010 +b11000011000011101010100001101111 H" +#2262500 +b1011011111010111011001100011010 2 +b1011011111010111011001100011010 I +b1011011111010111011001100011010 Z +b1101 3 +b1101 J +b1101 Y +b1011011111010111011001100011010 , +b1011011111010111011001100011010 H +b1101 + +b1101 G +1. +0% +#2263000 +0^ +1=" +b10010 3" +1d +b1011011111010111011001100011010 &" +b1011011111010111011001100011010 ?" +b1101 %" +b1101 *" +b1101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2263010 +b1011011111010111011001100011010 P" +#2263500 +b10000 5 +b10000 L +b10000 W +14 +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b10110 & +b10110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2264000 +1] +b1100011000011110100000010110 { +b1100011000011110100000010110 A" +0s +b11011001100001111110101000011010 | +b11011001100001111110101000011010 B" +1[ +0=" +0>" +0@" +1;" +0r +16" +17" +b11111 3" +0d +0_ +b1111 0" +0k +18" +1l +b1001 -" +1t +0u +14" +1v +0a +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#2264500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2265000 +1s +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +06" +07" +b11111 0" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100011000011110100000010110 / +b1100011000011110100000010110 K +b1100011000011110100000010110 e +b1100011000011110100000010110 "" +b11011001100001111110101000011010 0 +b11011001100001111110101000011010 M +b11011001100001111110101000011010 f +b11011001100001111110101000011010 !" +0. +1% +#2265500 +b101 5 +b101 L +b101 W +14 +b10101000111001000110101001000110 2 +b10101000111001000110101001000110 I +b10101000111001000110101001000110 Z +b11101 3 +b11101 J +b11101 Y +11 +b101 ' +b101 D +1) +b10101000111001000110101001000110 , +b10101000111001000110101001000110 H +b11101 + +b11101 G +1- +1. +0% +#2266000 +0] +0i +b11000011000011101010100001101111 { +b11000011000011101010100001101111 A" +0[ +1=" +1>" +1@" +0g +1:" +1;" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11010 0" +1j +1k +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101000111001000110101001000110 &" +b10101000111001000110101001000110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#2266010 +b10101000111001000110101001000110 `" +#2266500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2267000 +1] +1i +1[ +0=" +0>" +0@" +1g +0:" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000011000011101010100001101111 / +b11000011000011101010100001101111 K +b11000011000011101010100001101111 e +b11000011000011101010100001101111 "" +0. +1% +#2267500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#2268000 +0s +b11101000000111000110010110110 | +b11101000000111000110010110110 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#2268500 +b0 7 +b0 N +b0 V +06 +b10100111101110000011001101010110 2 +b10100111101110000011001101010110 I +b10100111101110000011001101010110 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 & +b0 C +0( +b10100111101110000011001101010110 , +b10100111101110000011001101010110 H +b10110 + +b10110 G +1- +1. +0% +#2269000 +0] +1s +0\ +1>" +1@" +1r +1q +05" +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0x +0w +0," +b10100111101110000011001101010110 &" +b10100111101110000011001101010110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11101000000111000110010110110 0 +b11101000000111000110010110110 M +b11101000000111000110010110110 f +b11101000000111000110010110110 !" +0. +1% +#2269010 +b10100111101110000011001101010110 Y" +#2269500 +b10001 5 +b10001 L +b10001 W +14 +b1 7 +b1 N +b1 V +16 +b1101110101010011001100001001010 2 +b1101110101010011001100001001010 I +b1101110101010011001100001001010 Z +b10100 3 +b10100 J +b10100 Y +b10001 ' +b10001 D +1) +b1 & +b1 C +1( +b1101110101010011001100001001010 , +b1101110101010011001100001001010 H +b10100 + +b10100 G +1. +0% +#2270000 +b1000111110000100001110101110101 { +b1000111110000100001110101110101 A" +b111000100101101000100100101101 | +b111000100101101000100100101101 B" +1\ +0g +1;" +0q +17" +b1011 3" +b1110 0" +0k +18" +1l +b11110 -" +1u +0b +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101110101010011001100001001010 &" +b1101110101010011001100001001010 ?" +b10100 %" +b10100 *" +b10100 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#2270010 +b1101110101010011001100001001010 W" +#2270500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2271000 +1] +0>" +0@" +1g +0;" +1q +07" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000111110000100001110101110101 / +b1000111110000100001110101110101 K +b1000111110000100001110101110101 e +b1000111110000100001110101110101 "" +b111000100101101000100100101101 0 +b111000100101101000100100101101 M +b111000100101101000100100101101 f +b111000100101101000100100101101 !" +0. +1% +#2271500 +b1100 5 +b1100 L +b1100 W +14 +b1100 ' +b1100 D +1) +1. +0% +#2272000 +0i +b11100110001110010101011000010 { +b11100110001110010101011000010 A" +19" +1:" +1;" +b10011 0" +0j +0o +1p +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#2272500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2273000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0:" +0;" +b11111 0" +0p +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11100110001110010101011000010 / +b11100110001110010101011000010 K +b11100110001110010101011000010 e +b11100110001110010101011000010 "" +0. +1% +#2273500 +b10101 5 +b10101 L +b10101 W +14 +b1101001110000111111010000000101 2 +b1101001110000111111010000000101 I +b1101001110000111111010000000101 Z +b11 3 +b11 J +b11 Y +11 +b10101 ' +b10101 D +1) +b1101001110000111111010000000101 , +b1101001110000111111010000000101 H +b11 + +b11 G +1- +1. +0% +#2274000 +0i +b10110101000001001110001111011101 { +b10110101000001001110001111011101 A" +0\ +0[ +1@" +0g +1:" +1;" +b11100 3" +1_ +b1010 0" +1j +0k +18" +1l +1b +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101001110000111111010000000101 &" +b1101001110000111111010000000101 ?" +b11 %" +b11 *" +b11 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#2274010 +b1101001110000111111010000000101 F" +#2274500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b10110001111000100010101100101101 2 +b10110001111000100010101100101101 I +b10110001111000100010101100101101 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b10110001111000100010101100101101 , +b10110001111000100010101100101101 H +b111 + +b111 G +1. +0% +#2275000 +0] +1i +0s +b10011011111100100110101111010100 | +b10011011111100100110101111010100 B" +1>" +1g +0:" +0;" +0r +15" +16" +17" +b11000 3" +1^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1x +1," +b10110001111000100010101100101101 &" +b10110001111000100010101100101101 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b10110101000001001110001111011101 / +b10110101000001001110001111011101 K +b10110101000001001110001111011101 e +b10110101000001001110001111011101 "" +0. +1% +#2275010 +b10110001111000100010101100101101 J" +#2275500 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2276000 +1] +1y +1\ +1[ +0>" +0@" +1r +0q +15" +06" +b11111 3" +0^ +0_ +b110 -" +0z +b1110110011010011010010001001101 | +b1110110011010011010010001001101 B" +0b +0a +02" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011111100100110101111010100 0 +b10011011111100100110101111010100 M +b10011011111100100110101111010100 f +b10011011111100100110101111010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +0. +1% +#2276500 +b10100 7 +b10100 N +b10100 V +b10100 & +b10100 C +1. +0% +#2277000 +16" +1t +1q +05" +b1011 -" +0y +b1101110101010011001100001001010 | +b1101110101010011001100001001010 B" +0w +b10100 } +b10100 (" +b10100 +" +b1110110011010011010010001001101 0 +b1110110011010011010010001001101 M +b1110110011010011010010001001101 f +b1110110011010011010010001001101 !" +0. +1% +#2277500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2278000 +1s +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0t +04" +0v +0," +b1101110101010011001100001001010 0 +b1101110101010011001100001001010 M +b1101110101010011001100001001010 f +b1101110101010011001100001001010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2278500 +b1100 5 +b1100 L +b1100 W +14 +b11 7 +b11 N +b11 V +16 +b11000101000100000010101010011001 2 +b11000101000100000010101010011001 I +b11000101000100000010101010011001 Z +b10110 3 +b10110 J +b10110 Y +11 +b1100 ' +b1100 D +1) +b11 & +b11 C +1( +b11000101000100000010101010011001 , +b11000101000100000010101010011001 H +b10110 + +b10110 G +1- +1. +0% +#2279000 +0] +0i +b11100110001110010101011000010 { +b11100110001110010101011000010 A" +b1101001110000111111010000000101 | +b1101001110000111111010000000101 B" +0\ +1>" +1@" +19" +1:" +1;" +0r +0q +17" +b1001 3" +1^ +0_ +1<" +1` +b10011 0" +0j +0o +1p +1k +b11100 -" +1u +1b +12" +1/" +1x +1w +1," +b11000101000100000010101010011001 &" +b11000101000100000010101010011001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2279010 +b11000101000100000010101010011001 Y" +#2279500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2280000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +0>" +0@" +09" +0:" +0;" +1r +1q +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0/" +0x +0w +0," +b11100110001110010101011000010 / +b11100110001110010101011000010 K +b11100110001110010101011000010 e +b11100110001110010101011000010 "" +b1101001110000111111010000000101 0 +b1101001110000111111010000000101 M +b1101001110000111111010000000101 f +b1101001110000111111010000000101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2280500 +14 +b11011111110110010100001001011000 2 +b11011111110110010100001001011000 I +b11011111110110010100001001011000 Z +b1111 3 +b1111 J +b1111 Y +11 +1) +b11011111110110010100001001011000 , +b11011111110110010100001001011000 H +b1111 + +b1111 G +1- +1. +0% +#2281000 +0] +b10111101100100011011010111010111 { +b10111101100100011011010111010111 A" +0\ +0[ +1=" +1>" +1@" +1;" +b10000 3" +0^ +0c +1d +1_ +1k +1b +1a +12" +1/" +b11011111110110010100001001011000 &" +b11011111110110010100001001011000 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2281010 +b11011111110110010100001001011000 R" +#2281500 +04 +b1 7 +b1 N +b1 V +16 +b1100100010010011001001110000100 2 +b1100100010010011001001110000100 I +b1100100010010011001001110000100 Z +b1000 3 +b1000 J +b1000 Y +0) +b1 & +b1 C +1( +b1100100010010011001001110000100 , +b1100100010010011001001110000100 H +b1000 + +b1000 G +1. +0% +#2282000 +1c +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b111000100101101000100100101101 | +b111000100101101000100100101101 B" +1\ +1[ +1=" +0>" +0;" +0q +17" +b10111 3" +0d +0k +b11110 -" +1u +0b +0a +0/" +1w +1," +b10111101100100011011010111010111 / +b10111101100100011011010111010111 K +b10111101100100011011010111010111 e +b10111101100100011011010111010111 "" +b1100100010010011001001110000100 &" +b1100100010010011001001110000100 ?" +b1000 %" +b1000 *" +b1000 1" +0$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#2282010 +b1100100010010011001001110000100 K" +#2282500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2283000 +1] +0=" +0@" +1q +07" +b11111 3" +0c +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111000100101101000100100101101 0 +b111000100101101000100100101101 M +b111000100101101000100100101101 f +b111000100101101000100100101101 !" +0. +1% +#2283500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#2284000 +b1010010001001001001001001100000 { +b1010010001001001001001001100000 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#2284500 +b111 5 +b111 L +b111 W +b11100 7 +b11100 N +b11100 V +16 +b111 ' +b111 D +b11100 & +b11100 C +1( +1. +0% +#2285000 +1k +0i +0s +b1000011010100000101100100100000 | +b1000011010100000101100100100000 B" +0g +1;" +1:" +15" +16" +17" +b11000 0" +08" +0l +1j +b10110001111000100010101100101101 { +b10110001111000100010101100101101 A" +b11 -" +0t +0y +1z +0u +14" +1v +1m +1," +b111 ~ +b111 )" +b111 ." +b11100 } +b11100 (" +b11100 +" +1#" +b1010010001001001001001001100000 / +b1010010001001001001001001100000 K +b1010010001001001001001001100000 e +b1010010001001001001001001100000 "" +0. +1% +#2285500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +06 +b10111111110011111011101001101000 2 +b10111111110011111011101001101000 I +b10111111110011111011101001101000 Z +b10110 3 +b10110 J +b10110 Y +11 +b11011 ' +b11011 D +b0 & +b0 C +0( +b10111111110011111011101001101000 , +b10111111110011111011101001101000 H +b10110 + +b10110 G +1- +1. +0% +#2286000 +19" +0] +0k +1o +1s +0\ +1>" +1@" +b11101000000111000110010110110 { +b11101000000111000110010110110 A" +0:" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1001 3" +1^ +0_ +1<" +1` +b100 0" +18" +1l +0j +b11111 -" +0z +04" +0v +1b +12" +0," +b10110001111000100010101100101101 / +b10110001111000100010101100101101 K +b10110001111000100010101100101101 e +b10110001111000100010101100101101 "" +b1000011010100000101100100100000 0 +b1000011010100000101100100100000 M +b1000011010100000101100100100000 f +b1000011010100000101100100100000 !" +b10111111110011111011101001101000 &" +b10111111110011111011101001101000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2286010 +b10111111110011111011101001101000 Y" +#2286500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2287000 +1] +1i +1\ +0>" +0@" +1h +1g +09" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101000000111000110010110110 / +b11101000000111000110010110110 K +b11101000000111000110010110110 e +b11101000000111000110010110110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2287500 +1. +0% +#2288000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2288500 +b10011 5 +b10011 L +b10011 W +14 +b11011 7 +b11011 N +b11011 V +16 +b10011 ' +b10011 D +1) +b11011 & +b11011 C +1( +1. +0% +#2289000 +b10010000010000000110111111111111 { +b10010000010000000110111111111111 A" +0s +b11101000000111000110010110110 | +b11101000000111000110010110110 B" +0h +0g +1;" +0r +0q +15" +17" +b1100 0" +0k +18" +1l +b100 -" +1y +0u +14" +1v +1n +1m +1/" +1x +1w +1," +b10011 ~ +b10011 )" +b10011 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#2289500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2290000 +1s +1h +1g +0;" +1r +1q +05" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0w +0," +b10010000010000000110111111111111 / +b10010000010000000110111111111111 K +b10010000010000000110111111111111 e +b10010000010000000110111111111111 "" +b11101000000111000110010110110 0 +b11101000000111000110010110110 M +b11101000000111000110010110110 f +b11101000000111000110010110110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2290500 +b1011101011001010001011001110001 2 +b1011101011001010001011001110001 I +b1011101011001010001011001110001 Z +b10010 3 +b10010 J +b10010 Y +11 +b1011101011001010001011001110001 , +b1011101011001010001011001110001 H +b10010 + +b10010 G +1- +1. +0% +#2291000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b1011101011001010001011001110001 &" +b1011101011001010001011001110001 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2291010 +b1011101011001010001011001110001 U" +#2291500 +b1111 5 +b1111 L +b1111 W +14 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2292000 +0i +b11011111110110010100001001011000 { +b11011111110110010100001001011000 A" +0s +b11100110001110010101011000010 | +b11100110001110010101011000010 B" +1\ +0@" +0h +0g +19" +1:" +1;" +15" +16" +17" +b11111 3" +0<" +0` +b10000 0" +0j +0o +1p +1k +b10011 -" +0t +0y +1z +1u +0b +02" +1n +1m +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#2292500 +b11101 5 +b11101 L +b11101 W +b0 7 +b0 N +b0 V +b11101 ' +b11101 D +b0 & +b0 C +1. +0% +#2293000 +0k +1s +b10111101100100011011010111010111 | +b10111101100100011011010111010111 B" +1h +05" +06" +b10 0" +18" +1l +b10101000111001000110101001000110 { +b10101000111001000110101001000110 A" +b11111 -" +0z +0n +b11101 ~ +b11101 )" +b11101 ." +b0 } +b0 (" +b0 +" +b11011111110110010100001001011000 / +b11011111110110010100001001011000 K +b11011111110110010100001001011000 e +b11011111110110010100001001011000 "" +b11100110001110010101011000010 0 +b11100110001110010101011000010 M +b11100110001110010101011000010 f +b11100110001110010101011000010 !" +0. +1% +#2293500 +b0 5 +b0 L +b0 W +04 +06 +b1010000100010000010110000000001 2 +b1010000100010000010110000000001 I +b1010000100010000010110000000001 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +0( +b1010000100010000010110000000001 , +b1010000100010000010110000000001 H +b1001 + +b1001 G +1- +1. +0% +#2294000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1=" +1@" +1g +09" +0:" +0;" +07" +b10110 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0u +1a +12" +0m +0/" +0," +b10101000111001000110101001000110 / +b10101000111001000110101001000110 K +b10101000111001000110101001000110 e +b10101000111001000110101001000110 "" +b10111101100100011011010111010111 0 +b10111101100100011011010111010111 M +b10111101100100011011010111010111 f +b10111101100100011011010111010111 !" +b1010000100010000010110000000001 &" +b1010000100010000010110000000001 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0#" +0. +1% +#2294010 +b1010000100010000010110000000001 L" +#2294500 +b10110 5 +b10110 L +b10110 W +14 +b10000011100010011001110110010111 2 +b10000011100010011001110110010111 I +b10000011100010011001110110010111 Z +b11100 3 +b11100 J +b11100 Y +b10110 ' +b10110 D +1) +b10000011100010011001110110010111 , +b10000011100010011001110110010111 H +b11100 + +b11100 G +1. +0% +#2295000 +0_ +0c +0i +b10111111110011111011101001101000 { +b10111111110011111011101001101000 A" +1[ +1>" +0h +1:" +1;" +b11 3" +1<" +1` +1d +b1001 0" +1j +0k +18" +1l +0a +1n +1/" +b10000011100010011001110110010111 &" +b10000011100010011001110110010111 ?" +b11100 %" +b11100 *" +b11100 1" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2295010 +b10000011100010011001110110010111 _" +#2295500 +b11100 5 +b11100 L +b11100 W +b1010 7 +b1010 N +b1010 V +16 +b10000101101111101011101110111 2 +b10000101101111101011101110111 I +b10000101101111101011101110111 Z +b111 3 +b111 J +b111 Y +b11100 ' +b11100 D +b1010 & +b1010 C +1( +b10000101101111101011101110111 , +b10000101101111101011101110111 H +b111 + +b111 G +1. +0% +#2296000 +1_ +1^ +0j +0s +b1101101101000100101011011001 | +b1101101101000100101011011001 B" +0\ +0[ +1@" +0=" +1>" +1h +19" +0r +15" +17" +b11000 3" +0<" +0` +0d +b11 0" +1p +b10000011100010011001110110010111 { +b10000011100010011001110110010111 A" +b10101 -" +1y +1u +1b +1a +0n +1x +1," +b10111111110011111011101001101000 / +b10111111110011111011101001101000 K +b10111111110011111011101001101000 e +b10111111110011111011101001101000 "" +b10000101101111101011101110111 &" +b10000101101111101011101110111 ?" +b111 %" +b111 *" +b111 1" +b11100 ~ +b11100 )" +b11100 ." +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#2296010 +b10000101101111101011101110111 J" +#2296500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2297000 +1] +1i +1s +1\ +1[ +0>" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +05" +07" +b11111 3" +0^ +0_ +b11111 0" +0p +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10000011100010011001110110010111 / +b10000011100010011001110110010111 K +b10000011100010011001110110010111 e +b10000011100010011001110110010111 "" +b1101101101000100101011011001 0 +b1101101101000100101011011001 M +b1101101101000100101011011001 f +b1101101101000100101011011001 !" +0. +1% +#2297500 +b101 5 +b101 L +b101 W +14 +b11011 7 +b11011 N +b11011 V +16 +b101 ' +b101 D +1) +b11011 & +b11011 C +1( +1. +0% +#2298000 +0i +b11000011000011101010100001101111 { +b11000011000011101010100001101111 A" +0s +b11101000000111000110010110110 | +b11101000000111000110010110110 B" +0g +1:" +1;" +0r +0q +15" +17" +b11010 0" +1j +1k +b100 -" +1y +0u +14" +1v +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101 ~ +b101 )" +b101 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#2298500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11000110110001111011100000101101 2 +b11000110110001111011100000101101 I +b11000110110001111011100000101101 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11000110110001111011100000101101 , +b11000110110001111011100000101101 H +b1101 + +b1101 G +1- +1. +0% +#2299000 +0] +1i +1s +0[ +1=" +1>" +1@" +1g +0:" +0;" +1r +1q +05" +07" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0m +0/" +0x +0w +0," +b11000110110001111011100000101101 &" +b11000110110001111011100000101101 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000011000011101010100001101111 / +b11000011000011101010100001101111 K +b11000011000011101010100001101111 e +b11000011000011101010100001101111 "" +b11101000000111000110010110110 0 +b11101000000111000110010110110 M +b11101000000111000110010110110 f +b11101000000111000110010110110 !" +0. +1% +#2299010 +b11000110110001111011100000101101 P" +#2299500 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2300000 +1] +0s +b1010001111000111110000100101 | +b1010001111000111110000100101 B" +1[ +0=" +0>" +0@" +0r +16" +17" +b11111 3" +0d +0_ +b11001 -" +1t +1u +0a +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#2300500 +b10101 5 +b10101 L +b10101 W +14 +b10111 7 +b10111 N +b10111 V +b10101101000110001000001000110 2 +b10101101000110001000001000110 I +b10101101000110001000001000110 Z +b11011 3 +b11011 J +b11011 Y +11 +b10101 ' +b10101 D +1) +b10111 & +b10111 C +b10101101000110001000001000110 , +b10101101000110001000001000110 H +b11011 + +b11011 G +1- +1. +0% +#2301000 +0] +0i +b10110101000001001110001111011101 { +b10110101000001001110001111011101 A" +0u +0\ +0[ +1=" +1@" +0g +1:" +1;" +0q +b100 3" +1c +0_ +1<" +1` +b1010 0" +1j +0k +18" +1l +b1000 -" +14" +1v +b10100101010000000100111110011111 | +b10100101010000000100111110011111 B" +1b +1a +12" +1m +1/" +1w +b10101101000110001000001000110 &" +b10101101000110001000001000110 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b10111 } +b10111 (" +b10111 +" +b1010001111000111110000100101 0 +b1010001111000111110000100101 M +b1010001111000111110000100101 f +b1010001111000111110000100101 !" +0. +1% +#2301010 +b10101101000110001000001000110 ^" +#2301500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b11011010111111101011100101100000 2 +b11011010111111101011100101100000 I +b11011010111111101011100101100000 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +b10010 & +b10010 C +b11011010111111101011100101100000 , +b11011010111111101011100101100000 H +b101 + +b101 G +1. +0% +#2302000 +1>" +1_ +1^ +1i +1s +1\ +1@" +0=" +1g +0:" +0;" +1q +06" +b11010 3" +0<" +0` +0c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +0t +b1011101011001010001011001110001 | +b1011101011001010001011001110001 B" +0b +0m +0/" +0w +b10110101000001001110001111011101 / +b10110101000001001110001111011101 K +b10110101000001001110001111011101 e +b10110101000001001110001111011101 "" +b10100101010000000100111110011111 0 +b10100101010000000100111110011111 M +b10100101010000000100111110011111 f +b10100101010000000100111110011111 !" +b11011010111111101011100101100000 &" +b11011010111111101011100101100000 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#2302010 +b11011010111111101011100101100000 H" +#2302500 +b0 7 +b0 N +b0 V +06 +b11010001000000000010100001111101 2 +b11010001000000000010100001111101 I +b11010001000000000010100001111101 Z +b1111 3 +b1111 J +b1111 Y +b0 & +b0 C +0( +b11010001000000000010100001111101 , +b11010001000000000010100001111101 H +b1111 + +b1111 G +1. +0% +#2303000 +0^ +0\ +1=" +1r +07" +b10000 3" +1d +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0x +0," +b11010001000000000010100001111101 &" +b11010001000000000010100001111101 ?" +b1111 %" +b1111 *" +b1111 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011101011001010001011001110001 0 +b1011101011001010001011001110001 M +b1011101011001010001011001110001 f +b1011101011001010001011001110001 !" +0. +1% +#2303010 +b11010001000000000010100001111101 R" +#2303500 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2304000 +1] +b10111101100100011011010111010111 | +b10111101100100011011010111010111 B" +1\ +1[ +0=" +0>" +0@" +17" +b11111 3" +0d +0_ +1u +0b +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1#" +0. +1% +#2304500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#2305000 +0u +0s +0q +15" +16" +b10 -" +14" +1v +0t +0y +1z +b10101000111001000110101001000110 | +b10101000111001000110101001000110 B" +1w +b11101 } +b11101 (" +b11101 +" +b10111101100100011011010111010111 0 +b10111101100100011011010111010111 M +b10111101100100011011010111010111 f +b10111101100100011011010111010111 !" +0. +1% +#2305500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +1) +b0 & +b0 C +0( +1. +0% +#2306000 +b1101001110000111111010000000101 { +b1101001110000111111010000000101 A" +1s +0h +0g +1;" +1q +05" +06" +07" +b11100 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0w +0," +b10101000111001000110101001000110 0 +b10101000111001000110101001000110 M +b10101000111001000110101001000110 f +b10101000111001000110101001000110 !" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2306500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +1. +0% +#2307000 +0s +b10011011111100100110101111010100 | +b10011011111100100110101111010100 B" +1h +1g +0;" +0r +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0n +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b1101001110000111111010000000101 / +b1101001110000111111010000000101 K +b1101001110000111111010000000101 e +b1101001110000111111010000000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2307500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2308000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011111100100110101111010100 0 +b10011011111100100110101111010100 M +b10011011111100100110101111010100 f +b10011011111100100110101111010100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2308500 +b110010100010000111010011011001 2 +b110010100010000111010011011001 I +b110010100010000111010011011001 Z +b11001 3 +b11001 J +b11001 Y +11 +b110010100010000111010011011001 , +b110010100010000111010011011001 H +b11001 + +b11001 G +1- +1. +0% +#2309000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b110010100010000111010011011001 &" +b110010100010000111010011011001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2309010 +b110010100010000111010011011001 \" +#2309500 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2310000 +1] +b10111101100100011011010111010111 | +b10111101100100011011010111010111 B" +1[ +0=" +0@" +17" +b11111 3" +0c +0<" +0` +1u +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1#" +0. +1% +#2310500 +b1110 7 +b1110 N +b1110 V +b1110 & +b1110 C +1. +0% +#2311000 +0s +0r +15" +16" +b10001 -" +0t +0y +1z +b11011000000110010111111000010001 | +b11011000000110010111111000010001 B" +1x +b1110 } +b1110 (" +b1110 +" +b10111101100100011011010111010111 0 +b10111101100100011011010111010111 M +b10111101100100011011010111010111 f +b10111101100100011011010111010111 !" +0. +1% +#2311500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2312000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b11011000000110010111111000010001 0 +b11011000000110010111111000010001 M +b11011000000110010111111000010001 f +b11011000000110010111111000010001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2312500 +1. +0% +#2313000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2313500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#2314000 +b1011101011001010001011001110001 { +b1011101011001010001011001110001 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#2314500 +b10110 5 +b10110 L +b10110 W +b1010 7 +b1010 N +b1010 V +16 +b10110 ' +b10110 D +b1010 & +b1010 C +1( +1. +0% +#2315000 +0i +b10111111110011111011101001101000 { +b10111111110011111011101001101000 A" +0s +b1101101101000100101011011001 | +b1101101101000100101011011001 B" +1:" +0r +15" +17" +b1001 0" +1j +b10101 -" +1y +1u +1x +1," +b10110 ~ +b10110 )" +b10110 ." +b1010 } +b1010 (" +b1010 +" +1#" +b1011101011001010001011001110001 / +b1011101011001010001011001110001 K +b1011101011001010001011001110001 e +b1011101011001010001011001110001 "" +0. +1% +#2315500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b0 ' +b0 D +0) +b1110 & +b1110 C +1. +0% +#2316000 +1i +0y +b11011000000110010111111000010001 | +b11011000000110010111111000010001 B" +1h +0:" +0;" +16" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10001 -" +1z +0n +0/" +b10111111110011111011101001101000 / +b10111111110011111011101001101000 K +b10111111110011111011101001101000 e +b10111111110011111011101001101000 "" +b1101101101000100101011011001 0 +b1101101101000100101011011001 M +b1101101101000100101011011001 f +b1101101101000100101011011001 !" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#2316500 +b0 7 +b0 N +b0 V +06 +b11011010101100011101101001001001 2 +b11011010101100011101101001001001 I +b11011010101100011101101001001001 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 & +b0 C +0( +b11011010101100011101101001001001 , +b11011010101100011101101001001001 H +b11110 + +b11110 G +1- +1. +0% +#2317000 +0] +1s +0\ +1=" +1>" +1@" +1r +05" +06" +07" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0," +b11011010101100011101101001001001 &" +b11011010101100011101101001001001 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011000000110010111111000010001 0 +b11011000000110010111111000010001 M +b11011000000110010111111000010001 f +b11011000000110010111111000010001 !" +0. +1% +#2317010 +b11011010101100011101101001001001 a" +#2317500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2318000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2318500 +1. +0% +#2319000 +0. +1% +#2319500 +b11101 7 +b11101 N +b11101 V +16 +b1011001001100010110011001001110 2 +b1011001001100010110011001001110 I +b1011001001100010110011001001110 Z +b110 3 +b110 J +b110 Y +11 +b11101 & +b11101 C +1( +b1011001001100010110011001001110 , +b1011001001100010110011001001110 H +b110 + +b110 G +1- +1. +0% +#2320000 +0] +0s +b10101000111001000110101001000110 | +b10101000111001000110101001000110 B" +0\ +1>" +1@" +0q +15" +16" +17" +b11001 3" +1^ +1_ +b10 -" +0t +0y +1z +0u +14" +1v +1b +12" +1w +1," +b1011001001100010110011001001110 &" +b1011001001100010110011001001110 ?" +b110 %" +b110 *" +b110 1" +1'" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#2320010 +b1011001001100010110011001001110 I" +#2320500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b10000000100011010001000011110001 2 +b10000000100011010001000011110001 I +b10000000100011010001000011110001 Z +b0 3 +b0 J +b0 Y +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b10000000100011010001000011110001 , +b10000000100011010001000011110001 H +b0 + +b0 G +1. +0% +#2321000 +1] +0i +b11011010101100011101101001001001 { +b11011010101100011101101001001001 A" +1s +1\ +0>" +0h +19" +1:" +1;" +1q +05" +06" +07" +b11111 3" +0^ +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1n +1/" +0w +0," +b10000000100011010001000011110001 &" +b10000000100011010001000011110001 ?" +b0 %" +b0 *" +b0 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10101000111001000110101001000110 0 +b10101000111001000110101001000110 M +b10101000111001000110101001000110 f +b10101000111001000110101001000110 !" +0. +1% +#2321010 +b10000000100011010001000011110001 C" +#2321500 +b11100 5 +b11100 L +b11100 W +b11010 7 +b11010 N +b11010 V +16 +b1000111001000110111101001000000 2 +b1000111001000110111101001000000 I +b1000111001000110111101001000000 Z +b11011 3 +b11011 J +b11011 Y +b11100 ' +b11100 D +b11010 & +b11010 C +1( +b1000111001000110111101001000000 , +b1000111001000110111101001000000 H +b11011 + +b11011 G +1. +0% +#2322000 +0_ +0] +0s +b11111110000010111110011011010 | +b11111110000010111110011011010 B" +0\ +0[ +1=" +1h +0r +15" +17" +b100 3" +1<" +1` +1c +b11 0" +b10000011100010011001110110010111 { +b10000011100010011001110110010111 A" +b101 -" +1y +0u +14" +1v +1b +1a +0n +1x +1," +b11011010101100011101101001001001 / +b11011010101100011101101001001001 K +b11011010101100011101101001001001 e +b11011010101100011101101001001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000111001000110111101001000000 &" +b1000111001000110111101001000000 ?" +b11011 %" +b11011 *" +b11011 1" +b11100 ~ +b11100 )" +b11100 ." +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#2322010 +b1000111001000110111101001000000 ^" +#2322500 +b110 5 +b110 L +b110 W +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2323000 +16" +1] +1k +1j +1t +b10111111110011111011101001101000 | +b10111111110011111011101001101000 B" +1\ +1[ +0=" +0@" +0h +1;" +09" +1:" +05" +b11111 3" +0c +0<" +0` +b11001 0" +08" +0l +0p +b1011001001100010110011001001110 { +b1011001001100010110011001001110 A" +b1001 -" +0y +0b +0a +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b10110 } +b10110 (" +b10110 +" +b10000011100010011001110110010111 / +b10000011100010011001110110010111 K +b10000011100010011001110110010111 e +b10000011100010011001110110010111 "" +b11111110000010111110011011010 0 +b11111110000010111110011011010 M +b11111110000010111110011011010 f +b11111110000010111110011011010 !" +0. +1% +#2323500 +b10011 5 +b10011 L +b10011 W +b0 7 +b0 N +b0 V +06 +b10101100010011100001001000101110 2 +b10101100010011100001001000101110 I +b10101100010011100001001000101110 Z +b1010 3 +b1010 J +b1010 Y +11 +b10011 ' +b10011 D +b0 & +b0 C +0( +b10101100010011100001001000101110 , +b10101100010011100001001000101110 H +b1010 + +b1010 G +1- +1. +0% +#2324000 +0] +0k +1i +1s +0\ +1=" +1@" +0g +0:" +1r +06" +07" +b10101 3" +1c +1_ +b1100 0" +18" +1l +0j +b10010000010000000110111111111111 { +b10010000010000000110111111111111 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1m +0x +0," +b1011001001100010110011001001110 / +b1011001001100010110011001001110 K +b1011001001100010110011001001110 e +b1011001001100010110011001001110 "" +b10111111110011111011101001101000 0 +b10111111110011111011101001101000 M +b10111111110011111011101001101000 f +b10111111110011111011101001101000 !" +b10101100010011100001001000101110 &" +b10101100010011100001001000101110 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2324010 +b10101100010011100001001000101110 M" +#2324500 +b1010 5 +b1010 L +b1010 W +b10010101011010100000100010110100 2 +b10010101011010100000100010110100 I +b10010101011010100000100010110100 Z +b11 3 +b11 J +b11 Y +b1010 ' +b1010 D +b10010101011010100000100010110100 , +b10010101011010100000100010110100 H +b11 + +b11 G +1. +0% +#2325000 +1] +1k +0i +0[ +0=" +1g +1;" +19" +b11100 3" +0c +b10101 0" +08" +0l +1o +b10101100010011100001001000101110 { +b10101100010011100001001000101110 A" +1a +0m +b10010101011010100000100010110100 &" +b10010101011010100000100010110100 ?" +b11 %" +b11 *" +b11 1" +b1010 ~ +b1010 )" +b1010 ." +b10010000010000000110111111111111 / +b10010000010000000110111111111111 K +b10010000010000000110111111111111 e +b10010000010000000110111111111111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2325010 +b10010101011010100000100010110100 F" +#2325500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b111010000101111110111101010000 2 +b111010000101111110111101010000 I +b111010000101111110111101010000 Z +b11000 3 +b11000 J +b11000 Y +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b111010000101111110111101010000 , +b111010000101111110111101010000 H +b11000 + +b11000 G +1. +0% +#2326000 +0_ +0] +1i +0s +b110010100010000111010011011001 | +b110010100010000111010011011001 B" +1\ +1[ +1=" +1h +09" +0;" +0q +15" +17" +b111 3" +1<" +1` +1c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +1y +0u +14" +1v +0b +0a +0n +0/" +1w +1," +b10101100010011100001001000101110 / +b10101100010011100001001000101110 K +b10101100010011100001001000101110 e +b10101100010011100001001000101110 "" +b111010000101111110111101010000 &" +b111010000101111110111101010000 ?" +b11000 %" +b11000 *" +b11000 1" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#2326010 +b111010000101111110111101010000 [" +#2326500 +b10001 5 +b10001 L +b10001 W +14 +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2327000 +1] +b1000111110000100001110101110101 { +b1000111110000100001110101110101 A" +0=" +0@" +0g +1;" +1q +b11111 3" +0c +0<" +0` +b1110 0" +0k +18" +1l +b111 -" +b111010000101111110111101010000 | +b111010000101111110111101010000 B" +02" +1m +1/" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b11000 } +b11000 (" +b11000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110010100010000111010011011001 0 +b110010100010000111010011011001 M +b110010100010000111010011011001 f +b110010100010000111010011011001 !" +0. +1% +#2327500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2328000 +1s +1g +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +04" +0v +0m +0/" +0," +b1000111110000100001110101110101 / +b1000111110000100001110101110101 K +b1000111110000100001110101110101 e +b1000111110000100001110101110101 "" +b111010000101111110111101010000 0 +b111010000101111110111101010000 M +b111010000101111110111101010000 f +b111010000101111110111101010000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2328500 +b10100 5 +b10100 L +b10100 W +14 +b101 7 +b101 N +b101 V +16 +b10100 ' +b10100 D +1) +b101 & +b101 C +1( +1. +0% +#2329000 +0i +b1101110101010011001100001001010 { +b1101110101010011001100001001010 A" +0s +b11011010111111101011100101100000 | +b11011010111111101011100101100000 B" +1:" +1;" +0q +16" +17" +b1011 0" +1j +0k +18" +1l +b11010 -" +1t +1u +1/" +1w +1," +b10100 ~ +b10100 )" +b10100 ." +1$" +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2329500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b0 ' +b0 D +0) +b11000 & +b11000 C +1. +0% +#2330000 +15" +1i +0u +1y +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +06" +b11111 0" +0j +08" +0l +b111 -" +14" +1v +0t +b111010000101111110111101010000 | +b111010000101111110111101010000 B" +0/" +0w +b1101110101010011001100001001010 / +b1101110101010011001100001001010 K +b1101110101010011001100001001010 e +b1101110101010011001100001001010 "" +b11011010111111101011100101100000 0 +b11011010111111101011100101100000 M +b11011010111111101011100101100000 f +b11011010111111101011100101100000 !" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +0. +1% +#2330500 +b101 7 +b101 N +b101 V +b101 & +b101 C +1. +0% +#2331000 +16" +1u +1t +0q +17" +05" +b11010 -" +04" +0v +0y +b11011010111111101011100101100000 | +b11011010111111101011100101100000 B" +1w +b101 } +b101 (" +b101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111010000101111110111101010000 0 +b111010000101111110111101010000 M +b111010000101111110111101010000 f +b111010000101111110111101010000 !" +0. +1% +#2331500 +b0 7 +b0 N +b0 V +06 +b110001011000000110000011011111 2 +b110001011000000110000011011111 I +b110001011000000110000011011111 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 & +b0 C +0( +b110001011000000110000011011111 , +b110001011000000110000011011111 H +b11111 + +b11111 G +1- +1. +0% +#2332000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1q +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b11011010111111101011100101100000 0 +b11011010111111101011100101100000 M +b11011010111111101011100101100000 f +b11011010111111101011100101100000 !" +b110001011000000110000011011111 &" +b110001011000000110000011011111 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2332500 +b11000 5 +b11000 L +b11000 W +14 +b1001110000111111011110010111100 2 +b1001110000111111011110010111100 I +b1001110000111111011110010111100 Z +b1000 3 +b1000 J +b1000 Y +b11000 ' +b11000 D +1) +b1001110000111111011110010111100 , +b1001110000111111011110010111100 H +b1000 + +b1000 G +1. +0% +#2333000 +1_ +1c +0i +b111010000101111110111101010000 { +b111010000101111110111101010000 A" +1\ +1[ +1@" +1=" +0>" +19" +1;" +b10111 3" +0<" +0` +0d +b111 0" +1o +0k +18" +1l +0b +0a +1/" +b1001110000111111011110010111100 &" +b1001110000111111011110010111100 ?" +b1000 %" +b1000 *" +b1000 1" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2333010 +b1001110000111111011110010111100 K" +#2333500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b11111111101100101111011000100100 2 +b11111111101100101111011000100100 I +b11111111101100101111011000100100 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b11111111101100101111011000100100 , +b11111111101100101111011000100100 H +b10111 + +b10111 G +1. +0% +#2334000 +1>" +0_ +1^ +1i +0s +b10101100010011100001001000101110 | +b10101100010011100001001000101110 B" +0\ +0[ +0=" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +15" +17" +b1000 3" +1<" +1` +0c +b11111 0" +0o +08" +0l +b10101 -" +1y +1u +1b +1a +0/" +1x +1," +b111010000101111110111101010000 / +b111010000101111110111101010000 K +b111010000101111110111101010000 e +b111010000101111110111101010000 "" +b11111111101100101111011000100100 &" +b11111111101100101111011000100100 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#2334010 +b11111111101100101111011000100100 Z" +#2334500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2335000 +1] +1s +1\ +1[ +0>" +0@" +1r +05" +07" +b11111 3" +0^ +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101100010011100001001000101110 0 +b10101100010011100001001000101110 M +b10101100010011100001001000101110 f +b10101100010011100001001000101110 !" +0. +1% +#2335500 +b11 5 +b11 L +b11 W +14 +b11011 7 +b11011 N +b11011 V +16 +b11 ' +b11 D +1) +b11011 & +b11011 C +1( +1. +0% +#2336000 +b10010101011010100000100010110100 { +b10010101011010100000100010110100 A" +0s +b1000111001000110111101001000000 | +b1000111001000110111101001000000 B" +0h +0g +1;" +0r +0q +15" +17" +b11100 0" +1k +b100 -" +1y +0u +14" +1v +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11 ~ +b11 )" +b11 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#2336500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 ' +b0 D +0) +b11100 & +b11100 C +1. +0% +#2337000 +0y +1h +1g +0;" +1r +1q +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +1z +b10000011100010011001110110010111 | +b10000011100010011001110110010111 B" +0n +0m +0/" +0x +0w +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b10010101011010100000100010110100 / +b10010101011010100000100010110100 K +b10010101011010100000100010110100 e +b10010101011010100000100010110100 "" +b1000111001000110111101001000000 0 +b1000111001000110111101001000000 M +b1000111001000110111101001000000 f +b1000111001000110111101001000000 !" +0. +1% +#2337500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2338000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000011100010011001110110010111 0 +b10000011100010011001110110010111 M +b10000011100010011001110110010111 f +b10000011100010011001110110010111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2338500 +b1110 5 +b1110 L +b1110 W +14 +b10010 7 +b10010 N +b10010 V +16 +b1110 ' +b1110 D +1) +b10010 & +b10010 C +1( +1. +0% +#2339000 +0i +b11011000000110010111111000010001 { +b11011000000110010111111000010001 A" +b1011101011001010001011001110001 | +b1011101011001010001011001110001 B" +0h +19" +1:" +1;" +0r +17" +b10001 0" +0j +0o +1p +1k +b1101 -" +0u +14" +1v +1n +1/" +1x +1," +b1110 ~ +b1110 )" +b1110 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2339500 +b10000 5 +b10000 L +b10000 W +b0 7 +b0 N +b0 V +06 +b10011111110000010010011001111101 2 +b10011111110000010010011001111101 I +b10011111110000010010011001111101 Z +b11010 3 +b11010 J +b11010 Y +11 +b10000 ' +b10000 D +b0 & +b0 C +0( +b10011111110000010010011001111101 , +b10011111110000010010011001111101 H +b11010 + +b11010 G +1- +1. +0% +#2340000 +0] +0k +1i +0\ +1=" +1@" +1h +09" +0:" +1r +07" +b101 3" +1c +0_ +1<" +1` +b1111 0" +18" +1l +0p +b1100011000011110100000010110 { +b1100011000011110100000010110 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0n +0x +0," +b11011000000110010111111000010001 / +b11011000000110010111111000010001 K +b11011000000110010111111000010001 e +b11011000000110010111111000010001 "" +b1011101011001010001011001110001 0 +b1011101011001010001011001110001 M +b1011101011001010001011001110001 f +b1011101011001010001011001110001 !" +b10011111110000010010011001111101 &" +b10011111110000010010011001111101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2340010 +b10011111110000010010011001111101 ]" +#2340500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2341000 +1] +1\ +0=" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0c +0<" +0` +b11111 0" +08" +0l +0b +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100011000011110100000010110 / +b1100011000011110100000010110 K +b1100011000011110100000010110 e +b1100011000011110100000010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2341500 +b10110 5 +b10110 L +b10110 W +14 +b1110 7 +b1110 N +b1110 V +16 +b110101001001001011001101011 2 +b110101001001001011001101011 I +b110101001001001011001101011 Z +b110 3 +b110 J +b110 Y +11 +b10110 ' +b10110 D +1) +b1110 & +b1110 C +1( +b110101001001001011001101011 , +b110101001001001011001101011 H +b110 + +b110 G +1- +1. +0% +#2342000 +0] +0i +b10111111110011111011101001101000 { +b10111111110011111011101001101000 A" +0s +b11011000000110010111111000010001 | +b11011000000110010111111000010001 B" +0\ +1>" +1@" +0h +1:" +1;" +0r +15" +16" +17" +b11001 3" +1^ +1_ +b1001 0" +1j +0k +18" +1l +b10001 -" +0t +0y +1z +1u +1b +12" +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110101001001001011001101011 &" +b110101001001001011001101011 ?" +b110 %" +b110 *" +b110 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#2342010 +b110101001001001011001101011 I" +#2342500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11100 & +b11100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2343000 +1] +1i +0u +1\ +0>" +0@" +1h +0:" +0;" +1r +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +14" +1v +b10000011100010011001110110010111 | +b10000011100010011001110110010111 B" +0b +02" +0n +0/" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b10111111110011111011101001101000 / +b10111111110011111011101001101000 K +b10111111110011111011101001101000 e +b10111111110011111011101001101000 "" +b11011000000110010111111000010001 0 +b11011000000110010111111000010001 M +b11011000000110010111111000010001 f +b11011000000110010111111000010001 !" +0. +1% +#2343500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2344000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000011100010011001110110010111 0 +b10000011100010011001110110010111 M +b10000011100010011001110110010111 f +b10000011100010011001110110010111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2344500 +1. +0% +#2345000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2345500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#2346000 +0s +b1101110101010011001100001001010 | +b1101110101010011001100001001010 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#2346500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1000001010000011010001110110010 2 +b1000001010000011010001110110010 I +b1000001010000011010001110110010 Z +b111 3 +b111 J +b111 Y +11 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +b1000001010000011010001110110010 , +b1000001010000011010001110110010 H +b111 + +b111 G +1- +1. +0% +#2347000 +0] +0i +b11011000000110010111111000010001 { +b11011000000110010111111000010001 A" +1s +0\ +0[ +1>" +1@" +0h +19" +1:" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11000 3" +1^ +1_ +b10001 0" +0j +0o +1p +1k +b11111 -" +0t +04" +0v +1b +1a +12" +1n +1/" +0," +b1000001010000011010001110110010 &" +b1000001010000011010001110110010 ?" +b111 %" +b111 *" +b111 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1101110101010011001100001001010 0 +b1101110101010011001100001001010 M +b1101110101010011001100001001010 f +b1101110101010011001100001001010 !" +0. +1% +#2347010 +b1000001010000011010001110110010 J" +#2347500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2348000 +1] +1i +1\ +1[ +0>" +0@" +1h +09" +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0/" +b11011000000110010111111000010001 / +b11011000000110010111111000010001 K +b11011000000110010111111000010001 e +b11011000000110010111111000010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2348500 +b11101 5 +b11101 L +b11101 W +14 +b1010 7 +b1010 N +b1010 V +16 +b11101 ' +b11101 D +1) +b1010 & +b1010 C +1( +1. +0% +#2349000 +0i +b10101000111001000110101001000110 { +b10101000111001000110101001000110 A" +0s +b10101100010011100001001000101110 | +b10101100010011100001001000101110 B" +0g +19" +1:" +1;" +0r +15" +17" +b10 0" +0j +0o +1p +0k +18" +1l +b10101 -" +1y +1u +1m +1/" +1x +1," +b11101 ~ +b11101 )" +b11101 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2349500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101010100101101001000101001000 2 +b101010100101101001000101001000 I +b101010100101101001000101001000 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101010100101101001000101001000 , +b101010100101101001000101001000 H +b11100 + +b11100 G +1- +1. +0% +#2350000 +0] +1i +1s +1=" +1>" +1@" +1g +09" +0:" +0;" +1r +05" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0m +0/" +0x +0," +b10101000111001000110101001000110 / +b10101000111001000110101001000110 K +b10101000111001000110101001000110 e +b10101000111001000110101001000110 "" +b10101100010011100001001000101110 0 +b10101100010011100001001000101110 M +b10101100010011100001001000101110 f +b10101100010011100001001000101110 !" +b101010100101101001000101001000 &" +b101010100101101001000101001000 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2350010 +b101010100101101001000101001000 _" +#2350500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2351000 +1] +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2351500 +16 +b1010001111111010111010101101100 2 +b1010001111111010111010101101100 I +b1010001111111010111010101101100 Z +b11111 3 +b11111 J +b11111 Y +11 +1( +b1010001111111010111010101101100 , +b1010001111111010111010101101100 H +b11111 + +b11111 G +1- +1. +0% +#2352000 +0] +b10000000100011010001000011110001 | +b10000000100011010001000011110001 B" +0\ +0[ +1=" +1>" +1@" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1u +1b +1a +12" +1," +b1010001111111010111010101101100 &" +b1010001111111010111010101101100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +1#" +0. +1% +#2352500 +b111 5 +b111 L +b111 W +14 +b11000 7 +b11000 N +b11000 V +b10010111001110100101000010100010 2 +b10010111001110100101000010100010 I +b10010111001110100101000010100010 Z +b1001 3 +b1001 J +b1001 Y +b111 ' +b111 D +1) +b11000 & +b11000 C +b10010111001110100101000010100010 , +b10010111001110100101000010100010 H +b1001 + +b1001 G +1. +0% +#2353000 +1_ +1c +0i +b1000001010000011010001110110010 { +b1000001010000011010001110110010 A" +0u +0s +1\ +1@" +1=" +0>" +0h +0g +1:" +1;" +b111010000101111110111101010000 | +b111010000101111110111101010000 B" +15" +b10110 3" +0<" +0` +0d +b11000 0" +1j +1k +b111 -" +14" +1v +1y +0b +1n +1m +1/" +b10010111001110100101000010100010 &" +b10010111001110100101000010100010 ?" +b1001 %" +b1001 *" +b1001 1" +b111 ~ +b111 )" +b111 ." +1$" +b11000 } +b11000 (" +b11000 +" +b10000000100011010001000011110001 0 +b10000000100011010001000011110001 M +b10000000100011010001000011110001 f +b10000000100011010001000011110001 !" +0. +1% +#2353010 +b10010111001110100101000010100010 L" +#2353500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11010100100001001000111001110001 2 +b11010100100001001000111001110001 I +b11010100100001001000111001110001 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11010100100001001000111001110001 , +b11010100100001001000111001110001 H +b11100 + +b11100 G +1. +0% +#2354000 +0_ +0c +1i +1s +1[ +1>" +1h +1g +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11 3" +1<" +1` +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +0a +0n +0m +0/" +0," +b1000001010000011010001110110010 / +b1000001010000011010001110110010 K +b1000001010000011010001110110010 e +b1000001010000011010001110110010 "" +b111010000101111110111101010000 0 +b111010000101111110111101010000 M +b111010000101111110111101010000 f +b111010000101111110111101010000 !" +b11010100100001001000111001110001 &" +b11010100100001001000111001110001 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2354010 +b11010100100001001000111001110001 _" +#2354500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2355000 +1] +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2355500 +1. +0% +#2356000 +0. +1% +#2356500 +b110101010101101111110101010000 2 +b110101010101101111110101010000 I +b110101010101101111110101010000 Z +b11000 3 +b11000 J +b11000 Y +11 +b110101010101101111110101010000 , +b110101010101101111110101010000 H +b11000 + +b11000 G +1- +1. +0% +#2357000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b110101010101101111110101010000 &" +b110101010101101111110101010000 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0. +1% +#2357010 +b110101010101101111110101010000 [" +#2357500 +b10110 5 +b10110 L +b10110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2358000 +1] +0i +b10111111110011111011101001101000 { +b10111111110011111011101001101000 A" +0=" +0@" +0h +1:" +1;" +b11111 3" +0c +0<" +0` +b1001 0" +1j +0k +18" +1l +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#2358500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b10011010100000000011001001001001 2 +b10011010100000000011001001001001 I +b10011010100000000011001001001001 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +b10011010100000000011001001001001 , +b10011010100000000011001001001001 H +b11100 + +b11100 G +1- +1. +0% +#2359000 +0] +1i +0s +b11011000000110010111111000010001 | +b11011000000110010111111000010001 B" +1=" +1>" +1@" +1h +0:" +0;" +0r +15" +16" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10001 -" +0t +0y +1z +1u +12" +0n +0/" +1x +1," +b10011010100000000011001001001001 &" +b10011010100000000011001001001001 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +b10111111110011111011101001101000 / +b10111111110011111011101001101000 K +b10111111110011111011101001101000 e +b10111111110011111011101001101000 "" +0. +1% +#2359010 +b10011010100000000011001001001001 _" +#2359500 +b11101 5 +b11101 L +b11101 W +14 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2360000 +1] +0i +b10101000111001000110101001000110 { +b10101000111001000110101001000110 A" +1t +0=" +0>" +0@" +0g +19" +1:" +1;" +1r +05" +16" +b11111 3" +0d +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +b11011 -" +0z +b11010001101100101100110100010101 | +b11010001101100101100110100010101 B" +02" +1m +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011000000110010111111000010001 0 +b11011000000110010111111000010001 M +b11011000000110010111111000010001 f +b11011000000110010111111000010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#2360500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b0 ' +b0 D +0) +b111 & +b111 C +1. +0% +#2361000 +1i +1g +09" +0:" +0;" +0r +0q +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +b1000001010000011010001110110010 | +b1000001010000011010001110110010 B" +0m +0/" +1x +1w +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +b10101000111001000110101001000110 / +b10101000111001000110101001000110 K +b10101000111001000110101001000110 e +b10101000111001000110101001000110 "" +b11010001101100101100110100010101 0 +b11010001101100101100110100010101 M +b11010001101100101100110100010101 f +b11010001101100101100110100010101 !" +0. +1% +#2361500 +b1000 5 +b1000 L +b1000 W +14 +b110 7 +b110 N +b110 V +b1000 ' +b1000 D +1) +b110 & +b110 C +1. +0% +#2362000 +0i +b1001110000111111011110010111100 { +b1001110000111111011110010111100 A" +19" +1;" +1q +b10111 0" +1o +1k +b11001 -" +b110101001001001011001101011 | +b110101001001001011001101011 B" +1/" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000001010000011010001110110010 0 +b1000001010000011010001110110010 M +b1000001010000011010001110110010 f +b1000001010000011010001110110010 !" +b1000 ~ +b1000 )" +b1000 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#2362500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11111101101100110100001011000111 2 +b11111101101100110100001011000111 I +b11111101101100110100001011000111 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11111101101100110100001011000111 , +b11111101101100110100001011000111 H +b1101 + +b1101 G +1- +1. +0% +#2363000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0[ +1=" +1>" +1@" +09" +0;" +1r +06" +07" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0o +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0/" +0x +0," +b11111101101100110100001011000111 &" +b11111101101100110100001011000111 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001110000111111011110010111100 / +b1001110000111111011110010111100 K +b1001110000111111011110010111100 e +b1001110000111111011110010111100 "" +b110101001001001011001101011 0 +b110101001001001011001101011 M +b110101001001001011001101011 f +b110101001001001011001101011 !" +0. +1% +#2363010 +b11111101101100110100001011000111 P" +#2363500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2364000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2364500 +b1010111111010000001001011001110 2 +b1010111111010000001001011001110 I +b1010111111010000001001011001110 Z +b10010 3 +b10010 J +b10010 Y +11 +b1010111111010000001001011001110 , +b1010111111010000001001011001110 H +b10010 + +b10010 G +1- +1. +0% +#2365000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b1010111111010000001001011001110 &" +b1010111111010000001001011001110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +0. +1% +#2365010 +b1010111111010000001001011001110 U" +#2365500 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2366000 +b10010101011010100000100010110100 | +b10010101011010100000100010110100 B" +1\ +0@" +0r +0q +17" +b11111 3" +0<" +0` +b11100 -" +1u +0b +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#2366500 +14 +b0 7 +b0 N +b0 V +06 +1) +b0 & +b0 C +0( +1. +0% +#2367000 +b10000000100011010001000011110001 { +b10000000100011010001000011110001 A" +1;" +1r +1q +07" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0w +0," +1$" +b0 } +b0 (" +b0 +" +0#" +b10010101011010100000100010110100 0 +b10010101011010100000100010110100 M +b10010101011010100000100010110100 f +b10010101011010100000100010110100 !" +0. +1% +#2367500 +b1100 5 +b1100 L +b1100 W +b1100 ' +b1100 D +1. +0% +#2368000 +0i +b11100110001110010101011000010 { +b11100110001110010101011000010 A" +19" +1:" +b10011 0" +0j +0o +1p +b10000000100011010001000011110001 / +b10000000100011010001000011110001 K +b10000000100011010001000011110001 e +b10000000100011010001000011110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100 ~ +b1100 )" +b1100 ." +0. +1% +#2368500 +b0 5 +b0 L +b0 W +04 +b10111101010010001100000010110101 2 +b10111101010010001100000010110101 I +b10111101010010001100000010110101 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b10111101010010001100000010110101 , +b10111101010010001100000010110101 H +b10011 + +b10011 G +1- +1. +0% +#2369000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +0[ +1@" +09" +0:" +0;" +b1100 3" +0_ +1<" +1` +b11111 0" +0p +0k +1b +1a +12" +0/" +b10111101010010001100000010110101 &" +b10111101010010001100000010110101 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100110001110010101011000010 / +b11100110001110010101011000010 K +b11100110001110010101011000010 e +b11100110001110010101011000010 "" +0. +1% +#2369010 +b10111101010010001100000010110101 V" +#2369500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2370000 +1\ +1[ +0@" +b11111 3" +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2370500 +b10100010111100000111011101010010 2 +b10100010111100000111011101010010 I +b10100010111100000111011101010010 Z +b11000 3 +b11000 J +b11000 Y +11 +b10100010111100000111011101010010 , +b10100010111100000111011101010010 H +b11000 + +b11000 G +1- +1. +0% +#2371000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b10100010111100000111011101010010 &" +b10100010111100000111011101010010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0. +1% +#2371010 +b10100010111100000111011101010010 [" +#2371500 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2372000 +1] +0s +b1101110101010011001100001001010 | +b1101110101010011001100001001010 B" +0=" +0@" +16" +17" +b11111 3" +0c +0<" +0` +b1011 -" +1t +0u +14" +1v +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#2372500 +b11011 5 +b11011 L +b11011 W +14 +b11011 7 +b11011 N +b11011 V +b11011 ' +b11011 D +1) +b11011 & +b11011 C +1. +0% +#2373000 +15" +0i +b1000111001000110111101001000000 { +b1000111001000110111101001000000 A" +1y +0h +0g +19" +1;" +0r +0q +06" +b100 0" +1o +0k +18" +1l +b100 -" +0t +b1000111001000110111101001000000 | +b1000111001000110111101001000000 B" +1n +1m +1/" +1x +1w +b11011 ~ +b11011 )" +b11011 ." +1$" +b11011 } +b11011 (" +b11011 +" +b1101110101010011001100001001010 0 +b1101110101010011001100001001010 M +b1101110101010011001100001001010 f +b1101110101010011001100001001010 !" +0. +1% +#2373500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b111001110001110001010000010011 2 +b111001110001110001010000010011 I +b111001110001110001010000010011 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +b111001110001110001010000010011 , +b111001110001110001010000010011 H +b11101 + +b11101 G +1- +1. +0% +#2374000 +0] +1i +1s +0[ +1=" +1>" +1@" +1h +1g +09" +0;" +1r +05" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0y +b1000111110000100001110101110101 | +b1000111110000100001110101110101 B" +1a +12" +0n +0m +0/" +0x +b1000111001000110111101001000000 / +b1000111001000110111101001000000 K +b1000111001000110111101001000000 e +b1000111001000110111101001000000 "" +b1000111001000110111101001000000 0 +b1000111001000110111101001000000 M +b1000111001000110111101001000000 f +b1000111001000110111101001000000 !" +b111001110001110001010000010011 &" +b111001110001110001010000010011 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#2374010 +b111001110001110001010000010011 `" +#2374500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2375000 +1] +0i +b11010001000000000010100001111101 { +b11010001000000000010100001111101 A" +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +1q +07" +b11111 3" +0d +0<" +0` +b10000 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +1m +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000111110000100001110101110101 0 +b1000111110000100001110101110101 M +b1000111110000100001110101110101 f +b1000111110000100001110101110101 !" +0. +1% +#2375500 +b10101 5 +b10101 L +b10101 W +b10101 ' +b10101 D +1. +0% +#2376000 +0k +1j +1h +09" +1:" +b1010 0" +18" +1l +0p +b10110101000001001110001111011101 { +b10110101000001001110001111011101 A" +0n +b11010001000000000010100001111101 / +b11010001000000000010100001111101 K +b11010001000000000010100001111101 e +b11010001000000000010100001111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#2376500 +b11010 5 +b11010 L +b11010 W +b11111110101100011011011010111100 2 +b11111110101100011011011010111100 I +b11111110101100011011011010111100 Z +11 +b11010 ' +b11010 D +b11111110101100011011011010111100 , +b11111110101100011011011010111100 H +1- +1. +0% +#2377000 +19" +1o +1@" +0h +1g +0:" +1_ +b101 0" +0j +b10011111110000010010011001111101 { +b10011111110000010010011001111101 A" +12" +1n +0m +b11111110101100011011011010111100 &" +b11111110101100011011011010111100 ?" +1'" +b11010 ~ +b11010 )" +b11010 ." +b10110101000001001110001111011101 / +b10110101000001001110001111011101 K +b10110101000001001110001111011101 e +b10110101000001001110001111011101 "" +0. +1% +#2377010 +b11111110101100011011011010111100 C" +#2377500 +b10101 5 +b10101 L +b10101 W +b1101000111000100111010000011100 2 +b1101000111000100111010000011100 I +b1101000111000100111010000011100 Z +b10000 3 +b10000 J +b10000 Y +b10101 ' +b10101 D +b1101000111000100111010000011100 , +b1101000111000100111010000011100 H +b10000 + +b10000 G +1. +0% +#2378000 +1:" +0_ +1j +1h +0g +09" +b1111 3" +1<" +1` +b1010 0" +0o +b10110101000001001110001111011101 { +b10110101000001001110001111011101 A" +0n +1m +b10011111110000010010011001111101 / +b10011111110000010010011001111101 K +b10011111110000010010011001111101 e +b10011111110000010010011001111101 "" +b1101000111000100111010000011100 &" +b1101000111000100111010000011100 ?" +b10000 %" +b10000 *" +b10000 1" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#2378010 +b1101000111000100111010000011100 S" +#2378500 +b110 5 +b110 L +b110 W +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2379000 +1k +0s +b1010001111111010111010101101100 | +b1010001111111010111010101101100 B" +0@" +0h +1g +1;" +0r +0q +15" +16" +17" +b11111 3" +0<" +0` +b11001 0" +08" +0l +b110101001001001011001101011 { +b110101001001001011001101011 A" +b0 -" +0t +0y +1z +0u +14" +1v +02" +1n +0m +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b11111 } +b11111 (" +b11111 +" +1#" +b10110101000001001110001111011101 / +b10110101000001001110001111011101 K +b10110101000001001110001111011101 e +b10110101000001001110001111011101 "" +0. +1% +#2379500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2380000 +1i +1s +1h +0:" +0;" +1r +1q +05" +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0x +0w +0," +b110101001001001011001101011 / +b110101001001001011001101011 K +b110101001001001011001101011 e +b110101001001001011001101011 "" +b1010001111111010111010101101100 0 +b1010001111111010111010101101100 M +b1010001111111010111010101101100 f +b1010001111111010111010101101100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2380500 +1. +0% +#2381000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2381500 +1. +0% +#2382000 +0. +1% +#2382500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#2383000 +b1010111111010000001001011001110 { +b1010111111010000001001011001110 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#2383500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2384000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b1010111111010000001001011001110 / +b1010111111010000001001011001110 K +b1010111111010000001001011001110 e +b1010111111010000001001011001110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2384500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#2385000 +0i +b111001110001110001010000010011 { +b111001110001110001010000010011 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2385500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b10111011110101111110110000000001 2 +b10111011110101111110110000000001 I +b10111011110101111110110000000001 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b10111011110101111110110000000001 , +b10111011110101111110110000000001 H +b11110 + +b11110 G +1- +1. +0% +#2386000 +0] +1i +0s +b10111111110011111011101001101000 | +b10111111110011111011101001101000 B" +0\ +1=" +1>" +1@" +1g +09" +0:" +0;" +0r +16" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1001 -" +1t +0u +14" +1v +1b +12" +0m +0/" +1x +1," +b111001110001110001010000010011 / +b111001110001110001010000010011 K +b111001110001110001010000010011 e +b111001110001110001010000010011 "" +b10111011110101111110110000000001 &" +b10111011110101111110110000000001 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#2386010 +b10111011110101111110110000000001 a" +#2386500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2387000 +1] +0i +b1000111001000110111101001000000 { +b1000111001000110111101001000000 A" +1s +1\ +0=" +0>" +0@" +0h +0g +19" +1;" +1r +06" +07" +b11111 3" +0d +0<" +0` +b100 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1n +1m +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111111110011111011101001101000 0 +b10111111110011111011101001101000 M +b10111111110011111011101001101000 f +b10111111110011111011101001101000 !" +0. +1% +#2387500 +b0 5 +b0 L +b0 W +04 +b10011101101001101010101110011010 2 +b10011101101001101010101110011010 I +b10011101101001101010101110011010 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b10011101101001101010101110011010 , +b10011101101001101010101110011010 H +b100 + +b100 G +1- +1. +0% +#2388000 +0] +1i +1>" +1@" +1h +1g +09" +0;" +b11011 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0m +0/" +b1000111001000110111101001000000 / +b1000111001000110111101001000000 K +b1000111001000110111101001000000 e +b1000111001000110111101001000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011101101001101010101110011010 &" +b10011101101001101010101110011010 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2388010 +b10011101101001101010101110011010 G" +#2388500 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2389000 +1] +0s +b10111011110101111110110000000001 | +b10111011110101111110110000000001 B" +0>" +0@" +0r +15" +16" +17" +b11111 3" +0^ +0_ +b1 -" +0t +0y +1z +0u +14" +1v +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2389500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +1. +0% +#2390000 +0i +b10011111110000010010011001111101 { +b10011111110000010010011001111101 A" +1s +0h +19" +1;" +1r +05" +06" +07" +b101 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0," +b10111011110101111110110000000001 0 +b10111011110101111110110000000001 M +b10111011110101111110110000000001 f +b10111011110101111110110000000001 !" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2390500 +b1 5 +b1 L +b1 W +b1 ' +b1 D +1. +0% +#2391000 +1k +1i +1h +0g +1;" +09" +b11110 0" +08" +0l +0o +b111000100101101000100100101101 { +b111000100101101000100100101101 A" +0n +1m +b1 ~ +b1 )" +b1 ." +b10011111110000010010011001111101 / +b10011111110000010010011001111101 K +b10011111110000010010011001111101 e +b10011111110000010010011001111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2391500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2392000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b111000100101101000100100101101 / +b111000100101101000100100101101 K +b111000100101101000100100101101 e +b111000100101101000100100101101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2392500 +b111 7 +b111 N +b111 V +16 +b10110111100001111101110011001001 2 +b10110111100001111101110011001001 I +b10110111100001111101110011001001 Z +b1001 3 +b1001 J +b1001 Y +11 +b111 & +b111 C +1( +b10110111100001111101110011001001 , +b10110111100001111101110011001001 H +b1001 + +b1001 G +1- +1. +0% +#2393000 +0] +0s +b1000001010000011010001110110010 | +b1000001010000011010001110110010 B" +0[ +1=" +1@" +0r +0q +16" +17" +b10110 3" +1c +1_ +b11000 -" +1t +1u +1a +12" +1x +1w +1," +b10110111100001111101110011001001 &" +b10110111100001111101110011001001 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2393010 +b10110111100001111101110011001001 L" +#2393500 +b11100 5 +b11100 L +b11100 W +14 +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2394000 +1] +0i +b10011010100000000011001001001001 { +b10011010100000000011001001001001 A" +1s +b10010101011010100000100010110100 | +b10010101011010100000100010110100 B" +1[ +0=" +0@" +19" +1:" +1;" +06" +b11111 3" +0c +0_ +b11 0" +0j +0o +1p +0k +18" +1l +b11100 -" +0t +0a +02" +1/" +b1000001010000011010001110110010 0 +b1000001010000011010001110110010 M +b1000001010000011010001110110010 f +b1000001010000011010001110110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11 } +b11 (" +b11 +" +0. +1% +#2394500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2395000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +07" +b11111 0" +0p +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011010100000000011001001001001 / +b10011010100000000011001001001001 K +b10011010100000000011001001001001 e +b10011010100000000011001001001001 "" +b10010101011010100000100010110100 0 +b10010101011010100000100010110100 M +b10010101011010100000100010110100 f +b10010101011010100000100010110100 !" +0. +1% +#2395500 +b10111 7 +b10111 N +b10111 V +16 +b1111001011010011100110011111010 2 +b1111001011010011100110011111010 I +b1111001011010011100110011111010 Z +b1101 3 +b1101 J +b1101 Y +11 +b10111 & +b10111 C +1( +b1111001011010011100110011111010 , +b1111001011010011100110011111010 H +b1101 + +b1101 G +1- +1. +0% +#2396000 +0] +0s +b11111111101100101111011000100100 | +b11111111101100101111011000100100 B" +0[ +1=" +1>" +1@" +0r +0q +16" +17" +b10010 3" +0^ +0c +1d +1_ +b1000 -" +1t +0u +14" +1v +1a +12" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111001011010011100110011111010 &" +b1111001011010011100110011111010 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#2396010 +b1111001011010011100110011111010 P" +#2396500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b10011110001010110010111000110001 2 +b10011110001010110010111000110001 I +b10011110001010110010111000110001 Z +b11011 3 +b11011 J +b11011 Y +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +b10011110001010110010111000110001 , +b10011110001010110010111000110001 H +b11011 + +b11011 G +1. +0% +#2397000 +0_ +1c +0i +b1111001011010011100110011111010 { +b1111001011010011100110011111010 A" +1s +0\ +1=" +0>" +0g +19" +1:" +1;" +1r +1q +06" +07" +b100 3" +1<" +1` +0d +b10010 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1m +1/" +0x +0w +0," +b10011110001010110010111000110001 &" +b10011110001010110010111000110001 ?" +b11011 %" +b11011 *" +b11011 1" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11111111101100101111011000100100 0 +b11111111101100101111011000100100 M +b11111111101100101111011000100100 f +b11111111101100101111011000100100 !" +0. +1% +#2397010 +b10011110001010110010111000110001 ^" +#2397500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2398000 +1] +1i +1\ +1[ +0=" +0@" +1g +09" +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0m +0/" +b1111001011010011100110011111010 / +b1111001011010011100110011111010 K +b1111001011010011100110011111010 e +b1111001011010011100110011111010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2398500 +b11100 7 +b11100 N +b11100 V +16 +b11110101110000101100011111101 2 +b11110101110000101100011111101 I +b11110101110000101100011111101 Z +11 +b11100 & +b11100 C +1( +b11110101110000101100011111101 , +b11110101110000101100011111101 H +1- +1. +0% +#2399000 +0s +b10011010100000000011001001001001 | +b10011010100000000011001001001001 B" +1@" +15" +16" +17" +1_ +b11 -" +0t +0y +1z +0u +14" +1v +12" +1," +b11110101110000101100011111101 &" +b11110101110000101100011111101 ?" +1'" +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2399010 +b11110101110000101100011111101 C" +#2399500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b11111101010011110100010001001101 2 +b11111101010011110100010001001101 I +b11111101010011110100010001001101 Z +b11111 3 +b11111 J +b11111 Y +b101 ' +b101 D +1) +b0 & +b0 C +0( +b11111101010011110100010001001101 , +b11111101010011110100010001001101 H +b11111 + +b11111 G +1. +0% +#2400000 +0_ +0] +0i +b11011010111111101011100101100000 { +b11011010111111101011100101100000 A" +1s +0\ +0[ +1=" +1>" +0g +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b0 3" +1<" +1` +0^ +0c +1d +b11010 0" +1j +1k +b11111 -" +0z +04" +0v +1b +1a +1m +1/" +0," +b10011010100000000011001001001001 0 +b10011010100000000011001001001001 M +b10011010100000000011001001001001 f +b10011010100000000011001001001001 !" +b11111101010011110100010001001101 &" +b11111101010011110100010001001101 ?" +b11111 %" +b11111 *" +b11111 1" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2400500 +b10110 5 +b10110 L +b10110 W +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2401000 +1] +0k +0s +b10110101000001001110001111011101 | +b10110101000001001110001111011101 B" +1\ +1[ +0=" +0>" +0@" +0h +1g +0q +16" +17" +b11111 3" +0d +0<" +0` +b1001 0" +18" +1l +b10111111110011111011101001101000 { +b10111111110011111011101001101000 A" +b1010 -" +1t +0u +14" +1v +0b +0a +02" +1n +0m +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +b10101 } +b10101 (" +b10101 +" +1#" +b11011010111111101011100101100000 / +b11011010111111101011100101100000 K +b11011010111111101011100101100000 e +b11011010111111101011100101100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2401500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2402000 +1i +1s +1h +0:" +0;" +1q +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0w +0," +b10111111110011111011101001101000 / +b10111111110011111011101001101000 K +b10111111110011111011101001101000 e +b10111111110011111011101001101000 "" +b10110101000001001110001111011101 0 +b10110101000001001110001111011101 M +b10110101000001001110001111011101 f +b10110101000001001110001111011101 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2402500 +b1 5 +b1 L +b1 W +14 +b1 ' +b1 D +1) +1. +0% +#2403000 +b111000100101101000100100101101 { +b111000100101101000100100101101 A" +0g +1;" +b11110 0" +1k +1m +1/" +b1 ~ +b1 )" +b1 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2403500 +b11110 5 +b11110 L +b11110 W +b110100011101001111001101010000 2 +b110100011101001111001101010000 I +b110100011101001111001101010000 Z +b11010 3 +b11010 J +b11010 Y +11 +b11110 ' +b11110 D +b110100011101001111001101010000 , +b110100011101001111001101010000 H +b11010 + +b11010 G +1- +1. +0% +#2404000 +0] +0k +0i +0\ +1=" +1@" +0h +1g +19" +1:" +b101 3" +1c +0_ +1<" +1` +b1 0" +18" +1l +0j +0o +1p +b10111011110101111110110000000001 { +b10111011110101111110110000000001 A" +1b +12" +1n +0m +b111000100101101000100100101101 / +b111000100101101000100100101101 K +b111000100101101000100100101101 e +b111000100101101000100100101101 "" +b110100011101001111001101010000 &" +b110100011101001111001101010000 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#2404010 +b110100011101001111001101010000 ]" +#2404500 +b10011 5 +b10011 L +b10011 W +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2405000 +1] +1i +0s +b110100011101001111001101010000 | +b110100011101001111001101010000 B" +1\ +0=" +0@" +0g +09" +0:" +0r +15" +17" +b11111 3" +0c +0<" +0` +b1100 0" +0p +b10111101010010001100000010110101 { +b10111101010010001100000010110101 A" +b101 -" +1y +0u +14" +1v +0b +02" +1m +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +b11010 } +b11010 (" +b11010 +" +1#" +b10111011110101111110110000000001 / +b10111011110101111110110000000001 K +b10111011110101111110110000000001 e +b10111011110101111110110000000001 "" +0. +1% +#2405500 +b11111 5 +b11111 L +b11111 W +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +b0 & +b0 C +0( +1. +0% +#2406000 +0i +b11111101010011110100010001001101 { +b11111101010011110100010001001101 A" +1s +19" +1:" +1r +05" +07" +b0 0" +0j +0o +1p +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b10111101010010001100000010110101 / +b10111101010010001100000010110101 K +b10111101010010001100000010110101 e +b10111101010010001100000010110101 "" +b110100011101001111001101010000 0 +b110100011101001111001101010000 M +b110100011101001111001101010000 f +b110100011101001111001101010000 !" +b11111 ~ +b11111 )" +b11111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2406500 +b10011 5 +b10011 L +b10011 W +b11001 7 +b11001 N +b11001 V +16 +b10011 ' +b10011 D +b11001 & +b11001 C +1( +1. +0% +#2407000 +1i +b10111101010010001100000010110101 { +b10111101010010001100000010110101 A" +0s +b110010100010000111010011011001 | +b110010100010000111010011011001 B" +09" +0:" +0q +15" +17" +b1100 0" +0p +b110 -" +1y +0u +14" +1v +1w +1," +b10011 ~ +b10011 )" +b10011 ." +b11001 } +b11001 (" +b11001 +" +1#" +b11111101010011110100010001001101 / +b11111101010011110100010001001101 K +b11111101010011110100010001001101 e +b11111101010011110100010001001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2407500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b0 ' +b0 D +0) +b10110 & +b10110 C +1. +0% +#2408000 +16" +1t +1h +1g +0;" +0r +1q +05" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1001 -" +0y +b10111111110011111011101001101000 | +b10111111110011111011101001101000 B" +0n +0m +0/" +1x +0w +b10111101010010001100000010110101 / +b10111101010010001100000010110101 K +b10111101010010001100000010110101 e +b10111101010010001100000010110101 "" +b110010100010000111010011011001 0 +b110010100010000111010011011001 M +b110010100010000111010011011001 f +b110010100010000111010011011001 !" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#2408500 +b11110 7 +b11110 N +b11110 V +b110111011001101100100001110111 2 +b110111011001101100100001110111 I +b110111011001101100100001110111 Z +b1110 3 +b1110 J +b1110 Y +11 +b11110 & +b11110 C +b110111011001101100100001110111 , +b110111011001101100100001110111 H +b1110 + +b1110 G +1- +1. +0% +#2409000 +0] +0t +b10111011110101111110110000000001 | +b10111011110101111110110000000001 B" +0\ +1=" +1>" +1@" +15" +b10001 3" +0^ +0c +1d +1_ +b1 -" +1z +1b +12" +b110111011001101100100001110111 &" +b110111011001101100100001110111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11110 } +b11110 (" +b11110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111111110011111011101001101000 0 +b10111111110011111011101001101000 M +b10111111110011111011101001101000 f +b10111111110011111011101001101000 !" +0. +1% +#2409010 +b110111011001101100100001110111 Q" +#2409500 +b10010 7 +b10010 N +b10010 V +b11001010011010011100111111110110 2 +b11001010011010011100111111110110 I +b11001010011010011100111111110110 Z +b10110 3 +b10110 J +b10110 Y +b10010 & +b10010 C +b11001010011010011100111111110110 , +b11001010011010011100111111110110 H +b10110 + +b10110 G +1. +0% +#2410000 +0_ +1^ +1s +b1010111111010000001001011001110 | +b1010111111010000001001011001110 B" +0=" +1>" +05" +06" +b1001 3" +1<" +1` +0d +b1101 -" +0z +b10111011110101111110110000000001 0 +b10111011110101111110110000000001 M +b10111011110101111110110000000001 f +b10111011110101111110110000000001 !" +b11001010011010011100111111110110 &" +b11001010011010011100111111110110 ?" +b10110 %" +b10110 *" +b10110 1" +b10010 } +b10010 (" +b10010 +" +0. +1% +#2410010 +b11001010011010011100111111110110 Y" +#2410500 +b10110 7 +b10110 N +b10110 V +b1001010001000010100000011001010 2 +b1001010001000010100000011001010 I +b1001010001000010100000011001010 Z +b1110 3 +b1110 J +b1110 Y +b10110 & +b10110 C +b1001010001000010100000011001010 , +b1001010001000010100000011001010 H +b1110 + +b1110 G +1. +0% +#2411000 +1_ +0^ +0s +b11001010011010011100111111110110 | +b11001010011010011100111111110110 B" +1@" +1=" +16" +b10001 3" +0<" +0` +1d +b1001 -" +1t +b1001010001000010100000011001010 &" +b1001010001000010100000011001010 ?" +b1110 %" +b1110 *" +b1110 1" +b10110 } +b10110 (" +b10110 +" +b1010111111010000001001011001110 0 +b1010111111010000001001011001110 M +b1010111111010000001001011001110 f +b1010111111010000001001011001110 !" +0. +1% +#2411010 +b1001010001000010100000011001010 Q" +#2411500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2412000 +1] +1s +1\ +0=" +0>" +0@" +1r +06" +07" +b11111 3" +0d +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0," +b11001010011010011100111111110110 0 +b11001010011010011100111111110110 M +b11001010011010011100111111110110 f +b11001010011010011100111111110110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2412500 +1. +0% +#2413000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2413500 +b11010 7 +b11010 N +b11010 V +16 +b11010 & +b11010 C +1( +1. +0% +#2414000 +0s +b110100011101001111001101010000 | +b110100011101001111001101010000 B" +0r +15" +17" +b101 -" +1y +0u +14" +1v +1x +1," +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#2414500 +b10011 7 +b10011 N +b10011 V +b10011 & +b10011 C +1. +0% +#2415000 +1s +0q +05" +b1100 -" +0y +b10111101010010001100000010110101 | +b10111101010010001100000010110101 B" +1w +b10011 } +b10011 (" +b10011 +" +b110100011101001111001101010000 0 +b110100011101001111001101010000 M +b110100011101001111001101010000 f +b110100011101001111001101010000 !" +0. +1% +#2415500 +b11011 5 +b11011 L +b11011 W +14 +b11011 7 +b11011 N +b11011 V +b10000101001100001111101010001 2 +b10000101001100001111101010001 I +b10000101001100001111101010001 Z +b11010 3 +b11010 J +b11010 Y +11 +b11011 ' +b11011 D +1) +b11011 & +b11011 C +b10000101001100001111101010001 , +b10000101001100001111101010001 H +b11010 + +b11010 G +1- +1. +0% +#2416000 +0] +0i +b10011110001010110010111000110001 { +b10011110001010110010111000110001 A" +0s +b10011110001010110010111000110001 | +b10011110001010110010111000110001 B" +0\ +1=" +1@" +0h +0g +19" +1;" +15" +b101 3" +1c +0_ +1<" +1` +b100 0" +1o +0k +18" +1l +b100 -" +1y +1b +12" +1n +1m +1/" +b10111101010010001100000010110101 0 +b10111101010010001100000010110101 M +b10111101010010001100000010110101 f +b10111101010010001100000010110101 !" +b10000101001100001111101010001 &" +b10000101001100001111101010001 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#2416010 +b10000101001100001111101010001 ]" +#2416500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2417000 +1] +1i +1s +1\ +0=" +0@" +1h +1g +09" +0;" +1r +1q +05" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011110001010110010111000110001 / +b10011110001010110010111000110001 K +b10011110001010110010111000110001 e +b10011110001010110010111000110001 "" +b10011110001010110010111000110001 0 +b10011110001010110010111000110001 M +b10011110001010110010111000110001 f +b10011110001010110010111000110001 !" +0. +1% +#2417500 +b10101 7 +b10101 N +b10101 V +16 +b10011001010011110010100101110 2 +b10011001010011110010100101110 I +b10011001010011110010100101110 Z +b11 3 +b11 J +b11 Y +11 +b10101 & +b10101 C +1( +b10011001010011110010100101110 , +b10011001010011110010100101110 H +b11 + +b11 G +1- +1. +0% +#2418000 +0s +b10110101000001001110001111011101 | +b10110101000001001110001111011101 B" +0\ +0[ +1@" +0q +16" +17" +b11100 3" +1_ +b1010 -" +1t +0u +14" +1v +1b +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011001010011110010100101110 &" +b10011001010011110010100101110 ?" +b11 %" +b11 *" +b11 1" +1'" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#2418010 +b10011001010011110010100101110 F" +#2418500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2419000 +1s +1\ +1[ +0@" +1q +06" +07" +b11111 3" +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10110101000001001110001111011101 0 +b10110101000001001110001111011101 M +b10110101000001001110001111011101 f +b10110101000001001110001111011101 !" +0. +1% +#2419500 +b1 7 +b1 N +b1 V +16 +b1111001110110001010011110110001 2 +b1111001110110001010011110110001 I +b1111001110110001010011110110001 Z +b1111 3 +b1111 J +b1111 Y +11 +b1 & +b1 C +1( +b1111001110110001010011110110001 , +b1111001110110001010011110110001 H +b1111 + +b1111 G +1- +1. +0% +#2420000 +0] +b111000100101101000100100101101 | +b111000100101101000100100101101 B" +0\ +0[ +1=" +1>" +1@" +0q +17" +b10000 3" +0^ +0c +1d +1_ +b11110 -" +1u +1b +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111001110110001010011110110001 &" +b1111001110110001010011110110001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#2420010 +b1111001110110001010011110110001 R" +#2420500 +b10110 5 +b10110 L +b10110 W +14 +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2421000 +1] +0i +b11001010011010011100111111110110 { +b11001010011010011100111111110110 A" +0s +1\ +1[ +0=" +0>" +0@" +0h +1:" +1;" +0r +1q +16" +b11111 3" +0d +0_ +b1001 0" +1j +0k +18" +1l +b11001 -" +1t +b110101001001001011001101011 | +b110101001001001011001101011 B" +0b +0a +02" +1n +1/" +1x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b110 } +b110 (" +b110 +" +b111000100101101000100100101101 0 +b111000100101101000100100101101 M +b111000100101101000100100101101 f +b111000100101101000100100101101 !" +0. +1% +#2421500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 ' +b0 D +0) +b11100 & +b11100 C +1. +0% +#2422000 +1i +0u +0t +1h +0:" +0;" +1r +15" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +14" +1v +1z +b10011010100000000011001001001001 | +b10011010100000000011001001001001 B" +0n +0/" +0x +b11001010011010011100111111110110 / +b11001010011010011100111111110110 K +b11001010011010011100111111110110 e +b11001010011010011100111111110110 "" +b110101001001001011001101011 0 +b110101001001001011001101011 M +b110101001001001011001101011 f +b110101001001001011001101011 !" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#2422500 +b1010 7 +b1010 N +b1010 V +b1010 & +b1010 C +1. +0% +#2423000 +1u +1y +0r +17" +15" +06" +b10101 -" +04" +0v +0z +b10101100010011100001001000101110 | +b10101100010011100001001000101110 B" +1x +b1010 } +b1010 (" +b1010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011010100000000011001001001001 0 +b10011010100000000011001001001001 M +b10011010100000000011001001001001 f +b10011010100000000011001001001001 !" +0. +1% +#2423500 +b10111 7 +b10111 N +b10111 V +b111001110101001011011000001000 2 +b111001110101001011011000001000 I +b111001110101001011011000001000 Z +b11010 3 +b11010 J +b11010 Y +11 +b10111 & +b10111 C +b111001110101001011011000001000 , +b111001110101001011011000001000 H +b11010 + +b11010 G +1- +1. +0% +#2424000 +16" +0] +0u +1t +0\ +1=" +1@" +0q +05" +b101 3" +1c +0_ +1<" +1` +b1000 -" +14" +1v +0y +b11111111101100101111011000100100 | +b11111111101100101111011000100100 B" +1b +12" +1w +b10101100010011100001001000101110 0 +b10101100010011100001001000101110 M +b10101100010011100001001000101110 f +b10101100010011100001001000101110 !" +b111001110101001011011000001000 &" +b111001110101001011011000001000 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10111 } +b10111 (" +b10111 +" +0. +1% +#2424010 +b111001110101001011011000001000 ]" +#2424500 +b1000 5 +b1000 L +b1000 W +14 +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2425000 +1] +0i +b1001110000111111011110010111100 { +b1001110000111111011110010111100 A" +1\ +0=" +0@" +19" +1;" +1r +1q +b11111 3" +0c +0<" +0` +b10111 0" +1o +1k +b1011 -" +b1101110101010011001100001001010 | +b1101110101010011001100001001010 B" +0b +02" +1/" +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b10100 } +b10100 (" +b10100 +" +b11111111101100101111011000100100 0 +b11111111101100101111011000100100 M +b11111111101100101111011000100100 f +b11111111101100101111011000100100 !" +0. +1% +#2425500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b0 ' +b0 D +0) +b10110 & +b10110 C +1. +0% +#2426000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +0r +b11111 0" +0o +0k +b1001 -" +b11001010011010011100111111110110 | +b11001010011010011100111111110110 B" +0/" +1x +b1001110000111111011110010111100 / +b1001110000111111011110010111100 K +b1001110000111111011110010111100 e +b1001110000111111011110010111100 "" +b1101110101010011001100001001010 0 +b1101110101010011001100001001010 M +b1101110101010011001100001001010 f +b1101110101010011001100001001010 !" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#2426500 +b11111 7 +b11111 N +b11111 V +b11111 & +b11111 C +1. +0% +#2427000 +0t +0q +15" +b0 -" +1z +b11111101010011110100010001001101 | +b11111101010011110100010001001101 B" +1w +b11111 } +b11111 (" +b11111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001010011010011100111111110110 0 +b11001010011010011100111111110110 M +b11001010011010011100111111110110 f +b11001010011010011100111111110110 !" +0. +1% +#2427500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +b11000 ' +b11000 D +1) +b0 & +b0 C +1. +0% +#2428000 +0i +b10100010111100000111011101010010 { +b10100010111100000111011101010010 A" +1u +1s +19" +1;" +1r +1q +17" +05" +06" +b111 0" +1o +0k +18" +1l +b11111 -" +04" +0v +0z +b11110101110000101100011111101 | +b11110101110000101100011111101 B" +1/" +0x +0w +b11111101010011110100010001001101 0 +b11111101010011110100010001001101 M +b11111101010011110100010001001101 f +b11111101010011110100010001001101 !" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0. +1% +#2428500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#2429000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +07" +b11111 0" +0o +08" +0l +0u +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +0#" +b10100010111100000111011101010010 / +b10100010111100000111011101010010 K +b10100010111100000111011101010010 e +b10100010111100000111011101010010 "" +b11110101110000101100011111101 0 +b11110101110000101100011111101 M +b11110101110000101100011111101 f +b11110101110000101100011111101 !" +0. +1% +#2429500 +b10010 7 +b10010 N +b10010 V +16 +b10010 & +b10010 C +1( +1. +0% +#2430000 +b1010111111010000001001011001110 | +b1010111111010000001001011001110 B" +0r +17" +b1101 -" +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#2430500 +b1011 5 +b1011 L +b1011 W +14 +b1110 7 +b1110 N +b1110 V +b1000010011111100001001010000101 2 +b1000010011111100001001010000101 I +b1000010011111100001001010000101 Z +b10 3 +b10 J +b10 Y +11 +b1011 ' +b1011 D +1) +b1110 & +b1110 C +b1000010011111100001001010000101 , +b1000010011111100001001010000101 H +b10 + +b10 G +1- +1. +0% +#2431000 +0i +b1100001100100101011100011011010 { +b1100001100100101011100011011010 A" +1u +0s +0\ +1@" +0h +0g +19" +1;" +17" +b1001010001000010100000011001010 | +b1001010001000010100000011001010 B" +15" +16" +b11101 3" +1_ +b10100 0" +1o +1k +b10001 -" +04" +0v +0t +0y +1z +1b +12" +1n +1m +1/" +b1000010011111100001001010000101 &" +b1000010011111100001001010000101 ?" +b10 %" +b10 *" +b10 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b1110 } +b1110 (" +b1110 +" +b1010111111010000001001011001110 0 +b1010111111010000001001011001110 M +b1010111111010000001001011001110 f +b1010111111010000001001011001110 !" +0. +1% +#2431010 +b1000010011111100001001010000101 E" +#2431500 +b11000 5 +b11000 L +b11000 W +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2432000 +0k +1t +1\ +0@" +1h +1g +1r +05" +16" +b11111 3" +0_ +b111 0" +18" +1l +b10100010111100000111011101010010 { +b10100010111100000111011101010010 A" +b11011 -" +0z +b10011101101001101010101110011010 | +b10011101101001101010101110011010 B" +0b +02" +0n +0m +0x +b1100001100100101011100011011010 / +b1100001100100101011100011011010 K +b1100001100100101011100011011010 e +b1100001100100101011100011011010 "" +b1001010001000010100000011001010 0 +b1001010001000010100000011001010 M +b1001010001000010100000011001010 f +b1001010001000010100000011001010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +b100 } +b100 (" +b100 +" +0. +1% +#2432500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2433000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +06" +07" +b11111 0" +0o +08" +0l +b11111 -" +0t +0u +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10100010111100000111011101010010 / +b10100010111100000111011101010010 K +b10100010111100000111011101010010 e +b10100010111100000111011101010010 "" +b10011101101001101010101110011010 0 +b10011101101001101010101110011010 M +b10011101101001101010101110011010 f +b10011101101001101010101110011010 !" +0. +1% +#2433500 +b1100110100100101010010101000001 2 +b1100110100100101010010101000001 I +b1100110100100101010010101000001 Z +b10101 3 +b10101 J +b10101 Y +11 +b1100110100100101010010101000001 , +b1100110100100101010010101000001 H +b10101 + +b10101 G +1- +1. +0% +#2434000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100110100100101010010101000001 &" +b1100110100100101010010101000001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +0. +1% +#2434010 +b1100110100100101010010101000001 X" +#2434500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2435000 +1] +0s +b10011010100000000011001001001001 | +b10011010100000000011001001001001 B" +1[ +0>" +0@" +15" +16" +17" +b11111 3" +0^ +0<" +0` +b11 -" +0t +0y +1z +0u +14" +1v +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#2435500 +b0 7 +b0 N +b0 V +06 +b10010101100111100010001101100110 2 +b10010101100111100010001101100110 I +b10010101100111100010001101100110 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b10010101100111100010001101100110 , +b10010101100111100010001101100110 H +b111 + +b111 G +1- +1. +0% +#2436000 +0] +1s +0\ +0[ +1>" +1@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11000 3" +1^ +1_ +b11111 -" +0z +04" +0v +1b +1a +12" +0," +b10011010100000000011001001001001 0 +b10011010100000000011001001001001 M +b10011010100000000011001001001001 f +b10011010100000000011001001001001 !" +b10010101100111100010001101100110 &" +b10010101100111100010001101100110 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2436010 +b10010101100111100010001101100110 J" +#2436500 +b1001011110001111001101011001011 2 +b1001011110001111001101011001011 I +b1001011110001111001101011001011 Z +b11001 3 +b11001 J +b11001 Y +b1001011110001111001101011001011 , +b1001011110001111001101011001011 H +b11001 + +b11001 G +1. +0% +#2437000 +1=" +0_ +1c +1\ +0>" +b110 3" +1<" +1` +0^ +0b +b1001011110001111001101011001011 &" +b1001011110001111001101011001011 ?" +b11001 %" +b11001 *" +b11001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2437010 +b1001011110001111001101011001011 \" +#2437500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2438000 +1] +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2438500 +b1100 5 +b1100 L +b1100 W +14 +b10000 7 +b10000 N +b10000 V +16 +b10000100000011110010000110000111 2 +b10000100000011110010000110000111 I +b10000100000011110010000110000111 Z +b111 3 +b111 J +b111 Y +11 +b1100 ' +b1100 D +1) +b10000 & +b10000 C +1( +b10000100000011110010000110000111 , +b10000100000011110010000110000111 H +b111 + +b111 G +1- +1. +0% +#2439000 +0] +0i +b11100110001110010101011000010 { +b11100110001110010101011000010 A" +b1101000111000100111010000011100 | +b1101000111000100111010000011100 B" +0\ +0[ +1>" +1@" +19" +1:" +1;" +17" +b11000 3" +1^ +1_ +b10011 0" +0j +0o +1p +1k +b1111 -" +0u +14" +1v +1b +1a +12" +1/" +1," +b10000100000011110010000110000111 &" +b10000100000011110010000110000111 ?" +b111 %" +b111 *" +b111 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#2439010 +b10000100000011110010000110000111 J" +#2439500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2440000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10100010111100000111011101010010 | +b10100010111100000111011101010010 B" +1\ +1[ +0>" +0@" +09" +0:" +0;" +15" +b11111 3" +0^ +0_ +b11111 0" +0p +0k +b111 -" +1y +0b +0a +02" +0/" +b11100110001110010101011000010 / +b11100110001110010101011000010 K +b11100110001110010101011000010 e +b11100110001110010101011000010 "" +b1101000111000100111010000011100 0 +b1101000111000100111010000011100 M +b1101000111000100111010000011100 f +b1101000111000100111010000011100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +0. +1% +#2440500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2441000 +1s +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0y +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100010111100000111011101010010 0 +b10100010111100000111011101010010 M +b10100010111100000111011101010010 f +b10100010111100000111011101010010 !" +0. +1% +#2441500 +b1111 5 +b1111 L +b1111 W +14 +b10 7 +b10 N +b10 V +16 +b10110000010110010011010011011100 2 +b10110000010110010011010011011100 I +b10110000010110010011010011011100 Z +b100 3 +b100 J +b100 Y +11 +b1111 ' +b1111 D +1) +b10 & +b10 C +1( +b10110000010110010011010011011100 , +b10110000010110010011010011011100 H +b100 + +b100 G +1- +1. +0% +#2442000 +0] +0i +b1111001110110001010011110110001 { +b1111001110110001010011110110001 A" +b1000010011111100001001010000101 | +b1000010011111100001001010000101 B" +1>" +1@" +0h +0g +19" +1:" +1;" +0r +17" +b11011 3" +1^ +1_ +b10000 0" +0j +0o +1p +1k +b11101 -" +1u +12" +1n +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110000010110010011010011011100 &" +b10110000010110010011010011011100 ?" +b100 %" +b100 *" +b100 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#2442010 +b10110000010110010011010011011100 G" +#2442500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2443000 +1] +1i +0>" +0@" +1h +1g +09" +0:" +0;" +1r +07" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0m +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1111001110110001010011110110001 / +b1111001110110001010011110110001 K +b1111001110110001010011110110001 e +b1111001110110001010011110110001 "" +b1000010011111100001001010000101 0 +b1000010011111100001001010000101 M +b1000010011111100001001010000101 f +b1000010011111100001001010000101 !" +0. +1% +#2443500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#2444000 +b1010111111010000001001011001110 { +b1010111111010000001001011001110 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#2444500 +b110 5 +b110 L +b110 W +b110 ' +b110 D +1. +0% +#2445000 +1k +0i +1;" +b110101001001001011001101011 { +b110101001001001011001101011 A" +1:" +b11001 0" +08" +0l +1j +b110 ~ +b110 )" +b110 ." +b1010111111010000001001011001110 / +b1010111111010000001001011001110 K +b1010111111010000001001011001110 e +b1010111111010000001001011001110 "" +0. +1% +#2445500 +b1100 5 +b1100 L +b1100 W +b1100 ' +b1100 D +1. +0% +#2446000 +0j +1h +19" +b10011 0" +1p +b11100110001110010101011000010 { +b11100110001110010101011000010 A" +0n +b110101001001001011001101011 / +b110101001001001011001101011 K +b110101001001001011001101011 e +b110101001001001011001101011 "" +b1100 ~ +b1100 )" +b1100 ." +0. +1% +#2446500 +b10101 5 +b10101 L +b10101 W +b11101100101000100111001110110001 2 +b11101100101000100111001110110001 I +b11101100101000100111001110110001 Z +b1111 3 +b1111 J +b1111 Y +11 +b10101 ' +b10101 D +b11101100101000100111001110110001 , +b11101100101000100111001110110001 H +b1111 + +b1111 G +1- +1. +0% +#2447000 +0] +0k +1j +0\ +0[ +1=" +1>" +1@" +0g +09" +1:" +b10000 3" +0^ +0c +1d +1_ +b1010 0" +18" +1l +0p +b1100110100100101010010101000001 { +b1100110100100101010010101000001 A" +1b +1a +12" +1m +b11101100101000100111001110110001 &" +b11101100101000100111001110110001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b11100110001110010101011000010 / +b11100110001110010101011000010 K +b11100110001110010101011000010 e +b11100110001110010101011000010 "" +0. +1% +#2447010 +b11101100101000100111001110110001 R" +#2447500 +b10000 5 +b10000 L +b10000 W +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2448000 +1] +1i +0s +b1001110000111111011110010111100 | +b1001110000111111011110010111100 B" +1\ +1[ +0=" +0>" +0@" +1g +0:" +15" +17" +b11111 3" +0d +0_ +b1111 0" +0j +b1101000111000100111010000011100 { +b1101000111000100111010000011100 A" +b10111 -" +1y +1u +0b +0a +02" +0m +1," +b1100110100100101010010101000001 / +b1100110100100101010010101000001 K +b1100110100100101010010101000001 e +b1100110100100101010010101000001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#2448500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b100000000001011101001010110110 2 +b100000000001011101001010110110 I +b100000000001011101001010110110 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +b100000000001011101001010110110 , +b100000000001011101001010110110 H +b1101 + +b1101 G +1- +1. +0% +#2449000 +0] +0y +0[ +1=" +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +16" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +08" +0l +b10000 -" +1z +b11101100101000100111001110110001 | +b11101100101000100111001110110001 B" +1a +12" +0/" +1x +1w +b100000000001011101001010110110 &" +b100000000001011101001010110110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +b1101000111000100111010000011100 / +b1101000111000100111010000011100 K +b1101000111000100111010000011100 e +b1101000111000100111010000011100 "" +b1001110000111111011110010111100 0 +b1001110000111111011110010111100 M +b1001110000111111011110010111100 f +b1001110000111111011110010111100 !" +0. +1% +#2449010 +b100000000001011101001010110110 P" +#2449500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2450000 +1] +1s +1[ +0=" +0>" +0@" +1r +1q +05" +06" +07" +b11111 3" +0d +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101100101000100111001110110001 0 +b11101100101000100111001110110001 M +b11101100101000100111001110110001 f +b11101100101000100111001110110001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2450500 +1. +0% +#2451000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2451500 +b10000 5 +b10000 L +b10000 W +14 +b10110 7 +b10110 N +b10110 V +16 +b10000 ' +b10000 D +1) +b10110 & +b10110 C +1( +1. +0% +#2452000 +b1101000111000100111010000011100 { +b1101000111000100111010000011100 A" +0s +b11001010011010011100111111110110 | +b11001010011010011100111111110110 B" +1;" +0r +16" +17" +b1111 0" +0k +18" +1l +b1001 -" +1t +0u +14" +1v +1/" +1x +1," +b10000 ~ +b10000 )" +b10000 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#2452500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 ' +b0 D +0) +b11100 & +b11100 C +1. +0% +#2453000 +0t +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +15" +b11111 0" +08" +0l +b11 -" +1z +b10011010100000000011001001001001 | +b10011010100000000011001001001001 B" +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b1101000111000100111010000011100 / +b1101000111000100111010000011100 K +b1101000111000100111010000011100 e +b1101000111000100111010000011100 "" +b11001010011010011100111111110110 0 +b11001010011010011100111111110110 M +b11001010011010011100111111110110 f +b11001010011010011100111111110110 !" +0. +1% +#2453500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2454000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011010100000000011001001001001 0 +b10011010100000000011001001001001 M +b10011010100000000011001001001001 f +b10011010100000000011001001001001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2454500 +b1010 5 +b1010 L +b1010 W +14 +b101 7 +b101 N +b101 V +16 +b1010 ' +b1010 D +1) +b101 & +b101 C +1( +1. +0% +#2455000 +0i +b10101100010011100001001000101110 { +b10101100010011100001001000101110 A" +0s +b11011010111111101011100101100000 | +b11011010111111101011100101100000 B" +0h +19" +1;" +0q +16" +17" +b10101 0" +1o +1k +b11010 -" +1t +1u +1n +1/" +1w +1," +b1010 ~ +b1010 )" +b1010 ." +1$" +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2455500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2456000 +1i +1s +1h +09" +0;" +1q +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0w +0," +b10101100010011100001001000101110 / +b10101100010011100001001000101110 K +b10101100010011100001001000101110 e +b10101100010011100001001000101110 "" +b11011010111111101011100101100000 0 +b11011010111111101011100101100000 M +b11011010111111101011100101100000 f +b11011010111111101011100101100000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2456500 +b10 7 +b10 N +b10 V +16 +b11100111111000110000001001110011 2 +b11100111111000110000001001110011 I +b11100111111000110000001001110011 Z +b11101 3 +b11101 J +b11101 Y +11 +b10 & +b10 C +1( +b11100111111000110000001001110011 , +b11100111111000110000001001110011 H +b11101 + +b11101 G +1- +1. +0% +#2457000 +0] +b1000010011111100001001010000101 | +b1000010011111100001001010000101 B" +0[ +1=" +1>" +1@" +0r +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11101 -" +1u +1a +12" +1x +1," +b11100111111000110000001001110011 &" +b11100111111000110000001001110011 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2457010 +b11100111111000110000001001110011 `" +#2457500 +b1010 7 +b1010 N +b1010 V +b1100111010100000111001111010010 2 +b1100111010100000111001111010010 I +b1100111010100000111001111010010 Z +b101 3 +b101 J +b101 Y +b1010 & +b1010 C +b1100111010100000111001111010010 , +b1100111010100000111001111010010 H +b101 + +b101 G +1. +0% +#2458000 +1_ +1^ +0s +b10101100010011100001001000101110 | +b10101100010011100001001000101110 B" +1@" +0=" +1>" +15" +b11010 3" +0<" +0` +0d +b10101 -" +1y +b1000010011111100001001010000101 0 +b1000010011111100001001010000101 M +b1000010011111100001001010000101 f +b1000010011111100001001010000101 !" +b1100111010100000111001111010010 &" +b1100111010100000111001111010010 ?" +b101 %" +b101 *" +b101 1" +b1010 } +b1010 (" +b1010 +" +0. +1% +#2458010 +b1100111010100000111001111010010 H" +#2458500 +b0 7 +b0 N +b0 V +06 +b10000000111110010110110010011110 2 +b10000000111110010110110010011110 I +b10000000111110010110110010011110 Z +b1000 3 +b1000 J +b1000 Y +b0 & +b0 C +0( +b10000000111110010110110010011110 , +b10000000111110010110110010011110 H +b1000 + +b1000 G +1. +0% +#2459000 +1=" +1c +1s +1[ +0>" +1r +05" +07" +b10111 3" +0^ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0x +0," +b10000000111110010110110010011110 &" +b10000000111110010110110010011110 ?" +b1000 %" +b1000 *" +b1000 1" +b0 } +b0 (" +b0 +" +0#" +b10101100010011100001001000101110 0 +b10101100010011100001001000101110 M +b10101100010011100001001000101110 f +b10101100010011100001001000101110 !" +0. +1% +#2459010 +b10000000111110010110110010011110 K" +#2459500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2460000 +1] +0i +b111001110101001011011000001000 { +b111001110101001011011000001000 A" +0=" +0@" +0h +19" +1;" +b11111 3" +0c +0_ +b101 0" +1o +0k +18" +1l +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#2460500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b0 ' +b0 D +0) +b101 & +b101 C +1( +1. +0% +#2461000 +1i +0s +b1100111010100000111001111010010 | +b1100111010100000111001111010010 B" +1h +09" +0;" +0q +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +1t +1u +0n +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +b111001110101001011011000001000 / +b111001110101001011011000001000 K +b111001110101001011011000001000 e +b111001110101001011011000001000 "" +0. +1% +#2461500 +b0 7 +b0 N +b0 V +06 +b11110000011110001010111011111101 2 +b11110000011110001010111011111101 I +b11110000011110001010111011111101 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 & +b0 C +0( +b11110000011110001010111011111101 , +b11110000011110001010111011111101 H +b10111 + +b10111 G +1- +1. +0% +#2462000 +0] +1s +0\ +0[ +1>" +1@" +1q +06" +07" +b1000 3" +1^ +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100111010100000111001111010010 0 +b1100111010100000111001111010010 M +b1100111010100000111001111010010 f +b1100111010100000111001111010010 !" +b11110000011110001010111011111101 &" +b11110000011110001010111011111101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2462010 +b11110000011110001010111011111101 Z" +#2462500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2463000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2463500 +b11001 7 +b11001 N +b11001 V +16 +b11011111110111000010010111000101 2 +b11011111110111000010010111000101 I +b11011111110111000010010111000101 Z +b100 3 +b100 J +b100 Y +11 +b11001 & +b11001 C +1( +b11011111110111000010010111000101 , +b11011111110111000010010111000101 H +b100 + +b100 G +1- +1. +0% +#2464000 +0] +0s +b1001011110001111001101011001011 | +b1001011110001111001101011001011 B" +1>" +1@" +0q +15" +17" +b11011 3" +1^ +1_ +b110 -" +1y +0u +14" +1v +12" +1w +1," +b11011111110111000010010111000101 &" +b11011111110111000010010111000101 ?" +b100 %" +b100 *" +b100 1" +1'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#2464010 +b11011111110111000010010111000101 G" +#2464500 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2465000 +16" +1] +1t +0>" +0@" +0r +05" +b11111 3" +0^ +0_ +b1000 -" +0y +b11110000011110001010111011111101 | +b11110000011110001010111011111101 B" +02" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +b1001011110001111001101011001011 0 +b1001011110001111001101011001011 M +b1001011110001111001101011001011 f +b1001011110001111001101011001011 !" +0. +1% +#2465500 +b0 7 +b0 N +b0 V +06 +b10111001001110011010101100001001 2 +b10111001001110011010101100001001 I +b10111001001110011010101100001001 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 & +b0 C +0( +b10111001001110011010101100001001 , +b10111001001110011010101100001001 H +b11001 + +b11001 G +1- +1. +0% +#2466000 +0] +1s +0[ +1=" +1@" +1r +1q +06" +07" +b110 3" +1c +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0w +0," +b11110000011110001010111011111101 0 +b11110000011110001010111011111101 M +b11110000011110001010111011111101 f +b11110000011110001010111011111101 !" +b10111001001110011010101100001001 &" +b10111001001110011010101100001001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2466010 +b10111001001110011010101100001001 \" +#2466500 +b11101 5 +b11101 L +b11101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2467000 +1] +0i +b11100111111000110000001001110011 { +b11100111111000110000001001110011 A" +1[ +0=" +0@" +0g +19" +1:" +1;" +b11111 3" +0c +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2467500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b0 ' +b0 D +0) +b100 & +b100 C +1( +1. +0% +#2468000 +1i +0s +b11011111110111000010010111000101 | +b11011111110111000010010111000101 B" +1g +09" +0:" +0;" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +1t +1u +0m +0/" +1," +b11100111111000110000001001110011 / +b11100111111000110000001001110011 K +b11100111111000110000001001110011 e +b11100111111000110000001001110011 "" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#2468500 +b1000 5 +b1000 L +b1000 W +14 +b11000 7 +b11000 N +b11000 V +b1000 ' +b1000 D +1) +b11000 & +b11000 C +1. +0% +#2469000 +15" +0i +b10000000111110010110110010011110 { +b10000000111110010110110010011110 A" +0u +1y +19" +1;" +b10100010111100000111011101010010 | +b10100010111100000111011101010010 B" +06" +b10111 0" +1o +1k +b111 -" +14" +1v +0t +1/" +b1000 ~ +b1000 )" +b1000 ." +1$" +b11000 } +b11000 (" +b11000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011111110111000010010111000101 0 +b11011111110111000010010111000101 M +b11011111110111000010010111000101 f +b11011111110111000010010111000101 !" +0. +1% +#2469500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2470000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0o +0k +b11111 -" +0y +04" +0v +0/" +0," +b10000000111110010110110010011110 / +b10000000111110010110110010011110 K +b10000000111110010110110010011110 e +b10000000111110010110110010011110 "" +b10100010111100000111011101010010 0 +b10100010111100000111011101010010 M +b10100010111100000111011101010010 f +b10100010111100000111011101010010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2470500 +b11010010111000000100011001011111 2 +b11010010111000000100011001011111 I +b11010010111000000100011001011111 Z +b10110 3 +b10110 J +b10110 Y +11 +b11010010111000000100011001011111 , +b11010010111000000100011001011111 H +b10110 + +b10110 G +1- +1. +0% +#2471000 +0] +0\ +1>" +1@" +b1001 3" +1^ +0_ +1<" +1` +1b +12" +b11010010111000000100011001011111 &" +b11010010111000000100011001011111 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2471010 +b11010010111000000100011001011111 Y" +#2471500 +b1110111000010001001101000010011 2 +b1110111000010001001101000010011 I +b1110111000010001001101000010011 Z +b11010 3 +b11010 J +b11010 Y +b1110111000010001001101000010011 , +b1110111000010001001101000010011 H +b11010 + +b11010 G +1. +0% +#2472000 +1=" +1c +0>" +b101 3" +0^ +b1110111000010001001101000010011 &" +b1110111000010001001101000010011 ?" +b11010 %" +b11010 *" +b11010 1" +0. +1% +#2472010 +b1110111000010001001101000010011 ]" +#2472500 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2473000 +1] +b111000100101101000100100101101 | +b111000100101101000100100101101 B" +1\ +0=" +0@" +0q +17" +b11111 3" +0c +0<" +0` +b11110 -" +1u +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#2473500 +b111 7 +b111 N +b111 V +b111011010111011111010001101111 2 +b111011010111011111010001101111 I +b111011010111011111010001101111 Z +b10010 3 +b10010 J +b10010 Y +11 +b111 & +b111 C +b111011010111011111010001101111 , +b111011010111011111010001101111 H +b10010 + +b10010 G +1- +1. +0% +#2474000 +0s +0\ +1@" +0r +16" +b1101 3" +0_ +1<" +1` +b11000 -" +1t +b10000100000011110010000110000111 | +b10000100000011110010000110000111 B" +1b +12" +1x +b111000100101101000100100101101 0 +b111000100101101000100100101101 M +b111000100101101000100100101101 f +b111000100101101000100100101101 !" +b111011010111011111010001101111 &" +b111011010111011111010001101111 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b111 } +b111 (" +b111 +" +0. +1% +#2474010 +b111011010111011111010001101111 U" +#2474500 +b10001 7 +b10001 N +b10001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 & +b10001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2475000 +0u +1s +1\ +0@" +1r +06" +b11111 3" +0<" +0` +b1110 -" +14" +1v +0t +b1000111110000100001110101110101 | +b1000111110000100001110101110101 B" +0b +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 } +b10001 (" +b10001 +" +b10000100000011110010000110000111 0 +b10000100000011110010000110000111 M +b10000100000011110010000110000111 f +b10000100000011110010000110000111 !" +0. +1% +#2475500 +b0 7 +b0 N +b0 V +06 +b10100001000001010011111001001110 2 +b10100001000001010011111001001110 I +b10100001000001010011111001001110 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 & +b0 C +0( +b10100001000001010011111001001110 , +b10100001000001010011111001001110 H +b10110 + +b10110 G +1- +1. +0% +#2476000 +0] +0\ +1>" +1@" +1q +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0w +0," +b1000111110000100001110101110101 0 +b1000111110000100001110101110101 M +b1000111110000100001110101110101 f +b1000111110000100001110101110101 !" +b10100001000001010011111001001110 &" +b10100001000001010011111001001110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2476010 +b10100001000001010011111001001110 Y" +#2476500 +b10011 5 +b10011 L +b10011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2477000 +1] +b10111101010010001100000010110101 { +b10111101010010001100000010110101 A" +1\ +0>" +0@" +0h +0g +1;" +b11111 3" +0^ +0<" +0` +b1100 0" +0k +18" +1l +0b +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2477500 +b0 5 +b0 L +b0 W +04 +b10111010110100011111101101001000 2 +b10111010110100011111101101001000 I +b10111010110100011111101101001000 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b10111010110100011111101101001000 , +b10111010110100011111101101001000 H +b10001 + +b10001 G +1- +1. +0% +#2478000 +0[ +1@" +1h +1g +0;" +b1110 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0m +0/" +b10111101010010001100000010110101 / +b10111101010010001100000010110101 K +b10111101010010001100000010110101 e +b10111101010010001100000010110101 "" +b10111010110100011111101101001000 &" +b10111010110100011111101101001000 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2478010 +b10111010110100011111101101001000 T" +#2478500 +b10101 5 +b10101 L +b10101 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2479000 +0i +b1100110100100101010010101000001 { +b1100110100100101010010101000001 A" +0s +b10111001001110011010101100001001 | +b10111001001110011010101100001001 B" +1[ +0@" +0g +1:" +1;" +0q +15" +17" +b11111 3" +0<" +0` +b1010 0" +1j +0k +18" +1l +b110 -" +1y +0u +14" +1v +0a +02" +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2479500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2480000 +1i +1s +1g +0:" +0;" +1q +05" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0w +0," +b1100110100100101010010101000001 / +b1100110100100101010010101000001 K +b1100110100100101010010101000001 e +b1100110100100101010010101000001 "" +b10111001001110011010101100001001 0 +b10111001001110011010101100001001 M +b10111001001110011010101100001001 f +b10111001001110011010101100001001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2480500 +b10 5 +b10 L +b10 W +14 +b10 ' +b10 D +1) +1. +0% +#2481000 +b1000010011111100001001010000101 { +b1000010011111100001001010000101 A" +0h +1;" +b11101 0" +1k +1n +1/" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2481500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b10100100110000010011101000111110 2 +b10100100110000010011101000111110 I +b10100100110000010011101000111110 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b10100100110000010011101000111110 , +b10100100110000010011101000111110 H +b11101 + +b11101 G +1- +1. +0% +#2482000 +0] +0s +b11101100101000100111001110110001 | +b11101100101000100111001110110001 B" +0[ +1=" +1>" +1@" +1h +0;" +0r +0q +15" +16" +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +0t +0y +1z +1u +1a +12" +0n +0/" +1x +1w +1," +b1000010011111100001001010000101 / +b1000010011111100001001010000101 K +b1000010011111100001001010000101 e +b1000010011111100001001010000101 "" +b10100100110000010011101000111110 &" +b10100100110000010011101000111110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#2482010 +b10100100110000010011101000111110 `" +#2482500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b10110111000000110111111001100110 2 +b10110111000000110111111001100110 I +b10110111000000110111111001100110 Z +b1111 3 +b1111 J +b1111 Y +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b10110111000000110111111001100110 , +b10110111000000110111111001100110 H +b1111 + +b1111 G +1. +0% +#2483000 +1_ +0i +b10011010100000000011001001001001 { +b10011010100000000011001001001001 A" +1s +0\ +1@" +19" +1:" +1;" +1r +1q +05" +06" +07" +b10000 3" +0<" +0` +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1/" +0x +0w +0," +b10110111000000110111111001100110 &" +b10110111000000110111111001100110 ?" +b1111 %" +b1111 *" +b1111 1" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101100101000100111001110110001 0 +b11101100101000100111001110110001 M +b11101100101000100111001110110001 f +b11101100101000100111001110110001 !" +0. +1% +#2483010 +b10110111000000110111111001100110 R" +#2483500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2484000 +1] +1i +0s +b10000000111110010110110010011110 | +b10000000111110010110110010011110 B" +1\ +1[ +0=" +0>" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +17" +b11111 3" +0d +0_ +b11111 0" +0p +08" +0l +b10111 -" +1y +1u +0b +0a +02" +0/" +1," +b10011010100000000011001001001001 / +b10011010100000000011001001001001 K +b10011010100000000011001001001001 e +b10011010100000000011001001001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#2484500 +b10 7 +b10 N +b10 V +b10 & +b10 C +1. +0% +#2485000 +1s +0r +05" +b11101 -" +0y +b1000010011111100001001010000101 | +b1000010011111100001001010000101 B" +1x +b10 } +b10 (" +b10 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000000111110010110110010011110 0 +b10000000111110010110110010011110 M +b10000000111110010110110010011110 f +b10000000111110010110110010011110 !" +0. +1% +#2485500 +b10000 5 +b10000 L +b10000 W +14 +b11011 7 +b11011 N +b11011 V +b1110011110011010001001010101000 2 +b1110011110011010001001010101000 I +b1110011110011010001001010101000 Z +b1110 3 +b1110 J +b1110 Y +11 +b10000 ' +b10000 D +1) +b11011 & +b11011 C +b1110011110011010001001010101000 , +b1110011110011010001001010101000 H +b1110 + +b1110 G +1- +1. +0% +#2486000 +0] +b1101000111000100111010000011100 { +b1101000111000100111010000011100 A" +0u +0s +0\ +1=" +1>" +1@" +1;" +0q +15" +b10001 3" +0^ +0c +1d +1_ +b1111 0" +0k +18" +1l +b100 -" +14" +1v +1y +b10011110001010110010111000110001 | +b10011110001010110010111000110001 B" +1b +12" +1/" +1w +b1000010011111100001001010000101 0 +b1000010011111100001001010000101 M +b1000010011111100001001010000101 f +b1000010011111100001001010000101 !" +b1110011110011010001001010101000 &" +b1110011110011010001001010101000 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#2486010 +b1110011110011010001001010101000 Q" +#2486500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b100000111110000000011001011001 2 +b100000111110000000011001011001 I +b100000111110000000011001011001 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b0 & +b0 C +b100000111110000000011001011001 , +b100000111110000000011001011001 H +b1 + +b1 G +1. +0% +#2487000 +1] +1u +1s +1\ +0[ +0=" +0>" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +17" +05" +b11110 3" +0d +b11111 0" +08" +0l +b11111 -" +04" +0v +0y +b11110101110000101100011111101 | +b11110101110000101100011111101 B" +0b +1a +0/" +0x +0w +b100000111110000000011001011001 &" +b100000111110000000011001011001 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +b1101000111000100111010000011100 / +b1101000111000100111010000011100 K +b1101000111000100111010000011100 e +b1101000111000100111010000011100 "" +b10011110001010110010111000110001 0 +b10011110001010110010111000110001 M +b10011110001010110010111000110001 f +b10011110001010110010111000110001 !" +0. +1% +#2487010 +b100000111110000000011001011001 D" +#2487500 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2488000 +0s +1[ +0@" +0q +15" +b11111 3" +0_ +b10110 -" +1y +b10110111100001111101110011001001 | +b10110111100001111101110011001001 B" +0a +02" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110101110000101100011111101 0 +b11110101110000101100011111101 M +b11110101110000101100011111101 f +b11110101110000101100011111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +0. +1% +#2488500 +b10100 7 +b10100 N +b10100 V +b10000011101101001101001010011011 2 +b10000011101101001101001010011011 I +b10000011101101001101001010011011 Z +b1110 3 +b1110 J +b1110 Y +11 +b10100 & +b10100 C +b10000011101101001101001010011011 , +b10000011101101001101001010011011 H +b1110 + +b1110 G +1- +1. +0% +#2489000 +16" +0] +0u +1t +0\ +1=" +1>" +1@" +1q +05" +b10001 3" +0^ +0c +1d +1_ +b1011 -" +14" +1v +0y +b1101110101010011001100001001010 | +b1101110101010011001100001001010 B" +1b +12" +0w +b10000011101101001101001010011011 &" +b10000011101101001101001010011011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b10100 } +b10100 (" +b10100 +" +b10110111100001111101110011001001 0 +b10110111100001111101110011001001 M +b10110111100001111101110011001001 f +b10110111100001111101110011001001 !" +0. +1% +#2489010 +b10000011101101001101001010011011 Q" +#2489500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2490000 +1] +1s +1\ +0=" +0>" +0@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b11111 -" +0t +04" +0v +0b +02" +0," +b1101110101010011001100001001010 0 +b1101110101010011001100001001010 M +b1101110101010011001100001001010 f +b1101110101010011001100001001010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2490500 +1. +0% +#2491000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2491500 +b11011 5 +b11011 L +b11011 W +14 +b10001110010101110001100100111001 2 +b10001110010101110001100100111001 I +b10001110010101110001100100111001 Z +b1101 3 +b1101 J +b1101 Y +11 +b11011 ' +b11011 D +1) +b10001110010101110001100100111001 , +b10001110010101110001100100111001 H +b1101 + +b1101 G +1- +1. +0% +#2492000 +0] +0i +b10011110001010110010111000110001 { +b10011110001010110010111000110001 A" +0[ +1=" +1>" +1@" +0h +0g +19" +1;" +b10010 3" +0^ +0c +1d +1_ +b100 0" +1o +0k +18" +1l +1a +12" +1n +1m +1/" +b10001110010101110001100100111001 &" +b10001110010101110001100100111001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#2492010 +b10001110010101110001100100111001 P" +#2492500 +b110 5 +b110 L +b110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2493000 +1:" +1] +1k +1j +1[ +0=" +0>" +0@" +1g +1;" +09" +b11111 3" +0d +0_ +b11001 0" +08" +0l +0o +b110101001001001011001101011 { +b110101001001001011001101011 A" +0a +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b10011110001010110010111000110001 / +b10011110001010110010111000110001 K +b10011110001010110010111000110001 e +b10011110001010110010111000110001 "" +0. +1% +#2493500 +b11100 5 +b11100 L +b11100 W +b110 7 +b110 N +b110 V +16 +b11100 ' +b11100 D +b110 & +b110 C +1( +1. +0% +#2494000 +0k +0j +0s +b110101001001001011001101011 | +b110101001001001011001101011 B" +1h +19" +0r +16" +17" +b11 0" +18" +1l +1p +b10011010100000000011001001001001 { +b10011010100000000011001001001001 A" +b11001 -" +1t +1u +0n +1x +1," +b110101001001001011001101011 / +b110101001001001011001101011 K +b110101001001001011001101011 e +b110101001001001011001101011 "" +b11100 ~ +b11100 )" +b11100 ." +b110 } +b110 (" +b110 +" +1#" +0. +1% +#2494500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b10000101001000111100000001000011 2 +b10000101001000111100000001000011 I +b10000101001000111100000001000011 Z +b10101 3 +b10101 J +b10101 Y +11 +b1001 ' +b1001 D +b0 & +b0 C +0( +b10000101001000111100000001000011 , +b10000101001000111100000001000011 H +b10101 + +b10101 G +1- +1. +0% +#2495000 +0] +1k +1o +1s +0[ +1>" +1@" +0g +1;" +19" +0:" +1r +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b10110 0" +08" +0l +0p +b10110111100001111101110011001001 { +b10110111100001111101110011001001 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1m +0x +0," +b10000101001000111100000001000011 &" +b10000101001000111100000001000011 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +b10011010100000000011001001001001 / +b10011010100000000011001001001001 K +b10011010100000000011001001001001 e +b10011010100000000011001001001001 "" +b110101001001001011001101011 0 +b110101001001001011001101011 M +b110101001001001011001101011 f +b110101001001001011001101011 !" +0. +1% +#2495010 +b10000101001000111100000001000011 X" +#2495500 +b0 5 +b0 L +b0 W +04 +b10110011100110010011101011111001 2 +b10110011100110010011101011111001 I +b10110011100110010011101011111001 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b10110011100110010011101011111001 , +b10110011100110010011101011111001 H +b1100 + +b1100 G +1. +0% +#2496000 +1_ +0^ +1i +1[ +1@" +1=" +1g +09" +0;" +b10011 3" +0<" +0` +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +0m +0/" +b10110111100001111101110011001001 / +b10110111100001111101110011001001 K +b10110111100001111101110011001001 e +b10110111100001111101110011001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110011100110010011101011111001 &" +b10110011100110010011101011111001 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2496010 +b10110011100110010011101011111001 O" +#2496500 +b100 7 +b100 N +b100 V +16 +b11000010111011110010010001010111 2 +b11000010111011110010010001010111 I +b11000010111011110010010001010111 Z +b11111 3 +b11111 J +b11111 Y +b100 & +b100 C +1( +b11000010111011110010010001010111 , +b11000010111011110010010001010111 H +b11111 + +b11111 G +1. +0% +#2497000 +0_ +0s +b11011111110111000010010111000101 | +b11011111110111000010010111000101 B" +0\ +0[ +16" +17" +b0 3" +1<" +1` +b11011 -" +1t +1u +1b +1a +1," +b11000010111011110010010001010111 &" +b11000010111011110010010001010111 ?" +b11111 %" +b11111 *" +b11111 1" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2497500 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2498000 +15" +1] +0u +1y +1\ +1[ +0=" +0>" +0@" +0q +06" +b11111 3" +0d +0<" +0` +b110 -" +14" +1v +0t +b10111001001110011010101100001001 | +b10111001001110011010101100001001 B" +0b +0a +02" +1w +b11011111110111000010010111000101 0 +b11011111110111000010010111000101 M +b11011111110111000010010111000101 f +b11011111110111000010010111000101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +0. +1% +#2498500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2499000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10111001001110011010101100001001 0 +b10111001001110011010101100001001 M +b10111001001110011010101100001001 f +b10111001001110011010101100001001 !" +0. +1% +#2499500 +b1000 5 +b1000 L +b1000 W +14 +b11000010100011000110011101000 2 +b11000010100011000110011101000 I +b11000010100011000110011101000 Z +b10010 3 +b10010 J +b10010 Y +11 +b1000 ' +b1000 D +1) +b11000010100011000110011101000 , +b11000010100011000110011101000 H +b10010 + +b10010 G +1- +1. +0% +#2500000 +0i +b10000000111110010110110010011110 { +b10000000111110010110110010011110 A" +0\ +1@" +19" +1;" +b1101 3" +0_ +1<" +1` +b10111 0" +1o +1k +1b +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000010100011000110011101000 &" +b11000010100011000110011101000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#2500010 +b11000010100011000110011101000 U" +#2500500 +b0 5 +b0 L +b0 W +04 +b1110001001101111011100010011010 2 +b1110001001101111011100010011010 I +b1110001001101111011100010011010 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b1110001001101111011100010011010 , +b1110001001101111011100010011010 H +b11110 + +b11110 G +1. +0% +#2501000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1=" +1>" +09" +0;" +b1 3" +0^ +0c +1d +b11111 0" +0o +0k +0/" +b1110001001101111011100010011010 &" +b1110001001101111011100010011010 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10000000111110010110110010011110 / +b10000000111110010110110010011110 K +b10000000111110010110110010011110 e +b10000000111110010110110010011110 "" +0. +1% +#2501010 +b1110001001101111011100010011010 a" +#2501500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2502000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2502500 +1. +0% +#2503000 +0. +1% +#2503500 +1. +0% +#2504000 +0. +1% +#2504500 +b101111001000011010101110111100 2 +b101111001000011010101110111100 I +b101111001000011010101110111100 Z +b11000 3 +b11000 J +b11000 Y +11 +b101111001000011010101110111100 , +b101111001000011010101110111100 H +b11000 + +b11000 G +1- +1. +0% +#2505000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b101111001000011010101110111100 &" +b101111001000011010101110111100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0. +1% +#2505010 +b101111001000011010101110111100 [" +#2505500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2506000 +1] +0=" +0@" +b11111 3" +0c +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2506500 +b10011 5 +b10011 L +b10011 W +14 +b100 7 +b100 N +b100 V +16 +b10011 ' +b10011 D +1) +b100 & +b100 C +1( +1. +0% +#2507000 +b10111101010010001100000010110101 { +b10111101010010001100000010110101 A" +0s +b11011111110111000010010111000101 | +b11011111110111000010010111000101 B" +0h +0g +1;" +16" +17" +b1100 0" +0k +18" +1l +b11011 -" +1t +1u +1n +1m +1/" +1," +b10011 ~ +b10011 )" +b10011 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#2507500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b111111000000100100000111110001 2 +b111111000000100100000111110001 I +b111111000000100100000111110001 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b111111000000100100000111110001 , +b111111000000100100000111110001 H +b11100 + +b11100 G +1- +1. +0% +#2508000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +1>" +1@" +1h +1g +0;" +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +12" +0n +0m +0/" +0," +b10111101010010001100000010110101 / +b10111101010010001100000010110101 K +b10111101010010001100000010110101 e +b10111101010010001100000010110101 "" +b11011111110111000010010111000101 0 +b11011111110111000010010111000101 M +b11011111110111000010010111000101 f +b11011111110111000010010111000101 !" +b111111000000100100000111110001 &" +b111111000000100100000111110001 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2508010 +b111111000000100100000111110001 _" +#2508500 +14 +b11001111111110101101100000011100 2 +b11001111111110101101100000011100 I +b11001111111110101101100000011100 Z +b1000 3 +b1000 J +b1000 Y +1) +b11001111111110101101100000011100 , +b11001111111110101101100000011100 H +b1000 + +b1000 G +1. +0% +#2509000 +1_ +1c +b11110101110000101100011111101 { +b11110101110000101100011111101 A" +1@" +1=" +0>" +1;" +b10111 3" +0<" +0` +0d +1k +1/" +b11001111111110101101100000011100 &" +b11001111111110101101100000011100 ?" +b1000 %" +b1000 *" +b1000 1" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2509010 +b11001111111110101101100000011100 K" +#2509500 +04 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2510000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11000010100011000110011101000 | +b11000010100011000110011101000 B" +0=" +0@" +0;" +0r +17" +b11111 3" +0c +0_ +0k +b1101 -" +0u +14" +1v +02" +0/" +1x +1," +b11110101110000101100011111101 / +b11110101110000101100011111101 K +b11110101110000101100011111101 e +b11110101110000101100011111101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#2510500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +1. +0% +#2511000 +b11000010100011000110011101000 { +b11000010100011000110011101000 A" +0h +1;" +1r +07" +b1101 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0," +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000010100011000110011101000 0 +b11000010100011000110011101000 M +b11000010100011000110011101000 f +b11000010100011000110011101000 !" +0. +1% +#2511500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2512000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b11000010100011000110011101000 / +b11000010100011000110011101000 K +b11000010100011000110011101000 e +b11000010100011000110011101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2512500 +1. +0% +#2513000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2513500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#2514000 +0i +b11001111111110101101100000011100 { +b11001111111110101101100000011100 A" +19" +1;" +b10111 0" +1o +1k +1/" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#2514500 +b1111 5 +b1111 L +b1111 W +b10010110010000011000110011101 2 +b10010110010000011000110011101 I +b10010110010000011000110011101 Z +b10 3 +b10 J +b10 Y +11 +b1111 ' +b1111 D +b10010110010000011000110011101 , +b10010110010000011000110011101 H +b10 + +b10 G +1- +1. +0% +#2515000 +0o +0\ +1@" +0h +0g +1:" +b11101 3" +1_ +b10000 0" +1p +b10110111000000110111111001100110 { +b10110111000000110111111001100110 A" +1b +12" +1n +1m +b10010110010000011000110011101 &" +b10010110010000011000110011101 ?" +b10 %" +b10 *" +b10 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +b11001111111110101101100000011100 / +b11001111111110101101100000011100 K +b11001111111110101101100000011100 e +b11001111111110101101100000011100 "" +0. +1% +#2515010 +b10010110010000011000110011101 E" +#2515500 +b10010 5 +b10010 L +b10010 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2516000 +0k +1i +1\ +0@" +1g +09" +0:" +b11111 3" +0_ +b1101 0" +18" +1l +0p +b11000010100011000110011101000 { +b11000010100011000110011101000 A" +0b +02" +0m +b10110111000000110111111001100110 / +b10110111000000110111111001100110 K +b10110111000000110111111001100110 e +b10110111000000110111111001100110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +0. +1% +#2516500 +b101 5 +b101 L +b101 W +b1001 7 +b1001 N +b1001 V +16 +b10000011010111010011010111100000 2 +b10000011010111010011010111100000 I +b10000011010111010011010111100000 Z +b11 3 +b11 J +b11 Y +11 +b101 ' +b101 D +b1001 & +b1001 C +1( +b10000011010111010011010111100000 , +b10000011010111010011010111100000 H +b11 + +b11 G +1- +1. +0% +#2517000 +1k +0i +0s +b10110111100001111101110011001001 | +b10110111100001111101110011001001 B" +0\ +0[ +1@" +1h +0g +1;" +1:" +0q +15" +17" +b11100 3" +1_ +b11010 0" +08" +0l +1j +b1100111010100000111001111010010 { +b1100111010100000111001111010010 A" +b10110 -" +1y +1u +1b +1a +12" +0n +1m +1w +1," +b10000011010111010011010111100000 &" +b10000011010111010011010111100000 ?" +b11 %" +b11 *" +b11 1" +1'" +b101 ~ +b101 )" +b101 ." +b1001 } +b1001 (" +b1001 +" +1#" +b11000010100011000110011101000 / +b11000010100011000110011101000 K +b11000010100011000110011101000 e +b11000010100011000110011101000 "" +0. +1% +#2517010 +b10000011010111010011010111100000 F" +#2517500 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2518000 +16" +0u +1t +1\ +1[ +0@" +0r +1q +05" +b11111 3" +0_ +b1001 -" +14" +1v +0y +b10100001000001010011111001001110 | +b10100001000001010011111001001110 B" +0b +0a +02" +1x +0w +b1100111010100000111001111010010 / +b1100111010100000111001111010010 K +b1100111010100000111001111010010 e +b1100111010100000111001111010010 "" +b10110111100001111101110011001001 0 +b10110111100001111101110011001001 M +b10110111100001111101110011001001 f +b10110111100001111101110011001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 } +b10110 (" +b10110 +" +0. +1% +#2518500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b10011001100000001001011010101010 2 +b10011001100000001001011010101010 I +b10011001100000001001011010101010 Z +b1100 3 +b1100 J +b1100 Y +11 +b11001 ' +b11001 D +b0 & +b0 C +0( +b10011001100000001001011010101010 , +b10011001100000001001011010101010 H +b1100 + +b1100 G +1- +1. +0% +#2519000 +19" +0] +0k +1o +1s +1=" +1>" +1@" +b10111001001110011010101100001001 { +b10111001001110011010101100001001 A" +0:" +1r +06" +07" +b10011 3" +0^ +0c +1d +1_ +b110 0" +18" +1l +0j +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0," +b10011001100000001001011010101010 &" +b10011001100000001001011010101010 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +b10100001000001010011111001001110 0 +b10100001000001010011111001001110 M +b10100001000001010011111001001110 f +b10100001000001010011111001001110 !" +0. +1% +#2519010 +b10011001100000001001011010101010 O" +#2519500 +b10100 5 +b10100 L +b10100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2520000 +1:" +1] +1j +0=" +0>" +0@" +1g +09" +b11111 3" +0d +0_ +b1011 0" +0o +b1101110101010011001100001001010 { +b1101110101010011001100001001010 A" +02" +0m +b10111001001110011010101100001001 / +b10111001001110011010101100001001 K +b10111001001110011010101100001001 e +b10111001001110011010101100001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +0. +1% +#2520500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b10101101111111101101110000001110 2 +b10101101111111101101110000001110 I +b10101101111111101101110000001110 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +b10101101111111101101110000001110 , +b10101101111111101101110000001110 H +b1101 + +b1101 G +1- +1. +0% +#2521000 +0] +1i +0s +b1100001100100101011100011011010 | +b1100001100100101011100011011010 B" +0[ +1=" +1>" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +17" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0j +08" +0l +b10100 -" +1y +1u +1a +12" +0/" +1x +1w +1," +b10101101111111101101110000001110 &" +b10101101111111101101110000001110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b1101110101010011001100001001010 / +b1101110101010011001100001001010 K +b1101110101010011001100001001010 e +b1101110101010011001100001001010 "" +0. +1% +#2521010 +b10101101111111101101110000001110 P" +#2521500 +b1 5 +b1 L +b1 W +14 +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2522000 +16" +1] +b100000111110000000011001011001 { +b100000111110000000011001011001 A" +1t +1[ +0=" +0>" +0@" +0g +1;" +1r +05" +b11111 3" +0d +0_ +b11110 0" +1k +b11010 -" +0y +b1100111010100000111001111010010 | +b1100111010100000111001111010010 B" +0a +02" +1m +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100001100100101011100011011010 0 +b1100001100100101011100011011010 M +b1100001100100101011100011011010 f +b1100001100100101011100011011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b101 } +b101 (" +b101 +" +0. +1% +#2522500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10000010101111010000011111110100 2 +b10000010101111010000011111110100 I +b10000010101111010000011111110100 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10000010101111010000011111110100 , +b10000010101111010000011111110100 H +b11101 + +b11101 G +1- +1. +0% +#2523000 +0] +1s +0[ +1=" +1>" +1@" +1g +0;" +1q +06" +07" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0m +0/" +0w +0," +b10000010101111010000011111110100 &" +b10000010101111010000011111110100 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b100000111110000000011001011001 / +b100000111110000000011001011001 K +b100000111110000000011001011001 e +b100000111110000000011001011001 "" +b1100111010100000111001111010010 0 +b1100111010100000111001111010010 M +b1100111010100000111001111010010 f +b1100111010100000111001111010010 !" +0. +1% +#2523010 +b10000010101111010000011111110100 `" +#2523500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2524000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2524500 +1. +0% +#2525000 +0. +1% +#2525500 +b11000 7 +b11000 N +b11000 V +16 +b11000 & +b11000 C +1( +1. +0% +#2526000 +0s +b101111001000011010101110111100 | +b101111001000011010101110111100 B" +15" +17" +b111 -" +1y +0u +14" +1v +1," +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#2526500 +b11001 5 +b11001 L +b11001 W +14 +b10001 7 +b10001 N +b10001 V +b11001 ' +b11001 D +1) +b10001 & +b10001 C +1. +0% +#2527000 +0i +b10111001001110011010101100001001 { +b10111001001110011010101100001001 A" +1s +0g +19" +1;" +0q +05" +b110 0" +1o +0k +18" +1l +b1110 -" +0y +b10111010110100011111101101001000 | +b10111010110100011111101101001000 B" +1m +1/" +1w +b11001 ~ +b11001 )" +b11001 ." +1$" +b10001 } +b10001 (" +b10001 +" +b101111001000011010101110111100 0 +b101111001000011010101110111100 M +b101111001000011010101110111100 f +b101111001000011010101110111100 !" +0. +1% +#2527500 +b0 5 +b0 L +b0 W +b10101 7 +b10101 N +b10101 V +b10101000100110001100010110001110 2 +b10101000100110001100010110001110 I +b10101000100110001100010110001110 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +b10101 & +b10101 C +b10101000100110001100010110001110 , +b10101000100110001100010110001110 H +b1111 + +b1111 G +1- +1. +0% +#2528000 +0] +1k +1i +0s +b10000101001000111100000001000011 | +b10000101001000111100000001000011 B" +0\ +0[ +1=" +1>" +1@" +1g +1;" +09" +16" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +08" +0l +0o +b11110101110000101100011111101 { +b11110101110000101100011111101 A" +b1010 -" +1t +1b +1a +12" +0m +b10111001001110011010101100001001 / +b10111001001110011010101100001001 K +b10111001001110011010101100001001 e +b10111001001110011010101100001001 "" +b10111010110100011111101101001000 0 +b10111010110100011111101101001000 M +b10111010110100011111101101001000 f +b10111010110100011111101101001000 !" +b10101000100110001100010110001110 &" +b10101000100110001100010110001110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +b10101 } +b10101 (" +b10101 +" +0. +1% +#2528010 +b10101000100110001100010110001110 R" +#2528500 +b11101 5 +b11101 L +b11101 W +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2529000 +1] +0k +0i +1u +1\ +1[ +0=" +0>" +0@" +0g +19" +1:" +17" +b1100111010100000111001111010010 | +b1100111010100000111001111010010 B" +b11111 3" +0d +0_ +b10 0" +18" +1l +0j +0o +1p +b10000010101111010000011111110100 { +b10000010101111010000011111110100 A" +b11010 -" +04" +0v +0b +0a +02" +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +b101 } +b101 (" +b101 +" +b11110101110000101100011111101 / +b11110101110000101100011111101 K +b11110101110000101100011111101 e +b11110101110000101100011111101 "" +b10000101001000111100000001000011 0 +b10000101001000111100000001000011 M +b10000101001000111100000001000011 f +b10000101001000111100000001000011 !" +0. +1% +#2529500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2530000 +1i +1s +1g +09" +0:" +0;" +1q +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0w +0," +b10000010101111010000011111110100 / +b10000010101111010000011111110100 K +b10000010101111010000011111110100 e +b10000010101111010000011111110100 "" +b1100111010100000111001111010010 0 +b1100111010100000111001111010010 M +b1100111010100000111001111010010 f +b1100111010100000111001111010010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2530500 +1. +0% +#2531000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2531500 +1. +0% +#2532000 +0. +1% +#2532500 +b10001 7 +b10001 N +b10001 V +16 +b11111100100000111010000100011110 2 +b11111100100000111010000100011110 I +b11111100100000111010000100011110 Z +b11010 3 +b11010 J +b11010 Y +11 +b10001 & +b10001 C +1( +b11111100100000111010000100011110 , +b11111100100000111010000100011110 H +b11010 + +b11010 G +1- +1. +0% +#2533000 +0] +b10111010110100011111101101001000 | +b10111010110100011111101101001000 B" +0\ +1=" +1@" +0q +17" +b101 3" +1c +0_ +1<" +1` +b1110 -" +0u +14" +1v +1b +12" +1w +1," +b11111100100000111010000100011110 &" +b11111100100000111010000100011110 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#2533010 +b11111100100000111010000100011110 ]" +#2533500 +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2534000 +1] +0s +1\ +0=" +0@" +1q +15" +b11111 3" +0c +0<" +0` +b111 -" +1y +b101111001000011010101110111100 | +b101111001000011010101110111100 B" +0b +02" +0w +b10111010110100011111101101001000 0 +b10111010110100011111101101001000 M +b10111010110100011111101101001000 f +b10111010110100011111101101001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 } +b11000 (" +b11000 +" +0. +1% +#2534500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2535000 +1s +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0y +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b101111001000011010101110111100 0 +b101111001000011010101110111100 M +b101111001000011010101110111100 f +b101111001000011010101110111100 !" +0. +1% +#2535500 +b101 5 +b101 L +b101 W +14 +b11110111110001100111101010100 2 +b11110111110001100111101010100 I +b11110111110001100111101010100 Z +b1001 3 +b1001 J +b1001 Y +11 +b101 ' +b101 D +1) +b11110111110001100111101010100 , +b11110111110001100111101010100 H +b1001 + +b1001 G +1- +1. +0% +#2536000 +0] +0i +b1100111010100000111001111010010 { +b1100111010100000111001111010010 A" +0[ +1=" +1@" +0g +1:" +1;" +b10110 3" +1c +1_ +b11010 0" +1j +1k +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110111110001100111101010100 &" +b11110111110001100111101010100 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#2536010 +b11110111110001100111101010100 L" +#2536500 +b0 5 +b0 L +b0 W +04 +b110000000001011111010100111000 2 +b110000000001011111010100111000 I +b110000000001011111010100111000 Z +b0 ' +b0 D +0) +b110000000001011111010100111000 , +b110000000001011111010100111000 H +1. +0% +#2537000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b110000000001011111010100111000 &" +b110000000001011111010100111000 ?" +b0 ~ +b0 )" +b0 ." +0$" +b1100111010100000111001111010010 / +b1100111010100000111001111010010 K +b1100111010100000111001111010010 e +b1100111010100000111001111010010 "" +0. +1% +#2537010 +b110000000001011111010100111000 L" +#2537500 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2538000 +1] +0s +b110101001001001011001101011 | +b110101001001001011001101011 B" +1[ +0=" +0@" +0r +16" +17" +b11111 3" +0c +0_ +b11001 -" +1t +1u +0a +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#2538500 +b100 5 +b100 L +b100 W +14 +b1001 7 +b1001 N +b1001 V +b100 ' +b100 D +1) +b1001 & +b1001 C +1. +0% +#2539000 +15" +0i +b11011111110111000010010111000101 { +b11011111110111000010010111000101 A" +1y +1:" +1;" +1r +0q +06" +b11011 0" +1j +1k +b10110 -" +0t +b110000000001011111010100111000 | +b110000000001011111010100111000 B" +1/" +0x +1w +b100 ~ +b100 )" +b100 ." +1$" +b1001 } +b1001 (" +b1001 +" +b110101001001001011001101011 0 +b110101001001001011001101011 M +b110101001001001011001101011 f +b110101001001001011001101011 !" +0. +1% +#2539500 +b101 5 +b101 L +b101 W +b0 7 +b0 N +b0 V +06 +b11111101011001010100111111100 2 +b11111101011001010100111111100 I +b11111101011001010100111111100 Z +b11 3 +b11 J +b11 Y +11 +b101 ' +b101 D +b0 & +b0 C +0( +b11111101011001010100111111100 , +b11111101011001010100111111100 H +b11 + +b11 G +1- +1. +0% +#2540000 +1s +0\ +0[ +1@" +0g +1q +05" +07" +b11100 3" +1_ +b11010 0" +b1100111010100000111001111010010 { +b1100111010100000111001111010010 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1m +0w +0," +b11011111110111000010010111000101 / +b11011111110111000010010111000101 K +b11011111110111000010010111000101 e +b11011111110111000010010111000101 "" +b110000000001011111010100111000 0 +b110000000001011111010100111000 M +b110000000001011111010100111000 f +b110000000001011111010100111000 !" +b11111101011001010100111111100 &" +b11111101011001010100111111100 ?" +b11 %" +b11 *" +b11 1" +1'" +b101 ~ +b101 )" +b101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2540010 +b11111101011001010100111111100 F" +#2540500 +b0 5 +b0 L +b0 W +04 +b11011111101000100110100010001000 2 +b11011111101000100110100010001000 I +b11011111101000100110100010001000 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b11011111101000100110100010001000 , +b11011111101000100110100010001000 H +b10110 + +b10110 G +1. +0% +#2541000 +0_ +0] +1i +1[ +1>" +1g +0:" +0;" +b1001 3" +1<" +1` +1^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +0m +0/" +b11011111101000100110100010001000 &" +b11011111101000100110100010001000 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1100111010100000111001111010010 / +b1100111010100000111001111010010 K +b1100111010100000111001111010010 e +b1100111010100000111001111010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2541010 +b11011111101000100110100010001000 Y" +#2541500 +b10 5 +b10 L +b10 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2542000 +1] +b10010110010000011000110011101 { +b10010110010000011000110011101 A" +1\ +0>" +0@" +0h +1;" +b11111 3" +0^ +0<" +0` +b11101 0" +1k +0b +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#2542500 +b1001 5 +b1001 L +b1001 W +b11 7 +b11 N +b11 V +16 +b1001 ' +b1001 D +b11 & +b11 C +1( +1. +0% +#2543000 +0i +b11111101011001010100111111100 | +b11111101011001010100111111100 B" +1h +0g +19" +0r +0q +17" +b10110 0" +1o +b110000000001011111010100111000 { +b110000000001011111010100111000 A" +b11100 -" +1u +0n +1m +1x +1w +1," +b1001 ~ +b1001 )" +b1001 ." +b11 } +b11 (" +b11 +" +1#" +b10010110010000011000110011101 / +b10010110010000011000110011101 K +b10010110010000011000110011101 e +b10010110010000011000110011101 "" +0. +1% +#2543500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2544000 +1i +1g +09" +0;" +1r +1q +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0w +0," +b110000000001011111010100111000 / +b110000000001011111010100111000 K +b110000000001011111010100111000 e +b110000000001011111010100111000 "" +b11111101011001010100111111100 0 +b11111101011001010100111111100 M +b11111101011001010100111111100 f +b11111101011001010100111111100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2544500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#2545000 +0s +b10011110001010110010111000110001 | +b10011110001010110010111000110001 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2545500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2546000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b10011110001010110010111000110001 0 +b10011110001010110010111000110001 M +b10011110001010110010111000110001 f +b10011110001010110010111000110001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2546500 +b10111 7 +b10111 N +b10111 V +16 +b10111 & +b10111 C +1( +1. +0% +#2547000 +0s +b11110000011110001010111011111101 | +b11110000011110001010111011111101 B" +0r +0q +16" +17" +b1000 -" +1t +0u +14" +1v +1x +1w +1," +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2547500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2548000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b11110000011110001010111011111101 0 +b11110000011110001010111011111101 M +b11110000011110001010111011111101 f +b11110000011110001010111011111101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2548500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#2549000 +b11000010100011000110011101000 { +b11000010100011000110011101000 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2549500 +b0 5 +b0 L +b0 W +04 +b111010001111111100001001111111 2 +b111010001111111100001001111111 I +b111010001111111100001001111111 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b111010001111111100001001111111 , +b111010001111111100001001111111 H +b1110 + +b1110 G +1- +1. +0% +#2550000 +0] +0\ +1=" +1>" +1@" +1h +0;" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0/" +b11000010100011000110011101000 / +b11000010100011000110011101000 K +b11000010100011000110011101000 e +b11000010100011000110011101000 "" +b111010001111111100001001111111 &" +b111010001111111100001001111111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2550010 +b111010001111111100001001111111 Q" +#2550500 +b10101 5 +b10101 L +b10101 W +14 +b100000111110110000001000101111 2 +b100000111110110000001000101111 I +b100000111110110000001000101111 Z +b10011 3 +b10011 J +b10011 Y +b10101 ' +b10101 D +1) +b100000111110110000001000101111 , +b100000111110110000001000101111 H +b10011 + +b10011 G +1. +0% +#2551000 +0_ +1] +0i +b10000101001000111100000001000011 { +b10000101001000111100000001000011 A" +0[ +0=" +0>" +0g +1:" +1;" +b1100 3" +1<" +1` +0d +b1010 0" +1j +0k +18" +1l +1a +1m +1/" +b100000111110110000001000101111 &" +b100000111110110000001000101111 ?" +b10011 %" +b10011 *" +b10011 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2551010 +b100000111110110000001000101111 V" +#2551500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2552000 +1i +1\ +1[ +0@" +1g +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0m +0/" +b10000101001000111100000001000011 / +b10000101001000111100000001000011 K +b10000101001000111100000001000011 e +b10000101001000111100000001000011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2552500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#2553000 +b100000111110110000001000101111 | +b100000111110110000001000101111 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2553500 +b1 7 +b1 N +b1 V +b1 & +b1 C +1. +0% +#2554000 +1u +1r +17" +b11110 -" +04" +0v +b100000111110000000011001011001 | +b100000111110000000011001011001 B" +0x +b100000111110110000001000101111 0 +b100000111110110000001000101111 M +b100000111110110000001000101111 f +b100000111110110000001000101111 !" +b1 } +b1 (" +b1 +" +0. +1% +#2554500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b10000110011000111000100010000010 2 +b10000110011000111000100010000010 I +b10000110011000111000100010000010 Z +b10010 3 +b10010 J +b10010 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b10000110011000111000100010000010 , +b10000110011000111000100010000010 H +b10010 + +b10010 G +1- +1. +0% +#2555000 +0i +b110000000001011111010100111000 { +b110000000001011111010100111000 A" +0\ +1@" +0g +19" +1;" +1q +07" +b1101 3" +0_ +1<" +1` +b10110 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +1/" +0w +0," +b10000110011000111000100010000010 &" +b10000110011000111000100010000010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b100000111110000000011001011001 0 +b100000111110000000011001011001 M +b100000111110000000011001011001 f +b100000111110000000011001011001 !" +0. +1% +#2555010 +b10000110011000111000100010000010 U" +#2555500 +b11000 5 +b11000 L +b11000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2556000 +0k +1\ +0@" +1g +b11111 3" +0<" +0` +b111 0" +18" +1l +b101111001000011010101110111100 { +b101111001000011010101110111100 A" +0b +02" +0m +b110000000001011111010100111000 / +b110000000001011111010100111000 K +b110000000001011111010100111000 e +b110000000001011111010100111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +0. +1% +#2556500 +b11110 5 +b11110 L +b11110 W +b10010 7 +b10010 N +b10010 V +16 +b10010110111101100011000010110001 2 +b10010110111101100011000010110001 I +b10010110111101100011000010110001 Z +11 +b11110 ' +b11110 D +b10010 & +b10010 C +1( +b10010110111101100011000010110001 , +b10010110111101100011000010110001 H +1- +1. +0% +#2557000 +0o +b10000110011000111000100010000010 | +b10000110011000111000100010000010 B" +1@" +0h +1:" +0r +17" +1_ +b1 0" +1p +b1110001001101111011100010011010 { +b1110001001101111011100010011010 A" +b1101 -" +0u +14" +1v +12" +1n +1x +1," +b10010110111101100011000010110001 &" +b10010110111101100011000010110001 ?" +1'" +b11110 ~ +b11110 )" +b11110 ." +b10010 } +b10010 (" +b10010 +" +1#" +b101111001000011010101110111100 / +b101111001000011010101110111100 K +b101111001000011010101110111100 e +b101111001000011010101110111100 "" +0. +1% +#2557010 +b10010110111101100011000010110001 C" +#2557500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#2558000 +1i +0@" +1h +09" +0:" +0;" +1r +07" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0n +0/" +0x +0," +b1110001001101111011100010011010 / +b1110001001101111011100010011010 K +b1110001001101111011100010011010 e +b1110001001101111011100010011010 "" +b10000110011000111000100010000010 0 +b10000110011000111000100010000010 M +b10000110011000111000100010000010 f +b10000110011000111000100010000010 !" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2558500 +b10101 5 +b10101 L +b10101 W +14 +b1101110100100011110001011000100 2 +b1101110100100011110001011000100 I +b1101110100100011110001011000100 Z +b11100 3 +b11100 J +b11100 Y +11 +b10101 ' +b10101 D +1) +b1101110100100011110001011000100 , +b1101110100100011110001011000100 H +b11100 + +b11100 G +1- +1. +0% +#2559000 +0] +0i +b10000101001000111100000001000011 { +b10000101001000111100000001000011 A" +1=" +1>" +1@" +0g +1:" +1;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b1010 0" +1j +0k +18" +1l +12" +1m +1/" +b1101110100100011110001011000100 &" +b1101110100100011110001011000100 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2559010 +b1101110100100011110001011000100 _" +#2559500 +b10000 5 +b10000 L +b10000 W +b1110100111111001110110100101011 2 +b1110100111111001110110100101011 I +b1110100111111001110110100101011 Z +b1111 3 +b1111 J +b1111 Y +b10000 ' +b10000 D +b1110100111111001110110100101011 , +b1110100111111001110110100101011 H +b1111 + +b1111 G +1. +0% +#2560000 +1_ +1i +0\ +0[ +1@" +1g +0:" +b10000 3" +0<" +0` +b1111 0" +0j +b1101000111000100111010000011100 { +b1101000111000100111010000011100 A" +1b +1a +0m +b10000101001000111100000001000011 / +b10000101001000111100000001000011 K +b10000101001000111100000001000011 e +b10000101001000111100000001000011 "" +b1110100111111001110110100101011 &" +b1110100111111001110110100101011 ?" +b1111 %" +b1111 *" +b1111 1" +b10000 ~ +b10000 )" +b10000 ." +0. +1% +#2560010 +b1110100111111001110110100101011 R" +#2560500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2561000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101000111000100111010000011100 / +b1101000111000100111010000011100 K +b1101000111000100111010000011100 e +b1101000111000100111010000011100 "" +0. +1% +#2561500 +b0 5 +b0 L +b0 W +04 +b11001101101101011100001111111100 2 +b11001101101101011100001111111100 I +b11001101101101011100001111111100 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b11001101101101011100001111111100 , +b11001101101101011100001111111100 H +b1110 + +b1110 G +1- +1. +0% +#2562000 +0] +0\ +1=" +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +08" +0l +1b +12" +0/" +b11001101101101011100001111111100 &" +b11001101101101011100001111111100 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2562010 +b11001101101101011100001111111100 Q" +#2562500 +b11010 5 +b11010 L +b11010 W +14 +b10010 7 +b10010 N +b10010 V +16 +b10101100011111001010000001011 2 +b10101100011111001010000001011 I +b10101100011111001010000001011 Z +b10000 3 +b10000 J +b10000 Y +b11010 ' +b11010 D +1) +b10010 & +b10010 C +1( +b10101100011111001010000001011 , +b10101100011111001010000001011 H +b10000 + +b10000 G +1. +0% +#2563000 +0_ +1] +0i +b11111100100000111010000100011110 { +b11111100100000111010000100011110 A" +b10000110011000111000100010000010 | +b10000110011000111000100010000010 B" +1\ +0=" +0>" +0h +19" +1;" +0r +17" +b1111 3" +1<" +1` +0d +b101 0" +1o +0k +18" +1l +b1101 -" +0u +14" +1v +0b +1n +1/" +1x +1," +b10101100011111001010000001011 &" +b10101100011111001010000001011 ?" +b10000 %" +b10000 *" +b10000 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2563010 +b10101100011111001010000001011 S" +#2563500 +b11000 5 +b11000 L +b11000 W +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2564000 +1u +0s +0@" +1h +0q +17" +15" +16" +b11111 3" +0<" +0` +b111 0" +b101111001000011010101110111100 { +b101111001000011010101110111100 A" +b10000 -" +04" +0v +0t +0y +1z +b1110100111111001110110100101011 | +b1110100111111001110110100101011 B" +02" +0n +1w +b11111100100000111010000100011110 / +b11111100100000111010000100011110 K +b11111100100000111010000100011110 e +b11111100100000111010000100011110 "" +b10000110011000111000100010000010 0 +b10000110011000111000100010000010 M +b10000110011000111000100010000010 f +b10000110011000111000100010000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +b1111 } +b1111 (" +b1111 +" +0. +1% +#2564500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2565000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b101111001000011010101110111100 / +b101111001000011010101110111100 K +b101111001000011010101110111100 e +b101111001000011010101110111100 "" +b1110100111111001110110100101011 0 +b1110100111111001110110100101011 M +b1110100111111001110110100101011 f +b1110100111111001110110100101011 !" +0. +1% +#2565500 +b1110 5 +b1110 L +b1110 W +b11100 7 +b11100 N +b11100 V +16 +b1110 ' +b1110 D +b11100 & +b11100 C +1( +1. +0% +#2566000 +1k +0o +0s +b1101110100100011110001011000100 | +b1101110100100011110001011000100 B" +0h +1;" +1:" +15" +16" +17" +b10001 0" +08" +0l +1p +b11001101101101011100001111111100 { +b11001101101101011100001111111100 A" +b11 -" +0t +0y +1z +0u +14" +1v +1n +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110 ~ +b1110 )" +b1110 ." +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#2566500 +b11 5 +b11 L +b11 W +b1111 7 +b1111 N +b1111 V +b11 ' +b11 D +b1111 & +b1111 C +1. +0% +#2567000 +1i +1u +0g +09" +0:" +0r +0q +17" +b11100 0" +0p +b11111101011001010100111111100 { +b11111101011001010100111111100 A" +b10000 -" +04" +0v +b1110100111111001110110100101011 | +b1110100111111001110110100101011 B" +1m +1x +1w +b11 ~ +b11 )" +b11 ." +b1111 } +b1111 (" +b1111 +" +b11001101101101011100001111111100 / +b11001101101101011100001111111100 K +b11001101101101011100001111111100 e +b11001101101101011100001111111100 "" +b1101110100100011110001011000100 0 +b1101110100100011110001011000100 M +b1101110100100011110001011000100 f +b1101110100100011110001011000100 !" +0. +1% +#2567500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2568000 +1s +1h +1g +0;" +1r +1q +05" +06" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0w +0," +b11111101011001010100111111100 / +b11111101011001010100111111100 K +b11111101011001010100111111100 e +b11111101011001010100111111100 "" +b1110100111111001110110100101011 0 +b1110100111111001110110100101011 M +b1110100111111001110110100101011 f +b1110100111111001110110100101011 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2568500 +b10100 7 +b10100 N +b10100 V +16 +b10011010011000100010101011011101 2 +b10011010011000100010101011011101 I +b10011010011000100010101011011101 Z +b10111 3 +b10111 J +b10111 Y +11 +b10100 & +b10100 C +1( +b10011010011000100010101011011101 , +b10011010011000100010101011011101 H +b10111 + +b10111 G +1- +1. +0% +#2569000 +0] +0s +b1101110101010011001100001001010 | +b1101110101010011001100001001010 B" +0\ +0[ +1>" +1@" +16" +17" +b1000 3" +1^ +0_ +1<" +1` +b1011 -" +1t +0u +14" +1v +1b +1a +12" +1," +b10011010011000100010101011011101 &" +b10011010011000100010101011011101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2569010 +b10011010011000100010101011011101 Z" +#2569500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2570000 +1] +0i +b1101110100100011110001011000100 { +b1101110100100011110001011000100 A" +1s +1\ +1[ +0>" +0@" +19" +1:" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0<" +0` +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +04" +0v +0b +0a +02" +1/" +0," +b1101110101010011001100001001010 0 +b1101110101010011001100001001010 M +b1101110101010011001100001001010 f +b1101110101010011001100001001010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2570500 +b0 5 +b0 L +b0 W +04 +b1110011100110100110101111000001 2 +b1110011100110100110101111000001 I +b1110011100110100110101111000001 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b1110011100110100110101111000001 , +b1110011100110100110101111000001 H +b11 + +b11 G +1- +1. +0% +#2571000 +1i +0\ +0[ +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11100 3" +1_ +b11111 0" +0p +08" +0l +1b +1a +12" +0/" +b1110011100110100110101111000001 &" +b1110011100110100110101111000001 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101110100100011110001011000100 / +b1101110100100011110001011000100 K +b1101110100100011110001011000100 e +b1101110100100011110001011000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2571010 +b1110011100110100110101111000001 F" +#2571500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2572000 +1\ +1[ +0@" +b11111 3" +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2572500 +1. +0% +#2573000 +0. +1% +#2573500 +b100 5 +b100 L +b100 W +14 +b110100011000110001100101000011 2 +b110100011000110001100101000011 I +b110100011000110001100101000011 Z +b110 3 +b110 J +b110 Y +11 +b100 ' +b100 D +1) +b110100011000110001100101000011 , +b110100011000110001100101000011 H +b110 + +b110 G +1- +1. +0% +#2574000 +0] +0i +b11011111110111000010010111000101 { +b11011111110111000010010111000101 A" +0\ +1>" +1@" +1:" +1;" +b11001 3" +1^ +1_ +b11011 0" +1j +1k +1b +12" +1/" +b110100011000110001100101000011 &" +b110100011000110001100101000011 ?" +b110 %" +b110 *" +b110 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#2574010 +b110100011000110001100101000011 I" +#2574500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2575000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +0>" +0@" +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0j +0k +0b +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11011111110111000010010111000101 / +b11011111110111000010010111000101 K +b11011111110111000010010111000101 e +b11011111110111000010010111000101 "" +0. +1% +#2575500 +b1001 5 +b1001 L +b1001 W +14 +b1010 7 +b1010 N +b1010 V +16 +b1001 ' +b1001 D +1) +b1010 & +b1010 C +1( +1. +0% +#2576000 +0i +b110000000001011111010100111000 { +b110000000001011111010100111000 A" +0s +b10101100010011100001001000101110 | +b10101100010011100001001000101110 B" +0g +19" +1;" +0r +15" +17" +b10110 0" +1o +1k +b10101 -" +1y +1u +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001 ~ +b1001 )" +b1001 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#2576500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2577000 +1i +1s +1g +09" +0;" +1r +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110000000001011111010100111000 / +b110000000001011111010100111000 K +b110000000001011111010100111000 e +b110000000001011111010100111000 "" +b10101100010011100001001000101110 0 +b10101100010011100001001000101110 M +b10101100010011100001001000101110 f +b10101100010011100001001000101110 !" +0. +1% +#2577500 +b11011 5 +b11011 L +b11011 W +14 +b10100 7 +b10100 N +b10100 V +16 +b10111001001100011110011100100111 2 +b10111001001100011110011100100111 I +b10111001001100011110011100100111 Z +b10011 3 +b10011 J +b10011 Y +11 +b11011 ' +b11011 D +1) +b10100 & +b10100 C +1( +b10111001001100011110011100100111 , +b10111001001100011110011100100111 H +b10011 + +b10011 G +1- +1. +0% +#2578000 +0i +b10011110001010110010111000110001 { +b10011110001010110010111000110001 A" +0s +b1101110101010011001100001001010 | +b1101110101010011001100001001010 B" +0\ +0[ +1@" +0h +0g +19" +1;" +16" +17" +b1100 3" +0_ +1<" +1` +b100 0" +1o +0k +18" +1l +b1011 -" +1t +0u +14" +1v +1b +1a +12" +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111001001100011110011100100111 &" +b10111001001100011110011100100111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#2578010 +b10111001001100011110011100100111 V" +#2578500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2579000 +1i +1u +1s +1\ +1[ +0@" +1h +1g +09" +0;" +0q +17" +06" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +04" +0v +0t +b100000111110000000011001011001 | +b100000111110000000011001011001 B" +0b +0a +02" +0n +0m +0/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +b10011110001010110010111000110001 / +b10011110001010110010111000110001 K +b10011110001010110010111000110001 e +b10011110001010110010111000110001 "" +b1101110101010011001100001001010 0 +b1101110101010011001100001001010 M +b1101110101010011001100001001010 f +b1101110101010011001100001001010 !" +0. +1% +#2579500 +b0 7 +b0 N +b0 V +06 +b111101100000000011101100100111 2 +b111101100000000011101100100111 I +b111101100000000011101100100111 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 & +b0 C +0( +b111101100000000011101100100111 , +b111101100000000011101100100111 H +b11010 + +b11010 G +1- +1. +0% +#2580000 +0] +0\ +1=" +1@" +1q +07" +b101 3" +1c +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100000111110000000011001011001 0 +b100000111110000000011001011001 M +b100000111110000000011001011001 f +b100000111110000000011001011001 !" +b111101100000000011101100100111 &" +b111101100000000011101100100111 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2580010 +b111101100000000011101100100111 ]" +#2580500 +b11100 5 +b11100 L +b11100 W +14 +b11011011111010110001011001010011 2 +b11011011111010110001011001010011 I +b11011011111010110001011001010011 Z +b110 3 +b110 J +b110 Y +b11100 ' +b11100 D +1) +b11011011111010110001011001010011 , +b11011011111010110001011001010011 H +b110 + +b110 G +1. +0% +#2581000 +1>" +1_ +1^ +0i +b1101110100100011110001011000100 { +b1101110100100011110001011000100 A" +1@" +0=" +19" +1:" +1;" +b11001 3" +0<" +0` +0c +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11011011111010110001011001010011 &" +b11011011111010110001011001010011 ?" +b110 %" +b110 *" +b110 1" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2581010 +b11011011111010110001011001010011 I" +#2581500 +b0 5 +b0 L +b0 W +04 +b11011110011011001011111111101100 2 +b11011110011011001011111111101100 I +b11011110011011001011111111101100 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b11011110011011001011111111101100 , +b11011110011011001011111111101100 H +b11100 + +b11100 G +1. +0% +#2582000 +0_ +0^ +1i +1\ +1=" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11 3" +1<" +1` +1d +b11111 0" +0p +08" +0l +0b +0/" +b1101110100100011110001011000100 / +b1101110100100011110001011000100 K +b1101110100100011110001011000100 e +b1101110100100011110001011000100 "" +b11011110011011001011111111101100 &" +b11011110011011001011111111101100 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2582010 +b11011110011011001011111111101100 _" +#2582500 +b11 5 +b11 L +b11 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2583000 +1] +b1110011100110100110101111000001 { +b1110011100110100110101111000001 A" +0=" +0>" +0@" +0h +0g +1;" +b11111 3" +0d +0<" +0` +b11100 0" +1k +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2583500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +1. +0% +#2584000 +0s +b10101101111111101101110000001110 | +b10101101111111101101110000001110 B" +1h +1g +0;" +0q +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +0t +0y +1z +1u +0n +0m +0/" +1w +1," +b1110011100110100110101111000001 / +b1110011100110100110101111000001 K +b1110011100110100110101111000001 e +b1110011100110100110101111000001 "" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#2584500 +b1000 7 +b1000 N +b1000 V +b1000 & +b1000 C +1. +0% +#2585000 +1y +1q +15" +06" +b10111 -" +0z +b11001111111110101101100000011100 | +b11001111111110101101100000011100 B" +0w +b1000 } +b1000 (" +b1000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101101111111101101110000001110 0 +b10101101111111101101110000001110 M +b10101101111111101101110000001110 f +b10101101111111101101110000001110 !" +0. +1% +#2585500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b10101001010001111001100111010001 2 +b10101001010001111001100111010001 I +b10101001010001111001100111010001 Z +b11111 3 +b11111 J +b11111 Y +11 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b10101001010001111001100111010001 , +b10101001010001111001100111010001 H +b11111 + +b11111 G +1- +1. +0% +#2586000 +0] +0i +b1110001001101111011100010011010 { +b1110001001101111011100010011010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1>" +1@" +0h +19" +1:" +1;" +05" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +0u +1b +1a +12" +1n +1/" +0," +b11001111111110101101100000011100 0 +b11001111111110101101100000011100 M +b11001111111110101101100000011100 f +b11001111111110101101100000011100 !" +b10101001010001111001100111010001 &" +b10101001010001111001100111010001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2586500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2587000 +1] +1i +1\ +1[ +0=" +0>" +0@" +1h +09" +0:" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1110001001101111011100010011010 / +b1110001001101111011100010011010 K +b1110001001101111011100010011010 e +b1110001001101111011100010011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2587500 +1. +0% +#2588000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2588500 +b101011101100101100001111101101 2 +b101011101100101100001111101101 I +b101011101100101100001111101101 Z +b11111 3 +b11111 J +b11111 Y +11 +b101011101100101100001111101101 , +b101011101100101100001111101101 H +b11111 + +b11111 G +1- +1. +0% +#2589000 +0] +0\ +0[ +1=" +1>" +1@" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1b +1a +12" +b101011101100101100001111101101 &" +b101011101100101100001111101101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +0. +1% +#2589500 +b10100000010000111101011101001010 2 +b10100000010000111101011101001010 I +b10100000010000111101011101001010 Z +b11101 3 +b11101 J +b11101 Y +b10100000010000111101011101001010 , +b10100000010000111101011101001010 H +b11101 + +b11101 G +1. +0% +#2590000 +1\ +b10 3" +0b +b10100000010000111101011101001010 &" +b10100000010000111101011101001010 ?" +b11101 %" +b11101 *" +b11101 1" +0. +1% +#2590010 +b10100000010000111101011101001010 `" +#2590500 +b10010 5 +b10010 L +b10010 W +14 +b11001110100111100001011010110000 2 +b11001110100111100001011010110000 I +b11001110100111100001011010110000 Z +b101 3 +b101 J +b101 Y +b10010 ' +b10010 D +1) +b11001110100111100001011010110000 , +b11001110100111100001011010110000 H +b101 + +b101 G +1. +0% +#2591000 +1_ +1^ +b10000110011000111000100010000010 { +b10000110011000111000100010000010 A" +1@" +0=" +1>" +0h +1;" +b11010 3" +0<" +0` +0d +b1101 0" +0k +18" +1l +1n +1/" +b11001110100111100001011010110000 &" +b11001110100111100001011010110000 ?" +b101 %" +b101 *" +b101 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#2591010 +b11001110100111100001011010110000 H" +#2591500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2592000 +1] +1[ +0>" +0@" +1h +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b10000110011000111000100010000010 / +b10000110011000111000100010000010 K +b10000110011000111000100010000010 e +b10000110011000111000100010000010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2592500 +b10000 5 +b10000 L +b10000 W +14 +b11001 7 +b11001 N +b11001 V +16 +b10000 ' +b10000 D +1) +b11001 & +b11001 C +1( +1. +0% +#2593000 +b10101100011111001010000001011 { +b10101100011111001010000001011 A" +0s +b10111001001110011010101100001001 | +b10111001001110011010101100001001 B" +1;" +0q +15" +17" +b1111 0" +0k +18" +1l +b110 -" +1y +0u +14" +1v +1/" +1w +1," +b10000 ~ +b10000 )" +b10000 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2593500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b11111110011000110110111000100011 2 +b11111110011000110110111000100011 I +b11111110011000110110111000100011 Z +b11101 3 +b11101 J +b11101 Y +11 +b11001 ' +b11001 D +b0 & +b0 C +0( +b11111110011000110110111000100011 , +b11111110011000110110111000100011 H +b11101 + +b11101 G +1- +1. +0% +#2594000 +0] +0i +1s +0[ +1=" +1>" +1@" +0g +19" +1q +05" +07" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b110 0" +1o +b10111001001110011010101100001001 { +b10111001001110011010101100001001 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1m +0w +0," +b10101100011111001010000001011 / +b10101100011111001010000001011 K +b10101100011111001010000001011 e +b10101100011111001010000001011 "" +b10111001001110011010101100001001 0 +b10111001001110011010101100001001 M +b10111001001110011010101100001001 f +b10111001001110011010101100001001 !" +b11111110011000110110111000100011 &" +b11111110011000110110111000100011 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2594010 +b11111110011000110110111000100011 `" +#2594500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2595000 +1] +1i +1[ +0=" +0>" +0@" +1g +09" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10111001001110011010101100001001 / +b10111001001110011010101100001001 K +b10111001001110011010101100001001 e +b10111001001110011010101100001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2595500 +b10 7 +b10 N +b10 V +16 +b11001001111101110001111110011010 2 +b11001001111101110001111110011010 I +b11001001111101110001111110011010 Z +b11010 3 +b11010 J +b11010 Y +11 +b10 & +b10 C +1( +b11001001111101110001111110011010 , +b11001001111101110001111110011010 H +b11010 + +b11010 G +1- +1. +0% +#2596000 +0] +b10010110010000011000110011101 | +b10010110010000011000110011101 B" +0\ +1=" +1@" +0r +17" +b101 3" +1c +0_ +1<" +1` +b11101 -" +1u +1b +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001001111101110001111110011010 &" +b11001001111101110001111110011010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#2596010 +b11001001111101110001111110011010 ]" +#2596500 +b11010 5 +b11010 L +b11010 W +14 +b11110 7 +b11110 N +b11110 V +b1010000000000011100001010011110 2 +b1010000000000011100001010011110 I +b1010000000000011100001010011110 Z +b1111 3 +b1111 J +b1111 Y +b11010 ' +b11010 D +1) +b11110 & +b11110 C +b1010000000000011100001010011110 , +b1010000000000011100001010011110 H +b1111 + +b1111 G +1. +0% +#2597000 +1_ +0c +0i +b11001001111101110001111110011010 { +b11001001111101110001111110011010 A" +0u +0s +0[ +1@" +1>" +0h +19" +1;" +b1110001001101111011100010011010 | +b1110001001101111011100010011010 B" +15" +16" +b10000 3" +0<" +0` +1d +b101 0" +1o +0k +18" +1l +b1 -" +14" +1v +0t +0y +1z +1a +1n +1/" +b1010000000000011100001010011110 &" +b1010000000000011100001010011110 ?" +b1111 %" +b1111 *" +b1111 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b11110 } +b11110 (" +b11110 +" +b10010110010000011000110011101 0 +b10010110010000011000110011101 M +b10010110010000011000110011101 f +b10010110010000011000110011101 !" +0. +1% +#2597010 +b1010000000000011100001010011110 R" +#2597500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2598000 +1] +1i +1s +1\ +1[ +0=" +0>" +0@" +1h +09" +0;" +1r +05" +06" +07" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0n +0/" +0x +0," +b11001001111101110001111110011010 / +b11001001111101110001111110011010 K +b11001001111101110001111110011010 e +b11001001111101110001111110011010 "" +b1110001001101111011100010011010 0 +b1110001001101111011100010011010 M +b1110001001101111011100010011010 f +b1110001001101111011100010011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2598500 +1. +0% +#2599000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2599500 +b10100 7 +b10100 N +b10100 V +16 +b110100010011111111000111010111 2 +b110100010011111111000111010111 I +b110100010011111111000111010111 Z +b10001 3 +b10001 J +b10001 Y +11 +b10100 & +b10100 C +1( +b110100010011111111000111010111 , +b110100010011111111000111010111 H +b10001 + +b10001 G +1- +1. +0% +#2600000 +0s +b1101110101010011001100001001010 | +b1101110101010011001100001001010 B" +0[ +1@" +16" +17" +b1110 3" +0_ +1<" +1` +b1011 -" +1t +0u +14" +1v +1a +12" +1," +b110100010011111111000111010111 &" +b110100010011111111000111010111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#2600010 +b110100010011111111000111010111 T" +#2600500 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2601000 +1u +0t +1[ +0@" +17" +b10011001100000001001011010101010 | +b10011001100000001001011010101010 B" +15" +b11111 3" +0<" +0` +b10011 -" +04" +0v +1z +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +b1101110101010011001100001001010 0 +b1101110101010011001100001001010 M +b1101110101010011001100001001010 f +b1101110101010011001100001001010 !" +0. +1% +#2601500 +b1000 7 +b1000 N +b1000 V +b10011000101110011000101110 2 +b10011000101110011000101110 I +b10011000101110011000101110 Z +b10100 3 +b10100 J +b10100 Y +11 +b1000 & +b1000 C +b10011000101110011000101110 , +b10011000101110011000101110 H +b10100 + +b10100 G +1- +1. +0% +#2602000 +0] +1y +b11001111111110101101100000011100 | +b11001111111110101101100000011100 B" +1>" +1@" +15" +06" +b1011 3" +1^ +0_ +1<" +1` +b10111 -" +0z +12" +b10011001100000001001011010101010 0 +b10011001100000001001011010101010 M +b10011001100000001001011010101010 f +b10011001100000001001011010101010 !" +b10011000101110011000101110 &" +b10011000101110011000101110 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1000 } +b1000 (" +b1000 +" +0. +1% +#2602010 +b10011000101110011000101110 W" +#2602500 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2603000 +16" +1] +0u +1t +0>" +0@" +0r +0q +05" +b11111 3" +0^ +0<" +0` +b1000 -" +14" +1v +0y +b10011010011000100010101011011101 | +b10011010011000100010101011011101 B" +02" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +b11001111111110101101100000011100 0 +b11001111111110101101100000011100 M +b11001111111110101101100000011100 f +b11001111111110101101100000011100 !" +0. +1% +#2603500 +b1010 5 +b1010 L +b1010 W +14 +b0 7 +b0 N +b0 V +06 +b1010 ' +b1010 D +1) +b0 & +b0 C +0( +1. +0% +#2604000 +0i +b10101100010011100001001000101110 { +b10101100010011100001001000101110 A" +1s +0h +19" +1;" +1r +1q +06" +07" +b10101 0" +1o +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0w +0," +b10011010011000100010101011011101 0 +b10011010011000100010101011011101 M +b10011010011000100010101011011101 f +b10011010011000100010101011011101 !" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2604500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 ' +b0 D +0) +b10 & +b10 C +1( +1. +0% +#2605000 +1i +b10010110010000011000110011101 | +b10010110010000011000110011101 B" +1h +09" +0;" +0r +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11101 -" +1u +0n +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +b10101100010011100001001000101110 / +b10101100010011100001001000101110 K +b10101100010011100001001000101110 e +b10101100010011100001001000101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2605500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +1. +0% +#2606000 +0i +b10011001100000001001011010101010 { +b10011001100000001001011010101010 A" +19" +1:" +1;" +1r +07" +b10011 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010110010000011000110011101 0 +b10010110010000011000110011101 M +b10010110010000011000110011101 f +b10010110010000011000110011101 !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2606500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b10100100000000100111101011001101 2 +b10100100000000100111101011001101 I +b10100100000000100111101011001101 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b10100100000000100111101011001101 , +b10100100000000100111101011001101 H +b1 + +b1 G +1- +1. +0% +#2607000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1010000000000011100001010011110 | +b1010000000000011100001010011110 B" +0[ +1@" +09" +0:" +0;" +0r +0q +15" +16" +17" +b11110 3" +1_ +b11111 0" +0p +0k +b10000 -" +0t +0y +1z +1u +1a +12" +0/" +1x +1w +1," +b10100100000000100111101011001101 &" +b10100100000000100111101011001101 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +b10011001100000001001011010101010 / +b10011001100000001001011010101010 K +b10011001100000001001011010101010 e +b10011001100000001001011010101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2607010 +b10100100000000100111101011001101 D" +#2607500 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2608000 +0u +1y +1[ +0@" +b10011110001010110010111000110001 | +b10011110001010110010111000110001 B" +15" +06" +b11111 3" +0_ +b100 -" +14" +1v +0z +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010000000000011100001010011110 0 +b1010000000000011100001010011110 M +b1010000000000011100001010011110 f +b1010000000000011100001010011110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +0. +1% +#2608500 +b111 5 +b111 L +b111 W +14 +b1110 7 +b1110 N +b1110 V +b111000111010000001101000001111 2 +b111000111010000001101000001111 I +b111000111010000001101000001111 Z +b11 3 +b11 J +b11 Y +11 +b111 ' +b111 D +1) +b1110 & +b1110 C +b111000111010000001101000001111 , +b111000111010000001101000001111 H +b11 + +b11 G +1- +1. +0% +#2609000 +0i +b10000100000011110010000110000111 { +b10000100000011110010000110000111 A" +1u +0y +0\ +0[ +1@" +0h +0g +1:" +1;" +1q +17" +16" +b11100 3" +1_ +b11000 0" +1j +1k +b10001 -" +04" +0v +1z +b11001101101101011100001111111100 | +b11001101101101011100001111111100 B" +1b +1a +12" +1n +1m +1/" +0w +b111000111010000001101000001111 &" +b111000111010000001101000001111 ?" +b11 %" +b11 *" +b11 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b1110 } +b1110 (" +b1110 +" +b10011110001010110010111000110001 0 +b10011110001010110010111000110001 M +b10011110001010110010111000110001 f +b10011110001010110010111000110001 !" +0. +1% +#2609010 +b111000111010000001101000001111 F" +#2609500 +b1111 5 +b1111 L +b1111 W +b1101 7 +b1101 N +b1101 V +b1001100001001001010010101110011 2 +b1001100001001001010010101110011 I +b1001100001001001010010101110011 Z +b11110 3 +b11110 J +b11110 Y +b1111 ' +b1111 D +b1101 & +b1101 C +b1001100001001001010010101110011 , +b1001100001001001010010101110011 H +b11110 + +b11110 G +1. +0% +#2610000 +0_ +0] +0j +b1010000000000011100001010011110 { +b1010000000000011100001010011110 A" +1[ +1=" +1>" +19" +1r +0q +b1 3" +1<" +1` +0^ +0c +1d +b10000 0" +1p +b10010 -" +b10101101111111101101110000001110 | +b10101101111111101101110000001110 B" +0a +0x +1w +b10000100000011110010000110000111 / +b10000100000011110010000110000111 K +b10000100000011110010000110000111 e +b10000100000011110010000110000111 "" +b11001101101101011100001111111100 0 +b11001101101101011100001111111100 M +b11001101101101011100001111111100 f +b11001101101101011100001111111100 !" +b1001100001001001010010101110011 &" +b1001100001001001010010101110011 ?" +b11110 %" +b11110 *" +b11110 1" +b1111 ~ +b1111 )" +b1111 ." +b1101 } +b1101 (" +b1101 +" +0. +1% +#2610010 +b1001100001001001010010101110011 a" +#2610500 +b10111 5 +b10111 L +b10111 W +b100 7 +b100 N +b100 V +b11000100111010101111010100010110 2 +b11000100111010101111010100010110 I +b11000100111010101111010100010110 Z +b10111 ' +b10111 D +b100 & +b100 C +b11000100111010101111010100010110 , +b11000100111010101111010100010110 H +1. +0% +#2611000 +0k +1j +1t +b10011010011000100010101011011101 { +b10011010011000100010101011011101 A" +09" +1:" +1q +05" +16" +b1000 0" +18" +1l +0p +b11011 -" +0z +b11011111110111000010010111000101 | +b11011111110111000010010111000101 B" +0w +b11000100111010101111010100010110 &" +b11000100111010101111010100010110 ?" +b10111 ~ +b10111 )" +b10111 ." +b100 } +b100 (" +b100 +" +b1010000000000011100001010011110 / +b1010000000000011100001010011110 K +b1010000000000011100001010011110 e +b1010000000000011100001010011110 "" +b10101101111111101101110000001110 0 +b10101101111111101101110000001110 M +b10101101111111101101110000001110 f +b10101101111111101101110000001110 !" +0. +1% +#2611010 +b11000100111010101111010100010110 a" +#2611500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2612000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +0>" +0@" +1h +06" +07" +b11111 3" +0d +0<" +0` +b1010 0" +b10000101001000111100000001000011 { +b10000101001000111100000001000011 A" +b11111 -" +0t +0u +0b +02" +0n +0," +b10011010011000100010101011011101 / +b10011010011000100010101011011101 K +b10011010011000100010101011011101 e +b10011010011000100010101011011101 "" +b11011111110111000010010111000101 0 +b11011111110111000010010111000101 M +b11011111110111000010010111000101 f +b11011111110111000010010111000101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2612500 +b11001 5 +b11001 L +b11001 W +b10000000001110111000010101 2 +b10000000001110111000010101 I +b10000000001110111000010101 Z +b1 3 +b1 J +b1 Y +11 +b11001 ' +b11001 D +b10000000001110111000010101 , +b10000000001110111000010101 H +b1 + +b1 G +1- +1. +0% +#2613000 +19" +1o +b10111001001110011010101100001001 { +b10111001001110011010101100001001 A" +0[ +1@" +0:" +b11110 3" +1_ +b110 0" +0j +1a +12" +b10000000001110111000010101 &" +b10000000001110111000010101 ?" +b1 %" +b1 *" +b1 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b10000101001000111100000001000011 / +b10000101001000111100000001000011 K +b10000101001000111100000001000011 e +b10000101001000111100000001000011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2613010 +b10000000001110111000010101 D" +#2613500 +b1000 5 +b1000 L +b1000 W +b11010001001001111000000001110 2 +b11010001001001111000000001110 I +b11010001001001111000000001110 Z +b11111 3 +b11111 J +b11111 Y +b1000 ' +b1000 D +b11010001001001111000000001110 , +b11010001001001111000000001110 H +b11111 + +b11111 G +1. +0% +#2614000 +0_ +0] +1k +0\ +1=" +1>" +1g +1;" +b0 3" +1<" +1` +0^ +0c +1d +b10111 0" +08" +0l +b11001111111110101101100000011100 { +b11001111111110101101100000011100 A" +1b +0m +b10111001001110011010101100001001 / +b10111001001110011010101100001001 K +b10111001001110011010101100001001 e +b10111001001110011010101100001001 "" +b11010001001001111000000001110 &" +b11010001001001111000000001110 ?" +b11111 %" +b11111 *" +b11111 1" +b1000 ~ +b1000 )" +b1000 ." +0. +1% +#2614500 +b0 5 +b0 L +b0 W +04 +b100101100011110111011111110100 2 +b100101100011110111011111110100 I +b100101100011110111011111110100 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b100101100011110111011111110100 , +b100101100011110111011111110100 H +b111 + +b111 G +1. +0% +#2615000 +1_ +1^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1@" +0=" +1>" +09" +0;" +b11000 3" +0<" +0` +0d +b11111 0" +0o +0k +0/" +b100101100011110111011111110100 &" +b100101100011110111011111110100 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +b11001111111110101101100000011100 / +b11001111111110101101100000011100 K +b11001111111110101101100000011100 e +b11001111111110101101100000011100 "" +0. +1% +#2615010 +b100101100011110111011111110100 J" +#2615500 +b100001011000011100011110010100 2 +b100001011000011100011110010100 I +b100001011000011100011110010100 Z +b11010 3 +b11010 J +b11010 Y +b100001011000011100011110010100 , +b100001011000011100011110010100 H +b11010 + +b11010 G +1. +0% +#2616000 +1=" +0_ +1c +1[ +0>" +b101 3" +1<" +1` +0^ +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100001011000011100011110010100 &" +b100001011000011100011110010100 ?" +b11010 %" +b11010 *" +b11010 1" +0. +1% +#2616010 +b100001011000011100011110010100 ]" +#2616500 +b1010110110111001011101100110110 2 +b1010110110111001011101100110110 I +b1010110110111001011101100110110 Z +b10101 3 +b10101 J +b10101 Y +b1010110110111001011101100110110 , +b1010110110111001011101100110110 H +b10101 + +b10101 G +1. +0% +#2617000 +1>" +1^ +1\ +0[ +0=" +b1010 3" +0c +0b +1a +b1010110110111001011101100110110 &" +b1010110110111001011101100110110 ?" +b10101 %" +b10101 *" +b10101 1" +0. +1% +#2617010 +b1010110110111001011101100110110 X" +#2617500 +b10011010011001111101000111111010 2 +b10011010011001111101000111111010 I +b10011010011001111101000111111010 Z +b11001 3 +b11001 J +b11001 Y +b10011010011001111101000111111010 , +b10011010011001111101000111111010 H +b11001 + +b11001 G +1. +0% +#2618000 +1=" +1c +0>" +b110 3" +0^ +b10011010011001111101000111111010 &" +b10011010011001111101000111111010 ?" +b11001 %" +b11001 *" +b11001 1" +0. +1% +#2618010 +b10011010011001111101000111111010 \" +#2618500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2619000 +1] +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2619500 +b11011 5 +b11011 L +b11011 W +14 +b11011 ' +b11011 D +1) +1. +0% +#2620000 +0i +b10011110001010110010111000110001 { +b10011110001010110010111000110001 A" +0h +0g +19" +1;" +b100 0" +1o +0k +18" +1l +1n +1m +1/" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#2620500 +b0 5 +b0 L +b0 W +b1000111101110101011000001 2 +b1000111101110101011000001 I +b1000111101110101011000001 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +b1000111101110101011000001 , +b1000111101110101011000001 H +b11010 + +b11010 G +1- +1. +0% +#2621000 +0] +1k +1i +0\ +1=" +1@" +1h +1g +1;" +09" +b101 3" +1c +0_ +1<" +1` +b11111 0" +08" +0l +0o +b10010110111101100011000010110001 { +b10010110111101100011000010110001 A" +1b +12" +0n +0m +b1000111101110101011000001 &" +b1000111101110101011000001 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +b10011110001010110010111000110001 / +b10011110001010110010111000110001 K +b10011110001010110010111000110001 e +b10011110001010110010111000110001 "" +0. +1% +#2621010 +b1000111101110101011000001 ]" +#2621500 +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2622000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +0=" +0@" +0;" +b11111 3" +0c +0<" +0` +0k +0b +02" +0/" +b10010110111101100011000010110001 / +b10010110111101100011000010110001 K +b10010110111101100011000010110001 e +b10010110111101100011000010110001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +0. +1% +#2622500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#2623000 +b10000000001110111000010101 | +b10000000001110111000010101 B" +0q +17" +b11110 -" +1u +1w +1," +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2623500 +b0 7 +b0 N +b0 V +06 +b10111100111010101110010111111000 2 +b10111100111010101110010111111000 I +b10111100111010101110010111111000 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 & +b0 C +0( +b10111100111010101110010111111000 , +b10111100111010101110010111111000 H +b1011 + +b1011 G +1- +1. +0% +#2624000 +0] +0\ +0[ +1=" +1@" +1q +07" +b10100 3" +1c +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b10000000001110111000010101 0 +b10000000001110111000010101 M +b10000000001110111000010101 f +b10000000001110111000010101 !" +b10111100111010101110010111111000 &" +b10111100111010101110010111111000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2624010 +b10111100111010101110010111111000 N" +#2624500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2625000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2625500 +1. +0% +#2626000 +0. +1% +#2626500 +1. +0% +#2627000 +0. +1% +#2627500 +b11111010000001111010101011010111 2 +b11111010000001111010101011010111 I +b11111010000001111010101011010111 Z +b10011 3 +b10011 J +b10011 Y +11 +b11111010000001111010101011010111 , +b11111010000001111010101011010111 H +b10011 + +b10011 G +1- +1. +0% +#2628000 +0\ +0[ +1@" +b1100 3" +0_ +1<" +1` +1b +1a +12" +b11111010000001111010101011010111 &" +b11111010000001111010101011010111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +0. +1% +#2628010 +b11111010000001111010101011010111 V" +#2628500 +b11001 5 +b11001 L +b11001 W +14 +b10000 7 +b10000 N +b10000 V +16 +b1110111100100110110011101100010 2 +b1110111100100110110011101100010 I +b1110111100100110110011101100010 Z +b1010 3 +b1010 J +b1010 Y +b11001 ' +b11001 D +1) +b10000 & +b10000 C +1( +b1110111100100110110011101100010 , +b1110111100100110110011101100010 H +b1010 + +b1010 G +1. +0% +#2629000 +1_ +0] +0i +b10011010011001111101000111111010 { +b10011010011001111101000111111010 A" +b10101100011111001010000001011 | +b10101100011111001010000001011 B" +1[ +1@" +1=" +0g +19" +1;" +17" +b10101 3" +0<" +0` +1c +b110 0" +1o +0k +18" +1l +b1111 -" +0u +14" +1v +0a +1m +1/" +1," +b1110111100100110110011101100010 &" +b1110111100100110110011101100010 ?" +b1010 %" +b1010 *" +b1010 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#2629010 +b1110111100100110110011101100010 M" +#2629500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2630000 +1] +1i +1u +0s +1\ +0=" +0@" +1g +09" +0;" +0r +0q +17" +16" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +04" +0v +1t +b100101100011110111011111110100 | +b100101100011110111011111110100 B" +0b +02" +0m +0/" +1x +1w +b10011010011001111101000111111010 / +b10011010011001111101000111111010 K +b10011010011001111101000111111010 e +b10011010011001111101000111111010 "" +b10101100011111001010000001011 0 +b10101100011111001010000001011 M +b10101100011111001010000001011 f +b10101100011111001010000001011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +0. +1% +#2630500 +14 +b0 7 +b0 N +b0 V +06 +b10111000000000101110110111110110 2 +b10111000000000101110110111110110 I +b10111000000000101110110111110110 Z +b11100 3 +b11100 J +b11100 Y +11 +1) +b0 & +b0 C +0( +b10111000000000101110110111110110 , +b10111000000000101110110111110110 H +b11100 + +b11100 G +1- +1. +0% +#2631000 +0] +b10010110111101100011000010110001 { +b10010110111101100011000010110001 A" +1s +1=" +1>" +1@" +1;" +1r +1q +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1/" +0x +0w +0," +b10111000000000101110110111110110 &" +b10111000000000101110110111110110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101100011110111011111110100 0 +b100101100011110111011111110100 M +b100101100011110111011111110100 f +b100101100011110111011111110100 !" +0. +1% +#2631010 +b10111000000000101110110111110110 _" +#2631500 +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2632000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0=" +0>" +0@" +0;" +b11111 3" +0d +0<" +0` +0k +02" +0/" +b10010110111101100011000010110001 / +b10010110111101100011000010110001 K +b10010110111101100011000010110001 e +b10010110111101100011000010110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +0. +1% +#2632500 +b10010 5 +b10010 L +b10010 W +14 +b110 7 +b110 N +b110 V +16 +b10010 ' +b10010 D +1) +b110 & +b110 C +1( +1. +0% +#2633000 +b10000110011000111000100010000010 { +b10000110011000111000100010000010 A" +0s +b11011011111010110001011001010011 | +b11011011111010110001011001010011 B" +0h +1;" +0r +16" +17" +b1101 0" +0k +18" +1l +b11001 -" +1t +1u +1n +1/" +1x +1," +b10010 ~ +b10010 )" +b10010 ." +1$" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2633500 +b10100 5 +b10100 L +b10100 W +b0 7 +b0 N +b0 V +06 +b11101101100110001000100001110110 2 +b11101101100110001000100001110110 I +b11101101100110001000100001110110 Z +b1001 3 +b1001 J +b1001 Y +11 +b10100 ' +b10100 D +b0 & +b0 C +0( +b11101101100110001000100001110110 , +b11101101100110001000100001110110 H +b1001 + +b1001 G +1- +1. +0% +#2634000 +0] +0i +1s +0[ +1=" +1@" +1h +1:" +1r +06" +07" +b10110 3" +1c +1_ +b1011 0" +1j +b10011000101110011000101110 { +b10011000101110011000101110 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0n +0x +0," +b10000110011000111000100010000010 / +b10000110011000111000100010000010 K +b10000110011000111000100010000010 e +b10000110011000111000100010000010 "" +b11011011111010110001011001010011 0 +b11011011111010110001011001010011 M +b11011011111010110001011001010011 f +b11011011111010110001011001010011 !" +b11101101100110001000100001110110 &" +b11101101100110001000100001110110 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2634010 +b11101101100110001000100001110110 L" +#2634500 +b0 5 +b0 L +b0 W +04 +b10110001101010100110001100001111 2 +b10110001101010100110001100001111 I +b10110001101010100110001100001111 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b10110001101010100110001100001111 , +b10110001101010100110001100001111 H +b11111 + +b11111 G +1. +0% +#2635000 +0_ +0c +1i +0\ +1>" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b0 3" +1<" +1` +1d +b11111 0" +0j +08" +0l +1b +0/" +b10110001101010100110001100001111 &" +b10110001101010100110001100001111 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +b10011000101110011000101110 / +b10011000101110011000101110 K +b10011000101110011000101110 e +b10011000101110011000101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2635500 +b10101 5 +b10101 L +b10101 W +14 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2636000 +1] +0i +b1010110110111001011101100110110 { +b1010110110111001011101100110110 A" +0s +b11101101100110001000100001110110 | +b11101101100110001000100001110110 B" +1\ +1[ +0=" +0>" +0@" +0g +1:" +1;" +0q +15" +17" +b11111 3" +0d +0<" +0` +b1010 0" +1j +0k +18" +1l +b10110 -" +1y +1u +0b +0a +02" +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#2636500 +b11000 5 +b11000 L +b11000 W +b10100 7 +b10100 N +b10100 V +b11110001000100110111010000001101 2 +b11110001000100110111010000001101 I +b11110001000100110111010000001101 Z +b11011 3 +b11011 J +b11011 Y +11 +b11000 ' +b11000 D +b10100 & +b10100 C +b11110001000100110111010000001101 , +b11110001000100110111010000001101 H +b11011 + +b11011 G +1- +1. +0% +#2637000 +19" +16" +0] +1o +0u +1t +0\ +0[ +1=" +1@" +1g +0:" +1q +05" +b100 3" +1c +0_ +1<" +1` +b111 0" +0j +b101111001000011010101110111100 { +b101111001000011010101110111100 A" +b1011 -" +14" +1v +0y +b10011000101110011000101110 | +b10011000101110011000101110 B" +1b +1a +12" +0m +0w +b11110001000100110111010000001101 &" +b11110001000100110111010000001101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +b10100 } +b10100 (" +b10100 +" +b1010110110111001011101100110110 / +b1010110110111001011101100110110 K +b1010110110111001011101100110110 e +b1010110110111001011101100110110 "" +b11101101100110001000100001110110 0 +b11101101100110001000100001110110 M +b11101101100110001000100001110110 f +b11101101100110001000100001110110 !" +0. +1% +#2637010 +b11110001000100110111010000001101 ^" +#2637500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2638000 +1] +1s +1\ +1[ +0=" +0@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0<" +0` +b11111 -" +0t +04" +0v +0b +0a +02" +0," +b101111001000011010101110111100 / +b101111001000011010101110111100 K +b101111001000011010101110111100 e +b101111001000011010101110111100 "" +b10011000101110011000101110 0 +b10011000101110011000101110 M +b10011000101110011000101110 f +b10011000101110011000101110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2638500 +b100 5 +b100 L +b100 W +b100 ' +b100 D +1. +0% +#2639000 +1:" +1k +1j +1;" +b11011111110111000010010111000101 { +b11011111110111000010010111000101 A" +09" +b11011 0" +08" +0l +0o +b100 ~ +b100 )" +b100 ." +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2639500 +b1000 5 +b1000 L +b1000 W +b1010 7 +b1010 N +b1010 V +16 +b11100110100111110101000111010001 2 +b11100110100111110101000111010001 I +b11100110100111110101000111010001 Z +b10110 3 +b10110 J +b10110 Y +11 +b1000 ' +b1000 D +b1010 & +b1010 C +1( +b11100110100111110101000111010001 , +b11100110100111110101000111010001 H +b10110 + +b10110 G +1- +1. +0% +#2640000 +19" +0] +1o +b11001111111110101101100000011100 { +b11001111111110101101100000011100 A" +0s +b1110111100100110110011101100010 | +b1110111100100110110011101100010 B" +0\ +1>" +1@" +0:" +0r +15" +17" +b1001 3" +1^ +0_ +1<" +1` +b10111 0" +0j +b10101 -" +1y +1u +1b +12" +1x +1," +b11011111110111000010010111000101 / +b11011111110111000010010111000101 K +b11011111110111000010010111000101 e +b11011111110111000010010111000101 "" +b11100110100111110101000111010001 &" +b11100110100111110101000111010001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#2640010 +b11100110100111110101000111010001 Y" +#2640500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2641000 +16" +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1t +1\ +0>" +0@" +09" +0;" +0q +05" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +0k +b11000 -" +0y +b100101100011110111011111110100 | +b100101100011110111011111110100 B" +0b +02" +0/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +b11001111111110101101100000011100 / +b11001111111110101101100000011100 K +b11001111111110101101100000011100 e +b11001111111110101101100000011100 "" +b1110111100100110110011101100010 0 +b1110111100100110110011101100010 M +b1110111100100110110011101100010 f +b1110111100100110110011101100010 !" +0. +1% +#2641500 +b11111 7 +b11111 N +b11111 V +b11111 & +b11111 C +1. +0% +#2642000 +0u +0t +b10110001101010100110001100001111 | +b10110001101010100110001100001111 B" +15" +b0 -" +14" +1v +1z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101100011110111011111110100 0 +b100101100011110111011111110100 M +b100101100011110111011111110100 f +b100101100011110111011111110100 !" +b11111 } +b11111 (" +b11111 +" +0. +1% +#2642500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2643000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10110001101010100110001100001111 0 +b10110001101010100110001100001111 M +b10110001101010100110001100001111 f +b10110001101010100110001100001111 !" +0. +1% +#2643500 +b10110 5 +b10110 L +b10110 W +14 +b10110 ' +b10110 D +1) +1. +0% +#2644000 +0i +b11100110100111110101000111010001 { +b11100110100111110101000111010001 A" +0h +1:" +1;" +b1001 0" +1j +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#2644500 +b100 5 +b100 L +b100 W +b1110 7 +b1110 N +b1110 V +16 +b10000011010101100000110000010 2 +b10000011010101100000110000010 I +b10000011010101100000110000010 Z +b11001 3 +b11001 J +b11001 Y +11 +b100 ' +b100 D +b1110 & +b1110 C +1( +b10000011010101100000110000010 , +b10000011010101100000110000010 H +b11001 + +b11001 G +1- +1. +0% +#2645000 +0] +1k +0s +b11001101101101011100001111111100 | +b11001101101101011100001111111100 B" +0[ +1=" +1@" +1h +1;" +0r +15" +16" +17" +b110 3" +1c +0_ +1<" +1` +b11011 0" +08" +0l +b11011111110111000010010111000101 { +b11011111110111000010010111000101 A" +b10001 -" +0t +0y +1z +1u +1a +12" +0n +1x +1," +b10000011010101100000110000010 &" +b10000011010101100000110000010 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b100 ~ +b100 )" +b100 ." +b1110 } +b1110 (" +b1110 +" +1#" +b11100110100111110101000111010001 / +b11100110100111110101000111010001 K +b11100110100111110101000111010001 e +b11100110100111110101000111010001 "" +0. +1% +#2645010 +b10000011010101100000110000010 \" +#2645500 +b1 5 +b1 L +b1 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2646000 +1] +1i +1s +1[ +0=" +0@" +0g +0:" +1r +05" +06" +07" +b11111 3" +0c +0<" +0` +b11110 0" +0j +b10000000001110111000010101 { +b10000000001110111000010101 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +1m +0x +0," +b11011111110111000010010111000101 / +b11011111110111000010010111000101 K +b11011111110111000010010111000101 e +b11011111110111000010010111000101 "" +b11001101101101011100001111111100 0 +b11001101101101011100001111111100 M +b11001101101101011100001111111100 f +b11001101101101011100001111111100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2646500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +1. +0% +#2647000 +0s +b10110001101010100110001100001111 | +b10110001101010100110001100001111 B" +1g +0;" +0r +0q +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b0 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b10000000001110111000010101 / +b10000000001110111000010101 K +b10000000001110111000010101 e +b10000000001110111000010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2647500 +b11101 5 +b11101 L +b11101 W +14 +b11011 7 +b11011 N +b11011 V +b11101 ' +b11101 D +1) +b11011 & +b11011 C +1. +0% +#2648000 +0i +b11111110011000110110111000100011 { +b11111110011000110110111000100011 A" +1y +b11110001000100110111010000001101 | +b11110001000100110111010000001101 B" +0g +19" +1:" +1;" +15" +06" +b10 0" +0j +0o +1p +0k +18" +1l +b100 -" +0z +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110001101010100110001100001111 0 +b10110001101010100110001100001111 M +b10110001101010100110001100001111 f +b10110001101010100110001100001111 !" +b11101 ~ +b11101 )" +b11101 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#2648500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +b11101000101010000110000110010010 2 +b11101000101010000110000110010010 I +b11101000101010000110000110010010 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b10111 & +b10111 C +b11101000101010000110000110010010 , +b11101000101010000110000110010010 H +b10000 + +b10000 G +1- +1. +0% +#2649000 +16" +1i +1t +b10011010011000100010101011011101 | +b10011010011000100010101011011101 B" +1@" +1g +09" +0:" +0;" +05" +b1111 3" +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +0y +12" +0m +0/" +b11101000101010000110000110010010 &" +b11101000101010000110000110010010 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +b11111110011000110110111000100011 / +b11111110011000110110111000100011 K +b11111110011000110110111000100011 e +b11111110011000110110111000100011 "" +b11110001000100110111010000001101 0 +b11110001000100110111010000001101 M +b11110001000100110111010000001101 f +b11110001000100110111010000001101 !" +0. +1% +#2649010 +b11101000101010000110000110010010 S" +#2649500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10110110000110001001011100111011 2 +b10110110000110001001011100111011 I +b10110110000110001001011100111011 Z +b110 3 +b110 J +b110 Y +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b10110110000110001001011100111011 , +b10110110000110001001011100111011 H +b110 + +b110 G +1. +0% +#2650000 +1_ +0] +0i +b10011000101110011000101110 { +b10011000101110011000101110 A" +1s +0\ +1@" +1>" +1:" +1;" +1r +1q +06" +07" +b11001 3" +0<" +0` +1^ +b1011 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011010011000100010101011011101 0 +b10011010011000100010101011011101 M +b10011010011000100010101011011101 f +b10011010011000100010101011011101 !" +b10110110000110001001011100111011 &" +b10110110000110001001011100111011 ?" +b110 %" +b110 *" +b110 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2650010 +b10110110000110001001011100111011 I" +#2650500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2651000 +1] +1i +1\ +0>" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0^ +0_ +b11111 0" +0j +08" +0l +0b +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011000101110011000101110 / +b10011000101110011000101110 K +b10011000101110011000101110 e +b10011000101110011000101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2651500 +b10010 5 +b10010 L +b10010 W +14 +b100110011011010101110000010011 2 +b100110011011010101110000010011 I +b100110011011010101110000010011 Z +b1010 3 +b1010 J +b1010 Y +11 +b10010 ' +b10010 D +1) +b100110011011010101110000010011 , +b100110011011010101110000010011 H +b1010 + +b1010 G +1- +1. +0% +#2652000 +0] +b10000110011000111000100010000010 { +b10000110011000111000100010000010 A" +0\ +1=" +1@" +0h +1;" +b10101 3" +1c +1_ +b1101 0" +0k +18" +1l +1b +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100110011011010101110000010011 &" +b100110011011010101110000010011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#2652010 +b100110011011010101110000010011 M" +#2652500 +b1111 5 +b1111 L +b1111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2653000 +1] +1k +0i +1\ +0=" +0@" +0g +1;" +19" +1:" +b11111 3" +0c +0_ +b10000 0" +08" +0l +0j +0o +1p +b1010000000000011100001010011110 { +b1010000000000011100001010011110 A" +0b +02" +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +b10000110011000111000100010000010 / +b10000110011000111000100010000010 K +b10000110011000111000100010000010 e +b10000110011000111000100010000010 "" +0. +1% +#2653500 +b10000 5 +b10000 L +b10000 W +b10000 ' +b10000 D +1. +0% +#2654000 +0k +1i +1h +1g +09" +0:" +b1111 0" +18" +1l +0p +b11101000101010000110000110010010 { +b11101000101010000110000110010010 A" +0n +0m +b1010000000000011100001010011110 / +b1010000000000011100001010011110 K +b1010000000000011100001010011110 e +b1010000000000011100001010011110 "" +b10000 ~ +b10000 )" +b10000 ." +0. +1% +#2654500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b1101100000001011000010011111011 2 +b1101100000001011000010011111011 I +b1101100000001011000010011111011 Z +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b1101100000001011000010011111011 , +b1101100000001011000010011111011 H +1- +1. +0% +#2655000 +0s +b1010000000000011100001010011110 | +b1010000000000011100001010011110 B" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +16" +17" +1_ +b11111 0" +08" +0l +b10000 -" +0t +0y +1z +1u +12" +0/" +1x +1w +1," +b1101100000001011000010011111011 &" +b1101100000001011000010011111011 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +b11101000101010000110000110010010 / +b11101000101010000110000110010010 K +b11101000101010000110000110010010 e +b11101000101010000110000110010010 "" +0. +1% +#2655010 +b1101100000001011000010011111011 C" +#2655500 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +01 +b11100 & +b11100 C +b0 , +b0 H +0- +1. +0% +#2656000 +0u +0@" +1r +1q +0_ +b11 -" +14" +1v +b10111000000000101110110111110110 | +b10111000000000101110110111110110 B" +02" +0x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010000000000011100001010011110 0 +b1010000000000011100001010011110 M +b1010000000000011100001010011110 f +b1010000000000011100001010011110 !" +b0 &" +b0 ?" +0'" +b11100 } +b11100 (" +b11100 +" +0. +1% +#2656500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10110010010010100111011100001001 2 +b10110010010010100111011100001001 I +b10110010010010100111011100001001 Z +b1010 3 +b1010 J +b1010 Y +11 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b10110010010010100111011100001001 , +b10110010010010100111011100001001 H +b1010 + +b1010 G +1- +1. +0% +#2657000 +0] +b10000110011000111000100010000010 { +b10000110011000111000100010000010 A" +1s +0\ +1=" +1@" +0h +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10101 3" +1c +1_ +b1101 0" +0k +18" +1l +b11111 -" +0z +04" +0v +1b +12" +1n +1/" +0," +b10110010010010100111011100001001 &" +b10110010010010100111011100001001 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10111000000000101110110111110110 0 +b10111000000000101110110111110110 M +b10111000000000101110110111110110 f +b10111000000000101110110111110110 !" +0. +1% +#2657010 +b10110010010010100111011100001001 M" +#2657500 +b0 5 +b0 L +b0 W +04 +b1010110111101011000111101010010 2 +b1010110111101011000111101010010 I +b1010110111101011000111101010010 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b1010110111101011000111101010010 , +b1010110111101011000111101010010 H +b1 + +b1 G +1. +0% +#2658000 +1] +1\ +0[ +0=" +1h +0;" +b11110 3" +0c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +1a +0n +0/" +b10000110011000111000100010000010 / +b10000110011000111000100010000010 K +b10000110011000111000100010000010 e +b10000110011000111000100010000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010110111101011000111101010010 &" +b1010110111101011000111101010010 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2658010 +b1010110111101011000111101010010 D" +#2658500 +b1010 5 +b1010 L +b1010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2659000 +0i +b10110010010010100111011100001001 { +b10110010010010100111011100001001 A" +1[ +0@" +0h +19" +1;" +b11111 3" +0_ +b10101 0" +1o +1k +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2659500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b1100101100001011000100010011 2 +b1100101100001011000100010011 I +b1100101100001011000100010011 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b11 & +b11 C +1( +b1100101100001011000100010011 , +b1100101100001011000100010011 H +b11101 + +b11101 G +1- +1. +0% +#2660000 +0] +1i +b111000111010000001101000001111 | +b111000111010000001101000001111 B" +0[ +1=" +1>" +1@" +1h +09" +0;" +0r +0q +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11100 -" +1u +1a +12" +0n +0/" +1x +1w +1," +b10110010010010100111011100001001 / +b10110010010010100111011100001001 K +b10110010010010100111011100001001 e +b10110010010010100111011100001001 "" +b1100101100001011000100010011 &" +b1100101100001011000100010011 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#2660010 +b1100101100001011000100010011 `" +#2660500 +b11111 5 +b11111 L +b11111 W +14 +b11010 7 +b11010 N +b11010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b11010 & +b11010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2661000 +1] +0i +b10110001101010100110001100001111 { +b10110001101010100110001100001111 A" +0u +0s +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +1q +15" +b11111 3" +0d +0<" +0` +b0 0" +0j +0o +1p +0k +18" +1l +b101 -" +14" +1v +1y +b1000111101110101011000001 | +b1000111101110101011000001 B" +0a +02" +1n +1m +1/" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b11010 } +b11010 (" +b11010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111000111010000001101000001111 0 +b111000111010000001101000001111 M +b111000111010000001101000001111 f +b111000111010000001101000001111 !" +0. +1% +#2661500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b1100010101111000001110101100101 2 +b1100010101111000001110101100101 I +b1100010101111000001110101100101 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +b1100010101111000001110101100101 , +b1100010101111000001110101100101 H +b111 + +b111 G +1- +1. +0% +#2662000 +16" +0] +1i +1u +1t +0\ +0[ +1>" +1@" +1h +1g +09" +0:" +0;" +1r +17" +05" +b11000 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +04" +0v +0y +b11011111110111000010010111000101 | +b11011111110111000010010111000101 B" +1b +1a +12" +0n +0m +0/" +0x +b10110001101010100110001100001111 / +b10110001101010100110001100001111 K +b10110001101010100110001100001111 e +b10110001101010100110001100001111 "" +b1000111101110101011000001 0 +b1000111101110101011000001 M +b1000111101110101011000001 f +b1000111101110101011000001 !" +b1100010101111000001110101100101 &" +b1100010101111000001110101100101 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +0. +1% +#2662010 +b1100010101111000001110101100101 J" +#2662500 +b10101 5 +b10101 L +b10101 W +14 +b10111 7 +b10111 N +b10111 V +b10000100100101011100001111000011 2 +b10000100100101011100001111000011 I +b10000100100101011100001111000011 Z +b11011 3 +b11011 J +b11011 Y +b10101 ' +b10101 D +1) +b10111 & +b10111 C +b10000100100101011100001111000011 , +b10000100100101011100001111000011 H +b11011 + +b11011 G +1. +0% +#2663000 +1=" +0_ +1c +0i +b1010110110111001011101100110110 { +b1010110110111001011101100110110 A" +0u +0>" +0g +1:" +1;" +0r +0q +b100 3" +1<" +1` +0^ +b1010 0" +1j +0k +18" +1l +b1000 -" +14" +1v +b10011010011000100010101011011101 | +b10011010011000100010101011011101 B" +1m +1/" +1x +1w +b10000100100101011100001111000011 &" +b10000100100101011100001111000011 ?" +b11011 %" +b11011 *" +b11011 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b10111 } +b10111 (" +b10111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011111110111000010010111000101 0 +b11011111110111000010010111000101 M +b11011111110111000010010111000101 f +b11011111110111000010010111000101 !" +0. +1% +#2663010 +b10000100100101011100001111000011 ^" +#2663500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2664000 +1] +1i +1s +1\ +1[ +0=" +0@" +1g +0:" +0;" +1r +1q +06" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0m +0/" +0x +0w +0," +b1010110110111001011101100110110 / +b1010110110111001011101100110110 K +b1010110110111001011101100110110 e +b1010110110111001011101100110110 "" +b10011010011000100010101011011101 0 +b10011010011000100010101011011101 M +b10011010011000100010101011011101 f +b10011010011000100010101011011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2664500 +b10001 5 +b10001 L +b10001 W +14 +b10001 ' +b10001 D +1) +1. +0% +#2665000 +b110100010011111111000111010111 { +b110100010011111111000111010111 A" +0g +1;" +b1110 0" +0k +18" +1l +1m +1/" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2665500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +1. +0% +#2666000 +0s +b1010000000000011100001010011110 | +b1010000000000011100001010011110 B" +1g +0;" +0r +0q +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +0m +0/" +1x +1w +1," +b110100010011111111000111010111 / +b110100010011111111000111010111 K +b110100010011111111000111010111 e +b110100010011111111000111010111 "" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#2666500 +b0 7 +b0 N +b0 V +06 +b1010110111010110100100000011111 2 +b1010110111010110100100000011111 I +b1010110111010110100100000011111 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 & +b0 C +0( +b1010110111010110100100000011111 , +b1010110111010110100100000011111 H +b10111 + +b10111 G +1- +1. +0% +#2667000 +0] +1s +0\ +0[ +1>" +1@" +1r +1q +05" +06" +07" +b1000 3" +1^ +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0w +0," +b1010110111010110100100000011111 &" +b1010110111010110100100000011111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010000000000011100001010011110 0 +b1010000000000011100001010011110 M +b1010000000000011100001010011110 f +b1010000000000011100001010011110 !" +0. +1% +#2667010 +b1010110111010110100100000011111 Z" +#2667500 +b11111 5 +b11111 L +b11111 W +14 +b11101000000101100111000111110010 2 +b11101000000101100111000111110010 I +b11101000000101100111000111110010 Z +b11001 3 +b11001 J +b11001 Y +b11111 ' +b11111 D +1) +b11101000000101100111000111110010 , +b11101000000101100111000111110010 H +b11001 + +b11001 G +1. +0% +#2668000 +1=" +1c +0i +b10110001101010100110001100001111 { +b10110001101010100110001100001111 A" +1\ +0>" +0h +0g +19" +1:" +1;" +b110 3" +0^ +b0 0" +0j +0o +1p +0k +18" +1l +0b +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101000000101100111000111110010 &" +b11101000000101100111000111110010 ?" +b11001 %" +b11001 *" +b11001 1" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#2668010 +b11101000000101100111000111110010 \" +#2668500 +b0 5 +b0 L +b0 W +04 +b10000011111011011001101010111011 2 +b10000011111011011001101010111011 I +b10000011111011011001101010111011 Z +b1010 3 +b1010 J +b1010 Y +b0 ' +b0 D +0) +b10000011111011011001101010111011 , +b10000011111011011001101010111011 H +b1010 + +b1010 G +1. +0% +#2669000 +1_ +1i +0\ +1[ +1@" +1h +1g +09" +0:" +0;" +b10101 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +0a +0n +0m +0/" +b10000011111011011001101010111011 &" +b10000011111011011001101010111011 ?" +b1010 %" +b1010 *" +b1010 1" +b0 ~ +b0 )" +b0 ." +0$" +b10110001101010100110001100001111 / +b10110001101010100110001100001111 K +b10110001101010100110001100001111 e +b10110001101010100110001100001111 "" +0. +1% +#2669010 +b10000011111011011001101010111011 M" +#2669500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2670000 +1] +1\ +0=" +0@" +b11111 3" +0c +0_ +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2670500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#2671000 +0s +b10111000000000101110110111110110 | +b10111000000000101110110111110110 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#2671500 +b1100 5 +b1100 L +b1100 W +14 +b11110 7 +b11110 N +b11110 V +b1100 ' +b1100 D +1) +b11110 & +b11110 C +1. +0% +#2672000 +0i +b10011001100000001001011010101010 { +b10011001100000001001011010101010 A" +19" +1:" +1;" +0r +b10011 0" +0j +0o +1p +1k +b1 -" +b11000100111010101111010100010110 | +b11000100111010101111010100010110 B" +1/" +1x +b10111000000000101110110111110110 0 +b10111000000000101110110111110110 M +b10111000000000101110110111110110 f +b10111000000000101110110111110110 !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#2672500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b1111001100000111010111001000110 2 +b1111001100000111010111001000110 I +b1111001100000111010111001000110 Z +b10100 3 +b10100 J +b10100 Y +11 +b1010 ' +b1010 D +b0 & +b0 C +0( +b1111001100000111010111001000110 , +b1111001100000111010111001000110 H +b10100 + +b10100 G +1- +1. +0% +#2673000 +0] +1o +1s +1>" +1@" +0h +19" +0:" +1r +05" +06" +07" +b1011 3" +1^ +0_ +1<" +1` +b10101 0" +0p +b10000011111011011001101010111011 { +b10000011111011011001101010111011 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1n +0x +0," +b1111001100000111010111001000110 &" +b1111001100000111010111001000110 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +b10011001100000001001011010101010 / +b10011001100000001001011010101010 K +b10011001100000001001011010101010 e +b10011001100000001001011010101010 "" +b11000100111010101111010100010110 0 +b11000100111010101111010100010110 M +b11000100111010101111010100010110 f +b11000100111010101111010100010110 !" +0. +1% +#2673010 +b1111001100000111010111001000110 W" +#2673500 +b11111 5 +b11111 L +b11111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2674000 +1] +0k +0o +0>" +0@" +0g +1:" +b11111 3" +0^ +0<" +0` +b0 0" +18" +1l +1p +b10110001101010100110001100001111 { +b10110001101010100110001100001111 A" +02" +1m +b10000011111011011001101010111011 / +b10000011111011011001101010111011 K +b10000011111011011001101010111011 e +b10000011111011011001101010111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +0. +1% +#2674500 +b0 5 +b0 L +b0 W +04 +b10011001100000010110111000101010 2 +b10011001100000010110111000101010 I +b10011001100000010110111000101010 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b10011001100000010110111000101010 , +b10011001100000010110111000101010 H +b11101 + +b11101 G +1- +1. +0% +#2675000 +0] +1i +0[ +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0m +0/" +b10011001100000010110111000101010 &" +b10011001100000010110111000101010 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110001101010100110001100001111 / +b10110001101010100110001100001111 K +b10110001101010100110001100001111 e +b10110001101010100110001100001111 "" +0. +1% +#2675010 +b10011001100000010110111000101010 `" +#2675500 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2676000 +1] +0s +b11001111111110101101100000011100 | +b11001111111110101101100000011100 B" +1[ +0=" +0>" +0@" +15" +17" +b11111 3" +0d +0<" +0` +b10111 -" +1y +1u +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#2676500 +b10011 7 +b10011 N +b10011 V +b110100010101100110111101111110 2 +b110100010101100110111101111110 I +b110100010101100110111101111110 Z +b1100 3 +b1100 J +b1100 Y +11 +b10011 & +b10011 C +b110100010101100110111101111110 , +b110100010101100110111101111110 H +b1100 + +b1100 G +1- +1. +0% +#2677000 +0] +0u +1s +1=" +1>" +1@" +0r +0q +05" +b10011 3" +0^ +0c +1d +1_ +b1100 -" +14" +1v +0y +b11111010000001111010101011010111 | +b11111010000001111010101011010111 B" +12" +1x +1w +b110100010101100110111101111110 &" +b110100010101100110111101111110 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b10011 } +b10011 (" +b10011 +" +b11001111111110101101100000011100 0 +b11001111111110101101100000011100 M +b11001111111110101101100000011100 f +b11001111111110101101100000011100 !" +0. +1% +#2677010 +b110100010101100110111101111110 O" +#2677500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b111111101110001000110000001100 2 +b111111101110001000110000001100 I +b111111101110001000110000001100 Z +b11011 3 +b11011 J +b11011 Y +b100 ' +b100 D +1) +b0 & +b0 C +0( +b111111101110001000110000001100 , +b111111101110001000110000001100 H +b11011 + +b11011 G +1. +0% +#2678000 +0_ +1c +0i +b11011111110111000010010111000101 { +b11011111110111000010010111000101 A" +0\ +0[ +1=" +0>" +1:" +1;" +1r +1q +07" +b100 3" +1<" +1` +0d +b11011 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +1/" +0x +0w +0," +b11111010000001111010101011010111 0 +b11111010000001111010101011010111 M +b11111010000001111010101011010111 f +b11111010000001111010101011010111 !" +b111111101110001000110000001100 &" +b111111101110001000110000001100 ?" +b11011 %" +b11011 *" +b11011 1" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2678010 +b111111101110001000110000001100 ^" +#2678500 +b10000 5 +b10000 L +b10000 W +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2679000 +1] +0k +1i +b10000110011000111000100010000010 | +b10000110011000111000100010000010 B" +1\ +1[ +0=" +0@" +b11101000101010000110000110010010 { +b11101000101010000110000110010010 A" +0:" +0r +17" +b11111 3" +0c +0<" +0` +b1111 0" +18" +1l +0j +b1101 -" +0u +14" +1v +0b +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +b10010 } +b10010 (" +b10010 +" +1#" +b11011111110111000010010111000101 / +b11011111110111000010010111000101 K +b11011111110111000010010111000101 e +b11011111110111000010010111000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2679500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b0 ' +b0 D +0) +b11010 & +b11010 C +1. +0% +#2680000 +0s +b1000111101110101011000001 | +b1000111101110101011000001 B" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +b11111 0" +08" +0l +b101 -" +1y +0/" +b11101000101010000110000110010010 / +b11101000101010000110000110010010 K +b11101000101010000110000110010010 e +b11101000101010000110000110010010 "" +b10000110011000111000100010000010 0 +b10000110011000111000100010000010 M +b10000110011000111000100010000010 f +b10000110011000111000100010000010 !" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +0. +1% +#2680500 +b11011 5 +b11011 L +b11011 W +14 +b1010 7 +b1010 N +b1010 V +b11011 ' +b11011 D +1) +b1010 & +b1010 C +1. +0% +#2681000 +0i +b111111101110001000110000001100 { +b111111101110001000110000001100 A" +1u +0h +0g +19" +1;" +17" +b10000011111011011001101010111011 | +b10000011111011011001101010111011 B" +b100 0" +1o +0k +18" +1l +b10101 -" +04" +0v +1n +1m +1/" +b11011 ~ +b11011 )" +b11011 ." +1$" +b1010 } +b1010 (" +b1010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000111101110101011000001 0 +b1000111101110101011000001 M +b1000111101110101011000001 f +b1000111101110101011000001 !" +0. +1% +#2681500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +b0 ' +b0 D +0) +b11001 & +b11001 C +1. +0% +#2682000 +1i +0u +1h +1g +09" +0;" +1r +0q +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b110 -" +14" +1v +b11101000000101100111000111110010 | +b11101000000101100111000111110010 B" +0n +0m +0/" +0x +1w +b111111101110001000110000001100 / +b111111101110001000110000001100 K +b111111101110001000110000001100 e +b111111101110001000110000001100 "" +b10000011111011011001101010111011 0 +b10000011111011011001101010111011 M +b10000011111011011001101010111011 f +b10000011111011011001101010111011 !" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#2682500 +b1 7 +b1 N +b1 V +b1 & +b1 C +1. +0% +#2683000 +1u +1s +17" +b1010110111101011000111101010010 | +b1010110111101011000111101010010 B" +05" +b11110 -" +04" +0v +0y +b1 } +b1 (" +b1 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101000000101100111000111110010 0 +b11101000000101100111000111110010 M +b11101000000101100111000111110010 f +b11101000000101100111000111110010 !" +0. +1% +#2683500 +b1111 7 +b1111 N +b1111 V +b1111 & +b1111 C +1. +0% +#2684000 +0s +0r +15" +16" +b10000 -" +0t +0y +1z +b1010000000000011100001010011110 | +b1010000000000011100001010011110 B" +1x +b1010110111101011000111101010010 0 +b1010110111101011000111101010010 M +b1010110111101011000111101010010 f +b1010110111101011000111101010010 !" +b1111 } +b1111 (" +b1111 +" +0. +1% +#2684500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2685000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1010000000000011100001010011110 0 +b1010000000000011100001010011110 M +b1010000000000011100001010011110 f +b1010000000000011100001010011110 !" +0. +1% +#2685500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#2686000 +0s +b11101000000101100111000111110010 | +b11101000000101100111000111110010 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#2686500 +b1000 7 +b1000 N +b1000 V +b10000110011000100011001010111011 2 +b10000110011000100011001010111011 I +b10000110011000100011001010111011 Z +b10000 3 +b10000 J +b10000 Y +11 +b1000 & +b1000 C +b10000110011000100011001010111011 , +b10000110011000100011001010111011 H +b10000 + +b10000 G +1- +1. +0% +#2687000 +1u +1@" +1q +17" +b1111 3" +0_ +1<" +1` +b10111 -" +04" +0v +b11001111111110101101100000011100 | +b11001111111110101101100000011100 B" +12" +0w +b10000110011000100011001010111011 &" +b10000110011000100011001010111011 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1000 } +b1000 (" +b1000 +" +b11101000000101100111000111110010 0 +b11101000000101100111000111110010 M +b11101000000101100111000111110010 f +b11101000000101100111000111110010 !" +0. +1% +#2687010 +b10000110011000100011001010111011 S" +#2687500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b11111110111100010110110111000 2 +b11111110111100010110110111000 I +b11111110111100010110110111000 Z +b0 3 +b0 J +b0 Y +b111 ' +b111 D +1) +b0 & +b0 C +0( +b11111110111100010110110111000 , +b11111110111100010110110111000 H +b0 + +b0 G +1. +0% +#2688000 +1_ +0i +b1100010101111000001110101100101 { +b1100010101111000001110101100101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +0h +0g +1:" +1;" +05" +07" +b11111 3" +0<" +0` +b11000 0" +1j +1k +b11111 -" +0y +0u +1n +1m +1/" +0," +b11001111111110101101100000011100 0 +b11001111111110101101100000011100 M +b11001111111110101101100000011100 f +b11001111111110101101100000011100 !" +b11111110111100010110110111000 &" +b11111110111100010110110111000 ?" +b0 %" +b0 *" +b0 1" +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2688010 +b11111110111100010110110111000 C" +#2688500 +b0 5 +b0 L +b0 W +04 +b110001010110001001101101100000 2 +b110001010110001001101101100000 I +b110001010110001001101101100000 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b110001010110001001101101100000 , +b110001010110001001101101100000 H +b11110 + +b11110 G +1. +0% +#2689000 +0_ +0] +1i +0\ +1=" +1>" +1h +1g +0:" +0;" +b1 3" +1<" +1` +0^ +0c +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0n +0m +0/" +b110001010110001001101101100000 &" +b110001010110001001101101100000 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1100010101111000001110101100101 / +b1100010101111000001110101100101 K +b1100010101111000001110101100101 e +b1100010101111000001110101100101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2689010 +b110001010110001001101101100000 a" +#2689500 +b1010 5 +b1010 L +b1010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2690000 +1] +0i +b10000011111011011001101010111011 { +b10000011111011011001101010111011 A" +1\ +0=" +0>" +0@" +0h +19" +1;" +b11111 3" +0d +0<" +0` +b10101 0" +1o +1k +0b +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#2690500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2691000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10000011111011011001101010111011 / +b10000011111011011001101010111011 K +b10000011111011011001101010111011 e +b10000011111011011001101010111011 "" +0. +1% +#2691500 +1. +0% +#2692000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2692500 +b11101 7 +b11101 N +b11101 V +16 +b10100110111000101100000010101111 2 +b10100110111000101100000010101111 I +b10100110111000101100000010101111 Z +b11111 3 +b11111 J +b11111 Y +11 +b11101 & +b11101 C +1( +b10100110111000101100000010101111 , +b10100110111000101100000010101111 H +b11111 + +b11111 G +1- +1. +0% +#2693000 +0] +0s +b10011001100000010110111000101010 | +b10011001100000010110111000101010 B" +0\ +0[ +1=" +1>" +1@" +0q +15" +16" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +1w +1," +b10100110111000101100000010101111 &" +b10100110111000101100000010101111 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#2693500 +b1100 7 +b1100 N +b1100 V +b10110000111100110111110010100011 2 +b10110000111100110111110010100011 I +b10110000111100110111110010100011 Z +b1110 3 +b1110 J +b1110 Y +b1100 & +b1100 C +b10110000111100110111110010100011 , +b10110000111100110111110010100011 H +b1110 + +b1110 G +1. +0% +#2694000 +1_ +1u +1[ +1@" +1q +17" +b10001 3" +0<" +0` +b10011 -" +04" +0v +b110100010101100110111101111110 | +b110100010101100110111101111110 B" +0a +0w +b10011001100000010110111000101010 0 +b10011001100000010110111000101010 M +b10011001100000010110111000101010 f +b10011001100000010110111000101010 !" +b10110000111100110111110010100011 &" +b10110000111100110111110010100011 ?" +b1110 %" +b1110 *" +b1110 1" +b1100 } +b1100 (" +b1100 +" +0. +1% +#2694010 +b10110000111100110111110010100011 Q" +#2694500 +b1111 5 +b1111 L +b1111 W +14 +b110 7 +b110 N +b110 V +b1001100111111100101011101001000 2 +b1001100111111100101011101001000 I +b1001100111111100101011101001000 Z +b11000 3 +b11000 J +b11000 Y +b1111 ' +b1111 D +1) +b110 & +b110 C +b1001100111111100101011101001000 , +b1001100111111100101011101001000 H +b11000 + +b11000 G +1. +0% +#2695000 +0_ +1c +0i +b1010000000000011100001010011110 { +b1010000000000011100001010011110 A" +1t +1\ +1=" +0>" +0h +0g +19" +1:" +1;" +0r +05" +16" +b111 3" +1<" +1` +0d +b10000 0" +0j +0o +1p +1k +b11001 -" +0z +b10110110000110001001011100111011 | +b10110110000110001001011100111011 B" +0b +1n +1m +1/" +1x +b1001100111111100101011101001000 &" +b1001100111111100101011101001000 ?" +b11000 %" +b11000 *" +b11000 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b110 } +b110 (" +b110 +" +b110100010101100110111101111110 0 +b110100010101100110111101111110 M +b110100010101100110111101111110 f +b110100010101100110111101111110 !" +0. +1% +#2695010 +b1001100111111100101011101001000 [" +#2695500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2696000 +1] +1i +1s +0=" +0@" +1h +1g +09" +0:" +0;" +1r +06" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0m +0/" +0x +0," +b1010000000000011100001010011110 / +b1010000000000011100001010011110 K +b1010000000000011100001010011110 e +b1010000000000011100001010011110 "" +b10110110000110001001011100111011 0 +b10110110000110001001011100111011 M +b10110110000110001001011100111011 f +b10110110000110001001011100111011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2696500 +b11001 5 +b11001 L +b11001 W +14 +b1011 7 +b1011 N +b1011 V +16 +b11001 ' +b11001 D +1) +b1011 & +b1011 C +1( +1. +0% +#2697000 +0i +b11101000000101100111000111110010 { +b11101000000101100111000111110010 A" +0s +b10111100111010101110010111111000 | +b10111100111010101110010111111000 B" +0g +19" +1;" +0r +0q +15" +17" +b110 0" +1o +0k +18" +1l +b10100 -" +1y +1u +1m +1/" +1x +1w +1," +b11001 ~ +b11001 )" +b11001 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2697500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b0 ' +b0 D +0) +b0 & +b0 C +1. +0% +#2698000 +1i +1s +1g +09" +0;" +1r +1q +05" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b11111110111100010110110111000 | +b11111110111100010110110111000 B" +0m +0/" +0x +0w +b11101000000101100111000111110010 / +b11101000000101100111000111110010 K +b11101000000101100111000111110010 e +b11101000000101100111000111110010 "" +b10111100111010101110010111111000 0 +b10111100111010101110010111111000 M +b10111100111010101110010111111000 f +b10111100111010101110010111111000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0. +1% +#2698500 +b11000 7 +b11000 N +b11000 V +b1011011101111111011011100011101 2 +b1011011101111111011011100011101 I +b1011011101111111011011100011101 Z +b1111 3 +b1111 J +b1111 Y +11 +b11000 & +b11000 C +b1011011101111111011011100011101 , +b1011011101111111011011100011101 H +b1111 + +b1111 G +1- +1. +0% +#2699000 +0] +0u +0s +0\ +0[ +1=" +1>" +1@" +b1001100111111100101011101001000 | +b1001100111111100101011101001000 B" +15" +b10000 3" +0^ +0c +1d +1_ +b111 -" +14" +1v +1y +1b +1a +12" +b1011011101111111011011100011101 &" +b1011011101111111011011100011101 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11000 } +b11000 (" +b11000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111110111100010110110111000 0 +b11111110111100010110110111000 M +b11111110111100010110110111000 f +b11111110111100010110110111000 !" +0. +1% +#2699010 +b1011011101111111011011100011101 R" +#2699500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2700000 +1] +0i +b10110000111100110111110010100011 { +b10110000111100110111110010100011 A" +1s +1\ +1[ +0=" +0>" +0@" +0h +19" +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b10001 0" +0j +0o +1p +1k +b11111 -" +0y +04" +0v +0b +0a +02" +1n +1/" +0," +b1001100111111100101011101001000 0 +b1001100111111100101011101001000 M +b1001100111111100101011101001000 f +b1001100111111100101011101001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2700500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#2701000 +1i +b11111010000001111010101011010111 | +b11111010000001111010101011010111 B" +1h +09" +0:" +0;" +0r +0q +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +0n +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b10110000111100110111110010100011 / +b10110000111100110111110010100011 K +b10110000111100110111110010100011 e +b10110000111100110111110010100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2701500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2702000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111010000001111010101011010111 0 +b11111010000001111010101011010111 M +b11111010000001111010101011010111 f +b11111010000001111010101011010111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2702500 +b10110 5 +b10110 L +b10110 W +14 +b101001101010110100000001011000 2 +b101001101010110100000001011000 I +b101001101010110100000001011000 Z +b1101 3 +b1101 J +b1101 Y +11 +b10110 ' +b10110 D +1) +b101001101010110100000001011000 , +b101001101010110100000001011000 H +b1101 + +b1101 G +1- +1. +0% +#2703000 +0] +0i +b11100110100111110101000111010001 { +b11100110100111110101000111010001 A" +0[ +1=" +1>" +1@" +0h +1:" +1;" +b10010 3" +0^ +0c +1d +1_ +b1001 0" +1j +0k +18" +1l +1a +12" +1n +1/" +b101001101010110100000001011000 &" +b101001101010110100000001011000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2703010 +b101001101010110100000001011000 P" +#2703500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2704000 +1] +1i +1[ +0=" +0>" +0@" +1h +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b11100110100111110101000111010001 / +b11100110100111110101000111010001 K +b11100110100111110101000111010001 e +b11100110100111110101000111010001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2704500 +b10110 5 +b10110 L +b10110 W +14 +b100 7 +b100 N +b100 V +16 +b10000001110000101001100000001011 2 +b10000001110000101001100000001011 I +b10000001110000101001100000001011 Z +b10 3 +b10 J +b10 Y +11 +b10110 ' +b10110 D +1) +b100 & +b100 C +1( +b10000001110000101001100000001011 , +b10000001110000101001100000001011 H +b10 + +b10 G +1- +1. +0% +#2705000 +0i +b11100110100111110101000111010001 { +b11100110100111110101000111010001 A" +0s +b11011111110111000010010111000101 | +b11011111110111000010010111000101 B" +0\ +1@" +0h +1:" +1;" +16" +17" +b11101 3" +1_ +b1001 0" +1j +0k +18" +1l +b11011 -" +1t +1u +1b +12" +1n +1/" +1," +b10000001110000101001100000001011 &" +b10000001110000101001100000001011 ?" +b10 %" +b10 *" +b10 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2705010 +b10000001110000101001100000001011 E" +#2705500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2706000 +1i +1s +b11111110111100010110110111000 | +b11111110111100010110110111000 B" +1\ +0@" +1h +0:" +0;" +06" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0b +02" +0n +0/" +b11100110100111110101000111010001 / +b11100110100111110101000111010001 K +b11100110100111110101000111010001 e +b11100110100111110101000111010001 "" +b11011111110111000010010111000101 0 +b11011111110111000010010111000101 M +b11011111110111000010010111000101 f +b11011111110111000010010111000101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0. +1% +#2706500 +b10100 5 +b10100 L +b10100 W +14 +b11000 7 +b11000 N +b11000 V +b10100 ' +b10100 D +1) +b11000 & +b11000 C +1. +0% +#2707000 +0i +b1111001100000111010111001000110 { +b1111001100000111010111001000110 A" +0u +0s +1:" +1;" +b1001100111111100101011101001000 | +b1001100111111100101011101001000 B" +15" +b1011 0" +1j +0k +18" +1l +b111 -" +14" +1v +1y +1/" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11000 } +b11000 (" +b11000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111110111100010110110111000 0 +b11111110111100010110110111000 M +b11111110111100010110110111000 f +b11111110111100010110110111000 !" +0. +1% +#2707500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11001101001001000010100010100100 2 +b11001101001001000010100010100100 I +b11001101001001000010100010100100 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11001101001001000010100010100100 , +b11001101001001000010100010100100 H +b11100 + +b11100 G +1- +1. +0% +#2708000 +0] +1i +1s +1=" +1>" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +08" +0l +b11111 -" +0y +04" +0v +12" +0/" +0," +b1111001100000111010111001000110 / +b1111001100000111010111001000110 K +b1111001100000111010111001000110 e +b1111001100000111010111001000110 "" +b1001100111111100101011101001000 0 +b1001100111111100101011101001000 M +b1001100111111100101011101001000 f +b1001100111111100101011101001000 !" +b11001101001001000010100010100100 &" +b11001101001001000010100010100100 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2708010 +b11001101001001000010100010100100 _" +#2708500 +b111 5 +b111 L +b111 W +14 +b10101 7 +b10101 N +b10101 V +16 +b11001111011111100001001001010 2 +b11001111011111100001001001010 I +b11001111011111100001001001010 Z +b101 3 +b101 J +b101 Y +b111 ' +b111 D +1) +b10101 & +b10101 C +1( +b11001111011111100001001001010 , +b11001111011111100001001001010 H +b101 + +b101 G +1. +0% +#2709000 +1_ +1^ +0i +b1100010101111000001110101100101 { +b1100010101111000001110101100101 A" +0s +b1010110110111001011101100110110 | +b1010110110111001011101100110110 B" +0[ +1@" +0=" +1>" +0h +0g +1:" +1;" +0q +16" +17" +b11010 3" +0<" +0` +0d +b11000 0" +1j +1k +b1010 -" +1t +0u +14" +1v +1a +1n +1m +1/" +1w +1," +b11001111011111100001001001010 &" +b11001111011111100001001001010 ?" +b101 %" +b101 *" +b101 1" +b111 ~ +b111 )" +b111 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2709010 +b11001111011111100001001001010 H" +#2709500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110000110100011001101000110111 2 +b110000110100011001101000110111 I +b110000110100011001101000110111 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110000110100011001101000110111 , +b110000110100011001101000110111 H +b1110 + +b1110 G +1. +0% +#2710000 +0^ +1i +1s +0\ +1[ +1=" +1h +1g +0:" +0;" +1q +06" +07" +b10001 3" +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +0n +0m +0/" +0w +0," +b1100010101111000001110101100101 / +b1100010101111000001110101100101 K +b1100010101111000001110101100101 e +b1100010101111000001110101100101 "" +b1010110110111001011101100110110 0 +b1010110110111001011101100110110 M +b1010110110111001011101100110110 f +b1010110110111001011101100110110 !" +b110000110100011001101000110111 &" +b110000110100011001101000110111 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2710010 +b110000110100011001101000110111 Q" +#2710500 +b1001 5 +b1001 L +b1001 W +14 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2711000 +1] +0i +b11101101100110001000100001110110 { +b11101101100110001000100001110110 A" +0s +b1010110110111001011101100110110 | +b1010110110111001011101100110110 B" +1\ +0=" +0>" +0@" +0g +19" +1;" +0q +16" +17" +b11111 3" +0d +0_ +b10110 0" +1o +1k +b1010 -" +1t +0u +14" +1v +0b +02" +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2711500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11100101110110111001101011111001 2 +b11100101110110111001101011111001 I +b11100101110110111001101011111001 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11100101110110111001101011111001 , +b11100101110110111001101011111001 H +b10000 + +b10000 G +1- +1. +0% +#2712000 +1i +1s +1@" +1g +09" +0;" +1q +06" +07" +b1111 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0m +0/" +0w +0," +b11101101100110001000100001110110 / +b11101101100110001000100001110110 K +b11101101100110001000100001110110 e +b11101101100110001000100001110110 "" +b1010110110111001011101100110110 0 +b1010110110111001011101100110110 M +b1010110110111001011101100110110 f +b1010110110111001011101100110110 !" +b11100101110110111001101011111001 &" +b11100101110110111001101011111001 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2712010 +b11100101110110111001101011111001 S" +#2712500 +b10011100001011000101101000111111 2 +b10011100001011000101101000111111 I +b10011100001011000101101000111111 Z +b10101 3 +b10101 J +b10101 Y +b10011100001011000101101000111111 , +b10011100001011000101101000111111 H +b10101 + +b10101 G +1. +0% +#2713000 +0] +0[ +1>" +b1010 3" +1^ +1a +b10011100001011000101101000111111 &" +b10011100001011000101101000111111 ?" +b10101 %" +b10101 *" +b10101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2713010 +b10011100001011000101101000111111 X" +#2713500 +b11001 5 +b11001 L +b11001 W +14 +b11111110000110001010001100010011 2 +b11111110000110001010001100010011 I +b11111110000110001010001100010011 Z +b10011 3 +b10011 J +b10011 Y +b11001 ' +b11001 D +1) +b11111110000110001010001100010011 , +b11111110000110001010001100010011 H +b10011 + +b10011 G +1. +0% +#2714000 +1] +0i +b11101000000101100111000111110010 { +b11101000000101100111000111110010 A" +0\ +0>" +0g +19" +1;" +b1100 3" +0^ +b110 0" +1o +0k +18" +1l +1b +1m +1/" +b11111110000110001010001100010011 &" +b11111110000110001010001100010011 ?" +b10011 %" +b10011 *" +b10011 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#2714010 +b11111110000110001010001100010011 V" +#2714500 +b0 5 +b0 L +b0 W +b11011 7 +b11011 N +b11011 V +16 +b1010110011111011110000001100101 2 +b1010110011111011110000001100101 I +b1010110011111011110000001100101 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +b11011 & +b11011 C +1( +b1010110011111011110000001100101 , +b1010110011111011110000001100101 H +b10111 + +b10111 G +1. +0% +#2715000 +0] +1k +1i +0s +b111111101110001000110000001100 | +b111111101110001000110000001100 B" +1>" +1g +1;" +09" +0r +0q +15" +17" +b1000 3" +1^ +b11111 0" +08" +0l +0o +b11111110111100010110110111000 { +b11111110111100010110110111000 A" +b100 -" +1y +0u +14" +1v +0m +1x +1w +1," +b1010110011111011110000001100101 &" +b1010110011111011110000001100101 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +b11011 } +b11011 (" +b11011 +" +1#" +b11101000000101100111000111110010 / +b11101000000101100111000111110010 K +b11101000000101100111000111110010 e +b11101000000101100111000111110010 "" +0. +1% +#2715010 +b1010110011111011110000001100101 Z" +#2715500 +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2716000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +1[ +0>" +0@" +0;" +1r +1q +05" +07" +b11111 3" +0^ +0<" +0` +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0/" +0x +0w +0," +b11111110111100010110110111000 / +b11111110111100010110110111000 K +b11111110111100010110110111000 e +b11111110111100010110110111000 "" +b111111101110001000110000001100 0 +b111111101110001000110000001100 M +b111111101110001000110000001100 f +b111111101110001000110000001100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2716500 +b10110 7 +b10110 N +b10110 V +16 +b10110 & +b10110 C +1( +1. +0% +#2717000 +0s +b11100110100111110101000111010001 | +b11100110100111110101000111010001 B" +0r +16" +17" +b1001 -" +1t +0u +14" +1v +1x +1," +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2717500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b10011010001010011001100111010001 2 +b10011010001010011001100111010001 I +b10011010001010011001100111010001 Z +b1100 3 +b1100 J +b1100 Y +11 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b10011010001010011001100111010001 , +b10011010001010011001100111010001 H +b1100 + +b1100 G +1- +1. +0% +#2718000 +0] +0i +b10011100001011000101101000111111 { +b10011100001011000101101000111111 A" +1s +1=" +1>" +1@" +0g +1:" +1;" +1r +06" +07" +b10011 3" +0^ +0c +1d +1_ +b1010 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1m +1/" +0x +0," +b11100110100111110101000111010001 0 +b11100110100111110101000111010001 M +b11100110100111110101000111010001 f +b11100110100111110101000111010001 !" +b10011010001010011001100111010001 &" +b10011010001010011001100111010001 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2718010 +b10011010001010011001100111010001 O" +#2718500 +b1 5 +b1 L +b1 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2719000 +1] +1k +1i +0=" +0>" +0@" +1;" +b1010110111101011000111101010010 { +b1010110111101011000111101010010 A" +0:" +b11111 3" +0d +0_ +b11110 0" +08" +0l +0j +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +b10011100001011000101101000111111 / +b10011100001011000101101000111111 K +b10011100001011000101101000111111 e +b10011100001011000101101000111111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2719500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2720000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b1010110111101011000111101010010 / +b1010110111101011000111101010010 K +b1010110111101011000111101010010 e +b1010110111101011000111101010010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2720500 +b1100 5 +b1100 L +b1100 W +14 +b11111 7 +b11111 N +b11111 V +16 +b1100 ' +b1100 D +1) +b11111 & +b11111 C +1( +1. +0% +#2721000 +0i +b10011010001010011001100111010001 { +b10011010001010011001100111010001 A" +0s +b10100110111000101100000010101111 | +b10100110111000101100000010101111 B" +19" +1:" +1;" +0r +0q +15" +16" +17" +b10011 0" +0j +0o +1p +1k +b0 -" +0t +0y +1z +0u +14" +1v +1/" +1x +1w +1," +b1100 ~ +b1100 )" +b1100 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2721500 +b10001 5 +b10001 L +b10001 W +b10001 7 +b10001 N +b10001 V +b10000011000100101011110001000000 2 +b10000011000100101011110001000000 I +b10000011000100101011110001000000 Z +b110 3 +b110 J +b110 Y +11 +b10001 ' +b10001 D +b10001 & +b10001 C +b10000011000100101011110001000000 , +b10000011000100101011110001000000 H +b110 + +b110 G +1- +1. +0% +#2722000 +0] +0k +1i +1s +0\ +1>" +1@" +0g +09" +0:" +1r +05" +06" +b11001 3" +1^ +1_ +b1110 0" +18" +1l +0p +b110100010011111111000111010111 { +b110100010011111111000111010111 A" +b1110 -" +0z +b110100010011111111000111010111 | +b110100010011111111000111010111 B" +1b +12" +1m +0x +b10011010001010011001100111010001 / +b10011010001010011001100111010001 K +b10011010001010011001100111010001 e +b10011010001010011001100111010001 "" +b10100110111000101100000010101111 0 +b10100110111000101100000010101111 M +b10100110111000101100000010101111 f +b10100110111000101100000010101111 !" +b10000011000100101011110001000000 &" +b10000011000100101011110001000000 ?" +b110 %" +b110 *" +b110 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +b10001 } +b10001 (" +b10001 +" +0. +1% +#2722010 +b10000011000100101011110001000000 I" +#2722500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2723000 +1] +1\ +0>" +0@" +1g +0;" +1q +07" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110100010011111111000111010111 / +b110100010011111111000111010111 K +b110100010011111111000111010111 e +b110100010011111111000111010111 "" +b110100010011111111000111010111 0 +b110100010011111111000111010111 M +b110100010011111111000111010111 f +b110100010011111111000111010111 !" +0. +1% +#2723500 +b1100 7 +b1100 N +b1100 V +16 +b11000101000000000101001101111111 2 +b11000101000000000101001101111111 I +b11000101000000000101001101111111 Z +b11011 3 +b11011 J +b11011 Y +11 +b1100 & +b1100 C +1( +b11000101000000000101001101111111 , +b11000101000000000101001101111111 H +b11011 + +b11011 G +1- +1. +0% +#2724000 +0] +0s +b10011010001010011001100111010001 | +b10011010001010011001100111010001 B" +0\ +0[ +1=" +1@" +15" +16" +17" +b100 3" +1c +0_ +1<" +1` +b10011 -" +0t +0y +1z +1u +1b +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000101000000000101001101111111 &" +b11000101000000000101001101111111 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#2724010 +b11000101000000000101001101111111 ^" +#2724500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2725000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0=" +0@" +05" +06" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0z +0u +0b +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10011010001010011001100111010001 0 +b10011010001010011001100111010001 M +b10011010001010011001100111010001 f +b10011010001010011001100111010001 !" +0. +1% +#2725500 +b1000011001101101010010101010001 2 +b1000011001101101010010101010001 I +b1000011001101101010010101010001 Z +b11000 3 +b11000 J +b11000 Y +11 +b1000011001101101010010101010001 , +b1000011001101101010010101010001 H +b11000 + +b11000 G +1- +1. +0% +#2726000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000011001101101010010101010001 &" +b1000011001101101010010101010001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0. +1% +#2726010 +b1000011001101101010010101010001 [" +#2726500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2727000 +1] +0=" +0@" +b11111 3" +0c +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2727500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#2728000 +0i +b1011011101111111011011100011101 { +b1011011101111111011011100011101 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#2728500 +b11101 5 +b11101 L +b11101 W +b111 7 +b111 N +b111 V +16 +b1011011110010000001000100111100 2 +b1011011110010000001000100111100 I +b1011011110010000001000100111100 Z +b10000 3 +b10000 J +b10000 Y +11 +b11101 ' +b11101 D +b111 & +b111 C +1( +b1011011110010000001000100111100 , +b1011011110010000001000100111100 H +b10000 + +b10000 G +1- +1. +0% +#2729000 +0k +0s +b1100010101111000001110101100101 | +b1100010101111000001110101100101 B" +1@" +1h +0r +0q +16" +17" +b1111 3" +0_ +1<" +1` +b10 0" +18" +1l +b10011001100000010110111000101010 { +b10011001100000010110111000101010 A" +b11000 -" +1t +1u +12" +0n +1x +1w +1," +b1011011110010000001000100111100 &" +b1011011110010000001000100111100 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b111 } +b111 (" +b111 +" +1#" +b1011011101111111011011100011101 / +b1011011101111111011011100011101 K +b1011011101111111011011100011101 e +b1011011101111111011011100011101 "" +0. +1% +#2729010 +b1011011110010000001000100111100 S" +#2729500 +b10001 5 +b10001 L +b10001 W +b11010 7 +b11010 N +b11010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b11010 & +b11010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2730000 +15" +1i +b110100010011111111000111010111 { +b110100010011111111000111010111 A" +0u +1y +0@" +09" +0:" +1q +06" +b11111 3" +0<" +0` +b1110 0" +0p +b101 -" +14" +1v +0t +b1000111101110101011000001 | +b1000111101110101011000001 B" +02" +0w +b10011001100000010110111000101010 / +b10011001100000010110111000101010 K +b10011001100000010110111000101010 e +b10011001100000010110111000101010 "" +b1100010101111000001110101100101 0 +b1100010101111000001110101100101 M +b1100010101111000001110101100101 f +b1100010101111000001110101100101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b11010 } +b11010 (" +b11010 +" +0. +1% +#2730500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101100111011000000100101001011 2 +b101100111011000000100101001011 I +b101100111011000000100101001011 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101100111011000000100101001011 , +b101100111011000000100101001011 H +b10001 + +b10001 G +1- +1. +0% +#2731000 +1s +0[ +1@" +1g +0;" +1r +05" +07" +b1110 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0m +0/" +0x +0," +b101100111011000000100101001011 &" +b101100111011000000100101001011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110100010011111111000111010111 / +b110100010011111111000111010111 K +b110100010011111111000111010111 e +b110100010011111111000111010111 "" +b1000111101110101011000001 0 +b1000111101110101011000001 M +b1000111101110101011000001 f +b1000111101110101011000001 !" +0. +1% +#2731010 +b101100111011000000100101001011 T" +#2731500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2732000 +1[ +0@" +b11111 3" +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2732500 +b100 5 +b100 L +b100 W +14 +b100 ' +b100 D +1) +1. +0% +#2733000 +0i +b11011111110111000010010111000101 { +b11011111110111000010010111000101 A" +1:" +1;" +b11011 0" +1j +1k +1/" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#2733500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#2734000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111110000110001010001100010011 | +b11111110000110001010001100010011 B" +0:" +0;" +0r +0q +17" +b11111 0" +0j +0k +b1100 -" +0u +14" +1v +0/" +1x +1w +1," +b11011111110111000010010111000101 / +b11011111110111000010010111000101 K +b11011111110111000010010111000101 e +b11011111110111000010010111000101 "" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#2734500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +1. +0% +#2735000 +0i +b110000110100011001101000110111 { +b110000110100011001101000110111 A" +0h +19" +1:" +1;" +1r +1q +07" +b10001 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0w +0," +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111110000110001010001100010011 0 +b11111110000110001010001100010011 M +b11111110000110001010001100010011 f +b11111110000110001010001100010011 !" +0. +1% +#2735500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +1. +0% +#2736000 +1i +b1011011110010000001000100111100 | +b1011011110010000001000100111100 B" +1h +09" +0:" +0;" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +0u +14" +1v +0n +0/" +1," +b110000110100011001101000110111 / +b110000110100011001101000110111 K +b110000110100011001101000110111 e +b110000110100011001101000110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#2736500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2737000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011011110010000001000100111100 0 +b1011011110010000001000100111100 M +b1011011110010000001000100111100 f +b1011011110010000001000100111100 !" +0. +1% +#2737500 +b11000 5 +b11000 L +b11000 W +14 +b100011000011111100110000011000 2 +b100011000011111100110000011000 I +b100011000011111100110000011000 Z +b1 3 +b1 J +b1 Y +11 +b11000 ' +b11000 D +1) +b100011000011111100110000011000 , +b100011000011111100110000011000 H +b1 + +b1 G +1- +1. +0% +#2738000 +0i +b1000011001101101010010101010001 { +b1000011001101101010010101010001 A" +0[ +1@" +19" +1;" +b11110 3" +1_ +b111 0" +1o +0k +18" +1l +1a +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100011000011111100110000011000 &" +b100011000011111100110000011000 ?" +b1 %" +b1 *" +b1 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#2738010 +b100011000011111100110000011000 D" +#2738500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2739000 +1i +0s +b11011111110111000010010111000101 | +b11011111110111000010010111000101 B" +1[ +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +16" +17" +b11111 3" +0_ +b11111 0" +0o +08" +0l +b11011 -" +1t +1u +0a +02" +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +b1000011001101101010010101010001 / +b1000011001101101010010101010001 K +b1000011001101101010010101010001 e +b1000011001101101010010101010001 "" +0. +1% +#2739500 +b0 7 +b0 N +b0 V +06 +b1111000110011010110010100111111 2 +b1111000110011010110010100111111 I +b1111000110011010110010100111111 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 & +b0 C +0( +b1111000110011010110010100111111 , +b1111000110011010110010100111111 H +b10100 + +b10100 G +1- +1. +0% +#2740000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1>" +1@" +06" +07" +b1011 3" +1^ +0_ +1<" +1` +b11111 -" +0t +0u +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011111110111000010010111000101 0 +b11011111110111000010010111000101 M +b11011111110111000010010111000101 f +b11011111110111000010010111000101 !" +b1111000110011010110010100111111 &" +b1111000110011010110010100111111 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2740010 +b1111000110011010110010100111111 W" +#2740500 +b101101101111110101111000000110 2 +b101101101111110101111000000110 I +b101101101111110101111000000110 Z +b1100 3 +b1100 J +b1100 Y +b101101101111110101111000000110 , +b101101101111110101111000000110 H +b1100 + +b1100 G +1. +0% +#2741000 +1_ +0^ +1@" +1=" +b10011 3" +0<" +0` +1d +b101101101111110101111000000110 &" +b101101101111110101111000000110 ?" +b1100 %" +b1100 *" +b1100 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2741010 +b101101101111110101111000000110 O" +#2741500 +b10000 5 +b10000 L +b10000 W +14 +b10010101011100010101111010011010 2 +b10010101011100010101111010011010 I +b10010101011100010101111010011010 Z +b1101 3 +b1101 J +b1101 Y +b10000 ' +b10000 D +1) +b10010101011100010101111010011010 , +b10010101011100010101111010011010 H +b1101 + +b1101 G +1. +0% +#2742000 +b1011011110010000001000100111100 { +b1011011110010000001000100111100 A" +0[ +1;" +b10010 3" +b1111 0" +0k +18" +1l +1a +1/" +b10010101011100010101111010011010 &" +b10010101011100010101111010011010 ?" +b1101 %" +b1101 *" +b1101 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#2742010 +b10010101011100010101111010011010 P" +#2742500 +b11100 5 +b11100 L +b11100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2743000 +1] +0i +b11001101001001000010100010100100 { +b11001101001001000010100010100100 A" +1[ +0=" +0>" +0@" +19" +1:" +b11111 3" +0d +0_ +b11 0" +0j +0o +1p +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +b1011011110010000001000100111100 / +b1011011110010000001000100111100 K +b1011011110010000001000100111100 e +b1011011110010000001000100111100 "" +0. +1% +#2743500 +b0 5 +b0 L +b0 W +04 +b11111111100111110101001110101 2 +b11111111100111110101001110101 I +b11111111100111110101001110101 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b11111111100111110101001110101 , +b11111111100111110101001110101 H +b11101 + +b11101 G +1- +1. +0% +#2744000 +0] +1i +0[ +1=" +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +08" +0l +1a +12" +0/" +b11001101001001000010100010100100 / +b11001101001001000010100010100100 K +b11001101001001000010100010100100 e +b11001101001001000010100010100100 "" +b11111111100111110101001110101 &" +b11111111100111110101001110101 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2744010 +b11111111100111110101001110101 `" +#2744500 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2745000 +1] +b111000111010000001101000001111 | +b111000111010000001101000001111 B" +1[ +0=" +0>" +0@" +0r +0q +17" +b11111 3" +0d +0<" +0` +b11100 -" +1u +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2745500 +b1110 7 +b1110 N +b1110 V +b1110 & +b1110 C +1. +0% +#2746000 +0s +1q +15" +16" +b10001 -" +0t +0y +1z +b110000110100011001101000110111 | +b110000110100011001101000110111 B" +0w +b111000111010000001101000001111 0 +b111000111010000001101000001111 M +b111000111010000001101000001111 f +b111000111010000001101000001111 !" +b1110 } +b1110 (" +b1110 +" +0. +1% +#2746500 +b10000 5 +b10000 L +b10000 W +14 +b10 7 +b10 N +b10 V +b11001010010010100100100011111110 2 +b11001010010010100100100011111110 I +b11001010010010100100100011111110 Z +b10110 3 +b10110 J +b10110 Y +11 +b10000 ' +b10000 D +1) +b10 & +b10 C +b11001010010010100100100011111110 , +b11001010010010100100100011111110 H +b10110 + +b10110 G +1- +1. +0% +#2747000 +0] +b1011011110010000001000100111100 { +b1011011110010000001000100111100 A" +1s +b10000001110000101001100000001011 | +b10000001110000101001100000001011 B" +0\ +1>" +1@" +1;" +05" +06" +b1001 3" +1^ +0_ +1<" +1` +b1111 0" +0k +18" +1l +b11101 -" +0z +1b +12" +1/" +b11001010010010100100100011111110 &" +b11001010010010100100100011111110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b10 } +b10 (" +b10 +" +b110000110100011001101000110111 0 +b110000110100011001101000110111 M +b110000110100011001101000110111 f +b110000110100011001101000110111 !" +0. +1% +#2747010 +b11001010010010100100100011111110 Y" +#2747500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +b1001101011100010101111100100110 2 +b1001101011100010101111100100110 I +b1001101011100010101111100100110 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +b10000 & +b10000 C +b1001101011100010101111100100110 , +b1001101011100010101111100100110 H +b1111 + +b1111 G +1. +0% +#2748000 +1_ +0^ +0u +0[ +1@" +1=" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +b10000 3" +0<" +0` +1d +b11111 0" +08" +0l +b1111 -" +14" +1v +b1011011110010000001000100111100 | +b1011011110010000001000100111100 B" +1a +0/" +0x +b1011011110010000001000100111100 / +b1011011110010000001000100111100 K +b1011011110010000001000100111100 e +b1011011110010000001000100111100 "" +b10000001110000101001100000001011 0 +b10000001110000101001100000001011 M +b10000001110000101001100000001011 f +b10000001110000101001100000001011 !" +b1001101011100010101111100100110 &" +b1001101011100010101111100100110 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +0. +1% +#2748010 +b1001101011100010101111100100110 R" +#2748500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2749000 +1] +1\ +1[ +0=" +0>" +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b11111 -" +04" +0v +0b +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011011110010000001000100111100 0 +b1011011110010000001000100111100 M +b1011011110010000001000100111100 f +b1011011110010000001000100111100 !" +0. +1% +#2749500 +b10110011111100000000100000111100 2 +b10110011111100000000100000111100 I +b10110011111100000000100000111100 Z +b1000 3 +b1000 J +b1000 Y +11 +b10110011111100000000100000111100 , +b10110011111100000000100000111100 H +b1000 + +b1000 G +1- +1. +0% +#2750000 +0] +1=" +1@" +b10111 3" +1c +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110011111100000000100000111100 &" +b10110011111100000000100000111100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +0. +1% +#2750010 +b10110011111100000000100000111100 K" +#2750500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2751000 +1] +0=" +0@" +b11111 3" +0c +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2751500 +b10010 7 +b10010 N +b10010 V +16 +b10010 & +b10010 C +1( +1. +0% +#2752000 +b10000110011000111000100010000010 | +b10000110011000111000100010000010 B" +0r +17" +b1101 -" +0u +14" +1v +1x +1," +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#2752500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +1. +0% +#2753000 +0i +b10010101011100010101111010011010 { +b10010101011100010101111010011010 A" +0g +19" +1:" +1;" +1r +07" +b10010 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0," +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10000110011000111000100010000010 0 +b10000110011000111000100010000010 M +b10000110011000111000100010000010 f +b10000110011000111000100010000010 !" +0. +1% +#2753500 +b0 5 +b0 L +b0 W +04 +b110000001001000111011100101010 2 +b110000001001000111011100101010 I +b110000001001000111011100101010 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b110000001001000111011100101010 , +b110000001001000111011100101010 H +b11000 + +b11000 G +1- +1. +0% +#2754000 +0] +1i +1=" +1@" +1g +09" +0:" +0;" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b10010101011100010101111010011010 / +b10010101011100010101111010011010 K +b10010101011100010101111010011010 e +b10010101011100010101111010011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110000001001000111011100101010 &" +b110000001001000111011100101010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2754010 +b110000001001000111011100101010 [" +#2754500 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2755000 +1] +b111000111010000001101000001111 | +b111000111010000001101000001111 B" +0=" +0@" +0r +0q +17" +b11111 3" +0c +0<" +0` +b11100 -" +1u +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2755500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2756000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b111000111010000001101000001111 0 +b111000111010000001101000001111 M +b111000111010000001101000001111 f +b111000111010000001101000001111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2756500 +b10110 5 +b10110 L +b10110 W +14 +b11010001010001010111001101010100 2 +b11010001010001010111001101010100 I +b11010001010001010111001101010100 Z +b11001 3 +b11001 J +b11001 Y +11 +b10110 ' +b10110 D +1) +b11010001010001010111001101010100 , +b11010001010001010111001101010100 H +b11001 + +b11001 G +1- +1. +0% +#2757000 +0] +0i +b11001010010010100100100011111110 { +b11001010010010100100100011111110 A" +0[ +1=" +1@" +0h +1:" +1;" +b110 3" +1c +0_ +1<" +1` +b1001 0" +1j +0k +18" +1l +1a +12" +1n +1/" +b11010001010001010111001101010100 &" +b11010001010001010111001101010100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2757010 +b11010001010001010111001101010100 \" +#2757500 +b100 5 +b100 L +b100 W +b10110 7 +b10110 N +b10110 V +16 +b10100111001001111010000101011110 2 +b10100111001001111010000101011110 I +b10100111001001111010000101011110 Z +b1011 3 +b1011 J +b1011 Y +b100 ' +b100 D +b10110 & +b10110 C +1( +b10100111001001111010000101011110 , +b10100111001001111010000101011110 H +b1011 + +b1011 G +1. +0% +#2758000 +1_ +1k +0s +b11001010010010100100100011111110 | +b11001010010010100100100011111110 B" +0\ +1@" +1h +1;" +0r +16" +17" +b10100 3" +0<" +0` +b11011 0" +08" +0l +b11011111110111000010010111000101 { +b11011111110111000010010111000101 A" +b1001 -" +1t +0u +14" +1v +1b +0n +1x +1," +b11001010010010100100100011111110 / +b11001010010010100100100011111110 K +b11001010010010100100100011111110 e +b11001010010010100100100011111110 "" +b10100111001001111010000101011110 &" +b10100111001001111010000101011110 ?" +b1011 %" +b1011 *" +b1011 1" +b100 ~ +b100 )" +b100 ." +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#2758010 +b10100111001001111010000101011110 N" +#2758500 +b1110 5 +b1110 L +b1110 W +b1 7 +b1 N +b1 V +b10000001001100111110011000010001 2 +b10000001001100111110011000010001 I +b10000001001100111110011000010001 Z +b11010 3 +b11010 J +b11010 Y +b1110 ' +b1110 D +b1 & +b1 C +b10000001001100111110011000010001 , +b10000001001100111110011000010001 H +b11010 + +b11010 G +1. +0% +#2759000 +0_ +0j +1u +1s +1[ +0h +19" +1r +0q +17" +06" +b101 3" +1<" +1` +b10001 0" +1p +b110000110100011001101000110111 { +b110000110100011001101000110111 A" +b11110 -" +04" +0v +0t +b100011000011111100110000011000 | +b100011000011111100110000011000 B" +0a +1n +0x +1w +b10000001001100111110011000010001 &" +b10000001001100111110011000010001 ?" +b11010 %" +b11010 *" +b11010 1" +b1110 ~ +b1110 )" +b1110 ." +b1 } +b1 (" +b1 +" +b11011111110111000010010111000101 / +b11011111110111000010010111000101 K +b11011111110111000010010111000101 e +b11011111110111000010010111000101 "" +b11001010010010100100100011111110 0 +b11001010010010100100100011111110 M +b11001010010010100100100011111110 f +b11001010010010100100100011111110 !" +0. +1% +#2759010 +b10000001001100111110011000010001 ]" +#2759500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2760000 +1] +1i +0s +1\ +0=" +0@" +1h +09" +0:" +0;" +1q +15" +16" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10011 -" +0t +0y +1z +b101101101111110101111000000110 | +b101101101111110101111000000110 B" +0b +02" +0n +0/" +0w +b110000110100011001101000110111 / +b110000110100011001101000110111 K +b110000110100011001101000110111 e +b110000110100011001101000110111 "" +b100011000011111100110000011000 0 +b100011000011111100110000011000 M +b100011000011111100110000011000 f +b100011000011111100110000011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#2760500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2761000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +06" +07" +b11111 -" +0z +0u +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101101101111110101111000000110 0 +b101101101111110101111000000110 M +b101101101111110101111000000110 f +b101101101111110101111000000110 !" +0. +1% +#2761500 +b10111 7 +b10111 N +b10111 V +16 +b10111 & +b10111 C +1( +1. +0% +#2762000 +0s +b1010110011111011110000001100101 | +b1010110011111011110000001100101 B" +0r +0q +16" +17" +b1000 -" +1t +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#2762500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2763000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1010110011111011110000001100101 0 +b1010110011111011110000001100101 M +b1010110011111011110000001100101 f +b1010110011111011110000001100101 !" +0. +1% +#2763500 +b11000110101010000100001010111011 2 +b11000110101010000100001010111011 I +b11000110101010000100001010111011 Z +b11011 3 +b11011 J +b11011 Y +11 +b11000110101010000100001010111011 , +b11000110101010000100001010111011 H +b11011 + +b11011 G +1- +1. +0% +#2764000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000110101010000100001010111011 &" +b11000110101010000100001010111011 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0. +1% +#2764010 +b11000110101010000100001010111011 ^" +#2764500 +b11110110100010110011011000011001 2 +b11110110100010110011011000011001 I +b11110110100010110011011000011001 Z +b1 3 +b1 J +b1 Y +b11110110100010110011011000011001 , +b11110110100010110011011000011001 H +b1 + +b1 G +1. +0% +#2765000 +1_ +1] +1\ +1@" +0=" +b11110 3" +0<" +0` +0c +0b +b11110110100010110011011000011001 &" +b11110110100010110011011000011001 ?" +b1 %" +b1 *" +b1 1" +0. +1% +#2765010 +b11110110100010110011011000011001 D" +#2765500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2766000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2766500 +b11111 7 +b11111 N +b11111 V +16 +b11111 & +b11111 C +1( +1. +0% +#2767000 +0s +b10100110111000101100000010101111 | +b10100110111000101100000010101111 B" +0r +0q +15" +16" +17" +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#2767500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2768000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b10100110111000101100000010101111 0 +b10100110111000101100000010101111 M +b10100110111000101100000010101111 f +b10100110111000101100000010101111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2768500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#2769000 +0i +b11111111100111110101001110101 { +b11111111100111110101001110101 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2769500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +1. +0% +#2770000 +1i +0s +b110000110100011001101000110111 | +b110000110100011001101000110111 B" +1g +09" +0:" +0;" +0r +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10001 -" +0t +0y +1z +1u +0m +0/" +1x +1," +b11111111100111110101001110101 / +b11111111100111110101001110101 K +b11111111100111110101001110101 e +b11111111100111110101001110101 "" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#2770500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#2771000 +0i +b11011111110111000010010111000101 { +b11011111110111000010010111000101 A" +1s +1:" +1;" +1r +05" +06" +07" +b11011 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110000110100011001101000110111 0 +b110000110100011001101000110111 M +b110000110100011001101000110111 f +b110000110100011001101000110111 !" +0. +1% +#2771500 +b10111 5 +b10111 L +b10111 W +b11000 7 +b11000 N +b11000 V +16 +b10111 ' +b10111 D +b11000 & +b11000 C +1( +1. +0% +#2772000 +0k +0s +b110000001001000111011100101010 | +b110000001001000111011100101010 B" +0h +0g +15" +17" +b1000 0" +18" +1l +b1010110011111011110000001100101 { +b1010110011111011110000001100101 A" +b111 -" +1y +0u +14" +1v +1n +1m +1," +b11011111110111000010010111000101 / +b11011111110111000010010111000101 K +b11011111110111000010010111000101 e +b11011111110111000010010111000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111 ~ +b10111 )" +b10111 ." +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#2772500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b0 ' +b0 D +0) +b11011 & +b11011 C +1. +0% +#2773000 +1i +1h +1g +0:" +0;" +0r +0q +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +b11000110101010000100001010111011 | +b11000110101010000100001010111011 B" +0n +0m +0/" +1x +1w +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +b1010110011111011110000001100101 / +b1010110011111011110000001100101 K +b1010110011111011110000001100101 e +b1010110011111011110000001100101 "" +b110000001001000111011100101010 0 +b110000001001000111011100101010 M +b110000001001000111011100101010 f +b110000001001000111011100101010 !" +0. +1% +#2773500 +b10000 7 +b10000 N +b10000 V +b10000 & +b10000 C +1. +0% +#2774000 +1s +1r +1q +05" +b1111 -" +0y +b1011011110010000001000100111100 | +b1011011110010000001000100111100 B" +0x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000110101010000100001010111011 0 +b11000110101010000100001010111011 M +b11000110101010000100001010111011 f +b11000110101010000100001010111011 !" +b10000 } +b10000 (" +b10000 +" +0. +1% +#2774500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b111 ' +b111 D +1) +b0 & +b0 C +0( +1. +0% +#2775000 +0i +b1100010101111000001110101100101 { +b1100010101111000001110101100101 A" +0h +0g +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11000 0" +1j +1k +b11111 -" +04" +0v +1n +1m +1/" +0," +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1011011110010000001000100111100 0 +b1011011110010000001000100111100 M +b1011011110010000001000100111100 f +b1011011110010000001000100111100 !" +0. +1% +#2775500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2776000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1100010101111000001110101100101 / +b1100010101111000001110101100101 K +b1100010101111000001110101100101 e +b1100010101111000001110101100101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2776500 +b11 7 +b11 N +b11 V +16 +b1001000101101001100011110110000 2 +b1001000101101001100011110110000 I +b1001000101101001100011110110000 Z +b101 3 +b101 J +b101 Y +11 +b11 & +b11 C +1( +b1001000101101001100011110110000 , +b1001000101101001100011110110000 H +b101 + +b101 G +1- +1. +0% +#2777000 +0] +b111000111010000001101000001111 | +b111000111010000001101000001111 B" +0[ +1>" +1@" +0r +0q +17" +b11010 3" +1^ +1_ +b11100 -" +1u +1a +12" +1x +1w +1," +b1001000101101001100011110110000 &" +b1001000101101001100011110110000 ?" +b101 %" +b101 *" +b101 1" +1'" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2777010 +b1001000101101001100011110110000 H" +#2777500 +b0 7 +b0 N +b0 V +06 +b10001101111000100100011100001000 2 +b10001101111000100100011100001000 I +b10001101111000100100011100001000 Z +b10111 3 +b10111 J +b10111 Y +b0 & +b0 C +0( +b10001101111000100100011100001000 , +b10001101111000100100011100001000 H +b10111 + +b10111 G +1. +0% +#2778000 +0_ +0\ +1r +1q +07" +b1000 3" +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0x +0w +0," +b111000111010000001101000001111 0 +b111000111010000001101000001111 M +b111000111010000001101000001111 f +b111000111010000001101000001111 !" +b10001101111000100100011100001000 &" +b10001101111000100100011100001000 ?" +b10111 %" +b10111 *" +b10111 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2778010 +b10001101111000100100011100001000 Z" +#2778500 +b1111 5 +b1111 L +b1111 W +14 +b1111111011100111010001111110010 2 +b1111111011100111010001111110010 I +b1111111011100111010001111110010 Z +b1010 3 +b1010 J +b1010 Y +b1111 ' +b1111 D +1) +b1111111011100111010001111110010 , +b1111111011100111010001111110010 H +b1010 + +b1010 G +1. +0% +#2779000 +1=" +1_ +1c +0i +b1001101011100010101111100100110 { +b1001101011100010101111100100110 A" +1[ +1@" +0>" +0h +0g +19" +1:" +1;" +b10101 3" +0<" +0` +0^ +b10000 0" +0j +0o +1p +1k +0a +1n +1m +1/" +b1111111011100111010001111110010 &" +b1111111011100111010001111110010 ?" +b1010 %" +b1010 *" +b1010 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2779010 +b1111111011100111010001111110010 M" +#2779500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2780000 +1] +1i +1\ +0=" +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b1001101011100010101111100100110 / +b1001101011100010101111100100110 K +b1001101011100010101111100100110 e +b1001101011100010101111100100110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2780500 +1. +0% +#2781000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2781500 +b1011 7 +b1011 N +b1011 V +16 +b10010101100100110101110100101011 2 +b10010101100100110101110100101011 I +b10010101100100110101110100101011 Z +b11111 3 +b11111 J +b11111 Y +11 +b1011 & +b1011 C +1( +b10010101100100110101110100101011 , +b10010101100100110101110100101011 H +b11111 + +b11111 G +1- +1. +0% +#2782000 +0] +0s +b10100111001001111010000101011110 | +b10100111001001111010000101011110 B" +0\ +0[ +1=" +1>" +1@" +0r +0q +15" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10100 -" +1y +1u +1b +1a +12" +1x +1w +1," +b10010101100100110101110100101011 &" +b10010101100100110101110100101011 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#2782500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2783000 +1] +b10000001110000101001100000001011 { +b10000001110000101001100000001011 A" +1s +1\ +1[ +0=" +0>" +0@" +0h +1;" +1r +1q +05" +07" +b11111 3" +0d +0<" +0` +b11101 0" +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1n +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10100111001001111010000101011110 0 +b10100111001001111010000101011110 M +b10100111001001111010000101011110 f +b10100111001001111010000101011110 !" +0. +1% +#2783500 +b11001 5 +b11001 L +b11001 W +b11101011000010011111001010001000 2 +b11101011000010011111001010001000 I +b11101011000010011111001010001000 Z +b11000 3 +b11000 J +b11000 Y +11 +b11001 ' +b11001 D +b11101011000010011111001010001000 , +b11101011000010011111001010001000 H +b11000 + +b11000 G +1- +1. +0% +#2784000 +0] +0k +0i +1=" +1@" +1h +0g +19" +b111 3" +1c +0_ +1<" +1` +b110 0" +18" +1l +1o +b11010001010001010111001101010100 { +b11010001010001010111001101010100 A" +12" +0n +1m +b10000001110000101001100000001011 / +b10000001110000101001100000001011 K +b10000001110000101001100000001011 e +b10000001110000101001100000001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101011000010011111001010001000 &" +b11101011000010011111001010001000 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +0. +1% +#2784010 +b11101011000010011111001010001000 [" +#2784500 +b10100 5 +b10100 L +b10100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2785000 +1:" +1] +1j +0=" +0@" +1g +09" +b11111 3" +0c +0<" +0` +b1011 0" +0o +b1111000110011010110010100111111 { +b1111000110011010110010100111111 A" +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +b11010001010001010111001101010100 / +b11010001010001010111001101010100 K +b11010001010001010111001101010100 e +b11010001010001010111001101010100 "" +0. +1% +#2785500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b11010011110110010101010110011 2 +b11010011110110010101010110011 I +b11010011110110010101010110011 Z +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b11010011110110010101010110011 , +b11010011110110010101010110011 H +1- +1. +0% +#2786000 +1i +0s +b1001101011100010101111100100110 | +b1001101011100010101111100100110 B" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +16" +17" +1_ +b11111 0" +0j +08" +0l +b10000 -" +0t +0y +1z +1u +12" +0/" +1x +1w +1," +b1111000110011010110010100111111 / +b1111000110011010110010100111111 K +b1111000110011010110010100111111 e +b1111000110011010110010100111111 "" +b11010011110110010101010110011 &" +b11010011110110010101010110011 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#2786010 +b11010011110110010101010110011 C" +#2786500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#2787000 +1s +0@" +1r +1q +05" +06" +07" +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0w +0," +b0 &" +b0 ?" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001101011100010101111100100110 0 +b1001101011100010101111100100110 M +b1001101011100010101111100100110 f +b1001101011100010101111100100110 !" +0. +1% +#2787500 +b1101100111101110010000100001100 2 +b1101100111101110010000100001100 I +b1101100111101110010000100001100 Z +b11110 3 +b11110 J +b11110 Y +11 +b1101100111101110010000100001100 , +b1101100111101110010000100001100 H +b11110 + +b11110 G +1- +1. +0% +#2788000 +0] +0\ +1=" +1>" +1@" +b1 3" +0^ +0c +1d +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101100111101110010000100001100 &" +b1101100111101110010000100001100 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +0. +1% +#2788010 +b1101100111101110010000100001100 a" +#2788500 +b1001 5 +b1001 L +b1001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2789000 +1] +0i +b11101101100110001000100001110110 { +b11101101100110001000100001110110 A" +1\ +0=" +0>" +0@" +0g +19" +1;" +b11111 3" +0d +0<" +0` +b10110 0" +1o +1k +0b +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#2789500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b110011111010111011110101011111 2 +b110011111010111011110101011111 I +b110011111010111011110101011111 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b110011111010111011110101011111 , +b110011111010111011110101011111 H +b11100 + +b11100 G +1- +1. +0% +#2790000 +0] +1i +b1011011110010000001000100111100 | +b1011011110010000001000100111100 B" +1=" +1>" +1@" +1g +09" +0;" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +0u +14" +1v +12" +0m +0/" +1," +b11101101100110001000100001110110 / +b11101101100110001000100001110110 K +b11101101100110001000100001110110 e +b11101101100110001000100001110110 "" +b110011111010111011110101011111 &" +b110011111010111011110101011111 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#2790010 +b110011111010111011110101011111 _" +#2790500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2791000 +1] +0i +b10001101111000100100011100001000 { +b10001101111000100100011100001000 A" +0=" +0>" +0@" +0h +0g +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0<" +0` +b1000 0" +1j +0k +18" +1l +b11111 -" +04" +0v +02" +1n +1m +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011011110010000001000100111100 0 +b1011011110010000001000100111100 M +b1011011110010000001000100111100 f +b1011011110010000001000100111100 !" +0. +1% +#2791500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +1. +0% +#2792000 +1i +0s +b11000110101010000100001010111011 | +b11000110101010000100001010111011 B" +1h +1g +0:" +0;" +0r +0q +15" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +1y +0u +14" +1v +0n +0m +0/" +1x +1w +1," +b10001101111000100100011100001000 / +b10001101111000100100011100001000 K +b10001101111000100100011100001000 e +b10001101111000100100011100001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#2792500 +b0 7 +b0 N +b0 V +06 +b11001101101001011011100101000110 2 +b11001101101001011011100101000110 I +b11001101101001011011100101000110 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 & +b0 C +0( +b11001101101001011011100101000110 , +b11001101101001011011100101000110 H +b11010 + +b11010 G +1- +1. +0% +#2793000 +0] +1s +0\ +1=" +1@" +1r +1q +05" +07" +b101 3" +1c +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0x +0w +0," +b11001101101001011011100101000110 &" +b11001101101001011011100101000110 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000110101010000100001010111011 0 +b11000110101010000100001010111011 M +b11000110101010000100001010111011 f +b11000110101010000100001010111011 !" +0. +1% +#2793010 +b11001101101001011011100101000110 ]" +#2793500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2794000 +1] +1\ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2794500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#2795000 +0i +b110000110100011001101000110111 { +b110000110100011001101000110111 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#2795500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2796000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b110000110100011001101000110111 / +b110000110100011001101000110111 K +b110000110100011001101000110111 e +b110000110100011001101000110111 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2796500 +b10001111010010000100111010101010 2 +b10001111010010000100111010101010 I +b10001111010010000100111010101010 Z +b1101 3 +b1101 J +b1101 Y +11 +b10001111010010000100111010101010 , +b10001111010010000100111010101010 H +b1101 + +b1101 G +1- +1. +0% +#2797000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b10001111010010000100111010101010 &" +b10001111010010000100111010101010 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2797010 +b10001111010010000100111010101010 P" +#2797500 +b10100 5 +b10100 L +b10100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2798000 +1] +0i +b1111000110011010110010100111111 { +b1111000110011010110010100111111 A" +1[ +0=" +0>" +0@" +1:" +1;" +b11111 3" +0d +0_ +b1011 0" +1j +0k +18" +1l +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#2798500 +b10000 5 +b10000 L +b10000 W +b10000 ' +b10000 D +1. +0% +#2799000 +1i +b1011011110010000001000100111100 { +b1011011110010000001000100111100 A" +0:" +b1111 0" +0j +b10000 ~ +b10000 )" +b10000 ." +b1111000110011010110010100111111 / +b1111000110011010110010100111111 K +b1111000110011010110010100111111 e +b1111000110011010110010100111111 "" +0. +1% +#2799500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2800000 +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +08" +0l +0/" +b1011011110010000001000100111100 / +b1011011110010000001000100111100 K +b1011011110010000001000100111100 e +b1011011110010000001000100111100 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2800500 +b10 5 +b10 L +b10 W +14 +b10 ' +b10 D +1) +1. +0% +#2801000 +b10000001110000101001100000001011 { +b10000001110000101001100000001011 A" +0h +1;" +b11101 0" +1k +1n +1/" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2801500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2802000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b10000001110000101001100000001011 / +b10000001110000101001100000001011 K +b10000001110000101001100000001011 e +b10000001110000101001100000001011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2802500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#2803000 +0i +b1100010101111000001110101100101 { +b1100010101111000001110101100101 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b111 ~ +b111 )" +b111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2803500 +b1101 5 +b1101 L +b1101 W +b11011 7 +b11011 N +b11011 V +16 +b10101011110001100110110101011101 2 +b10101011110001100110110101011101 I +b10101011110001100110110101011101 Z +b10011 3 +b10011 J +b10011 Y +11 +b1101 ' +b1101 D +b11011 & +b11011 C +1( +b10101011110001100110110101011101 , +b10101011110001100110110101011101 H +b10011 + +b10011 G +1- +1. +0% +#2804000 +0j +0s +b11000110101010000100001010111011 | +b11000110101010000100001010111011 B" +0\ +0[ +1@" +1h +19" +0r +0q +15" +17" +b1100 3" +0_ +1<" +1` +b10010 0" +1p +b10001111010010000100111010101010 { +b10001111010010000100111010101010 A" +b100 -" +1y +0u +14" +1v +1b +1a +12" +0n +1x +1w +1," +b1100010101111000001110101100101 / +b1100010101111000001110101100101 K +b1100010101111000001110101100101 e +b1100010101111000001110101100101 "" +b10101011110001100110110101011101 &" +b10101011110001100110110101011101 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#2804010 +b10101011110001100110110101011101 V" +#2804500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1000 & +b1000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2805000 +1i +1u +1\ +1[ +0@" +1g +09" +0:" +0;" +1r +1q +17" +b11111 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +04" +0v +b10110011111100000000100000111100 | +b10110011111100000000100000111100 B" +0b +0a +02" +0m +0/" +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +b10001111010010000100111010101010 / +b10001111010010000100111010101010 K +b10001111010010000100111010101010 e +b10001111010010000100111010101010 "" +b11000110101010000100001010111011 0 +b11000110101010000100001010111011 M +b11000110101010000100001010111011 f +b11000110101010000100001010111011 !" +0. +1% +#2805500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#2806000 +0u +0y +0q +16" +b10 -" +14" +1v +1z +b11111111100111110101001110101 | +b11111111100111110101001110101 B" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110011111100000000100000111100 0 +b10110011111100000000100000111100 M +b10110011111100000000100000111100 f +b10110011111100000000100000111100 !" +b11101 } +b11101 (" +b11101 +" +0. +1% +#2806500 +b1010 7 +b1010 N +b1010 V +b10001100010011000010000011100111 2 +b10001100010011000010000011100111 I +b10001100010011000010000011100111 Z +11 +b1010 & +b1010 C +b10001100010011000010000011100111 , +b10001100010011000010000011100111 H +1- +1. +0% +#2807000 +1u +1y +1@" +0r +1q +17" +15" +06" +1_ +b10101 -" +04" +0v +0z +b1111111011100111010001111110010 | +b1111111011100111010001111110010 B" +12" +1x +0w +b10001100010011000010000011100111 &" +b10001100010011000010000011100111 ?" +1'" +b1010 } +b1010 (" +b1010 +" +b11111111100111110101001110101 0 +b11111111100111110101001110101 M +b11111111100111110101001110101 f +b11111111100111110101001110101 !" +0. +1% +#2807010 +b10001100010011000010000011100111 C" +#2807500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +01 +b10100 ' +b10100 D +1) +b0 & +b0 C +b0 , +b0 H +0- +1. +0% +#2808000 +0i +b1111000110011010110010100111111 { +b1111000110011010110010100111111 A" +1s +0@" +1:" +1;" +1r +05" +0_ +b1011 0" +1j +0k +18" +1l +b11111 -" +0y +b10001100010011000010000011100111 | +b10001100010011000010000011100111 B" +02" +1/" +0x +b1111111011100111010001111110010 0 +b1111111011100111010001111110010 M +b1111111011100111010001111110010 f +b1111111011100111010001111110010 !" +b0 &" +b0 ?" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0. +1% +#2808500 +06 +0( +1. +0% +#2809000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +07" +0u +0," +0#" +b1111000110011010110010100111111 / +b1111000110011010110010100111111 K +b1111000110011010110010100111111 e +b1111000110011010110010100111111 "" +b10001100010011000010000011100111 0 +b10001100010011000010000011100111 M +b10001100010011000010000011100111 f +b10001100010011000010000011100111 !" +0. +1% +#2809500 +b10011 5 +b10011 L +b10011 W +b10011 ' +b10011 D +1. +0% +#2810000 +1i +0h +0g +0:" +b1100 0" +0j +b10101011110001100110110101011101 { +b10101011110001100110110101011101 A" +1n +1m +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#2810500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b1111111010110000010001001110100 2 +b1111111010110000010001001110100 I +b1111111010110000010001001110100 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b1111111010110000010001001110100 , +b1111111010110000010001001110100 H +b10010 + +b10010 G +1- +1. +0% +#2811000 +b1011011110010000001000100111100 | +b1011011110010000001000100111100 B" +0\ +1@" +1h +1g +0;" +17" +b1101 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1111 -" +0u +14" +1v +1b +12" +0n +0m +0/" +1," +b1111111010110000010001001110100 &" +b1111111010110000010001001110100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +b10101011110001100110110101011101 / +b10101011110001100110110101011101 K +b10101011110001100110110101011101 e +b10101011110001100110110101011101 "" +0. +1% +#2811010 +b1111111010110000010001001110100 U" +#2811500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2812000 +0i +b10000011000100101011110001000000 { +b10000011000100101011110001000000 A" +1\ +0@" +0h +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11001 0" +1j +1k +b11111 -" +04" +0v +0b +02" +1n +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011011110010000001000100111100 0 +b1011011110010000001000100111100 M +b1011011110010000001000100111100 f +b1011011110010000001000100111100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2812500 +b0 5 +b0 L +b0 W +04 +b11001000010101111101110111110 2 +b11001000010101111101110111110 I +b11001000010101111101110111110 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b11001000010101111101110111110 , +b11001000010101111101110111110 H +b1111 + +b1111 G +1- +1. +0% +#2813000 +0] +1i +0\ +0[ +1=" +1>" +1@" +1h +0:" +0;" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0/" +b11001000010101111101110111110 &" +b11001000010101111101110111110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000011000100101011110001000000 / +b10000011000100101011110001000000 K +b10000011000100101011110001000000 e +b10000011000100101011110001000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2813010 +b11001000010101111101110111110 R" +#2813500 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2814000 +1] +b101100111011000000100101001011 | +b101100111011000000100101001011 B" +1\ +1[ +0=" +0>" +0@" +0q +17" +b11111 3" +0d +0_ +b1110 -" +0u +14" +1v +0b +0a +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#2814500 +b0 7 +b0 N +b0 V +06 +b11110101011010011101011010101100 2 +b11110101011010011101011010101100 I +b11110101011010011101011010101100 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b11110101011010011101011010101100 , +b11110101011010011101011010101100 H +b111 + +b111 G +1- +1. +0% +#2815000 +0] +0\ +0[ +1>" +1@" +1q +07" +b11000 3" +1^ +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b11110101011010011101011010101100 &" +b11110101011010011101011010101100 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b101100111011000000100101001011 0 +b101100111011000000100101001011 M +b101100111011000000100101001011 f +b101100111011000000100101001011 !" +0. +1% +#2815010 +b11110101011010011101011010101100 J" +#2815500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2816000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2816500 +b111 5 +b111 L +b111 W +14 +b11001 7 +b11001 N +b11001 V +16 +b1111101010100001110011100101101 2 +b1111101010100001110011100101101 I +b1111101010100001110011100101101 Z +b1100 3 +b1100 J +b1100 Y +11 +b111 ' +b111 D +1) +b11001 & +b11001 C +1( +b1111101010100001110011100101101 , +b1111101010100001110011100101101 H +b1100 + +b1100 G +1- +1. +0% +#2817000 +0] +0i +b11110101011010011101011010101100 { +b11110101011010011101011010101100 A" +0s +b11010001010001010111001101010100 | +b11010001010001010111001101010100 B" +1=" +1>" +1@" +0h +0g +1:" +1;" +0q +15" +17" +b10011 3" +0^ +0c +1d +1_ +b11000 0" +1j +1k +b110 -" +1y +0u +14" +1v +12" +1n +1m +1/" +1w +1," +b1111101010100001110011100101101 &" +b1111101010100001110011100101101 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#2817010 +b1111101010100001110011100101101 O" +#2817500 +b10011 5 +b10011 L +b10011 W +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2818000 +16" +1] +0k +1i +1t +0=" +0>" +0@" +b10101011110001100110110101011101 { +b10101011110001100110110101011101 A" +0:" +0r +1q +05" +b11111 3" +0d +0_ +b1100 0" +18" +1l +0j +b1001 -" +0y +b11001010010010100100100011111110 | +b11001010010010100100100011111110 B" +02" +1x +0w +b11110101011010011101011010101100 / +b11110101011010011101011010101100 K +b11110101011010011101011010101100 e +b11110101011010011101011010101100 "" +b11010001010001010111001101010100 0 +b11010001010001010111001101010100 M +b11010001010001010111001101010100 f +b11010001010001010111001101010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +b10110 } +b10110 (" +b10110 +" +0. +1% +#2818500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2819000 +1s +1h +1g +0;" +1r +06" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10101011110001100110110101011101 / +b10101011110001100110110101011101 K +b10101011110001100110110101011101 e +b10101011110001100110110101011101 "" +b11001010010010100100100011111110 0 +b11001010010010100100100011111110 M +b11001010010010100100100011111110 f +b11001010010010100100100011111110 !" +0. +1% +#2819500 +b1000 5 +b1000 L +b1000 W +14 +b1010 7 +b1010 N +b1010 V +16 +b10001111110101101101011110101001 2 +b10001111110101101101011110101001 I +b10001111110101101101011110101001 Z +b11101 3 +b11101 J +b11101 Y +11 +b1000 ' +b1000 D +1) +b1010 & +b1010 C +1( +b10001111110101101101011110101001 , +b10001111110101101101011110101001 H +b11101 + +b11101 G +1- +1. +0% +#2820000 +0] +0i +b10110011111100000000100000111100 { +b10110011111100000000100000111100 A" +0s +b1111111011100111010001111110010 | +b1111111011100111010001111110010 B" +0[ +1=" +1>" +1@" +19" +1;" +0r +15" +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b10111 0" +1o +1k +b10101 -" +1y +1u +1a +12" +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001111110101101101011110101001 &" +b10001111110101101101011110101001 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#2820010 +b10001111110101101101011110101001 `" +#2820500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b10001010000110100111001110101101 2 +b10001010000110100111001110101101 I +b10001010000110100111001110101101 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +b1110 & +b1110 C +b10001010000110100111001110101101 , +b10001010000110100111001110101101 H +b101 + +b101 G +1. +0% +#2821000 +1_ +1^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0y +b110000110100011001101000110111 | +b110000110100011001101000110111 B" +1@" +0=" +1>" +09" +0;" +16" +b11010 3" +0<" +0` +0d +b11111 0" +0o +0k +b10001 -" +1z +0/" +b10001010000110100111001110101101 &" +b10001010000110100111001110101101 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +b10110011111100000000100000111100 / +b10110011111100000000100000111100 K +b10110011111100000000100000111100 e +b10110011111100000000100000111100 "" +b1111111011100111010001111110010 0 +b1111111011100111010001111110010 M +b1111111011100111010001111110010 f +b1111111011100111010001111110010 !" +0. +1% +#2821010 +b10001010000110100111001110101101 H" +#2821500 +b0 7 +b0 N +b0 V +b11011110000111011000101111111100 2 +b11011110000111011000101111111100 I +b11011110000111011000101111111100 Z +b11111 3 +b11111 J +b11111 Y +b0 & +b0 C +b11011110000111011000101111111100 , +b11011110000111011000101111111100 H +b11111 + +b11111 G +1. +0% +#2822000 +0_ +0^ +1s +0\ +1=" +1r +05" +06" +b0 3" +1<" +1` +1d +b11111 -" +0z +b10001100010011000010000011100111 | +b10001100010011000010000011100111 B" +1b +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110000110100011001101000110111 0 +b110000110100011001101000110111 M +b110000110100011001101000110111 f +b110000110100011001101000110111 !" +b11011110000111011000101111111100 &" +b11011110000111011000101111111100 ?" +b11111 %" +b11111 *" +b11111 1" +b0 } +b0 (" +b0 +" +0. +1% +#2822500 +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2823000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0=" +0>" +0@" +07" +b11111 3" +0d +0<" +0` +0u +0b +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0#" +b10001100010011000010000011100111 0 +b10001100010011000010000011100111 M +b10001100010011000010000011100111 f +b10001100010011000010000011100111 !" +0. +1% +#2823500 +b1010 5 +b1010 L +b1010 W +14 +b11 7 +b11 N +b11 V +16 +b1010 ' +b1010 D +1) +b11 & +b11 C +1( +1. +0% +#2824000 +0i +b1111111011100111010001111110010 { +b1111111011100111010001111110010 A" +b111000111010000001101000001111 | +b111000111010000001101000001111 B" +0h +19" +1;" +0r +0q +17" +b10101 0" +1o +1k +b11100 -" +1u +1n +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010 ~ +b1010 )" +b1010 ." +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#2824500 +b10000 5 +b10000 L +b10000 W +b0 7 +b0 N +b0 V +06 +b11000110000001010101111111100011 2 +b11000110000001010101111111100011 I +b11000110000001010101111111100011 Z +b1 3 +b1 J +b1 Y +11 +b10000 ' +b10000 D +b0 & +b0 C +0( +b11000110000001010101111111100011 , +b11000110000001010101111111100011 H +b1 + +b1 G +1- +1. +0% +#2825000 +0k +1i +0[ +1@" +1h +09" +1r +1q +07" +b11110 3" +1_ +b1111 0" +18" +1l +0o +b1011011110010000001000100111100 { +b1011011110010000001000100111100 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0n +0x +0w +0," +b11000110000001010101111111100011 &" +b11000110000001010101111111100011 ?" +b1 %" +b1 *" +b1 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +b0 } +b0 (" +b0 +" +0#" +b1111111011100111010001111110010 / +b1111111011100111010001111110010 K +b1111111011100111010001111110010 e +b1111111011100111010001111110010 "" +b111000111010000001101000001111 0 +b111000111010000001101000001111 M +b111000111010000001101000001111 f +b111000111010000001101000001111 !" +0. +1% +#2825010 +b11000110000001010101111111100011 D" +#2825500 +b0 5 +b0 L +b0 W +04 +b10101100000101000011101001001001 2 +b10101100000101000011101001001001 I +b10101100000101000011101001001001 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b10101100000101000011101001001001 , +b10101100000101000011101001001001 H +b111 + +b111 G +1. +0% +#2826000 +0] +0\ +1>" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11000 3" +1^ +b11111 0" +08" +0l +1b +0/" +b1011011110010000001000100111100 / +b1011011110010000001000100111100 K +b1011011110010000001000100111100 e +b1011011110010000001000100111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101100000101000011101001001001 &" +b10101100000101000011101001001001 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2826010 +b10101100000101000011101001001001 J" +#2826500 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2827000 +1] +0s +b11011110000111011000101111111100 | +b11011110000111011000101111111100 B" +1\ +1[ +0>" +0@" +0r +0q +15" +16" +17" +b11111 3" +0^ +0_ +b0 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2827500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b10001100001000010001111111111011 2 +b10001100001000010001111111111011 I +b10001100001000010001111111111011 Z +b10010 3 +b10010 J +b10010 Y +11 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +b10001100001000010001111111111011 , +b10001100001000010001111111111011 H +b10010 + +b10010 G +1- +1. +0% +#2828000 +0i +b11010001010001010111001101010100 { +b11010001010001010111001101010100 A" +1s +0\ +1@" +0g +19" +1;" +1r +1q +05" +06" +07" +b1101 3" +0_ +1<" +1` +b110 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1m +1/" +0x +0w +0," +b11011110000111011000101111111100 0 +b11011110000111011000101111111100 M +b11011110000111011000101111111100 f +b11011110000111011000101111111100 !" +b10001100001000010001111111111011 &" +b10001100001000010001111111111011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2828010 +b10001100001000010001111111111011 U" +#2828500 +b11110 5 +b11110 L +b11110 W +b111 7 +b111 N +b111 V +16 +b110010011100011000000010110110 2 +b110010011100011000000010110110 I +b110010011100011000000010110110 Z +b11011 3 +b11011 J +b11011 Y +b11110 ' +b11110 D +b111 & +b111 C +1( +b110010011100011000000010110110 , +b110010011100011000000010110110 H +b11011 + +b11011 G +1. +0% +#2829000 +0] +0o +0s +b10101100000101000011101001001001 | +b10101100000101000011101001001001 B" +0[ +1=" +0h +1g +1:" +0r +0q +16" +17" +b100 3" +1c +b1 0" +1p +b1101100111101110010000100001100 { +b1101100111101110010000100001100 A" +b11000 -" +1t +1u +1a +1n +0m +1x +1w +1," +b110010011100011000000010110110 &" +b110010011100011000000010110110 ?" +b11011 %" +b11011 *" +b11011 1" +b11110 ~ +b11110 )" +b11110 ." +b111 } +b111 (" +b111 +" +1#" +b11010001010001010111001101010100 / +b11010001010001010111001101010100 K +b11010001010001010111001101010100 e +b11010001010001010111001101010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2829010 +b110010011100011000000010110110 ^" +#2829500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2830000 +1] +1i +1s +1\ +1[ +0=" +0@" +1h +09" +0:" +0;" +1r +1q +06" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +0/" +0x +0w +0," +b1101100111101110010000100001100 / +b1101100111101110010000100001100 K +b1101100111101110010000100001100 e +b1101100111101110010000100001100 "" +b10101100000101000011101001001001 0 +b10101100000101000011101001001001 M +b10101100000101000011101001001001 f +b10101100000101000011101001001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2830500 +b111 5 +b111 L +b111 W +14 +b1011110001011101100010010110110 2 +b1011110001011101100010010110110 I +b1011110001011101100010010110110 Z +b1101 3 +b1101 J +b1101 Y +11 +b111 ' +b111 D +1) +b1011110001011101100010010110110 , +b1011110001011101100010010110110 H +b1101 + +b1101 G +1- +1. +0% +#2831000 +0] +0i +b10101100000101000011101001001001 { +b10101100000101000011101001001001 A" +0[ +1=" +1>" +1@" +0h +0g +1:" +1;" +b10010 3" +0^ +0c +1d +1_ +b11000 0" +1j +1k +1a +12" +1n +1m +1/" +b1011110001011101100010010110110 &" +b1011110001011101100010010110110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2831010 +b1011110001011101100010010110110 P" +#2831500 +b1110 5 +b1110 L +b1110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2832000 +1] +0j +1[ +0=" +0>" +0@" +1g +19" +b11111 3" +0d +0_ +b10001 0" +1p +b110000110100011001101000110111 { +b110000110100011001101000110111 A" +0a +02" +0m +b10101100000101000011101001001001 / +b10101100000101000011101001001001 K +b10101100000101000011101001001001 e +b10101100000101000011101001001001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +0. +1% +#2832500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +1. +0% +#2833000 +1i +0s +b11001010010010100100100011111110 | +b11001010010010100100100011111110 B" +1h +09" +0:" +0;" +0r +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +0n +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +b110000110100011001101000110111 / +b110000110100011001101000110111 K +b110000110100011001101000110111 e +b110000110100011001101000110111 "" +0. +1% +#2833500 +b0 7 +b0 N +b0 V +06 +b1000000010110111010001011110100 2 +b1000000010110111010001011110100 I +b1000000010110111010001011110100 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b1000000010110111010001011110100 , +b1000000010110111010001011110100 H +b111 + +b111 G +1- +1. +0% +#2834000 +0] +1s +0\ +0[ +1>" +1@" +1r +06" +07" +b11000 3" +1^ +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001010010010100100100011111110 0 +b11001010010010100100100011111110 M +b11001010010010100100100011111110 f +b11001010010010100100100011111110 !" +b1000000010110111010001011110100 &" +b1000000010110111010001011110100 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2834010 +b1000000010110111010001011110100 J" +#2834500 +b1110 5 +b1110 L +b1110 W +14 +b10010111000011001110100111110011 2 +b10010111000011001110100111110011 I +b10010111000011001110100111110011 Z +b10001 3 +b10001 J +b10001 Y +b1110 ' +b1110 D +1) +b10010111000011001110100111110011 , +b10010111000011001110100111110011 H +b10001 + +b10001 G +1. +0% +#2835000 +0_ +1] +0i +b110000110100011001101000110111 { +b110000110100011001101000110111 A" +1\ +0>" +0h +19" +1:" +1;" +b1110 3" +1<" +1` +0^ +b10001 0" +0j +0o +1p +1k +0b +1n +1/" +b10010111000011001110100111110011 &" +b10010111000011001110100111110011 ?" +b10001 %" +b10001 *" +b10001 1" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2835010 +b10010111000011001110100111110011 T" +#2835500 +b0 5 +b0 L +b0 W +04 +b1111011111001010010111111011100 2 +b1111011111001010010111111011100 I +b1111011111001010010111111011100 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b1111011111001010010111111011100 , +b1111011111001010010111111011100 H +b1 + +b1 G +1. +0% +#2836000 +1_ +1i +1@" +1h +09" +0:" +0;" +b11110 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b110000110100011001101000110111 / +b110000110100011001101000110111 K +b110000110100011001101000110111 e +b110000110100011001101000110111 "" +b1111011111001010010111111011100 &" +b1111011111001010010111111011100 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2836010 +b1111011111001010010111111011100 D" +#2836500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2837000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2837500 +b10011 5 +b10011 L +b10011 W +14 +b11111 7 +b11111 N +b11111 V +16 +b1000110101010011110011010100011 2 +b1000110101010011110011010100011 I +b1000110101010011110011010100011 Z +b10110 3 +b10110 J +b10110 Y +11 +b10011 ' +b10011 D +1) +b11111 & +b11111 C +1( +b1000110101010011110011010100011 , +b1000110101010011110011010100011 H +b10110 + +b10110 G +1- +1. +0% +#2838000 +0] +b10101011110001100110110101011101 { +b10101011110001100110110101011101 A" +0s +b11011110000111011000101111111100 | +b11011110000111011000101111111100 B" +0\ +1>" +1@" +0h +0g +1;" +0r +0q +15" +16" +17" +b1001 3" +1^ +0_ +1<" +1` +b1100 0" +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +1b +12" +1n +1m +1/" +1x +1w +1," +b1000110101010011110011010100011 &" +b1000110101010011110011010100011 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#2838010 +b1000110101010011110011010100011 Y" +#2838500 +b11101 5 +b11101 L +b11101 W +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2839000 +1] +0i +1u +1s +1\ +0>" +0@" +1h +19" +1:" +1r +17" +05" +06" +b11111 3" +0^ +0<" +0` +b10 0" +0j +0o +1p +b10001111110101101101011110101001 { +b10001111110101101101011110101001 A" +b11110 -" +04" +0v +0z +b1111011111001010010111111011100 | +b1111011111001010010111111011100 B" +0b +02" +0n +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +b1 } +b1 (" +b1 +" +b10101011110001100110110101011101 / +b10101011110001100110110101011101 K +b10101011110001100110110101011101 e +b10101011110001100110110101011101 "" +b11011110000111011000101111111100 0 +b11011110000111011000101111111100 M +b11011110000111011000101111111100 f +b11011110000111011000101111111100 !" +0. +1% +#2839500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1101110100001101110101101110110 2 +b1101110100001101110101101110110 I +b1101110100001101110101101110110 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1101110100001101110101101110110 , +b1101110100001101110101101110110 H +b1000 + +b1000 G +1- +1. +0% +#2840000 +0] +1i +1=" +1@" +1g +09" +0:" +0;" +1q +07" +b10111 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0m +0/" +0w +0," +b10001111110101101101011110101001 / +b10001111110101101101011110101001 K +b10001111110101101101011110101001 e +b10001111110101101101011110101001 "" +b1111011111001010010111111011100 0 +b1111011111001010010111111011100 M +b1111011111001010010111111011100 f +b1111011111001010010111111011100 !" +b1101110100001101110101101110110 &" +b1101110100001101110101101110110 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2840010 +b1101110100001101110101101110110 K" +#2840500 +b11011 5 +b11011 L +b11011 W +14 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2841000 +1] +0i +b110010011100011000000010110110 { +b110010011100011000000010110110 A" +b1111011111001010010111111011100 | +b1111011111001010010111111011100 B" +0=" +0@" +0h +0g +19" +1;" +0q +17" +b11111 3" +0c +0_ +b100 0" +1o +0k +18" +1l +b11110 -" +1u +02" +1n +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2841500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b1001010011110100111101111101100 2 +b1001010011110100111101111101100 I +b1001010011110100111101111101100 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b1101 & +b1101 C +b1001010011110100111101111101100 , +b1001010011110100111101111101100 H +b10 + +b10 G +1- +1. +0% +#2842000 +1i +0s +b1011110001011101100010010110110 | +b1011110001011101100010010110110 B" +0\ +1@" +1h +1g +09" +0;" +15" +16" +b11101 3" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +0t +0y +1z +1b +12" +0n +0m +0/" +b110010011100011000000010110110 / +b110010011100011000000010110110 K +b110010011100011000000010110110 e +b110010011100011000000010110110 "" +b1111011111001010010111111011100 0 +b1111011111001010010111111011100 M +b1111011111001010010111111011100 f +b1111011111001010010111111011100 !" +b1001010011110100111101111101100 &" +b1001010011110100111101111101100 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#2842010 +b1001010011110100111101111101100 E" +#2842500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2843000 +1s +1\ +0@" +1q +05" +06" +07" +b11111 3" +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011110001011101100010010110110 0 +b1011110001011101100010010110110 M +b1011110001011101100010010110110 f +b1011110001011101100010010110110 !" +0. +1% +#2843500 +b11011111010100111110001010000010 2 +b11011111010100111110001010000010 I +b11011111010100111110001010000010 Z +b1011 3 +b1011 J +b1011 Y +11 +b11011111010100111110001010000010 , +b11011111010100111110001010000010 H +b1011 + +b1011 G +1- +1. +0% +#2844000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011111010100111110001010000010 &" +b11011111010100111110001010000010 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +0. +1% +#2844010 +b11011111010100111110001010000010 N" +#2844500 +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2845000 +1] +b10001100010011000010000011100111 { +b10001100010011000010000011100111 A" +1\ +1[ +0=" +0@" +1;" +b11111 3" +0c +0_ +1k +0b +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +0. +1% +#2845500 +04 +b11110100001111010111100111001101 2 +b11110100001111010111100111001101 I +b11110100001111010111100111001101 Z +b1010 3 +b1010 J +b1010 Y +11 +0) +b11110100001111010111100111001101 , +b11110100001111010111100111001101 H +b1010 + +b1010 G +1- +1. +0% +#2846000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +1=" +1@" +0;" +b10101 3" +1c +1_ +0k +1b +12" +0/" +b10001100010011000010000011100111 / +b10001100010011000010000011100111 K +b10001100010011000010000011100111 e +b10001100010011000010000011100111 "" +b11110100001111010111100111001101 &" +b11110100001111010111100111001101 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +0$" +0. +1% +#2846010 +b11110100001111010111100111001101 M" +#2846500 +b1011011010000110110000010 2 +b1011011010000110110000010 I +b1011011010000110110000010 Z +b10010 3 +b10010 J +b10010 Y +b1011011010000110110000010 , +b1011011010000110110000010 H +b10010 + +b10010 G +1. +0% +#2847000 +0_ +1] +0=" +b1101 3" +1<" +1` +0c +b1011011010000110110000010 &" +b1011011010000110110000010 ?" +b10010 %" +b10010 *" +b10010 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2847010 +b1011011010000110110000010 U" +#2847500 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2848000 +b10101011110001100110110101011101 | +b10101011110001100110110101011101 B" +1\ +0@" +0r +0q +17" +b11111 3" +0<" +0` +b1100 -" +0u +14" +1v +0b +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#2848500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2849000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10101011110001100110110101011101 0 +b10101011110001100110110101011101 M +b10101011110001100110110101011101 f +b10101011110001100110110101011101 !" +0. +1% +#2849500 +b10110 7 +b10110 N +b10110 V +16 +b10110 & +b10110 C +1( +1. +0% +#2850000 +0s +b1000110101010011110011010100011 | +b1000110101010011110011010100011 B" +0r +16" +17" +b1001 -" +1t +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#2850500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2851000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b1000110101010011110011010100011 0 +b1000110101010011110011010100011 M +b1000110101010011110011010100011 f +b1000110101010011110011010100011 !" +0. +1% +#2851500 +b1001011000111000000010111000 2 +b1001011000111000000010111000 I +b1001011000111000000010111000 Z +b110 3 +b110 J +b110 Y +11 +b1001011000111000000010111000 , +b1001011000111000000010111000 H +b110 + +b110 G +1- +1. +0% +#2852000 +0] +0\ +1>" +1@" +b11001 3" +1^ +1_ +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001011000111000000010111000 &" +b1001011000111000000010111000 ?" +b110 %" +b110 *" +b110 1" +1'" +0. +1% +#2852010 +b1001011000111000000010111000 I" +#2852500 +b1 5 +b1 L +b1 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2853000 +1] +b1111011111001010010111111011100 { +b1111011111001010010111111011100 A" +1\ +0>" +0@" +0g +1;" +b11111 3" +0^ +0_ +b11110 0" +1k +0b +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#2853500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2854000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b1111011111001010010111111011100 / +b1111011111001010010111111011100 K +b1111011111001010010111111011100 e +b1111011111001010010111111011100 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2854500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#2855000 +0s +b110011111010111011110101011111 | +b110011111010111011110101011111 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2855500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2856000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b110011111010111011110101011111 0 +b110011111010111011110101011111 M +b110011111010111011110101011111 f +b110011111010111011110101011111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2856500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#2857000 +b1111011111001010010111111011100 | +b1111011111001010010111111011100 B" +0q +17" +b11110 -" +1u +1w +1," +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2857500 +b0 7 +b0 N +b0 V +06 +b10110111100111110011110100011110 2 +b10110111100111110011110100011110 I +b10110111100111110011110100011110 Z +b11 3 +b11 J +b11 Y +11 +b0 & +b0 C +0( +b10110111100111110011110100011110 , +b10110111100111110011110100011110 H +b11 + +b11 G +1- +1. +0% +#2858000 +0\ +0[ +1@" +1q +07" +b11100 3" +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b1111011111001010010111111011100 0 +b1111011111001010010111111011100 M +b1111011111001010010111111011100 f +b1111011111001010010111111011100 !" +b10110111100111110011110100011110 &" +b10110111100111110011110100011110 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2858010 +b10110111100111110011110100011110 F" +#2858500 +b11001101010100010011110110111111 2 +b11001101010100010011110110111111 I +b11001101010100010011110110111111 Z +b1000 3 +b1000 J +b1000 Y +b11001101010100010011110110111111 , +b11001101010100010011110110111111 H +b1000 + +b1000 G +1. +0% +#2859000 +0] +1\ +1[ +1=" +b10111 3" +1c +0b +0a +b11001101010100010011110110111111 &" +b11001101010100010011110110111111 ?" +b1000 %" +b1000 *" +b1000 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2859010 +b11001101010100010011110110111111 K" +#2859500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2860000 +1] +0=" +0@" +b11111 3" +0c +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2860500 +b1110001011000010010011100110000 2 +b1110001011000010010011100110000 I +b1110001011000010010011100110000 Z +b1101 3 +b1101 J +b1101 Y +11 +b1110001011000010010011100110000 , +b1110001011000010010011100110000 H +b1101 + +b1101 G +1- +1. +0% +#2861000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b1110001011000010010011100110000 &" +b1110001011000010010011100110000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +0. +1% +#2861010 +b1110001011000010010011100110000 P" +#2861500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2862000 +1] +b1011011110010000001000100111100 | +b1011011110010000001000100111100 B" +1[ +0=" +0>" +0@" +17" +b11111 3" +0d +0_ +b1111 -" +0u +14" +1v +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#2862500 +b0 7 +b0 N +b0 V +06 +b110010101110111010100010100111 2 +b110010101110111010100010100111 I +b110010101110111010100010100111 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 & +b0 C +0( +b110010101110111010100010100111 , +b110010101110111010100010100111 H +b10100 + +b10100 G +1- +1. +0% +#2863000 +0] +1>" +1@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1011 3" +1^ +0_ +1<" +1` +b11111 -" +04" +0v +12" +0," +b110010101110111010100010100111 &" +b110010101110111010100010100111 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1011011110010000001000100111100 0 +b1011011110010000001000100111100 M +b1011011110010000001000100111100 f +b1011011110010000001000100111100 !" +0. +1% +#2863010 +b110010101110111010100010100111 W" +#2863500 +b1011 5 +b1011 L +b1011 W +14 +b10111000100011010110011100101110 2 +b10111000100011010110011100101110 I +b10111000100011010110011100101110 Z +b1000 3 +b1000 J +b1000 Y +b1011 ' +b1011 D +1) +b10111000100011010110011100101110 , +b10111000100011010110011100101110 H +b1000 + +b1000 G +1. +0% +#2864000 +1=" +1_ +1c +0i +b11011111010100111110001010000010 { +b11011111010100111110001010000010 A" +1@" +0>" +0h +0g +19" +1;" +b10111 3" +0<" +0` +0^ +b10100 0" +1o +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111000100011010110011100101110 &" +b10111000100011010110011100101110 ?" +b1000 %" +b1000 *" +b1000 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#2864010 +b10111000100011010110011100101110 K" +#2864500 +b110 5 +b110 L +b110 W +b1010 7 +b1010 N +b1010 V +16 +b10110101110111110000110111110101 2 +b10110101110111110000110111110101 I +b10110101110111110000110111110101 Z +b10010 3 +b10010 J +b10010 Y +b110 ' +b110 D +b1010 & +b1010 C +1( +b10110101110111110000110111110101 , +b10110101110111110000110111110101 H +b10010 + +b10010 G +1. +0% +#2865000 +1:" +0_ +1] +1j +0s +b11110100001111010111100111001101 | +b11110100001111010111100111001101 B" +0\ +0=" +1g +09" +0r +15" +17" +b1101 3" +1<" +1` +0c +b11001 0" +0o +b1001011000111000000010111000 { +b1001011000111000000010111000 A" +b10101 -" +1y +1u +1b +0m +1x +1," +b10110101110111110000110111110101 &" +b10110101110111110000110111110101 ?" +b10010 %" +b10010 *" +b10010 1" +b110 ~ +b110 )" +b110 ." +b1010 } +b1010 (" +b1010 +" +1#" +b11011111010100111110001010000010 / +b11011111010100111110001010000010 K +b11011111010100111110001010000010 e +b11011111010100111110001010000010 "" +0. +1% +#2865010 +b10110101110111110000110111110101 U" +#2865500 +b1001 5 +b1001 L +b1001 W +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2866000 +19" +1o +0y +1\ +0@" +1h +0g +0:" +1r +16" +b11111 3" +0<" +0` +b10110 0" +0j +b11101101100110001000100001110110 { +b11101101100110001000100001110110 A" +b10011 -" +1z +b1111101010100001110011100101101 | +b1111101010100001110011100101101 B" +0b +02" +0n +1m +0x +b1001011000111000000010111000 / +b1001011000111000000010111000 K +b1001011000111000000010111000 e +b1001011000111000000010111000 "" +b11110100001111010111100111001101 0 +b11110100001111010111100111001101 M +b11110100001111010111100111001101 f +b11110100001111010111100111001101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b1100 } +b1100 (" +b1100 +" +0. +1% +#2866500 +b1100 5 +b1100 L +b1100 W +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +b0 & +b0 C +0( +1. +0% +#2867000 +0o +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1g +1:" +05" +06" +07" +b10011 0" +1p +b1111101010100001110011100101101 { +b1111101010100001110011100101101 A" +b11111 -" +0z +0u +0m +0," +b1100 ~ +b1100 )" +b1100 ." +b0 } +b0 (" +b0 +" +0#" +b11101101100110001000100001110110 / +b11101101100110001000100001110110 K +b11101101100110001000100001110110 e +b11101101100110001000100001110110 "" +b1111101010100001110011100101101 0 +b1111101010100001110011100101101 M +b1111101010100001110011100101101 f +b1111101010100001110011100101101 !" +0. +1% +#2867500 +b10011 5 +b10011 L +b10011 W +b10001 7 +b10001 N +b10001 V +16 +b10011 ' +b10011 D +b10001 & +b10001 C +1( +1. +0% +#2868000 +0k +1i +b10010111000011001110100111110011 | +b10010111000011001110100111110011 B" +0h +0g +09" +0:" +0q +17" +b1100 0" +18" +1l +0p +b10101011110001100110110101011101 { +b10101011110001100110110101011101 A" +b1110 -" +0u +14" +1v +1n +1m +1w +1," +b1111101010100001110011100101101 / +b1111101010100001110011100101101 K +b1111101010100001110011100101101 e +b1111101010100001110011100101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 ~ +b10011 )" +b10011 ." +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#2868500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +b0 ' +b0 D +0) +b1000 & +b1000 C +1. +0% +#2869000 +1u +0s +1h +1g +0;" +1q +17" +15" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +04" +0v +1y +b10111000100011010110011100101110 | +b10111000100011010110011100101110 B" +0n +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +b10101011110001100110110101011101 / +b10101011110001100110110101011101 K +b10101011110001100110110101011101 e +b10101011110001100110110101011101 "" +b10010111000011001110100111110011 0 +b10010111000011001110100111110011 M +b10010111000011001110100111110011 f +b10010111000011001110100111110011 !" +0. +1% +#2869500 +1. +0% +#2870000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111000100011010110011100101110 0 +b10111000100011010110011100101110 M +b10111000100011010110011100101110 f +b10111000100011010110011100101110 !" +0. +1% +#2870500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2871000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +07" +b11111 -" +0y +0u +0," +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2871500 +b1110 5 +b1110 L +b1110 W +14 +b11100000100110111010010011111010 2 +b11100000100110111010010011111010 I +b11100000100110111010010011111010 Z +b1000 3 +b1000 J +b1000 Y +11 +b1110 ' +b1110 D +1) +b11100000100110111010010011111010 , +b11100000100110111010010011111010 H +b1000 + +b1000 G +1- +1. +0% +#2872000 +0] +0i +b110000110100011001101000110111 { +b110000110100011001101000110111 A" +1=" +1@" +0h +19" +1:" +1;" +b10111 3" +1c +1_ +b10001 0" +0j +0o +1p +1k +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100000100110111010010011111010 &" +b11100000100110111010010011111010 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#2872010 +b11100000100110111010010011111010 K" +#2872500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b11111100010110111010010011111001 2 +b11111100010110111010010011111001 I +b11111100010110111010010011111001 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +b11111100010110111010010011111001 , +b11111100010110111010010011111001 H +b11 + +b11 G +1. +0% +#2873000 +1] +1i +0s +b110011111010111011110101011111 | +b110011111010111011110101011111 B" +0\ +0[ +0=" +1h +09" +0:" +0;" +15" +16" +17" +b11100 3" +0c +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +0t +0y +1z +0u +14" +1v +1b +1a +0n +0/" +1," +b11111100010110111010010011111001 &" +b11111100010110111010010011111001 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +b110000110100011001101000110111 / +b110000110100011001101000110111 K +b110000110100011001101000110111 e +b110000110100011001101000110111 "" +0. +1% +#2873010 +b11111100010110111010010011111001 F" +#2873500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2874000 +1s +1\ +1[ +0@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0_ +b11111 -" +0z +04" +0v +0b +0a +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110011111010111011110101011111 0 +b110011111010111011110101011111 M +b110011111010111011110101011111 f +b110011111010111011110101011111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2874500 +1. +0% +#2875000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2875500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#2876000 +b10101011110001100110110101011101 { +b10101011110001100110110101011101 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#2876500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +1. +0% +#2877000 +b1011011110010000001000100111100 | +b1011011110010000001000100111100 B" +1h +1g +0;" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1111 -" +0u +14" +1v +0n +0m +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +b10101011110001100110110101011101 / +b10101011110001100110110101011101 K +b10101011110001100110110101011101 e +b10101011110001100110110101011101 "" +0. +1% +#2877500 +b11000 7 +b11000 N +b11000 V +b11000 & +b11000 C +1. +0% +#2878000 +0s +b11101011000010011111001010001000 | +b11101011000010011111001010001000 B" +15" +b111 -" +1y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011011110010000001000100111100 0 +b1011011110010000001000100111100 M +b1011011110010000001000100111100 f +b1011011110010000001000100111100 !" +b11000 } +b11000 (" +b11000 +" +0. +1% +#2878500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +b111000011000110110110010110001 2 +b111000011000110110110010110001 I +b111000011000110110110010110001 Z +b111 3 +b111 J +b111 Y +11 +b1 ' +b1 D +1) +b0 & +b0 C +b111000011000110110110010110001 , +b111000011000110110110010110001 H +b111 + +b111 G +1- +1. +0% +#2879000 +0] +b1111011111001010010111111011100 { +b1111011111001010010111111011100 A" +1u +1s +0\ +0[ +1>" +1@" +0g +1;" +17" +b10001100010011000010000011100111 | +b10001100010011000010000011100111 B" +05" +b11000 3" +1^ +1_ +b11110 0" +1k +b11111 -" +04" +0v +0y +1b +1a +12" +1m +1/" +b111000011000110110110010110001 &" +b111000011000110110110010110001 ?" +b111 %" +b111 *" +b111 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +b11101011000010011111001010001000 0 +b11101011000010011111001010001000 M +b11101011000010011111001010001000 f +b11101011000010011111001010001000 !" +0. +1% +#2879010 +b111000011000110110110010110001 J" +#2879500 +b0 5 +b0 L +b0 W +04 +06 +b1010100000001100011000001010110 2 +b1010100000001100011000001010110 I +b1010100000001100011000001010110 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +0( +b1010100000001100011000001010110 , +b1010100000001100011000001010110 H +b1111 + +b1111 G +1. +0% +#2880000 +0^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +1g +0;" +07" +b10000 3" +1d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0u +0m +0/" +0," +b1111011111001010010111111011100 / +b1111011111001010010111111011100 K +b1111011111001010010111111011100 e +b1111011111001010010111111011100 "" +b10001100010011000010000011100111 0 +b10001100010011000010000011100111 M +b10001100010011000010000011100111 f +b10001100010011000010000011100111 !" +b1010100000001100011000001010110 &" +b1010100000001100011000001010110 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +0#" +0. +1% +#2880010 +b1010100000001100011000001010110 R" +#2880500 +b101111111101010110111010101000 2 +b101111111101010110111010101000 I +b101111111101010110111010101000 Z +b10101 3 +b10101 J +b10101 Y +b101111111101010110111010101000 , +b101111111101010110111010101000 H +b10101 + +b10101 G +1. +0% +#2881000 +0_ +1^ +1\ +0=" +1>" +b1010 3" +1<" +1` +0d +0b +b101111111101010110111010101000 &" +b101111111101010110111010101000 ?" +b10101 %" +b10101 *" +b10101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2881010 +b101111111101010110111010101000 X" +#2881500 +b1010 5 +b1010 L +b1010 W +14 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2882000 +1] +0i +b11110100001111010111100111001101 { +b11110100001111010111100111001101 A" +b10101011110001100110110101011101 | +b10101011110001100110110101011101 B" +1[ +0>" +0@" +0h +19" +1;" +0r +0q +17" +b11111 3" +0^ +0<" +0` +b10101 0" +1o +1k +b1100 -" +0u +14" +1v +0a +02" +1n +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#2882500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b1010011111001111111001101110011 2 +b1010011111001111111001101110011 I +b1010011111001111111001101110011 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b11000 & +b11000 C +b1010011111001111111001101110011 , +b1010011111001111111001101110011 H +b10000 + +b10000 G +1- +1. +0% +#2883000 +1i +0s +1@" +1h +09" +0;" +1r +1q +15" +b1111 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b111 -" +1y +b11101011000010011111001010001000 | +b11101011000010011111001010001000 B" +12" +0n +0/" +0x +0w +b1010011111001111111001101110011 &" +b1010011111001111111001101110011 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +b11110100001111010111100111001101 / +b11110100001111010111100111001101 K +b11110100001111010111100111001101 e +b11110100001111010111100111001101 "" +b10101011110001100110110101011101 0 +b10101011110001100110110101011101 M +b10101011110001100110110101011101 f +b10101011110001100110110101011101 !" +0. +1% +#2883010 +b1010011111001111111001101110011 S" +#2883500 +b0 7 +b0 N +b0 V +06 +b10001011000000110010111101101010 2 +b10001011000000110010111101101010 I +b10001011000000110010111101101010 Z +b11101 3 +b11101 J +b11101 Y +b0 & +b0 C +0( +b10001011000000110010111101101010 , +b10001011000000110010111101101010 H +b11101 + +b11101 G +1. +0% +#2884000 +0] +1s +0[ +1=" +1>" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10 3" +0^ +0c +1d +b11111 -" +0y +04" +0v +1a +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011000010011111001010001000 0 +b11101011000010011111001010001000 M +b11101011000010011111001010001000 f +b11101011000010011111001010001000 !" +b10001011000000110010111101101010 &" +b10001011000000110010111101101010 ?" +b11101 %" +b11101 *" +b11101 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2884010 +b10001011000000110010111101101010 `" +#2884500 +b11000 5 +b11000 L +b11000 W +14 +b100111011111000001111110011110 2 +b100111011111000001111110011110 I +b100111011111000001111110011110 Z +b1101 3 +b1101 J +b1101 Y +b11000 ' +b11000 D +1) +b100111011111000001111110011110 , +b100111011111000001111110011110 H +b1101 + +b1101 G +1. +0% +#2885000 +1_ +0i +b11101011000010011111001010001000 { +b11101011000010011111001010001000 A" +1@" +19" +1;" +b10010 3" +0<" +0` +b111 0" +1o +0k +18" +1l +1/" +b100111011111000001111110011110 &" +b100111011111000001111110011110 ?" +b1101 %" +b1101 *" +b1101 1" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2885010 +b100111011111000001111110011110 P" +#2885500 +b0 5 +b0 L +b0 W +04 +b10011111101111111111111011101111 2 +b10011111101111111111111011101111 I +b10011111101111111111111011101111 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b10011111101111111111111011101111 , +b10011111101111111111111011101111 H +b1110 + +b1110 G +1. +0% +#2886000 +1i +0\ +1[ +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10001 3" +b11111 0" +0o +08" +0l +1b +0a +0/" +b11101011000010011111001010001000 / +b11101011000010011111001010001000 K +b11101011000010011111001010001000 e +b11101011000010011111001010001000 "" +b10011111101111111111111011101111 &" +b10011111101111111111111011101111 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2886010 +b10011111101111111111111011101111 Q" +#2886500 +b11110 5 +b11110 L +b11110 W +14 +b11111110101101110011101110100 2 +b11111110101101110011101110100 I +b11111110101101110011101110100 Z +b10000 3 +b10000 J +b10000 Y +b11110 ' +b11110 D +1) +b11111110101101110011101110100 , +b11111110101101110011101110100 H +b10000 + +b10000 G +1. +0% +#2887000 +0_ +1] +0i +b1101100111101110010000100001100 { +b1101100111101110010000100001100 A" +1\ +0=" +0>" +0h +19" +1:" +1;" +b1111 3" +1<" +1` +0d +b1 0" +0j +0o +1p +0k +18" +1l +0b +1n +1/" +b11111110101101110011101110100 &" +b11111110101101110011101110100 ?" +b10000 %" +b10000 *" +b10000 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2887010 +b11111110101101110011101110100 S" +#2887500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2888000 +1i +0s +b11011110000111011000101111111100 | +b11011110000111011000101111111100 B" +0@" +1h +09" +0:" +0;" +0r +0q +15" +16" +17" +b11111 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +0t +0y +1z +0u +14" +1v +02" +0n +0/" +1x +1w +1," +b1101100111101110010000100001100 / +b1101100111101110010000100001100 K +b1101100111101110010000100001100 e +b1101100111101110010000100001100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#2888500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2889000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011110000111011000101111111100 0 +b11011110000111011000101111111100 M +b11011110000111011000101111111100 f +b11011110000111011000101111111100 !" +0. +1% +#2889500 +b10111 5 +b10111 L +b10111 W +14 +b1111 7 +b1111 N +b1111 V +16 +b10011111100010010101111101011 2 +b10011111100010010101111101011 I +b10011111100010010101111101011 Z +b1101 3 +b1101 J +b1101 Y +11 +b10111 ' +b10111 D +1) +b1111 & +b1111 C +1( +b10011111100010010101111101011 , +b10011111100010010101111101011 H +b1101 + +b1101 G +1- +1. +0% +#2890000 +0] +0i +b10001101111000100100011100001000 { +b10001101111000100100011100001000 A" +0s +b1010100000001100011000001010110 | +b1010100000001100011000001010110 B" +0[ +1=" +1>" +1@" +0h +0g +1:" +1;" +0r +0q +15" +16" +17" +b10010 3" +0^ +0c +1d +1_ +b1000 0" +1j +0k +18" +1l +b10000 -" +0t +0y +1z +1u +1a +12" +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011111100010010101111101011 &" +b10011111100010010101111101011 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#2890010 +b10011111100010010101111101011 P" +#2890500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b1011010111101101000111111100011 2 +b1011010111101101000111111100011 I +b1011010111101101000111111100011 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b11000 & +b11000 C +b1011010111101101000111111100011 , +b1011010111101101000111111100011 H +b10 + +b10 G +1. +0% +#2891000 +1] +1i +0u +1y +0\ +1[ +0=" +0>" +1h +1g +0:" +0;" +1r +1q +15" +06" +b11101 3" +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +14" +1v +0z +b11101011000010011111001010001000 | +b11101011000010011111001010001000 B" +1b +0a +0n +0m +0/" +0x +0w +b1011010111101101000111111100011 &" +b1011010111101101000111111100011 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +b10001101111000100100011100001000 / +b10001101111000100100011100001000 K +b10001101111000100100011100001000 e +b10001101111000100100011100001000 "" +b1010100000001100011000001010110 0 +b1010100000001100011000001010110 M +b1010100000001100011000001010110 f +b1010100000001100011000001010110 !" +0. +1% +#2891010 +b1011010111101101000111111100011 E" +#2891500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2892000 +1s +1\ +0@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0_ +b11111 -" +0y +04" +0v +0b +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011000010011111001010001000 0 +b11101011000010011111001010001000 M +b11101011000010011111001010001000 f +b11101011000010011111001010001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2892500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#2893000 +0i +b11011111010100111110001010000010 { +b11011111010100111110001010000010 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2893500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2894000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b11011111010100111110001010000010 / +b11011111010100111110001010000010 K +b11011111010100111110001010000010 e +b11011111010100111110001010000010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2894500 +b100 5 +b100 L +b100 W +14 +b1101011001100111111101001011110 2 +b1101011001100111111101001011110 I +b1101011001100111111101001011110 Z +b10101 3 +b10101 J +b10101 Y +11 +b100 ' +b100 D +1) +b1101011001100111111101001011110 , +b1101011001100111111101001011110 H +b10101 + +b10101 G +1- +1. +0% +#2895000 +0] +0i +b11011111110111000010010111000101 { +b11011111110111000010010111000101 A" +0[ +1>" +1@" +1:" +1;" +b1010 3" +1^ +0_ +1<" +1` +b11011 0" +1j +1k +1a +12" +1/" +b1101011001100111111101001011110 &" +b1101011001100111111101001011110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2895010 +b1101011001100111111101001011110 X" +#2895500 +b1110 5 +b1110 L +b1110 W +b1100110000011110011101111001100 2 +b1100110000011110011101111001100 I +b1100110000011110011101111001100 Z +b100 3 +b100 J +b100 Y +b1110 ' +b1110 D +b1100110000011110011101111001100 , +b1100110000011110011101111001100 H +b100 + +b100 G +1. +0% +#2896000 +1_ +0j +1[ +1@" +0h +19" +b11011 3" +0<" +0` +b10001 0" +1p +b10011111101111111111111011101111 { +b10011111101111111111111011101111 A" +0a +1n +b11011111110111000010010111000101 / +b11011111110111000010010111000101 K +b11011111110111000010010111000101 e +b11011111110111000010010111000101 "" +b1100110000011110011101111001100 &" +b1100110000011110011101111001100 ?" +b100 %" +b100 *" +b100 1" +b1110 ~ +b1110 )" +b1110 ." +0. +1% +#2896010 +b1100110000011110011101111001100 G" +#2896500 +b10001 5 +b10001 L +b10001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2897000 +1] +0k +1i +0>" +0@" +1h +0g +09" +0:" +b11111 3" +0^ +0_ +b1110 0" +18" +1l +0p +b10010111000011001110100111110011 { +b10010111000011001110100111110011 A" +02" +0n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b10011111101111111111111011101111 / +b10011111101111111111111011101111 K +b10011111101111111111111011101111 e +b10011111101111111111111011101111 "" +0. +1% +#2897500 +b10110 5 +b10110 L +b10110 W +b11000 7 +b11000 N +b11000 V +16 +b10110 ' +b10110 D +b11000 & +b11000 C +1( +1. +0% +#2898000 +0i +0s +b11101011000010011111001010001000 | +b11101011000010011111001010001000 B" +0h +1g +1:" +15" +17" +b1001 0" +1j +b1000110101010011110011010100011 { +b1000110101010011110011010100011 A" +b111 -" +1y +0u +14" +1v +1n +0m +1," +b10010111000011001110100111110011 / +b10010111000011001110100111110011 K +b10010111000011001110100111110011 e +b10010111000011001110100111110011 "" +b10110 ~ +b10110 )" +b10110 ." +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#2898500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +b0 ' +b0 D +0) +b1000 & +b1000 C +1. +0% +#2899000 +1i +1u +1h +0:" +0;" +17" +b11100000100110111010010011111010 | +b11100000100110111010010011111010 B" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +04" +0v +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +b1000110101010011110011010100011 / +b1000110101010011110011010100011 K +b1000110101010011110011010100011 e +b1000110101010011110011010100011 "" +b11101011000010011111001010001000 0 +b11101011000010011111001010001000 M +b11101011000010011111001010001000 f +b11101011000010011111001010001000 !" +0. +1% +#2899500 +b0 7 +b0 N +b0 V +06 +b1100110011100110011110010011101 2 +b1100110011100110011110010011101 I +b1100110011100110011110010011101 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 & +b0 C +0( +b1100110011100110011110010011101 , +b1100110011100110011110010011101 H +b10100 + +b10100 G +1- +1. +0% +#2900000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1>" +1@" +05" +07" +b1011 3" +1^ +0_ +1<" +1` +b11111 -" +0y +0u +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100000100110111010010011111010 0 +b11100000100110111010010011111010 M +b11100000100110111010010011111010 f +b11100000100110111010010011111010 !" +b1100110011100110011110010011101 &" +b1100110011100110011110010011101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2900010 +b1100110011100110011110010011101 W" +#2900500 +b1110 5 +b1110 L +b1110 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2901000 +1] +0i +b10011111101111111111111011101111 { +b10011111101111111111111011101111 A" +0s +b11010001010001010111001101010100 | +b11010001010001010111001101010100 B" +0>" +0@" +0h +19" +1:" +1;" +0q +15" +17" +b11111 3" +0^ +0<" +0` +b10001 0" +0j +0o +1p +1k +b110 -" +1y +0u +14" +1v +02" +1n +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2901500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1101001100111010110001100101 2 +b1101001100111010110001100101 I +b1101001100111010110001100101 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1101001100111010110001100101 , +b1101001100111010110001100101 H +b10100 + +b10100 G +1- +1. +0% +#2902000 +0] +1i +1s +1>" +1@" +1h +09" +0:" +0;" +1q +05" +07" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0/" +0w +0," +b10011111101111111111111011101111 / +b10011111101111111111111011101111 K +b10011111101111111111111011101111 e +b10011111101111111111111011101111 "" +b11010001010001010111001101010100 0 +b11010001010001010111001101010100 M +b11010001010001010111001101010100 f +b11010001010001010111001101010100 !" +b1101001100111010110001100101 &" +b1101001100111010110001100101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2902010 +b1101001100111010110001100101 W" +#2902500 +b1111 5 +b1111 L +b1111 W +14 +b1 7 +b1 N +b1 V +16 +b1011110101111100010011100111011 2 +b1011110101111100010011100111011 I +b1011110101111100010011100111011 Z +b1111 ' +b1111 D +1) +b1 & +b1 C +1( +b1011110101111100010011100111011 , +b1011110101111100010011100111011 H +1. +0% +#2903000 +0i +b1010100000001100011000001010110 { +b1010100000001100011000001010110 A" +b1111011111001010010111111011100 | +b1111011111001010010111111011100 B" +0h +0g +19" +1:" +1;" +0q +17" +b10000 0" +0j +0o +1p +1k +b11110 -" +1u +1n +1m +1/" +1w +1," +b1011110101111100010011100111011 &" +b1011110101111100010011100111011 ?" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2903010 +b1011110101111100010011100111011 W" +#2903500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b11111001000001101001011001100110 2 +b11111001000001101001011001100110 I +b11111001000001101001011001100110 Z +b1101 3 +b1101 J +b1101 Y +b1010 ' +b1010 D +b0 & +b0 C +0( +b11111001000001101001011001100110 , +b11111001000001101001011001100110 H +b1101 + +b1101 G +1. +0% +#2904000 +1_ +0^ +1o +0[ +1@" +1=" +1g +19" +0:" +1q +07" +b10010 3" +0<" +0` +1d +b10101 0" +0p +b11110100001111010111100111001101 { +b11110100001111010111100111001101 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0m +0w +0," +b1010100000001100011000001010110 / +b1010100000001100011000001010110 K +b1010100000001100011000001010110 e +b1010100000001100011000001010110 "" +b1111011111001010010111111011100 0 +b1111011111001010010111111011100 M +b1111011111001010010111111011100 f +b1111011111001010010111111011100 !" +b11111001000001101001011001100110 &" +b11111001000001101001011001100110 ?" +b1101 %" +b1101 *" +b1101 1" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2904010 +b11111001000001101001011001100110 P" +#2904500 +b11010 5 +b11010 L +b11010 W +b100000010001101100101110001000 2 +b100000010001101100101110001000 I +b100000010001101100101110001000 Z +b1000 3 +b1000 J +b1000 Y +b11010 ' +b11010 D +b100000010001101100101110001000 , +b100000010001101100101110001000 H +b1000 + +b1000 G +1. +0% +#2905000 +1c +0k +1[ +1=" +0>" +b11001101101001011011100101000110 { +b11001101101001011011100101000110 A" +b10111 3" +0d +b101 0" +18" +1l +0a +b100000010001101100101110001000 &" +b100000010001101100101110001000 ?" +b1000 %" +b1000 *" +b1000 1" +b11010 ~ +b11010 )" +b11010 ." +b11110100001111010111100111001101 / +b11110100001111010111100111001101 K +b11110100001111010111100111001101 e +b11110100001111010111100111001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2905010 +b100000010001101100101110001000 K" +#2905500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2906000 +1] +1i +0=" +0@" +1h +09" +0;" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0/" +b11001101101001011011100101000110 / +b11001101101001011011100101000110 K +b11001101101001011011100101000110 e +b11001101101001011011100101000110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2906500 +b100 5 +b100 L +b100 W +14 +b100 ' +b100 D +1) +1. +0% +#2907000 +0i +b1100110000011110011101111001100 { +b1100110000011110011101111001100 A" +1:" +1;" +b11011 0" +1j +1k +1/" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2907500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2908000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b1100110000011110011101111001100 / +b1100110000011110011101111001100 K +b1100110000011110011101111001100 e +b1100110000011110011101111001100 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2908500 +b1011100011001010001000010001111 2 +b1011100011001010001000010001111 I +b1011100011001010001000010001111 Z +b11110 3 +b11110 J +b11110 Y +11 +b1011100011001010001000010001111 , +b1011100011001010001000010001111 H +b11110 + +b11110 G +1- +1. +0% +#2909000 +0] +0\ +1=" +1>" +1@" +b1 3" +0^ +0c +1d +0_ +1<" +1` +1b +12" +b1011100011001010001000010001111 &" +b1011100011001010001000010001111 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2909010 +b1011100011001010001000010001111 a" +#2909500 +b100 7 +b100 N +b100 V +16 +b101000100000101100110001011111 2 +b101000100000101100110001011111 I +b101000100000101100110001011111 Z +b10000 3 +b10000 J +b10000 Y +b100 & +b100 C +1( +b101000100000101100110001011111 , +b101000100000101100110001011111 H +b10000 + +b10000 G +1. +0% +#2910000 +1] +0s +b1100110000011110011101111001100 | +b1100110000011110011101111001100 B" +1\ +0=" +0>" +16" +17" +b1111 3" +0d +b11011 -" +1t +1u +0b +1," +b101000100000101100110001011111 &" +b101000100000101100110001011111 ?" +b10000 %" +b10000 *" +b10000 1" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#2910010 +b101000100000101100110001011111 S" +#2910500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2911000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0@" +06" +07" +b11111 3" +0<" +0` +b11111 -" +0t +0u +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1100110000011110011101111001100 0 +b1100110000011110011101111001100 M +b1100110000011110011101111001100 f +b1100110000011110011101111001100 !" +0. +1% +#2911500 +b10101 5 +b10101 L +b10101 W +14 +b1111 7 +b1111 N +b1111 V +16 +b10101 ' +b10101 D +1) +b1111 & +b1111 C +1( +1. +0% +#2912000 +0i +b1101011001100111111101001011110 { +b1101011001100111111101001011110 A" +0s +b1010100000001100011000001010110 | +b1010100000001100011000001010110 B" +0g +1:" +1;" +0r +0q +15" +16" +17" +b1010 0" +1j +0k +18" +1l +b10000 -" +0t +0y +1z +1u +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101 ~ +b10101 )" +b10101 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#2912500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1110000110110011111010001101011 2 +b1110000110110011111010001101011 I +b1110000110110011111010001101011 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1110000110110011111010001101011 , +b1110000110110011111010001101011 H +b11000 + +b11000 G +1- +1. +0% +#2913000 +0] +1i +1s +1=" +1@" +1g +0:" +0;" +1r +1q +05" +06" +07" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0m +0/" +0x +0w +0," +b1110000110110011111010001101011 &" +b1110000110110011111010001101011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1101011001100111111101001011110 / +b1101011001100111111101001011110 K +b1101011001100111111101001011110 e +b1101011001100111111101001011110 "" +b1010100000001100011000001010110 0 +b1010100000001100011000001010110 M +b1010100000001100011000001010110 f +b1010100000001100011000001010110 !" +0. +1% +#2913010 +b1110000110110011111010001101011 [" +#2913500 +b11011 5 +b11011 L +b11011 W +14 +b101 7 +b101 N +b101 V +16 +b10001011001011110001100111101 2 +b10001011001011110001100111101 I +b10001011001011110001100111101 Z +b1001 3 +b1001 J +b1001 Y +b11011 ' +b11011 D +1) +b101 & +b101 C +1( +b10001011001011110001100111101 , +b10001011001011110001100111101 H +b1001 + +b1001 G +1. +0% +#2914000 +1_ +0i +b110010011100011000000010110110 { +b110010011100011000000010110110 A" +0s +b10001010000110100111001110101101 | +b10001010000110100111001110101101 B" +0[ +1@" +0h +0g +19" +1;" +0q +16" +17" +b10110 3" +0<" +0` +b100 0" +1o +0k +18" +1l +b11010 -" +1t +1u +1a +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001011001011110001100111101 &" +b10001011001011110001100111101 ?" +b1001 %" +b1001 *" +b1001 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#2914010 +b10001011001011110001100111101 L" +#2914500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1000111010110000110000100100000 2 +b1000111010110000110000100100000 I +b1000111010110000110000100100000 Z +b100 3 +b100 J +b100 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1000111010110000110000100100000 , +b1000111010110000110000100100000 H +b100 + +b100 G +1. +0% +#2915000 +1>" +1^ +1i +1s +1[ +0=" +1h +1g +09" +0;" +1q +06" +07" +b11011 3" +0c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0n +0m +0/" +0w +0," +b1000111010110000110000100100000 &" +b1000111010110000110000100100000 ?" +b100 %" +b100 *" +b100 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110010011100011000000010110110 / +b110010011100011000000010110110 K +b110010011100011000000010110110 e +b110010011100011000000010110110 "" +b10001010000110100111001110101101 0 +b10001010000110100111001110101101 M +b10001010000110100111001110101101 f +b10001010000110100111001110101101 !" +0. +1% +#2915010 +b1000111010110000110000100100000 G" +#2915500 +b10000 5 +b10000 L +b10000 W +14 +b1010111110000011010010111110 2 +b1010111110000011010010111110 I +b1010111110000011010010111110 Z +b10100 3 +b10100 J +b10100 Y +b10000 ' +b10000 D +1) +b1010111110000011010010111110 , +b1010111110000011010010111110 H +b10100 + +b10100 G +1. +0% +#2916000 +0_ +b101000100000101100110001011111 { +b101000100000101100110001011111 A" +1;" +b1011 3" +1<" +1` +b1111 0" +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010111110000011010010111110 &" +b1010111110000011010010111110 ?" +b10100 %" +b10100 *" +b10100 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#2916010 +b1010111110000011010010111110 W" +#2916500 +b11 5 +b11 L +b11 W +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2917000 +1] +1k +0s +b11011110000111011000101111111100 | +b11011110000111011000101111111100 B" +0>" +0@" +0h +0g +1;" +0r +0q +15" +16" +17" +b11111 3" +0^ +0<" +0` +b11100 0" +08" +0l +b11111100010110111010010011111001 { +b11111100010110111010010011111001 A" +b0 -" +0t +0y +1z +0u +14" +1v +02" +1n +1m +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +b11111 } +b11111 (" +b11111 +" +1#" +b101000100000101100110001011111 / +b101000100000101100110001011111 K +b101000100000101100110001011111 e +b101000100000101100110001011111 "" +0. +1% +#2917500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b11110 ' +b11110 D +b0 & +b0 C +0( +1. +0% +#2918000 +0k +0i +1s +1g +19" +1:" +1r +1q +05" +06" +07" +b1 0" +18" +1l +0j +0o +1p +b1011100011001010001000010001111 { +b1011100011001010001000010001111 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0x +0w +0," +b11111100010110111010010011111001 / +b11111100010110111010010011111001 K +b11111100010110111010010011111001 e +b11111100010110111010010011111001 "" +b11011110000111011000101111111100 0 +b11011110000111011000101111111100 M +b11011110000111011000101111111100 f +b11011110000111011000101111111100 !" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2918500 +b1111 5 +b1111 L +b1111 W +b10 7 +b10 N +b10 V +16 +b1111 ' +b1111 D +b10 & +b10 C +1( +1. +0% +#2919000 +1k +b1011010111101101000111111100011 | +b1011010111101101000111111100011 B" +0g +1;" +0r +17" +b10000 0" +08" +0l +b1010100000001100011000001010110 { +b1010100000001100011000001010110 A" +b11101 -" +1u +1m +1x +1," +b1111 ~ +b1111 )" +b1111 ." +b10 } +b10 (" +b10 +" +1#" +b1011100011001010001000010001111 / +b1011100011001010001000010001111 K +b1011100011001010001000010001111 e +b1011100011001010001000010001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2919500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2920000 +1i +1h +1g +09" +0:" +0;" +1r +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0," +b1010100000001100011000001010110 / +b1010100000001100011000001010110 K +b1010100000001100011000001010110 e +b1010100000001100011000001010110 "" +b1011010111101101000111111100011 0 +b1011010111101101000111111100011 M +b1011010111101101000111111100011 f +b1011010111101101000111111100011 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2920500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#2921000 +b10101011110001100110110101011101 | +b10101011110001100110110101011101 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2921500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +1) +b0 & +b0 C +0( +1. +0% +#2922000 +b1111011111001010010111111011100 { +b1111011111001010010111111011100 A" +0g +1;" +1r +1q +07" +b11110 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0w +0," +b10101011110001100110110101011101 0 +b10101011110001100110110101011101 M +b10101011110001100110110101011101 f +b10101011110001100110110101011101 !" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2922500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2923000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1111011111001010010111111011100 / +b1111011111001010010111111011100 K +b1111011111001010010111111011100 e +b1111011111001010010111111011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2923500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#2924000 +0i +b111000011000110110110010110001 { +b111000011000110110110010110001 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#2924500 +b11110 5 +b11110 L +b11110 W +b1101010010001001100110001000011 2 +b1101010010001001100110001000011 I +b1101010010001001100110001000011 Z +b1010 3 +b1010 J +b1010 Y +11 +b11110 ' +b11110 D +b1101010010001001100110001000011 , +b1101010010001001100110001000011 H +b1010 + +b1010 G +1- +1. +0% +#2925000 +0] +0k +0j +0\ +1=" +1@" +1g +19" +b10101 3" +1c +1_ +b1 0" +18" +1l +1p +b1011100011001010001000010001111 { +b1011100011001010001000010001111 A" +1b +12" +0m +b1101010010001001100110001000011 &" +b1101010010001001100110001000011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +b111000011000110110110010110001 / +b111000011000110110110010110001 K +b111000011000110110110010110001 e +b111000011000110110110010110001 "" +0. +1% +#2925010 +b1101010010001001100110001000011 M" +#2925500 +b11111 7 +b11111 N +b11111 V +16 +b11110001000110001100011100101100 2 +b11110001000110001100011100101100 I +b11110001000110001100011100101100 Z +b1100 3 +b1100 J +b1100 Y +b11111 & +b11111 C +1( +b11110001000110001100011100101100 , +b11110001000110001100011100101100 H +b1100 + +b1100 G +1. +0% +#2926000 +0c +0s +b11011110000111011000101111111100 | +b11011110000111011000101111111100 B" +1\ +1>" +0r +0q +15" +16" +17" +b10011 3" +1d +b0 -" +0t +0y +1z +0u +14" +1v +0b +1x +1w +1," +b1011100011001010001000010001111 / +b1011100011001010001000010001111 K +b1011100011001010001000010001111 e +b1011100011001010001000010001111 "" +b11110001000110001100011100101100 &" +b11110001000110001100011100101100 ?" +b1100 %" +b1100 *" +b1100 1" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#2926010 +b11110001000110001100011100101100 O" +#2926500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b111101110011101000111000100011 2 +b111101110011101000111000100011 I +b111101110011101000111000100011 Z +b11010 3 +b11010 J +b11010 Y +b11001 ' +b11001 D +b0 & +b0 C +0( +b111101110011101000111000100011 , +b111101110011101000111000100011 H +b11010 + +b11010 G +1. +0% +#2927000 +0_ +1c +1o +1s +0\ +1=" +0>" +1h +0g +19" +0:" +1r +1q +05" +06" +07" +b101 3" +1<" +1` +0d +b110 0" +0p +b11010001010001010111001101010100 { +b11010001010001010111001101010100 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0n +1m +0x +0w +0," +b111101110011101000111000100011 &" +b111101110011101000111000100011 ?" +b11010 %" +b11010 *" +b11010 1" +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +b11011110000111011000101111111100 0 +b11011110000111011000101111111100 M +b11011110000111011000101111111100 f +b11011110000111011000101111111100 !" +0. +1% +#2927010 +b111101110011101000111000100011 ]" +#2927500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2928000 +1] +1i +1\ +0=" +0@" +1g +09" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0m +0/" +b11010001010001010111001101010100 / +b11010001010001010111001101010100 K +b11010001010001010111001101010100 e +b11010001010001010111001101010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2928500 +b11001010000010011110000100111000 2 +b11001010000010011110000100111000 I +b11001010000010011110000100111000 Z +b10110 3 +b10110 J +b10110 Y +11 +b11001010000010011110000100111000 , +b11001010000010011110000100111000 H +b10110 + +b10110 G +1- +1. +0% +#2929000 +0] +0\ +1>" +1@" +b1001 3" +1^ +0_ +1<" +1` +1b +12" +b11001010000010011110000100111000 &" +b11001010000010011110000100111000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2929010 +b11001010000010011110000100111000 Y" +#2929500 +b1010001111001010110000011010111 2 +b1010001111001010110000011010111 I +b1010001111001010110000011010111 Z +b10 3 +b10 J +b10 Y +b1010001111001010110000011010111 , +b1010001111001010110000011010111 H +b10 + +b10 G +1. +0% +#2930000 +1_ +1] +1@" +0>" +b11101 3" +0<" +0` +0^ +b1010001111001010110000011010111 &" +b1010001111001010110000011010111 ?" +b10 %" +b10 *" +b10 1" +0. +1% +#2930010 +b1010001111001010110000011010111 E" +#2930500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2931000 +1\ +0@" +b11111 3" +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#2931500 +1. +0% +#2932000 +0. +1% +#2932500 +b11101 5 +b11101 L +b11101 W +14 +b1000 7 +b1000 N +b1000 V +16 +b11101 ' +b11101 D +1) +b1000 & +b1000 C +1( +1. +0% +#2933000 +0i +b10001011000000110010111101101010 { +b10001011000000110010111101101010 A" +0s +b100000010001101100101110001000 | +b100000010001101100101110001000 B" +0g +19" +1:" +1;" +15" +17" +b10 0" +0j +0o +1p +0k +18" +1l +b10111 -" +1y +1u +1m +1/" +1," +b11101 ~ +b11101 )" +b11101 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#2933500 +b1000 5 +b1000 L +b1000 W +b11 7 +b11 N +b11 V +b1000 ' +b1000 D +b11 & +b11 C +1. +0% +#2934000 +1k +1o +1s +1g +1;" +19" +0:" +0r +0q +05" +b10111 0" +08" +0l +0p +b100000010001101100101110001000 { +b100000010001101100101110001000 A" +b11100 -" +0y +b11111100010110111010010011111001 | +b11111100010110111010010011111001 B" +0m +1x +1w +b10001011000000110010111101101010 / +b10001011000000110010111101101010 K +b10001011000000110010111101101010 e +b10001011000000110010111101101010 "" +b100000010001101100101110001000 0 +b100000010001101100101110001000 M +b100000010001101100101110001000 f +b100000010001101100101110001000 !" +b1000 ~ +b1000 )" +b1000 ." +b11 } +b11 (" +b11 +" +0. +1% +#2934500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001111000111011001001100010000 2 +b1001111000111011001001100010000 I +b1001111000111011001001100010000 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001111000111011001001100010000 , +b1001111000111011001001100010000 H +b10101 + +b10101 G +1- +1. +0% +#2935000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +1>" +1@" +09" +0;" +1r +1q +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0o +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0/" +0x +0w +0," +b1001111000111011001001100010000 &" +b1001111000111011001001100010000 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b100000010001101100101110001000 / +b100000010001101100101110001000 K +b100000010001101100101110001000 e +b100000010001101100101110001000 "" +b11111100010110111010010011111001 0 +b11111100010110111010010011111001 M +b11111100010110111010010011111001 f +b11111100010110111010010011111001 !" +0. +1% +#2935010 +b1001111000111011001001100010000 X" +#2935500 +b1101 5 +b1101 L +b1101 W +14 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2936000 +1] +0i +b11111001000001101001011001100110 { +b11111001000001101001011001100110 A" +0s +b11011111010100111110001010000010 | +b11011111010100111110001010000010 B" +1[ +0>" +0@" +0g +19" +1:" +1;" +0r +0q +15" +17" +b11111 3" +0^ +0<" +0` +b10010 0" +0j +0o +1p +1k +b10100 -" +1y +1u +0a +02" +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#2936500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2937000 +1i +1s +1g +09" +0:" +0;" +1r +1q +05" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111001000001101001011001100110 / +b11111001000001101001011001100110 K +b11111001000001101001011001100110 e +b11111001000001101001011001100110 "" +b11011111010100111110001010000010 0 +b11011111010100111110001010000010 M +b11011111010100111110001010000010 f +b11011111010100111110001010000010 !" +0. +1% +#2937500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#2938000 +0s +b11010001010001010111001101010100 | +b11010001010001010111001101010100 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#2938500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b11101110001001100010101100110000 2 +b11101110001001100010101100110000 I +b11101110001001100010101100110000 Z +b10111 3 +b10111 J +b10111 Y +11 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b11101110001001100010101100110000 , +b11101110001001100010101100110000 H +b10111 + +b10111 G +1- +1. +0% +#2939000 +0] +0i +b1001011000111000000010111000 { +b1001011000111000000010111000 A" +1s +0\ +0[ +1>" +1@" +0h +1:" +1;" +1q +05" +07" +b1000 3" +1^ +0_ +1<" +1` +b11001 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1/" +0w +0," +b11101110001001100010101100110000 &" +b11101110001001100010101100110000 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11010001010001010111001101010100 0 +b11010001010001010111001101010100 M +b11010001010001010111001101010100 f +b11010001010001010111001101010100 !" +0. +1% +#2939010 +b11101110001001100010101100110000 Z" +#2939500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2940000 +1] +1i +1\ +1[ +0>" +0@" +1h +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0/" +b1001011000111000000010111000 / +b1001011000111000000010111000 K +b1001011000111000000010111000 e +b1001011000111000000010111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2940500 +1. +0% +#2941000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2941500 +b11001 5 +b11001 L +b11001 W +14 +b1111111001011010000001001111110 2 +b1111111001011010000001001111110 I +b1111111001011010000001001111110 Z +b1101 3 +b1101 J +b1101 Y +11 +b11001 ' +b11001 D +1) +b1111111001011010000001001111110 , +b1111111001011010000001001111110 H +b1101 + +b1101 G +1- +1. +0% +#2942000 +0] +0i +b11010001010001010111001101010100 { +b11010001010001010111001101010100 A" +0[ +1=" +1>" +1@" +0g +19" +1;" +b10010 3" +0^ +0c +1d +1_ +b110 0" +1o +0k +18" +1l +1a +12" +1m +1/" +b1111111001011010000001001111110 &" +b1111111001011010000001001111110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#2942010 +b1111111001011010000001001111110 P" +#2942500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2943000 +1] +1i +1[ +0=" +0>" +0@" +1g +09" +0;" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010001010001010111001101010100 / +b11010001010001010111001101010100 K +b11010001010001010111001101010100 e +b11010001010001010111001101010100 "" +0. +1% +#2943500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#2944000 +0s +b1101010010001001100110001000011 | +b1101010010001001100110001000011 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#2944500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#2945000 +0i +b11001010000010011110000100111000 { +b11001010000010011110000100111000 A" +1s +0h +1:" +1;" +1r +05" +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0," +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1101010010001001100110001000011 0 +b1101010010001001100110001000011 M +b1101010010001001100110001000011 f +b1101010010001001100110001000011 !" +0. +1% +#2945500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2946000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b11001010000010011110000100111000 / +b11001010000010011110000100111000 K +b11001010000010011110000100111000 e +b11001010000010011110000100111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2946500 +1. +0% +#2947000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2947500 +b11011000101011001011111000011110 2 +b11011000101011001011111000011110 I +b11011000101011001011111000011110 Z +b1100 3 +b1100 J +b1100 Y +11 +b11011000101011001011111000011110 , +b11011000101011001011111000011110 H +b1100 + +b1100 G +1- +1. +0% +#2948000 +0] +1=" +1>" +1@" +b10011 3" +0^ +0c +1d +1_ +12" +b11011000101011001011111000011110 &" +b11011000101011001011111000011110 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +0. +1% +#2948010 +b11011000101011001011111000011110 O" +#2948500 +b10100 5 +b10100 L +b10100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2949000 +1] +0i +b1010111110000011010010111110 { +b1010111110000011010010111110 A" +0=" +0>" +0@" +1:" +1;" +b11111 3" +0d +0_ +b1011 0" +1j +0k +18" +1l +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#2949500 +b11010 5 +b11010 L +b11010 W +b1100 7 +b1100 N +b1100 V +16 +b11010 ' +b11010 D +b1100 & +b1100 C +1( +1. +0% +#2950000 +19" +1o +0s +b11011000101011001011111000011110 | +b11011000101011001011111000011110 B" +0h +0:" +15" +16" +17" +b101 0" +0j +b111101110011101000111000100011 { +b111101110011101000111000100011 A" +b10011 -" +0t +0y +1z +1u +1n +1," +b1010111110000011010010111110 / +b1010111110000011010010111110 K +b1010111110000011010010111110 e +b1010111110000011010010111110 "" +b11010 ~ +b11010 )" +b11010 ." +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#2950500 +b1101 5 +b1101 L +b1101 W +b0 7 +b0 N +b0 V +06 +b10111001001100110110101001100010 2 +b10111001001100110110101001100010 I +b10111001001100110110101001100010 Z +b110 3 +b110 J +b110 Y +11 +b1101 ' +b1101 D +b0 & +b0 C +0( +b10111001001100110110101001100010 , +b10111001001100110110101001100010 H +b110 + +b110 G +1- +1. +0% +#2951000 +0] +1k +0o +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1>" +1@" +1h +0g +1;" +1:" +05" +06" +07" +b11001 3" +1^ +1_ +b10010 0" +08" +0l +1p +b1111111001011010000001001111110 { +b1111111001011010000001001111110 A" +b11111 -" +0z +0u +1b +12" +0n +1m +0," +b10111001001100110110101001100010 &" +b10111001001100110110101001100010 ?" +b110 %" +b110 *" +b110 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +b0 } +b0 (" +b0 +" +0#" +b111101110011101000111000100011 / +b111101110011101000111000100011 K +b111101110011101000111000100011 e +b111101110011101000111000100011 "" +b11011000101011001011111000011110 0 +b11011000101011001011111000011110 M +b11011000101011001011111000011110 f +b11011000101011001011111000011110 !" +0. +1% +#2951010 +b10111001001100110110101001100010 I" +#2951500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2952000 +1] +1i +1\ +0>" +0@" +1g +09" +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0m +0/" +b1111111001011010000001001111110 / +b1111111001011010000001001111110 K +b1111111001011010000001001111110 e +b1111111001011010000001001111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2952500 +b10101 5 +b10101 L +b10101 W +14 +b1010 7 +b1010 N +b1010 V +16 +b1100100101111011001010101101111 2 +b1100100101111011001010101101111 I +b1100100101111011001010101101111 Z +b11000 3 +b11000 J +b11000 Y +11 +b10101 ' +b10101 D +1) +b1010 & +b1010 C +1( +b1100100101111011001010101101111 , +b1100100101111011001010101101111 H +b11000 + +b11000 G +1- +1. +0% +#2953000 +0] +0i +b1001111000111011001001100010000 { +b1001111000111011001001100010000 A" +0s +b1101010010001001100110001000011 | +b1101010010001001100110001000011 B" +1=" +1@" +0g +1:" +1;" +0r +15" +17" +b111 3" +1c +0_ +1<" +1` +b1010 0" +1j +0k +18" +1l +b10101 -" +1y +1u +12" +1m +1/" +1x +1," +b1100100101111011001010101101111 &" +b1100100101111011001010101101111 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2953010 +b1100100101111011001010101101111 [" +#2953500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b101010001000110010001011111010 2 +b101010001000110010001011111010 I +b101010001000110010001011111010 Z +b1101 3 +b1101 J +b1101 Y +b11001 ' +b11001 D +b0 & +b0 C +0( +b101010001000110010001011111010 , +b101010001000110010001011111010 H +b1101 + +b1101 G +1. +0% +#2954000 +19" +1_ +0c +1o +b11010001010001010111001101010100 { +b11010001010001010111001101010100 A" +1s +0[ +1@" +1>" +0:" +1r +05" +07" +b10010 3" +0<" +0` +1d +b110 0" +0j +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0x +0," +b1001111000111011001001100010000 / +b1001111000111011001001100010000 K +b1001111000111011001001100010000 e +b1001111000111011001001100010000 "" +b1101010010001001100110001000011 0 +b1101010010001001100110001000011 M +b1101010010001001100110001000011 f +b1101010010001001100110001000011 !" +b101010001000110010001011111010 &" +b101010001000110010001011111010 ?" +b1101 %" +b1101 *" +b1101 1" +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2954010 +b101010001000110010001011111010 P" +#2954500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2955000 +1] +1i +1[ +0=" +0>" +0@" +1g +09" +0;" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010001010001010111001101010100 / +b11010001010001010111001101010100 K +b11010001010001010111001101010100 e +b11010001010001010111001101010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2955500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#2956000 +0i +b110011111010111011110101011111 { +b110011111010111011110101011111 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#2956500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b1111011001110110101100110001101 2 +b1111011001110110101100110001101 I +b1111011001110110101100110001101 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b1111011001110110101100110001101 , +b1111011001110110101100110001101 H +b10111 + +b10111 G +1- +1. +0% +#2957000 +0] +1i +0s +b111101110011101000111000100011 | +b111101110011101000111000100011 B" +0\ +0[ +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +15" +17" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0p +08" +0l +b101 -" +1y +0u +14" +1v +1b +1a +12" +0/" +1x +1," +b1111011001110110101100110001101 &" +b1111011001110110101100110001101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b110011111010111011110101011111 / +b110011111010111011110101011111 K +b110011111010111011110101011111 e +b110011111010111011110101011111 "" +0. +1% +#2957010 +b1111011001110110101100110001101 Z" +#2957500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2958000 +1] +0i +b111000011000110110110010110001 { +b111000011000110110110010110001 A" +1s +1\ +1[ +0>" +0@" +0h +0g +1:" +1;" +1r +05" +07" +b11111 3" +0^ +0<" +0` +b11000 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111101110011101000111000100011 0 +b111101110011101000111000100011 M +b111101110011101000111000100011 f +b111101110011101000111000100011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2958500 +b1001 5 +b1001 L +b1001 W +b1001 ' +b1001 D +1. +0% +#2959000 +19" +1o +1h +0:" +b10110 0" +0j +b10001011001011110001100111101 { +b10001011001011110001100111101 A" +0n +b1001 ~ +b1001 )" +b1001 ." +b111000011000110110110010110001 / +b111000011000110110110010110001 K +b111000011000110110110010110001 e +b111000011000110110110010110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2959500 +b0 5 +b0 L +b0 W +04 +b11010011000011111101110011101000 2 +b11010011000011111101110011101000 I +b11010011000011111101110011101000 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b11010011000011111101110011101000 , +b11010011000011111101110011101000 H +b10011 + +b10011 G +1- +1. +0% +#2960000 +1i +0\ +0[ +1@" +1g +09" +0;" +b1100 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0m +0/" +b10001011001011110001100111101 / +b10001011001011110001100111101 K +b10001011001011110001100111101 e +b10001011001011110001100111101 "" +b11010011000011111101110011101000 &" +b11010011000011111101110011101000 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2960010 +b11010011000011111101110011101000 V" +#2960500 +b1100 5 +b1100 L +b1100 W +14 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2961000 +0i +b11011000101011001011111000011110 { +b11011000101011001011111000011110 A" +0s +b10001011000000110010111101101010 | +b10001011000000110010111101101010 B" +1\ +1[ +0@" +19" +1:" +1;" +0q +15" +16" +17" +b11111 3" +0<" +0` +b10011 0" +0j +0o +1p +1k +b10 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2961500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#2962000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0:" +0;" +1q +05" +06" +07" +b11111 0" +0p +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0w +0," +b11011000101011001011111000011110 / +b11011000101011001011111000011110 K +b11011000101011001011111000011110 e +b11011000101011001011111000011110 "" +b10001011000000110010111101101010 0 +b10001011000000110010111101101010 M +b10001011000000110010111101101010 f +b10001011000000110010111101101010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2962500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#2963000 +0s +b1101010010001001100110001000011 | +b1101010010001001100110001000011 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2963500 +b10011 7 +b10011 N +b10011 V +b10011 & +b10011 C +1. +0% +#2964000 +0u +1s +0q +05" +b1100 -" +14" +1v +0y +b11010011000011111101110011101000 | +b11010011000011111101110011101000 B" +1w +b1101010010001001100110001000011 0 +b1101010010001001100110001000011 M +b1101010010001001100110001000011 f +b1101010010001001100110001000011 !" +b10011 } +b10011 (" +b10011 +" +0. +1% +#2964500 +b11 7 +b11 N +b11 V +b11 & +b11 C +1. +0% +#2965000 +1u +17" +b11111100010110111010010011111001 | +b11111100010110111010010011111001 B" +b11100 -" +04" +0v +b11 } +b11 (" +b11 +" +b11010011000011111101110011101000 0 +b11010011000011111101110011101000 M +b11010011000011111101110011101000 f +b11010011000011111101110011101000 !" +0. +1% +#2965500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2966000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b11111100010110111010010011111001 0 +b11111100010110111010010011111001 M +b11111100010110111010010011111001 f +b11111100010110111010010011111001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2966500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#2967000 +b11010011000011111101110011101000 { +b11010011000011111101110011101000 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2967500 +b0 5 +b0 L +b0 W +04 +b10110011011010010101010111100111 2 +b10110011011010010101010111100111 I +b10110011011010010101010111100111 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b10110011011010010101010111100111 , +b10110011011010010101010111100111 H +b1110 + +b1110 G +1- +1. +0% +#2968000 +0] +0\ +1=" +1>" +1@" +1h +1g +0;" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0m +0/" +b11010011000011111101110011101000 / +b11010011000011111101110011101000 K +b11010011000011111101110011101000 e +b11010011000011111101110011101000 "" +b10110011011010010101010111100111 &" +b10110011011010010101010111100111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2968010 +b10110011011010010101010111100111 Q" +#2968500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2969000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2969500 +b10111 5 +b10111 L +b10111 W +14 +b10111 ' +b10111 D +1) +1. +0% +#2970000 +0i +b1111011001110110101100110001101 { +b1111011001110110101100110001101 A" +0h +0g +1:" +1;" +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#2970500 +b11 5 +b11 L +b11 W +b11000001010000100010000101111101 2 +b11000001010000100010000101111101 I +b11000001010000100010000101111101 Z +b11000 3 +b11000 J +b11000 Y +11 +b11 ' +b11 D +b11000001010000100010000101111101 , +b11000001010000100010000101111101 H +b11000 + +b11000 G +1- +1. +0% +#2971000 +0] +1k +1i +1=" +1@" +1;" +b11111100010110111010010011111001 { +b11111100010110111010010011111001 A" +0:" +b111 3" +1c +0_ +1<" +1` +b11100 0" +08" +0l +0j +12" +b11000001010000100010000101111101 &" +b11000001010000100010000101111101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11 ~ +b11 )" +b11 ." +b1111011001110110101100110001101 / +b1111011001110110101100110001101 K +b1111011001110110101100110001101 e +b1111011001110110101100110001101 "" +0. +1% +#2971010 +b11000001010000100010000101111101 [" +#2971500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2972000 +1] +0s +b1111011001110110101100110001101 | +b1111011001110110101100110001101 B" +0=" +0@" +1h +1g +0;" +0r +0q +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1000 -" +1t +0u +14" +1v +02" +0n +0m +0/" +1x +1w +1," +b11111100010110111010010011111001 / +b11111100010110111010010011111001 K +b11111100010110111010010011111001 e +b11111100010110111010010011111001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#2972500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#2973000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111011001110110101100110001101 0 +b1111011001110110101100110001101 M +b1111011001110110101100110001101 f +b1111011001110110101100110001101 !" +0. +1% +#2973500 +b10111 5 +b10111 L +b10111 W +14 +b10111 ' +b10111 D +1) +1. +0% +#2974000 +0i +b1111011001110110101100110001101 { +b1111011001110110101100110001101 A" +0h +0g +1:" +1;" +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#2974500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2975000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1111011001110110101100110001101 / +b1111011001110110101100110001101 K +b1111011001110110101100110001101 e +b1111011001110110101100110001101 "" +0. +1% +#2975500 +b10000 5 +b10000 L +b10000 W +14 +b101 7 +b101 N +b101 V +16 +b10000 ' +b10000 D +1) +b101 & +b101 C +1( +1. +0% +#2976000 +b101000100000101100110001011111 { +b101000100000101100110001011111 A" +0s +b10001010000110100111001110101101 | +b10001010000110100111001110101101 B" +1;" +0q +16" +17" +b1111 0" +0k +18" +1l +b11010 -" +1t +1u +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000 ~ +b10000 )" +b10000 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#2976500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1000000110101101110110101110110 2 +b1000000110101101110110101110110 I +b1000000110101101110110101110110 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1000000110101101110110101110110 , +b1000000110101101110110101110110 H +b11110 + +b11110 G +1- +1. +0% +#2977000 +0] +1s +0\ +1=" +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +06" +07" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0/" +0w +0," +b1000000110101101110110101110110 &" +b1000000110101101110110101110110 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101000100000101100110001011111 / +b101000100000101100110001011111 K +b101000100000101100110001011111 e +b101000100000101100110001011111 "" +b10001010000110100111001110101101 0 +b10001010000110100111001110101101 M +b10001010000110100111001110101101 f +b10001010000110100111001110101101 !" +0. +1% +#2977010 +b1000000110101101110110101110110 a" +#2977500 +b10011011001001000010111110101000 2 +b10011011001001000010111110101000 I +b10011011001001000010111110101000 Z +b10111 3 +b10111 J +b10111 Y +b10011011001001000010111110101000 , +b10011011001001000010111110101000 H +b10111 + +b10111 G +1. +0% +#2978000 +1^ +0[ +0=" +1>" +b1000 3" +0d +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011011001001000010111110101000 &" +b10011011001001000010111110101000 ?" +b10111 %" +b10111 *" +b10111 1" +0. +1% +#2978010 +b10011011001001000010111110101000 Z" +#2978500 +b10111 5 +b10111 L +b10111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2979000 +1] +0i +b10011011001001000010111110101000 { +b10011011001001000010111110101000 A" +1\ +1[ +0>" +0@" +0h +0g +1:" +1;" +b11111 3" +0^ +0<" +0` +b1000 0" +1j +0k +18" +1l +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#2979500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2980000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b10011011001001000010111110101000 / +b10011011001001000010111110101000 K +b10011011001001000010111110101000 e +b10011011001001000010111110101000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2980500 +b10 5 +b10 L +b10 W +14 +b10 ' +b10 D +1) +1. +0% +#2981000 +b1010001111001010110000011010111 { +b1010001111001010110000011010111 A" +0h +1;" +b11101 0" +1k +1n +1/" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2981500 +b0 5 +b0 L +b0 W +04 +b110010110001101101111000001011 2 +b110010110001101101111000001011 I +b110010110001101101111000001011 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b110010110001101101111000001011 , +b110010110001101101111000001011 H +b11000 + +b11000 G +1- +1. +0% +#2982000 +0] +1=" +1@" +1h +0;" +b111 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0n +0/" +b1010001111001010110000011010111 / +b1010001111001010110000011010111 K +b1010001111001010110000011010111 e +b1010001111001010110000011010111 "" +b110010110001101101111000001011 &" +b110010110001101101111000001011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#2982010 +b110010110001101101111000001011 [" +#2982500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2983000 +1] +0=" +0@" +b11111 3" +0c +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#2983500 +b11000 5 +b11000 L +b11000 W +14 +b11000 ' +b11000 D +1) +1. +0% +#2984000 +0i +b110010110001101101111000001011 { +b110010110001101101111000001011 A" +19" +1;" +b111 0" +1o +0k +18" +1l +1/" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#2984500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#2985000 +1i +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0o +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b110010110001101101111000001011 / +b110010110001101101111000001011 K +b110010110001101101111000001011 e +b110010110001101101111000001011 "" +0. +1% +#2985500 +b1110 7 +b1110 N +b1110 V +16 +b1110 & +b1110 C +1( +1. +0% +#2986000 +0s +b10110011011010010101010111100111 | +b10110011011010010101010111100111 B" +0r +15" +16" +17" +b10001 -" +0t +0y +1z +1u +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#2986500 +b1000 7 +b1000 N +b1000 V +b1111101001111010101000101111000 2 +b1111101001111010101000101111000 I +b1111101001111010101000101111000 Z +b101 3 +b101 J +b101 Y +11 +b1000 & +b1000 C +b1111101001111010101000101111000 , +b1111101001111010101000101111000 H +b101 + +b101 G +1- +1. +0% +#2987000 +0] +1y +0[ +1>" +1@" +1r +15" +06" +b11010 3" +1^ +1_ +b10111 -" +0z +b100000010001101100101110001000 | +b100000010001101100101110001000 B" +1a +12" +0x +b1111101001111010101000101111000 &" +b1111101001111010101000101111000 ?" +b101 %" +b101 *" +b101 1" +1'" +b1000 } +b1000 (" +b1000 +" +b10110011011010010101010111100111 0 +b10110011011010010101010111100111 M +b10110011011010010101010111100111 f +b10110011011010010101010111100111 !" +0. +1% +#2987010 +b1111101001111010101000101111000 H" +#2987500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2988000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0>" +0@" +05" +07" +b11111 3" +0^ +0_ +b11111 -" +0y +0u +0a +02" +0," +b100000010001101100101110001000 0 +b100000010001101100101110001000 M +b100000010001101100101110001000 f +b100000010001101100101110001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2988500 +b1001 7 +b1001 N +b1001 V +16 +b10111100101100001101111111111101 2 +b10111100101100001101111111111101 I +b10111100101100001101111111111101 Z +b1100 3 +b1100 J +b1100 Y +11 +b1001 & +b1001 C +1( +b10111100101100001101111111111101 , +b10111100101100001101111111111101 H +b1100 + +b1100 G +1- +1. +0% +#2989000 +0] +0s +b10001011001011110001100111101 | +b10001011001011110001100111101 B" +1=" +1>" +1@" +0q +15" +17" +b10011 3" +0^ +0c +1d +1_ +b10110 -" +1y +1u +12" +1w +1," +b10111100101100001101111111111101 &" +b10111100101100001101111111111101 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2989010 +b10111100101100001101111111111101 O" +#2989500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1100000010010000011010011010100 2 +b1100000010010000011010011010100 I +b1100000010010000011010011010100 Z +b11001 3 +b11001 J +b11001 Y +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +b1100000010010000011010011010100 , +b1100000010010000011010011010100 H +b11001 + +b11001 G +1. +0% +#2990000 +0_ +1c +0i +b10110011011010010101010111100111 { +b10110011011010010101010111100111 A" +1s +0[ +1=" +0>" +0h +19" +1:" +1;" +1q +05" +07" +b110 3" +1<" +1` +0d +b10001 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +1n +1/" +0w +0," +b10001011001011110001100111101 0 +b10001011001011110001100111101 M +b10001011001011110001100111101 f +b10001011001011110001100111101 !" +b1100000010010000011010011010100 &" +b1100000010010000011010011010100 ?" +b11001 %" +b11001 *" +b11001 1" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2990010 +b1100000010010000011010011010100 \" +#2990500 +b11110 5 +b11110 L +b11110 W +16 +b1110010010001001101011011011010 2 +b1110010010001001101011011011010 I +b1110010010001001101011011011010 Z +b1001 3 +b1001 J +b1001 Y +b11110 ' +b11110 D +1( +b1110010010001001101011011011010 , +b1110010010001001101011011011010 H +b1001 + +b1001 G +1. +0% +#2991000 +1_ +0k +b10001100010011000010000011100111 | +b10001100010011000010000011100111 B" +1@" +b1000000110101101110110101110110 { +b1000000110101101110110101110110 A" +17" +b10110 3" +0<" +0` +b1 0" +18" +1l +1u +1," +b1110010010001001101011011011010 &" +b1110010010001001101011011011010 ?" +b1001 %" +b1001 *" +b1001 1" +b11110 ~ +b11110 )" +b11110 ." +1#" +b10110011011010010101010111100111 / +b10110011011010010101010111100111 K +b10110011011010010101010111100111 e +b10110011011010010101010111100111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2991010 +b1110010010001001101011011011010 L" +#2991500 +b0 5 +b0 L +b0 W +04 +06 +b1010111101000001010010111001010 2 +b1010111101000001010010111001010 I +b1010111101000001010010111001010 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +0( +b1010111101000001010010111001010 , +b1010111101000001010010111001010 H +b11010 + +b11010 G +1. +0% +#2992000 +0_ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1[ +1h +09" +0:" +0;" +07" +b101 3" +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0u +1b +0a +0n +0/" +0," +b1000000110101101110110101110110 / +b1000000110101101110110101110110 K +b1000000110101101110110101110110 e +b1000000110101101110110101110110 "" +b10001100010011000010000011100111 0 +b10001100010011000010000011100111 M +b10001100010011000010000011100111 f +b10001100010011000010000011100111 !" +b1010111101000001010010111001010 &" +b1010111101000001010010111001010 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +0#" +0. +1% +#2992010 +b1010111101000001010010111001010 ]" +#2992500 +b10110 5 +b10110 L +b10110 W +14 +b10000100000111001011010110011101 2 +b10000100000111001011010110011101 I +b10000100000111001011010110011101 Z +b10010 3 +b10010 J +b10010 Y +b10110 ' +b10110 D +1) +b10000100000111001011010110011101 , +b10000100000111001011010110011101 H +b10010 + +b10010 G +1. +0% +#2993000 +1] +0i +b11001010000010011110000100111000 { +b11001010000010011110000100111000 A" +0=" +0h +1:" +1;" +b1101 3" +0c +b1001 0" +1j +0k +18" +1l +1n +1/" +b10000100000111001011010110011101 &" +b10000100000111001011010110011101 ?" +b10010 %" +b10010 *" +b10010 1" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2993010 +b10000100000111001011010110011101 U" +#2993500 +b11 5 +b11 L +b11 W +b1100101111100101110000100101111 2 +b1100101111100101110000100101111 I +b1100101111100101110000100101111 Z +b1010 3 +b1010 J +b1010 Y +b11 ' +b11 D +b1100101111100101110000100101111 , +b1100101111100101110000100101111 H +b1010 + +b1010 G +1. +0% +#2994000 +1_ +0] +1k +1i +1@" +1=" +0g +1;" +0:" +b10101 3" +0<" +0` +1c +b11100 0" +08" +0l +0j +b11111100010110111010010011111001 { +b11111100010110111010010011111001 A" +1m +b11001010000010011110000100111000 / +b11001010000010011110000100111000 K +b11001010000010011110000100111000 e +b11001010000010011110000100111000 "" +b1100101111100101110000100101111 &" +b1100101111100101110000100101111 ?" +b1010 %" +b1010 *" +b1010 1" +b11 ~ +b11 )" +b11 ." +0. +1% +#2994010 +b1100101111100101110000100101111 M" +#2994500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#2995000 +1] +0s +b1000000110101101110110101110110 | +b1000000110101101110110101110110 B" +1\ +0=" +0@" +1h +1g +0;" +0r +15" +16" +17" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0b +02" +0n +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b11111100010110111010010011111001 / +b11111100010110111010010011111001 K +b11111100010110111010010011111001 e +b11111100010110111010010011111001 "" +0. +1% +#2995500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +1. +0% +#2996000 +0i +b11011110000111011000101111111100 { +b11011110000111011000101111111100 A" +1s +0h +0g +19" +1:" +1;" +1r +05" +06" +07" +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000000110101101110110101110110 0 +b1000000110101101110110101110110 M +b1000000110101101110110101110110 f +b1000000110101101110110101110110 !" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#2996500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +1. +0% +#2997000 +1i +0s +b110010110001101101111000001011 | +b110010110001101101111000001011 B" +1h +1g +09" +0:" +0;" +15" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +1y +0u +14" +1v +0n +0m +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +b11011110000111011000101111111100 / +b11011110000111011000101111111100 K +b11011110000111011000101111111100 e +b11011110000111011000101111111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#2997500 +b11110 7 +b11110 N +b11110 V +b11110 & +b11110 C +1. +0% +#2998000 +0y +0r +16" +b1 -" +1z +b1000000110101101110110101110110 | +b1000000110101101110110101110110 B" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110010110001101101111000001011 0 +b110010110001101101111000001011 M +b110010110001101101111000001011 f +b110010110001101101111000001011 !" +b11110 } +b11110 (" +b11110 +" +0. +1% +#2998500 +b10000 7 +b10000 N +b10000 V +b10000 & +b10000 C +1. +0% +#2999000 +1s +1r +05" +06" +b1111 -" +0z +b101000100000101100110001011111 | +b101000100000101100110001011111 B" +0x +b10000 } +b10000 (" +b10000 +" +b1000000110101101110110101110110 0 +b1000000110101101110110101110110 M +b1000000110101101110110101110110 f +b1000000110101101110110101110110 !" +0. +1% +#2999500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +1) +b0 & +b0 C +0( +1. +0% +#3000000 +b1111011111001010010111111011100 { +b1111011111001010010111111011100 A" +0g +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11110 0" +1k +b11111 -" +04" +0v +1m +1/" +0," +b101000100000101100110001011111 0 +b101000100000101100110001011111 M +b101000100000101100110001011111 f +b101000100000101100110001011111 !" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3000500 +b1010 5 +b1010 L +b1010 W +b1010 ' +b1010 D +1. +0% +#3001000 +0i +0h +1g +19" +b10101 0" +1o +b1100101111100101110000100101111 { +b1100101111100101110000100101111 A" +1n +0m +b1010 ~ +b1010 )" +b1010 ." +b1111011111001010010111111011100 / +b1111011111001010010111111011100 K +b1111011111001010010111111011100 e +b1111011111001010010111111011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3001500 +b0 5 +b0 L +b0 W +04 +16 +b11000011100110111011110010101 2 +b11000011100110111011110010101 I +b11000011100110111011110010101 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +1( +b11000011100110111011110010101 , +b11000011100110111011110010101 H +b10011 + +b10011 G +1- +1. +0% +#3002000 +1i +b10001100010011000010000011100111 | +b10001100010011000010000011100111 B" +0\ +0[ +1@" +1h +09" +0;" +17" +b1100 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1u +1b +1a +12" +0n +0/" +1," +b1100101111100101110000100101111 / +b1100101111100101110000100101111 K +b1100101111100101110000100101111 e +b1100101111100101110000100101111 "" +b11000011100110111011110010101 &" +b11000011100110111011110010101 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +0. +1% +#3002010 +b11000011100110111011110010101 V" +#3002500 +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3003000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0@" +07" +b11111 3" +0<" +0` +0u +0b +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001100010011000010000011100111 0 +b10001100010011000010000011100111 M +b10001100010011000010000011100111 f +b10001100010011000010000011100111 !" +0. +1% +#3003500 +b10010 7 +b10010 N +b10010 V +16 +b10010 & +b10010 C +1( +1. +0% +#3004000 +b10000100000111001011010110011101 | +b10000100000111001011010110011101 B" +0r +17" +b1101 -" +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#3004500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b10101001001101000000110100001001 2 +b10101001001101000000110100001001 I +b10101001001101000000110100001001 Z +b100 3 +b100 J +b100 Y +11 +b10 ' +b10 D +1) +b0 & +b0 C +0( +b10101001001101000000110100001001 , +b10101001001101000000110100001001 H +b100 + +b100 G +1- +1. +0% +#3005000 +0] +b1010001111001010110000011010111 { +b1010001111001010110000011010111 A" +1>" +1@" +0h +1;" +1r +07" +b11011 3" +1^ +1_ +b11101 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1n +1/" +0x +0," +b10101001001101000000110100001001 &" +b10101001001101000000110100001001 ?" +b100 %" +b100 *" +b100 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10000100000111001011010110011101 0 +b10000100000111001011010110011101 M +b10000100000111001011010110011101 f +b10000100000111001011010110011101 !" +0. +1% +#3005010 +b10101001001101000000110100001001 G" +#3005500 +b1 5 +b1 L +b1 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3006000 +1] +0>" +0@" +1h +0g +b11111 3" +0^ +0_ +b11110 0" +b1111011111001010010111111011100 { +b1111011111001010010111111011100 A" +02" +0n +1m +b1010001111001010110000011010111 / +b1010001111001010110000011010111 K +b1010001111001010110000011010111 e +b1010001111001010110000011010111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +0. +1% +#3006500 +b10 5 +b10 L +b10 W +b1011 7 +b1011 N +b1011 V +16 +b10100111001010000110000100011111 2 +b10100111001010000110000100011111 I +b10100111001010000110000100011111 Z +b10101 3 +b10101 J +b10101 Y +11 +b10 ' +b10 D +b1011 & +b1011 C +1( +b10100111001010000110000100011111 , +b10100111001010000110000100011111 H +b10101 + +b10101 G +1- +1. +0% +#3007000 +0] +0s +b11011111010100111110001010000010 | +b11011111010100111110001010000010 B" +0[ +1>" +1@" +0h +1g +0r +0q +15" +17" +b1010 3" +1^ +0_ +1<" +1` +b11101 0" +b1010001111001010110000011010111 { +b1010001111001010110000011010111 A" +b10100 -" +1y +1u +1a +12" +1n +0m +1x +1w +1," +b10100111001010000110000100011111 &" +b10100111001010000110000100011111 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10 ~ +b10 )" +b10 ." +b1011 } +b1011 (" +b1011 +" +1#" +b1111011111001010010111111011100 / +b1111011111001010010111111011100 K +b1111011111001010010111111011100 e +b1111011111001010010111111011100 "" +0. +1% +#3007010 +b10100111001010000110000100011111 X" +#3007500 +b11100 5 +b11100 L +b11100 W +b0 7 +b0 N +b0 V +06 +b1000011000101100110110101110101 2 +b1000011000101100110110101110101 I +b1000011000101100110110101110101 Z +b10000 3 +b10000 J +b10000 Y +b11100 ' +b11100 D +b0 & +b0 C +0( +b1000011000101100110110101110101 , +b1000011000101100110110101110101 H +b10000 + +b10000 G +1. +0% +#3008000 +1] +0k +0i +1s +1[ +0>" +1h +19" +1:" +1r +1q +05" +07" +b1111 3" +0^ +b11 0" +18" +1l +0j +0o +1p +b110011111010111011110101011111 { +b110011111010111011110101011111 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0n +0x +0w +0," +b1010001111001010110000011010111 / +b1010001111001010110000011010111 K +b1010001111001010110000011010111 e +b1010001111001010110000011010111 "" +b11011111010100111110001010000010 0 +b11011111010100111110001010000010 M +b11011111010100111110001010000010 f +b11011111010100111110001010000010 !" +b1000011000101100110110101110101 &" +b1000011000101100110110101110101 ?" +b10000 %" +b10000 *" +b10000 1" +b11100 ~ +b11100 )" +b11100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3008010 +b1000011000101100110110101110101 S" +#3008500 +b0 5 +b0 L +b0 W +04 +b11010000010100011010010101010111 2 +b11010000010100011010010101010111 I +b11010000010100011010010101010111 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b11010000010100011010010101010111 , +b11010000010100011010010101010111 H +b11110 + +b11110 G +1. +0% +#3009000 +0] +1i +0\ +1=" +1>" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b1 3" +0^ +0c +1d +b11111 0" +0p +08" +0l +1b +0/" +b11010000010100011010010101010111 &" +b11010000010100011010010101010111 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +b110011111010111011110101011111 / +b110011111010111011110101011111 K +b110011111010111011110101011111 e +b110011111010111011110101011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3009010 +b11010000010100011010010101010111 a" +#3009500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3010000 +1] +0s +b110011111010111011110101011111 | +b110011111010111011110101011111 B" +1\ +0=" +0>" +0@" +15" +16" +17" +b11111 3" +0d +0<" +0` +b11 -" +0t +0y +1z +0u +14" +1v +0b +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#3010500 +b0 7 +b0 N +b0 V +06 +b1110011100100001000101001101000 2 +b1110011100100001000101001101000 I +b1110011100100001000101001101000 Z +b101 3 +b101 J +b101 Y +11 +b0 & +b0 C +0( +b1110011100100001000101001101000 , +b1110011100100001000101001101000 H +b101 + +b101 G +1- +1. +0% +#3011000 +0] +1s +0[ +1>" +1@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11010 3" +1^ +1_ +b11111 -" +0z +04" +0v +1a +12" +0," +b1110011100100001000101001101000 &" +b1110011100100001000101001101000 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b110011111010111011110101011111 0 +b110011111010111011110101011111 M +b110011111010111011110101011111 f +b110011111010111011110101011111 !" +0. +1% +#3011010 +b1110011100100001000101001101000 H" +#3011500 +b11101010000011001100100101000010 2 +b11101010000011001100100101000010 I +b11101010000011001100100101000010 Z +b11111 3 +b11111 J +b11111 Y +b11101010000011001100100101000010 , +b11101010000011001100100101000010 H +b11111 + +b11111 G +1. +0% +#3012000 +0_ +0^ +0\ +1=" +b0 3" +1<" +1` +1d +1b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101010000011001100100101000010 &" +b11101010000011001100100101000010 ?" +b11111 %" +b11111 *" +b11111 1" +0. +1% +#3012500 +b110 5 +b110 L +b110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3013000 +1] +0i +b10111001001100110110101001100010 { +b10111001001100110110101001100010 A" +1\ +1[ +0=" +0>" +0@" +0h +1:" +1;" +b11111 3" +0d +0<" +0` +b11001 0" +1j +1k +0b +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#3013500 +b11111 5 +b11111 L +b11111 W +b11111 ' +b11111 D +1. +0% +#3014000 +0k +0j +0g +19" +b0 0" +18" +1l +1p +b11101010000011001100100101000010 { +b11101010000011001100100101000010 A" +1m +b10111001001100110110101001100010 / +b10111001001100110110101001100010 K +b10111001001100110110101001100010 e +b10111001001100110110101001100010 "" +b11111 ~ +b11111 )" +b11111 ." +0. +1% +#3014500 +b1000 5 +b1000 L +b1000 W +b1000 ' +b1000 D +1. +0% +#3015000 +1k +1o +1h +1g +1;" +19" +0:" +b10111 0" +08" +0l +0p +b100000010001101100101110001000 { +b100000010001101100101110001000 A" +0n +0m +b1000 ~ +b1000 )" +b1000 ." +b11101010000011001100100101000010 / +b11101010000011001100100101000010 K +b11101010000011001100100101000010 e +b11101010000011001100100101000010 "" +0. +1% +#3015500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b0 ' +b0 D +0) +b110 & +b110 C +1( +1. +0% +#3016000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10111001001100110110101001100010 | +b10111001001100110110101001100010 B" +09" +0;" +0r +16" +17" +b11111 0" +0o +0k +b11001 -" +1t +1u +0/" +1x +1," +b100000010001101100101110001000 / +b100000010001101100101110001000 K +b100000010001101100101110001000 e +b100000010001101100101110001000 "" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#3016500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b111011100101101011000110101 2 +b111011100101101011000110101 I +b111011100101101011000110101 Z +b10101 3 +b10101 J +b10101 Y +11 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b111011100101101011000110101 , +b111011100101101011000110101 H +b10101 + +b10101 G +1- +1. +0% +#3017000 +0] +b1111011111001010010111111011100 { +b1111011111001010010111111011100 A" +1s +0[ +1>" +1@" +0g +1;" +1r +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b11110 0" +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1m +1/" +0x +0," +b111011100101101011000110101 &" +b111011100101101011000110101 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111001001100110110101001100010 0 +b10111001001100110110101001100010 M +b10111001001100110110101001100010 f +b10111001001100110110101001100010 !" +0. +1% +#3017010 +b111011100101101011000110101 X" +#3017500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3018000 +1] +1[ +0>" +0@" +1g +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0m +0/" +b1111011111001010010111111011100 / +b1111011111001010010111111011100 K +b1111011111001010010111111011100 e +b1111011111001010010111111011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3018500 +b11001 5 +b11001 L +b11001 W +14 +b11101111011101111111001100110101 2 +b11101111011101111111001100110101 I +b11101111011101111111001100110101 Z +b1000 3 +b1000 J +b1000 Y +11 +b11001 ' +b11001 D +1) +b11101111011101111111001100110101 , +b11101111011101111111001100110101 H +b1000 + +b1000 G +1- +1. +0% +#3019000 +0] +0i +b1100000010010000011010011010100 { +b1100000010010000011010011010100 A" +1=" +1@" +0g +19" +1;" +b10111 3" +1c +1_ +b110 0" +1o +0k +18" +1l +12" +1m +1/" +b11101111011101111111001100110101 &" +b11101111011101111111001100110101 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3019010 +b11101111011101111111001100110101 K" +#3019500 +b0 5 +b0 L +b0 W +04 +b11100011100110111101110111000101 2 +b11100011100110111101110111000101 I +b11100011100110111101110111000101 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b11100011100110111101110111000101 , +b11100011100110111101110111000101 H +b10 + +b10 G +1. +0% +#3020000 +1] +1i +0\ +0=" +1g +09" +0;" +b11101 3" +0c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +0m +0/" +b1100000010010000011010011010100 / +b1100000010010000011010011010100 K +b1100000010010000011010011010100 e +b1100000010010000011010011010100 "" +b11100011100110111101110111000101 &" +b11100011100110111101110111000101 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3020010 +b11100011100110111101110111000101 E" +#3020500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3021000 +1\ +0@" +b11111 3" +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3021500 +b100 5 +b100 L +b100 W +14 +b110010101011001100001101010010 2 +b110010101011001100001101010010 I +b110010101011001100001101010010 Z +b10010 3 +b10010 J +b10010 Y +11 +b100 ' +b100 D +1) +b110010101011001100001101010010 , +b110010101011001100001101010010 H +b10010 + +b10010 G +1- +1. +0% +#3022000 +0i +b10101001001101000000110100001001 { +b10101001001101000000110100001001 A" +0\ +1@" +1:" +1;" +b1101 3" +0_ +1<" +1` +b11011 0" +1j +1k +1b +12" +1/" +b110010101011001100001101010010 &" +b110010101011001100001101010010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#3022010 +b110010101011001100001101010010 U" +#3022500 +b0 5 +b0 L +b0 W +04 +b111100110000001101010011100110 2 +b111100110000001101010011100110 I +b111100110000001101010011100110 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b111100110000001101010011100110 , +b111100110000001101010011100110 H +b0 + +b0 G +1. +0% +#3023000 +1_ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +1@" +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0j +0k +0b +0/" +b111100110000001101010011100110 &" +b111100110000001101010011100110 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101001001101000000110100001001 / +b10101001001101000000110100001001 K +b10101001001101000000110100001001 e +b10101001001101000000110100001001 "" +0. +1% +#3023010 +b111100110000001101010011100110 C" +#3023500 +b11000 5 +b11000 L +b11000 W +14 +b0 2 +b0 I +b0 Z +01 +b11000 ' +b11000 D +1) +b0 , +b0 H +0- +1. +0% +#3024000 +0i +b110010110001101101111000001011 { +b110010110001101101111000001011 A" +0@" +19" +1;" +0_ +b111 0" +1o +0k +18" +1l +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#3024500 +b0 5 +b0 L +b0 W +04 +16 +b0 ' +b0 D +0) +1( +1. +0% +#3025000 +1i +b111100110000001101010011100110 | +b111100110000001101010011100110 B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +17" +b11111 0" +0o +08" +0l +1u +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +1#" +b110010110001101101111000001011 / +b110010110001101101111000001011 K +b110010110001101101111000001011 e +b110010110001101101111000001011 "" +0. +1% +#3025500 +06 +0( +1. +0% +#3026000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +07" +0u +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111100110000001101010011100110 0 +b111100110000001101010011100110 M +b111100110000001101010011100110 f +b111100110000001101010011100110 !" +0#" +0. +1% +#3026500 +b1100 5 +b1100 L +b1100 W +14 +b1100 ' +b1100 D +1) +1. +0% +#3027000 +0i +b10111100101100001101111111111101 { +b10111100101100001101111111111101 A" +19" +1:" +1;" +b10011 0" +0j +0o +1p +1k +1/" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3027500 +b11000 5 +b11000 L +b11000 W +b11101 7 +b11101 N +b11101 V +16 +b11000 ' +b11000 D +b11101 & +b11101 C +1( +1. +0% +#3028000 +0k +1o +0s +b10001011000000110010111101101010 | +b10001011000000110010111101101010 B" +b110010110001101101111000001011 { +b110010110001101101111000001011 A" +19" +0:" +0q +15" +16" +17" +b111 0" +18" +1l +0p +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b10111100101100001101111111111101 / +b10111100101100001101111111111101 K +b10111100101100001101111111111101 e +b10111100101100001101111111111101 "" +b11000 ~ +b11000 )" +b11000 ." +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#3028500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b1011 ' +b1011 D +b0 & +b0 C +0( +1. +0% +#3029000 +1k +1s +0h +0g +1;" +1q +05" +06" +07" +b10100 0" +08" +0l +b11011111010100111110001010000010 { +b11011111010100111110001010000010 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +0w +0," +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +b110010110001101101111000001011 / +b110010110001101101111000001011 K +b110010110001101101111000001011 e +b110010110001101101111000001011 "" +b10001011000000110010111101101010 0 +b10001011000000110010111101101010 M +b10001011000000110010111101101010 f +b10001011000000110010111101101010 !" +0. +1% +#3029500 +b0 5 +b0 L +b0 W +04 +b10100110001110111110101100000010 2 +b10100110001110111110101100000010 I +b10100110001110111110101100000010 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b10100110001110111110101100000010 , +b10100110001110111110101100000010 H +b11111 + +b11111 G +1- +1. +0% +#3030000 +0] +1i +0\ +0[ +1=" +1>" +1@" +1h +1g +09" +0;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0m +0/" +b11011111010100111110001010000010 / +b11011111010100111110001010000010 K +b11011111010100111110001010000010 e +b11011111010100111110001010000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100110001110111110101100000010 &" +b10100110001110111110101100000010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3030500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3031000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3031500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#3032000 +0s +b1100101111100101110000100101111 | +b1100101111100101110000100101111 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#3032500 +b1010 5 +b1010 L +b1010 W +14 +b0 7 +b0 N +b0 V +06 +b1010 ' +b1010 D +1) +b0 & +b0 C +0( +1. +0% +#3033000 +0i +b1100101111100101110000100101111 { +b1100101111100101110000100101111 A" +1s +0h +19" +1;" +1r +05" +07" +b10101 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0," +b1010 ~ +b1010 )" +b1010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1100101111100101110000100101111 0 +b1100101111100101110000100101111 M +b1100101111100101110000100101111 f +b1100101111100101110000100101111 !" +0. +1% +#3033500 +b0 5 +b0 L +b0 W +04 +b11011000110111111000100100001111 2 +b11011000110111111000100100001111 I +b11011000110111111000100100001111 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b11011000110111111000100100001111 , +b11011000110111111000100100001111 H +b1110 + +b1110 G +1- +1. +0% +#3034000 +0] +1i +0\ +1=" +1>" +1@" +1h +09" +0;" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0/" +b1100101111100101110000100101111 / +b1100101111100101110000100101111 K +b1100101111100101110000100101111 e +b1100101111100101110000100101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011000110111111000100100001111 &" +b11011000110111111000100100001111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3034010 +b11011000110111111000100100001111 Q" +#3034500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3035000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3035500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#3036000 +0i +b1010111101000001010010111001010 { +b1010111101000001010010111001010 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#3036500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3037000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1010111101000001010010111001010 / +b1010111101000001010010111001010 K +b1010111101000001010010111001010 e +b1010111101000001010010111001010 "" +0. +1% +#3037500 +b10101 5 +b10101 L +b10101 W +14 +b10101 ' +b10101 D +1) +1. +0% +#3038000 +0i +b111011100101101011000110101 { +b111011100101101011000110101 A" +0g +1:" +1;" +b1010 0" +1j +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#3038500 +b11001 5 +b11001 L +b11001 W +b10111001100110111010001010011000 2 +b10111001100110111010001010011000 I +b10111001100110111010001010011000 Z +b11110 3 +b11110 J +b11110 Y +11 +b11001 ' +b11001 D +b10111001100110111010001010011000 , +b10111001100110111010001010011000 H +b11110 + +b11110 G +1- +1. +0% +#3039000 +19" +0] +1o +b1100000010010000011010011010100 { +b1100000010010000011010011010100 A" +0\ +1=" +1>" +1@" +0:" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b110 0" +0j +1b +12" +b10111001100110111010001010011000 &" +b10111001100110111010001010011000 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b111011100101101011000110101 / +b111011100101101011000110101 K +b111011100101101011000110101 e +b111011100101101011000110101 "" +0. +1% +#3039010 +b10111001100110111010001010011000 a" +#3039500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3040000 +1] +1i +1\ +0=" +0>" +0@" +1g +09" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0m +0/" +b1100000010010000011010011010100 / +b1100000010010000011010011010100 K +b1100000010010000011010011010100 e +b1100000010010000011010011010100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3040500 +1. +0% +#3041000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3041500 +b11111 5 +b11111 L +b11111 W +14 +b11100101001011000000000001010010 2 +b11100101001011000000000001010010 I +b11100101001011000000000001010010 Z +b10010 3 +b10010 J +b10010 Y +11 +b11111 ' +b11111 D +1) +b11100101001011000000000001010010 , +b11100101001011000000000001010010 H +b10010 + +b10010 G +1- +1. +0% +#3042000 +0i +b10100110001110111110101100000010 { +b10100110001110111110101100000010 A" +0\ +1@" +0h +0g +19" +1:" +1;" +b1101 3" +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +1b +12" +1n +1m +1/" +b11100101001011000000000001010010 &" +b11100101001011000000000001010010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#3042010 +b11100101001011000000000001010010 U" +#3042500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b101011001000010011000100111011 2 +b101011001000010011000100111011 I +b101011001000010011000100111011 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +b101011001000010011000100111011 , +b101011001000010011000100111011 H +b10110 + +b10110 G +1. +0% +#3043000 +0] +1i +0s +b101010001000110010001011111010 | +b101010001000110010001011111010 B" +1>" +1h +1g +09" +0:" +0;" +0q +15" +16" +17" +b1001 3" +1^ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +0t +0y +1z +1u +0n +0m +0/" +1w +1," +b101011001000010011000100111011 &" +b101011001000010011000100111011 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +b10100110001110111110101100000010 / +b10100110001110111110101100000010 K +b10100110001110111110101100000010 e +b10100110001110111110101100000010 "" +0. +1% +#3043010 +b101011001000010011000100111011 Y" +#3043500 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3044000 +1] +0u +1t +1\ +0>" +0@" +0r +05" +16" +b11111 3" +0^ +0<" +0` +b1000 -" +14" +1v +0z +b10011011001001000010111110101000 | +b10011011001001000010111110101000 B" +0b +02" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101010001000110010001011111010 0 +b101010001000110010001011111010 M +b101010001000110010001011111010 f +b101010001000110010001011111010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +0. +1% +#3044500 +b0 7 +b0 N +b0 V +06 +b11111100011100000000011110111110 2 +b11111100011100000000011110111110 I +b11111100011100000000011110111110 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 & +b0 C +0( +b11111100011100000000011110111110 , +b11111100011100000000011110111110 H +b1001 + +b1001 G +1- +1. +0% +#3045000 +0] +1s +0[ +1=" +1@" +1r +1q +06" +07" +b10110 3" +1c +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0w +0," +b11111100011100000000011110111110 &" +b11111100011100000000011110111110 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10011011001001000010111110101000 0 +b10011011001001000010111110101000 M +b10011011001001000010111110101000 f +b10011011001001000010111110101000 !" +0. +1% +#3045010 +b11111100011100000000011110111110 L" +#3045500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3046000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3046500 +b111 5 +b111 L +b111 W +14 +b1001 7 +b1001 N +b1001 V +16 +b111 ' +b111 D +1) +b1001 & +b1001 C +1( +1. +0% +#3047000 +0i +b111000011000110110110010110001 { +b111000011000110110110010110001 A" +0s +b11111100011100000000011110111110 | +b11111100011100000000011110111110 B" +0h +0g +1:" +1;" +0q +15" +17" +b11000 0" +1j +1k +b10110 -" +1y +1u +1n +1m +1/" +1w +1," +b111 ~ +b111 )" +b111 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#3047500 +b100 5 +b100 L +b100 W +b10001 7 +b10001 N +b10001 V +b100 ' +b100 D +b10001 & +b10001 C +1. +0% +#3048000 +0u +1s +1h +1g +b10010111000011001110100111110011 | +b10010111000011001110100111110011 B" +05" +b11011 0" +b10101001001101000000110100001001 { +b10101001001101000000110100001001 A" +b1110 -" +14" +1v +0y +0n +0m +b111000011000110110110010110001 / +b111000011000110110110010110001 K +b111000011000110110110010110001 e +b111000011000110110110010110001 "" +b11111100011100000000011110111110 0 +b11111100011100000000011110111110 M +b11111100011100000000011110111110 f +b11111100011100000000011110111110 !" +b100 ~ +b100 )" +b100 ." +b10001 } +b10001 (" +b10001 +" +0. +1% +#3048500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b1010 ' +b1010 D +b0 & +b0 C +0( +1. +0% +#3049000 +19" +1o +0h +0:" +1q +07" +b10101 0" +0j +b1100101111100101110000100101111 { +b1100101111100101110000100101111 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0w +0," +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +b10101001001101000000110100001001 / +b10101001001101000000110100001001 K +b10101001001101000000110100001001 e +b10101001001101000000110100001001 "" +b10010111000011001110100111110011 0 +b10010111000011001110100111110011 M +b10010111000011001110100111110011 f +b10010111000011001110100111110011 !" +0. +1% +#3049500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3050000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b1100101111100101110000100101111 / +b1100101111100101110000100101111 K +b1100101111100101110000100101111 e +b1100101111100101110000100101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3050500 +b1111111110101000101101100111010 2 +b1111111110101000101101100111010 I +b1111111110101000101101100111010 Z +b101 3 +b101 J +b101 Y +11 +b1111111110101000101101100111010 , +b1111111110101000101101100111010 H +b101 + +b101 G +1- +1. +0% +#3051000 +0] +0[ +1>" +1@" +b11010 3" +1^ +1_ +1a +12" +b1111111110101000101101100111010 &" +b1111111110101000101101100111010 ?" +b101 %" +b101 *" +b101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3051010 +b1111111110101000101101100111010 H" +#3051500 +b11100 5 +b11100 L +b11100 W +14 +b1011111100101111100011010000101 2 +b1011111100101111100011010000101 I +b1011111100101111100011010000101 Z +b11000 3 +b11000 J +b11000 Y +b11100 ' +b11100 D +1) +b1011111100101111100011010000101 , +b1011111100101111100011010000101 H +b11000 + +b11000 G +1. +0% +#3052000 +1=" +0_ +1c +0i +b110011111010111011110101011111 { +b110011111010111011110101011111 A" +1[ +0>" +19" +1:" +1;" +b111 3" +1<" +1` +0^ +b11 0" +0j +0o +1p +0k +18" +1l +0a +1/" +b1011111100101111100011010000101 &" +b1011111100101111100011010000101 ?" +b11000 %" +b11000 *" +b11000 1" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#3052010 +b1011111100101111100011010000101 [" +#3052500 +b1011 5 +b1011 L +b1011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3053000 +1] +1k +1o +0=" +0@" +0h +0g +1;" +19" +0:" +b11111 3" +0c +0<" +0` +b10100 0" +08" +0l +0p +b11011111010100111110001010000010 { +b11011111010100111110001010000010 A" +02" +1n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +b110011111010111011110101011111 / +b110011111010111011110101011111 K +b110011111010111011110101011111 e +b110011111010111011110101011111 "" +0. +1% +#3053500 +b11 5 +b11 L +b11 W +b110110100010100111001111100001 2 +b110110100010100111001111100001 I +b110110100010100111001111100001 Z +b1000 3 +b1000 J +b1000 Y +11 +b11 ' +b11 D +b110110100010100111001111100001 , +b110110100010100111001111100001 H +b1000 + +b1000 G +1- +1. +0% +#3054000 +0] +1i +b11111100010110111010010011111001 { +b11111100010110111010010011111001 A" +1=" +1@" +09" +b10111 3" +1c +1_ +b11100 0" +0o +12" +b11011111010100111110001010000010 / +b11011111010100111110001010000010 K +b11011111010100111110001010000010 e +b11011111010100111110001010000010 "" +b110110100010100111001111100001 &" +b110110100010100111001111100001 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11 ~ +b11 )" +b11 ." +0. +1% +#3054010 +b110110100010100111001111100001 K" +#3054500 +b11110 5 +b11110 L +b11110 W +b100 7 +b100 N +b100 V +16 +b1000011111000110100101011010001 2 +b1000011111000110100101011010001 I +b1000011111000110100101011010001 Z +b1001 3 +b1001 J +b1001 Y +b11110 ' +b11110 D +b100 & +b100 C +1( +b1000011111000110100101011010001 , +b1000011111000110100101011010001 H +b1001 + +b1001 G +1. +0% +#3055000 +0k +0i +0s +b10101001001101000000110100001001 | +b10101001001101000000110100001001 B" +0[ +1g +19" +1:" +16" +17" +b10110 3" +b1 0" +18" +1l +0j +0o +1p +b10111001100110111010001010011000 { +b10111001100110111010001010011000 A" +b11011 -" +1t +1u +1a +0m +1," +b1000011111000110100101011010001 &" +b1000011111000110100101011010001 ?" +b1001 %" +b1001 *" +b1001 1" +b11110 ~ +b11110 )" +b11110 ." +b100 } +b100 (" +b100 +" +1#" +b11111100010110111010010011111001 / +b11111100010110111010010011111001 K +b11111100010110111010010011111001 e +b11111100010110111010010011111001 "" +0. +1% +#3055010 +b1000011111000110100101011010001 L" +#3055500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3056000 +15" +1] +1i +1y +1[ +0=" +0@" +1h +09" +0:" +0;" +0r +0q +06" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +0t +b11011111010100111110001010000010 | +b11011111010100111110001010000010 B" +0a +02" +0n +0/" +1x +1w +b10111001100110111010001010011000 / +b10111001100110111010001010011000 K +b10111001100110111010001010011000 e +b10111001100110111010001010011000 "" +b10101001001101000000110100001001 0 +b10101001001101000000110100001001 M +b10101001001101000000110100001001 f +b10101001001101000000110100001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#3056500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#3057000 +0i +b10011011001001000010111110101000 { +b10011011001001000010111110101000 A" +1s +0h +0g +1:" +1;" +1r +1q +05" +07" +b1000 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0x +0w +0," +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011111010100111110001010000010 0 +b11011111010100111110001010000010 M +b11011111010100111110001010000010 f +b11011111010100111110001010000010 !" +0. +1% +#3057500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 ' +b0 D +0) +b10 & +b10 C +1( +1. +0% +#3058000 +1i +b11100011100110111101110111000101 | +b11100011100110111101110111000101 B" +1h +1g +0:" +0;" +0r +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +0n +0m +0/" +1x +1," +b10011011001001000010111110101000 / +b10011011001001000010111110101000 K +b10011011001001000010111110101000 e +b10011011001001000010111110101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#3058500 +b0 7 +b0 N +b0 V +06 +b111001001101000011110011001 2 +b111001001101000011110011001 I +b111001001101000011110011001 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 & +b0 C +0( +b111001001101000011110011001 , +b111001001101000011110011001 H +b11011 + +b11011 G +1- +1. +0% +#3059000 +0] +0\ +0[ +1=" +1@" +1r +07" +b100 3" +1c +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0," +b111001001101000011110011001 &" +b111001001101000011110011001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100011100110111101110111000101 0 +b11100011100110111101110111000101 M +b11100011100110111101110111000101 f +b11100011100110111101110111000101 !" +0. +1% +#3059010 +b111001001101000011110011001 ^" +#3059500 +b11010110010110101110111110101000 2 +b11010110010110101110111110101000 I +b11010110010110101110111110101000 Z +b11000 3 +b11000 J +b11000 Y +b11010110010110101110111110101000 , +b11010110010110101110111110101000 H +b11000 + +b11000 G +1. +0% +#3060000 +1\ +1[ +b111 3" +0b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010110010110101110111110101000 &" +b11010110010110101110111110101000 ?" +b11000 %" +b11000 *" +b11000 1" +0. +1% +#3060010 +b11010110010110101110111110101000 [" +#3060500 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3061000 +1] +0s +b1010111110000011010010111110 | +b1010111110000011010010111110 B" +0=" +0@" +16" +17" +b11111 3" +0c +0<" +0` +b1011 -" +1t +0u +14" +1v +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#3061500 +b1111 7 +b1111 N +b1111 V +b1111 & +b1111 C +1. +0% +#3062000 +1u +0t +0r +0q +17" +15" +b10000 -" +04" +0v +1z +b1010100000001100011000001010110 | +b1010100000001100011000001010110 B" +1x +1w +b1010111110000011010010111110 0 +b1010111110000011010010111110 M +b1010111110000011010010111110 f +b1010111110000011010010111110 !" +b1111 } +b1111 (" +b1111 +" +0. +1% +#3062500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3063000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1010100000001100011000001010110 0 +b1010100000001100011000001010110 M +b1010100000001100011000001010110 f +b1010100000001100011000001010110 !" +0. +1% +#3063500 +b11000 7 +b11000 N +b11000 V +16 +b1000111011111101110110011111111 2 +b1000111011111101110110011111111 I +b1000111011111101110110011111111 Z +b100 3 +b100 J +b100 Y +11 +b11000 & +b11000 C +1( +b1000111011111101110110011111111 , +b1000111011111101110110011111111 H +b100 + +b100 G +1- +1. +0% +#3064000 +0] +0s +b11010110010110101110111110101000 | +b11010110010110101110111110101000 B" +1>" +1@" +15" +17" +b11011 3" +1^ +1_ +b111 -" +1y +0u +14" +1v +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000111011111101110110011111111 &" +b1000111011111101110110011111111 ?" +b100 %" +b100 *" +b100 1" +1'" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#3064010 +b1000111011111101110110011111111 G" +#3064500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3065000 +1] +0i +b10111100101100001101111111111101 { +b10111100101100001101111111111101 A" +1s +0>" +0@" +19" +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0_ +b10011 0" +0j +0o +1p +1k +b11111 -" +0y +04" +0v +02" +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11010110010110101110111110101000 0 +b11010110010110101110111110101000 M +b11010110010110101110111110101000 f +b11010110010110101110111110101000 !" +0. +1% +#3065500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b0 ' +b0 D +0) +b110 & +b110 C +1( +1. +0% +#3066000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10111001001100110110101001100010 | +b10111001001100110110101001100010 B" +09" +0:" +0;" +0r +16" +17" +b11111 0" +0p +0k +b11001 -" +1t +1u +0/" +1x +1," +b10111100101100001101111111111101 / +b10111100101100001101111111111101 K +b10111100101100001101111111111101 e +b10111100101100001101111111111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#3066500 +b1 5 +b1 L +b1 W +14 +b101 7 +b101 N +b101 V +b10111100010010001100001110011111 2 +b10111100010010001100001110011111 I +b10111100010010001100001110011111 Z +11 +b1 ' +b1 D +1) +b101 & +b101 C +b10111100010010001100001110011111 , +b10111100010010001100001110011111 H +1- +1. +0% +#3067000 +b1111011111001010010111111011100 { +b1111011111001010010111111011100 A" +1@" +0g +1;" +1r +0q +1_ +b11110 0" +1k +b11010 -" +b1111111110101000101101100111010 | +b1111111110101000101101100111010 B" +12" +1m +1/" +0x +1w +b10111100010010001100001110011111 &" +b10111100010010001100001110011111 ?" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b101 } +b101 (" +b101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111001001100110110101001100010 0 +b10111001001100110110101001100010 M +b10111001001100110110101001100010 f +b10111001001100110110101001100010 !" +0. +1% +#3067010 +b10111100010010001100001110011111 C" +#3067500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b11001 & +b11001 C +b0 , +b0 H +0- +1. +0% +#3068000 +15" +0u +1y +0@" +1g +0;" +b1100000010010000011010011010100 | +b1100000010010000011010011010100 B" +06" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +14" +1v +0t +02" +0m +0/" +b1111011111001010010111111011100 / +b1111011111001010010111111011100 K +b1111011111001010010111111011100 e +b1111011111001010010111111011100 "" +b1111111110101000101101100111010 0 +b1111111110101000101101100111010 M +b1111111110101000101101100111010 f +b1111111110101000101101100111010 !" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#3068500 +b11110 7 +b11110 N +b11110 V +b11110 & +b11110 C +1. +0% +#3069000 +0y +0r +1q +16" +b1 -" +1z +b10111001100110111010001010011000 | +b10111001100110111010001010011000 B" +1x +0w +b11110 } +b11110 (" +b11110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100000010010000011010011010100 0 +b1100000010010000011010011010100 M +b1100000010010000011010011010100 f +b1100000010010000011010011010100 !" +0. +1% +#3069500 +b101 7 +b101 N +b101 V +b1101101110011101001001100110000 2 +b1101101110011101001001100110000 I +b1101101110011101001001100110000 Z +b1010 3 +b1010 J +b1010 Y +11 +b101 & +b101 C +b1101101110011101001001100110000 , +b1101101110011101001001100110000 H +b1010 + +b1010 G +1- +1. +0% +#3070000 +0] +1u +1t +0\ +1=" +1@" +1r +0q +17" +05" +16" +b10101 3" +1c +1_ +b11010 -" +04" +0v +0z +b1111111110101000101101100111010 | +b1111111110101000101101100111010 B" +1b +12" +0x +1w +b10111001100110111010001010011000 0 +b10111001100110111010001010011000 M +b10111001100110111010001010011000 f +b10111001100110111010001010011000 !" +b1101101110011101001001100110000 &" +b1101101110011101001001100110000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b101 } +b101 (" +b101 +" +0. +1% +#3070010 +b1101101110011101001001100110000 M" +#3070500 +b1 5 +b1 L +b1 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3071000 +1] +b1111011111001010010111111011100 { +b1111011111001010010111111011100 A" +1\ +0=" +0@" +0g +1;" +b11111 3" +0c +0_ +b11110 0" +1k +0b +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b1111111110101000101101100111010 0 +b1111111110101000101101100111010 M +b1111111110101000101101100111010 f +b1111111110101000101101100111010 !" +0. +1% +#3071500 +b1110 5 +b1110 L +b1110 W +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +b0 & +b0 C +0( +1. +0% +#3072000 +0i +1s +0h +1g +19" +1:" +1q +06" +07" +b10001 0" +0j +0o +1p +b11011000110111111000100100001111 { +b11011000110111111000100100001111 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0m +0w +0," +b1111011111001010010111111011100 / +b1111011111001010010111111011100 K +b1111011111001010010111111011100 e +b1111011111001010010111111011100 "" +b1110 ~ +b1110 )" +b1110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3072500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3073000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11011000110111111000100100001111 / +b11011000110111111000100100001111 K +b11011000110111111000100100001111 e +b11011000110111111000100100001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3073500 +b11100 5 +b11100 L +b11100 W +14 +b1101 7 +b1101 N +b1101 V +16 +b11100 ' +b11100 D +1) +b1101 & +b1101 C +1( +1. +0% +#3074000 +0i +b110011111010111011110101011111 { +b110011111010111011110101011111 A" +0s +b101010001000110010001011111010 | +b101010001000110010001011111010 B" +19" +1:" +1;" +0q +15" +16" +17" +b11 0" +0j +0o +1p +0k +18" +1l +b10010 -" +0t +0y +1z +1u +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100 ~ +b11100 )" +b11100 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#3074500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b0 ' +b0 D +0) +b100 & +b100 C +1. +0% +#3075000 +1i +1t +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +16" +b11111 0" +0p +08" +0l +b11011 -" +0z +b1000111011111101110110011111111 | +b1000111011111101110110011111111 B" +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +b110011111010111011110101011111 / +b110011111010111011110101011111 K +b110011111010111011110101011111 e +b110011111010111011110101011111 "" +b101010001000110010001011111010 0 +b101010001000110010001011111010 M +b101010001000110010001011111010 f +b101010001000110010001011111010 !" +0. +1% +#3075500 +b10100 5 +b10100 L +b10100 W +14 +b10100 7 +b10100 N +b10100 V +b10100 ' +b10100 D +1) +b10100 & +b10100 C +1. +0% +#3076000 +0i +b1010111110000011010010111110 { +b1010111110000011010010111110 A" +0u +1:" +1;" +b1010111110000011010010111110 | +b1010111110000011010010111110 B" +b1011 0" +1j +0k +18" +1l +b1011 -" +14" +1v +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000111011111101110110011111111 0 +b1000111011111101110110011111111 M +b1000111011111101110110011111111 f +b1000111011111101110110011111111 !" +b10100 ~ +b10100 )" +b10100 ." +1$" +b10100 } +b10100 (" +b10100 +" +0. +1% +#3076500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b0 ' +b0 D +0) +b1111 & +b1111 C +1. +0% +#3077000 +1i +1u +0t +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +17" +15" +b11111 0" +0j +08" +0l +b10000 -" +04" +0v +1z +b1010100000001100011000001010110 | +b1010100000001100011000001010110 B" +0/" +1x +1w +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +b1010111110000011010010111110 / +b1010111110000011010010111110 K +b1010111110000011010010111110 e +b1010111110000011010010111110 "" +b1010111110000011010010111110 0 +b1010111110000011010010111110 M +b1010111110000011010010111110 f +b1010111110000011010010111110 !" +0. +1% +#3077500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3078000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010100000001100011000001010110 0 +b1010100000001100011000001010110 M +b1010100000001100011000001010110 f +b1010100000001100011000001010110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3078500 +b1110 7 +b1110 N +b1110 V +16 +b100101000101001110111100000011 2 +b100101000101001110111100000011 I +b100101000101001110111100000011 Z +b10111 3 +b10111 J +b10111 Y +11 +b1110 & +b1110 C +1( +b100101000101001110111100000011 , +b100101000101001110111100000011 H +b10111 + +b10111 G +1- +1. +0% +#3079000 +0] +0s +b11011000110111111000100100001111 | +b11011000110111111000100100001111 B" +0\ +0[ +1>" +1@" +0r +15" +16" +17" +b1000 3" +1^ +0_ +1<" +1` +b10001 -" +0t +0y +1z +1u +1b +1a +12" +1x +1," +b100101000101001110111100000011 &" +b100101000101001110111100000011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3079010 +b100101000101001110111100000011 Z" +#3079500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b10010011111000011000110001110011 2 +b10010011111000011000110001110011 I +b10010011111000011000110001110011 Z +b1011 3 +b1011 J +b1011 Y +b1 ' +b1 D +1) +b0 & +b0 C +0( +b10010011111000011000110001110011 , +b10010011111000011000110001110011 H +b1011 + +b1011 G +1. +0% +#3080000 +1=" +1_ +1c +b1111011111001010010111111011100 { +b1111011111001010010111111011100 A" +1s +1@" +0>" +0g +1;" +1r +05" +06" +07" +b10100 3" +0<" +0` +0^ +b11110 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0," +b11011000110111111000100100001111 0 +b11011000110111111000100100001111 M +b11011000110111111000100100001111 f +b11011000110111111000100100001111 !" +b10010011111000011000110001110011 &" +b10010011111000011000110001110011 ?" +b1011 %" +b1011 *" +b1011 1" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3080010 +b10010011111000011000110001110011 N" +#3080500 +b1110 5 +b1110 L +b1110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3081000 +1] +0i +1\ +1[ +0=" +0@" +0h +1g +19" +1:" +b11111 3" +0c +0_ +b10001 0" +0j +0o +1p +b11011000110111111000100100001111 { +b11011000110111111000100100001111 A" +0b +0a +02" +1n +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +b1111011111001010010111111011100 / +b1111011111001010010111111011100 K +b1111011111001010010111111011100 e +b1111011111001010010111111011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3081500 +b111 5 +b111 L +b111 W +b11110 7 +b11110 N +b11110 V +16 +b111 ' +b111 D +b11110 & +b11110 C +1( +1. +0% +#3082000 +1j +0s +b10111001100110111010001010011000 | +b10111001100110111010001010011000 B" +0g +09" +1:" +0r +15" +16" +17" +b11000 0" +0p +b111000011000110110110010110001 { +b111000011000110110110010110001 A" +b1 -" +0t +0y +1z +0u +14" +1v +1m +1x +1," +b11011000110111111000100100001111 / +b11011000110111111000100100001111 K +b11011000110111111000100100001111 e +b11011000110111111000100100001111 "" +b111 ~ +b111 )" +b111 ." +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#3082500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3083000 +1i +1s +1h +1g +0:" +0;" +1r +05" +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b111000011000110110110010110001 / +b111000011000110110110010110001 K +b111000011000110110110010110001 e +b111000011000110110110010110001 "" +b10111001100110111010001010011000 0 +b10111001100110111010001010011000 M +b10111001100110111010001010011000 f +b10111001100110111010001010011000 !" +0. +1% +#3083500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#3084000 +0i +b10010011111000011000110001110011 { +b10010011111000011000110001110011 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#3084500 +b0 5 +b0 L +b0 W +04 +b1001011001110010101100010011 2 +b1001011001110010101100010011 I +b1001011001110010101100010011 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b1001011001110010101100010011 , +b1001011001110010101100010011 H +b10101 + +b10101 G +1- +1. +0% +#3085000 +0] +1i +0[ +1>" +1@" +1h +1g +09" +0;" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0m +0/" +b1001011001110010101100010011 &" +b1001011001110010101100010011 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010011111000011000110001110011 / +b10010011111000011000110001110011 K +b10010011111000011000110001110011 e +b10010011111000011000110001110011 "" +0. +1% +#3085010 +b1001011001110010101100010011 X" +#3085500 +b10101 7 +b10101 N +b10101 V +16 +b10001000111110100100001010010110 2 +b10001000111110100100001010010110 I +b10001000111110100100001010010110 Z +b11110 3 +b11110 J +b11110 Y +b10101 & +b10101 C +1( +b10001000111110100100001010010110 , +b10001000111110100100001010010110 H +b11110 + +b11110 G +1. +0% +#3086000 +0^ +0s +b1001011001110010101100010011 | +b1001011001110010101100010011 B" +0\ +1[ +1=" +0q +16" +17" +b1 3" +1d +b1010 -" +1t +0u +14" +1v +1b +0a +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001000111110100100001010010110 &" +b10001000111110100100001010010110 ?" +b11110 %" +b11110 *" +b11110 1" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#3086010 +b10001000111110100100001010010110 a" +#3086500 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3087000 +1] +1u +1s +1\ +0=" +0>" +0@" +1q +17" +06" +b11111 3" +0d +0<" +0` +b11111 -" +04" +0v +0t +b10111100010010001100001110011111 | +b10111100010010001100001110011111 B" +0b +02" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +b1001011001110010101100010011 0 +b1001011001110010101100010011 M +b1001011001110010101100010011 f +b1001011001110010101100010011 !" +0. +1% +#3087500 +b10 5 +b10 L +b10 W +14 +b11111 7 +b11111 N +b11111 V +b10 ' +b10 D +1) +b11111 & +b11111 C +1. +0% +#3088000 +b11100011100110111101110111000101 { +b11100011100110111101110111000101 A" +0u +0s +0h +1;" +0r +0q +15" +16" +b11101 0" +1k +b0 -" +14" +1v +0t +0y +1z +b10100110001110111110101100000010 | +b10100110001110111110101100000010 B" +1n +1/" +1x +1w +b10111100010010001100001110011111 0 +b10111100010010001100001110011111 M +b10111100010010001100001110011111 f +b10111100010010001100001110011111 !" +b10 ~ +b10 )" +b10 ." +1$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#3088500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +06 +b11011 ' +b11011 D +b0 & +b0 C +0( +1. +0% +#3089000 +0k +0i +1s +0g +19" +1r +1q +05" +06" +07" +b100 0" +18" +1l +1o +b111001001101000011110011001 { +b111001001101000011110011001 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +0x +0w +0," +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0#" +b11100011100110111101110111000101 / +b11100011100110111101110111000101 K +b11100011100110111101110111000101 e +b11100011100110111101110111000101 "" +b10100110001110111110101100000010 0 +b10100110001110111110101100000010 M +b10100110001110111110101100000010 f +b10100110001110111110101100000010 !" +0. +1% +#3089500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +1. +0% +#3090000 +1i +0s +b1010111110000011010010111110 | +b1010111110000011010010111110 B" +1h +1g +09" +0;" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +1t +0u +14" +1v +0n +0m +0/" +1," +b111001001101000011110011001 / +b111001001101000011110011001 K +b111001001101000011110011001 e +b111001001101000011110011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#3090500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3091000 +1s +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0t +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010111110000011010010111110 0 +b1010111110000011010010111110 M +b1010111110000011010010111110 f +b1010111110000011010010111110 !" +0. +1% +#3091500 +1. +0% +#3092000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3092500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#3093000 +b11100101001011000000000001010010 { +b11100101001011000000000001010010 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#3093500 +b111 5 +b111 L +b111 W +b111 ' +b111 D +1. +0% +#3094000 +1k +0i +0g +1;" +1:" +b11000 0" +08" +0l +1j +b111000011000110110110010110001 { +b111000011000110110110010110001 A" +1m +b11100101001011000000000001010010 / +b11100101001011000000000001010010 K +b11100101001011000000000001010010 e +b11100101001011000000000001010010 "" +b111 ~ +b111 )" +b111 ." +0. +1% +#3094500 +b0 5 +b0 L +b0 W +04 +b10011001101010001010101011010100 2 +b10011001101010001010101011010100 I +b10011001101010001010101011010100 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b10011001101010001010101011010100 , +b10011001101010001010101011010100 H +b10110 + +b10110 G +1- +1. +0% +#3095000 +0] +1i +0\ +1>" +1@" +1h +1g +0:" +0;" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0m +0/" +b10011001101010001010101011010100 &" +b10011001101010001010101011010100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b111000011000110110110010110001 / +b111000011000110110110010110001 K +b111000011000110110110010110001 e +b111000011000110110110010110001 "" +0. +1% +#3095010 +b10011001101010001010101011010100 Y" +#3095500 +b1000101101110011010110000000010 2 +b1000101101110011010110000000010 I +b1000101101110011010110000000010 Z +b10 3 +b10 J +b10 Y +b1000101101110011010110000000010 , +b1000101101110011010110000000010 H +b10 + +b10 G +1. +0% +#3096000 +1_ +1] +1@" +0>" +b11101 3" +0<" +0` +0^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000101101110011010110000000010 &" +b1000101101110011010110000000010 ?" +b10 %" +b10 *" +b10 1" +0. +1% +#3096010 +b1000101101110011010110000000010 E" +#3096500 +b1010101000011101000100111100010 2 +b1010101000011101000100111100010 I +b1010101000011101000100111100010 Z +b10001 3 +b10001 J +b10001 Y +b1010101000011101000100111100010 , +b1010101000011101000100111100010 H +b10001 + +b10001 G +1. +0% +#3097000 +0_ +1\ +0[ +b1110 3" +1<" +1` +0b +1a +b1010101000011101000100111100010 &" +b1010101000011101000100111100010 ?" +b10001 %" +b10001 *" +b10001 1" +0. +1% +#3097010 +b1010101000011101000100111100010 T" +#3097500 +b1100 5 +b1100 L +b1100 W +14 +b11011 7 +b11011 N +b11011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b11011 & +b11011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3098000 +0i +b10111100101100001101111111111101 { +b10111100101100001101111111111101 A" +0s +b111001001101000011110011001 | +b111001001101000011110011001 B" +1[ +0@" +19" +1:" +1;" +0r +0q +15" +17" +b11111 3" +0<" +0` +b10011 0" +0j +0o +1p +1k +b100 -" +1y +0u +14" +1v +0a +02" +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#3098500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b1000101111001000010111000100110 2 +b1000101111001000010111000100110 I +b1000101111001000010111000100110 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +b1000101111001000010111000100110 , +b1000101111001000010111000100110 H +b1111 + +b1111 G +1- +1. +0% +#3099000 +16" +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +1t +0\ +0[ +1=" +1>" +1@" +09" +0:" +0;" +1r +1q +17" +05" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0p +0k +b11011 -" +04" +0v +0y +b1000111011111101110110011111111 | +b1000111011111101110110011111111 B" +1b +1a +12" +0/" +0x +0w +b1000101111001000010111000100110 &" +b1000101111001000010111000100110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +b10111100101100001101111111111101 / +b10111100101100001101111111111101 K +b10111100101100001101111111111101 e +b10111100101100001101111111111101 "" +b111001001101000011110011001 0 +b111001001101000011110011001 M +b111001001101000011110011001 f +b111001001101000011110011001 !" +0. +1% +#3099010 +b1000101111001000010111000100110 R" +#3099500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3100000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0=" +0>" +0@" +06" +07" +b11111 3" +0d +0_ +b11111 -" +0t +0u +0b +0a +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000111011111101110110011111111 0 +b1000111011111101110110011111111 M +b1000111011111101110110011111111 f +b1000111011111101110110011111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3100500 +b10100 5 +b10100 L +b10100 W +14 +b10101 7 +b10101 N +b10101 V +16 +b10100 ' +b10100 D +1) +b10101 & +b10101 C +1( +1. +0% +#3101000 +0i +b1010111110000011010010111110 { +b1010111110000011010010111110 A" +0s +b1001011001110010101100010011 | +b1001011001110010101100010011 B" +1:" +1;" +0q +16" +17" +b1011 0" +1j +0k +18" +1l +b1010 -" +1t +0u +14" +1v +1/" +1w +1," +b10100 ~ +b10100 )" +b10100 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3101500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 ' +b0 D +0) +b10010 & +b10010 C +1. +0% +#3102000 +1i +1s +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +1q +06" +b11111 0" +0j +08" +0l +b1101 -" +0t +b11100101001011000000000001010010 | +b11100101001011000000000001010010 B" +0/" +1x +0w +b1010111110000011010010111110 / +b1010111110000011010010111110 K +b1010111110000011010010111110 e +b1010111110000011010010111110 "" +b1001011001110010101100010011 0 +b1001011001110010101100010011 M +b1001011001110010101100010011 f +b1001011001110010101100010011 !" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#3102500 +b11111 5 +b11111 L +b11111 W +14 +b1011 7 +b1011 N +b1011 V +b1101101010111100000000001100101 2 +b1101101010111100000000001100101 I +b1101101010111100000000001100101 Z +b11101 3 +b11101 J +b11101 Y +11 +b11111 ' +b11111 D +1) +b1011 & +b1011 C +b1101101010111100000000001100101 , +b1101101010111100000000001100101 H +b11101 + +b11101 G +1- +1. +0% +#3103000 +0] +0i +b10100110001110111110101100000010 { +b10100110001110111110101100000010 A" +1u +0s +0[ +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +0q +17" +15" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +b10100 -" +04" +0v +1y +b10010011111000011000110001110011 | +b10010011111000011000110001110011 B" +1a +12" +1n +1m +1/" +1w +b1101101010111100000000001100101 &" +b1101101010111100000000001100101 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b1011 } +b1011 (" +b1011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100101001011000000000001010010 0 +b11100101001011000000000001010010 M +b11100101001011000000000001010010 f +b11100101001011000000000001010010 !" +0. +1% +#3103010 +b1101101010111100000000001100101 `" +#3103500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3104000 +1] +1i +1s +1[ +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +1r +1q +05" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0n +0m +0/" +0x +0w +0," +b10100110001110111110101100000010 / +b10100110001110111110101100000010 K +b10100110001110111110101100000010 e +b10100110001110111110101100000010 "" +b10010011111000011000110001110011 0 +b10010011111000011000110001110011 M +b10010011111000011000110001110011 f +b10010011111000011000110001110011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3104500 +b11011 7 +b11011 N +b11011 V +16 +b10011110110000101111111100110000 2 +b10011110110000101111111100110000 I +b10011110110000101111111100110000 Z +b10011 3 +b10011 J +b10011 Y +11 +b11011 & +b11011 C +1( +b10011110110000101111111100110000 , +b10011110110000101111111100110000 H +b10011 + +b10011 G +1- +1. +0% +#3105000 +0s +b111001001101000011110011001 | +b111001001101000011110011001 B" +0\ +0[ +1@" +0r +0q +15" +17" +b1100 3" +0_ +1<" +1` +b100 -" +1y +0u +14" +1v +1b +1a +12" +1x +1w +1," +b10011110110000101111111100110000 &" +b10011110110000101111111100110000 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3105010 +b10011110110000101111111100110000 V" +#3105500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b10111000011101001011110000100100 2 +b10111000011101001011110000100100 I +b10111000011101001011110000100100 Z +b111 3 +b111 J +b111 Y +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +b10111000011101001011110000100100 , +b10111000011101001011110000100100 H +b111 + +b111 G +1. +0% +#3106000 +1_ +0] +0i +b10010011111000011000110001110011 { +b10010011111000011000110001110011 A" +1s +1@" +1>" +0h +0g +19" +1;" +1r +1q +05" +07" +b11000 3" +0<" +0` +1^ +b10100 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0w +0," +b111001001101000011110011001 0 +b111001001101000011110011001 M +b111001001101000011110011001 f +b111001001101000011110011001 !" +b10111000011101001011110000100100 &" +b10111000011101001011110000100100 ?" +b111 %" +b111 *" +b111 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3106010 +b10111000011101001011110000100100 J" +#3106500 +b0 5 +b0 L +b0 W +04 +b1010100001001111001101111000110 2 +b1010100001001111001101111000110 I +b1010100001001111001101111000110 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b1010100001001111001101111000110 , +b1010100001001111001101111000110 H +b10110 + +b10110 G +1. +0% +#3107000 +0_ +1i +1[ +1h +1g +09" +0;" +b1001 3" +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +0n +0m +0/" +b1010100001001111001101111000110 &" +b1010100001001111001101111000110 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10010011111000011000110001110011 / +b10010011111000011000110001110011 K +b10010011111000011000110001110011 e +b10010011111000011000110001110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3107010 +b1010100001001111001101111000110 Y" +#3107500 +b10010 5 +b10010 L +b10010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3108000 +1] +b11100101001011000000000001010010 { +b11100101001011000000000001010010 A" +1\ +0>" +0@" +0h +1;" +b11111 3" +0^ +0<" +0` +b1101 0" +0k +18" +1l +0b +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#3108500 +b11101 5 +b11101 L +b11101 W +b10111010111001001101000001000010 2 +b10111010111001001101000001000010 I +b10111010111001001101000001000010 Z +b1000 3 +b1000 J +b1000 Y +11 +b11101 ' +b11101 D +b10111010111001001101000001000010 , +b10111010111001001101000001000010 H +b1000 + +b1000 G +1- +1. +0% +#3109000 +0] +0i +1=" +1@" +1h +0g +19" +1:" +b10111 3" +1c +1_ +b10 0" +0j +0o +1p +b1101101010111100000000001100101 { +b1101101010111100000000001100101 A" +12" +0n +1m +b10111010111001001101000001000010 &" +b10111010111001001101000001000010 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b11100101001011000000000001010010 / +b11100101001011000000000001010010 K +b11100101001011000000000001010010 e +b11100101001011000000000001010010 "" +0. +1% +#3109010 +b10111010111001001101000001000010 K" +#3109500 +b10100 5 +b10100 L +b10100 W +b100001001111110011010111010100 2 +b100001001111110011010111010100 I +b100001001111110011010111010100 Z +b1010 3 +b1010 J +b1010 Y +b10100 ' +b10100 D +b100001001111110011010111010100 , +b100001001111110011010111010100 H +b1010 + +b1010 G +1. +0% +#3110000 +1j +0\ +1g +09" +1:" +b10101 3" +b1011 0" +0p +b1010111110000011010010111110 { +b1010111110000011010010111110 A" +1b +0m +b1101101010111100000000001100101 / +b1101101010111100000000001100101 K +b1101101010111100000000001100101 e +b1101101010111100000000001100101 "" +b100001001111110011010111010100 &" +b100001001111110011010111010100 ?" +b1010 %" +b1010 *" +b1010 1" +b10100 ~ +b10100 )" +b10100 ." +0. +1% +#3110010 +b100001001111110011010111010100 M" +#3110500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3111000 +1] +1i +1\ +0=" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0c +0_ +b11111 0" +0j +08" +0l +0b +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010111110000011010010111110 / +b1010111110000011010010111110 K +b1010111110000011010010111110 e +b1010111110000011010010111110 "" +0. +1% +#3111500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#3112000 +0s +b10001000111110100100001010010110 | +b10001000111110100100001010010110 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#3112500 +b1111 7 +b1111 N +b1111 V +b1111 & +b1111 C +1. +0% +#3113000 +1u +0q +17" +b10000 -" +04" +0v +b1000101111001000010111000100110 | +b1000101111001000010111000100110 B" +1w +b1111 } +b1111 (" +b1111 +" +b10001000111110100100001010010110 0 +b10001000111110100100001010010110 M +b10001000111110100100001010010110 f +b10001000111110100100001010010110 !" +0. +1% +#3113500 +b10100 7 +b10100 N +b10100 V +b10100 & +b10100 C +1. +0% +#3114000 +0u +1t +1r +1q +05" +16" +b1011 -" +14" +1v +0z +b1010111110000011010010111110 | +b1010111110000011010010111110 B" +0x +0w +b1000101111001000010111000100110 0 +b1000101111001000010111000100110 M +b1000101111001000010111000100110 f +b1000101111001000010111000100110 !" +b10100 } +b10100 (" +b10100 +" +0. +1% +#3114500 +14 +b0 7 +b0 N +b0 V +06 +1) +b0 & +b0 C +0( +1. +0% +#3115000 +b10111100010010001100001110011111 { +b10111100010010001100001110011111 A" +1s +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1k +b11111 -" +0t +04" +0v +1/" +0," +1$" +b0 } +b0 (" +b0 +" +0#" +b1010111110000011010010111110 0 +b1010111110000011010010111110 M +b1010111110000011010010111110 f +b1010111110000011010010111110 !" +0. +1% +#3115500 +04 +b11110001000010111101100110000101 2 +b11110001000010111101100110000101 I +b11110001000010111101100110000101 Z +11 +0) +b11110001000010111101100110000101 , +b11110001000010111101100110000101 H +1- +1. +0% +#3116000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1@" +0;" +1_ +0k +12" +0/" +b10111100010010001100001110011111 / +b10111100010010001100001110011111 K +b10111100010010001100001110011111 e +b10111100010010001100001110011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110001000010111101100110000101 &" +b11110001000010111101100110000101 ?" +1'" +0$" +0. +1% +#3116010 +b11110001000010111101100110000101 C" +#3116500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +01 +b11100 & +b11100 C +1( +b0 , +b0 H +0- +1. +0% +#3117000 +0s +b110011111010111011110101011111 | +b110011111010111011110101011111 B" +0@" +15" +16" +17" +0_ +b11 -" +0t +0y +1z +0u +14" +1v +02" +1," +b0 &" +b0 ?" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3117500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#3118000 +0i +b100101000101001110111100000011 { +b100101000101001110111100000011 A" +1s +0h +0g +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1000 0" +1j +0k +18" +1l +b11111 -" +0z +04" +0v +1n +1m +1/" +0," +b110011111010111011110101011111 0 +b110011111010111011110101011111 M +b110011111010111011110101011111 f +b110011111010111011110101011111 !" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3118500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3119000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b100101000101001110111100000011 / +b100101000101001110111100000011 K +b100101000101001110111100000011 e +b100101000101001110111100000011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3119500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#3120000 +0i +b1000011111000110100101011010001 { +b1000011111000110100101011010001 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#3120500 +b11010010101100011111001010111 2 +b11010010101100011111001010111 I +b11010010101100011111001010111 Z +b10011 3 +b10011 J +b10011 Y +11 +b11010010101100011111001010111 , +b11010010101100011111001010111 H +b10011 + +b10011 G +1- +1. +0% +#3121000 +0\ +0[ +1@" +b1100 3" +0_ +1<" +1` +1b +1a +12" +b11010010101100011111001010111 &" +b11010010101100011111001010111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1000011111000110100101011010001 / +b1000011111000110100101011010001 K +b1000011111000110100101011010001 e +b1000011111000110100101011010001 "" +0. +1% +#3121010 +b11010010101100011111001010111 V" +#3121500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b110010000000101110101100000000 2 +b110010000000101110101100000000 I +b110010000000101110101100000000 Z +b11101 3 +b11101 J +b11101 Y +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +b110010000000101110101100000000 , +b110010000000101110101100000000 H +b11101 + +b11101 G +1. +0% +#3122000 +0] +1i +0s +b100101000101001110111100000011 | +b100101000101001110111100000011 B" +1\ +1=" +1>" +1g +09" +0;" +0r +0q +16" +17" +b10 3" +0^ +0c +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1000 -" +1t +0u +14" +1v +0b +0m +0/" +1x +1w +1," +b110010000000101110101100000000 &" +b110010000000101110101100000000 ?" +b11101 %" +b11101 *" +b11101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#3122010 +b110010000000101110101100000000 `" +#3122500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3123000 +1] +1s +1[ +0=" +0>" +0@" +1r +1q +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101000101001110111100000011 0 +b100101000101001110111100000011 M +b100101000101001110111100000011 f +b100101000101001110111100000011 !" +0. +1% +#3123500 +b11000100110100110111000010001011 2 +b11000100110100110111000010001011 I +b11000100110100110111000010001011 Z +b11010 3 +b11010 J +b11010 Y +11 +b11000100110100110111000010001011 , +b11000100110100110111000010001011 H +b11010 + +b11010 G +1- +1. +0% +#3124000 +0] +0\ +1=" +1@" +b101 3" +1c +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000100110100110111000010001011 &" +b11000100110100110111000010001011 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +0. +1% +#3124010 +b11000100110100110111000010001011 ]" +#3124500 +b11110 5 +b11110 L +b11110 W +14 +b11110100110110110010101111001100 2 +b11110100110110110010101111001100 I +b11110100110110110010101111001100 Z +b10111 3 +b10111 J +b10111 Y +b11110 ' +b11110 D +1) +b11110100110110110010101111001100 , +b11110100110110110010101111001100 H +b10111 + +b10111 G +1. +0% +#3125000 +1>" +1^ +0i +b10001000111110100100001010010110 { +b10001000111110100100001010010110 A" +0[ +0=" +0h +19" +1:" +1;" +b1000 3" +0c +b1 0" +0j +0o +1p +0k +18" +1l +1a +1n +1/" +b11110100110110110010101111001100 &" +b11110100110110110010101111001100 ?" +b10111 %" +b10111 *" +b10111 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#3125010 +b11110100110110110010101111001100 Z" +#3125500 +b1110 5 +b1110 L +b1110 W +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3126000 +1] +1k +0s +b1001011001110010101100010011 | +b1001011001110010101100010011 B" +1\ +1[ +0>" +0@" +1;" +b11011000110111111000100100001111 { +b11011000110111111000100100001111 A" +0q +16" +17" +b11111 3" +0^ +0<" +0` +b10001 0" +08" +0l +b1010 -" +1t +0u +14" +1v +0b +0a +02" +1w +1," +b10001000111110100100001010010110 / +b10001000111110100100001010010110 K +b10001000111110100100001010010110 e +b10001000111110100100001010010110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#3126500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1101101100011111000010110011110 2 +b1101101100011111000010110011110 I +b1101101100011111000010110011110 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1101101100011111000010110011110 , +b1101101100011111000010110011110 H +b1 + +b1 G +1- +1. +0% +#3127000 +1i +1s +0[ +1@" +1h +09" +0:" +0;" +1q +06" +07" +b11110 3" +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0n +0/" +0w +0," +b1101101100011111000010110011110 &" +b1101101100011111000010110011110 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011000110111111000100100001111 / +b11011000110111111000100100001111 K +b11011000110111111000100100001111 e +b11011000110111111000100100001111 "" +b1001011001110010101100010011 0 +b1001011001110010101100010011 M +b1001011001110010101100010011 f +b1001011001110010101100010011 !" +0. +1% +#3127010 +b1101101100011111000010110011110 D" +#3127500 +b10010 5 +b10010 L +b10010 W +14 +b11100101101100011011100000001100 2 +b11100101101100011011100000001100 I +b11100101101100011011100000001100 Z +b11100 3 +b11100 J +b11100 Y +b10010 ' +b10010 D +1) +b11100101101100011011100000001100 , +b11100101101100011011100000001100 H +b11100 + +b11100 G +1. +0% +#3128000 +0_ +0] +b11100101001011000000000001010010 { +b11100101001011000000000001010010 A" +1[ +1=" +1>" +0h +1;" +b11 3" +1<" +1` +0^ +0c +1d +b1101 0" +0k +18" +1l +0a +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100101101100011011100000001100 &" +b11100101101100011011100000001100 ?" +b11100 %" +b11100 *" +b11100 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#3128010 +b11100101101100011011100000001100 _" +#3128500 +b0 5 +b0 L +b0 W +04 +b10101111101010110010001001110 2 +b10101111101010110010001001110 I +b10101111101010110010001001110 Z +b100 3 +b100 J +b100 Y +b0 ' +b0 D +0) +b10101111101010110010001001110 , +b10101111101010110010001001110 H +b100 + +b100 G +1. +0% +#3129000 +1_ +1^ +1@" +0=" +1>" +1h +0;" +b11011 3" +0<" +0` +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b10101111101010110010001001110 &" +b10101111101010110010001001110 ?" +b100 %" +b100 *" +b100 1" +b0 ~ +b0 )" +b0 ." +0$" +b11100101001011000000000001010010 / +b11100101001011000000000001010010 K +b11100101001011000000000001010010 e +b11100101001011000000000001010010 "" +0. +1% +#3129010 +b10101111101010110010001001110 G" +#3129500 +b11101 5 +b11101 L +b11101 W +14 +b11111101101010011011100100000110 2 +b11111101101010011011100100000110 I +b11111101101010011011100100000110 Z +b11101 ' +b11101 D +1) +b11111101101010011011100100000110 , +b11111101101010011011100100000110 H +1. +0% +#3130000 +0i +b110010000000101110101100000000 { +b110010000000101110101100000000 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101101010011011100100000110 &" +b11111101101010011011100100000110 ?" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#3130010 +b11111101101010011011100100000110 G" +#3130500 +b10111 5 +b10111 L +b10111 W +b100 7 +b100 N +b100 V +16 +b10011001000011100000000011001110 2 +b10011001000011100000000011001110 I +b10011001000011100000000011001110 Z +b0 3 +b0 J +b0 Y +b10111 ' +b10111 D +b100 & +b100 C +1( +b10011001000011100000000011001110 , +b10011001000011100000000011001110 H +b0 + +b0 G +1. +0% +#3131000 +1] +1j +0s +b11111101101010011011100100000110 | +b11111101101010011011100100000110 B" +0>" +0h +09" +1:" +16" +17" +b11111 3" +0^ +b1000 0" +0p +b11110100110110110010101111001100 { +b11110100110110110010101111001100 A" +b11011 -" +1t +1u +1n +1," +b10011001000011100000000011001110 &" +b10011001000011100000000011001110 ?" +b0 %" +b0 *" +b0 1" +b10111 ~ +b10111 )" +b10111 ." +b100 } +b100 (" +b100 +" +1#" +b110010000000101110101100000000 / +b110010000000101110101100000000 K +b110010000000101110101100000000 e +b110010000000101110101100000000 "" +0. +1% +#3131010 +b10011001000011100000000011001110 C" +#3131500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#3132000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0@" +1h +1g +0:" +0;" +06" +07" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +02" +0n +0m +0/" +0," +b11110100110110110010101111001100 / +b11110100110110110010101111001100 K +b11110100110110110010101111001100 e +b11110100110110110010101111001100 "" +b11111101101010011011100100000110 0 +b11111101101010011011100100000110 M +b11111101101010011011100100000110 f +b11111101101010011011100100000110 !" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3132500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#3133000 +0i +b10111000011101001011110000100100 { +b10111000011101001011110000100100 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b111 ~ +b111 )" +b111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3133500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b10101011111110111001101100011011 2 +b10101011111110111001101100011011 I +b10101011111110111001101100011011 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +b10101011111110111001101100011011 , +b10101011111110111001101100011011 H +b10001 + +b10001 G +1- +1. +0% +#3134000 +1i +0s +b11110100110110110010101111001100 | +b11110100110110110010101111001100 B" +0[ +1@" +1h +1g +0:" +0;" +0r +0q +16" +17" +b1110 3" +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1000 -" +1t +0u +14" +1v +1a +12" +0n +0m +0/" +1x +1w +1," +b10111000011101001011110000100100 / +b10111000011101001011110000100100 K +b10111000011101001011110000100100 e +b10111000011101001011110000100100 "" +b10101011111110111001101100011011 &" +b10101011111110111001101100011011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#3134010 +b10101011111110111001101100011011 T" +#3134500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3135000 +1s +1[ +0@" +1r +1q +06" +07" +b11111 3" +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110100110110110010101111001100 0 +b11110100110110110010101111001100 M +b11110100110110110010101111001100 f +b11110100110110110010101111001100 !" +0. +1% +#3135500 +b1001 5 +b1001 L +b1001 W +14 +b110 7 +b110 N +b110 V +16 +b101010001100100100011001111000 2 +b101010001100100100011001111000 I +b101010001100100100011001111000 Z +b1101 3 +b1101 J +b1101 Y +11 +b1001 ' +b1001 D +1) +b110 & +b110 C +1( +b101010001100100100011001111000 , +b101010001100100100011001111000 H +b1101 + +b1101 G +1- +1. +0% +#3136000 +0] +0i +b1000011111000110100101011010001 { +b1000011111000110100101011010001 A" +0s +b10111001001100110110101001100010 | +b10111001001100110110101001100010 B" +0[ +1=" +1>" +1@" +0g +19" +1;" +0r +16" +17" +b10010 3" +0^ +0c +1d +1_ +b10110 0" +1o +1k +b11001 -" +1t +1u +1a +12" +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101010001100100100011001111000 &" +b101010001100100100011001111000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#3136010 +b101010001100100100011001111000 P" +#3136500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3137000 +1] +1i +1s +1[ +0=" +0>" +0@" +1g +09" +0;" +1r +06" +07" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0m +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000011111000110100101011010001 / +b1000011111000110100101011010001 K +b1000011111000110100101011010001 e +b1000011111000110100101011010001 "" +b10111001001100110110101001100010 0 +b10111001001100110110101001100010 M +b10111001001100110110101001100010 f +b10111001001100110110101001100010 !" +0. +1% +#3137500 +b110 7 +b110 N +b110 V +16 +b110 & +b110 C +1( +1. +0% +#3138000 +0s +b10111001001100110110101001100010 | +b10111001001100110110101001100010 B" +0r +16" +17" +b11001 -" +1t +1u +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#3138500 +b11 7 +b11 N +b11 V +b11 & +b11 C +1. +0% +#3139000 +1s +0q +06" +b11100 -" +0t +b11111100010110111010010011111001 | +b11111100010110111010010011111001 B" +1w +b11 } +b11 (" +b11 +" +b10111001001100110110101001100010 0 +b10111001001100110110101001100010 M +b10111001001100110110101001100010 f +b10111001001100110110101001100010 !" +0. +1% +#3139500 +b11111 7 +b11111 N +b11111 V +b10111011101100100101101110110001 2 +b10111011101100100101101110110001 I +b10111011101100100101101110110001 Z +b1011 3 +b1011 J +b1011 Y +11 +b11111 & +b11111 C +b10111011101100100101101110110001 , +b10111011101100100101101110110001 H +b1011 + +b1011 G +1- +1. +0% +#3140000 +0] +0u +0s +0\ +0[ +1=" +1@" +b10100110001110111110101100000010 | +b10100110001110111110101100000010 B" +15" +16" +b10100 3" +1c +1_ +b0 -" +14" +1v +0t +0y +1z +1b +1a +12" +b11111100010110111010010011111001 0 +b11111100010110111010010011111001 M +b11111100010110111010010011111001 f +b11111100010110111010010011111001 !" +b10111011101100100101101110110001 &" +b10111011101100100101101110110001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b11111 } +b11111 (" +b11111 +" +0. +1% +#3140010 +b10111011101100100101101110110001 N" +#3140500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3141000 +1] +1s +1\ +1[ +0=" +0@" +1r +1q +05" +06" +07" +b11111 3" +0c +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10100110001110111110101100000010 0 +b10100110001110111110101100000010 M +b10100110001110111110101100000010 f +b10100110001110111110101100000010 !" +0. +1% +#3141500 +1. +0% +#3142000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3142500 +b1100000101001001111001011111011 2 +b1100000101001001111001011111011 I +b1100000101001001111001011111011 Z +b1100 3 +b1100 J +b1100 Y +11 +b1100000101001001111001011111011 , +b1100000101001001111001011111011 H +b1100 + +b1100 G +1- +1. +0% +#3143000 +0] +1=" +1>" +1@" +b10011 3" +0^ +0c +1d +1_ +12" +b1100000101001001111001011111011 &" +b1100000101001001111001011111011 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +0. +1% +#3143010 +b1100000101001001111001011111011 O" +#3143500 +b1100 5 +b1100 L +b1100 W +14 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3144000 +1] +0i +b1100000101001001111001011111011 { +b1100000101001001111001011111011 A" +0s +b100001001111110011010111010100 | +b100001001111110011010111010100 B" +0=" +0>" +0@" +19" +1:" +1;" +0r +15" +17" +b11111 3" +0d +0_ +b10011 0" +0j +0o +1p +1k +b10101 -" +1y +1u +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#3144500 +b11101 5 +b11101 L +b11101 W +b0 7 +b0 N +b0 V +06 +b1010001000010010101010110001000 2 +b1010001000010010101010110001000 I +b1010001000010010101010110001000 Z +b10010 3 +b10010 J +b10010 Y +11 +b11101 ' +b11101 D +b0 & +b0 C +0( +b1010001000010010101010110001000 , +b1010001000010010101010110001000 H +b10010 + +b10010 G +1- +1. +0% +#3145000 +0k +1s +0\ +1@" +0g +1r +05" +07" +b1101 3" +0_ +1<" +1` +b10 0" +18" +1l +b110010000000101110101100000000 { +b110010000000101110101100000000 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +0x +0," +b1010001000010010101010110001000 &" +b1010001000010010101010110001000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b0 } +b0 (" +b0 +" +0#" +b1100000101001001111001011111011 / +b1100000101001001111001011111011 K +b1100000101001001111001011111011 e +b1100000101001001111001011111011 "" +b100001001111110011010111010100 0 +b100001001111110011010111010100 M +b100001001111110011010111010100 f +b100001001111110011010111010100 !" +0. +1% +#3145010 +b1010001000010010101010110001000 U" +#3145500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3146000 +1i +1\ +0@" +1g +09" +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0m +0/" +b110010000000101110101100000000 / +b110010000000101110101100000000 K +b110010000000101110101100000000 e +b110010000000101110101100000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3146500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#3147000 +0i +b11000100110100110111000010001011 { +b11000100110100110111000010001011 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3147500 +b0 5 +b0 L +b0 W +04 +b10000100100010111110010100110011 2 +b10000100100010111110010100110011 I +b10000100100010111110010100110011 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10000100100010111110010100110011 , +b10000100100010111110010100110011 H +b1010 + +b1010 G +1- +1. +0% +#3148000 +0] +1i +0\ +1=" +1@" +1h +09" +0;" +b10101 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0/" +b11000100110100110111000010001011 / +b11000100110100110111000010001011 K +b11000100110100110111000010001011 e +b11000100110100110111000010001011 "" +b10000100100010111110010100110011 &" +b10000100100010111110010100110011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3148010 +b10000100100010111110010100110011 M" +#3148500 +14 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3149000 +1] +b10011001000011100000000011001110 { +b10011001000011100000000011001110 A" +0s +b11011000110111111000100100001111 | +b11011000110111111000100100001111 B" +1\ +0=" +0@" +1;" +0r +15" +16" +17" +b11111 3" +0c +0_ +1k +b10001 -" +0t +0y +1z +1u +0b +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3149500 +04 +b0 7 +b0 N +b0 V +06 +0) +b0 & +b0 C +0( +1. +0% +#3150000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0;" +1r +05" +06" +07" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0," +b10011001000011100000000011001110 / +b10011001000011100000000011001110 K +b10011001000011100000000011001110 e +b10011001000011100000000011001110 "" +b11011000110111111000100100001111 0 +b11011000110111111000100100001111 M +b11011000110111111000100100001111 f +b11011000110111111000100100001111 !" +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3150500 +b11110 5 +b11110 L +b11110 W +14 +b11110 ' +b11110 D +1) +1. +0% +#3151000 +0i +b10001000111110100100001010010110 { +b10001000111110100100001010010110 A" +0h +19" +1:" +1;" +b1 0" +0j +0o +1p +0k +18" +1l +1n +1/" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3151500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +1. +0% +#3152000 +1i +0s +b1010111110000011010010111110 | +b1010111110000011010010111110 B" +1h +09" +0:" +0;" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +1t +0u +14" +1v +0n +0/" +1," +b10001000111110100100001010010110 / +b10001000111110100100001010010110 K +b10001000111110100100001010010110 e +b10001000111110100100001010010110 "" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#3152500 +b11001 5 +b11001 L +b11001 W +14 +b11110 7 +b11110 N +b11110 V +b11001 ' +b11001 D +1) +b11110 & +b11110 C +1. +0% +#3153000 +0i +b1100000010010000011010011010100 { +b1100000010010000011010011010100 A" +0t +0g +19" +1;" +0r +15" +b110 0" +1o +0k +18" +1l +b1 -" +1z +b10001000111110100100001010010110 | +b10001000111110100100001010010110 B" +1m +1/" +1x +b11001 ~ +b11001 )" +b11001 ." +1$" +b11110 } +b11110 (" +b11110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010111110000011010010111110 0 +b1010111110000011010010111110 M +b1010111110000011010010111110 f +b1010111110000011010010111110 !" +0. +1% +#3153500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3154000 +1i +1s +1g +09" +0;" +1r +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0," +b1100000010010000011010011010100 / +b1100000010010000011010011010100 K +b1100000010010000011010011010100 e +b1100000010010000011010011010100 "" +b10001000111110100100001010010110 0 +b10001000111110100100001010010110 M +b10001000111110100100001010010110 f +b10001000111110100100001010010110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3154500 +b11001011110010001011111011001101 2 +b11001011110010001011111011001101 I +b11001011110010001011111011001101 Z +b10010 3 +b10010 J +b10010 Y +11 +b11001011110010001011111011001101 , +b11001011110010001011111011001101 H +b10010 + +b10010 G +1- +1. +0% +#3155000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b11001011110010001011111011001101 &" +b11001011110010001011111011001101 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3155010 +b11001011110010001011111011001101 U" +#3155500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3156000 +0s +b1000011111000110100101011010001 | +b1000011111000110100101011010001 B" +1\ +0@" +0q +15" +17" +b11111 3" +0<" +0` +b10110 -" +1y +1u +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#3156500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#3157000 +0i +b1010100001001111001101111000110 { +b1010100001001111001101111000110 A" +1s +0h +1:" +1;" +1q +05" +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0w +0," +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1000011111000110100101011010001 0 +b1000011111000110100101011010001 M +b1000011111000110100101011010001 f +b1000011111000110100101011010001 !" +0. +1% +#3157500 +b1 5 +b1 L +b1 W +b1 ' +b1 D +1. +0% +#3158000 +1k +1i +1h +0g +1;" +0:" +b11110 0" +08" +0l +0j +b1101101100011111000010110011110 { +b1101101100011111000010110011110 A" +0n +1m +b1010100001001111001101111000110 / +b1010100001001111001101111000110 K +b1010100001001111001101111000110 e +b1010100001001111001101111000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 ~ +b1 )" +b1 ." +0. +1% +#3158500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +1. +0% +#3159000 +0s +b11100101101100011011100000001100 | +b11100101101100011011100000001100 B" +1g +0;" +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +b1101101100011111000010110011110 / +b1101101100011111000010110011110 K +b1101101100011111000010110011110 e +b1101101100011111000010110011110 "" +0. +1% +#3159500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3160000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100101101100011011100000001100 0 +b11100101101100011011100000001100 M +b11100101101100011011100000001100 f +b11100101101100011011100000001100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3160500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#3161000 +0s +b1100000010010000011010011010100 | +b1100000010010000011010011010100 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3161500 +b1111 5 +b1111 L +b1111 W +14 +b1000 7 +b1000 N +b1000 V +b1111 ' +b1111 D +1) +b1000 & +b1000 C +1. +0% +#3162000 +0i +b1000101111001000010111000100110 { +b1000101111001000010111000100110 A" +1u +0h +0g +19" +1:" +1;" +1q +17" +b10000 0" +0j +0o +1p +1k +b10111 -" +04" +0v +b10111010111001001101000001000010 | +b10111010111001001101000001000010 B" +1n +1m +1/" +0w +b1100000010010000011010011010100 0 +b1100000010010000011010011010100 M +b1100000010010000011010011010100 f +b1100000010010000011010011010100 !" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1000 } +b1000 (" +b1000 +" +0. +1% +#3162500 +b11111 5 +b11111 L +b11111 W +b11010 7 +b11010 N +b11010 V +b11111 ' +b11111 D +b11010 & +b11010 C +1. +0% +#3163000 +0k +0u +b10100110001110111110101100000010 { +b10100110001110111110101100000010 A" +0r +b0 0" +18" +1l +b101 -" +14" +1v +b11000100110100110111000010001011 | +b11000100110100110111000010001011 B" +1x +b11111 ~ +b11111 )" +b11111 ." +b11010 } +b11010 (" +b11010 +" +b1000101111001000010111000100110 / +b1000101111001000010111000100110 K +b1000101111001000010111000100110 e +b1000101111001000010111000100110 "" +b10111010111001001101000001000010 0 +b10111010111001001101000001000010 M +b10111010111001001101000001000010 f +b10111010111001001101000001000010 !" +0. +1% +#3163500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b1111110111010110001100001100011 2 +b1111110111010110001100001100011 I +b1111110111010110001100001100011 Z +11 +b10110 ' +b10110 D +b0 & +b0 C +0( +b1111110111010110001100001100011 , +b1111110111010110001100001100011 H +1- +1. +0% +#3164000 +1j +1s +1@" +1g +09" +1:" +1r +05" +07" +1_ +b1001 0" +0p +b1010100001001111001101111000110 { +b1010100001001111001101111000110 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0m +0x +0," +b10100110001110111110101100000010 / +b10100110001110111110101100000010 K +b10100110001110111110101100000010 e +b10100110001110111110101100000010 "" +b11000100110100110111000010001011 0 +b11000100110100110111000010001011 M +b11000100110100110111000010001011 f +b11000100110100110111000010001011 !" +b1111110111010110001100001100011 &" +b1111110111010110001100001100011 ?" +1'" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3164010 +b1111110111010110001100001100011 C" +#3164500 +b0 5 +b0 L +b0 W +04 +b1100111101000000100001100001110 2 +b1100111101000000100001100001110 I +b1100111101000000100001100001110 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b1100111101000000100001100001110 , +b1100111101000000100001100001110 H +b11001 + +b11001 G +1. +0% +#3165000 +0_ +0] +1i +0[ +1=" +1h +0:" +0;" +b110 3" +1<" +1` +1c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +0n +0/" +b1100111101000000100001100001110 &" +b1100111101000000100001100001110 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +b1010100001001111001101111000110 / +b1010100001001111001101111000110 K +b1010100001001111001101111000110 e +b1010100001001111001101111000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3165010 +b1100111101000000100001100001110 \" +#3165500 +b11110 7 +b11110 N +b11110 V +16 +b10001101000010011110110111101101 2 +b10001101000010011110110111101101 I +b10001101000010011110110111101101 Z +b10111 3 +b10111 J +b10111 Y +b11110 & +b11110 C +1( +b10001101000010011110110111101101 , +b10001101000010011110110111101101 H +b10111 + +b10111 G +1. +0% +#3166000 +1>" +1^ +0s +b10001000111110100100001010010110 | +b10001000111110100100001010010110 B" +0\ +0=" +0r +15" +16" +17" +b1000 3" +0c +b1 -" +0t +0y +1z +0u +14" +1v +1b +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001101000010011110110111101101 &" +b10001101000010011110110111101101 ?" +b10111 %" +b10111 *" +b10111 1" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#3166010 +b10001101000010011110110111101101 Z" +#3166500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3167000 +1] +1s +1\ +1[ +0>" +0@" +1r +05" +06" +07" +b11111 3" +0^ +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10001000111110100100001010010110 0 +b10001000111110100100001010010110 M +b10001000111110100100001010010110 f +b10001000111110100100001010010110 !" +0. +1% +#3167500 +b100 5 +b100 L +b100 W +14 +b10100010110010000100010010101010 2 +b10100010110010000100010010101010 I +b10100010110010000100010010101010 Z +b1110 3 +b1110 J +b1110 Y +11 +b100 ' +b100 D +1) +b10100010110010000100010010101010 , +b10100010110010000100010010101010 H +b1110 + +b1110 G +1- +1. +0% +#3168000 +0] +0i +b11111101101010011011100100000110 { +b11111101101010011011100100000110 A" +0\ +1=" +1>" +1@" +1:" +1;" +b10001 3" +0^ +0c +1d +1_ +b11011 0" +1j +1k +1b +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100010110010000100010010101010 &" +b10100010110010000100010010101010 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#3168010 +b10100010110010000100010010101010 Q" +#3168500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3169000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +0=" +0>" +0@" +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0j +0k +0b +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111101101010011011100100000110 / +b11111101101010011011100100000110 K +b11111101101010011011100100000110 e +b11111101101010011011100100000110 "" +0. +1% +#3169500 +b11010101001011101001100011101001 2 +b11010101001011101001100011101001 I +b11010101001011101001100011101001 Z +b11000 3 +b11000 J +b11000 Y +11 +b11010101001011101001100011101001 , +b11010101001011101001100011101001 H +b11000 + +b11000 G +1- +1. +0% +#3170000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010101001011101001100011101001 &" +b11010101001011101001100011101001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0. +1% +#3170010 +b11010101001011101001100011101001 [" +#3170500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3171000 +1] +b1000011000101100110110101110101 | +b1000011000101100110110101110101 B" +0=" +0@" +17" +b11111 3" +0c +0<" +0` +b1111 -" +0u +14" +1v +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#3171500 +b1110 5 +b1110 L +b1110 W +14 +b11110 7 +b11110 N +b11110 V +b1110 ' +b1110 D +1) +b11110 & +b11110 C +1. +0% +#3172000 +0i +b10100010110010000100010010101010 { +b10100010110010000100010010101010 A" +0s +0h +19" +1:" +1;" +0r +15" +16" +b10001 0" +0j +0o +1p +1k +b1 -" +0t +0y +1z +b10001000111110100100001010010110 | +b10001000111110100100001010010110 B" +1n +1/" +1x +b1000011000101100110110101110101 0 +b1000011000101100110110101110101 M +b1000011000101100110110101110101 f +b1000011000101100110110101110101 !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#3172500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3173000 +1i +1s +1h +09" +0:" +0;" +1r +05" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10100010110010000100010010101010 / +b10100010110010000100010010101010 K +b10100010110010000100010010101010 e +b10100010110010000100010010101010 "" +b10001000111110100100001010010110 0 +b10001000111110100100001010010110 M +b10001000111110100100001010010110 f +b10001000111110100100001010010110 !" +0. +1% +#3173500 +b10111001110000111001001111111100 2 +b10111001110000111001001111111100 I +b10111001110000111001001111111100 Z +b11 3 +b11 J +b11 Y +11 +b10111001110000111001001111111100 , +b10111001110000111001001111111100 H +b11 + +b11 G +1- +1. +0% +#3174000 +0\ +0[ +1@" +b11100 3" +1_ +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111001110000111001001111111100 &" +b10111001110000111001001111111100 ?" +b11 %" +b11 *" +b11 1" +1'" +0. +1% +#3174010 +b10111001110000111001001111111100 F" +#3174500 +b1110101101011111111010110111010 2 +b1110101101011111111010110111010 I +b1110101101011111111010110111010 Z +b10100 3 +b10100 J +b10100 Y +b1110101101011111111010110111010 , +b1110101101011111111010110111010 H +b10100 + +b10100 G +1. +0% +#3175000 +0_ +0] +1\ +1[ +1>" +b1011 3" +1<" +1` +1^ +0b +0a +b1110101101011111111010110111010 &" +b1110101101011111111010110111010 ?" +b10100 %" +b10100 *" +b10100 1" +0. +1% +#3175010 +b1110101101011111111010110111010 W" +#3175500 +b1110 5 +b1110 L +b1110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3176000 +1] +0i +b10100010110010000100010010101010 { +b10100010110010000100010010101010 A" +0>" +0@" +0h +19" +1:" +1;" +b11111 3" +0^ +0<" +0` +b10001 0" +0j +0o +1p +1k +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#3176500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b1110101101110011110001011000000 2 +b1110101101110011110001011000000 I +b1110101101110011110001011000000 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b1110101101110011110001011000000 , +b1110101101110011110001011000000 H +b1000 + +b1000 G +1- +1. +0% +#3177000 +0] +1i +0s +b1000011111000110100101011010001 | +b1000011111000110100101011010001 B" +1=" +1@" +1h +09" +0:" +0;" +0q +15" +17" +b10111 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +1y +1u +12" +0n +0/" +1w +1," +b1110101101110011110001011000000 &" +b1110101101110011110001011000000 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b10100010110010000100010010101010 / +b10100010110010000100010010101010 K +b10100010110010000100010010101010 e +b10100010110010000100010010101010 "" +0. +1% +#3177010 +b1110101101110011110001011000000 K" +#3177500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b11010111100110110110011110110100 2 +b11010111100110110110011110110100 I +b11010111100110110110011110110100 Z +b1 3 +b1 J +b1 Y +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +b11010111100110110110011110110100 , +b11010111100110110110011110110100 H +b1 + +b1 G +1. +0% +#3178000 +1] +0i +b1100000101001001111001011111011 { +b1100000101001001111001011111011 A" +1s +0[ +0=" +19" +1:" +1;" +1q +05" +07" +b11110 3" +0c +b10011 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000011111000110100101011010001 0 +b1000011111000110100101011010001 M +b1000011111000110100101011010001 f +b1000011111000110100101011010001 !" +b11010111100110110110011110110100 &" +b11010111100110110110011110110100 ?" +b1 %" +b1 *" +b1 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3178010 +b11010111100110110110011110110100 D" +#3178500 +b10101 5 +b10101 L +b10101 W +b11011 7 +b11011 N +b11011 V +16 +b1100000111100000111000111111 2 +b1100000111100000111000111111 I +b1100000111100000111000111111 Z +b110 3 +b110 J +b110 Y +b10101 ' +b10101 D +b11011 & +b11011 C +1( +b1100000111100000111000111111 , +b1100000111100000111000111111 H +b110 + +b110 G +1. +0% +#3179000 +0] +0k +1j +0s +b111001001101000011110011001 | +b111001001101000011110011001 B" +0\ +1[ +1>" +0g +09" +1:" +0r +0q +15" +17" +b11001 3" +1^ +b1010 0" +18" +1l +0p +b1001011001110010101100010011 { +b1001011001110010101100010011 A" +b100 -" +1y +0u +14" +1v +1b +0a +1m +1x +1w +1," +b1100000111100000111000111111 &" +b1100000111100000111000111111 ?" +b110 %" +b110 *" +b110 1" +b10101 ~ +b10101 )" +b10101 ." +b11011 } +b11011 (" +b11011 +" +1#" +b1100000101001001111001011111011 / +b1100000101001001111001011111011 K +b1100000101001001111001011111011 e +b1100000101001001111001011111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3179010 +b1100000111100000111000111111 I" +#3179500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3180000 +16" +1] +1i +1u +1t +1\ +0>" +0@" +1g +0:" +0;" +17" +b10111000011101001011110000100100 | +b10111000011101001011110000100100 B" +05" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +04" +0v +0y +0b +02" +0m +0/" +b1001011001110010101100010011 / +b1001011001110010101100010011 K +b1001011001110010101100010011 e +b1001011001110010101100010011 "" +b111001001101000011110011001 0 +b111001001101000011110011001 M +b111001001101000011110011001 f +b111001001101000011110011001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +0. +1% +#3180500 +b11100 7 +b11100 N +b11100 V +b11100 & +b11100 C +1. +0% +#3181000 +0u +0t +1r +1q +15" +b11 -" +14" +1v +1z +b11100101101100011011100000001100 | +b11100101101100011011100000001100 B" +0x +0w +b11100 } +b11100 (" +b11100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111000011101001011110000100100 0 +b10111000011101001011110000100100 M +b10111000011101001011110000100100 f +b10111000011101001011110000100100 !" +0. +1% +#3181500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3182000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b11100101101100011011100000001100 0 +b11100101101100011011100000001100 M +b11100101101100011011100000001100 f +b11100101101100011011100000001100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3182500 +1. +0% +#3183000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3183500 +b11100 5 +b11100 L +b11100 W +14 +b11001 7 +b11001 N +b11001 V +16 +b1010011110000011111001010101 2 +b1010011110000011111001010101 I +b1010011110000011111001010101 Z +b10100 3 +b10100 J +b10100 Y +11 +b11100 ' +b11100 D +1) +b11001 & +b11001 C +1( +b1010011110000011111001010101 , +b1010011110000011111001010101 H +b10100 + +b10100 G +1- +1. +0% +#3184000 +0] +0i +b11100101101100011011100000001100 { +b11100101101100011011100000001100 A" +0s +b1100111101000000100001100001110 | +b1100111101000000100001100001110 B" +1>" +1@" +19" +1:" +1;" +0q +15" +17" +b1011 3" +1^ +0_ +1<" +1` +b11 0" +0j +0o +1p +0k +18" +1l +b110 -" +1y +0u +14" +1v +12" +1/" +1w +1," +b1010011110000011111001010101 &" +b1010011110000011111001010101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#3184010 +b1010011110000011111001010101 W" +#3184500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3185000 +1] +1i +1s +0>" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11100101101100011011100000001100 / +b11100101101100011011100000001100 K +b11100101101100011011100000001100 e +b11100101101100011011100000001100 "" +b1100111101000000100001100001110 0 +b1100111101000000100001100001110 M +b1100111101000000100001100001110 f +b1100111101000000100001100001110 !" +0. +1% +#3185500 +b10101 7 +b10101 N +b10101 V +16 +b111011110000000001100001011 2 +b111011110000000001100001011 I +b111011110000000001100001011 Z +b10010 3 +b10010 J +b10010 Y +11 +b10101 & +b10101 C +1( +b111011110000000001100001011 , +b111011110000000001100001011 H +b10010 + +b10010 G +1- +1. +0% +#3186000 +0s +b1001011001110010101100010011 | +b1001011001110010101100010011 B" +0\ +1@" +0q +16" +17" +b1101 3" +0_ +1<" +1` +b1010 -" +1t +0u +14" +1v +1b +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111011110000000001100001011 &" +b111011110000000001100001011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#3186010 +b111011110000000001100001011 U" +#3186500 +b10100 5 +b10100 L +b10100 W +14 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3187000 +0i +b1010011110000011111001010101 { +b1010011110000011111001010101 A" +0t +b110010000000101110101100000000 | +b110010000000101110101100000000 B" +1\ +0@" +1:" +1;" +15" +b11111 3" +0<" +0` +b1011 0" +1j +0k +18" +1l +b10 -" +1z +0b +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11101 } +b11101 (" +b11101 +" +b1001011001110010101100010011 0 +b1001011001110010101100010011 M +b1001011001110010101100010011 f +b1001011001110010101100010011 !" +0. +1% +#3187500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11101001001111111111111010000100 2 +b11101001001111111111111010000100 I +b11101001001111111111111010000100 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11101001001111111111111010000100 , +b11101001001111111111111010000100 H +b111 + +b111 G +1- +1. +0% +#3188000 +0] +1i +1s +0\ +0[ +1>" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +06" +07" +b11000 3" +1^ +1_ +b11111 0" +0j +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0/" +0w +0," +b1010011110000011111001010101 / +b1010011110000011111001010101 K +b1010011110000011111001010101 e +b1010011110000011111001010101 "" +b110010000000101110101100000000 0 +b110010000000101110101100000000 M +b110010000000101110101100000000 f +b110010000000101110101100000000 !" +b11101001001111111111111010000100 &" +b11101001001111111111111010000100 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3188010 +b11101001001111111111111010000100 J" +#3188500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3189000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3189500 +1. +0% +#3190000 +0. +1% +#3190500 +b100 5 +b100 L +b100 W +14 +b11111 7 +b11111 N +b11111 V +16 +b100 ' +b100 D +1) +b11111 & +b11111 C +1( +1. +0% +#3191000 +0i +b11111101101010011011100100000110 { +b11111101101010011011100100000110 A" +0s +b10100110001110111110101100000010 | +b10100110001110111110101100000010 B" +1:" +1;" +0r +0q +15" +16" +17" +b11011 0" +1j +1k +b0 -" +0t +0y +1z +0u +14" +1v +1/" +1x +1w +1," +b100 ~ +b100 )" +b100 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#3191500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +b0 ' +b0 D +0) +b1001 & +b1001 C +1. +0% +#3192000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +1y +0:" +0;" +1r +17" +15" +06" +b11111 0" +0j +0k +b10110 -" +04" +0v +0z +b1000011111000110100101011010001 | +b1000011111000110100101011010001 B" +0/" +0x +b11111101101010011011100100000110 / +b11111101101010011011100100000110 K +b11111101101010011011100100000110 e +b11111101101010011011100100000110 "" +b10100110001110111110101100000010 0 +b10100110001110111110101100000010 M +b10100110001110111110101100000010 f +b10100110001110111110101100000010 !" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +0. +1% +#3192500 +b10011 5 +b10011 L +b10011 W +14 +b0 7 +b0 N +b0 V +06 +b10011 ' +b10011 D +1) +b0 & +b0 C +0( +1. +0% +#3193000 +b11010010101100011111001010111 { +b11010010101100011111001010111 A" +1s +0h +0g +1;" +1q +05" +07" +b1100 0" +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0w +0," +b10011 ~ +b10011 )" +b10011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000011111000110100101011010001 0 +b1000011111000110100101011010001 M +b1000011111000110100101011010001 f +b1000011111000110100101011010001 !" +0. +1% +#3193500 +b11100 5 +b11100 L +b11100 W +b110 7 +b110 N +b110 V +16 +b10010111110101001101111001101010 2 +b10010111110101001101111001101010 I +b10010111110101001101111001101010 Z +b10100 3 +b10100 J +b10100 Y +11 +b11100 ' +b11100 D +b110 & +b110 C +1( +b10010111110101001101111001101010 , +b10010111110101001101111001101010 H +b10100 + +b10100 G +1- +1. +0% +#3194000 +0] +0i +0s +b1100000111100000111000111111 | +b1100000111100000111000111111 B" +1>" +1@" +1h +1g +19" +1:" +0r +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b11 0" +0j +0o +1p +b11100101101100011011100000001100 { +b11100101101100011011100000001100 A" +b11001 -" +1t +1u +12" +0n +0m +1x +1," +b11010010101100011111001010111 / +b11010010101100011111001010111 K +b11010010101100011111001010111 e +b11010010101100011111001010111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010111110101001101111001101010 &" +b10010111110101001101111001101010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b110 } +b110 (" +b110 +" +1#" +0. +1% +#3194010 +b10010111110101001101111001101010 W" +#3194500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3195000 +1] +1i +1s +0>" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11100101101100011011100000001100 / +b11100101101100011011100000001100 K +b11100101101100011011100000001100 e +b11100101101100011011100000001100 "" +b1100000111100000111000111111 0 +b1100000111100000111000111111 M +b1100000111100000111000111111 f +b1100000111100000111000111111 !" +0. +1% +#3195500 +b10011 7 +b10011 N +b10011 V +16 +b110100111010010000011101110110 2 +b110100111010010000011101110110 I +b110100111010010000011101110110 Z +b1110 3 +b1110 J +b1110 Y +11 +b10011 & +b10011 C +1( +b110100111010010000011101110110 , +b110100111010010000011101110110 H +b1110 + +b1110 G +1- +1. +0% +#3196000 +0] +b11010010101100011111001010111 | +b11010010101100011111001010111 B" +0\ +1=" +1>" +1@" +0r +0q +17" +b10001 3" +0^ +0c +1d +1_ +b1100 -" +0u +14" +1v +1b +12" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110100111010010000011101110110 &" +b110100111010010000011101110110 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#3196010 +b110100111010010000011101110110 Q" +#3196500 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3197000 +1] +0s +b10100110001110111110101100000010 | +b10100110001110111110101100000010 B" +1\ +0=" +0>" +0@" +15" +16" +b11111 3" +0d +0_ +b0 -" +0t +0y +1z +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +b11010010101100011111001010111 0 +b11010010101100011111001010111 M +b11010010101100011111001010111 f +b11010010101100011111001010111 !" +0. +1% +#3197500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3198000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b10100110001110111110101100000010 0 +b10100110001110111110101100000010 M +b10100110001110111110101100000010 f +b10100110001110111110101100000010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3198500 +b11111001010111111010000100100100 2 +b11111001010111111010000100100100 I +b11111001010111111010000100100100 Z +b10111 3 +b10111 J +b10111 Y +11 +b11111001010111111010000100100100 , +b11111001010111111010000100100100 H +b10111 + +b10111 G +1- +1. +0% +#3199000 +0] +0\ +0[ +1>" +1@" +b1000 3" +1^ +0_ +1<" +1` +1b +1a +12" +b11111001010111111010000100100100 &" +b11111001010111111010000100100100 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3199010 +b11111001010111111010000100100100 Z" +#3199500 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3200000 +1] +b10101011111110111001101100011011 | +b10101011111110111001101100011011 B" +1\ +1[ +0>" +0@" +0q +17" +b11111 3" +0^ +0<" +0` +b1110 -" +0u +14" +1v +0b +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#3200500 +b0 7 +b0 N +b0 V +06 +b10101001100010111111110101101101 2 +b10101001100010111111110101101101 I +b10101001100010111111110101101101 Z +b110 3 +b110 J +b110 Y +11 +b0 & +b0 C +0( +b10101001100010111111110101101101 , +b10101001100010111111110101101101 H +b110 + +b110 G +1- +1. +0% +#3201000 +0] +0\ +1>" +1@" +1q +07" +b11001 3" +1^ +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0w +0," +b10101001100010111111110101101101 &" +b10101001100010111111110101101101 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10101011111110111001101100011011 0 +b10101011111110111001101100011011 M +b10101011111110111001101100011011 f +b10101011111110111001101100011011 !" +0. +1% +#3201010 +b10101001100010111111110101101101 I" +#3201500 +b1010 5 +b1010 L +b1010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3202000 +1] +0i +b10000100100010111110010100110011 { +b10000100100010111110010100110011 A" +1\ +0>" +0@" +0h +19" +1;" +b11111 3" +0^ +0_ +b10101 0" +1o +1k +0b +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#3202500 +b0 5 +b0 L +b0 W +04 +b1001101101000101000111001011 2 +b1001101101000101000111001011 I +b1001101101000101000111001011 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b1001101101000101000111001011 , +b1001101101000101000111001011 H +b11001 + +b11001 G +1- +1. +0% +#3203000 +0] +1i +0[ +1=" +1@" +1h +09" +0;" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0/" +b1001101101000101000111001011 &" +b1001101101000101000111001011 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000100100010111110010100110011 / +b10000100100010111110010100110011 K +b10000100100010111110010100110011 e +b10000100100010111110010100110011 "" +0. +1% +#3203010 +b1001101101000101000111001011 \" +#3203500 +b10100 5 +b10100 L +b10100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3204000 +1] +0i +b10010111110101001101111001101010 { +b10010111110101001101111001101010 A" +1[ +0=" +0@" +1:" +1;" +b11111 3" +0c +0<" +0` +b1011 0" +1j +0k +18" +1l +0a +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#3204500 +b0 5 +b0 L +b0 W +04 +b10110110010010110101010111110 2 +b10110110010010110101010111110 I +b10110110010010110101010111110 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b10110110010010110101010111110 , +b10110110010010110101010111110 H +b1101 + +b1101 G +1- +1. +0% +#3205000 +0] +1i +0[ +1=" +1>" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0j +08" +0l +1a +12" +0/" +b10110110010010110101010111110 &" +b10110110010010110101010111110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010111110101001101111001101010 / +b10010111110101001101111001101010 K +b10010111110101001101111001101010 e +b10010111110101001101111001101010 "" +0. +1% +#3205010 +b10110110010010110101010111110 P" +#3205500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3206000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3206500 +b101 5 +b101 L +b101 W +14 +b10000100011101100000010100100000 2 +b10000100011101100000010100100000 I +b10000100011101100000010100100000 Z +b11101 3 +b11101 J +b11101 Y +11 +b101 ' +b101 D +1) +b10000100011101100000010100100000 , +b10000100011101100000010100100000 H +b11101 + +b11101 G +1- +1. +0% +#3207000 +0] +0i +b1111111110101000101101100111010 { +b1111111110101000101101100111010 A" +0[ +1=" +1>" +1@" +0g +1:" +1;" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11010 0" +1j +1k +1a +12" +1m +1/" +b10000100011101100000010100100000 &" +b10000100011101100000010100100000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#3207010 +b10000100011101100000010100100000 `" +#3207500 +b10111 5 +b10111 L +b10111 W +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3208000 +1] +0k +0s +b11010101001011101001100011101001 | +b11010101001011101001100011101001 B" +1[ +0=" +0>" +0@" +0h +15" +17" +b11111 3" +0d +0<" +0` +b1000 0" +18" +1l +b11111001010111111010000100100100 { +b11111001010111111010000100100100 A" +b111 -" +1y +0u +14" +1v +0a +02" +1n +1," +b1111111110101000101101100111010 / +b1111111110101000101101100111010 K +b1111111110101000101101100111010 e +b1111111110101000101101100111010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#3208500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +b0 ' +b0 D +0) +b11101 & +b11101 C +1. +0% +#3209000 +1i +0y +1h +1g +0:" +0;" +0q +16" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10 -" +1z +b10000100011101100000010100100000 | +b10000100011101100000010100100000 B" +0n +0m +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +b11111001010111111010000100100100 / +b11111001010111111010000100100100 K +b11111001010111111010000100100100 e +b11111001010111111010000100100100 "" +b11010101001011101001100011101001 0 +b11010101001011101001100011101001 M +b11010101001011101001100011101001 f +b11010101001011101001100011101001 !" +0. +1% +#3209500 +b10011 7 +b10011 N +b10011 V +b1001110101000010010110100011011 2 +b1001110101000010010110100011011 I +b1001110101000010010110100011011 Z +b10001 3 +b10001 J +b10001 Y +11 +b10011 & +b10011 C +b1001110101000010010110100011011 , +b1001110101000010010110100011011 H +b10001 + +b10001 G +1- +1. +0% +#3210000 +1s +0[ +1@" +0r +05" +06" +b1110 3" +0_ +1<" +1` +b1100 -" +0z +b11010010101100011111001010111 | +b11010010101100011111001010111 B" +1a +12" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000100011101100000010100100000 0 +b10000100011101100000010100100000 M +b10000100011101100000010100100000 f +b10000100011101100000010100100000 !" +b1001110101000010010110100011011 &" +b1001110101000010010110100011011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10011 } +b10011 (" +b10011 +" +0. +1% +#3210010 +b1001110101000010010110100011011 T" +#3210500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3211000 +0i +b10111011101100100101101110110001 { +b10111011101100100101101110110001 A" +1[ +0@" +0h +0g +19" +1;" +1r +1q +07" +b11111 3" +0<" +0` +b10100 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +1m +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11010010101100011111001010111 0 +b11010010101100011111001010111 M +b11010010101100011111001010111 f +b11010010101100011111001010111 !" +0. +1% +#3211500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b10010011010001100000111111011011 2 +b10010011010001100000111111011011 I +b10010011010001100000111111011011 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b10010011010001100000111111011011 , +b10010011010001100000111111011011 H +b10010 + +b10010 G +1- +1. +0% +#3212000 +1i +0s +b1000101111001000010111000100110 | +b1000101111001000010111000100110 B" +0\ +1@" +1h +1g +09" +0;" +0r +0q +15" +16" +17" +b1101 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +0t +0y +1z +1u +1b +12" +0n +0m +0/" +1x +1w +1," +b10111011101100100101101110110001 / +b10111011101100100101101110110001 K +b10111011101100100101101110110001 e +b10111011101100100101101110110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010011010001100000111111011011 &" +b10010011010001100000111111011011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#3212010 +b10010011010001100000111111011011 U" +#3212500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3213000 +1s +1\ +0@" +1r +1q +05" +06" +07" +b11111 3" +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000101111001000010111000100110 0 +b1000101111001000010111000100110 M +b1000101111001000010111000100110 f +b1000101111001000010111000100110 !" +0. +1% +#3213500 +1. +0% +#3214000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3214500 +1. +0% +#3215000 +0. +1% +#3215500 +b10011 7 +b10011 N +b10011 V +16 +b1101011010100110000011000011 2 +b1101011010100110000011000011 I +b1101011010100110000011000011 Z +b1000 3 +b1000 J +b1000 Y +11 +b10011 & +b10011 C +1( +b1101011010100110000011000011 , +b1101011010100110000011000011 H +b1000 + +b1000 G +1- +1. +0% +#3216000 +0] +b11010010101100011111001010111 | +b11010010101100011111001010111 B" +1=" +1@" +0r +0q +17" +b10111 3" +1c +1_ +b1100 -" +0u +14" +1v +12" +1x +1w +1," +b1101011010100110000011000011 &" +b1101011010100110000011000011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#3216010 +b1101011010100110000011000011 K" +#3216500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3217000 +1] +0=" +0@" +1r +1q +07" +b11111 3" +0c +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11010010101100011111001010111 0 +b11010010101100011111001010111 M +b11010010101100011111001010111 f +b11010010101100011111001010111 !" +0. +1% +#3217500 +1. +0% +#3218000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3218500 +b1111 7 +b1111 N +b1111 V +16 +b1111 & +b1111 C +1( +1. +0% +#3219000 +0s +b1000101111001000010111000100110 | +b1000101111001000010111000100110 B" +0r +0q +15" +16" +17" +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#3219500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#3220000 +0i +b11111101101010011011100100000110 { +b11111101101010011011100100000110 A" +1s +1:" +1;" +1r +1q +05" +06" +07" +b11011 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0w +0," +b1000101111001000010111000100110 0 +b1000101111001000010111000100110 M +b1000101111001000010111000100110 f +b1000101111001000010111000100110 !" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3220500 +b10010 5 +b10010 L +b10010 W +b110111000010010010111011010101 2 +b110111000010010010111011010101 I +b110111000010010010111011010101 Z +b110 3 +b110 J +b110 Y +11 +b10010 ' +b10010 D +b110111000010010010111011010101 , +b110111000010010010111011010101 H +b110 + +b110 G +1- +1. +0% +#3221000 +0] +0k +1i +0\ +1>" +1@" +0h +0:" +b11001 3" +1^ +1_ +b1101 0" +18" +1l +0j +b10010011010001100000111111011011 { +b10010011010001100000111111011011 A" +1b +12" +1n +b110111000010010010111011010101 &" +b110111000010010010111011010101 ?" +b110 %" +b110 *" +b110 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +b11111101101010011011100100000110 / +b11111101101010011011100100000110 K +b11111101101010011011100100000110 e +b11111101101010011011100100000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3221010 +b110111000010010010111011010101 I" +#3221500 +b0 5 +b0 L +b0 W +04 +b1000101010101101010110001011100 2 +b1000101010101101010110001011100 I +b1000101010101101010110001011100 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b1000101010101101010110001011100 , +b1000101010101101010110001011100 H +b0 + +b0 G +1. +0% +#3222000 +1] +1\ +0>" +1h +0;" +b11111 3" +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0n +0/" +b10010011010001100000111111011011 / +b10010011010001100000111111011011 K +b10010011010001100000111111011011 e +b10010011010001100000111111011011 "" +b1000101010101101010110001011100 &" +b1000101010101101010110001011100 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3222010 +b1000101010101101010110001011100 C" +#3222500 +b101 5 +b101 L +b101 W +14 +b0 2 +b0 I +b0 Z +01 +b101 ' +b101 D +1) +b0 , +b0 H +0- +1. +0% +#3223000 +0i +b1111111110101000101101100111010 { +b1111111110101000101101100111010 A" +0@" +0g +1:" +1;" +0_ +b11010 0" +1j +1k +02" +1m +1/" +b0 &" +b0 ?" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3223500 +b1111 5 +b1111 L +b1111 W +b1111 ' +b1111 D +1. +0% +#3224000 +0j +0h +19" +b10000 0" +1p +b1000101111001000010111000100110 { +b1000101111001000010111000100110 A" +1n +b1111111110101000101101100111010 / +b1111111110101000101101100111010 K +b1111111110101000101101100111010 e +b1111111110101000101101100111010 "" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#3224500 +b1100 5 +b1100 L +b1100 W +b1100 ' +b1100 D +1. +0% +#3225000 +1h +1g +b10011 0" +b1100000101001001111001011111011 { +b1100000101001001111001011111011 A" +0n +0m +b1100 ~ +b1100 )" +b1100 ." +b1000101111001000010111000100110 / +b1000101111001000010111000100110 K +b1000101111001000010111000100110 e +b1000101111001000010111000100110 "" +0. +1% +#3225500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3226000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0:" +0;" +b11111 0" +0p +0k +0/" +b1100000101001001111001011111011 / +b1100000101001001111001011111011 K +b1100000101001001111001011111011 e +b1100000101001001111001011111011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3226500 +b10110 5 +b10110 L +b10110 W +14 +b10110 ' +b10110 D +1) +1. +0% +#3227000 +0i +b1010100001001111001101111000110 { +b1010100001001111001101111000110 A" +0h +1:" +1;" +b1001 0" +1j +0k +18" +1l +1n +1/" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3227500 +b0 5 +b0 L +b0 W +04 +b11111110101011100011110101000110 2 +b11111110101011100011110101000110 I +b11111110101011100011110101000110 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b11111110101011100011110101000110 , +b11111110101011100011110101000110 H +b11100 + +b11100 G +1- +1. +0% +#3228000 +0] +1i +1=" +1>" +1@" +1h +0:" +0;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0/" +b1010100001001111001101111000110 / +b1010100001001111001101111000110 K +b1010100001001111001101111000110 e +b1010100001001111001101111000110 "" +b11111110101011100011110101000110 &" +b11111110101011100011110101000110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3228010 +b11111110101011100011110101000110 _" +#3228500 +b10010 5 +b10010 L +b10010 W +14 +b110010010101101101011000101100 2 +b110010010101101101011000101100 I +b110010010101101101011000101100 Z +b0 3 +b0 J +b0 Y +b10010 ' +b10010 D +1) +b110010010101101101011000101100 , +b110010010101101101011000101100 H +b0 + +b0 G +1. +0% +#3229000 +1_ +1] +b10010011010001100000111111011011 { +b10010011010001100000111111011011 A" +1@" +0=" +0>" +0h +1;" +b11111 3" +0<" +0` +0d +b1101 0" +0k +18" +1l +1n +1/" +b110010010101101101011000101100 &" +b110010010101101101011000101100 ?" +b0 %" +b0 *" +b0 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3229010 +b110010010101101101011000101100 C" +#3229500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b10111100011001110010111111110000 2 +b10111100011001110010111111110000 I +b10111100011001110010111111110000 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b1 & +b1 C +1( +b10111100011001110010111111110000 , +b10111100011001110010111111110000 H +b10110 + +b10110 G +1. +0% +#3230000 +0_ +0] +b11010111100110110110011110110100 | +b11010111100110110110011110110100 B" +0\ +1>" +1h +0;" +0q +17" +b1001 3" +1<" +1` +1^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +1u +1b +0n +0/" +1w +1," +b10010011010001100000111111011011 / +b10010011010001100000111111011011 K +b10010011010001100000111111011011 e +b10010011010001100000111111011011 "" +b10111100011001110010111111110000 &" +b10111100011001110010111111110000 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#3230010 +b10111100011001110010111111110000 Y" +#3230500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3231000 +1] +1\ +0>" +0@" +1q +07" +b11111 3" +0^ +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010111100110110110011110110100 0 +b11010111100110110110011110110100 M +b11010111100110110110011110110100 f +b11010111100110110110011110110100 !" +0. +1% +#3231500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#3232000 +0i +b11101001001111111111111010000100 { +b11101001001111111111111010000100 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#3232500 +b11000 5 +b11000 L +b11000 W +b10111100000011000000011100110010 2 +b10111100000011000000011100110010 I +b10111100000011000000011100110010 Z +b11001 3 +b11001 J +b11001 Y +11 +b11000 ' +b11000 D +b10111100000011000000011100110010 , +b10111100000011000000011100110010 H +b11001 + +b11001 G +1- +1. +0% +#3233000 +19" +0] +0k +1o +0[ +1=" +1@" +1h +1g +0:" +b110 3" +1c +0_ +1<" +1` +b111 0" +18" +1l +0j +b11010101001011101001100011101001 { +b11010101001011101001100011101001 A" +1a +12" +0n +0m +b10111100000011000000011100110010 &" +b10111100000011000000011100110010 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +b11101001001111111111111010000100 / +b11101001001111111111111010000100 K +b11101001001111111111111010000100 e +b11101001001111111111111010000100 "" +0. +1% +#3233010 +b10111100000011000000011100110010 \" +#3233500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3234000 +1] +1i +0s +b11010101001011101001100011101001 | +b11010101001011101001100011101001 B" +1[ +0=" +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0o +08" +0l +b111 -" +1y +0u +14" +1v +0a +02" +0/" +1," +b11010101001011101001100011101001 / +b11010101001011101001100011101001 K +b11010101001011101001100011101001 e +b11010101001011101001100011101001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#3234500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3235000 +1s +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0y +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010101001011101001100011101001 0 +b11010101001011101001100011101001 M +b11010101001011101001100011101001 f +b11010101001011101001100011101001 !" +0. +1% +#3235500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#3236000 +0i +b1000101111001000010111000100110 { +b1000101111001000010111000100110 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#3236500 +b11100 5 +b11100 L +b11100 W +b11100 ' +b11100 D +1. +0% +#3237000 +0k +1h +1g +b11 0" +18" +1l +b11111110101011100011110101000110 { +b11111110101011100011110101000110 A" +0n +0m +b11100 ~ +b11100 )" +b11100 ." +b1000101111001000010111000100110 / +b1000101111001000010111000100110 K +b1000101111001000010111000100110 e +b1000101111001000010111000100110 "" +0. +1% +#3237500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +1. +0% +#3238000 +1i +0s +b10111011101100100101101110110001 | +b10111011101100100101101110110001 B" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +17" +b11111 0" +0p +08" +0l +b10100 -" +1y +1u +0/" +1x +1w +1," +b11111110101011100011110101000110 / +b11111110101011100011110101000110 K +b11111110101011100011110101000110 e +b11111110101011100011110101000110 "" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#3238500 +14 +b1 7 +b1 N +b1 V +1) +b1 & +b1 C +1. +0% +#3239000 +b110010010101101101011000101100 { +b110010010101101101011000101100 A" +1s +1;" +1r +05" +1k +b11110 -" +0y +b11010111100110110110011110110100 | +b11010111100110110110011110110100 B" +1/" +0x +1$" +b1 } +b1 (" +b1 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111011101100100101101110110001 0 +b10111011101100100101101110110001 M +b10111011101100100101101110110001 f +b10111011101100100101101110110001 !" +0. +1% +#3239500 +b1010 5 +b1010 L +b1010 W +b11 7 +b11 N +b11 V +b1010 ' +b1010 D +b11 & +b11 C +1. +0% +#3240000 +0i +0h +19" +0r +b10101 0" +1o +b10000100100010111110010100110011 { +b10000100100010111110010100110011 A" +b11100 -" +b10111001110000111001001111111100 | +b10111001110000111001001111111100 B" +1n +1x +b110010010101101101011000101100 / +b110010010101101101011000101100 K +b110010010101101101011000101100 e +b110010010101101101011000101100 "" +b11010111100110110110011110110100 0 +b11010111100110110110011110110100 M +b11010111100110110110011110110100 f +b11010111100110110110011110110100 !" +b1010 ~ +b1010 )" +b1010 ." +b11 } +b11 (" +b11 +" +0. +1% +#3240500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +06 +b11011 ' +b11011 D +b0 & +b0 C +0( +1. +0% +#3241000 +0k +0g +1r +1q +07" +b100 0" +18" +1l +b111001001101000011110011001 { +b111001001101000011110011001 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +0x +0w +0," +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0#" +b10000100100010111110010100110011 / +b10000100100010111110010100110011 K +b10000100100010111110010100110011 e +b10000100100010111110010100110011 "" +b10111001110000111001001111111100 0 +b10111001110000111001001111111100 M +b10111001110000111001001111111100 f +b10111001110000111001001111111100 !" +0. +1% +#3241500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b110111100100111101000110011101 2 +b110111100100111101000110011101 I +b110111100100111101000110011101 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b10 & +b10 C +1( +b110111100100111101000110011101 , +b110111100100111101000110011101 H +b1101 + +b1101 G +1- +1. +0% +#3242000 +0] +1i +b1000101101110011010110000000010 | +b1000101101110011010110000000010 B" +0[ +1=" +1>" +1@" +1h +1g +09" +0;" +0r +17" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +1a +12" +0n +0m +0/" +1x +1," +b111001001101000011110011001 / +b111001001101000011110011001 K +b111001001101000011110011001 e +b111001001101000011110011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110111100100111101000110011101 &" +b110111100100111101000110011101 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#3242010 +b110111100100111101000110011101 P" +#3242500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3243000 +1] +0i +b10001000111110100100001010010110 { +b10001000111110100100001010010110 A" +1[ +0=" +0>" +0@" +0h +19" +1:" +1;" +1r +07" +b11111 3" +0d +0_ +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +1n +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000101101110011010110000000010 0 +b1000101101110011010110000000010 M +b1000101101110011010110000000010 f +b1000101101110011010110000000010 !" +0. +1% +#3243500 +b1001 5 +b1001 L +b1001 W +b1001 ' +b1001 D +1. +0% +#3244000 +1k +1o +1h +0g +1;" +19" +0:" +b10110 0" +08" +0l +0p +b1000011111000110100101011010001 { +b1000011111000110100101011010001 A" +0n +1m +b10001000111110100100001010010110 / +b10001000111110100100001010010110 K +b10001000111110100100001010010110 e +b10001000111110100100001010010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001 ~ +b1001 )" +b1001 ." +0. +1% +#3244500 +b0 5 +b0 L +b0 W +04 +b100011100011011110110110100100 2 +b100011100011011110110110100100 I +b100011100011011110110110100100 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b100011100011011110110110100100 , +b100011100011011110110110100100 H +b10111 + +b10111 G +1- +1. +0% +#3245000 +0] +1i +0\ +0[ +1>" +1@" +1g +09" +0;" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0m +0/" +b100011100011011110110110100100 &" +b100011100011011110110110100100 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1000011111000110100101011010001 / +b1000011111000110100101011010001 K +b1000011111000110100101011010001 e +b1000011111000110100101011010001 "" +0. +1% +#3245010 +b100011100011011110110110100100 Z" +#3245500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3246000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3246500 +1. +0% +#3247000 +0. +1% +#3247500 +b1100 7 +b1100 N +b1100 V +16 +b11011110010110110001101101000011 2 +b11011110010110110001101101000011 I +b11011110010110110001101101000011 Z +b1 3 +b1 J +b1 Y +11 +b1100 & +b1100 C +1( +b11011110010110110001101101000011 , +b11011110010110110001101101000011 H +b1 + +b1 G +1- +1. +0% +#3248000 +0s +b1100000101001001111001011111011 | +b1100000101001001111001011111011 B" +0[ +1@" +15" +16" +17" +b11110 3" +1_ +b10011 -" +0t +0y +1z +1u +1a +12" +1," +b11011110010110110001101101000011 &" +b11011110010110110001101101000011 ?" +b1 %" +b1 *" +b1 1" +1'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#3248010 +b11011110010110110001101101000011 D" +#3248500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b11100001010000100110001011111 2 +b11100001010000100110001011111 I +b11100001010000100110001011111 Z +b1111 3 +b1111 J +b1111 Y +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b11100001010000100110001011111 , +b11100001010000100110001011111 H +b1111 + +b1111 G +1. +0% +#3249000 +0] +0i +b10001000111110100100001010010110 { +b10001000111110100100001010010110 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1=" +1>" +0h +19" +1:" +1;" +05" +06" +07" +b10000 3" +0^ +0c +1d +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +0u +1b +1n +1/" +0," +b11100001010000100110001011111 &" +b11100001010000100110001011111 ?" +b1111 %" +b1111 *" +b1111 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1100000101001001111001011111011 0 +b1100000101001001111001011111011 M +b1100000101001001111001011111011 f +b1100000101001001111001011111011 !" +0. +1% +#3249010 +b11100001010000100110001011111 R" +#3249500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3250000 +1] +1i +1\ +1[ +0=" +0>" +0@" +1h +09" +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0n +0/" +b10001000111110100100001010010110 / +b10001000111110100100001010010110 K +b10001000111110100100001010010110 e +b10001000111110100100001010010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3250500 +b101 5 +b101 L +b101 W +14 +b10001111111010000010100001001100 2 +b10001111111010000010100001001100 I +b10001111111010000010100001001100 Z +b10 3 +b10 J +b10 Y +11 +b101 ' +b101 D +1) +b10001111111010000010100001001100 , +b10001111111010000010100001001100 H +b10 + +b10 G +1- +1. +0% +#3251000 +0i +b1111111110101000101101100111010 { +b1111111110101000101101100111010 A" +0\ +1@" +0g +1:" +1;" +b11101 3" +1_ +b11010 0" +1j +1k +1b +12" +1m +1/" +b10001111111010000010100001001100 &" +b10001111111010000010100001001100 ?" +b10 %" +b10 *" +b10 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3251010 +b10001111111010000010100001001100 E" +#3251500 +b1011 5 +b1011 L +b1011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3252000 +19" +1o +1\ +0@" +0h +0:" +b11111 3" +0_ +b10100 0" +0j +b10111011101100100101101110110001 { +b10111011101100100101101110110001 A" +0b +02" +1n +b1111111110101000101101100111010 / +b1111111110101000101101100111010 K +b1111111110101000101101100111010 e +b1111111110101000101101100111010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#3252500 +b0 5 +b0 L +b0 W +04 +b10101100100100100110100100101000 2 +b10101100100100100110100100101000 I +b10101100100100100110100100101000 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b10101100100100100110100100101000 , +b10101100100100100110100100101000 H +b1 + +b1 G +1- +1. +0% +#3253000 +1i +0[ +1@" +1h +1g +09" +0;" +b11110 3" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0m +0/" +b10101100100100100110100100101000 &" +b10101100100100100110100100101000 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111011101100100101101110110001 / +b10111011101100100101101110110001 K +b10111011101100100101101110110001 e +b10111011101100100101101110110001 "" +0. +1% +#3253010 +b10101100100100100110100100101000 D" +#3253500 +b10010 5 +b10010 L +b10010 W +14 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3254000 +b10010011010001100000111111011011 { +b10010011010001100000111111011011 A" +0s +b1111111110101000101101100111010 | +b1111111110101000101101100111010 B" +1[ +0@" +0h +1;" +0q +16" +17" +b11111 3" +0_ +b1101 0" +0k +18" +1l +b11010 -" +1t +1u +0a +02" +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#3254500 +b11010 5 +b11010 L +b11010 W +b1101 7 +b1101 N +b1101 V +b11010 ' +b11010 D +b1101 & +b1101 C +1. +0% +#3255000 +0i +b11000100110100110111000010001011 { +b11000100110100110111000010001011 A" +0t +b110111100100111101000110011101 | +b110111100100111101000110011101 B" +19" +15" +b101 0" +1o +b10010 -" +1z +b11010 ~ +b11010 )" +b11010 ." +b1101 } +b1101 (" +b1101 +" +b10010011010001100000111111011011 / +b10010011010001100000111111011011 K +b10010011010001100000111111011011 e +b10010011010001100000111111011011 "" +b1111111110101000101101100111010 0 +b1111111110101000101101100111010 M +b1111111110101000101101100111010 f +b1111111110101000101101100111010 !" +0. +1% +#3255500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b10101 ' +b10101 D +b0 & +b0 C +0( +1. +0% +#3256000 +1:" +1j +1s +1h +0g +09" +1q +05" +06" +07" +b1010 0" +0o +b1001011001110010101100010011 { +b1001011001110010101100010011 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +1m +0w +0," +b11000100110100110111000010001011 / +b11000100110100110111000010001011 K +b11000100110100110111000010001011 e +b11000100110100110111000010001011 "" +b110111100100111101000110011101 0 +b110111100100111101000110011101 M +b110111100100111101000110011101 f +b110111100100111101000110011101 !" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3256500 +b11111 5 +b11111 L +b11111 W +b11111 ' +b11111 D +1. +0% +#3257000 +0j +0h +19" +b0 0" +1p +b10100110001110111110101100000010 { +b10100110001110111110101100000010 A" +1n +b11111 ~ +b11111 )" +b11111 ." +b1001011001110010101100010011 / +b1001011001110010101100010011 K +b1001011001110010101100010011 e +b1001011001110010101100010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3257500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3258000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b10100110001110111110101100000010 / +b10100110001110111110101100000010 K +b10100110001110111110101100000010 e +b10100110001110111110101100000010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3258500 +1. +0% +#3259000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3259500 +b11011 7 +b11011 N +b11011 V +16 +b10010011111100011001011100011011 2 +b10010011111100011001011100011011 I +b10010011111100011001011100011011 Z +b10111 3 +b10111 J +b10111 Y +11 +b11011 & +b11011 C +1( +b10010011111100011001011100011011 , +b10010011111100011001011100011011 H +b10111 + +b10111 G +1- +1. +0% +#3260000 +0] +0s +b111001001101000011110011001 | +b111001001101000011110011001 B" +0\ +0[ +1>" +1@" +0r +0q +15" +17" +b1000 3" +1^ +0_ +1<" +1` +b100 -" +1y +0u +14" +1v +1b +1a +12" +1x +1w +1," +b10010011111100011001011100011011 &" +b10010011111100011001011100011011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#3260010 +b10010011111100011001011100011011 Z" +#3260500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b110010111100111010011101010 2 +b110010111100111010011101010 I +b110010111100111010011101010 Z +b111 3 +b111 J +b111 Y +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b110010111100111010011101010 , +b110010111100111010011101010 H +b111 + +b111 G +1. +0% +#3261000 +1_ +0i +b10010111110101001101111001101010 { +b10010111110101001101111001101010 A" +1s +1@" +1:" +1;" +1r +1q +05" +07" +b11000 3" +0<" +0` +b1011 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0w +0," +b110010111100111010011101010 &" +b110010111100111010011101010 ?" +b111 %" +b111 *" +b111 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b111001001101000011110011001 0 +b111001001101000011110011001 M +b111001001101000011110011001 f +b111001001101000011110011001 !" +0. +1% +#3261010 +b110010111100111010011101010 J" +#3261500 +b0 5 +b0 L +b0 W +04 +b1101100111000111111000100001000 2 +b1101100111000111111000100001000 I +b1101100111000111111000100001000 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b1101100111000111111000100001000 , +b1101100111000111111000100001000 H +b10 + +b10 G +1. +0% +#3262000 +1] +1i +1[ +0>" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11101 3" +0^ +b11111 0" +0j +08" +0l +0a +0/" +b10010111110101001101111001101010 / +b10010111110101001101111001101010 K +b10010111110101001101111001101010 e +b10010111110101001101111001101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101100111000111111000100001000 &" +b1101100111000111111000100001000 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3262010 +b1101100111000111111000100001000 E" +#3262500 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3263000 +0s +b1100000101001001111001011111011 | +b1100000101001001111001011111011 B" +1\ +0@" +15" +16" +17" +b11111 3" +0_ +b10011 -" +0t +0y +1z +1u +0b +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3263500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3264000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +06" +07" +b11111 -" +0z +0u +0," +b1100000101001001111001011111011 0 +b1100000101001001111001011111011 M +b1100000101001001111001011111011 f +b1100000101001001111001011111011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3264500 +b110 7 +b110 N +b110 V +16 +b110 & +b110 C +1( +1. +0% +#3265000 +0s +b110111000010010010111011010101 | +b110111000010010010111011010101 B" +0r +16" +17" +b11001 -" +1t +1u +1x +1," +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3265500 +b10101 7 +b10101 N +b10101 V +b10101 & +b10101 C +1. +0% +#3266000 +0u +1r +0q +b1010 -" +14" +1v +b1001011001110010101100010011 | +b1001011001110010101100010011 B" +0x +1w +b110111000010010010111011010101 0 +b110111000010010010111011010101 M +b110111000010010010111011010101 f +b110111000010010010111011010101 !" +b10101 } +b10101 (" +b10101 +" +0. +1% +#3266500 +b110 7 +b110 N +b110 V +b1101010100001000010000110011100 2 +b1101010100001000010000110011100 I +b1101010100001000010000110011100 Z +b1 3 +b1 J +b1 Y +11 +b110 & +b110 C +b1101010100001000010000110011100 , +b1101010100001000010000110011100 H +b1 + +b1 G +1- +1. +0% +#3267000 +1u +0[ +1@" +0r +1q +17" +b11110 3" +1_ +b11001 -" +04" +0v +b110111000010010010111011010101 | +b110111000010010010111011010101 B" +1a +12" +1x +0w +b1101010100001000010000110011100 &" +b1101010100001000010000110011100 ?" +b1 %" +b1 *" +b1 1" +1'" +b110 } +b110 (" +b110 +" +b1001011001110010101100010011 0 +b1001011001110010101100010011 M +b1001011001110010101100010011 f +b1001011001110010101100010011 !" +0. +1% +#3267010 +b1101010100001000010000110011100 D" +#3267500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3268000 +1s +1[ +0@" +1r +06" +07" +b11111 3" +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0," +b110111000010010010111011010101 0 +b110111000010010010111011010101 M +b110111000010010010111011010101 f +b110111000010010010111011010101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3268500 +1. +0% +#3269000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3269500 +b1100 7 +b1100 N +b1100 V +16 +b1100 & +b1100 C +1( +1. +0% +#3270000 +0s +b1100000101001001111001011111011 | +b1100000101001001111001011111011 B" +15" +16" +17" +b10011 -" +0t +0y +1z +1u +1," +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#3270500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +1. +0% +#3271000 +0i +b11100001010000100110001011111 { +b11100001010000100110001011111 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +0g +19" +1:" +1;" +05" +06" +07" +b10000 0" +0j +0o +1p +1k +b11111 -" +0z +0u +1n +1m +1/" +0," +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1100000101001001111001011111011 0 +b1100000101001001111001011111011 M +b1100000101001001111001011111011 f +b1100000101001001111001011111011 !" +0. +1% +#3271500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b1010101011110111010010000000110 2 +b1010101011110111010010000000110 I +b1010101011110111010010000000110 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b1010101011110111010010000000110 , +b1010101011110111010010000000110 H +b1001 + +b1001 G +1- +1. +0% +#3272000 +0] +1i +0s +b11111101101010011011100100000110 | +b11111101101010011011100100000110 B" +0[ +1=" +1@" +1h +1g +09" +0:" +0;" +16" +17" +b10110 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +1t +1u +1a +12" +0n +0m +0/" +1," +b11100001010000100110001011111 / +b11100001010000100110001011111 K +b11100001010000100110001011111 e +b11100001010000100110001011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010101011110111010010000000110 &" +b1010101011110111010010000000110 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#3272010 +b1010101011110111010010000000110 L" +#3272500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3273000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0=" +0@" +06" +07" +b11111 3" +0c +0_ +b11111 -" +0t +0u +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101101010011011100100000110 0 +b11111101101010011011100100000110 M +b11111101101010011011100100000110 f +b11111101101010011011100100000110 !" +0. +1% +#3273500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#3274000 +0i +b110010111100111010011101010 { +b110010111100111010011101010 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#3274500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b100111000001101000110110011101 2 +b100111000001101000110110011101 I +b100111000001101000110110011101 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b100111000001101000110110011101 , +b100111000001101000110110011101 H +b11111 + +b11111 G +1- +1. +0% +#3275000 +0] +1i +0s +b10001000111110100100001010010110 | +b10001000111110100100001010010110 B" +0\ +0[ +1=" +1>" +1@" +1h +1g +0:" +0;" +0r +15" +16" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +0n +0m +0/" +1x +1," +b100111000001101000110110011101 &" +b100111000001101000110110011101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b110010111100111010011101010 / +b110010111100111010011101010 K +b110010111100111010011101010 e +b110010111100111010011101010 "" +0. +1% +#3275500 +b10010 5 +b10010 L +b10010 W +14 +b10110 7 +b10110 N +b10110 V +b11001111111110001111011010001001 2 +b11001111111110001111011010001001 I +b11001111111110001111011010001001 Z +b11010 3 +b11010 J +b11010 Y +b10010 ' +b10010 D +1) +b10110 & +b10110 C +b11001111111110001111011010001001 , +b11001111111110001111011010001001 H +b11010 + +b11010 G +1. +0% +#3276000 +1c +b10010011010001100000111111011011 { +b10010011010001100000111111011011 A" +1t +b10111100011001110010111111110000 | +b10111100011001110010111111110000 B" +1[ +1=" +0>" +0h +1;" +05" +16" +b101 3" +0d +b1101 0" +0k +18" +1l +b1001 -" +0z +0a +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001000111110100100001010010110 0 +b10001000111110100100001010010110 M +b10001000111110100100001010010110 f +b10001000111110100100001010010110 !" +b11001111111110001111011010001001 &" +b11001111111110001111011010001001 ?" +b11010 %" +b11010 *" +b11010 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#3276010 +b11001111111110001111011010001001 ]" +#3276500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3277000 +1] +1s +1\ +0=" +0@" +1h +0;" +1r +06" +07" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10010011010001100000111111011011 / +b10010011010001100000111111011011 K +b10010011010001100000111111011011 e +b10010011010001100000111111011011 "" +b10111100011001110010111111110000 0 +b10111100011001110010111111110000 M +b10111100011001110010111111110000 f +b10111100011001110010111111110000 !" +0. +1% +#3277500 +b10111 5 +b10111 L +b10111 W +14 +b10011 7 +b10011 N +b10011 V +16 +b10111 ' +b10111 D +1) +b10011 & +b10011 C +1( +1. +0% +#3278000 +0i +b10010011111100011001011100011011 { +b10010011111100011001011100011011 A" +b11010010101100011111001010111 | +b11010010101100011111001010111 B" +0h +0g +1:" +1;" +0r +0q +17" +b1000 0" +1j +0k +18" +1l +b1100 -" +0u +14" +1v +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#3278500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3279000 +1i +1h +1g +0:" +0;" +1r +1q +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10010011111100011001011100011011 / +b10010011111100011001011100011011 K +b10010011111100011001011100011011 e +b10010011111100011001011100011011 "" +b11010010101100011111001010111 0 +b11010010101100011111001010111 M +b11010010101100011111001010111 f +b11010010101100011111001010111 !" +0. +1% +#3279500 +b10010 7 +b10010 N +b10010 V +16 +b10001010011100000110110000100101 2 +b10001010011100000110110000100101 I +b10001010011100000110110000100101 Z +b11001 3 +b11001 J +b11001 Y +11 +b10010 & +b10010 C +1( +b10001010011100000110110000100101 , +b10001010011100000110110000100101 H +b11001 + +b11001 G +1- +1. +0% +#3280000 +0] +b10010011010001100000111111011011 | +b10010011010001100000111111011011 B" +0[ +1=" +1@" +0r +17" +b110 3" +1c +0_ +1<" +1` +b1101 -" +0u +14" +1v +1a +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001010011100000110110000100101 &" +b10001010011100000110110000100101 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#3280010 +b10001010011100000110110000100101 \" +#3280500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3281000 +1] +1[ +0=" +0@" +1r +07" +b11111 3" +0c +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10010011010001100000111111011011 0 +b10010011010001100000111111011011 M +b10010011010001100000111111011011 f +b10010011010001100000111111011011 !" +0. +1% +#3281500 +b10001101111100001111010001010110 2 +b10001101111100001111010001010110 I +b10001101111100001111010001010110 Z +b11101 3 +b11101 J +b11101 Y +11 +b10001101111100001111010001010110 , +b10001101111100001111010001010110 H +b11101 + +b11101 G +1- +1. +0% +#3282000 +0] +0[ +1=" +1>" +1@" +b10 3" +0^ +0c +1d +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001101111100001111010001010110 &" +b10001101111100001111010001010110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +0. +1% +#3282010 +b10001101111100001111010001010110 `" +#3282500 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3283000 +1] +b10111001110000111001001111111100 | +b10111001110000111001001111111100 B" +1[ +0=" +0>" +0@" +0r +0q +17" +b11111 3" +0d +0<" +0` +b11100 -" +1u +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#3283500 +b11011 7 +b11011 N +b11011 V +b11011 & +b11011 C +1. +0% +#3284000 +0u +0s +b111001001101000011110011001 | +b111001001101000011110011001 B" +15" +b100 -" +14" +1v +1y +b10111001110000111001001111111100 0 +b10111001110000111001001111111100 M +b10111001110000111001001111111100 f +b10111001110000111001001111111100 !" +b11011 } +b11011 (" +b11011 +" +0. +1% +#3284500 +b10110 7 +b10110 N +b10110 V +b10110 & +b10110 C +1. +0% +#3285000 +16" +1t +1q +05" +b1001 -" +0y +b10111100011001110010111111110000 | +b10111100011001110010111111110000 B" +0w +b10110 } +b10110 (" +b10110 +" +b111001001101000011110011001 0 +b111001001101000011110011001 M +b111001001101000011110011001 f +b111001001101000011110011001 !" +0. +1% +#3285500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3286000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b10111100011001110010111111110000 0 +b10111100011001110010111111110000 M +b10111100011001110010111111110000 f +b10111100011001110010111111110000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3286500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#3287000 +0i +b1101011010100110000011000011 { +b1101011010100110000011000011 A" +19" +1;" +b10111 0" +1o +1k +1/" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3287500 +b11011 5 +b11011 L +b11011 W +b11001 7 +b11001 N +b11001 V +16 +b11011 ' +b11011 D +b11001 & +b11001 C +1( +1. +0% +#3288000 +0k +0s +b10001010011100000110110000100101 | +b10001010011100000110110000100101 B" +0h +0g +0q +15" +17" +b100 0" +18" +1l +b111001001101000011110011001 { +b111001001101000011110011001 A" +b110 -" +1y +0u +14" +1v +1n +1m +1w +1," +b1101011010100110000011000011 / +b1101011010100110000011000011 K +b1101011010100110000011000011 e +b1101011010100110000011000011 "" +b11011 ~ +b11011 )" +b11011 ." +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#3288500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3289000 +1i +1s +1h +1g +09" +0;" +1q +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b111001001101000011110011001 / +b111001001101000011110011001 K +b111001001101000011110011001 e +b111001001101000011110011001 "" +b10001010011100000110110000100101 0 +b10001010011100000110110000100101 M +b10001010011100000110110000100101 f +b10001010011100000110110000100101 !" +0. +1% +#3289500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#3290000 +b10111001110000111001001111111100 | +b10111001110000111001001111111100 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#3290500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3291000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10111001110000111001001111111100 0 +b10111001110000111001001111111100 M +b10111001110000111001001111111100 f +b10111001110000111001001111111100 !" +0. +1% +#3291500 +b10001 7 +b10001 N +b10001 V +16 +b10001 & +b10001 C +1( +1. +0% +#3292000 +b1001110101000010010110100011011 | +b1001110101000010010110100011011 B" +0q +17" +b1110 -" +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#3292500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3293000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1001110101000010010110100011011 0 +b1001110101000010010110100011011 M +b1001110101000010010110100011011 f +b1001110101000010010110100011011 !" +0. +1% +#3293500 +b101 7 +b101 N +b101 V +16 +b10100011000101100011010010100001 2 +b10100011000101100011010010100001 I +b10100011000101100011010010100001 Z +b1001 3 +b1001 J +b1001 Y +11 +b101 & +b101 C +1( +b10100011000101100011010010100001 , +b10100011000101100011010010100001 H +b1001 + +b1001 G +1- +1. +0% +#3294000 +0] +0s +b1111111110101000101101100111010 | +b1111111110101000101101100111010 B" +0[ +1=" +1@" +0q +16" +17" +b10110 3" +1c +1_ +b11010 -" +1t +1u +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100011000101100011010010100001 &" +b10100011000101100011010010100001 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#3294010 +b10100011000101100011010010100001 L" +#3294500 +b10101 7 +b10101 N +b10101 V +b111011100110101010111110001 2 +b111011100110101010111110001 I +b111011100110101010111110001 Z +b10 3 +b10 J +b10 Y +b10101 & +b10101 C +b111011100110101010111110001 , +b111011100110101010111110001 H +b10 + +b10 G +1. +0% +#3295000 +1] +0u +0\ +1[ +0=" +b1001011001110010101100010011 | +b1001011001110010101100010011 B" +b11101 3" +0c +b1010 -" +14" +1v +1b +0a +b111011100110101010111110001 &" +b111011100110101010111110001 ?" +b10 %" +b10 *" +b10 1" +b10101 } +b10101 (" +b10101 +" +b1111111110101000101101100111010 0 +b1111111110101000101101100111010 M +b1111111110101000101101100111010 f +b1111111110101000101101100111010 !" +0. +1% +#3295010 +b111011100110101010111110001 E" +#3295500 +b1111 5 +b1111 L +b1111 W +14 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3296000 +0i +b11100001010000100110001011111 { +b11100001010000100110001011111 A" +1\ +0@" +0h +0g +19" +1:" +1;" +0r +b11111 3" +0_ +b10000 0" +0j +0o +1p +1k +b1000 -" +b10010011111100011001011100011011 | +b10010011111100011001011100011011 B" +0b +02" +1n +1m +1/" +1x +b1001011001110010101100010011 0 +b1001011001110010101100010011 M +b1001011001110010101100010011 f +b1001011001110010101100010011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10111 } +b10111 (" +b10111 +" +0. +1% +#3296500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3297000 +1i +1s +1h +1g +09" +0:" +0;" +1r +1q +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11100001010000100110001011111 / +b11100001010000100110001011111 K +b11100001010000100110001011111 e +b11100001010000100110001011111 "" +b10010011111100011001011100011011 0 +b10010011111100011001011100011011 M +b10010011111100011001011100011011 f +b10010011111100011001011100011011 !" +0. +1% +#3297500 +b11011 5 +b11011 L +b11011 W +14 +b11011 ' +b11011 D +1) +1. +0% +#3298000 +0i +b111001001101000011110011001 { +b111001001101000011110011001 A" +0h +0g +19" +1;" +b100 0" +1o +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#3298500 +b1 5 +b1 L +b1 W +b10000 7 +b10000 N +b10000 V +16 +b1 ' +b1 D +b10000 & +b10000 C +1( +1. +0% +#3299000 +1k +1i +b1000011000101100110110101110101 | +b1000011000101100110110101110101 B" +1h +1;" +09" +17" +b11110 0" +08" +0l +0o +b1101010100001000010000110011100 { +b1101010100001000010000110011100 A" +b1111 -" +0u +14" +1v +0n +1," +b1 ~ +b1 )" +b1 ." +b10000 } +b10000 (" +b10000 +" +1#" +b111001001101000011110011001 / +b111001001101000011110011001 K +b111001001101000011110011001 e +b111001001101000011110011001 "" +0. +1% +#3299500 +b11000 5 +b11000 L +b11000 W +b0 7 +b0 N +b0 V +06 +b11000 ' +b11000 D +b0 & +b0 C +0( +1. +0% +#3300000 +0k +0i +1g +19" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b111 0" +18" +1l +1o +b11010101001011101001100011101001 { +b11010101001011101001100011101001 A" +b11111 -" +04" +0v +0m +0," +b1101010100001000010000110011100 / +b1101010100001000010000110011100 K +b1101010100001000010000110011100 e +b1101010100001000010000110011100 "" +b1000011000101100110110101110101 0 +b1000011000101100110110101110101 M +b1000011000101100110110101110101 f +b1000011000101100110110101110101 !" +b11000 ~ +b11000 )" +b11000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3300500 +b0 5 +b0 L +b0 W +04 +b1011011011010110011011000001010 2 +b1011011011010110011011000001010 I +b1011011011010110011011000001010 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b1011011011010110011011000001010 , +b1011011011010110011011000001010 H +b101 + +b101 G +1- +1. +0% +#3301000 +0] +1i +0[ +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11010 3" +1^ +1_ +b11111 0" +0o +08" +0l +1a +12" +0/" +b1011011011010110011011000001010 &" +b1011011011010110011011000001010 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11010101001011101001100011101001 / +b11010101001011101001100011101001 K +b11010101001011101001100011101001 e +b11010101001011101001100011101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3301010 +b1011011011010110011011000001010 H" +#3301500 +b1001 7 +b1001 N +b1001 V +16 +b10110111110110000001110101001 2 +b10110111110110000001110101001 I +b10110111110110000001110101001 Z +b10000 3 +b10000 J +b10000 Y +b1001 & +b1001 C +1( +b10110111110110000001110101001 , +b10110111110110000001110101001 H +b10000 + +b10000 G +1. +0% +#3302000 +0_ +1] +0s +b10100011000101100011010010100001 | +b10100011000101100011010010100001 B" +1[ +0>" +0q +15" +17" +b1111 3" +1<" +1` +0^ +b10110 -" +1y +1u +0a +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110111110110000001110101001 &" +b10110111110110000001110101001 ?" +b10000 %" +b10000 *" +b10000 1" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#3302010 +b10110111110110000001110101001 S" +#3302500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3303000 +1s +0@" +1q +05" +07" +b11111 3" +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10100011000101100011010010100001 0 +b10100011000101100011010010100001 M +b10100011000101100011010010100001 f +b10100011000101100011010010100001 !" +0. +1% +#3303500 +b10101 5 +b10101 L +b10101 W +14 +b11 7 +b11 N +b11 V +16 +b10101 ' +b10101 D +1) +b11 & +b11 C +1( +1. +0% +#3304000 +0i +b1001011001110010101100010011 { +b1001011001110010101100010011 A" +b10111001110000111001001111111100 | +b10111001110000111001001111111100 B" +0g +1:" +1;" +0r +0q +17" +b1010 0" +1j +0k +18" +1l +b11100 -" +1u +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101 ~ +b10101 )" +b10101 ." +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#3304500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3305000 +1i +1g +0:" +0;" +1r +1q +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001011001110010101100010011 / +b1001011001110010101100010011 K +b1001011001110010101100010011 e +b1001011001110010101100010011 "" +b10111001110000111001001111111100 0 +b10111001110000111001001111111100 M +b10111001110000111001001111111100 f +b10111001110000111001001111111100 !" +0. +1% +#3305500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#3306000 +b11010010101100011111001010111 | +b11010010101100011111001010111 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#3306500 +b0 7 +b0 N +b0 V +06 +b10000011011000100000001110010 2 +b10000011011000100000001110010 I +b10000011011000100000001110010 Z +b101 3 +b101 J +b101 Y +11 +b0 & +b0 C +0( +b10000011011000100000001110010 , +b10000011011000100000001110010 H +b101 + +b101 G +1- +1. +0% +#3307000 +0] +0[ +1>" +1@" +1r +1q +07" +b11010 3" +1^ +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0w +0," +b10000011011000100000001110010 &" +b10000011011000100000001110010 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11010010101100011111001010111 0 +b11010010101100011111001010111 M +b11010010101100011111001010111 f +b11010010101100011111001010111 !" +0. +1% +#3307010 +b10000011011000100000001110010 H" +#3307500 +b111 5 +b111 L +b111 W +14 +b100 7 +b100 N +b100 V +16 +b10110001000101100111001111100010 2 +b10110001000101100111001111100010 I +b10110001000101100111001111100010 Z +b1111 3 +b1111 J +b1111 Y +b111 ' +b111 D +1) +b100 & +b100 C +1( +b10110001000101100111001111100010 , +b10110001000101100111001111100010 H +b1111 + +b1111 G +1. +0% +#3308000 +0^ +0i +b110010111100111010011101010 { +b110010111100111010011101010 A" +0s +b11111101101010011011100100000110 | +b11111101101010011011100100000110 B" +0\ +1=" +0h +0g +1:" +1;" +16" +17" +b10000 3" +1d +b11000 0" +1j +1k +b11011 -" +1t +1u +1b +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110001000101100111001111100010 &" +b10110001000101100111001111100010 ?" +b1111 %" +b1111 *" +b1111 1" +b111 ~ +b111 )" +b111 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#3308010 +b10110001000101100111001111100010 R" +#3308500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3309000 +1] +1i +0t +1\ +1[ +0=" +0>" +0@" +1h +1g +0:" +0;" +0r +15" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +1z +b110100111010010000011101110110 | +b110100111010010000011101110110 B" +0b +0a +02" +0n +0m +0/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +b110010111100111010011101010 / +b110010111100111010011101010 K +b110010111100111010011101010 e +b110010111100111010011101010 "" +b11111101101010011011100100000110 0 +b11111101101010011011100100000110 M +b11111101101010011011100100000110 f +b11111101101010011011100100000110 !" +0. +1% +#3309500 +b10101 7 +b10101 N +b10101 V +b10101 & +b10101 C +1. +0% +#3310000 +0u +1t +1r +0q +05" +16" +b1010 -" +14" +1v +0z +b1001011001110010101100010011 | +b1001011001110010101100010011 B" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110100111010010000011101110110 0 +b110100111010010000011101110110 M +b110100111010010000011101110110 f +b110100111010010000011101110110 !" +b10101 } +b10101 (" +b10101 +" +0. +1% +#3310500 +b11111 5 +b11111 L +b11111 W +14 +b111 7 +b111 N +b111 V +b11111 ' +b11111 D +1) +b111 & +b111 C +1. +0% +#3311000 +0i +b100111000001101000110110011101 { +b100111000001101000110110011101 A" +1u +0h +0g +19" +1:" +1;" +0r +17" +b0 0" +0j +0o +1p +0k +18" +1l +b11000 -" +04" +0v +b110010111100111010011101010 | +b110010111100111010011101010 B" +1n +1m +1/" +1x +b11111 ~ +b11111 )" +b11111 ." +1$" +b111 } +b111 (" +b111 +" +b1001011001110010101100010011 0 +b1001011001110010101100010011 M +b1001011001110010101100010011 f +b1001011001110010101100010011 !" +0. +1% +#3311500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3312000 +1i +1s +1h +1g +09" +0:" +0;" +1r +1q +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0w +0," +b100111000001101000110110011101 / +b100111000001101000110110011101 K +b100111000001101000110110011101 e +b100111000001101000110110011101 "" +b110010111100111010011101010 0 +b110010111100111010011101010 M +b110010111100111010011101010 f +b110010111100111010011101010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3312500 +1. +0% +#3313000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3313500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#3314000 +b10111001110000111001001111111100 | +b10111001110000111001001111111100 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b11 } +b11 (" +b11 +" +1#" +0. +1% +#3314500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3315000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10111001110000111001001111111100 0 +b10111001110000111001001111111100 M +b10111001110000111001001111111100 f +b10111001110000111001001111111100 !" +0. +1% +#3315500 +b1100111000010011011110010100100 2 +b1100111000010011011110010100100 I +b1100111000010011011110010100100 Z +b11100 3 +b11100 J +b11100 Y +11 +b1100111000010011011110010100100 , +b1100111000010011011110010100100 H +b11100 + +b11100 G +1- +1. +0% +#3316000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100111000010011011110010100100 &" +b1100111000010011011110010100100 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#3316010 +b1100111000010011011110010100100 _" +#3316500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3317000 +1] +0s +b10100011000101100011010010100001 | +b10100011000101100011010010100001 B" +0=" +0>" +0@" +0q +15" +17" +b11111 3" +0d +0<" +0` +b10110 -" +1y +1u +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#3317500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b10000011101110101011000101001111 2 +b10000011101110101011000101001111 I +b10000011101110101011000101001111 Z +b1011 3 +b1011 J +b1011 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b10000011101110101011000101001111 , +b10000011101110101011000101001111 H +b1011 + +b1011 G +1- +1. +0% +#3318000 +0] +0i +b10100011000101100011010010100001 { +b10100011000101100011010010100001 A" +1s +0\ +0[ +1=" +1@" +0g +19" +1;" +1q +05" +07" +b10100 3" +1c +1_ +b10110 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1m +1/" +0w +0," +b10100011000101100011010010100001 0 +b10100011000101100011010010100001 M +b10100011000101100011010010100001 f +b10100011000101100011010010100001 !" +b10000011101110101011000101001111 &" +b10000011101110101011000101001111 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3318010 +b10000011101110101011000101001111 N" +#3318500 +b1111 5 +b1111 L +b1111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3319000 +1] +0o +1\ +1[ +0=" +0@" +0h +1:" +b11111 3" +0c +0_ +b10000 0" +1p +b10110001000101100111001111100010 { +b10110001000101100111001111100010 A" +0b +0a +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +b10100011000101100011010010100001 / +b10100011000101100011010010100001 K +b10100011000101100011010010100001 e +b10100011000101100011010010100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3319500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3320000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b10110001000101100111001111100010 / +b10110001000101100111001111100010 K +b10110001000101100111001111100010 e +b10110001000101100111001111100010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3320500 +1. +0% +#3321000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3321500 +b1101101101001100011000100101000 2 +b1101101101001100011000100101000 I +b1101101101001100011000100101000 Z +b11001 3 +b11001 J +b11001 Y +11 +b1101101101001100011000100101000 , +b1101101101001100011000100101000 H +b11001 + +b11001 G +1- +1. +0% +#3322000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b1101101101001100011000100101000 &" +b1101101101001100011000100101000 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +0. +1% +#3322010 +b1101101101001100011000100101000 \" +#3322500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3323000 +1] +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3323500 +b10101 7 +b10101 N +b10101 V +16 +b111001101010111010110100010100 2 +b111001101010111010110100010100 I +b111001101010111010110100010100 Z +b11011 3 +b11011 J +b11011 Y +11 +b10101 & +b10101 C +1( +b111001101010111010110100010100 , +b111001101010111010110100010100 H +b11011 + +b11011 G +1- +1. +0% +#3324000 +0] +0s +b1001011001110010101100010011 | +b1001011001110010101100010011 B" +0\ +0[ +1=" +1@" +0q +16" +17" +b100 3" +1c +0_ +1<" +1` +b1010 -" +1t +0u +14" +1v +1b +1a +12" +1w +1," +b111001101010111010110100010100 &" +b111001101010111010110100010100 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#3324010 +b111001101010111010110100010100 ^" +#3324500 +b110 7 +b110 N +b110 V +b10100010011110011101001100010110 2 +b10100010011110011101001100010110 I +b10100010011110011101001100010110 Z +b10101 3 +b10101 J +b10101 Y +b110 & +b110 C +b10100010011110011101001100010110 , +b10100010011110011101001100010110 H +b10101 + +b10101 G +1. +0% +#3325000 +1>" +1^ +1u +1\ +0=" +0r +1q +17" +b1010 3" +0c +b11001 -" +04" +0v +b110111000010010010111011010101 | +b110111000010010010111011010101 B" +0b +1x +0w +b10100010011110011101001100010110 &" +b10100010011110011101001100010110 ?" +b10101 %" +b10101 *" +b10101 1" +b110 } +b110 (" +b110 +" +b1001011001110010101100010011 0 +b1001011001110010101100010011 M +b1001011001110010101100010011 f +b1001011001110010101100010011 !" +0. +1% +#3325010 +b10100010011110011101001100010110 X" +#3325500 +b0 7 +b0 N +b0 V +06 +b1111010110001011001000111110000 2 +b1111010110001011001000111110000 I +b1111010110001011001000111110000 Z +b11111 3 +b11111 J +b11111 Y +b0 & +b0 C +0( +b1111010110001011001000111110000 , +b1111010110001011001000111110000 H +b11111 + +b11111 G +1. +0% +#3326000 +0^ +1s +0\ +1=" +1r +06" +07" +b0 3" +1d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0x +0," +b110111000010010010111011010101 0 +b110111000010010010111011010101 M +b110111000010010010111011010101 f +b110111000010010010111011010101 !" +b1111010110001011001000111110000 &" +b1111010110001011001000111110000 ?" +b11111 %" +b11111 *" +b11111 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3326500 +b1111 5 +b1111 L +b1111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3327000 +1] +0i +b10110001000101100111001111100010 { +b10110001000101100111001111100010 A" +1\ +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0d +0<" +0` +b10000 0" +0j +0o +1p +1k +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3327500 +b10011 5 +b10011 L +b10011 W +b10011 ' +b10011 D +1. +0% +#3328000 +0k +1i +b11010010101100011111001010111 { +b11010010101100011111001010111 A" +09" +0:" +b1100 0" +18" +1l +0p +b10110001000101100111001111100010 / +b10110001000101100111001111100010 K +b10110001000101100111001111100010 e +b10110001000101100111001111100010 "" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#3328500 +b1000 5 +b1000 L +b1000 W +b10011 7 +b10011 N +b10011 V +16 +b1110111101110111011000011111000 2 +b1110111101110111011000011111000 I +b1110111101110111011000011111000 Z +b11110 3 +b11110 J +b11110 Y +11 +b1000 ' +b1000 D +b10011 & +b10011 C +1( +b1110111101110111011000011111000 , +b1110111101110111011000011111000 H +b11110 + +b11110 G +1- +1. +0% +#3329000 +0] +1k +0i +b11010010101100011111001010111 | +b11010010101100011111001010111 B" +0\ +1=" +1>" +1@" +1h +1g +1;" +19" +0r +0q +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b10111 0" +08" +0l +1o +b1101011010100110000011000011 { +b1101011010100110000011000011 A" +b1100 -" +0u +14" +1v +1b +12" +0n +0m +1x +1w +1," +b1110111101110111011000011111000 &" +b1110111101110111011000011111000 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b10011 } +b10011 (" +b10011 +" +1#" +b11010010101100011111001010111 / +b11010010101100011111001010111 K +b11010010101100011111001010111 e +b11010010101100011111001010111 "" +0. +1% +#3329010 +b1110111101110111011000011111000 a" +#3329500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3330000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +1\ +0=" +0>" +0@" +09" +0;" +1r +17" +b11111 3" +0d +0<" +0` +b11111 0" +0o +0k +b11110 -" +04" +0v +b1101010100001000010000110011100 | +b1101010100001000010000110011100 B" +0b +02" +0/" +0x +b1101011010100110000011000011 / +b1101011010100110000011000011 K +b1101011010100110000011000011 e +b1101011010100110000011000011 "" +b11010010101100011111001010111 0 +b11010010101100011111001010111 M +b11010010101100011111001010111 f +b11010010101100011111001010111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +0. +1% +#3330500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3331000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101010100001000010000110011100 0 +b1101010100001000010000110011100 M +b1101010100001000010000110011100 f +b1101010100001000010000110011100 !" +0. +1% +#3331500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#3332000 +b10010011010001100000111111011011 { +b10010011010001100000111111011011 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#3332500 +b101 5 +b101 L +b101 W +b10100000001101001001100111111100 2 +b10100000001101001001100111111100 I +b10100000001101001001100111111100 Z +b10010 3 +b10010 J +b10010 Y +11 +b101 ' +b101 D +b10100000001101001001100111111100 , +b10100000001101001001100111111100 H +b10010 + +b10010 G +1- +1. +0% +#3333000 +1k +0i +0\ +1@" +1h +0g +1;" +1:" +b1101 3" +0_ +1<" +1` +b11010 0" +08" +0l +1j +b10000011011000100000001110010 { +b10000011011000100000001110010 A" +1b +12" +0n +1m +b10100000001101001001100111111100 &" +b10100000001101001001100111111100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b101 ~ +b101 )" +b101 ." +b10010011010001100000111111011011 / +b10010011010001100000111111011011 K +b10010011010001100000111111011011 e +b10010011010001100000111111011011 "" +0. +1% +#3333010 +b10100000001101001001100111111100 U" +#3333500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b1000010100110110001011011111010 2 +b1000010100110110001011011111010 I +b1000010100110110001011011111010 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b111 & +b111 C +1( +b1000010100110110001011011111010 , +b1000010100110110001011011111010 H +b11111 + +b11111 G +1. +0% +#3334000 +0] +1i +0s +b110010111100111010011101010 | +b110010111100111010011101010 B" +0[ +1=" +1>" +1g +0:" +0;" +0r +0q +16" +17" +b0 3" +0^ +0c +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11000 -" +1t +1u +1a +0m +0/" +1x +1w +1," +b10000011011000100000001110010 / +b10000011011000100000001110010 K +b10000011011000100000001110010 e +b10000011011000100000001110010 "" +b1000010100110110001011011111010 &" +b1000010100110110001011011111010 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#3334500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3335000 +1] +0i +b11001111111110001111011010001001 { +b11001111111110001111011010001001 A" +1s +1\ +1[ +0=" +0>" +0@" +0h +19" +1;" +1r +1q +06" +07" +b11111 3" +0d +0<" +0` +b101 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1n +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110010111100111010011101010 0 +b110010111100111010011101010 M +b110010111100111010011101010 f +b110010111100111010011101010 !" +0. +1% +#3335500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +1. +0% +#3336000 +1i +0s +b10010011111100011001011100011011 | +b10010011111100011001011100011011 B" +1h +09" +0;" +0r +0q +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +1t +0u +14" +1v +0n +0/" +1x +1w +1," +b11001111111110001111011010001001 / +b11001111111110001111011010001001 K +b11001111111110001111011010001001 e +b11001111111110001111011010001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#3336500 +b11111 5 +b11111 L +b11111 W +14 +b10 7 +b10 N +b10 V +b11111 ' +b11111 D +1) +b10 & +b10 C +1. +0% +#3337000 +0i +b1000010100110110001011011111010 { +b1000010100110110001011011111010 A" +1u +1s +0h +0g +19" +1:" +1;" +1q +17" +06" +b0 0" +0j +0o +1p +0k +18" +1l +b11101 -" +04" +0v +0t +b111011100110101010111110001 | +b111011100110101010111110001 B" +1n +1m +1/" +0w +b11111 ~ +b11111 )" +b11111 ." +1$" +b10 } +b10 (" +b10 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010011111100011001011100011011 0 +b10010011111100011001011100011011 M +b10010011111100011001011100011011 f +b10010011111100011001011100011011 !" +0. +1% +#3337500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +b0 ' +b0 D +0) +b1000 & +b1000 C +1. +0% +#3338000 +1i +0s +1h +1g +09" +0:" +0;" +1r +15" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +b1101011010100110000011000011 | +b1101011010100110000011000011 B" +0n +0m +0/" +0x +b1000010100110110001011011111010 / +b1000010100110110001011011111010 K +b1000010100110110001011011111010 e +b1000010100110110001011011111010 "" +b111011100110101010111110001 0 +b111011100110101010111110001 M +b111011100110101010111110001 f +b111011100110101010111110001 !" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +0. +1% +#3338500 +b11110 7 +b11110 N +b11110 V +b10110111001101000000110010001011 2 +b10110111001101000000110010001011 I +b10110111001101000000110010001011 Z +b11011 3 +b11011 J +b11011 Y +11 +b11110 & +b11110 C +b10110111001101000000110010001011 , +b10110111001101000000110010001011 H +b11011 + +b11011 G +1- +1. +0% +#3339000 +0] +0u +0y +0\ +0[ +1=" +1@" +0r +16" +b100 3" +1c +0_ +1<" +1` +b1 -" +14" +1v +1z +b1110111101110111011000011111000 | +b1110111101110111011000011111000 B" +1b +1a +12" +1x +b10110111001101000000110010001011 &" +b10110111001101000000110010001011 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b11110 } +b11110 (" +b11110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101011010100110000011000011 0 +b1101011010100110000011000011 M +b1101011010100110000011000011 f +b1101011010100110000011000011 !" +0. +1% +#3339010 +b10110111001101000000110010001011 ^" +#3339500 +b11001 5 +b11001 L +b11001 W +14 +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3340000 +1] +0i +b1101101101001100011000100101000 { +b1101101101001100011000100101000 A" +1u +1y +1\ +1[ +0=" +0@" +0g +19" +1;" +0q +17" +15" +06" +b11111 3" +0c +0<" +0` +b110 0" +1o +0k +18" +1l +b10100 -" +04" +0v +0z +b10000011101110101011000101001111 | +b10000011101110101011000101001111 B" +0b +0a +02" +1m +1/" +1w +b1110111101110111011000011111000 0 +b1110111101110111011000011111000 M +b1110111101110111011000011111000 f +b1110111101110111011000011111000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#3340500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b11000111000101011111011001100010 2 +b11000111000101011111011001100010 I +b11000111000101011111011001100010 Z +b11011 3 +b11011 J +b11011 Y +11 +b1000 ' +b1000 D +b0 & +b0 C +0( +b11000111000101011111011001100010 , +b11000111000101011111011001100010 H +b11011 + +b11011 G +1- +1. +0% +#3341000 +0] +1k +1s +0\ +0[ +1=" +1@" +1g +1;" +1r +1q +05" +07" +b100 3" +1c +0_ +1<" +1` +b10111 0" +08" +0l +b1101011010100110000011000011 { +b1101011010100110000011000011 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0m +0x +0w +0," +b11000111000101011111011001100010 &" +b11000111000101011111011001100010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +b1101101101001100011000100101000 / +b1101101101001100011000100101000 K +b1101101101001100011000100101000 e +b1101101101001100011000100101000 "" +b10000011101110101011000101001111 0 +b10000011101110101011000101001111 M +b10000011101110101011000101001111 f +b10000011101110101011000101001111 !" +0. +1% +#3341010 +b11000111000101011111011001100010 ^" +#3341500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3342000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +1[ +0=" +0@" +09" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0o +0k +0b +0a +02" +0/" +b1101011010100110000011000011 / +b1101011010100110000011000011 K +b1101011010100110000011000011 e +b1101011010100110000011000011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3342500 +b11111101011100101111101111010100 2 +b11111101011100101111101111010100 I +b11111101011100101111101111010100 Z +b10010 3 +b10010 J +b10010 Y +11 +b11111101011100101111101111010100 , +b11111101011100101111101111010100 H +b10010 + +b10010 G +1- +1. +0% +#3343000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b11111101011100101111101111010100 &" +b11111101011100101111101111010100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3343010 +b11111101011100101111101111010100 U" +#3343500 +b10000011101100001001100110011001 2 +b10000011101100001001100110011001 I +b10000011101100001001100110011001 Z +b1100 3 +b1100 J +b1100 Y +b10000011101100001001100110011001 , +b10000011101100001001100110011001 H +b1100 + +b1100 G +1. +0% +#3344000 +1_ +0] +1\ +1@" +1=" +1>" +b10011 3" +0<" +0` +0^ +0c +1d +0b +b10000011101100001001100110011001 &" +b10000011101100001001100110011001 ?" +b1100 %" +b1100 *" +b1100 1" +0. +1% +#3344010 +b10000011101100001001100110011001 O" +#3344500 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3345000 +1] +b11111101011100101111101111010100 | +b11111101011100101111101111010100 B" +0=" +0>" +0@" +0r +17" +b11111 3" +0d +0_ +b1101 -" +0u +14" +1v +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#3345500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3346000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b11111101011100101111101111010100 0 +b11111101011100101111101111010100 M +b11111101011100101111101111010100 f +b11111101011100101111101111010100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3346500 +b10001111111011000100101110010 2 +b10001111111011000100101110010 I +b10001111111011000100101110010 Z +b111 3 +b111 J +b111 Y +11 +b10001111111011000100101110010 , +b10001111111011000100101110010 H +b111 + +b111 G +1- +1. +0% +#3347000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b10001111111011000100101110010 &" +b10001111111011000100101110010 ?" +b111 %" +b111 *" +b111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3347010 +b10001111111011000100101110010 J" +#3347500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3348000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3348500 +1. +0% +#3349000 +0. +1% +#3349500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#3350000 +0s +b10000100100010111110010100110011 | +b10000100100010111110010100110011 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#3350500 +b0 7 +b0 N +b0 V +06 +b100000110011001000111010010000 2 +b100000110011001000111010010000 I +b100000110011001000111010010000 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b100000110011001000111010010000 , +b100000110011001000111010010000 H +b111 + +b111 G +1- +1. +0% +#3351000 +0] +1s +0\ +0[ +1>" +1@" +1r +05" +07" +b11000 3" +1^ +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0," +b100000110011001000111010010000 &" +b100000110011001000111010010000 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10000100100010111110010100110011 0 +b10000100100010111110010100110011 M +b10000100100010111110010100110011 f +b10000100100010111110010100110011 !" +0. +1% +#3351010 +b100000110011001000111010010000 J" +#3351500 +b11 5 +b11 L +b11 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3352000 +1] +b10111001110000111001001111111100 { +b10111001110000111001001111111100 A" +1\ +1[ +0>" +0@" +0h +0g +1;" +b11111 3" +0^ +0_ +b11100 0" +1k +0b +0a +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#3352500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3353000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10111001110000111001001111111100 / +b10111001110000111001001111111100 K +b10111001110000111001001111111100 e +b10111001110000111001001111111100 "" +0. +1% +#3353500 +1. +0% +#3354000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3354500 +1. +0% +#3355000 +0. +1% +#3355500 +1. +0% +#3356000 +0. +1% +#3356500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#3357000 +b10110111110110000001110101001 | +b10110111110110000001110101001 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#3357500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3358000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b10110111110110000001110101001 0 +b10110111110110000001110101001 M +b10110111110110000001110101001 f +b10110111110110000001110101001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3358500 +b1110 7 +b1110 N +b1110 V +16 +b101001000010010101110111011100 2 +b101001000010010101110111011100 I +b101001000010010101110111011100 Z +b10 3 +b10 J +b10 Y +11 +b1110 & +b1110 C +1( +b101001000010010101110111011100 , +b101001000010010101110111011100 H +b10 + +b10 G +1- +1. +0% +#3359000 +0s +b110100111010010000011101110110 | +b110100111010010000011101110110 B" +0\ +1@" +0r +15" +16" +17" +b11101 3" +1_ +b10001 -" +0t +0y +1z +1u +1b +12" +1x +1," +b101001000010010101110111011100 &" +b101001000010010101110111011100 ?" +b10 %" +b10 *" +b10 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3359010 +b101001000010010101110111011100 E" +#3359500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3360000 +1s +1\ +0@" +1r +05" +06" +07" +b11111 3" +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0," +b110100111010010000011101110110 0 +b110100111010010000011101110110 M +b110100111010010000011101110110 f +b110100111010010000011101110110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3360500 +b11111 7 +b11111 N +b11111 V +16 +b11111 & +b11111 C +1( +1. +0% +#3361000 +0s +b1000010100110110001011011111010 | +b1000010100110110001011011111010 B" +0r +0q +15" +16" +17" +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3361500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b10101100010111000100110011111011 2 +b10101100010111000100110011111011 I +b10101100010111000100110011111011 Z +b111 3 +b111 J +b111 Y +11 +b11 ' +b11 D +1) +b0 & +b0 C +0( +b10101100010111000100110011111011 , +b10101100010111000100110011111011 H +b111 + +b111 G +1- +1. +0% +#3362000 +0] +b10111001110000111001001111111100 { +b10111001110000111001001111111100 A" +1s +0\ +0[ +1>" +1@" +0h +0g +1;" +1r +1q +05" +06" +07" +b11000 3" +1^ +1_ +b11100 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1m +1/" +0x +0w +0," +b1000010100110110001011011111010 0 +b1000010100110110001011011111010 M +b1000010100110110001011011111010 f +b1000010100110110001011011111010 !" +b10101100010111000100110011111011 &" +b10101100010111000100110011111011 ?" +b111 %" +b111 *" +b111 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3362010 +b10101100010111000100110011111011 J" +#3362500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3363000 +1] +1\ +1[ +0>" +0@" +1h +1g +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10111001110000111001001111111100 / +b10111001110000111001001111111100 K +b10111001110000111001001111111100 e +b10111001110000111001001111111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3363500 +b11010 7 +b11010 N +b11010 V +16 +b11110011101001001100111010000 2 +b11110011101001001100111010000 I +b11110011101001001100111010000 Z +b1100 3 +b1100 J +b1100 Y +11 +b11010 & +b11010 C +1( +b11110011101001001100111010000 , +b11110011101001001100111010000 H +b1100 + +b1100 G +1- +1. +0% +#3364000 +0] +0s +b11001111111110001111011010001001 | +b11001111111110001111011010001001 B" +1=" +1>" +1@" +0r +15" +17" +b10011 3" +0^ +0c +1d +1_ +b101 -" +1y +0u +14" +1v +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110011101001001100111010000 &" +b11110011101001001100111010000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#3364010 +b11110011101001001100111010000 O" +#3364500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3365000 +1] +b11111101011100101111101111010100 { +b11111101011100101111101111010100 A" +1s +0=" +0>" +0@" +0h +1;" +1r +05" +07" +b11111 3" +0d +0_ +b1101 0" +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +1n +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11001111111110001111011010001001 0 +b11001111111110001111011010001001 M +b11001111111110001111011010001001 f +b11001111111110001111011010001001 !" +0. +1% +#3365500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#3366000 +0s +b1101011010100110000011000011 | +b1101011010100110000011000011 B" +1h +0;" +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +1u +0n +0/" +1," +b11111101011100101111101111010100 / +b11111101011100101111101111010100 K +b11111101011100101111101111010100 e +b11111101011100101111101111010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#3366500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3367000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +07" +b11111 -" +0y +0u +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101011010100110000011000011 0 +b1101011010100110000011000011 M +b1101011010100110000011000011 f +b1101011010100110000011000011 !" +0. +1% +#3367500 +b11010 5 +b11010 L +b11010 W +14 +b10101 7 +b10101 N +b10101 V +16 +b11010 ' +b11010 D +1) +b10101 & +b10101 C +1( +1. +0% +#3368000 +0i +b11001111111110001111011010001001 { +b11001111111110001111011010001001 A" +0s +b10100010011110011101001100010110 | +b10100010011110011101001100010110 B" +0h +19" +1;" +0q +16" +17" +b101 0" +1o +0k +18" +1l +b1010 -" +1t +0u +14" +1v +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010 ~ +b11010 )" +b11010 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#3368500 +b1010 5 +b1010 L +b1010 W +b11000 7 +b11000 N +b11000 V +b10111101100110001100111101000011 2 +b10111101100110001100111101000011 I +b10111101100110001100111101000011 Z +b1011 3 +b1011 J +b1011 Y +11 +b1010 ' +b1010 D +b11000 & +b11000 C +b10111101100110001100111101000011 , +b10111101100110001100111101000011 H +b1011 + +b1011 G +1- +1. +0% +#3369000 +15" +0] +1k +1y +0\ +0[ +1=" +1@" +1;" +b10000100100010111110010100110011 { +b10000100100010111110010100110011 A" +1q +06" +b10100 3" +1c +1_ +b10101 0" +08" +0l +b111 -" +0t +b11010101001011101001100011101001 | +b11010101001011101001100011101001 B" +1b +1a +12" +0w +b10111101100110001100111101000011 &" +b10111101100110001100111101000011 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b11000 } +b11000 (" +b11000 +" +b11001111111110001111011010001001 / +b11001111111110001111011010001001 K +b11001111111110001111011010001001 e +b11001111111110001111011010001001 "" +b10100010011110011101001100010110 0 +b10100010011110011101001100010110 M +b10100010011110011101001100010110 f +b10100010011110011101001100010110 !" +0. +1% +#3369010 +b10111101100110001100111101000011 N" +#3369500 +b11011 5 +b11011 L +b11011 W +b10000 7 +b10000 N +b10000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +b10000 & +b10000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3370000 +1] +0k +1s +b10110111110110000001110101001 | +b10110111110110000001110101001 B" +1\ +1[ +0=" +0@" +0g +05" +b11111 3" +0c +0_ +b100 0" +18" +1l +b11000111000101011111011001100010 { +b11000111000101011111011001100010 A" +b1111 -" +0y +0b +0a +02" +1m +b10000100100010111110010100110011 / +b10000100100010111110010100110011 K +b10000100100010111110010100110011 e +b10000100100010111110010100110011 "" +b11010101001011101001100011101001 0 +b11010101001011101001100011101001 M +b11010101001011101001100011101001 f +b11010101001011101001100011101001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +b10000 } +b10000 (" +b10000 +" +0. +1% +#3370500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3371000 +1i +1h +1g +09" +0;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +04" +0v +0n +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000111000101011111011001100010 / +b11000111000101011111011001100010 K +b11000111000101011111011001100010 e +b11000111000101011111011001100010 "" +b10110111110110000001110101001 0 +b10110111110110000001110101001 M +b10110111110110000001110101001 f +b10110111110110000001110101001 !" +0. +1% +#3371500 +1. +0% +#3372000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3372500 +b101 7 +b101 N +b101 V +16 +b101 & +b101 C +1( +1. +0% +#3373000 +0s +b10000011011000100000001110010 | +b10000011011000100000001110010 B" +0q +16" +17" +b11010 -" +1t +1u +1w +1," +b101 } +b101 (" +b101 +" +1#" +0. +1% +#3373500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3374000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10000011011000100000001110010 0 +b10000011011000100000001110010 M +b10000011011000100000001110010 f +b10000011011000100000001110010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3374500 +b101 7 +b101 N +b101 V +16 +b111010001011110110010000111000 2 +b111010001011110110010000111000 I +b111010001011110110010000111000 Z +b100 3 +b100 J +b100 Y +11 +b101 & +b101 C +1( +b111010001011110110010000111000 , +b111010001011110110010000111000 H +b100 + +b100 G +1- +1. +0% +#3375000 +0] +0s +b10000011011000100000001110010 | +b10000011011000100000001110010 B" +1>" +1@" +0q +16" +17" +b11011 3" +1^ +1_ +b11010 -" +1t +1u +12" +1w +1," +b111010001011110110010000111000 &" +b111010001011110110010000111000 ?" +b100 %" +b100 *" +b100 1" +1'" +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3375010 +b111010001011110110010000111000 G" +#3375500 +b11000 5 +b11000 L +b11000 W +14 +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3376000 +1] +0i +b11010101001011101001100011101001 { +b11010101001011101001100011101001 A" +1s +0>" +0@" +19" +1;" +0r +06" +b11111 3" +0^ +0_ +b111 0" +1o +0k +18" +1l +b11100 -" +0t +b10111001110000111001001111111100 | +b10111001110000111001001111111100 B" +02" +1/" +1x +b10000011011000100000001110010 0 +b10000011011000100000001110010 M +b10000011011000100000001110010 f +b10000011011000100000001110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b11 } +b11 (" +b11 +" +0. +1% +#3376500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b10101011100010011001000010111001 2 +b10101011100010011001000010111001 I +b10101011100010011001000010111001 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +b10101011100010011001000010111001 , +b10101011100010011001000010111001 H +b10101 + +b10101 G +1- +1. +0% +#3377000 +0] +1i +0u +0[ +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11010010101100011111001010111 | +b11010010101100011111001010111 B" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0o +08" +0l +b1100 -" +14" +1v +1a +12" +0/" +b10101011100010011001000010111001 &" +b10101011100010011001000010111001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b11010101001011101001100011101001 / +b11010101001011101001100011101001 K +b11010101001011101001100011101001 e +b11010101001011101001100011101001 "" +b10111001110000111001001111111100 0 +b10111001110000111001001111111100 M +b10111001110000111001001111111100 f +b10111001110000111001001111111100 !" +0. +1% +#3377010 +b10101011100010011001000010111001 X" +#3377500 +b0 7 +b0 N +b0 V +06 +b1100101000011010101000010100001 2 +b1100101000011010101000010100001 I +b1100101000011010101000010100001 Z +b11 3 +b11 J +b11 Y +b0 & +b0 C +0( +b1100101000011010101000010100001 , +b1100101000011010101000010100001 H +b11 + +b11 G +1. +0% +#3378000 +1_ +1] +0\ +1@" +0>" +1r +1q +07" +b11100 3" +0<" +0` +0^ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010010101100011111001010111 0 +b11010010101100011111001010111 M +b11010010101100011111001010111 f +b11010010101100011111001010111 !" +b1100101000011010101000010100001 &" +b1100101000011010101000010100001 ?" +b11 %" +b11 *" +b11 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3378010 +b1100101000011010101000010100001 F" +#3378500 +b101 5 +b101 L +b101 W +14 +b1000000110010001001101011110011 2 +b1000000110010001001101011110011 I +b1000000110010001001101011110011 Z +b11100 3 +b11100 J +b11100 Y +b101 ' +b101 D +1) +b1000000110010001001101011110011 , +b1000000110010001001101011110011 H +b11100 + +b11100 G +1. +0% +#3379000 +0_ +0] +0i +b10000011011000100000001110010 { +b10000011011000100000001110010 A" +1\ +1[ +1=" +1>" +0g +1:" +1;" +b11 3" +1<" +1` +0^ +0c +1d +b11010 0" +1j +1k +0b +0a +1m +1/" +b1000000110010001001101011110011 &" +b1000000110010001001101011110011 ?" +b11100 %" +b11100 *" +b11100 1" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3379010 +b1000000110010001001101011110011 _" +#3379500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b11100010101010110100101101001110 2 +b11100010101010110100101101001110 I +b11100010101010110100101101001110 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b11100010101010110100101101001110 , +b11100010101010110100101101001110 H +b1100 + +b1100 G +1. +0% +#3380000 +1_ +1i +0s +b10100011000101100011010010100001 | +b10100011000101100011010010100001 B" +1@" +1g +0:" +0;" +0q +15" +17" +b10011 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +1y +1u +0m +0/" +1w +1," +b10000011011000100000001110010 / +b10000011011000100000001110010 K +b10000011011000100000001110010 e +b10000011011000100000001110010 "" +b11100010101010110100101101001110 &" +b11100010101010110100101101001110 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#3380010 +b11100010101010110100101101001110 O" +#3380500 +b0 7 +b0 N +b0 V +06 +b11111001000011110101101010011101 2 +b11111001000011110101101010011101 I +b11111001000011110101101010011101 Z +b1101 3 +b1101 J +b1101 Y +b0 & +b0 C +0( +b11111001000011110101101010011101 , +b11111001000011110101101010011101 H +b1101 + +b1101 G +1. +0% +#3381000 +1s +0[ +1q +05" +07" +b10010 3" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0w +0," +b11111001000011110101101010011101 &" +b11111001000011110101101010011101 ?" +b1101 %" +b1101 *" +b1101 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100011000101100011010010100001 0 +b10100011000101100011010010100001 M +b10100011000101100011010010100001 f +b10100011000101100011010010100001 !" +0. +1% +#3381010 +b11111001000011110101101010011101 P" +#3381500 +b111 5 +b111 L +b111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3382000 +1] +0i +b10101100010111000100110011111011 { +b10101100010111000100110011111011 A" +1[ +0=" +0>" +0@" +0h +0g +1:" +1;" +b11111 3" +0d +0_ +b11000 0" +1j +1k +0a +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#3382500 +b0 5 +b0 L +b0 W +04 +b10001101001100101010001011001110 2 +b10001101001100101010001011001110 I +b10001101001100101010001011001110 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b10001101001100101010001011001110 , +b10001101001100101010001011001110 H +b11000 + +b11000 G +1- +1. +0% +#3383000 +0] +1i +1=" +1@" +1h +1g +0:" +0;" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0n +0m +0/" +b10001101001100101010001011001110 &" +b10001101001100101010001011001110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101100010111000100110011111011 / +b10101100010111000100110011111011 K +b10101100010111000100110011111011 e +b10101100010111000100110011111011 "" +0. +1% +#3383010 +b10001101001100101010001011001110 [" +#3383500 +b1011110001001101001110011111111 2 +b1011110001001101001110011111111 I +b1011110001001101001110011111111 Z +b10010 3 +b10010 J +b10010 Y +b1011110001001101001110011111111 , +b1011110001001101001110011111111 H +b10010 + +b10010 G +1. +0% +#3384000 +1] +0\ +0=" +b1101 3" +0c +1b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011110001001101001110011111111 &" +b1011110001001101001110011111111 ?" +b10010 %" +b10010 *" +b10010 1" +0. +1% +#3384010 +b1011110001001101001110011111111 U" +#3384500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3385000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3385500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#3386000 +0i +b11001111111110001111011010001001 { +b11001111111110001111011010001001 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#3386500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3387000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11001111111110001111011010001001 / +b11001111111110001111011010001001 K +b11001111111110001111011010001001 e +b11001111111110001111011010001001 "" +0. +1% +#3387500 +1. +0% +#3388000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3388500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#3389000 +0i +b10111101100110001100111101000011 { +b10111101100110001100111101000011 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#3389500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b11100100010000001111000011111011 2 +b11100100010000001111000011111011 I +b11100100010000001111000011111011 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +b11100100010000001111000011111011 , +b11100100010000001111000011111011 H +b1100 + +b1100 G +1- +1. +0% +#3390000 +0] +1i +0s +b1000010100110110001011011111010 | +b1000010100110110001011011111010 B" +1=" +1>" +1@" +1h +1g +09" +0;" +0r +0q +15" +16" +17" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b0 -" +0t +0y +1z +0u +14" +1v +12" +0n +0m +0/" +1x +1w +1," +b10111101100110001100111101000011 / +b10111101100110001100111101000011 K +b10111101100110001100111101000011 e +b10111101100110001100111101000011 "" +b11100100010000001111000011111011 &" +b11100100010000001111000011111011 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#3390010 +b11100100010000001111000011111011 O" +#3390500 +b100 7 +b100 N +b100 V +b1010100111001101001010010 2 +b1010100111001101001010010 I +b1010100111001101001010010 Z +b10001 3 +b10001 J +b10001 Y +b100 & +b100 C +b1010100111001101001010010 , +b1010100111001101001010010 H +b10001 + +b10001 G +1. +0% +#3391000 +0_ +1] +1u +1t +0[ +0=" +0>" +1r +1q +17" +05" +16" +b1110 3" +1<" +1` +0d +b11011 -" +04" +0v +0z +b111010001011110110010000111000 | +b111010001011110110010000111000 B" +1a +0x +0w +b1010100111001101001010010 &" +b1010100111001101001010010 ?" +b10001 %" +b10001 *" +b10001 1" +b100 } +b100 (" +b100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000010100110110001011011111010 0 +b1000010100110110001011011111010 M +b1000010100110110001011011111010 f +b1000010100110110001011011111010 !" +0. +1% +#3391010 +b1010100111001101001010010 T" +#3391500 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3392000 +1s +1[ +0@" +0q +06" +b11111 3" +0<" +0` +b11110 -" +0t +b1101010100001000010000110011100 | +b1101010100001000010000110011100 B" +0a +02" +1w +b111010001011110110010000111000 0 +b111010001011110110010000111000 M +b111010001011110110010000111000 f +b111010001011110110010000111000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +0. +1% +#3392500 +b10 7 +b10 N +b10 V +b10 & +b10 C +1. +0% +#3393000 +0r +1q +b11101 -" +b101001000010010101110111011100 | +b101001000010010101110111011100 B" +1x +0w +b10 } +b10 (" +b10 +" +b1101010100001000010000110011100 0 +b1101010100001000010000110011100 M +b1101010100001000010000110011100 f +b1101010100001000010000110011100 !" +0. +1% +#3393500 +b11101 5 +b11101 L +b11101 W +14 +b11011 7 +b11011 N +b11011 V +b10111101010010101101110011110110 2 +b10111101010010101101110011110110 I +b10111101010010101101110011110110 Z +b1 3 +b1 J +b1 Y +11 +b11101 ' +b11101 D +1) +b11011 & +b11011 C +b10111101010010101101110011110110 , +b10111101010010101101110011110110 H +b1 + +b1 G +1- +1. +0% +#3394000 +0i +b10001101111100001111010001010110 { +b10001101111100001111010001010110 A" +0u +0s +0[ +1@" +0g +19" +1:" +1;" +0q +15" +b11110 3" +1_ +b10 0" +0j +0o +1p +0k +18" +1l +b100 -" +14" +1v +1y +b11000111000101011111011001100010 | +b11000111000101011111011001100010 B" +1a +12" +1m +1/" +1w +b101001000010010101110111011100 0 +b101001000010010101110111011100 M +b101001000010010101110111011100 f +b101001000010010101110111011100 !" +b10111101010010101101110011110110 &" +b10111101010010101101110011110110 ?" +b1 %" +b1 *" +b1 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#3394010 +b10111101010010101101110011110110 D" +#3394500 +b1010 5 +b1010 L +b1010 W +b11 7 +b11 N +b11 V +b1000011100111110110111110100101 2 +b1000011100111110110111110100101 I +b1000011100111110110111110100101 Z +b10001 3 +b10001 J +b10001 Y +b1010 ' +b1010 D +b11 & +b11 C +b1000011100111110110111110100101 , +b1000011100111110110111110100101 H +b10001 + +b10001 G +1. +0% +#3395000 +0_ +1k +1o +1u +1s +0h +1g +1;" +19" +0:" +17" +b1100101000011010101000010100001 | +b1100101000011010101000010100001 B" +05" +b1110 3" +1<" +1` +b10101 0" +08" +0l +0p +b10000100100010111110010100110011 { +b10000100100010111110010100110011 A" +b11100 -" +04" +0v +0y +1n +0m +b1000011100111110110111110100101 &" +b1000011100111110110111110100101 ?" +b10001 %" +b10001 *" +b10001 1" +b1010 ~ +b1010 )" +b1010 ." +b11 } +b11 (" +b11 +" +b10001101111100001111010001010110 / +b10001101111100001111010001010110 K +b10001101111100001111010001010110 e +b10001101111100001111010001010110 "" +b11000111000101011111011001100010 0 +b11000111000101011111011001100010 M +b11000111000101011111011001100010 f +b11000111000101011111011001100010 !" +0. +1% +#3395010 +b1000011100111110110111110100101 T" +#3395500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1110001001011001011110111110110 2 +b1110001001011001011110111110110 I +b1110001001011001011110111110110 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1110001001011001011110111110110 , +b1110001001011001011110111110110 H +b10 + +b10 G +1. +0% +#3396000 +1_ +1i +0\ +1[ +1@" +1h +09" +0;" +1r +1q +07" +b11101 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0a +0n +0/" +0x +0w +0," +b10000100100010111110010100110011 / +b10000100100010111110010100110011 K +b10000100100010111110010100110011 e +b10000100100010111110010100110011 "" +b1100101000011010101000010100001 0 +b1100101000011010101000010100001 M +b1100101000011010101000010100001 f +b1100101000011010101000010100001 !" +b1110001001011001011110111110110 &" +b1110001001011001011110111110110 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3396010 +b1110001001011001011110111110110 E" +#3396500 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3397000 +b110010010101101101011000101100 | +b110010010101101101011000101100 B" +1\ +0@" +17" +b11111 3" +0_ +1u +0b +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3397500 +b101 5 +b101 L +b101 W +14 +b11011 7 +b11011 N +b11011 V +b11011100000001000000101010011111 2 +b11011100000001000000101010011111 I +b11011100000001000000101010011111 Z +b110 3 +b110 J +b110 Y +11 +b101 ' +b101 D +1) +b11011 & +b11011 C +b11011100000001000000101010011111 , +b11011100000001000000101010011111 H +b110 + +b110 G +1- +1. +0% +#3398000 +0] +0i +b10000011011000100000001110010 { +b10000011011000100000001110010 A" +0u +0s +0\ +1>" +1@" +0g +1:" +1;" +0r +0q +15" +b11001 3" +1^ +1_ +b11010 0" +1j +1k +b100 -" +14" +1v +1y +b11000111000101011111011001100010 | +b11000111000101011111011001100010 B" +1b +12" +1m +1/" +1x +1w +b110010010101101101011000101100 0 +b110010010101101101011000101100 M +b110010010101101101011000101100 f +b110010010101101101011000101100 !" +b11011100000001000000101010011111 &" +b11011100000001000000101010011111 ?" +b110 %" +b110 *" +b110 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#3398010 +b11011100000001000000101010011111 I" +#3398500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b1101011111010001101011100100100 2 +b1101011111010001101011100100100 I +b1101011111010001101011100100100 Z +b11011 3 +b11011 J +b11011 Y +b1011 ' +b1011 D +b0 & +b0 C +0( +b1101011111010001101011100100100 , +b1101011111010001101011100100100 H +b11011 + +b11011 G +1. +0% +#3399000 +1=" +19" +0_ +1c +1o +1s +0[ +0>" +0h +0:" +1r +1q +05" +07" +b100 3" +1<" +1` +0^ +b10100 0" +0j +b10111101100110001100111101000011 { +b10111101100110001100111101000011 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +1n +0x +0w +0," +b1101011111010001101011100100100 &" +b1101011111010001101011100100100 ?" +b11011 %" +b11011 *" +b11011 1" +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +b10000011011000100000001110010 / +b10000011011000100000001110010 K +b10000011011000100000001110010 e +b10000011011000100000001110010 "" +b11000111000101011111011001100010 0 +b11000111000101011111011001100010 M +b11000111000101011111011001100010 f +b11000111000101011111011001100010 !" +0. +1% +#3399010 +b1101011111010001101011100100100 ^" +#3399500 +b0 5 +b0 L +b0 W +04 +b1001000101111111111011001000101 2 +b1001000101111111111011001000101 I +b1001000101111111111011001000101 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b1001000101111111111011001000101 , +b1001000101111111111011001000101 H +b11010 + +b11010 G +1. +0% +#3400000 +1i +1[ +1h +1g +09" +0;" +b101 3" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +0n +0m +0/" +b10111101100110001100111101000011 / +b10111101100110001100111101000011 K +b10111101100110001100111101000011 e +b10111101100110001100111101000011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001000101111111111011001000101 &" +b1001000101111111111011001000101 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3400010 +b1001000101111111111011001000101 ]" +#3400500 +b11110 7 +b11110 N +b11110 V +16 +b10001101010011101000110110000001 2 +b10001101010011101000110110000001 I +b10001101010011101000110110000001 Z +b11110 & +b11110 C +1( +b10001101010011101000110110000001 , +b10001101010011101000110110000001 H +1. +0% +#3401000 +0s +b1110111101110111011000011111000 | +b1110111101110111011000011111000 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b10001101010011101000110110000001 &" +b10001101010011101000110110000001 ?" +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3401010 +b10001101010011101000110110000001 ]" +#3401500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3402000 +1] +1s +1\ +0=" +0@" +1r +05" +06" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0," +b1110111101110111011000011111000 0 +b1110111101110111011000011111000 M +b1110111101110111011000011111000 f +b1110111101110111011000011111000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3402500 +1. +0% +#3403000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3403500 +b10110 5 +b10110 L +b10110 W +14 +b10110 ' +b10110 D +1) +1. +0% +#3404000 +0i +b10111100011001110010111111110000 { +b10111100011001110010111111110000 A" +0h +1:" +1;" +b1001 0" +1j +0k +18" +1l +1n +1/" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#3404500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3405000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10111100011001110010111111110000 / +b10111100011001110010111111110000 K +b10111100011001110010111111110000 e +b10111100011001110010111111110000 "" +0. +1% +#3405500 +b1100 5 +b1100 L +b1100 W +14 +b1100 ' +b1100 D +1) +1. +0% +#3406000 +0i +b11100100010000001111000011111011 { +b11100100010000001111000011111011 A" +19" +1:" +1;" +b10011 0" +0j +0o +1p +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#3406500 +b1110 5 +b1110 L +b1110 W +b11011000110111010100001111100010 2 +b11011000110111010100001111100010 I +b11011000110111010100001111100010 Z +b10100 3 +b10100 J +b10100 Y +11 +b1110 ' +b1110 D +b11011000110111010100001111100010 , +b11011000110111010100001111100010 H +b10100 + +b10100 G +1- +1. +0% +#3407000 +0] +1>" +1@" +0h +b1011 3" +1^ +0_ +1<" +1` +b10001 0" +b110100111010010000011101110110 { +b110100111010010000011101110110 A" +12" +1n +b11011000110111010100001111100010 &" +b11011000110111010100001111100010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b11100100010000001111000011111011 / +b11100100010000001111000011111011 K +b11100100010000001111000011111011 e +b11100100010000001111000011111011 "" +0. +1% +#3407010 +b11011000110111010100001111100010 W" +#3407500 +b0 5 +b0 L +b0 W +04 +b11001111110101111110110111001100 2 +b11001111110101111110110111001100 I +b11001111110101111110110111001100 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b11001111110101111110110111001100 , +b11001111110101111110110111001100 H +b1 + +b1 G +1. +0% +#3408000 +1_ +1] +1i +0[ +1@" +0>" +1h +09" +0:" +0;" +b11110 3" +0<" +0` +0^ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +0n +0/" +b110100111010010000011101110110 / +b110100111010010000011101110110 K +b110100111010010000011101110110 e +b110100111010010000011101110110 "" +b11001111110101111110110111001100 &" +b11001111110101111110110111001100 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3408010 +b11001111110101111110110111001100 D" +#3408500 +b11001 5 +b11001 L +b11001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3409000 +0i +b1101101101001100011000100101000 { +b1101101101001100011000100101000 A" +1[ +0@" +0g +19" +1;" +b11111 3" +0_ +b110 0" +1o +0k +18" +1l +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3409500 +b0 5 +b0 L +b0 W +04 +16 +b0 ' +b0 D +0) +1( +1. +0% +#3410000 +1i +b110010010101101101011000101100 | +b110010010101101101011000101100 B" +1g +09" +0;" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1u +0m +0/" +1," +b1101101101001100011000100101000 / +b1101101101001100011000100101000 K +b1101101101001100011000100101000 e +b1101101101001100011000100101000 "" +b0 ~ +b0 )" +b0 ." +0$" +1#" +0. +1% +#3410500 +b1000 5 +b1000 L +b1000 W +14 +06 +b11100101000010111000001000110111 2 +b11100101000010111000001000110111 I +b11100101000010111000001000110111 Z +b1010 3 +b1010 J +b1010 Y +11 +b1000 ' +b1000 D +1) +0( +b11100101000010111000001000110111 , +b11100101000010111000001000110111 H +b1010 + +b1010 G +1- +1. +0% +#3411000 +0] +0i +b1101011010100110000011000011 { +b1101011010100110000011000011 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1=" +1@" +19" +1;" +07" +b10101 3" +1c +1_ +b10111 0" +1o +1k +0u +1b +12" +1/" +0," +b11100101000010111000001000110111 &" +b11100101000010111000001000110111 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110010010101101101011000101100 0 +b110010010101101101011000101100 M +b110010010101101101011000101100 f +b110010010101101101011000101100 !" +0. +1% +#3411010 +b11100101000010111000001000110111 M" +#3411500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3412000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10101100010111000100110011111011 | +b10101100010111000100110011111011 B" +1\ +0=" +0@" +09" +0;" +0r +0q +16" +17" +b11111 3" +0c +0_ +b11111 0" +0o +0k +b11000 -" +1t +1u +0b +02" +0/" +1x +1w +1," +b1101011010100110000011000011 / +b1101011010100110000011000011 K +b1101011010100110000011000011 e +b1101011010100110000011000011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#3412500 +b0 7 +b0 N +b0 V +06 +b11101101100101101010001000110011 2 +b11101101100101101010001000110011 I +b11101101100101101010001000110011 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 & +b0 C +0( +b11101101100101101010001000110011 , +b11101101100101101010001000110011 H +b1111 + +b1111 G +1- +1. +0% +#3413000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1r +1q +06" +07" +b10000 3" +0^ +0c +1d +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0w +0," +b11101101100101101010001000110011 &" +b11101101100101101010001000110011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101100010111000100110011111011 0 +b10101100010111000100110011111011 M +b10101100010111000100110011111011 f +b10101100010111000100110011111011 !" +0. +1% +#3413010 +b11101101100101101010001000110011 R" +#3413500 +b11111 5 +b11111 L +b11111 W +14 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3414000 +1] +0i +b1000010100110110001011011111010 { +b1000010100110110001011011111010 A" +0s +b10111101100110001100111101000011 | +b10111101100110001100111101000011 B" +1\ +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +0r +0q +15" +17" +b11111 3" +0d +0_ +b0 0" +0j +0o +1p +0k +18" +1l +b10100 -" +1y +1u +0b +0a +02" +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#3414500 +b10101 5 +b10101 L +b10101 W +b10010 7 +b10010 N +b10010 V +b11010000100010110000000101011011 2 +b11010000100010110000000101011011 I +b11010000100010110000000101011011 Z +b1110 3 +b1110 J +b1110 Y +11 +b10101 ' +b10101 D +b10010 & +b10010 C +b11010000100010110000000101011011 , +b11010000100010110000000101011011 H +b1110 + +b1110 G +1- +1. +0% +#3415000 +0] +1j +0u +1s +0\ +1=" +1>" +1@" +1h +09" +1:" +1q +05" +b10001 3" +0^ +0c +1d +1_ +b1010 0" +0p +b10101011100010011001000010111001 { +b10101011100010011001000010111001 A" +b1101 -" +14" +1v +0y +b1011110001001101001110011111111 | +b1011110001001101001110011111111 B" +1b +12" +0n +0w +b11010000100010110000000101011011 &" +b11010000100010110000000101011011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b10010 } +b10010 (" +b10010 +" +b1000010100110110001011011111010 / +b1000010100110110001011011111010 K +b1000010100110110001011011111010 e +b1000010100110110001011011111010 "" +b10111101100110001100111101000011 0 +b10111101100110001100111101000011 M +b10111101100110001100111101000011 f +b10111101100110001100111101000011 !" +0. +1% +#3415010 +b11010000100010110000000101011011 Q" +#3415500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b111001101010000101000011100001 2 +b111001101010000101000011100001 I +b111001101010000101000011100001 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b111001101010000101000011100001 , +b111001101010000101000011100001 H +b11010 + +b11010 G +1. +0% +#3416000 +0_ +1c +1i +1=" +0>" +1g +0:" +0;" +1r +07" +b101 3" +1<" +1` +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0," +b10101011100010011001000010111001 / +b10101011100010011001000010111001 K +b10101011100010011001000010111001 e +b10101011100010011001000010111001 "" +b1011110001001101001110011111111 0 +b1011110001001101001110011111111 M +b1011110001001101001110011111111 f +b1011110001001101001110011111111 !" +b111001101010000101000011100001 &" +b111001101010000101000011100001 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3416010 +b111001101010000101000011100001 ]" +#3416500 +b1101 5 +b1101 L +b1101 W +14 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3417000 +1] +0i +b11111001000011110101101010011101 { +b11111001000011110101101010011101 A" +0s +b11101101100101101010001000110011 | +b11101101100101101010001000110011 B" +1\ +0=" +0@" +0g +19" +1:" +1;" +0r +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b10010 0" +0j +0o +1p +1k +b10000 -" +0t +0y +1z +1u +0b +02" +1m +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3417500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 ' +b0 D +0) +b1100 & +b1100 C +1. +0% +#3418000 +1i +1g +09" +0:" +0;" +1r +1q +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10011 -" +b11100100010000001111000011111011 | +b11100100010000001111000011111011 B" +0m +0/" +0x +0w +b11111001000011110101101010011101 / +b11111001000011110101101010011101 K +b11111001000011110101101010011101 e +b11111001000011110101101010011101 "" +b11101101100101101010001000110011 0 +b11101101100101101010001000110011 M +b11101101100101101010001000110011 f +b11101101100101101010001000110011 !" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#3418500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +1. +0% +#3419000 +0i +b11010000100010110000000101011011 { +b11010000100010110000000101011011 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +19" +1:" +1;" +05" +06" +07" +b10001 0" +0j +0o +1p +1k +b11111 -" +0z +0u +1n +1/" +0," +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100100010000001111000011111011 0 +b11100100010000001111000011111011 M +b11100100010000001111000011111011 f +b11100100010000001111000011111011 !" +0. +1% +#3419500 +b11001 5 +b11001 L +b11001 W +b11001 ' +b11001 D +1. +0% +#3420000 +0k +1o +1h +0g +19" +0:" +b110 0" +18" +1l +0p +b1101101101001100011000100101000 { +b1101101101001100011000100101000 A" +0n +1m +b11010000100010110000000101011011 / +b11010000100010110000000101011011 K +b11010000100010110000000101011011 e +b11010000100010110000000101011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 ~ +b11001 )" +b11001 ." +0. +1% +#3420500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +1. +0% +#3421000 +1i +0s +b1000010100110110001011011111010 | +b1000010100110110001011011111010 B" +1g +09" +0;" +0r +0q +15" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b1101101101001100011000100101000 / +b1101101101001100011000100101000 K +b1101101101001100011000100101000 e +b1101101101001100011000100101000 "" +0. +1% +#3421500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#3422000 +0i +b10100011000101100011010010100001 { +b10100011000101100011010010100001 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000010100110110001011011111010 0 +b1000010100110110001011011111010 M +b1000010100110110001011011111010 f +b1000010100110110001011011111010 !" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#3422500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +b0 ' +b0 D +0) +b10 & +b10 C +1. +0% +#3423000 +1i +1u +1s +1g +09" +0;" +1q +17" +05" +06" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11101 -" +04" +0v +0z +b1110001001011001011110111110110 | +b1110001001011001011110111110110 B" +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +b10100011000101100011010010100001 / +b10100011000101100011010010100001 K +b10100011000101100011010010100001 e +b10100011000101100011010010100001 "" +0. +1% +#3423500 +b10001 5 +b10001 L +b10001 W +14 +b1000 7 +b1000 N +b1000 V +b10001 ' +b10001 D +1) +b1000 & +b1000 C +1. +0% +#3424000 +b1000011100111110110111110100101 { +b1000011100111110110111110100101 A" +0s +0g +1;" +1r +15" +b1110 0" +0k +18" +1l +b10111 -" +1y +b1101011010100110000011000011 | +b1101011010100110000011000011 B" +1m +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110001001011001011110111110110 0 +b1110001001011001011110111110110 M +b1110001001011001011110111110110 f +b1110001001011001011110111110110 !" +b10001 ~ +b10001 )" +b10001 ." +1$" +b1000 } +b1000 (" +b1000 +" +0. +1% +#3424500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3425000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1g +0;" +05" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +0u +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000011100111110110111110100101 / +b1000011100111110110111110100101 K +b1000011100111110110111110100101 e +b1000011100111110110111110100101 "" +b1101011010100110000011000011 0 +b1101011010100110000011000011 M +b1101011010100110000011000011 f +b1101011010100110000011000011 !" +0. +1% +#3425500 +b1011 5 +b1011 L +b1011 W +14 +b11001110000011001100000001010011 2 +b11001110000011001100000001010011 I +b11001110000011001100000001010011 Z +b1111 3 +b1111 J +b1111 Y +11 +b1011 ' +b1011 D +1) +b11001110000011001100000001010011 , +b11001110000011001100000001010011 H +b1111 + +b1111 G +1- +1. +0% +#3426000 +0] +0i +b10111101100110001100111101000011 { +b10111101100110001100111101000011 A" +0\ +0[ +1=" +1>" +1@" +0h +0g +19" +1;" +b10000 3" +0^ +0c +1d +1_ +b10100 0" +1o +1k +1b +1a +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001110000011001100000001010011 &" +b11001110000011001100000001010011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#3426010 +b11001110000011001100000001010011 R" +#3426500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3427000 +1] +1i +1\ +1[ +0=" +0>" +0@" +1h +1g +09" +0;" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10111101100110001100111101000011 / +b10111101100110001100111101000011 K +b10111101100110001100111101000011 e +b10111101100110001100111101000011 "" +0. +1% +#3427500 +b1110 5 +b1110 L +b1110 W +14 +b11 7 +b11 N +b11 V +16 +b1110 ' +b1110 D +1) +b11 & +b11 C +1( +1. +0% +#3428000 +0i +b11010000100010110000000101011011 { +b11010000100010110000000101011011 A" +b1100101000011010101000010100001 | +b1100101000011010101000010100001 B" +0h +19" +1:" +1;" +0r +0q +17" +b10001 0" +0j +0o +1p +1k +b11100 -" +1u +1n +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110 ~ +b1110 )" +b1110 ." +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#3428500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101111101110100001001100011100 2 +b101111101110100001001100011100 I +b101111101110100001001100011100 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101111101110100001001100011100 , +b101111101110100001001100011100 H +b111 + +b111 G +1- +1. +0% +#3429000 +0] +1i +0\ +0[ +1>" +1@" +1h +09" +0:" +0;" +1r +1q +07" +b11000 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0n +0/" +0x +0w +0," +b101111101110100001001100011100 &" +b101111101110100001001100011100 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11010000100010110000000101011011 / +b11010000100010110000000101011011 K +b11010000100010110000000101011011 e +b11010000100010110000000101011011 "" +b1100101000011010101000010100001 0 +b1100101000011010101000010100001 M +b1100101000011010101000010100001 f +b1100101000011010101000010100001 !" +0. +1% +#3429010 +b101111101110100001001100011100 J" +#3429500 +b10 5 +b10 L +b10 W +14 +b110 7 +b110 N +b110 V +16 +b10101100101011101110111010000010 2 +b10101100101011101110111010000010 I +b10101100101011101110111010000010 Z +b10 ' +b10 D +1) +b110 & +b110 C +1( +b10101100101011101110111010000010 , +b10101100101011101110111010000010 H +1. +0% +#3430000 +b1110001001011001011110111110110 { +b1110001001011001011110111110110 A" +0s +b11011100000001000000101010011111 | +b11011100000001000000101010011111 B" +0h +1;" +0r +16" +17" +b11101 0" +1k +b11001 -" +1t +1u +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101100101011101110111010000010 &" +b10101100101011101110111010000010 ?" +b10 ~ +b10 )" +b10 ." +1$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#3430010 +b10101100101011101110111010000010 J" +#3430500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b10011101010001011001100000100000 2 +b10011101010001011001100000100000 I +b10011101010001011001100000100000 Z +b10011 3 +b10011 J +b10011 Y +b0 ' +b0 D +0) +b1111 & +b1111 C +b10011101010001011001100000100000 , +b10011101010001011001100000100000 H +b10011 + +b10011 G +1. +0% +#3431000 +0_ +1] +0t +0>" +1h +0;" +0q +15" +b1100 3" +1<" +1` +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +1z +b11001110000011001100000001010011 | +b11001110000011001100000001010011 B" +0n +0/" +1w +b10011101010001011001100000100000 &" +b10011101010001011001100000100000 ?" +b10011 %" +b10011 *" +b10011 1" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +b1110001001011001011110111110110 / +b1110001001011001011110111110110 K +b1110001001011001011110111110110 e +b1110001001011001011110111110110 "" +b11011100000001000000101010011111 0 +b11011100000001000000101010011111 M +b11011100000001000000101010011111 f +b11011100000001000000101010011111 !" +0. +1% +#3431010 +b10011101010001011001100000100000 V" +#3431500 +b0 7 +b0 N +b0 V +06 +b10001010111000100110101000110001 2 +b10001010111000100110101000110001 I +b10001010111000100110101000110001 Z +b11110 3 +b11110 J +b11110 Y +b0 & +b0 C +0( +b10001010111000100110101000110001 , +b10001010111000100110101000110001 H +b11110 + +b11110 G +1. +0% +#3432000 +0] +1s +1[ +1=" +1>" +1r +1q +05" +06" +07" +b1 3" +0^ +0c +1d +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001110000011001100000001010011 0 +b11001110000011001100000001010011 M +b11001110000011001100000001010011 f +b11001110000011001100000001010011 !" +b10001010111000100110101000110001 &" +b10001010111000100110101000110001 ?" +b11110 %" +b11110 *" +b11110 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3432010 +b10001010111000100110101000110001 a" +#3432500 +b1 7 +b1 N +b1 V +16 +b100000000100001011111010011010 2 +b100000000100001011111010011010 I +b100000000100001011111010011010 Z +b11010 3 +b11010 J +b11010 Y +b1 & +b1 C +1( +b100000000100001011111010011010 , +b100000000100001011111010011010 H +b11010 + +b11010 G +1. +0% +#3433000 +1c +b11001111110101111110110111001100 | +b11001111110101111110110111001100 B" +1=" +0>" +0q +17" +b101 3" +0d +b11110 -" +1u +1w +1," +b100000000100001011111010011010 &" +b100000000100001011111010011010 ?" +b11010 %" +b11010 *" +b11010 1" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3433010 +b100000000100001011111010011010 ]" +#3433500 +b1101 5 +b1101 L +b1101 W +14 +b11100 7 +b11100 N +b11100 V +b1111110101111111111001110010110 2 +b1111110101111111111001110010110 I +b1111110101111111111001110010110 Z +b10000 3 +b10000 J +b10000 Y +b1101 ' +b1101 D +1) +b11100 & +b11100 C +b1111110101111111111001110010110 , +b1111110101111111111001110010110 H +b10000 + +b10000 G +1. +0% +#3434000 +1] +0i +b11111001000011110101101010011101 { +b11111001000011110101101010011101 A" +0u +0s +1\ +0=" +0g +19" +1:" +1;" +1q +15" +16" +b1111 3" +0c +b10010 0" +0j +0o +1p +1k +b11 -" +14" +1v +0t +0y +1z +b1000000110010001001101011110011 | +b1000000110010001001101011110011 B" +0b +1m +1/" +0w +b11001111110101111110110111001100 0 +b11001111110101111110110111001100 M +b11001111110101111110110111001100 f +b11001111110101111110110111001100 !" +b1111110101111111111001110010110 &" +b1111110101111111111001110010110 ?" +b10000 %" +b10000 *" +b10000 1" +b1101 ~ +b1101 )" +b1101 ." +1$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#3434010 +b1111110101111111111001110010110 S" +#3434500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3435000 +1i +1s +0@" +1g +09" +0:" +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +04" +0v +02" +0m +0/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111001000011110101101010011101 / +b11111001000011110101101010011101 K +b11111001000011110101101010011101 e +b11111001000011110101101010011101 "" +b1000000110010001001101011110011 0 +b1000000110010001001101011110011 M +b1000000110010001001101011110011 f +b1000000110010001001101011110011 !" +0. +1% +#3435500 +b1010 5 +b1010 L +b1010 W +14 +b10010010101001101001110111101011 2 +b10010010101001101001110111101011 I +b10010010101001101001110111101011 Z +11 +b1010 ' +b1010 D +1) +b10010010101001101001110111101011 , +b10010010101001101001110111101011 H +1- +1. +0% +#3436000 +0i +b11100101000010111000001000110111 { +b11100101000010111000001000110111 A" +1@" +0h +19" +1;" +1_ +b10101 0" +1o +1k +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010010101001101001110111101011 &" +b10010010101001101001110111101011 ?" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#3436010 +b10010010101001101001110111101011 C" +#3436500 +b1100 5 +b1100 L +b1100 W +b0 2 +b0 I +b0 Z +01 +b1100 ' +b1100 D +b0 , +b0 H +0- +1. +0% +#3437000 +0o +0@" +1h +1:" +0_ +b10011 0" +1p +b11100100010000001111000011111011 { +b11100100010000001111000011111011 A" +02" +0n +b0 &" +b0 ?" +0'" +b1100 ~ +b1100 )" +b1100 ." +b11100101000010111000001000110111 / +b11100101000010111000001000110111 K +b11100101000010111000001000110111 e +b11100101000010111000001000110111 "" +0. +1% +#3437500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#3438000 +1i +b10010010101001101001110111101011 { +b10010010101001101001110111101011 A" +09" +0:" +b11111 0" +0p +b11100100010000001111000011111011 / +b11100100010000001111000011111011 K +b11100100010000001111000011111011 e +b11100100010000001111000011111011 "" +b0 ~ +b0 )" +b0 ." +0. +1% +#3438500 +b10011 5 +b10011 L +b10011 W +b111 7 +b111 N +b111 V +16 +b101010110010111110011100000100 2 +b101010110010111110011100000100 I +b101010110010111110011100000100 Z +b11001 3 +b11001 J +b11001 Y +11 +b10011 ' +b10011 D +b111 & +b111 C +1( +b101010110010111110011100000100 , +b101010110010111110011100000100 H +b11001 + +b11001 G +1- +1. +0% +#3439000 +0] +0k +0s +b10101100101011101110111010000010 | +b10101100101011101110111010000010 B" +0[ +1=" +1@" +0h +0g +0r +0q +16" +17" +b110 3" +1c +0_ +1<" +1` +b1100 0" +18" +1l +b10011101010001011001100000100000 { +b10011101010001011001100000100000 A" +b11000 -" +1t +1u +1a +12" +1n +1m +1x +1w +1," +b101010110010111110011100000100 &" +b101010110010111110011100000100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +b111 } +b111 (" +b111 +" +1#" +b10010010101001101001110111101011 / +b10010010101001101001110111101011 K +b10010010101001101001110111101011 e +b10010010101001101001110111101011 "" +0. +1% +#3439010 +b101010110010111110011100000100 \" +#3439500 +b10 5 +b10 L +b10 W +b1011110010010101010011101000010 2 +b1011110010010101010011101000010 I +b1011110010010101010011101000010 Z +b11110 3 +b11110 J +b11110 Y +b10 ' +b10 D +b1011110010010101010011101000010 , +b1011110010010101010011101000010 H +b11110 + +b11110 G +1. +0% +#3440000 +0c +1k +0\ +1[ +1>" +1g +1;" +b1 3" +1d +b11101 0" +08" +0l +b1110001001011001011110111110110 { +b1110001001011001011110111110110 A" +1b +0a +0m +b10011101010001011001100000100000 / +b10011101010001011001100000100000 K +b10011101010001011001100000100000 e +b10011101010001011001100000100000 "" +b10101100101011101110111010000010 0 +b10101100101011101110111010000010 M +b10101100101011101110111010000010 f +b10101100101011101110111010000010 !" +b1011110010010101010011101000010 &" +b1011110010010101010011101000010 ?" +b11110 %" +b11110 *" +b11110 1" +b10 ~ +b10 )" +b10 ." +0. +1% +#3440010 +b1011110010010101010011101000010 a" +#3440500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +06 +b10101011011000011010110110111110 2 +b10101011011000011010110110111110 I +b10101011011000011010110110111110 Z +b11 3 +b11 J +b11 Y +b1111 ' +b1111 D +b0 & +b0 C +0( +b10101011011000011010110110111110 , +b10101011011000011010110110111110 H +b11 + +b11 G +1. +0% +#3441000 +1_ +1] +0i +1s +0[ +1@" +0=" +0>" +0g +19" +1:" +1r +1q +06" +07" +b11100 3" +0<" +0` +0d +b10000 0" +0j +0o +1p +b11001110000011001100000001010011 { +b11001110000011001100000001010011 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +1m +0x +0w +0," +b10101011011000011010110110111110 &" +b10101011011000011010110110111110 ?" +b11 %" +b11 *" +b11 1" +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0#" +b1110001001011001011110111110110 / +b1110001001011001011110111110110 K +b1110001001011001011110111110110 e +b1110001001011001011110111110110 "" +0. +1% +#3441010 +b10101011011000011010110110111110 F" +#3441500 +b10 5 +b10 L +b10 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3442000 +1i +1\ +1[ +0@" +1g +09" +0:" +b11111 3" +0_ +b11101 0" +0p +b1110001001011001011110111110110 { +b1110001001011001011110111110110 A" +0b +0a +02" +0m +b11001110000011001100000001010011 / +b11001110000011001100000001010011 K +b11001110000011001100000001010011 e +b11001110000011001100000001010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +0. +1% +#3442500 +b10110 5 +b10110 L +b10110 W +b10100110010100111110110101001110 2 +b10100110010100111110110101001110 I +b10100110010100111110110101001110 Z +b10011 3 +b10011 J +b10011 Y +11 +b10110 ' +b10110 D +b10100110010100111110110101001110 , +b10100110010100111110110101001110 H +b10011 + +b10011 G +1- +1. +0% +#3443000 +0k +0i +0\ +0[ +1@" +b10111100011001110010111111110000 { +b10111100011001110010111111110000 A" +1:" +b1100 3" +0_ +1<" +1` +b1001 0" +18" +1l +1j +1b +1a +12" +b10100110010100111110110101001110 &" +b10100110010100111110110101001110 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +b1110001001011001011110111110110 / +b1110001001011001011110111110110 K +b1110001001011001011110111110110 e +b1110001001011001011110111110110 "" +0. +1% +#3443010 +b10100110010100111110110101001110 V" +#3443500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3444000 +1i +b11001111110101111110110111001100 | +b11001111110101111110110111001100 B" +1\ +1[ +0@" +1h +0:" +0;" +0q +17" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +1u +0b +0a +02" +0n +0/" +1w +1," +b10111100011001110010111111110000 / +b10111100011001110010111111110000 K +b10111100011001110010111111110000 e +b10111100011001110010111111110000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#3444500 +b11101 5 +b11101 L +b11101 W +14 +b10111 7 +b10111 N +b10111 V +b11101 ' +b11101 D +1) +b10111 & +b10111 C +1. +0% +#3445000 +0i +b10001101111100001111010001010110 { +b10001101111100001111010001010110 A" +0u +0s +0g +19" +1:" +1;" +0r +16" +b10 0" +0j +0o +1p +0k +18" +1l +b1000 -" +14" +1v +1t +b10010011111100011001011100011011 | +b10010011111100011001011100011011 B" +1m +1/" +1x +b11101 ~ +b11101 )" +b11101 ." +1$" +b10111 } +b10111 (" +b10111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001111110101111110110111001100 0 +b11001111110101111110110111001100 M +b11001111110101111110110111001100 f +b11001111110101111110110111001100 !" +0. +1% +#3445500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11101110101101011100111001110010 2 +b11101110101101011100111001110010 I +b11101110101101011100111001110010 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11101110101101011100111001110010 , +b11101110101101011100111001110010 H +b10110 + +b10110 G +1- +1. +0% +#3446000 +0] +1i +1s +0\ +1>" +1@" +1g +09" +0:" +0;" +1r +1q +06" +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0m +0/" +0x +0w +0," +b10001101111100001111010001010110 / +b10001101111100001111010001010110 K +b10001101111100001111010001010110 e +b10001101111100001111010001010110 "" +b10010011111100011001011100011011 0 +b10010011111100011001011100011011 M +b10010011111100011001011100011011 f +b10010011111100011001011100011011 !" +b11101110101101011100111001110010 &" +b11101110101101011100111001110010 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3446010 +b11101110101101011100111001110010 Y" +#3446500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3447000 +1] +b1110001001011001011110111110110 | +b1110001001011001011110111110110 B" +1\ +0>" +0@" +0r +17" +b11111 3" +0^ +0<" +0` +b11101 -" +1u +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3447500 +b101 7 +b101 N +b101 V +b101 & +b101 C +1. +0% +#3448000 +0s +1r +0q +16" +b11010 -" +1t +b10000011011000100000001110010 | +b10000011011000100000001110010 B" +0x +1w +b1110001001011001011110111110110 0 +b1110001001011001011110111110110 M +b1110001001011001011110111110110 f +b1110001001011001011110111110110 !" +b101 } +b101 (" +b101 +" +0. +1% +#3448500 +b1010 5 +b1010 L +b1010 W +14 +b1001 7 +b1001 N +b1001 V +b1010 ' +b1010 D +1) +b1001 & +b1001 C +1. +0% +#3449000 +15" +0i +b11100101000010111000001000110111 { +b11100101000010111000001000110111 A" +1y +b10100011000101100011010010100001 | +b10100011000101100011010010100001 B" +0h +19" +1;" +06" +b10101 0" +1o +1k +b10110 -" +0t +1n +1/" +b1010 ~ +b1010 )" +b1010 ." +1$" +b1001 } +b1001 (" +b1001 +" +b10000011011000100000001110010 0 +b10000011011000100000001110010 M +b10000011011000100000001110010 f +b10000011011000100000001110010 !" +0. +1% +#3449500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3450000 +1i +1s +1h +09" +0;" +1q +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0w +0," +b11100101000010111000001000110111 / +b11100101000010111000001000110111 K +b11100101000010111000001000110111 e +b11100101000010111000001000110111 "" +b10100011000101100011010010100001 0 +b10100011000101100011010010100001 M +b10100011000101100011010010100001 f +b10100011000101100011010010100001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3450500 +b10111 7 +b10111 N +b10111 V +16 +b1101111110110111111111100111110 2 +b1101111110110111111111100111110 I +b1101111110110111111111100111110 Z +b10 3 +b10 J +b10 Y +11 +b10111 & +b10111 C +1( +b1101111110110111111111100111110 , +b1101111110110111111111100111110 H +b10 + +b10 G +1- +1. +0% +#3451000 +0s +b10010011111100011001011100011011 | +b10010011111100011001011100011011 B" +0\ +1@" +0r +0q +16" +17" +b11101 3" +1_ +b1000 -" +1t +0u +14" +1v +1b +12" +1x +1w +1," +b1101111110110111111111100111110 &" +b1101111110110111111111100111110 ?" +b10 %" +b10 *" +b10 1" +1'" +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3451010 +b1101111110110111111111100111110 E" +#3451500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b10010010110110110011111001010010 2 +b10010010110110110011111001010010 I +b10010010110110110011111001010010 Z +b1011 3 +b1011 J +b1011 Y +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +b10010010110110110011111001010010 , +b10010010110110110011111001010010 H +b1011 + +b1011 G +1. +0% +#3452000 +0] +0i +b10001101001100101010001011001110 { +b10001101001100101010001011001110 A" +1s +0[ +1=" +19" +1;" +1r +1q +06" +07" +b10100 3" +1c +b111 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +1/" +0x +0w +0," +b10010011111100011001011100011011 0 +b10010011111100011001011100011011 M +b10010011111100011001011100011011 f +b10010011111100011001011100011011 !" +b10010010110110110011111001010010 &" +b10010010110110110011111001010010 ?" +b1011 %" +b1011 *" +b1011 1" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3452010 +b10010010110110110011111001010010 N" +#3452500 +b0 5 +b0 L +b0 W +04 +b1001001000001001101010100001000 2 +b1001001000001001101010100001000 I +b1001001000001001101010100001000 Z +b11101 3 +b11101 J +b11101 Y +b0 ' +b0 D +0) +b1001001000001001101010100001000 , +b1001001000001001101010100001000 H +b11101 + +b11101 G +1. +0% +#3453000 +0_ +0c +1i +1\ +1>" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10 3" +1<" +1` +1d +b11111 0" +0o +08" +0l +0b +0/" +b1001001000001001101010100001000 &" +b1001001000001001101010100001000 ?" +b11101 %" +b11101 *" +b11101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10001101001100101010001011001110 / +b10001101001100101010001011001110 K +b10001101001100101010001011001110 e +b10001101001100101010001011001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3453010 +b1001001000001001101010100001000 `" +#3453500 +b10100000011001100000110001110001 2 +b10100000011001100000110001110001 I +b10100000011001100000110001110001 Z +b11111 3 +b11111 J +b11111 Y +b10100000011001100000110001110001 , +b10100000011001100000110001110001 H +b11111 + +b11111 G +1. +0% +#3454000 +0\ +b0 3" +1b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100000011001100000110001110001 &" +b10100000011001100000110001110001 ?" +b11111 %" +b11111 *" +b11111 1" +0. +1% +#3454500 +14 +b11111 7 +b11111 N +b11111 V +16 +b11110100000011111011101011000010 2 +b11110100000011111011101011000010 I +b11110100000011111011101011000010 Z +b1001 3 +b1001 J +b1001 Y +1) +b11111 & +b11111 C +1( +b11110100000011111011101011000010 , +b11110100000011111011101011000010 H +b1001 + +b1001 G +1. +0% +#3455000 +1_ +1c +b10010010101001101001110111101011 { +b10010010101001101001110111101011 A" +0s +b10100000011001100000110001110001 | +b10100000011001100000110001110001 B" +1\ +1@" +1=" +0>" +1;" +0r +0q +15" +16" +17" +b10110 3" +0<" +0` +0d +1k +b0 -" +0t +0y +1z +0u +14" +1v +0b +1/" +1x +1w +1," +b11110100000011111011101011000010 &" +b11110100000011111011101011000010 ?" +b1001 %" +b1001 *" +b1001 1" +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#3455010 +b11110100000011111011101011000010 L" +#3455500 +b1 5 +b1 L +b1 W +b0 7 +b0 N +b0 V +06 +b1111111110001011001111 2 +b1111111110001011001111 I +b1111111110001011001111 Z +b110 3 +b110 J +b110 Y +b1 ' +b1 D +b0 & +b0 C +0( +b1111111110001011001111 , +b1111111110001011001111 H +b110 + +b110 G +1. +0% +#3456000 +1>" +1^ +1s +0\ +1[ +0=" +0g +1r +1q +05" +06" +07" +b11001 3" +0c +b11110 0" +b11001111110101111110110111001100 { +b11001111110101111110110111001100 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +1m +0x +0w +0," +b10010010101001101001110111101011 / +b10010010101001101001110111101011 K +b10010010101001101001110111101011 e +b10010010101001101001110111101011 "" +b10100000011001100000110001110001 0 +b10100000011001100000110001110001 M +b10100000011001100000110001110001 f +b10100000011001100000110001110001 !" +b1111111110001011001111 &" +b1111111110001011001111 ?" +b110 %" +b110 *" +b110 1" +b1 ~ +b1 )" +b1 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3456010 +b1111111110001011001111 I" +#3456500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b1011010111001101000011100011011 2 +b1011010111001101000011100011011 I +b1011010111001101000011100011011 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +b1011010111001101000011100011011 , +b1011010111001101000011100011011 H +b1011 + +b1011 G +1. +0% +#3457000 +1=" +1c +0s +b10100000011001100000110001110001 | +b10100000011001100000110001110001 B" +0[ +0>" +1g +0;" +0r +0q +15" +16" +17" +b10100 3" +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b0 -" +0t +0y +1z +0u +14" +1v +1a +0m +0/" +1x +1w +1," +b1011010111001101000011100011011 &" +b1011010111001101000011100011011 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b11001111110101111110110111001100 / +b11001111110101111110110111001100 K +b11001111110101111110110111001100 e +b11001111110101111110110111001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3457010 +b1011010111001101000011100011011 N" +#3457500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3458000 +1] +1s +1\ +1[ +0=" +0@" +1r +1q +05" +06" +07" +b11111 3" +0c +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100000011001100000110001110001 0 +b10100000011001100000110001110001 M +b10100000011001100000110001110001 f +b10100000011001100000110001110001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3458500 +16 +1( +1. +0% +#3459000 +b10010010101001101001110111101011 | +b10010010101001101001110111101011 B" +17" +1u +1," +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3459500 +06 +0( +1. +0% +#3460000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +07" +0u +0," +b10010010101001101001110111101011 0 +b10010010101001101001110111101011 M +b10010010101001101001110111101011 f +b10010010101001101001110111101011 !" +0#" +0. +1% +#3460500 +1. +0% +#3461000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3461500 +b1000 5 +b1000 L +b1000 W +14 +b11011 7 +b11011 N +b11011 V +16 +b1000 ' +b1000 D +1) +b11011 & +b11011 C +1( +1. +0% +#3462000 +0i +b1101011010100110000011000011 { +b1101011010100110000011000011 A" +0s +b1101011111010001101011100100100 | +b1101011111010001101011100100100 B" +19" +1;" +0r +0q +15" +17" +b10111 0" +1o +1k +b100 -" +1y +0u +14" +1v +1/" +1x +1w +1," +b1000 ~ +b1000 )" +b1000 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#3462500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10010101110010110100011100101101 2 +b10010101110010110100011100101101 I +b10010101110010110100011100101101 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10010101110010110100011100101101 , +b10010101110010110100011100101101 H +b101 + +b101 G +1- +1. +0% +#3463000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0[ +1>" +1@" +09" +0;" +1r +1q +05" +07" +b11010 3" +1^ +1_ +b11111 0" +0o +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0/" +0x +0w +0," +b10010101110010110100011100101101 &" +b10010101110010110100011100101101 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1101011010100110000011000011 / +b1101011010100110000011000011 K +b1101011010100110000011000011 e +b1101011010100110000011000011 "" +b1101011111010001101011100100100 0 +b1101011111010001101011100100100 M +b1101011111010001101011100100100 f +b1101011111010001101011100100100 !" +0. +1% +#3463010 +b10010101110010110100011100101101 H" +#3463500 +b11111101101010000000001111001001 2 +b11111101101010000000001111001001 I +b11111101101010000000001111001001 Z +b1111 3 +b1111 J +b1111 Y +b11111101101010000000001111001001 , +b11111101101010000000001111001001 H +b1111 + +b1111 G +1. +0% +#3464000 +0^ +0\ +1=" +b10000 3" +1d +1b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111101101010000000001111001001 &" +b11111101101010000000001111001001 ?" +b1111 %" +b1111 *" +b1111 1" +0. +1% +#3464010 +b11111101101010000000001111001001 R" +#3464500 +b11000001001001111100100000000000 2 +b11000001001001111100100000000000 I +b11000001001001111100100000000000 Z +b10010 3 +b10010 J +b10010 Y +b11000001001001111100100000000000 , +b11000001001001111100100000000000 H +b10010 + +b10010 G +1. +0% +#3465000 +0_ +1] +1[ +0=" +0>" +b1101 3" +1<" +1` +0d +0a +b11000001001001111100100000000000 &" +b11000001001001111100100000000000 ?" +b10010 %" +b10010 *" +b10010 1" +0. +1% +#3465010 +b11000001001001111100100000000000 U" +#3465500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3466000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3466500 +b11010 7 +b11010 N +b11010 V +16 +b10011110001110001100100010001 2 +b10011110001110001100100010001 I +b10011110001110001100100010001 Z +b101 3 +b101 J +b101 Y +11 +b11010 & +b11010 C +1( +b10011110001110001100100010001 , +b10011110001110001100100010001 H +b101 + +b101 G +1- +1. +0% +#3467000 +0] +0s +b100000000100001011111010011010 | +b100000000100001011111010011010 B" +0[ +1>" +1@" +0r +15" +17" +b11010 3" +1^ +1_ +b101 -" +1y +0u +14" +1v +1a +12" +1x +1," +b10011110001110001100100010001 &" +b10011110001110001100100010001 ?" +b101 %" +b101 *" +b101 1" +1'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#3467010 +b10011110001110001100100010001 H" +#3467500 +b11111 5 +b11111 L +b11111 W +14 +b11100 7 +b11100 N +b11100 V +b101100101100011010101010001111 2 +b101100101100011010101010001111 I +b101100101100011010101010001111 Z +b10100 3 +b10100 J +b10100 Y +b11111 ' +b11111 D +1) +b11100 & +b11100 C +b101100101100011010101010001111 , +b101100101100011010101010001111 H +b10100 + +b10100 G +1. +0% +#3468000 +0_ +0i +b10100000011001100000110001110001 { +b10100000011001100000110001110001 A" +0y +1[ +0h +0g +19" +1:" +1;" +1r +16" +b1011 3" +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +b11 -" +1z +b1000000110010001001101011110011 | +b1000000110010001001101011110011 B" +0a +1n +1m +1/" +0x +b100000000100001011111010011010 0 +b100000000100001011111010011010 M +b100000000100001011111010011010 f +b100000000100001011111010011010 !" +b101100101100011010101010001111 &" +b101100101100011010101010001111 ?" +b10100 %" +b10100 *" +b10100 1" +b11111 ~ +b11111 )" +b11111 ." +1$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#3468010 +b101100101100011010101010001111 W" +#3468500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3469000 +1] +1i +1s +0>" +0@" +1h +1g +09" +0:" +0;" +0r +0q +05" +06" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0z +b10100110010100111110110101001110 | +b10100110010100111110110101001110 B" +02" +0n +0m +0/" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b10100000011001100000110001110001 / +b10100000011001100000110001110001 K +b10100000011001100000110001110001 e +b10100000011001100000110001110001 "" +b1000000110010001001101011110011 0 +b1000000110010001001101011110011 M +b1000000110010001001101011110011 f +b1000000110010001001101011110011 !" +0. +1% +#3469500 +b1001 7 +b1001 N +b1001 V +b1001 & +b1001 C +1. +0% +#3470000 +1u +0s +1r +17" +15" +b10110 -" +04" +0v +1y +b11110100000011111011101011000010 | +b11110100000011111011101011000010 B" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100110010100111110110101001110 0 +b10100110010100111110110101001110 M +b10100110010100111110110101001110 f +b10100110010100111110110101001110 !" +b1001 } +b1001 (" +b1001 +" +0. +1% +#3470500 +b1011 5 +b1011 L +b1011 W +14 +b1110 7 +b1110 N +b1110 V +b1011 ' +b1011 D +1) +b1110 & +b1110 C +1. +0% +#3471000 +0i +b1011010111001101000011100011011 { +b1011010111001101000011100011011 A" +0y +0h +0g +19" +1;" +0r +1q +16" +b10100 0" +1o +1k +b10001 -" +1z +b11010000100010110000000101011011 | +b11010000100010110000000101011011 B" +1n +1m +1/" +1x +0w +b1011 ~ +b1011 )" +b1011 ." +1$" +b1110 } +b1110 (" +b1110 +" +b11110100000011111011101011000010 0 +b11110100000011111011101011000010 M +b11110100000011111011101011000010 f +b11110100000011111011101011000010 !" +0. +1% +#3471500 +b11101 5 +b11101 L +b11101 W +b10111 7 +b10111 N +b10111 V +b10110010011010100000111011010001 2 +b10110010011010100000111011010001 I +b10110010011010100000111011010001 Z +b101 3 +b101 J +b101 Y +11 +b11101 ' +b11101 D +b10111 & +b10111 C +b10110010011010100000111011010001 , +b10110010011010100000111011010001 H +b101 + +b101 G +1- +1. +0% +#3472000 +0] +0k +0o +0u +1t +0[ +1>" +1@" +1h +1:" +0q +05" +16" +b11010 3" +1^ +1_ +b10 0" +18" +1l +1p +b1001001000001001101010100001000 { +b1001001000001001101010100001000 A" +b1000 -" +14" +1v +0z +b10010011111100011001011100011011 | +b10010011111100011001011100011011 B" +1a +12" +0n +1w +b1011010111001101000011100011011 / +b1011010111001101000011100011011 K +b1011010111001101000011100011011 e +b1011010111001101000011100011011 "" +b11010000100010110000000101011011 0 +b11010000100010110000000101011011 M +b11010000100010110000000101011011 f +b11010000100010110000000101011011 !" +b10110010011010100000111011010001 &" +b10110010011010100000111011010001 ?" +b101 %" +b101 *" +b101 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b10111 } +b10111 (" +b10111 +" +0. +1% +#3472010 +b10110010011010100000111011010001 H" +#3472500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3473000 +1] +1i +1s +1[ +0>" +0@" +1g +09" +0:" +0;" +1r +1q +06" +07" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001001000001001101010100001000 / +b1001001000001001101010100001000 K +b1001001000001001101010100001000 e +b1001001000001001101010100001000 "" +b10010011111100011001011100011011 0 +b10010011111100011001011100011011 M +b10010011111100011001011100011011 f +b10010011111100011001011100011011 !" +0. +1% +#3473500 +14 +b11010110110011110100110 2 +b11010110110011110100110 I +b11010110110011110100110 Z +b1010 3 +b1010 J +b1010 Y +11 +1) +b11010110110011110100110 , +b11010110110011110100110 H +b1010 + +b1010 G +1- +1. +0% +#3474000 +0] +b10010010101001101001110111101011 { +b10010010101001101001110111101011 A" +0\ +1=" +1@" +1;" +b10101 3" +1c +1_ +1k +1b +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010110110011110100110 &" +b11010110110011110100110 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +1$" +0. +1% +#3474010 +b11010110110011110100110 M" +#3474500 +b110 5 +b110 L +b110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3475000 +1] +0i +1\ +0=" +0@" +0h +1:" +b11111 3" +0c +0_ +b11001 0" +1j +b1111111110001011001111 { +b1111111110001011001111 A" +0b +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b10010010101001101001110111101011 / +b10010010101001101001110111101011 K +b10010010101001101001110111101011 e +b10010010101001101001110111101011 "" +0. +1% +#3475500 +b10110 5 +b10110 L +b10110 W +b10110 7 +b10110 N +b10110 V +16 +b11101000001101100101100100110001 2 +b11101000001101100101100100110001 I +b11101000001101100101100100110001 Z +b10001 3 +b10001 J +b10001 Y +11 +b10110 ' +b10110 D +b10110 & +b10110 C +1( +b11101000001101100101100100110001 , +b11101000001101100101100100110001 H +b10001 + +b10001 G +1- +1. +0% +#3476000 +0k +0s +b11101110101101011100111001110010 | +b11101110101101011100111001110010 B" +0[ +1@" +b11101110101101011100111001110010 { +b11101110101101011100111001110010 A" +0r +16" +17" +b1110 3" +0_ +1<" +1` +b1001 0" +18" +1l +b1001 -" +1t +0u +14" +1v +1a +12" +1x +1," +b1111111110001011001111 / +b1111111110001011001111 K +b1111111110001011001111 e +b1111111110001011001111 "" +b11101000001101100101100100110001 &" +b11101000001101100101100100110001 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#3476010 +b11101000001101100101100100110001 T" +#3476500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +b10101000101101001110110000000000 2 +b10101000101101001110110000000000 I +b10101000101101001110110000000000 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +b10100 & +b10100 C +b10101000101101001110110000000000 , +b10101000101101001110110000000000 H +b1111 + +b1111 G +1. +0% +#3477000 +1_ +0] +1i +0\ +1@" +1=" +1>" +1h +0:" +0;" +1r +b10000 3" +0<" +0` +0^ +0c +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +b101100101100011010101010001111 | +b101100101100011010101010001111 B" +1b +0n +0/" +0x +b10101000101101001110110000000000 &" +b10101000101101001110110000000000 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +b11101110101101011100111001110010 / +b11101110101101011100111001110010 K +b11101110101101011100111001110010 e +b11101110101101011100111001110010 "" +b11101110101101011100111001110010 0 +b11101110101101011100111001110010 M +b11101110101101011100111001110010 f +b11101110101101011100111001110010 !" +0. +1% +#3477010 +b10101000101101001110110000000000 R" +#3477500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3478000 +1] +1s +1\ +1[ +0=" +0>" +0@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b11111 -" +0t +04" +0v +0b +0a +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101100101100011010101010001111 0 +b101100101100011010101010001111 M +b101100101100011010101010001111 f +b101100101100011010101010001111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3478500 +b11110 5 +b11110 L +b11110 W +14 +b11110 ' +b11110 D +1) +1. +0% +#3479000 +0i +b1011110010010101010011101000010 { +b1011110010010101010011101000010 A" +0h +19" +1:" +1;" +b1 0" +0j +0o +1p +0k +18" +1l +1n +1/" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3479500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3480000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b1011110010010101010011101000010 / +b1011110010010101010011101000010 K +b1011110010010101010011101000010 e +b1011110010010101010011101000010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3480500 +b100 5 +b100 L +b100 W +14 +b100 ' +b100 D +1) +1. +0% +#3481000 +0i +b111010001011110110010000111000 { +b111010001011110110010000111000 A" +1:" +1;" +b11011 0" +1j +1k +1/" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3481500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3482000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b111010001011110110010000111000 / +b111010001011110110010000111000 K +b111010001011110110010000111000 e +b111010001011110110010000111000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3482500 +b1 5 +b1 L +b1 W +14 +b10100 7 +b10100 N +b10100 V +16 +b1 ' +b1 D +1) +b10100 & +b10100 C +1( +1. +0% +#3483000 +b11001111110101111110110111001100 { +b11001111110101111110110111001100 A" +0s +b101100101100011010101010001111 | +b101100101100011010101010001111 B" +0g +1;" +16" +17" +b11110 0" +1k +b1011 -" +1t +0u +14" +1v +1m +1/" +1," +b1 ~ +b1 )" +b1 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3483500 +b11100 5 +b11100 L +b11100 W +b11111 7 +b11111 N +b11111 V +b11100 ' +b11100 D +b11111 & +b11111 C +1. +0% +#3484000 +0k +0i +0t +1g +19" +1:" +0r +0q +15" +b11 0" +18" +1l +0j +0o +1p +b1000000110010001001101011110011 { +b1000000110010001001101011110011 A" +b0 -" +1z +b10100000011001100000110001110001 | +b10100000011001100000110001110001 B" +0m +1x +1w +b11001111110101111110110111001100 / +b11001111110101111110110111001100 K +b11001111110101111110110111001100 e +b11001111110101111110110111001100 "" +b101100101100011010101010001111 0 +b101100101100011010101010001111 M +b101100101100011010101010001111 f +b101100101100011010101010001111 !" +b11100 ~ +b11100 )" +b11100 ." +b11111 } +b11111 (" +b11111 +" +0. +1% +#3484500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b0 ' +b0 D +0) +b1101 & +b1101 C +1. +0% +#3485000 +1i +1u +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +17" +b11111 0" +0p +08" +0l +b10010 -" +04" +0v +b11111001000011110101101010011101 | +b11111001000011110101101010011101 B" +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +b1000000110010001001101011110011 / +b1000000110010001001101011110011 K +b1000000110010001001101011110011 e +b1000000110010001001101011110011 "" +b10100000011001100000110001110001 0 +b10100000011001100000110001110001 M +b10100000011001100000110001110001 f +b10100000011001100000110001110001 !" +0. +1% +#3485500 +1. +0% +#3486000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111001000011110101101010011101 0 +b11111001000011110101101010011101 M +b11111001000011110101101010011101 f +b11111001000011110101101010011101 !" +0. +1% +#3486500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +1. +0% +#3487000 +0i +b10100000011001100000110001110001 { +b10100000011001100000110001110001 A" +1s +0h +0g +19" +1:" +1;" +1q +05" +06" +07" +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0w +0," +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3487500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#3488000 +1k +1i +1h +1g +1;" +09" +0:" +b11111 0" +08" +0l +0p +b10010010101001101001110111101011 { +b10010010101001101001110111101011 A" +0n +0m +b10100000011001100000110001110001 / +b10100000011001100000110001110001 K +b10100000011001100000110001110001 e +b10100000011001100000110001110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0. +1% +#3488500 +04 +0) +1. +0% +#3489000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +0$" +b10010010101001101001110111101011 / +b10010010101001101001110111101011 K +b10010010101001101001110111101011 e +b10010010101001101001110111101011 "" +0. +1% +#3489500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#3490000 +b10101011011000011010110110111110 | +b10101011011000011010110110111110 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#3490500 +b10000 7 +b10000 N +b10000 V +b10000 & +b10000 C +1. +0% +#3491000 +0u +1r +1q +b1111 -" +14" +1v +b1111110101111111111001110010110 | +b1111110101111111111001110010110 B" +0x +0w +b10000 } +b10000 (" +b10000 +" +b10101011011000011010110110111110 0 +b10101011011000011010110110111110 M +b10101011011000011010110110111110 f +b10101011011000011010110110111110 !" +0. +1% +#3491500 +b1110 7 +b1110 N +b1110 V +b11111111100101100011110001 2 +b11111111100101100011110001 I +b11111111100101100011110001 Z +b111 3 +b111 J +b111 Y +11 +b1110 & +b1110 C +b11111111100101100011110001 , +b11111111100101100011110001 H +b111 + +b111 G +1- +1. +0% +#3492000 +0] +1u +0s +0\ +0[ +1>" +1@" +0r +17" +15" +16" +b11000 3" +1^ +1_ +b10001 -" +04" +0v +0t +0y +1z +b11010000100010110000000101011011 | +b11010000100010110000000101011011 B" +1b +1a +12" +1x +b1111110101111111111001110010110 0 +b1111110101111111111001110010110 M +b1111110101111111111001110010110 f +b1111110101111111111001110010110 !" +b11111111100101100011110001 &" +b11111111100101100011110001 ?" +b111 %" +b111 *" +b111 1" +1'" +b1110 } +b1110 (" +b1110 +" +0. +1% +#3492010 +b11111111100101100011110001 J" +#3492500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3493000 +1] +0i +b1011110010010101010011101000010 { +b1011110010010101010011101000010 A" +1s +1\ +1[ +0>" +0@" +0h +19" +1:" +1;" +1r +05" +06" +07" +b11111 3" +0^ +0_ +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1n +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11010000100010110000000101011011 0 +b11010000100010110000000101011011 M +b11010000100010110000000101011011 f +b11010000100010110000000101011011 !" +0. +1% +#3493500 +b0 5 +b0 L +b0 W +04 +b11101100111011110000011010011110 2 +b11101100111011110000011010011110 I +b11101100111011110000011010011110 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b11101100111011110000011010011110 , +b11101100111011110000011010011110 H +b11100 + +b11100 G +1- +1. +0% +#3494000 +0] +1i +1=" +1>" +1@" +1h +09" +0:" +0;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0/" +b1011110010010101010011101000010 / +b1011110010010101010011101000010 K +b1011110010010101010011101000010 e +b1011110010010101010011101000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101100111011110000011010011110 &" +b11101100111011110000011010011110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3494010 +b11101100111011110000011010011110 _" +#3494500 +b1100 5 +b1100 L +b1100 W +14 +b1100 7 +b1100 N +b1100 V +16 +b1110101110111111011100000000010 2 +b1110101110111111011100000000010 I +b1110101110111111011100000000010 Z +b11101 3 +b11101 J +b11101 Y +b1100 ' +b1100 D +1) +b1100 & +b1100 C +1( +b1110101110111111011100000000010 , +b1110101110111111011100000000010 H +b11101 + +b11101 G +1. +0% +#3495000 +0i +b11100100010000001111000011111011 { +b11100100010000001111000011111011 A" +0s +b11100100010000001111000011111011 | +b11100100010000001111000011111011 B" +0[ +19" +1:" +1;" +15" +16" +17" +b10 3" +b10011 0" +0j +0o +1p +1k +b10011 -" +0t +0y +1z +1u +1a +1/" +1," +b1110101110111111011100000000010 &" +b1110101110111111011100000000010 ?" +b11101 %" +b11101 *" +b11101 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3495010 +b1110101110111111011100000000010 `" +#3495500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3496000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0=" +0>" +0@" +09" +0:" +0;" +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0p +0k +b11111 -" +0z +0u +0a +02" +0/" +0," +b11100100010000001111000011111011 / +b11100100010000001111000011111011 K +b11100100010000001111000011111011 e +b11100100010000001111000011111011 "" +b11100100010000001111000011111011 0 +b11100100010000001111000011111011 M +b11100100010000001111000011111011 f +b11100100010000001111000011111011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3496500 +b111 5 +b111 L +b111 W +14 +b11000 7 +b11000 N +b11000 V +16 +b100000100111111010011000101110 2 +b100000100111111010011000101110 I +b100000100111111010011000101110 Z +b11101 3 +b11101 J +b11101 Y +11 +b111 ' +b111 D +1) +b11000 & +b11000 C +1( +b100000100111111010011000101110 , +b100000100111111010011000101110 H +b11101 + +b11101 G +1- +1. +0% +#3497000 +0] +0i +b11111111100101100011110001 { +b11111111100101100011110001 A" +0s +b10001101001100101010001011001110 | +b10001101001100101010001011001110 B" +0[ +1=" +1>" +1@" +0h +0g +1:" +1;" +15" +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11000 0" +1j +1k +b111 -" +1y +0u +14" +1v +1a +12" +1n +1m +1/" +1," +b100000100111111010011000101110 &" +b100000100111111010011000101110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3497010 +b100000100111111010011000101110 `" +#3497500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3498000 +1] +1i +1s +1[ +0=" +0>" +0@" +1h +1g +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +0a +02" +0n +0m +0/" +0," +b11111111100101100011110001 / +b11111111100101100011110001 K +b11111111100101100011110001 e +b11111111100101100011110001 "" +b10001101001100101010001011001110 0 +b10001101001100101010001011001110 M +b10001101001100101010001011001110 f +b10001101001100101010001011001110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3498500 +b11010 7 +b11010 N +b11010 V +16 +b11010 & +b11010 C +1( +1. +0% +#3499000 +0s +b100000000100001011111010011010 | +b100000000100001011111010011010 B" +0r +15" +17" +b101 -" +1y +0u +14" +1v +1x +1," +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3499500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b11010000010101010110110010011100 2 +b11010000010101010110110010011100 I +b11010000010101010110110010011100 Z +b1000 3 +b1000 J +b1000 Y +11 +b10 ' +b10 D +1) +b0 & +b0 C +0( +b11010000010101010110110010011100 , +b11010000010101010110110010011100 H +b1000 + +b1000 G +1- +1. +0% +#3500000 +0] +b1101111110110111111111100111110 { +b1101111110110111111111100111110 A" +1s +1=" +1@" +0h +1;" +1r +05" +07" +b10111 3" +1c +1_ +b11101 0" +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1n +1/" +0x +0," +b100000000100001011111010011010 0 +b100000000100001011111010011010 M +b100000000100001011111010011010 f +b100000000100001011111010011010 !" +b11010000010101010110110010011100 &" +b11010000010101010110110010011100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3500010 +b11010000010101010110110010011100 K" +#3500500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3501000 +1] +0=" +0@" +1h +0;" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1101111110110111111111100111110 / +b1101111110110111111111100111110 K +b1101111110110111111111100111110 e +b1101111110110111111111100111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3501500 +1. +0% +#3502000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3502500 +b110 5 +b110 L +b110 W +14 +b1101 7 +b1101 N +b1101 V +16 +b110 ' +b110 D +1) +b1101 & +b1101 C +1( +1. +0% +#3503000 +0i +b1111111110001011001111 { +b1111111110001011001111 A" +0s +b11111001000011110101101010011101 | +b11111001000011110101101010011101 B" +0h +1:" +1;" +0q +15" +16" +17" +b11001 0" +1j +1k +b10010 -" +0t +0y +1z +1u +1n +1/" +1w +1," +b110 ~ +b110 )" +b110 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#3503500 +b10011 5 +b10011 L +b10011 W +b0 7 +b0 N +b0 V +06 +b10011 ' +b10011 D +b0 & +b0 C +0( +1. +0% +#3504000 +0k +1i +1s +0g +0:" +1q +05" +06" +07" +b1100 0" +18" +1l +0j +b10100110010100111110110101001110 { +b10100110010100111110110101001110 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +0w +0," +b1111111110001011001111 / +b1111111110001011001111 K +b1111111110001011001111 e +b1111111110001011001111 "" +b11111001000011110101101010011101 0 +b11111001000011110101101010011101 M +b11111001000011110101101010011101 f +b11111001000011110101101010011101 !" +b10011 ~ +b10011 )" +b10011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3504500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b1001010011001011110101101000000 2 +b1001010011001011110101101000000 I +b1001010011001011110101101000000 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b1001010011001011110101101000000 , +b1001010011001011110101101000000 H +b10001 + +b10001 G +1- +1. +0% +#3505000 +0s +b11100100010000001111000011111011 | +b11100100010000001111000011111011 B" +0[ +1@" +1h +1g +0;" +15" +16" +17" +b1110 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +1a +12" +0n +0m +0/" +1," +b1001010011001011110101101000000 &" +b1001010011001011110101101000000 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +b10100110010100111110110101001110 / +b10100110010100111110110101001110 K +b10100110010100111110110101001110 e +b10100110010100111110110101001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3505010 +b1001010011001011110101101000000 T" +#3505500 +b111 7 +b111 N +b111 V +b110101110110010100100011000001 2 +b110101110110010100100011000001 I +b110101110110010100100011000001 Z +b11101 3 +b11101 J +b11101 Y +b111 & +b111 C +b110101110110010100100011000001 , +b110101110110010100100011000001 H +b11101 + +b11101 G +1. +0% +#3506000 +0] +1t +1=" +1>" +0r +0q +05" +16" +b10 3" +0^ +0c +1d +b11000 -" +0z +b11111111100101100011110001 | +b11111111100101100011110001 B" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100100010000001111000011111011 0 +b11100100010000001111000011111011 M +b11100100010000001111000011111011 f +b11100100010000001111000011111011 !" +b110101110110010100100011000001 &" +b110101110110010100100011000001 ?" +b11101 %" +b11101 *" +b11101 1" +b111 } +b111 (" +b111 +" +0. +1% +#3506010 +b110101110110010100100011000001 `" +#3506500 +b0 7 +b0 N +b0 V +06 +b10000100110011111010111001011 2 +b10000100110011111010111001011 I +b10000100110011111010111001011 Z +b10101 3 +b10101 J +b10101 Y +b0 & +b0 C +0( +b10000100110011111010111001011 , +b10000100110011111010111001011 H +b10101 + +b10101 G +1. +0% +#3507000 +1^ +1s +0=" +1>" +1r +1q +06" +07" +b1010 3" +0d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b10000100110011111010111001011 &" +b10000100110011111010111001011 ?" +b10101 %" +b10101 *" +b10101 1" +b0 } +b0 (" +b0 +" +0#" +b11111111100101100011110001 0 +b11111111100101100011110001 M +b11111111100101100011110001 f +b11111111100101100011110001 !" +0. +1% +#3507010 +b10000100110011111010111001011 X" +#3507500 +b1010 5 +b1010 L +b1010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3508000 +1] +0i +b11010110110011110100110 { +b11010110110011110100110 A" +1[ +0>" +0@" +0h +19" +1;" +b11111 3" +0^ +0<" +0` +b10101 0" +1o +1k +0a +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#3508500 +b110 5 +b110 L +b110 W +b11101010111011000001001001111101 2 +b11101010111011000001001001111101 I +b11101010111011000001001001111101 Z +b11111 3 +b11111 J +b11111 Y +11 +b110 ' +b110 D +b11101010111011000001001001111101 , +b11101010111011000001001001111101 H +b11111 + +b11111 G +1- +1. +0% +#3509000 +1:" +0] +1j +b1111111110001011001111 { +b1111111110001011001111 A" +0\ +0[ +1=" +1>" +1@" +09" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11001 0" +0o +1b +1a +12" +b11101010111011000001001001111101 &" +b11101010111011000001001001111101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b110 ~ +b110 )" +b110 ." +b11010110110011110100110 / +b11010110110011110100110 K +b11010110110011110100110 e +b11010110110011110100110 "" +0. +1% +#3509500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b11110111111011100011100101111001 2 +b11110111111011100011100101111001 I +b11110111111011100011100101111001 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b11110111111011100011100101111001 , +b11110111111011100011100101111001 H +b1 + +b1 G +1. +0% +#3510000 +1_ +1] +1i +0s +b1011110010010101010011101000010 | +b1011110010010101010011101000010 B" +1\ +1@" +0=" +0>" +1h +0:" +0;" +0r +15" +16" +17" +b11110 3" +0<" +0` +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0b +0n +0/" +1x +1," +b1111111110001011001111 / +b1111111110001011001111 K +b1111111110001011001111 e +b1111111110001011001111 "" +b11110111111011100011100101111001 &" +b11110111111011100011100101111001 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#3510010 +b11110111111011100011100101111001 D" +#3510500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b10010101100011100000001010111111 2 +b10010101100011100000001010111111 I +b10010101100011100000001010111111 Z +b10110 3 +b10110 J +b10110 Y +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +b10010101100011100000001010111111 , +b10010101100011100000001010111111 H +b10110 + +b10110 G +1. +0% +#3511000 +0_ +0] +b1111110101111111111001110010110 { +b1111110101111111111001110010110 A" +1s +0\ +1[ +1>" +1;" +1r +05" +06" +07" +b1001 3" +1<" +1` +1^ +b1111 0" +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +1/" +0x +0," +b10010101100011100000001010111111 &" +b10010101100011100000001010111111 ?" +b10110 %" +b10110 *" +b10110 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011110010010101010011101000010 0 +b1011110010010101010011101000010 M +b1011110010010101010011101000010 f +b1011110010010101010011101000010 !" +0. +1% +#3511010 +b10010101100011100000001010111111 Y" +#3511500 +b11000 5 +b11000 L +b11000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3512000 +1] +0i +b10001101001100101010001011001110 { +b10001101001100101010001011001110 A" +1\ +0>" +0@" +19" +b11111 3" +0^ +0<" +0` +b111 0" +1o +0b +02" +b1111110101111111111001110010110 / +b1111110101111111111001110010110 K +b1111110101111111111001110010110 e +b1111110101111111111001110010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +0. +1% +#3512500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +1. +0% +#3513000 +1i +b1001010011001011110101101000000 | +b1001010011001011110101101000000 B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +17" +b11111 0" +0o +08" +0l +b1110 -" +0u +14" +1v +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b10001101001100101010001011001110 / +b10001101001100101010001011001110 K +b10001101001100101010001011001110 e +b10001101001100101010001011001110 "" +0. +1% +#3513500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3514000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001010011001011110101101000000 0 +b1001010011001011110101101000000 M +b1001010011001011110101101000000 f +b1001010011001011110101101000000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3514500 +b1100 5 +b1100 L +b1100 W +14 +b10110 7 +b10110 N +b10110 V +16 +b1110000000010111111110000011010 2 +b1110000000010111111110000011010 I +b1110000000010111111110000011010 Z +b100 3 +b100 J +b100 Y +11 +b1100 ' +b1100 D +1) +b10110 & +b10110 C +1( +b1110000000010111111110000011010 , +b1110000000010111111110000011010 H +b100 + +b100 G +1- +1. +0% +#3515000 +0] +0i +b11100100010000001111000011111011 { +b11100100010000001111000011111011 A" +0s +b10010101100011100000001010111111 | +b10010101100011100000001010111111 B" +1>" +1@" +19" +1:" +1;" +0r +16" +17" +b11011 3" +1^ +1_ +b10011 0" +0j +0o +1p +1k +b1001 -" +1t +0u +14" +1v +12" +1/" +1x +1," +b1110000000010111111110000011010 &" +b1110000000010111111110000011010 ?" +b100 %" +b100 *" +b100 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3515010 +b1110000000010111111110000011010 G" +#3515500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3516000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0>" +0@" +09" +0:" +0;" +1r +06" +07" +b11111 3" +0^ +0_ +b11111 0" +0p +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0/" +0x +0," +b11100100010000001111000011111011 / +b11100100010000001111000011111011 K +b11100100010000001111000011111011 e +b11100100010000001111000011111011 "" +b10010101100011100000001010111111 0 +b10010101100011100000001010111111 M +b10010101100011100000001010111111 f +b10010101100011100000001010111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3516500 +b10101010001101000011111010111101 2 +b10101010001101000011111010111101 I +b10101010001101000011111010111101 Z +b10000 3 +b10000 J +b10000 Y +11 +b10101010001101000011111010111101 , +b10101010001101000011111010111101 H +b10000 + +b10000 G +1- +1. +0% +#3517000 +1@" +b1111 3" +0_ +1<" +1` +12" +b10101010001101000011111010111101 &" +b10101010001101000011111010111101 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3517010 +b10101010001101000011111010111101 S" +#3517500 +b111 5 +b111 L +b111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3518000 +0i +b11111111100101100011110001 { +b11111111100101100011110001 A" +0@" +0h +0g +1:" +1;" +b11111 3" +0<" +0` +b11000 0" +1j +1k +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#3518500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3519000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11111111100101100011110001 / +b11111111100101100011110001 K +b11111111100101100011110001 e +b11111111100101100011110001 "" +0. +1% +#3519500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#3520000 +0i +b11010000100010110000000101011011 { +b11010000100010110000000101011011 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#3520500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b11101011101111100111111100101110 2 +b11101011101111100111111100101110 I +b11101011101111100111111100101110 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +b11101011101111100111111100101110 , +b11101011101111100111111100101110 H +b1000 + +b1000 G +1- +1. +0% +#3521000 +0] +1i +0s +b10001101001100101010001011001110 | +b10001101001100101010001011001110 B" +1=" +1@" +1h +09" +0:" +0;" +15" +17" +b10111 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b111 -" +1y +0u +14" +1v +12" +0n +0/" +1," +b11101011101111100111111100101110 &" +b11101011101111100111111100101110 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +b11010000100010110000000101011011 / +b11010000100010110000000101011011 K +b11010000100010110000000101011011 e +b11010000100010110000000101011011 "" +0. +1% +#3521010 +b11101011101111100111111100101110 K" +#3521500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3522000 +1] +1s +0=" +0@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0_ +b11111 -" +0y +04" +0v +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001101001100101010001011001110 0 +b10001101001100101010001011001110 M +b10001101001100101010001011001110 f +b10001101001100101010001011001110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3522500 +b1111000101010111011110010010100 2 +b1111000101010111011110010010100 I +b1111000101010111011110010010100 Z +b1011 3 +b1011 J +b1011 Y +11 +b1111000101010111011110010010100 , +b1111000101010111011110010010100 H +b1011 + +b1011 G +1- +1. +0% +#3523000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b1111000101010111011110010010100 &" +b1111000101010111011110010010100 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3523010 +b1111000101010111011110010010100 N" +#3523500 +b10000 7 +b10000 N +b10000 V +16 +b10011010010110100110001101011111 2 +b10011010010110100110001101011111 I +b10011010010110100110001101011111 Z +b11110 3 +b11110 J +b11110 Y +b10000 & +b10000 C +1( +b10011010010110100110001101011111 , +b10011010010110100110001101011111 H +b11110 + +b11110 G +1. +0% +#3524000 +0_ +0c +b10101010001101000011111010111101 | +b10101010001101000011111010111101 B" +1[ +1>" +17" +b1 3" +1<" +1` +1d +b1111 -" +0u +14" +1v +0a +1," +b10011010010110100110001101011111 &" +b10011010010110100110001101011111 ?" +b11110 %" +b11110 *" +b11110 1" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#3524010 +b10011010010110100110001101011111 a" +#3524500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3525000 +1] +1\ +0=" +0>" +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0<" +0` +b11111 -" +04" +0v +0b +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10101010001101000011111010111101 0 +b10101010001101000011111010111101 M +b10101010001101000011111010111101 f +b10101010001101000011111010111101 !" +0. +1% +#3525500 +b1001 5 +b1001 L +b1001 W +14 +b11010101111101100011101001001010 2 +b11010101111101100011101001001010 I +b11010101111101100011101001001010 Z +b10100 3 +b10100 J +b10100 Y +11 +b1001 ' +b1001 D +1) +b11010101111101100011101001001010 , +b11010101111101100011101001001010 H +b10100 + +b10100 G +1- +1. +0% +#3526000 +0] +0i +b11110100000011111011101011000010 { +b11110100000011111011101011000010 A" +1>" +1@" +0g +19" +1;" +b1011 3" +1^ +0_ +1<" +1` +b10110 0" +1o +1k +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010101111101100011101001001010 &" +b11010101111101100011101001001010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#3526010 +b11010101111101100011101001001010 W" +#3526500 +b0 5 +b0 L +b0 W +04 +b100100011011010001101100110001 2 +b100100011011010001101100110001 I +b100100011011010001101100110001 Z +b11101 3 +b11101 J +b11101 Y +b0 ' +b0 D +0) +b100100011011010001101100110001 , +b100100011011010001101100110001 H +b11101 + +b11101 G +1. +0% +#3527000 +0^ +1i +0[ +1=" +1g +09" +0;" +b10 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +0m +0/" +b100100011011010001101100110001 &" +b100100011011010001101100110001 ?" +b11101 %" +b11101 *" +b11101 1" +b0 ~ +b0 )" +b0 ." +0$" +b11110100000011111011101011000010 / +b11110100000011111011101011000010 K +b11110100000011111011101011000010 e +b11110100000011111011101011000010 "" +0. +1% +#3527010 +b100100011011010001101100110001 `" +#3527500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3528000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3528500 +b11010 7 +b11010 N +b11010 V +16 +b11110110011110010101000000000011 2 +b11110110011110010101000000000011 I +b11110110011110010101000000000011 Z +b10100 3 +b10100 J +b10100 Y +11 +b11010 & +b11010 C +1( +b11110110011110010101000000000011 , +b11110110011110010101000000000011 H +b10100 + +b10100 G +1- +1. +0% +#3529000 +0] +0s +b100000000100001011111010011010 | +b100000000100001011111010011010 B" +1>" +1@" +0r +15" +17" +b1011 3" +1^ +0_ +1<" +1` +b101 -" +1y +0u +14" +1v +12" +1x +1," +b11110110011110010101000000000011 &" +b11110110011110010101000000000011 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#3529010 +b11110110011110010101000000000011 W" +#3529500 +b1 5 +b1 L +b1 W +14 +b10000 7 +b10000 N +b10000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b10000 & +b10000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3530000 +1] +b11110111111011100011100101111001 { +b11110111111011100011100101111001 A" +1s +0>" +0@" +0g +1;" +1r +05" +b11111 3" +0^ +0<" +0` +b11110 0" +1k +b1111 -" +0y +b10101010001101000011111010111101 | +b10101010001101000011111010111101 B" +02" +1m +1/" +0x +b100000000100001011111010011010 0 +b100000000100001011111010011010 M +b100000000100001011111010011010 f +b100000000100001011111010011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b10000 } +b10000 (" +b10000 +" +0. +1% +#3530500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3531000 +1g +0;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +04" +0v +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110111111011100011100101111001 / +b11110111111011100011100101111001 K +b11110111111011100011100101111001 e +b11110111111011100011100101111001 "" +b10101010001101000011111010111101 0 +b10101010001101000011111010111101 M +b10101010001101000011111010111101 f +b10101010001101000011111010111101 !" +0. +1% +#3531500 +1. +0% +#3532000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3532500 +b1011011011011111101100100 2 +b1011011011011111101100100 I +b1011011011011111101100100 Z +b10110 3 +b10110 J +b10110 Y +11 +b1011011011011111101100100 , +b1011011011011111101100100 H +b10110 + +b10110 G +1- +1. +0% +#3533000 +0] +0\ +1>" +1@" +b1001 3" +1^ +0_ +1<" +1` +1b +12" +b1011011011011111101100100 &" +b1011011011011111101100100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +0. +1% +#3533010 +b1011011011011111101100100 Y" +#3533500 +b110 5 +b110 L +b110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3534000 +1] +0i +b1111111110001011001111 { +b1111111110001011001111 A" +1\ +0>" +0@" +0h +1:" +1;" +b11111 3" +0^ +0<" +0` +b11001 0" +1j +1k +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#3534500 +b11000 5 +b11000 L +b11000 W +b11000 ' +b11000 D +1. +0% +#3535000 +19" +0k +1o +1h +0:" +b111 0" +18" +1l +0j +b10001101001100101010001011001110 { +b10001101001100101010001011001110 A" +0n +b11000 ~ +b11000 )" +b11000 ." +b1111111110001011001111 / +b1111111110001011001111 K +b1111111110001011001111 e +b1111111110001011001111 "" +0. +1% +#3535500 +b11001 5 +b11001 L +b11001 W +b1010 7 +b1010 N +b1010 V +16 +b11001110100011101111011001010011 2 +b11001110100011101111011001010011 I +b11001110100011101111011001010011 Z +b11100 3 +b11100 J +b11100 Y +11 +b11001 ' +b11001 D +b1010 & +b1010 C +1( +b11001110100011101111011001010011 , +b11001110100011101111011001010011 H +b11100 + +b11100 G +1- +1. +0% +#3536000 +0] +0s +b11010110110011110100110 | +b11010110110011110100110 B" +1=" +1>" +1@" +0g +0r +15" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b110 0" +b101010110010111110011100000100 { +b101010110010111110011100000100 A" +b10101 -" +1y +1u +12" +1m +1x +1," +b10001101001100101010001011001110 / +b10001101001100101010001011001110 K +b10001101001100101010001011001110 e +b10001101001100101010001011001110 "" +b11001110100011101111011001010011 &" +b11001110100011101111011001010011 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#3536010 +b11001110100011101111011001010011 _" +#3536500 +b10000 5 +b10000 L +b10000 W +b1000 7 +b1000 N +b1000 V +b100011100000000101001001111101 2 +b100011100000000101001001111101 I +b100011100000000101001001111101 Z +b10111 3 +b10111 J +b10111 Y +b10000 ' +b10000 D +b1000 & +b1000 C +b100011100000000101001001111101 , +b100011100000000101001001111101 H +b10111 + +b10111 G +1. +0% +#3537000 +1^ +1i +0\ +0[ +0=" +1>" +1g +09" +1r +b1000 3" +0d +b1111 0" +0o +b10101010001101000011111010111101 { +b10101010001101000011111010111101 A" +b10111 -" +b11101011101111100111111100101110 | +b11101011101111100111111100101110 B" +1b +1a +0m +0x +b100011100000000101001001111101 &" +b100011100000000101001001111101 ?" +b10111 %" +b10111 *" +b10111 1" +b10000 ~ +b10000 )" +b10000 ." +b1000 } +b1000 (" +b1000 +" +b101010110010111110011100000100 / +b101010110010111110011100000100 K +b101010110010111110011100000100 e +b101010110010111110011100000100 "" +b11010110110011110100110 0 +b11010110110011110100110 M +b11010110110011110100110 f +b11010110110011110100110 !" +0. +1% +#3537010 +b100011100000000101001001111101 Z" +#3537500 +b11101 5 +b11101 L +b11101 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3538000 +1] +0i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0>" +0@" +0g +19" +1:" +05" +07" +b11111 3" +0^ +0<" +0` +b10 0" +0j +0o +1p +b100100011011010001101100110001 { +b100100011011010001101100110001 A" +b11111 -" +0y +0u +0b +0a +02" +1m +0," +b10101010001101000011111010111101 / +b10101010001101000011111010111101 K +b10101010001101000011111010111101 e +b10101010001101000011111010111101 "" +b11101011101111100111111100101110 0 +b11101011101111100111111100101110 M +b11101011101111100111111100101110 f +b11101011101111100111111100101110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3538500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3539000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b100100011011010001101100110001 / +b100100011011010001101100110001 K +b100100011011010001101100110001 e +b100100011011010001101100110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3539500 +b1 7 +b1 N +b1 V +16 +b11001110010110011001010110110101 2 +b11001110010110011001010110110101 I +b11001110010110011001010110110101 Z +b10111 3 +b10111 J +b10111 Y +11 +b1 & +b1 C +1( +b11001110010110011001010110110101 , +b11001110010110011001010110110101 H +b10111 + +b10111 G +1- +1. +0% +#3540000 +0] +b11110111111011100011100101111001 | +b11110111111011100011100101111001 B" +0\ +0[ +1>" +1@" +0q +17" +b1000 3" +1^ +0_ +1<" +1` +b11110 -" +1u +1b +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001110010110011001010110110101 &" +b11001110010110011001010110110101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#3540010 +b11001110010110011001010110110101 Z" +#3540500 +b11000 7 +b11000 N +b11000 V +b10111101001010011011001010000011 2 +b10111101001010011011001010000011 I +b10111101001010011011001010000011 Z +b100 3 +b100 J +b100 Y +b11000 & +b11000 C +b10111101001010011011001010000011 , +b10111101001010011011001010000011 H +b100 + +b100 G +1. +0% +#3541000 +1_ +0u +0s +1\ +1[ +1@" +1q +15" +b11011 3" +0<" +0` +b111 -" +14" +1v +1y +b10001101001100101010001011001110 | +b10001101001100101010001011001110 B" +0b +0a +0w +b10111101001010011011001010000011 &" +b10111101001010011011001010000011 ?" +b100 %" +b100 *" +b100 1" +b11000 } +b11000 (" +b11000 +" +b11110111111011100011100101111001 0 +b11110111111011100011100101111001 M +b11110111111011100011100101111001 f +b11110111111011100011100101111001 !" +0. +1% +#3541010 +b10111101001010011011001010000011 G" +#3541500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3542000 +1] +1s +0>" +0@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0_ +b11111 -" +0y +04" +0v +02" +0," +b10001101001100101010001011001110 0 +b10001101001100101010001011001110 M +b10001101001100101010001011001110 f +b10001101001100101010001011001110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3542500 +1. +0% +#3543000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3543500 +b110 7 +b110 N +b110 V +16 +b100010001110011101011000100101 2 +b100010001110011101011000100101 I +b100010001110011101011000100101 Z +b11010 3 +b11010 J +b11010 Y +11 +b110 & +b110 C +1( +b100010001110011101011000100101 , +b100010001110011101011000100101 H +b11010 + +b11010 G +1- +1. +0% +#3544000 +0] +0s +b1111111110001011001111 | +b1111111110001011001111 B" +0\ +1=" +1@" +0r +16" +17" +b101 3" +1c +0_ +1<" +1` +b11001 -" +1t +1u +1b +12" +1x +1," +b100010001110011101011000100101 &" +b100010001110011101011000100101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#3544010 +b100010001110011101011000100101 ]" +#3544500 +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3545000 +1] +1s +1\ +0=" +0@" +0q +06" +b11111 3" +0c +0<" +0` +b11100 -" +0t +b10101011011000011010110110111110 | +b10101011011000011010110110111110 B" +0b +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +b1111111110001011001111 0 +b1111111110001011001111 M +b1111111110001011001111 f +b1111111110001011001111 !" +0. +1% +#3545500 +b10001 7 +b10001 N +b10001 V +b10010010110000000000100011110111 2 +b10010010110000000000100011110111 I +b10010010110000000000100011110111 Z +b1111 3 +b1111 J +b1111 Y +11 +b10001 & +b10001 C +b10010010110000000000100011110111 , +b10010010110000000000100011110111 H +b1111 + +b1111 G +1- +1. +0% +#3546000 +0] +0u +0\ +0[ +1=" +1>" +1@" +1r +b10000 3" +0^ +0c +1d +1_ +b1110 -" +14" +1v +b1001010011001011110101101000000 | +b1001010011001011110101101000000 B" +1b +1a +12" +0x +b10101011011000011010110110111110 0 +b10101011011000011010110110111110 M +b10101011011000011010110110111110 f +b10101011011000011010110110111110 !" +b10010010110000000000100011110111 &" +b10010010110000000000100011110111 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10001 } +b10001 (" +b10001 +" +0. +1% +#3546010 +b10010010110000000000100011110111 R" +#3546500 +b10111 5 +b10111 L +b10111 W +14 +b10101 7 +b10101 N +b10101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b10101 & +b10101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3547000 +1] +0i +b11001110010110011001010110110101 { +b11001110010110011001010110110101 A" +0s +b10000100110011111010111001011 | +b10000100110011111010111001011 B" +1\ +1[ +0=" +0>" +0@" +0h +0g +1:" +1;" +16" +b11111 3" +0d +0_ +b1000 0" +1j +0k +18" +1l +b1010 -" +1t +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10101 } +b10101 (" +b10101 +" +b1001010011001011110101101000000 0 +b1001010011001011110101101000000 M +b1001010011001011110101101000000 f +b1001010011001011110101101000000 !" +0. +1% +#3547500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b11110101011010001101101100100 2 +b11110101011010001101101100100 I +b11110101011010001101101100100 Z +b11000 3 +b11000 J +b11000 Y +11 +b1000 ' +b1000 D +b0 & +b0 C +0( +b11110101011010001101101100100 , +b11110101011010001101101100100 H +b11000 + +b11000 G +1- +1. +0% +#3548000 +19" +0] +1k +1o +1s +1=" +1@" +1h +1g +1;" +0:" +1q +06" +07" +b111 3" +1c +0_ +1<" +1` +b10111 0" +08" +0l +0j +b11101011101111100111111100101110 { +b11101011101111100111111100101110 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0m +0w +0," +b11001110010110011001010110110101 / +b11001110010110011001010110110101 K +b11001110010110011001010110110101 e +b11001110010110011001010110110101 "" +b10000100110011111010111001011 0 +b10000100110011111010111001011 M +b10000100110011111010111001011 f +b10000100110011111010111001011 !" +b11110101011010001101101100100 &" +b11110101011010001101101100100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3548010 +b11110101011010001101101100100 [" +#3548500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b10000010000000000001010111010001 2 +b10000010000000000001010111010001 I +b10000010000000000001010111010001 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b10000010000000000001010111010001 , +b10000010000000000001010111010001 H +b101 + +b101 G +1. +0% +#3549000 +1>" +1_ +1^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11101011101111100111111100101110 | +b11101011101111100111111100101110 B" +0[ +1@" +0=" +09" +0;" +15" +17" +b11010 3" +0<" +0` +0c +b11111 0" +0o +0k +b10111 -" +1y +1u +1a +0/" +1," +b10000010000000000001010111010001 &" +b10000010000000000001010111010001 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b11101011101111100111111100101110 / +b11101011101111100111111100101110 K +b11101011101111100111111100101110 e +b11101011101111100111111100101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3549010 +b10000010000000000001010111010001 H" +#3549500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b11011011101110010111100100001100 2 +b11011011101110010111100100001100 I +b11011011101110010111100100001100 Z +b1100 3 +b1100 J +b1100 Y +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +b11011011101110010111100100001100 , +b11011011101110010111100100001100 H +b1100 + +b1100 G +1. +0% +#3550000 +0^ +b10101010001101000011111010111101 { +b10101010001101000011111010111101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +1=" +1;" +05" +07" +b10011 3" +1d +b1111 0" +0k +18" +1l +b11111 -" +0y +0u +0a +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011101111100111111100101110 0 +b11101011101111100111111100101110 M +b11101011101111100111111100101110 f +b11101011101111100111111100101110 !" +b11011011101110010111100100001100 &" +b11011011101110010111100100001100 ?" +b1100 %" +b1100 *" +b1100 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3550010 +b11011011101110010111100100001100 O" +#3550500 +b0 5 +b0 L +b0 W +04 +b1000101010000001000101001001011 2 +b1000101010000001000101001001011 I +b1000101010000001000101001001011 Z +b10101 3 +b10101 J +b10101 Y +b0 ' +b0 D +0) +b1000101010000001000101001001011 , +b1000101010000001000101001001011 H +b10101 + +b10101 G +1. +0% +#3551000 +0_ +1^ +0[ +0=" +1>" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b1010 3" +1<" +1` +0d +b11111 0" +08" +0l +1a +0/" +b1000101010000001000101001001011 &" +b1000101010000001000101001001011 ?" +b10101 %" +b10101 *" +b10101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101010001101000011111010111101 / +b10101010001101000011111010111101 K +b10101010001101000011111010111101 e +b10101010001101000011111010111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3551010 +b1000101010000001000101001001011 X" +#3551500 +b11100 5 +b11100 L +b11100 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3552000 +1] +0i +b11001110100011101111011001010011 { +b11001110100011101111011001010011 A" +0s +b101010110010111110011100000100 | +b101010110010111110011100000100 B" +1[ +0>" +0@" +19" +1:" +1;" +0q +15" +17" +b11111 3" +0^ +0<" +0` +b11 0" +0j +0o +1p +0k +18" +1l +b110 -" +1y +0u +14" +1v +0a +02" +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#3552500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101101001000101001111011010101 2 +b10101101001000101001111011010101 I +b10101101001000101001111011010101 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101101001000101001111011010101 , +b10101101001000101001111011010101 H +b11000 + +b11000 G +1- +1. +0% +#3553000 +0] +1i +1s +1=" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +07" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0p +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0/" +0w +0," +b10101101001000101001111011010101 &" +b10101101001000101001111011010101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001110100011101111011001010011 / +b11001110100011101111011001010011 K +b11001110100011101111011001010011 e +b11001110100011101111011001010011 "" +b101010110010111110011100000100 0 +b101010110010111110011100000100 M +b101010110010111110011100000100 f +b101010110010111110011100000100 !" +0. +1% +#3553010 +b10101101001000101001111011010101 [" +#3553500 +b11 5 +b11 L +b11 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3554000 +1] +b10101011011000011010110110111110 { +b10101011011000011010110110111110 A" +0=" +0@" +0h +0g +1;" +b11111 3" +0c +0<" +0` +b11100 0" +1k +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#3554500 +b0 5 +b0 L +b0 W +04 +b10001110110010110001100100110 2 +b10001110110010110001100100110 I +b10001110110010110001100100110 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b10001110110010110001100100110 , +b10001110110010110001100100110 H +b101 + +b101 G +1- +1. +0% +#3555000 +0] +0[ +1>" +1@" +1h +1g +0;" +b11010 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0m +0/" +b10001110110010110001100100110 &" +b10001110110010110001100100110 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101011011000011010110110111110 / +b10101011011000011010110110111110 K +b10101011011000011010110110111110 e +b10101011011000011010110110111110 "" +0. +1% +#3555010 +b10001110110010110001100100110 H" +#3555500 +b1101101010101001111010111011110 2 +b1101101010101001111010111011110 I +b1101101010101001111010111011110 Z +b1001 3 +b1001 J +b1001 Y +b1101101010101001111010111011110 , +b1101101010101001111010111011110 H +b1001 + +b1001 G +1. +0% +#3556000 +1=" +1c +0>" +b10110 3" +0^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101101010101001111010111011110 &" +b1101101010101001111010111011110 ?" +b1001 %" +b1001 *" +b1001 1" +0. +1% +#3556010 +b1101101010101001111010111011110 L" +#3556500 +b110 5 +b110 L +b110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3557000 +1] +0i +b1111111110001011001111 { +b1111111110001011001111 A" +1[ +0=" +0@" +0h +1:" +1;" +b11111 3" +0c +0_ +b11001 0" +1j +1k +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#3557500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#3558000 +1i +b10100110010100111110110101001110 | +b10100110010100111110110101001110 B" +1h +0:" +0;" +0r +0q +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +0n +0/" +1x +1w +1," +b1111111110001011001111 / +b1111111110001011001111 K +b1111111110001011001111 e +b1111111110001011001111 "" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#3558500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3559000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100110010100111110110101001110 0 +b10100110010100111110110101001110 M +b10100110010100111110110101001110 f +b10100110010100111110110101001110 !" +0. +1% +#3559500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#3560000 +b11110111111011100011100101111001 | +b11110111111011100011100101111001 B" +0q +17" +b11110 -" +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#3560500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3561000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11110111111011100011100101111001 0 +b11110111111011100011100101111001 M +b11110111111011100011100101111001 f +b11110111111011100011100101111001 !" +0. +1% +#3561500 +b1111 5 +b1111 L +b1111 W +14 +b110100110101100010000101001100 2 +b110100110101100010000101001100 I +b110100110101100010000101001100 Z +11 +b1111 ' +b1111 D +1) +b110100110101100010000101001100 , +b110100110101100010000101001100 H +1- +1. +0% +#3562000 +0i +b10010010110000000000100011110111 { +b10010010110000000000100011110111 A" +1@" +0h +0g +19" +1:" +1;" +1_ +b10000 0" +0j +0o +1p +1k +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110100110101100010000101001100 &" +b110100110101100010000101001100 ?" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#3562010 +b110100110101100010000101001100 C" +#3562500 +b11011110011111111100011100101010 2 +b11011110011111111100011100101010 I +b11011110011111111100011100101010 Z +b10110 3 +b10110 J +b10110 Y +b11011110011111111100011100101010 , +b11011110011111111100011100101010 H +b10110 + +b10110 G +1. +0% +#3563000 +0_ +0] +0\ +1>" +b1001 3" +1<" +1` +1^ +1b +b11011110011111111100011100101010 &" +b11011110011111111100011100101010 ?" +b10110 %" +b10110 *" +b10110 1" +b10010010110000000000100011110111 / +b10010010110000000000100011110111 K +b10010010110000000000100011110111 e +b10010010110000000000100011110111 "" +0. +1% +#3563010 +b11011110011111111100011100101010 Y" +#3563500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3564000 +1] +1i +b1101111110110111111111100111110 | +b1101111110110111111111100111110 B" +1\ +0>" +0@" +1h +1g +09" +0:" +0;" +0r +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11101 -" +1u +0b +02" +0n +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#3564500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b10001010110001000101100100001011 2 +b10001010110001000101100100001011 I +b10001010110001000101100100001011 Z +b11010 3 +b11010 J +b11010 Y +11 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b10001010110001000101100100001011 , +b10001010110001000101100100001011 H +b11010 + +b11010 G +1- +1. +0% +#3565000 +0] +0i +b1000101010000001000101001001011 { +b1000101010000001000101001001011 A" +0\ +1=" +1@" +0g +1:" +1;" +1r +07" +b101 3" +1c +0_ +1<" +1` +b1010 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +1/" +0x +0," +b10001010110001000101100100001011 &" +b10001010110001000101100100001011 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101111110110111111111100111110 0 +b1101111110110111111111100111110 M +b1101111110110111111111100111110 f +b1101111110110111111111100111110 !" +0. +1% +#3565010 +b10001010110001000101100100001011 ]" +#3565500 +b1100 5 +b1100 L +b1100 W +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3566000 +1] +1k +0j +0s +b1101101010101001111010111011110 | +b1101101010101001111010111011110 B" +1\ +0=" +0@" +1g +1;" +19" +0q +15" +17" +b11111 3" +0c +0<" +0` +b10011 0" +08" +0l +1p +b11011011101110010111100100001100 { +b11011011101110010111100100001100 A" +b10110 -" +1y +1u +0b +02" +0m +1w +1," +b1000101010000001000101001001011 / +b1000101010000001000101001001011 K +b1000101010000001000101001001011 e +b1000101010000001000101001001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#3566500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3567000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0:" +0;" +1q +05" +07" +b11111 0" +0p +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011011101110010111100100001100 / +b11011011101110010111100100001100 K +b11011011101110010111100100001100 e +b11011011101110010111100100001100 "" +b1101101010101001111010111011110 0 +b1101101010101001111010111011110 M +b1101101010101001111010111011110 f +b1101101010101001111010111011110 !" +0. +1% +#3567500 +b101 7 +b101 N +b101 V +16 +b101 & +b101 C +1( +1. +0% +#3568000 +0s +b10001110110010110001100100110 | +b10001110110010110001100100110 B" +0q +16" +17" +b11010 -" +1t +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#3568500 +b0 7 +b0 N +b0 V +06 +b11011110000110100111000001010001 2 +b11011110000110100111000001010001 I +b11011110000110100111000001010001 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 & +b0 C +0( +b11011110000110100111000001010001 , +b11011110000110100111000001010001 H +b10000 + +b10000 G +1- +1. +0% +#3569000 +1s +1@" +1q +06" +07" +b1111 3" +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0w +0," +b11011110000110100111000001010001 &" +b11011110000110100111000001010001 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10001110110010110001100100110 0 +b10001110110010110001100100110 M +b10001110110010110001100100110 f +b10001110110010110001100100110 !" +0. +1% +#3569010 +b11011110000110100111000001010001 S" +#3569500 +b111 5 +b111 L +b111 W +14 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3570000 +0i +b11111111100101100011110001 { +b11111111100101100011110001 A" +0s +b1111000101010111011110010010100 | +b1111000101010111011110010010100 B" +0@" +0h +0g +1:" +1;" +0r +0q +15" +17" +b11111 3" +0<" +0` +b11000 0" +1j +1k +b10100 -" +1y +1u +02" +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#3570500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +b0 ' +b0 D +0) +b10100 & +b10100 C +1. +0% +#3571000 +16" +1i +0u +1t +1h +1g +0:" +0;" +1r +1q +05" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1011 -" +14" +1v +0y +b11110110011110010101000000000011 | +b11110110011110010101000000000011 B" +0n +0m +0/" +0x +0w +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +b11111111100101100011110001 / +b11111111100101100011110001 K +b11111111100101100011110001 e +b11111111100101100011110001 "" +b1111000101010111011110010010100 0 +b1111000101010111011110010010100 M +b1111000101010111011110010010100 f +b1111000101010111011110010010100 !" +0. +1% +#3571500 +b1110 7 +b1110 N +b1110 V +b1110 & +b1110 C +1. +0% +#3572000 +1u +0t +0r +17" +15" +b10001 -" +04" +0v +1z +b11010000100010110000000101011011 | +b11010000100010110000000101011011 B" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110011110010101000000000011 0 +b11110110011110010101000000000011 M +b11110110011110010101000000000011 f +b11110110011110010101000000000011 !" +b1110 } +b1110 (" +b1110 +" +0. +1% +#3572500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3573000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b11010000100010110000000101011011 0 +b11010000100010110000000101011011 M +b11010000100010110000000101011011 f +b11010000100010110000000101011011 !" +0. +1% +#3573500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#3574000 +b11000001001001111100100000000000 { +b11000001001001111100100000000000 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#3574500 +b0 5 +b0 L +b0 W +04 +b10111101011011110111111000010110 2 +b10111101011011110111111000010110 I +b10111101011011110111111000010110 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10111101011011110111111000010110 , +b10111101011011110111111000010110 H +b1010 + +b1010 G +1- +1. +0% +#3575000 +0] +0\ +1=" +1@" +1h +0;" +b10101 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0/" +b10111101011011110111111000010110 &" +b10111101011011110111111000010110 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11000001001001111100100000000000 / +b11000001001001111100100000000000 K +b11000001001001111100100000000000 e +b11000001001001111100100000000000 "" +0. +1% +#3575010 +b10111101011011110111111000010110 M" +#3575500 +b110000001111001110111010100001 2 +b110000001111001110111010100001 I +b110000001111001110111010100001 Z +b10110 3 +b10110 J +b10110 Y +b110000001111001110111010100001 , +b110000001111001110111010100001 H +b10110 + +b10110 G +1. +0% +#3576000 +1>" +0_ +1^ +0=" +b1001 3" +1<" +1` +0c +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110000001111001110111010100001 &" +b110000001111001110111010100001 ?" +b10110 %" +b10110 *" +b10110 1" +0. +1% +#3576010 +b110000001111001110111010100001 Y" +#3576500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3577000 +1] +0s +b1101101010101001111010111011110 | +b1101101010101001111010111011110 B" +1\ +0>" +0@" +0q +15" +17" +b11111 3" +0^ +0<" +0` +b10110 -" +1y +1u +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#3577500 +14 +b10110 7 +b10110 N +b10110 V +1) +b10110 & +b10110 C +1. +0% +#3578000 +16" +b110100110101100010000101001100 { +b110100110101100010000101001100 A" +0u +1t +1;" +0r +1q +05" +1k +b1001 -" +14" +1v +0y +b110000001111001110111010100001 | +b110000001111001110111010100001 B" +1/" +1x +0w +b1101101010101001111010111011110 0 +b1101101010101001111010111011110 M +b1101101010101001111010111011110 f +b1101101010101001111010111011110 !" +1$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#3578500 +04 +b0 7 +b0 N +b0 V +06 +b11110100001110110001101001100101 2 +b11110100001110110001101001100101 I +b11110100001110110001101001100101 Z +b10 3 +b10 J +b10 Y +11 +0) +b0 & +b0 C +0( +b11110100001110110001101001100101 , +b11110100001110110001101001100101 H +b10 + +b10 G +1- +1. +0% +#3579000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0\ +1@" +0;" +1r +06" +07" +b11101 3" +1_ +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0/" +0x +0," +b11110100001110110001101001100101 &" +b11110100001110110001101001100101 ?" +b10 %" +b10 *" +b10 1" +1'" +0$" +b0 } +b0 (" +b0 +" +0#" +b110100110101100010000101001100 / +b110100110101100010000101001100 K +b110100110101100010000101001100 e +b110100110101100010000101001100 "" +b110000001111001110111010100001 0 +b110000001111001110111010100001 M +b110000001111001110111010100001 f +b110000001111001110111010100001 !" +0. +1% +#3579010 +b11110100001110110001101001100101 E" +#3579500 +b10 5 +b10 L +b10 W +14 +b11111000011111011000110010000 2 +b11111000011111011000110010000 I +b11111000011111011000110010000 Z +b10110 3 +b10110 J +b10110 Y +b10 ' +b10 D +1) +b11111000011111011000110010000 , +b11111000011111011000110010000 H +b10110 + +b10110 G +1. +0% +#3580000 +0_ +0] +b11110100001110110001101001100101 { +b11110100001110110001101001100101 A" +1>" +0h +1;" +b1001 3" +1<" +1` +1^ +b11101 0" +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111000011111011000110010000 &" +b11111000011111011000110010000 ?" +b10110 %" +b10110 *" +b10110 1" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#3580010 +b11111000011111011000110010000 Y" +#3580500 +b1 5 +b1 L +b1 W +b100 7 +b100 N +b100 V +16 +b110111100001000001010111010101 2 +b110111100001000001010111010101 I +b110111100001000001010111010101 Z +b11001 3 +b11001 J +b11001 Y +b1 ' +b1 D +b100 & +b100 C +1( +b110111100001000001010111010101 , +b110111100001000001010111010101 H +b11001 + +b11001 G +1. +0% +#3581000 +1=" +1c +0s +b10111101001010011011001010000011 | +b10111101001010011011001010000011 B" +1\ +0[ +0>" +1h +0g +16" +17" +b110 3" +0^ +b11110 0" +b11110111111011100011100101111001 { +b11110111111011100011100101111001 A" +b11011 -" +1t +1u +0b +1a +0n +1m +1," +b110111100001000001010111010101 &" +b110111100001000001010111010101 ?" +b11001 %" +b11001 *" +b11001 1" +b1 ~ +b1 )" +b1 ." +b100 } +b100 (" +b100 +" +1#" +b11110100001110110001101001100101 / +b11110100001110110001101001100101 K +b11110100001110110001101001100101 e +b11110100001110110001101001100101 "" +0. +1% +#3581010 +b110111100001000001010111010101 \" +#3581500 +b101 5 +b101 L +b101 W +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3582000 +1] +0i +b10001110110010110001100100110 { +b10001110110010110001100100110 A" +0t +b11011011101110010111100100001100 | +b11011011101110010111100100001100 B" +1[ +0=" +0@" +1:" +15" +b11111 3" +0c +0<" +0` +b11010 0" +1j +b10011 -" +1z +0a +02" +b11110111111011100011100101111001 / +b11110111111011100011100101111001 K +b11110111111011100011100101111001 e +b11110111111011100011100101111001 "" +b10111101001010011011001010000011 0 +b10111101001010011011001010000011 M +b10111101001010011011001010000011 f +b10111101001010011011001010000011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +b1100 } +b1100 (" +b1100 +" +0. +1% +#3582500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b10010001110111001001100011010001 2 +b10010001110111001001100011010001 I +b10010001110111001001100011010001 Z +b1011 3 +b1011 J +b1011 Y +11 +b11110 ' +b11110 D +b0 & +b0 C +0( +b10010001110111001001100011010001 , +b10010001110111001001100011010001 H +b1011 + +b1011 G +1- +1. +0% +#3583000 +0] +0k +0j +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1@" +0h +1g +19" +05" +06" +07" +b10100 3" +1c +1_ +b1 0" +18" +1l +1p +b10011010010110100110001101011111 { +b10011010010110100110001101011111 A" +b11111 -" +0z +0u +1b +1a +12" +1n +0m +0," +b10010001110111001001100011010001 &" +b10010001110111001001100011010001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +b10001110110010110001100100110 / +b10001110110010110001100100110 K +b10001110110010110001100100110 e +b10001110110010110001100100110 "" +b11011011101110010111100100001100 0 +b11011011101110010111100100001100 M +b11011011101110010111100100001100 f +b11011011101110010111100100001100 !" +0. +1% +#3583010 +b10010001110111001001100011010001 N" +#3583500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3584000 +1] +1i +1\ +1[ +0=" +0@" +1h +09" +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0n +0/" +b10011010010110100110001101011111 / +b10011010010110100110001101011111 K +b10011010010110100110001101011111 e +b10011010010110100110001101011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3584500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#3585000 +0s +b10111101011011110111111000010110 | +b10111101011011110111111000010110 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3585500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#3586000 +0i +b11001110010110011001010110110101 { +b11001110010110011001010110110101 A" +1s +0h +0g +1:" +1;" +1r +05" +07" +b1000 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0x +0," +b10111101011011110111111000010110 0 +b10111101011011110111111000010110 M +b10111101011011110111111000010110 f +b10111101011011110111111000010110 !" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3586500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3587000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11001110010110011001010110110101 / +b11001110010110011001010110110101 K +b11001110010110011001010110110101 e +b11001110010110011001010110110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3587500 +1. +0% +#3588000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3588500 +b1001 7 +b1001 N +b1001 V +16 +b100001111011110100001111011110 2 +b100001111011110100001111011110 I +b100001111011110100001111011110 Z +b1110 3 +b1110 J +b1110 Y +11 +b1001 & +b1001 C +1( +b100001111011110100001111011110 , +b100001111011110100001111011110 H +b1110 + +b1110 G +1- +1. +0% +#3589000 +0] +0s +b1101101010101001111010111011110 | +b1101101010101001111010111011110 B" +0\ +1=" +1>" +1@" +0q +15" +17" +b10001 3" +0^ +0c +1d +1_ +b10110 -" +1y +1u +1b +12" +1w +1," +b100001111011110100001111011110 &" +b100001111011110100001111011110 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#3589010 +b100001111011110100001111011110 Q" +#3589500 +b0 7 +b0 N +b0 V +06 +b11001000101011100000110110110001 2 +b11001000101011100000110110110001 I +b11001000101011100000110110110001 Z +b11010 3 +b11010 J +b11010 Y +b0 & +b0 C +0( +b11001000101011100000110110110001 , +b11001000101011100000110110110001 H +b11010 + +b11010 G +1. +0% +#3590000 +0_ +1c +1s +1=" +0>" +1q +05" +07" +b101 3" +1<" +1` +0d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b1101101010101001111010111011110 0 +b1101101010101001111010111011110 M +b1101101010101001111010111011110 f +b1101101010101001111010111011110 !" +b11001000101011100000110110110001 &" +b11001000101011100000110110110001 ?" +b11010 %" +b11010 *" +b11010 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3590010 +b11001000101011100000110110110001 ]" +#3590500 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3591000 +1] +0s +b10111101001010011011001010000011 | +b10111101001010011011001010000011 B" +1\ +0=" +0@" +16" +17" +b11111 3" +0c +0<" +0` +b11011 -" +1t +1u +0b +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3591500 +b0 7 +b0 N +b0 V +06 +b10111110100100011000101111001100 2 +b10111110100100011000101111001100 I +b10111110100100011000101111001100 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 & +b0 C +0( +b10111110100100011000101111001100 , +b10111110100100011000101111001100 H +b11010 + +b11010 G +1- +1. +0% +#3592000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1=" +1@" +06" +07" +b101 3" +1c +0_ +1<" +1` +b11111 -" +0t +0u +1b +12" +0," +b10111101001010011011001010000011 0 +b10111101001010011011001010000011 M +b10111101001010011011001010000011 f +b10111101001010011011001010000011 !" +b10111110100100011000101111001100 &" +b10111110100100011000101111001100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3592010 +b10111110100100011000101111001100 ]" +#3592500 +14 +b11100110110101000001100010000110 2 +b11100110110101000001100010000110 I +b11100110110101000001100010000110 Z +b10 3 +b10 J +b10 Y +1) +b11100110110101000001100010000110 , +b11100110110101000001100010000110 H +b10 + +b10 G +1. +0% +#3593000 +1_ +1] +b110100110101100010000101001100 { +b110100110101100010000101001100 A" +1@" +0=" +1;" +b11101 3" +0<" +0` +0c +1k +1/" +b11100110110101000001100010000110 &" +b11100110110101000001100010000110 ?" +b10 %" +b10 *" +b10 1" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3593010 +b11100110110101000001100010000110 E" +#3593500 +b10101 5 +b10101 L +b10101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3594000 +0k +0i +1\ +0@" +0g +1:" +b11111 3" +0_ +b1010 0" +18" +1l +1j +b1000101010000001000101001001011 { +b1000101010000001000101001001011 A" +0b +02" +1m +b110100110101100010000101001100 / +b110100110101100010000101001100 K +b110100110101100010000101001100 e +b110100110101100010000101001100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#3594500 +b11100 5 +b11100 L +b11100 W +b100011010100101110101010110101 2 +b100011010100101110101010110101 I +b100011010100101110101010110101 Z +b11101 3 +b11101 J +b11101 Y +11 +b11100 ' +b11100 D +b100011010100101110101010110101 , +b100011010100101110101010110101 H +b11101 + +b11101 G +1- +1. +0% +#3595000 +0] +0j +0[ +1=" +1>" +1@" +1g +19" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11 0" +1p +b11001110100011101111011001010011 { +b11001110100011101111011001010011 A" +1a +12" +0m +b100011010100101110101010110101 &" +b100011010100101110101010110101 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b1000101010000001000101001001011 / +b1000101010000001000101001001011 K +b1000101010000001000101001001011 e +b1000101010000001000101001001011 "" +0. +1% +#3595010 +b100011010100101110101010110101 `" +#3595500 +b11000 5 +b11000 L +b11000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3596000 +1] +1o +b10101101001000101001111011010101 { +b10101101001000101001111011010101 A" +1[ +0=" +0>" +0@" +19" +0:" +b11111 3" +0d +0<" +0` +b111 0" +0p +0a +02" +b11001110100011101111011001010011 / +b11001110100011101111011001010011 K +b11001110100011101111011001010011 e +b11001110100011101111011001010011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +0. +1% +#3596500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3597000 +1i +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0o +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10101101001000101001111011010101 / +b10101101001000101001111011010101 K +b10101101001000101001111011010101 e +b10101101001000101001111011010101 "" +0. +1% +#3597500 +b1000 7 +b1000 N +b1000 V +16 +b1000 & +b1000 C +1( +1. +0% +#3598000 +0s +b11101011101111100111111100101110 | +b11101011101111100111111100101110 B" +15" +17" +b10111 -" +1y +1u +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#3598500 +b11100 7 +b11100 N +b11100 V +b11100 & +b11100 C +1. +0% +#3599000 +0u +0y +b11001110100011101111011001010011 | +b11001110100011101111011001010011 B" +16" +b11 -" +14" +1v +1z +b11100 } +b11100 (" +b11100 +" +b11101011101111100111111100101110 0 +b11101011101111100111111100101110 M +b11101011101111100111111100101110 f +b11101011101111100111111100101110 !" +0. +1% +#3599500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +1. +0% +#3600000 +0i +b11111001000011110101101010011101 { +b11111001000011110101101010011101 A" +1s +0g +19" +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10010 0" +0j +0o +1p +1k +b11111 -" +0z +04" +0v +1m +1/" +0," +b11001110100011101111011001010011 0 +b11001110100011101111011001010011 M +b11001110100011101111011001010011 f +b11001110100011101111011001010011 !" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3600500 +b11100 5 +b11100 L +b11100 W +b11100 ' +b11100 D +1. +0% +#3601000 +0k +1g +b11 0" +18" +1l +b11001110100011101111011001010011 { +b11001110100011101111011001010011 A" +0m +b11100 ~ +b11100 )" +b11100 ." +b11111001000011110101101010011101 / +b11111001000011110101101010011101 K +b11111001000011110101101010011101 e +b11111001000011110101101010011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3601500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +1. +0% +#3602000 +1i +0s +b11001110100011101111011001010011 | +b11001110100011101111011001010011 B" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +16" +17" +b11111 0" +0p +08" +0l +b11 -" +0t +0y +1z +0u +14" +1v +0/" +1," +b11001110100011101111011001010011 / +b11001110100011101111011001010011 K +b11001110100011101111011001010011 e +b11001110100011101111011001010011 "" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#3602500 +b10001 5 +b10001 L +b10001 W +14 +b1101 7 +b1101 N +b1101 V +b11101111011100101001101100000011 2 +b11101111011100101001101100000011 I +b11101111011100101001101100000011 Z +b1110 3 +b1110 J +b1110 Y +11 +b10001 ' +b10001 D +1) +b1101 & +b1101 C +b11101111011100101001101100000011 , +b11101111011100101001101100000011 H +b1110 + +b1110 G +1- +1. +0% +#3603000 +0] +b1001010011001011110101101000000 { +b1001010011001011110101101000000 A" +1u +0\ +1=" +1>" +1@" +0g +1;" +0q +17" +b10001 3" +0^ +0c +1d +1_ +b1110 0" +0k +18" +1l +b10010 -" +04" +0v +b11111001000011110101101010011101 | +b11111001000011110101101010011101 B" +1b +12" +1m +1/" +1w +b11101111011100101001101100000011 &" +b11101111011100101001101100000011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b1101 } +b1101 (" +b1101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001110100011101111011001010011 0 +b11001110100011101111011001010011 M +b11001110100011101111011001010011 f +b11001110100011101111011001010011 !" +0. +1% +#3603010 +b11101111011100101001101100000011 Q" +#3603500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1000 & +b1000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3604000 +1] +1y +1\ +0=" +0>" +0@" +1g +0;" +1q +15" +06" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +0z +b11101011101111100111111100101110 | +b11101011101111100111111100101110 B" +0b +02" +0m +0/" +0w +b1001010011001011110101101000000 / +b1001010011001011110101101000000 K +b1001010011001011110101101000000 e +b1001010011001011110101101000000 "" +b11111001000011110101101010011101 0 +b11111001000011110101101010011101 M +b11111001000011110101101010011101 f +b11111001000011110101101010011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +0. +1% +#3604500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3605000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +07" +b11111 -" +0y +0u +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011101111100111111100101110 0 +b11101011101111100111111100101110 M +b11101011101111100111111100101110 f +b11101011101111100111111100101110 !" +0. +1% +#3605500 +b10110 5 +b10110 L +b10110 W +14 +b1110101011010000000010111000 2 +b1110101011010000000010111000 I +b1110101011010000000010111000 Z +b100 3 +b100 J +b100 Y +11 +b10110 ' +b10110 D +1) +b1110101011010000000010111000 , +b1110101011010000000010111000 H +b100 + +b100 G +1- +1. +0% +#3606000 +0] +0i +b11111000011111011000110010000 { +b11111000011111011000110010000 A" +1>" +1@" +0h +1:" +1;" +b11011 3" +1^ +1_ +b1001 0" +1j +0k +18" +1l +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110101011010000000010111000 &" +b1110101011010000000010111000 ?" +b100 %" +b100 *" +b100 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#3606010 +b1110101011010000000010111000 G" +#3606500 +b1101 5 +b1101 L +b1101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3607000 +1] +1k +0j +0>" +0@" +1h +0g +1;" +19" +b11111 3" +0^ +0_ +b10010 0" +08" +0l +1p +b11111001000011110101101010011101 { +b11111001000011110101101010011101 A" +02" +0n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +b11111000011111011000110010000 / +b11111000011111011000110010000 K +b11111000011111011000110010000 e +b11111000011111011000110010000 "" +0. +1% +#3607500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +1. +0% +#3608000 +1i +0s +b100011010100101110101010110101 | +b100011010100101110101010110101 B" +1g +09" +0:" +0;" +0q +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1w +1," +b11111001000011110101101010011101 / +b11111001000011110101101010011101 K +b11111001000011110101101010011101 e +b11111001000011110101101010011101 "" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#3608500 +b0 7 +b0 N +b0 V +06 +b10001100001011100111010111011111 2 +b10001100001011100111010111011111 I +b10001100001011100111010111011111 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 & +b0 C +0( +b10001100001011100111010111011111 , +b10001100001011100111010111011111 H +b11010 + +b11010 G +1- +1. +0% +#3609000 +0] +1s +0\ +1=" +1@" +1q +05" +06" +07" +b101 3" +1c +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0w +0," +b10001100001011100111010111011111 &" +b10001100001011100111010111011111 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100011010100101110101010110101 0 +b100011010100101110101010110101 M +b100011010100101110101010110101 f +b100011010100101110101010110101 !" +0. +1% +#3609010 +b10001100001011100111010111011111 ]" +#3609500 +b1111 7 +b1111 N +b1111 V +16 +b11001100111101101010111001000111 2 +b11001100111101101010111001000111 I +b11001100111101101010111001000111 Z +b11011 3 +b11011 J +b11011 Y +b1111 & +b1111 C +1( +b11001100111101101010111001000111 , +b11001100111101101010111001000111 H +b11011 + +b11011 G +1. +0% +#3610000 +0s +b10010010110000000000100011110111 | +b10010010110000000000100011110111 B" +0[ +0r +0q +15" +16" +17" +b100 3" +b10000 -" +0t +0y +1z +1u +1a +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001100111101101010111001000111 &" +b11001100111101101010111001000111 ?" +b11011 %" +b11011 *" +b11011 1" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#3610010 +b11001100111101101010111001000111 ^" +#3610500 +b10 5 +b10 L +b10 W +14 +b10111 7 +b10111 N +b10111 V +b1001101111001010111001111100100 2 +b1001101111001010111001111100100 I +b1001101111001010111001111100100 Z +b1000 3 +b1000 J +b1000 Y +b10 ' +b10 D +1) +b10111 & +b10111 C +b1001101111001010111001111100100 , +b1001101111001010111001111100100 H +b1000 + +b1000 G +1. +0% +#3611000 +1_ +b11100110110101000001100010000110 { +b11100110110101000001100010000110 A" +0u +1t +1\ +1[ +1@" +0h +1;" +b11001110010110011001010110110101 | +b11001110010110011001010110110101 B" +05" +16" +b10111 3" +0<" +0` +b11101 0" +1k +b1000 -" +14" +1v +0z +0b +0a +1n +1/" +b1001101111001010111001111100100 &" +b1001101111001010111001111100100 ?" +b1000 %" +b1000 *" +b1000 1" +b10 ~ +b10 )" +b10 ." +1$" +b10111 } +b10111 (" +b10111 +" +b10010010110000000000100011110111 0 +b10010010110000000000100011110111 M +b10010010110000000000100011110111 f +b10010010110000000000100011110111 !" +0. +1% +#3611010 +b1001101111001010111001111100100 K" +#3611500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11100110111100001011110000000011 2 +b11100110111100001011110000000011 I +b11100110111100001011110000000011 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11100110111100001011110000000011 , +b11100110111100001011110000000011 H +b10111 + +b10111 G +1. +0% +#3612000 +1>" +0_ +1^ +1s +0\ +0[ +0=" +1h +0;" +1r +1q +06" +07" +b1000 3" +1<" +1` +0c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +0n +0/" +0x +0w +0," +b11100110110101000001100010000110 / +b11100110110101000001100010000110 K +b11100110110101000001100010000110 e +b11100110110101000001100010000110 "" +b11001110010110011001010110110101 0 +b11001110010110011001010110110101 M +b11001110010110011001010110110101 f +b11001110010110011001010110110101 !" +b11100110111100001011110000000011 &" +b11100110111100001011110000000011 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3612010 +b11100110111100001011110000000011 Z" +#3612500 +b1111 5 +b1111 L +b1111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3613000 +1] +0i +b10010010110000000000100011110111 { +b10010010110000000000100011110111 A" +1\ +1[ +0>" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0^ +0<" +0` +b10000 0" +0j +0o +1p +1k +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3613500 +b111 5 +b111 L +b111 W +b10110 7 +b10110 N +b10110 V +16 +b11000011001010111001100010010011 2 +b11000011001010111001100010010011 I +b11000011001010111001100010010011 Z +b10 3 +b10 J +b10 Y +11 +b111 ' +b111 D +b10110 & +b10110 C +1( +b11000011001010111001100010010011 , +b11000011001010111001100010010011 H +b10 + +b10 G +1- +1. +0% +#3614000 +1j +b11111111100101100011110001 { +b11111111100101100011110001 A" +0s +b11111000011111011000110010000 | +b11111000011111011000110010000 B" +0\ +1@" +09" +1:" +0r +16" +17" +b11101 3" +1_ +b11000 0" +0p +b1001 -" +1t +0u +14" +1v +1b +12" +1x +1," +b10010010110000000000100011110111 / +b10010010110000000000100011110111 K +b10010010110000000000100011110111 e +b10010010110000000000100011110111 "" +b11000011001010111001100010010011 &" +b11000011001010111001100010010011 ?" +b10 %" +b10 *" +b10 1" +1'" +b111 ~ +b111 )" +b111 ." +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#3614010 +b11000011001010111001100010010011 E" +#3614500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3615000 +1i +1s +1\ +0@" +1h +1g +0:" +0;" +1r +06" +07" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0m +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111111100101100011110001 / +b11111111100101100011110001 K +b11111111100101100011110001 e +b11111111100101100011110001 "" +b11111000011111011000110010000 0 +b11111000011111011000110010000 M +b11111000011111011000110010000 f +b11111000011111011000110010000 !" +0. +1% +#3615500 +b11011 5 +b11011 L +b11011 W +14 +b1001011111101111000011001111111 2 +b1001011111101111000011001111111 I +b1001011111101111000011001111111 Z +b11100 3 +b11100 J +b11100 Y +11 +b11011 ' +b11011 D +1) +b1001011111101111000011001111111 , +b1001011111101111000011001111111 H +b11100 + +b11100 G +1- +1. +0% +#3616000 +0] +0i +b11001100111101101010111001000111 { +b11001100111101101010111001000111 A" +1=" +1>" +1@" +0h +0g +19" +1;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b100 0" +1o +0k +18" +1l +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001011111101111000011001111111 &" +b1001011111101111000011001111111 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#3616010 +b1001011111101111000011001111111 _" +#3616500 +b0 5 +b0 L +b0 W +04 +b10011100100000011111000011100101 2 +b10011100100000011111000011100101 I +b10011100100000011111000011100101 Z +b11011 3 +b11011 J +b11011 Y +b0 ' +b0 D +0) +b10011100100000011111000011100101 , +b10011100100000011111000011100101 H +b11011 + +b11011 G +1. +0% +#3617000 +1c +1i +0\ +0[ +1=" +0>" +1h +1g +09" +0;" +b100 3" +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +0n +0m +0/" +b10011100100000011111000011100101 &" +b10011100100000011111000011100101 ?" +b11011 %" +b11011 *" +b11011 1" +b0 ~ +b0 )" +b0 ." +0$" +b11001100111101101010111001000111 / +b11001100111101101010111001000111 K +b11001100111101101010111001000111 e +b11001100111101101010111001000111 "" +0. +1% +#3617010 +b10011100100000011111000011100101 ^" +#3617500 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3618000 +1] +b10100110010100111110110101001110 | +b10100110010100111110110101001110 B" +1\ +1[ +0=" +0@" +0r +0q +17" +b11111 3" +0c +0<" +0` +b1100 -" +0u +14" +1v +0b +0a +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#3618500 +b111 7 +b111 N +b111 V +b11101001010100100110001110000101 2 +b11101001010100100110001110000101 I +b11101001010100100110001110000101 Z +b1111 3 +b1111 J +b1111 Y +11 +b111 & +b111 C +b11101001010100100110001110000101 , +b11101001010100100110001110000101 H +b1111 + +b1111 G +1- +1. +0% +#3619000 +0] +1u +0s +0\ +0[ +1=" +1>" +1@" +17" +b11111111100101100011110001 | +b11111111100101100011110001 B" +16" +b10000 3" +0^ +0c +1d +1_ +b11000 -" +04" +0v +1t +1b +1a +12" +b11101001010100100110001110000101 &" +b11101001010100100110001110000101 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b111 } +b111 (" +b111 +" +b10100110010100111110110101001110 0 +b10100110010100111110110101001110 M +b10100110010100111110110101001110 f +b10100110010100111110110101001110 !" +0. +1% +#3619010 +b11101001010100100110001110000101 R" +#3619500 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3620000 +1] +0t +1\ +1[ +0=" +0>" +0@" +1r +1q +15" +b11111 3" +0d +0_ +b10011 -" +1z +b11011011101110010111100100001100 | +b11011011101110010111100100001100 B" +0b +0a +02" +0x +0w +b11111111100101100011110001 0 +b11111111100101100011110001 M +b11111111100101100011110001 f +b11111111100101100011110001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +0. +1% +#3620500 +b1011 5 +b1011 L +b1011 W +14 +b10111 7 +b10111 N +b10111 V +b1011 ' +b1011 D +1) +b10111 & +b10111 C +1. +0% +#3621000 +0i +b10010001110111001001100011010001 { +b10010001110111001001100011010001 A" +0u +1t +0h +0g +19" +1;" +0r +0q +05" +16" +b10100 0" +1o +1k +b1000 -" +14" +1v +0z +b11100110111100001011110000000011 | +b11100110111100001011110000000011 B" +1n +1m +1/" +1x +1w +b1011 ~ +b1011 )" +b1011 ." +1$" +b10111 } +b10111 (" +b10111 +" +b11011011101110010111100100001100 0 +b11011011101110010111100100001100 M +b11011011101110010111100100001100 f +b11011011101110010111100100001100 !" +0. +1% +#3621500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +b11100100100100111011011011100111 2 +b11100100100100111011011011100111 I +b11100100100100111011011011100111 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b11 & +b11 C +b11100100100100111011011011100111 , +b11100100100100111011011011100111 H +b1001 + +b1001 G +1- +1. +0% +#3622000 +0] +1i +1u +1s +0[ +1=" +1@" +1h +1g +09" +0;" +17" +b10101011011000011010110110111110 | +b10101011011000011010110110111110 B" +06" +b10110 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11100 -" +04" +0v +0t +1a +12" +0n +0m +0/" +b10010001110111001001100011010001 / +b10010001110111001001100011010001 K +b10010001110111001001100011010001 e +b10010001110111001001100011010001 "" +b11100110111100001011110000000011 0 +b11100110111100001011110000000011 M +b11100110111100001011110000000011 f +b11100110111100001011110000000011 !" +b11100100100100111011011011100111 &" +b11100100100100111011011011100111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +0. +1% +#3622010 +b11100100100100111011011011100111 L" +#3622500 +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3623000 +1] +0s +b11101001010100100110001110000101 | +b11101001010100100110001110000101 B" +1[ +0=" +0@" +15" +16" +b11111 3" +0c +0_ +b10000 -" +0t +0y +1z +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 } +b1111 (" +b1111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101011011000011010110110111110 0 +b10101011011000011010110110111110 M +b10101011011000011010110110111110 f +b10101011011000011010110110111110 !" +0. +1% +#3623500 +b0 7 +b0 N +b0 V +b0 & +b0 C +1. +0% +#3624000 +1s +1r +1q +05" +06" +b11111 -" +0z +b110100110101100010000101001100 | +b110100110101100010000101001100 B" +0x +0w +b11101001010100100110001110000101 0 +b11101001010100100110001110000101 M +b11101001010100100110001110000101 f +b11101001010100100110001110000101 !" +b0 } +b0 (" +b0 +" +0. +1% +#3624500 +b10 5 +b10 L +b10 W +14 +06 +b100111110010000111100011100010 2 +b100111110010000111100011100010 I +b100111110010000111100011100010 Z +b111 3 +b111 J +b111 Y +11 +b10 ' +b10 D +1) +0( +b100111110010000111100011100010 , +b100111110010000111100011100010 H +b111 + +b111 G +1- +1. +0% +#3625000 +0] +b11000011001010111001100010010011 { +b11000011001010111001100010010011 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1>" +1@" +0h +1;" +07" +b11000 3" +1^ +1_ +b11101 0" +1k +0u +1b +1a +12" +1n +1/" +0," +b100111110010000111100011100010 &" +b100111110010000111100011100010 ?" +b111 %" +b111 *" +b111 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +0#" +b110100110101100010000101001100 0 +b110100110101100010000101001100 M +b110100110101100010000101001100 f +b110100110101100010000101001100 !" +0. +1% +#3625010 +b100111110010000111100011100010 J" +#3625500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3626000 +1] +0s +b10001100001011100111010111011111 | +b10001100001011100111010111011111 B" +1\ +1[ +0>" +0@" +1h +0;" +0r +15" +17" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b101 -" +1y +0u +14" +1v +0b +0a +02" +0n +0/" +1x +1," +b11000011001010111001100010010011 / +b11000011001010111001100010010011 K +b11000011001010111001100010010011 e +b11000011001010111001100010010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#3626500 +b100 7 +b100 N +b100 V +b100 & +b100 C +1. +0% +#3627000 +16" +1u +1t +1r +17" +05" +b11011 -" +04" +0v +0y +b1110101011010000000010111000 | +b1110101011010000000010111000 B" +0x +b100 } +b100 (" +b100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001100001011100111010111011111 0 +b10001100001011100111010111011111 M +b10001100001011100111010111011111 f +b10001100001011100111010111011111 !" +0. +1% +#3627500 +b1011 7 +b1011 N +b1011 V +b1011 & +b1011 C +1. +0% +#3628000 +15" +1y +0r +0q +06" +b10100 -" +0t +b10010001110111001001100011010001 | +b10010001110111001001100011010001 B" +1x +1w +b1110101011010000000010111000 0 +b1110101011010000000010111000 M +b1110101011010000000010111000 f +b1110101011010000000010111000 !" +b1011 } +b1011 (" +b1011 +" +0. +1% +#3628500 +b11100 7 +b11100 N +b11100 V +b11100 & +b11100 C +1. +0% +#3629000 +0u +0y +1r +1q +16" +b11 -" +14" +1v +1z +b1001011111101111000011001111111 | +b1001011111101111000011001111111 B" +0x +0w +b11100 } +b11100 (" +b11100 +" +b10010001110111001001100011010001 0 +b10010001110111001001100011010001 M +b10010001110111001001100011010001 f +b10010001110111001001100011010001 !" +0. +1% +#3629500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3630000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b1001011111101111000011001111111 0 +b1001011111101111000011001111111 M +b1001011111101111000011001111111 f +b1001011111101111000011001111111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3630500 +b1 7 +b1 N +b1 V +16 +b11101101101100101001011010011 2 +b11101101101100101001011010011 I +b11101101101100101001011010011 Z +b11101 3 +b11101 J +b11101 Y +11 +b1 & +b1 C +1( +b11101101101100101001011010011 , +b11101101101100101001011010011 H +b11101 + +b11101 G +1- +1. +0% +#3631000 +0] +b11110111111011100011100101111001 | +b11110111111011100011100101111001 B" +0[ +1=" +1>" +1@" +0q +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11110 -" +1u +1a +12" +1w +1," +b11101101101100101001011010011 &" +b11101101101100101001011010011 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3631010 +b11101101101100101001011010011 `" +#3631500 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3632000 +1] +0u +0s +1[ +0=" +0>" +0@" +0r +15" +b11111 3" +0d +0<" +0` +b100 -" +14" +1v +1y +b10011100100000011111000011100101 | +b10011100100000011111000011100101 B" +0a +02" +1x +b11110111111011100011100101111001 0 +b11110111111011100011100101111001 M +b11110111111011100011100101111001 f +b11110111111011100011100101111001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +0. +1% +#3632500 +b11110 5 +b11110 L +b11110 W +14 +b1100 7 +b1100 N +b1100 V +b11110 ' +b11110 D +1) +b1100 & +b1100 C +1. +0% +#3633000 +0i +b10011010010110100110001101011111 { +b10011010010110100110001101011111 A" +1u +0y +0h +19" +1:" +1;" +1r +1q +17" +16" +b1 0" +0j +0o +1p +0k +18" +1l +b10011 -" +04" +0v +1z +b11011011101110010111100100001100 | +b11011011101110010111100100001100 B" +1n +1/" +0x +0w +b11110 ~ +b11110 )" +b11110 ." +1$" +b1100 } +b1100 (" +b1100 +" +b10011100100000011111000011100101 0 +b10011100100000011111000011100101 M +b10011100100000011111000011100101 f +b10011100100000011111000011100101 !" +0. +1% +#3633500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001101111110000110011000100100 2 +b1001101111110000110011000100100 I +b1001101111110000110011000100100 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001101111110000110011000100100 , +b1001101111110000110011000100100 H +b10011 + +b10011 G +1- +1. +0% +#3634000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1@" +1h +09" +0:" +0;" +05" +06" +07" +b1100 3" +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +0u +1b +1a +12" +0n +0/" +0," +b10011010010110100110001101011111 / +b10011010010110100110001101011111 K +b10011010010110100110001101011111 e +b10011010010110100110001101011111 "" +b11011011101110010111100100001100 0 +b11011011101110010111100100001100 M +b11011011101110010111100100001100 f +b11011011101110010111100100001100 !" +b1001101111110000110011000100100 &" +b1001101111110000110011000100100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3634010 +b1001101111110000110011000100100 V" +#3634500 +b11110111101001011000001000100 2 +b11110111101001011000001000100 I +b11110111101001011000001000100 Z +b11011 3 +b11011 J +b11011 Y +b11110111101001011000001000100 , +b11110111101001011000001000100 H +b11011 + +b11011 G +1. +0% +#3635000 +0] +1=" +b100 3" +1c +b11110111101001011000001000100 &" +b11110111101001011000001000100 ?" +b11011 %" +b11011 *" +b11011 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3635010 +b11110111101001011000001000100 ^" +#3635500 +b11000 5 +b11000 L +b11000 W +14 +b11100 7 +b11100 N +b11100 V +16 +b11011101111011001101101000101000 2 +b11011101111011001101101000101000 I +b11011101111011001101101000101000 Z +b1011 3 +b1011 J +b1011 Y +b11000 ' +b11000 D +1) +b11100 & +b11100 C +1( +b11011101111011001101101000101000 , +b11011101111011001101101000101000 H +b1011 + +b1011 G +1. +0% +#3636000 +1_ +0i +b10101101001000101001111011010101 { +b10101101001000101001111011010101 A" +0s +b1001011111101111000011001111111 | +b1001011111101111000011001111111 B" +1@" +19" +1;" +15" +16" +17" +b10100 3" +0<" +0` +b111 0" +1o +0k +18" +1l +b11 -" +0t +0y +1z +0u +14" +1v +1/" +1," +b11011101111011001101101000101000 &" +b11011101111011001101101000101000 ?" +b1011 %" +b1011 *" +b1011 1" +b11000 ~ +b11000 )" +b11000 ." +1$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#3636010 +b11011101111011001101101000101000 N" +#3636500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +06 +b1000010111011100110000100001001 2 +b1000010111011100110000100001001 I +b1000010111011100110000100001001 Z +b11001 3 +b11001 J +b11001 Y +b1111 ' +b1111 D +b0 & +b0 C +0( +b1000010111011100110000100001001 , +b1000010111011100110000100001001 H +b11001 + +b11001 G +1. +0% +#3637000 +0_ +1k +0o +1s +1\ +0h +0g +1;" +1:" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b110 3" +1<" +1` +b10000 0" +08" +0l +1p +b11101001010100100110001110000101 { +b11101001010100100110001110000101 A" +b11111 -" +0z +04" +0v +0b +1n +1m +0," +b1000010111011100110000100001001 &" +b1000010111011100110000100001001 ?" +b11001 %" +b11001 *" +b11001 1" +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0#" +b10101101001000101001111011010101 / +b10101101001000101001111011010101 K +b10101101001000101001111011010101 e +b10101101001000101001111011010101 "" +b1001011111101111000011001111111 0 +b1001011111101111000011001111111 M +b1001011111101111000011001111111 f +b1001011111101111000011001111111 !" +0. +1% +#3637010 +b1000010111011100110000100001001 \" +#3637500 +b0 5 +b0 L +b0 W +04 +b11100111111111110011011000000011 2 +b11100111111111110011011000000011 I +b11100111111111110011011000000011 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b11100111111111110011011000000011 , +b11100111111111110011011000000011 H +b11010 + +b11010 G +1. +0% +#3638000 +1i +0\ +1[ +1h +1g +09" +0:" +0;" +b101 3" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0a +0n +0m +0/" +b11101001010100100110001110000101 / +b11101001010100100110001110000101 K +b11101001010100100110001110000101 e +b11101001010100100110001110000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100111111111110011011000000011 &" +b11100111111111110011011000000011 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3638010 +b11100111111111110011011000000011 ]" +#3638500 +b11110 5 +b11110 L +b11110 W +14 +b11011101001100101111111011111100 2 +b11011101001100101111111011111100 I +b11011101001100101111111011111100 Z +b1 3 +b1 J +b1 Y +b11110 ' +b11110 D +1) +b11011101001100101111111011111100 , +b11011101001100101111111011111100 H +b1 + +b1 G +1. +0% +#3639000 +1_ +1] +0i +b10011010010110100110001101011111 { +b10011010010110100110001101011111 A" +1\ +0[ +1@" +0=" +0h +19" +1:" +1;" +b11110 3" +0<" +0` +0c +b1 0" +0j +0o +1p +0k +18" +1l +0b +1a +1n +1/" +b11011101001100101111111011111100 &" +b11011101001100101111111011111100 ?" +b1 %" +b1 *" +b1 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3639010 +b11011101001100101111111011111100 D" +#3639500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3640000 +1i +0s +b11100100100100111011011011100111 | +b11100100100100111011011011100111 B" +1[ +0@" +1h +09" +0:" +0;" +0q +15" +17" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10110 -" +1y +1u +0a +02" +0n +0/" +1w +1," +b10011010010110100110001101011111 / +b10011010010110100110001101011111 K +b10011010010110100110001101011111 e +b10011010010110100110001101011111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#3640500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3641000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100100100100111011011011100111 0 +b11100100100100111011011011100111 M +b11100100100100111011011011100111 f +b11100100100100111011011011100111 !" +0. +1% +#3641500 +b1110 5 +b1110 L +b1110 W +14 +b10011000100010101101100000111101 2 +b10011000100010101101100000111101 I +b10011000100010101101100000111101 Z +b10101 3 +b10101 J +b10101 Y +11 +b1110 ' +b1110 D +1) +b10011000100010101101100000111101 , +b10011000100010101101100000111101 H +b10101 + +b10101 G +1- +1. +0% +#3642000 +0] +0i +b11101111011100101001101100000011 { +b11101111011100101001101100000011 A" +0[ +1>" +1@" +0h +19" +1:" +1;" +b1010 3" +1^ +0_ +1<" +1` +b10001 0" +0j +0o +1p +1k +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011000100010101101100000111101 &" +b10011000100010101101100000111101 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#3642010 +b10011000100010101101100000111101 X" +#3642500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3643000 +1] +1i +0s +b11110110011110010101000000000011 | +b11110110011110010101000000000011 B" +1[ +0>" +0@" +1h +09" +0:" +0;" +16" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1011 -" +1t +0u +14" +1v +0a +02" +0n +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b11101111011100101001101100000011 / +b11101111011100101001101100000011 K +b11101111011100101001101100000011 e +b11101111011100101001101100000011 "" +0. +1% +#3643500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3644000 +1s +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0t +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110011110010101000000000011 0 +b11110110011110010101000000000011 M +b11110110011110010101000000000011 f +b11110110011110010101000000000011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3644500 +1. +0% +#3645000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3645500 +b100 5 +b100 L +b100 W +14 +b100 ' +b100 D +1) +1. +0% +#3646000 +0i +b1110101011010000000010111000 { +b1110101011010000000010111000 A" +1:" +1;" +b11011 0" +1j +1k +1/" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#3646500 +b1000 5 +b1000 L +b1000 W +b11010 7 +b11010 N +b11010 V +16 +b111101100010111101001111011111 2 +b111101100010111101001111011111 I +b111101100010111101001111011111 Z +b10 3 +b10 J +b10 Y +11 +b1000 ' +b1000 D +b11010 & +b11010 C +1( +b111101100010111101001111011111 , +b111101100010111101001111011111 H +b10 + +b10 G +1- +1. +0% +#3647000 +19" +1o +b1001101111001010111001111100100 { +b1001101111001010111001111100100 A" +0s +b11100111111111110011011000000011 | +b11100111111111110011011000000011 B" +0\ +1@" +0:" +0r +15" +17" +b11101 3" +1_ +b10111 0" +0j +b101 -" +1y +0u +14" +1v +1b +12" +1x +1," +b111101100010111101001111011111 &" +b111101100010111101001111011111 ?" +b10 %" +b10 *" +b10 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b11010 } +b11010 (" +b11010 +" +1#" +b1110101011010000000010111000 / +b1110101011010000000010111000 K +b1110101011010000000010111000 e +b1110101011010000000010111000 "" +0. +1% +#3647010 +b111101100010111101001111011111 E" +#3647500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3648000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +0@" +09" +0;" +1r +05" +07" +b11111 3" +0_ +b11111 0" +0o +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0/" +0x +0," +b1001101111001010111001111100100 / +b1001101111001010111001111100100 K +b1001101111001010111001111100100 e +b1001101111001010111001111100100 "" +b11100111111111110011011000000011 0 +b11100111111111110011011000000011 M +b11100111111111110011011000000011 f +b11100111111111110011011000000011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3648500 +b1110 7 +b1110 N +b1110 V +16 +b1110 & +b1110 C +1( +1. +0% +#3649000 +0s +b11101111011100101001101100000011 | +b11101111011100101001101100000011 B" +0r +15" +16" +17" +b10001 -" +0t +0y +1z +1u +1x +1," +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3649500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +1) +b0 & +b0 C +0( +1. +0% +#3650000 +0i +b1111111110001011001111 { +b1111111110001011001111 A" +1s +0h +1:" +1;" +1r +05" +06" +07" +b11001 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0," +b11101111011100101001101100000011 0 +b11101111011100101001101100000011 M +b11101111011100101001101100000011 f +b11101111011100101001101100000011 !" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3650500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b1110100111110111110110111110010 2 +b1110100111110111110110111110010 I +b1110100111110111110110111110010 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +b1110100111110111110110111110010 , +b1110100111110111110110111110010 H +b10100 + +b10100 G +1- +1. +0% +#3651000 +0] +1i +0s +b11111001000011110101101010011101 | +b11111001000011110101101010011101 B" +1>" +1@" +1h +0:" +0;" +0q +15" +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +0t +0y +1z +1u +12" +0n +0/" +1w +1," +b1110100111110111110110111110010 &" +b1110100111110111110110111110010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +b1111111110001011001111 / +b1111111110001011001111 K +b1111111110001011001111 e +b1111111110001011001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3651010 +b1110100111110111110110111110010 W" +#3651500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3652000 +1] +0i +b11101101101100101001011010011 { +b11101101101100101001011010011 A" +1s +0>" +0@" +0g +19" +1:" +1;" +1q +05" +06" +07" +b11111 3" +0^ +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111001000011110101101010011101 0 +b11111001000011110101101010011101 M +b11111001000011110101101010011101 f +b11111001000011110101101010011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3652500 +b0 5 +b0 L +b0 W +04 +b10011110001000010100001000010010 2 +b10011110001000010100001000010010 I +b10011110001000010100001000010010 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b10011110001000010100001000010010 , +b10011110001000010100001000010010 H +b101 + +b101 G +1- +1. +0% +#3653000 +0] +1i +0[ +1>" +1@" +1g +09" +0:" +0;" +b11010 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0m +0/" +b10011110001000010100001000010010 &" +b10011110001000010100001000010010 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101101101100101001011010011 / +b11101101101100101001011010011 K +b11101101101100101001011010011 e +b11101101101100101001011010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3653010 +b10011110001000010100001000010010 H" +#3653500 +b11110 5 +b11110 L +b11110 W +14 +b1010 7 +b1010 N +b1010 V +16 +b11000111100110111000010010111 2 +b11000111100110111000010010111 I +b11000111100110111000010010111 Z +b1110 3 +b1110 J +b1110 Y +b11110 ' +b11110 D +1) +b1010 & +b1010 C +1( +b11000111100110111000010010111 , +b11000111100110111000010010111 H +b1110 + +b1110 G +1. +0% +#3654000 +0^ +0i +b10011010010110100110001101011111 { +b10011010010110100110001101011111 A" +0s +b10111101011011110111111000010110 | +b10111101011011110111111000010110 B" +0\ +1[ +1=" +0h +19" +1:" +1;" +0r +15" +17" +b10001 3" +1d +b1 0" +0j +0o +1p +0k +18" +1l +b10101 -" +1y +1u +1b +0a +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000111100110111000010010111 &" +b11000111100110111000010010111 ?" +b1110 %" +b1110 *" +b1110 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#3654010 +b11000111100110111000010010111 Q" +#3654500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3655000 +1] +1k +1o +1s +1\ +0=" +0>" +0@" +1;" +b10111101011011110111111000010110 { +b10111101011011110111111000010110 A" +19" +0:" +1r +05" +07" +b11111 3" +0d +0_ +b10101 0" +08" +0l +0p +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +b10011010010110100110001101011111 / +b10011010010110100110001101011111 K +b10011010010110100110001101011111 e +b10011010010110100110001101011111 "" +b10111101011011110111111000010110 0 +b10111101011011110111111000010110 M +b10111101011011110111111000010110 f +b10111101011011110111111000010110 !" +0. +1% +#3655500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +1. +0% +#3656000 +1i +b1001010011001011110101101000000 | +b1001010011001011110101101000000 B" +1h +09" +0;" +0q +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +0u +14" +1v +0n +0/" +1w +1," +b10111101011011110111111000010110 / +b10111101011011110111111000010110 K +b10111101011011110111111000010110 e +b10111101011011110111111000010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#3656500 +b11101 5 +b11101 L +b11101 W +14 +b1110 7 +b1110 N +b1110 V +b11101 ' +b11101 D +1) +b1110 & +b1110 C +1. +0% +#3657000 +0i +b11101101101100101001011010011 { +b11101101101100101001011010011 A" +1u +0s +0g +19" +1:" +1;" +0r +1q +17" +15" +16" +b10 0" +0j +0o +1p +0k +18" +1l +b10001 -" +04" +0v +0t +0y +1z +b11000111100110111000010010111 | +b11000111100110111000010010111 B" +1m +1/" +1x +0w +b11101 ~ +b11101 )" +b11101 ." +1$" +b1110 } +b1110 (" +b1110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001010011001011110101101000000 0 +b1001010011001011110101101000000 M +b1001010011001011110101101000000 f +b1001010011001011110101101000000 !" +0. +1% +#3657500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b101000111010100110110001111 2 +b101000111010100110110001111 I +b101000111010100110110001111 Z +b1 3 +b1 J +b1 Y +11 +b110 ' +b110 D +b0 & +b0 C +0( +b101000111010100110110001111 , +b101000111010100110110001111 H +b1 + +b1 G +1- +1. +0% +#3658000 +1k +1j +1s +0[ +1@" +0h +1g +1;" +09" +1:" +1r +05" +06" +07" +b11110 3" +1_ +b11001 0" +08" +0l +0p +b1111111110001011001111 { +b1111111110001011001111 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1n +0m +0x +0," +b11101101101100101001011010011 / +b11101101101100101001011010011 K +b11101101101100101001011010011 e +b11101101101100101001011010011 "" +b11000111100110111000010010111 0 +b11000111100110111000010010111 M +b11000111100110111000010010111 f +b11000111100110111000010010111 !" +b101000111010100110110001111 &" +b101000111010100110110001111 ?" +b1 %" +b1 *" +b1 1" +1'" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3658010 +b101000111010100110110001111 D" +#3658500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3659000 +1i +1[ +0@" +1h +0:" +0;" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111111110001011001111 / +b1111111110001011001111 K +b1111111110001011001111 e +b1111111110001011001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3659500 +b11011011110111110000110010010110 2 +b11011011110111110000110010010110 I +b11011011110111110000110010010110 Z +b1010 3 +b1010 J +b1010 Y +11 +b11011011110111110000110010010110 , +b11011011110111110000110010010110 H +b1010 + +b1010 G +1- +1. +0% +#3660000 +0] +0\ +1=" +1@" +b10101 3" +1c +1_ +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011011110111110000110010010110 &" +b11011011110111110000110010010110 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +0. +1% +#3660010 +b11011011110111110000110010010110 M" +#3660500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3661000 +1] +1\ +0=" +0@" +b11111 3" +0c +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3661500 +b1101 7 +b1101 N +b1101 V +16 +b1011101011111011010111001011111 2 +b1011101011111011010111001011111 I +b1011101011111011010111001011111 Z +b110 3 +b110 J +b110 Y +11 +b1101 & +b1101 C +1( +b1011101011111011010111001011111 , +b1011101011111011010111001011111 H +b110 + +b110 G +1- +1. +0% +#3662000 +0] +0s +b11111001000011110101101010011101 | +b11111001000011110101101010011101 B" +0\ +1>" +1@" +0q +15" +16" +17" +b11001 3" +1^ +1_ +b10010 -" +0t +0y +1z +1u +1b +12" +1w +1," +b1011101011111011010111001011111 &" +b1011101011111011010111001011111 ?" +b110 %" +b110 *" +b110 1" +1'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#3662010 +b1011101011111011010111001011111 I" +#3662500 +b0 7 +b0 N +b0 V +06 +b11000111000000101001111100101011 2 +b11000111000000101001111100101011 I +b11000111000000101001111100101011 Z +b100 3 +b100 J +b100 Y +b0 & +b0 C +0( +b11000111000000101001111100101011 , +b11000111000000101001111100101011 H +b100 + +b100 G +1. +0% +#3663000 +1s +1\ +1q +05" +06" +07" +b11011 3" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0w +0," +b11000111000000101001111100101011 &" +b11000111000000101001111100101011 ?" +b100 %" +b100 *" +b100 1" +b0 } +b0 (" +b0 +" +0#" +b11111001000011110101101010011101 0 +b11111001000011110101101010011101 M +b11111001000011110101101010011101 f +b11111001000011110101101010011101 !" +0. +1% +#3663010 +b11000111000000101001111100101011 G" +#3663500 +b100 5 +b100 L +b100 W +14 +b1111000010000100001010101100111 2 +b1111000010000100001010101100111 I +b1111000010000100001010101100111 Z +b11110 3 +b11110 J +b11110 Y +b100 ' +b100 D +1) +b1111000010000100001010101100111 , +b1111000010000100001010101100111 H +b11110 + +b11110 G +1. +0% +#3664000 +0_ +0^ +0i +b11000111000000101001111100101011 { +b11000111000000101001111100101011 A" +0\ +1=" +1:" +1;" +b1 3" +1<" +1` +1d +b11011 0" +1j +1k +1b +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111000010000100001010101100111 &" +b1111000010000100001010101100111 ?" +b11110 %" +b11110 *" +b11110 1" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#3664010 +b1111000010000100001010101100111 a" +#3664500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b1010100011111010011011001000000 2 +b1010100011111010011011001000000 I +b1010100011111010011011001000000 Z +b10001 3 +b10001 J +b10001 Y +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +b1010100011111010011011001000000 , +b1010100011111010011011001000000 H +b10001 + +b10001 G +1. +0% +#3665000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11000111100110111000010010111 | +b11000111100110111000010010111 B" +1\ +0[ +0=" +0>" +0:" +0;" +0r +15" +16" +17" +b1110 3" +0d +b11111 0" +0j +0k +b10001 -" +0t +0y +1z +1u +0b +1a +0/" +1x +1," +b1010100011111010011011001000000 &" +b1010100011111010011011001000000 ?" +b10001 %" +b10001 *" +b10001 1" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +b11000111000000101001111100101011 / +b11000111000000101001111100101011 K +b11000111000000101001111100101011 e +b11000111000000101001111100101011 "" +0. +1% +#3665010 +b1010100011111010011011001000000 T" +#3665500 +b11010 7 +b11010 N +b11010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3666000 +0u +1y +1[ +0@" +b11100111111111110011011000000011 | +b11100111111111110011011000000011 B" +15" +06" +b11111 3" +0<" +0` +b101 -" +14" +1v +0z +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000111100110111000010010111 0 +b11000111100110111000010010111 M +b11000111100110111000010010111 f +b11000111100110111000010010111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +0. +1% +#3666500 +b0 7 +b0 N +b0 V +06 +b10010010101000001110101001001111 2 +b10010010101000001110101001001111 I +b10010010101000001110101001001111 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 & +b0 C +0( +b10010010101000001110101001001111 , +b10010010101000001110101001001111 H +b10101 + +b10101 G +1- +1. +0% +#3667000 +0] +1s +0[ +1>" +1@" +1r +05" +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0," +b10010010101000001110101001001111 &" +b10010010101000001110101001001111 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11100111111111110011011000000011 0 +b11100111111111110011011000000011 M +b11100111111111110011011000000011 f +b11100111111111110011011000000011 !" +0. +1% +#3667010 +b10010010101000001110101001001111 X" +#3667500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3668000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3668500 +b10001101011000111000000010011001 2 +b10001101011000111000000010011001 I +b10001101011000111000000010011001 Z +b1010 3 +b1010 J +b1010 Y +11 +b10001101011000111000000010011001 , +b10001101011000111000000010011001 H +b1010 + +b1010 G +1- +1. +0% +#3669000 +0] +0\ +1=" +1@" +b10101 3" +1c +1_ +1b +12" +b10001101011000111000000010011001 &" +b10001101011000111000000010011001 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +0. +1% +#3669010 +b10001101011000111000000010011001 M" +#3669500 +b100 5 +b100 L +b100 W +14 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3670000 +1] +0i +b11000111000000101001111100101011 { +b11000111000000101001111100101011 A" +0s +b11101101101100101001011010011 | +b11101101101100101001011010011 B" +1\ +0=" +0@" +1:" +1;" +0q +15" +16" +17" +b11111 3" +0c +0_ +b11011 0" +1j +1k +b10 -" +0t +0y +1z +0u +14" +1v +0b +02" +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#3670500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101011110001010111110100010001 2 +b10101011110001010111110100010001 I +b10101011110001010111110100010001 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101011110001010111110100010001 , +b10101011110001010111110100010001 H +b10111 + +b10111 G +1- +1. +0% +#3671000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0\ +0[ +1>" +1@" +0:" +0;" +1q +05" +06" +07" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0j +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0/" +0w +0," +b10101011110001010111110100010001 &" +b10101011110001010111110100010001 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000111000000101001111100101011 / +b11000111000000101001111100101011 K +b11000111000000101001111100101011 e +b11000111000000101001111100101011 "" +b11101101101100101001011010011 0 +b11101101101100101001011010011 M +b11101101101100101001011010011 f +b11101101101100101001011010011 !" +0. +1% +#3671010 +b10101011110001010111110100010001 Z" +#3671500 +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3672000 +1] +b110100110101100010000101001100 { +b110100110101100010000101001100 A" +1\ +1[ +0>" +0@" +1;" +b11111 3" +0^ +0<" +0` +1k +0b +0a +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +0. +1% +#3672500 +04 +b11110001110010100101110100100100 2 +b11110001110010100101110100100100 I +b11110001110010100101110100100100 Z +b10110 3 +b10110 J +b10110 Y +11 +0) +b11110001110010100101110100100100 , +b11110001110010100101110100100100 H +b10110 + +b10110 G +1- +1. +0% +#3673000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +1>" +1@" +0;" +b1001 3" +1^ +0_ +1<" +1` +0k +1b +12" +0/" +b11110001110010100101110100100100 &" +b11110001110010100101110100100100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +0$" +b110100110101100010000101001100 / +b110100110101100010000101001100 K +b110100110101100010000101001100 e +b110100110101100010000101001100 "" +0. +1% +#3673010 +b11110001110010100101110100100100 Y" +#3673500 +b1001 5 +b1001 L +b1001 W +14 +b11101 7 +b11101 N +b11101 V +16 +b10010001000001011101000110111100 2 +b10010001000001011101000110111100 I +b10010001000001011101000110111100 Z +b10 3 +b10 J +b10 Y +b1001 ' +b1001 D +1) +b11101 & +b11101 C +1( +b10010001000001011101000110111100 , +b10010001000001011101000110111100 H +b10 + +b10 G +1. +0% +#3674000 +1_ +1] +0i +b11100100100100111011011011100111 { +b11100100100100111011011011100111 A" +0s +b11101101101100101001011010011 | +b11101101101100101001011010011 B" +1@" +0>" +0g +19" +1;" +0q +15" +16" +17" +b11101 3" +0<" +0` +0^ +b10110 0" +1o +1k +b10 -" +0t +0y +1z +0u +14" +1v +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010001000001011101000110111100 &" +b10010001000001011101000110111100 ?" +b10 %" +b10 *" +b10 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#3674010 +b10010001000001011101000110111100 E" +#3674500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001010000100100001111011001110 2 +b1001010000100100001111011001110 I +b1001010000100100001111011001110 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001010000100100001111011001110 , +b1001010000100100001111011001110 H +b10000 + +b10000 G +1. +0% +#3675000 +0_ +1i +1s +1\ +1g +09" +0;" +1q +05" +06" +07" +b1111 3" +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0m +0/" +0w +0," +b1001010000100100001111011001110 &" +b1001010000100100001111011001110 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11100100100100111011011011100111 / +b11100100100100111011011011100111 K +b11100100100100111011011011100111 e +b11100100100100111011011011100111 "" +b11101101101100101001011010011 0 +b11101101101100101001011010011 M +b11101101101100101001011010011 f +b11101101101100101001011010011 !" +0. +1% +#3675010 +b1001010000100100001111011001110 S" +#3675500 +b1010 5 +b1010 L +b1010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3676000 +0i +b10001101011000111000000010011001 { +b10001101011000111000000010011001 A" +0@" +0h +19" +1;" +b11111 3" +0<" +0` +b10101 0" +1o +1k +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#3676500 +b1001 5 +b1001 L +b1001 W +b1001 ' +b1001 D +1. +0% +#3677000 +1h +0g +b10110 0" +b11100100100100111011011011100111 { +b11100100100100111011011011100111 A" +0n +1m +b1001 ~ +b1001 )" +b1001 ." +b10001101011000111000000010011001 / +b10001101011000111000000010011001 K +b10001101011000111000000010011001 e +b10001101011000111000000010011001 "" +0. +1% +#3677500 +b0 5 +b0 L +b0 W +04 +b100110111001110011011101101100 2 +b100110111001110011011101101100 I +b100110111001110011011101101100 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b100110111001110011011101101100 , +b100110111001110011011101101100 H +b11000 + +b11000 G +1- +1. +0% +#3678000 +0] +1i +1=" +1@" +1g +09" +0;" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b11100100100100111011011011100111 / +b11100100100100111011011011100111 K +b11100100100100111011011011100111 e +b11100100100100111011011011100111 "" +b100110111001110011011101101100 &" +b100110111001110011011101101100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3678010 +b100110111001110011011101101100 [" +#3678500 +b10110 5 +b10110 L +b10110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3679000 +1] +0i +b11110001110010100101110100100100 { +b11110001110010100101110100100100 A" +0=" +0@" +0h +1:" +1;" +b11111 3" +0c +0<" +0` +b1001 0" +1j +0k +18" +1l +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3679500 +b0 5 +b0 L +b0 W +04 +b10110101111011001100001110000100 2 +b10110101111011001100001110000100 I +b10110101111011001100001110000100 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b10110101111011001100001110000100 , +b10110101111011001100001110000100 H +b10100 + +b10100 G +1- +1. +0% +#3680000 +0] +1i +1>" +1@" +1h +0:" +0;" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0/" +b11110001110010100101110100100100 / +b11110001110010100101110100100100 K +b11110001110010100101110100100100 e +b11110001110010100101110100100100 "" +b10110101111011001100001110000100 &" +b10110101111011001100001110000100 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3680010 +b10110101111011001100001110000100 W" +#3680500 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3681000 +1] +0s +b100111110010000111100011100010 | +b100111110010000111100011100010 B" +0>" +0@" +0r +0q +16" +17" +b11111 3" +0^ +0<" +0` +b11000 -" +1t +1u +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3681500 +b11101 7 +b11101 N +b11101 V +b10100010001111001110000110000111 2 +b10100010001111001110000110000111 I +b10100010001111001110000110000111 Z +b111 3 +b111 J +b111 Y +11 +b11101 & +b11101 C +b10100010001111001110000110000111 , +b10100010001111001110000110000111 H +b111 + +b111 G +1- +1. +0% +#3682000 +0] +0u +0t +0\ +0[ +1>" +1@" +1r +15" +b11000 3" +1^ +1_ +b10 -" +14" +1v +1z +b11101101101100101001011010011 | +b11101101101100101001011010011 B" +1b +1a +12" +0x +b100111110010000111100011100010 0 +b100111110010000111100011100010 M +b100111110010000111100011100010 f +b100111110010000111100011100010 !" +b10100010001111001110000110000111 &" +b10100010001111001110000110000111 ?" +b111 %" +b111 *" +b111 1" +1'" +b11101 } +b11101 (" +b11101 +" +0. +1% +#3682010 +b10100010001111001110000110000111 J" +#3682500 +b1011 5 +b1011 L +b1011 W +14 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3683000 +1] +0i +b11011101111011001101101000101000 { +b11011101111011001101101000101000 A" +1t +1\ +1[ +0>" +0@" +0h +0g +19" +1;" +0r +05" +16" +b11111 3" +0^ +0_ +b10100 0" +1o +1k +b1000 -" +0z +b10101011110001010111110100010001 | +b10101011110001010111110100010001 B" +0b +0a +02" +1n +1m +1/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b10111 } +b10111 (" +b10111 +" +b11101101101100101001011010011 0 +b11101101101100101001011010011 M +b11101101101100101001011010011 f +b11101101101100101001011010011 !" +0. +1% +#3683500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +b0 ' +b0 D +0) +b11001 & +b11001 C +1. +0% +#3684000 +15" +1i +1y +1h +1g +09" +0;" +1r +06" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +0t +b1000010111011100110000100001001 | +b1000010111011100110000100001001 B" +0n +0m +0/" +0x +b11011101111011001101101000101000 / +b11011101111011001101101000101000 K +b11011101111011001101101000101000 e +b11011101111011001101101000101000 "" +b10101011110001010111110100010001 0 +b10101011110001010111110100010001 M +b10101011110001010111110100010001 f +b10101011110001010111110100010001 !" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#3684500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b10000011000010011100010001000101 2 +b10000011000010011100010001000101 I +b10000011000010011100010001000101 Z +b10110 3 +b10110 J +b10110 Y +11 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b10000011000010011100010001000101 , +b10000011000010011100010001000101 H +b10110 + +b10110 G +1- +1. +0% +#3685000 +0] +0i +b1111000010000100001010101100111 { +b1111000010000100001010101100111 A" +1s +0\ +1>" +1@" +0h +19" +1:" +1;" +1q +05" +07" +b1001 3" +1^ +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1n +1/" +0w +0," +b10000011000010011100010001000101 &" +b10000011000010011100010001000101 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000010111011100110000100001001 0 +b1000010111011100110000100001001 M +b1000010111011100110000100001001 f +b1000010111011100110000100001001 !" +0. +1% +#3685010 +b10000011000010011100010001000101 Y" +#3685500 +b0 5 +b0 L +b0 W +04 +b11010010110001101100001110011 2 +b11010010110001101100001110011 I +b11010010110001101100001110011 Z +b10001 3 +b10001 J +b10001 Y +b0 ' +b0 D +0) +b11010010110001101100001110011 , +b11010010110001101100001110011 H +b10001 + +b10001 G +1. +0% +#3686000 +1] +1i +1\ +0[ +0>" +1h +09" +0:" +0;" +b1110 3" +0^ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +1a +0n +0/" +b1111000010000100001010101100111 / +b1111000010000100001010101100111 K +b1111000010000100001010101100111 e +b1111000010000100001010101100111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010010110001101100001110011 &" +b11010010110001101100001110011 ?" +b10001 %" +b10001 *" +b10001 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3686010 +b11010010110001101100001110011 T" +#3686500 +b11111 5 +b11111 L +b11111 W +14 +b1010 7 +b1010 N +b1010 V +16 +b11101110101011000100100100000100 2 +b11101110101011000100100100000100 I +b11101110101011000100100100000100 Z +b1001 3 +b1001 J +b1001 Y +b11111 ' +b11111 D +1) +b1010 & +b1010 C +1( +b11101110101011000100100100000100 , +b11101110101011000100100100000100 H +b1001 + +b1001 G +1. +0% +#3687000 +1_ +0] +0i +b11101010111011000001001001111101 { +b11101010111011000001001001111101 A" +0s +b10001101011000111000000010011001 | +b10001101011000111000000010011001 B" +1@" +1=" +0h +0g +19" +1:" +1;" +0r +15" +17" +b10110 3" +0<" +0` +1c +b0 0" +0j +0o +1p +0k +18" +1l +b10101 -" +1y +1u +1n +1m +1/" +1x +1," +b11101110101011000100100100000100 &" +b11101110101011000100100100000100 ?" +b1001 %" +b1001 *" +b1001 1" +b11111 ~ +b11111 )" +b11111 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3687010 +b11101110101011000100100100000100 L" +#3687500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3688000 +1] +1o +b11110111101001011000001000100 { +b11110111101001011000001000100 A" +1s +1[ +0=" +0@" +19" +0:" +1r +05" +b11111 3" +0c +0_ +b100 0" +0p +b11111 -" +0y +b110100110101100010000101001100 | +b110100110101100010000101001100 B" +0a +02" +0x +b11101010111011000001001001111101 / +b11101010111011000001001001111101 K +b11101010111011000001001001111101 e +b11101010111011000001001001111101 "" +b10001101011000111000000010011001 0 +b10001101011000111000000010011001 M +b10001101011000111000000010011001 f +b10001101011000111000000010011001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0. +1% +#3688500 +b0 5 +b0 L +b0 W +04 +06 +b11011011111011111010100001101000 2 +b11011011111011111010100001101000 I +b11011011111011111010100001101000 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +0( +b11011011111011111010100001101000 , +b11011011111011111010100001101000 H +b10011 + +b10011 G +1- +1. +0% +#3689000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1@" +1h +1g +09" +0;" +07" +b1100 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0u +1b +1a +12" +0n +0m +0/" +0," +b11011011111011111010100001101000 &" +b11011011111011111010100001101000 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0#" +b11110111101001011000001000100 / +b11110111101001011000001000100 K +b11110111101001011000001000100 e +b11110111101001011000001000100 "" +b110100110101100010000101001100 0 +b110100110101100010000101001100 M +b110100110101100010000101001100 f +b110100110101100010000101001100 !" +0. +1% +#3689010 +b11011011111011111010100001101000 V" +#3689500 +b1101 5 +b1101 L +b1101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3690000 +0i +b11111001000011110101101010011101 { +b11111001000011110101101010011101 A" +1\ +1[ +0@" +0g +19" +1:" +1;" +b11111 3" +0<" +0` +b10010 0" +0j +0o +1p +1k +0b +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#3690500 +b10001 5 +b10001 L +b10001 W +b11111 7 +b11111 N +b11111 V +16 +b10001 ' +b10001 D +b11111 & +b11111 C +1( +1. +0% +#3691000 +0k +1i +0s +b11101010111011000001001001111101 | +b11101010111011000001001001111101 B" +b11010010110001101100001110011 { +b11010010110001101100001110011 A" +09" +0:" +0r +0q +15" +16" +17" +b1110 0" +18" +1l +0p +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b10001 ~ +b10001 )" +b10001 ." +b11111 } +b11111 (" +b11111 +" +1#" +b11111001000011110101101010011101 / +b11111001000011110101101010011101 K +b11111001000011110101101010011101 e +b11111001000011110101101010011101 "" +0. +1% +#3691500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +b0 & +b0 C +0( +1. +0% +#3692000 +1k +0i +1s +1g +1;" +1:" +1r +1q +05" +06" +07" +b11011 0" +08" +0l +1j +b11000111000000101001111100101011 { +b11000111000000101001111100101011 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0x +0w +0," +b11010010110001101100001110011 / +b11010010110001101100001110011 K +b11010010110001101100001110011 e +b11010010110001101100001110011 "" +b11101010111011000001001001111101 0 +b11101010111011000001001001111101 M +b11101010111011000001001001111101 f +b11101010111011000001001001111101 !" +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3692500 +b10110 5 +b10110 L +b10110 W +b111 7 +b111 N +b111 V +16 +b10110 ' +b10110 D +b111 & +b111 C +1( +1. +0% +#3693000 +0k +0s +b10100010001111001110000110000111 | +b10100010001111001110000110000111 B" +0h +0r +0q +16" +17" +b1001 0" +18" +1l +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +b11000 -" +1t +1u +1n +1x +1w +1," +b10110 ~ +b10110 )" +b10110 ." +b111 } +b111 (" +b111 +" +1#" +b11000111000000101001111100101011 / +b11000111000000101001111100101011 K +b11000111000000101001111100101011 e +b11000111000000101001111100101011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3693500 +b10000 5 +b10000 L +b10000 W +b0 7 +b0 N +b0 V +06 +b10000 ' +b10000 D +b0 & +b0 C +0( +1. +0% +#3694000 +1i +1s +1h +0:" +1r +1q +06" +07" +b1111 0" +0j +b1001010000100100001111011001110 { +b1001010000100100001111011001110 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0x +0w +0," +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b10100010001111001110000110000111 0 +b10100010001111001110000110000111 M +b10100010001111001110000110000111 f +b10100010001111001110000110000111 !" +b10000 ~ +b10000 )" +b10000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3694500 +b0 5 +b0 L +b0 W +04 +b100101110101100101100010000110 2 +b100101110101100101100010000110 I +b100101110101100101100010000110 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b100101110101100101100010000110 , +b100101110101100101100010000110 H +b11 + +b11 G +1- +1. +0% +#3695000 +0\ +0[ +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11100 3" +1_ +b11111 0" +08" +0l +1b +1a +12" +0/" +b100101110101100101100010000110 &" +b100101110101100101100010000110 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1001010000100100001111011001110 / +b1001010000100100001111011001110 K +b1001010000100100001111011001110 e +b1001010000100100001111011001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3695010 +b100101110101100101100010000110 F" +#3695500 +b10011101011101111110101011000110 2 +b10011101011101111110101011000110 I +b10011101011101111110101011000110 Z +b11010 3 +b11010 J +b11010 Y +b10011101011101111110101011000110 , +b10011101011101111110101011000110 H +b11010 + +b11010 G +1. +0% +#3696000 +0_ +0] +1[ +1=" +b101 3" +1<" +1` +1c +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011101011101111110101011000110 &" +b10011101011101111110101011000110 ?" +b11010 %" +b11010 *" +b11010 1" +0. +1% +#3696010 +b10011101011101111110101011000110 ]" +#3696500 +b11110 5 +b11110 L +b11110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3697000 +1] +0i +b1111000010000100001010101100111 { +b1111000010000100001010101100111 A" +1\ +0=" +0@" +0h +19" +1:" +1;" +b11111 3" +0c +0<" +0` +b1 0" +0j +0o +1p +0k +18" +1l +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#3697500 +b1001 5 +b1001 L +b1001 W +16 +b1001 ' +b1001 D +1( +1. +0% +#3698000 +1k +1o +b110100110101100010000101001100 | +b110100110101100010000101001100 B" +1h +0g +1;" +19" +0:" +17" +b10110 0" +08" +0l +0p +b11101110101011000100100100000100 { +b11101110101011000100100100000100 A" +1u +0n +1m +1," +b1111000010000100001010101100111 / +b1111000010000100001010101100111 K +b1111000010000100001010101100111 e +b1111000010000100001010101100111 "" +b1001 ~ +b1001 )" +b1001 ." +1#" +0. +1% +#3698500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 ' +b0 D +0) +b10010 & +b10010 C +1. +0% +#3699000 +1i +0u +1g +09" +0;" +0r +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +14" +1v +b11000001001001111100100000000000 | +b11000001001001111100100000000000 B" +0m +0/" +1x +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +b11101110101011000100100100000100 / +b11101110101011000100100100000100 K +b11101110101011000100100100000100 e +b11101110101011000100100100000100 "" +b110100110101100010000101001100 0 +b110100110101100010000101001100 M +b110100110101100010000101001100 f +b110100110101100010000101001100 !" +0. +1% +#3699500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3700000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000001001001111100100000000000 0 +b11000001001001111100100000000000 M +b11000001001001111100100000000000 f +b11000001001001111100100000000000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3700500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#3701000 +0s +b11110111101001011000001000100 | +b11110111101001011000001000100 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3701500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b1111111110111100000010100111011 2 +b1111111110111100000010100111011 I +b1111111110111100000010100111011 Z +b1111 3 +b1111 J +b1111 Y +11 +b11 ' +b11 D +1) +b0 & +b0 C +0( +b1111111110111100000010100111011 , +b1111111110111100000010100111011 H +b1111 + +b1111 G +1- +1. +0% +#3702000 +0] +b100101110101100101100010000110 { +b100101110101100101100010000110 A" +1s +0\ +0[ +1=" +1>" +1@" +0h +0g +1;" +1r +1q +05" +07" +b10000 3" +0^ +0c +1d +1_ +b11100 0" +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1m +1/" +0x +0w +0," +b11110111101001011000001000100 0 +b11110111101001011000001000100 M +b11110111101001011000001000100 f +b11110111101001011000001000100 !" +b1111111110111100000010100111011 &" +b1111111110111100000010100111011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3702010 +b1111111110111100000010100111011 R" +#3702500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3703000 +1] +1\ +1[ +0=" +0>" +0@" +1h +1g +0;" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b100101110101100101100010000110 / +b100101110101100101100010000110 K +b100101110101100101100010000110 e +b100101110101100101100010000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3703500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#3704000 +0i +b10100010001111001110000110000111 { +b10100010001111001110000110000111 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#3704500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3705000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10100010001111001110000110000111 / +b10100010001111001110000110000111 K +b10100010001111001110000110000111 e +b10100010001111001110000110000111 "" +0. +1% +#3705500 +1. +0% +#3706000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3706500 +b100 5 +b100 L +b100 W +14 +b110000100011001000111101011001 2 +b110000100011001000111101011001 I +b110000100011001000111101011001 Z +b1111 3 +b1111 J +b1111 Y +11 +b100 ' +b100 D +1) +b110000100011001000111101011001 , +b110000100011001000111101011001 H +b1111 + +b1111 G +1- +1. +0% +#3707000 +0] +0i +b11000111000000101001111100101011 { +b11000111000000101001111100101011 A" +0\ +0[ +1=" +1>" +1@" +1:" +1;" +b10000 3" +0^ +0c +1d +1_ +b11011 0" +1j +1k +1b +1a +12" +1/" +b110000100011001000111101011001 &" +b110000100011001000111101011001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#3707010 +b110000100011001000111101011001 R" +#3707500 +b0 5 +b0 L +b0 W +04 +b10000000010010000100011101110011 2 +b10000000010010000100011101110011 I +b10000000010010000100011101110011 Z +b11101 3 +b11101 J +b11101 Y +b0 ' +b0 D +0) +b10000000010010000100011101110011 , +b10000000010010000100011101110011 H +b11101 + +b11101 G +1. +0% +#3708000 +0_ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +0:" +0;" +b10 3" +1<" +1` +b11111 0" +0j +0k +0b +0/" +b11000111000000101001111100101011 / +b11000111000000101001111100101011 K +b11000111000000101001111100101011 e +b11000111000000101001111100101011 "" +b10000000010010000100011101110011 &" +b10000000010010000100011101110011 ?" +b11101 %" +b11101 *" +b11101 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3708010 +b10000000010010000100011101110011 `" +#3708500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3709000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3709500 +14 +1) +1. +0% +#3710000 +b110100110101100010000101001100 { +b110100110101100010000101001100 A" +1;" +1k +1/" +1$" +0. +1% +#3710500 +04 +0) +1. +0% +#3711000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +0$" +b110100110101100010000101001100 / +b110100110101100010000101001100 K +b110100110101100010000101001100 e +b110100110101100010000101001100 "" +0. +1% +#3711500 +b11000 5 +b11000 L +b11000 W +14 +b11000 ' +b11000 D +1) +1. +0% +#3712000 +0i +b100110111001110011011101101100 { +b100110111001110011011101101100 A" +19" +1;" +b111 0" +1o +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#3712500 +b10110 5 +b10110 L +b10110 W +b10110 ' +b10110 D +1. +0% +#3713000 +1:" +1j +0h +09" +b1001 0" +0o +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +1n +b10110 ~ +b10110 )" +b10110 ." +b100110111001110011011101101100 / +b100110111001110011011101101100 K +b100110111001110011011101101100 e +b100110111001110011011101101100 "" +0. +1% +#3713500 +b11111 5 +b11111 L +b11111 W +b11101010010110100011110000011110 2 +b11101010010110100011110000011110 I +b11101010010110100011110000011110 Z +b11100 3 +b11100 J +b11100 Y +11 +b11111 ' +b11111 D +b11101010010110100011110000011110 , +b11101010010110100011110000011110 H +b11100 + +b11100 G +1- +1. +0% +#3714000 +0] +0j +1=" +1>" +1@" +0g +19" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b0 0" +1p +b11101010111011000001001001111101 { +b11101010111011000001001001111101 A" +12" +1m +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b11101010010110100011110000011110 &" +b11101010010110100011110000011110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +0. +1% +#3714010 +b11101010010110100011110000011110 _" +#3714500 +b1000 5 +b1000 L +b1000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3715000 +1] +1k +1o +0=" +0>" +0@" +1h +1g +1;" +19" +0:" +b11111 3" +0d +0<" +0` +b10111 0" +08" +0l +0p +b1001101111001010111001111100100 { +b1001101111001010111001111100100 A" +02" +0n +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +b11101010111011000001001001111101 / +b11101010111011000001001001111101 K +b11101010111011000001001001111101 e +b11101010111011000001001001111101 "" +0. +1% +#3715500 +b1010 5 +b1010 L +b1010 W +b1010 ' +b1010 D +1. +0% +#3716000 +0h +b10101 0" +b10001101011000111000000010011001 { +b10001101011000111000000010011001 A" +1n +b1001101111001010111001111100100 / +b1001101111001010111001111100100 K +b1001101111001010111001111100100 e +b1001101111001010111001111100100 "" +b1010 ~ +b1010 )" +b1010 ." +0. +1% +#3716500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3717000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10001101011000111000000010011001 / +b10001101011000111000000010011001 K +b10001101011000111000000010011001 e +b10001101011000111000000010011001 "" +0. +1% +#3717500 +b11000 7 +b11000 N +b11000 V +16 +b11000 & +b11000 C +1( +1. +0% +#3718000 +0s +b100110111001110011011101101100 | +b100110111001110011011101101100 B" +15" +17" +b111 -" +1y +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#3718500 +b0 7 +b0 N +b0 V +06 +b10010101110000101100010010110001 2 +b10010101110000101100010010110001 I +b10010101110000101100010010110001 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 & +b0 C +0( +b10010101110000101100010010110001 , +b10010101110000101100010010110001 H +b11100 + +b11100 G +1- +1. +0% +#3719000 +0] +1s +1=" +1>" +1@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0y +04" +0v +12" +0," +b10010101110000101100010010110001 &" +b10010101110000101100010010110001 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b100110111001110011011101101100 0 +b100110111001110011011101101100 M +b100110111001110011011101101100 f +b100110111001110011011101101100 !" +0. +1% +#3719010 +b10010101110000101100010010110001 _" +#3719500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3720000 +1] +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3720500 +b10111 5 +b10111 L +b10111 W +14 +b10111 ' +b10111 D +1) +1. +0% +#3721000 +0i +b10101011110001010111110100010001 { +b10101011110001010111110100010001 A" +0h +0g +1:" +1;" +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#3721500 +b1101 5 +b1101 L +b1101 W +b1101 ' +b1101 D +1. +0% +#3722000 +1k +0j +1h +1;" +19" +b10010 0" +08" +0l +1p +b11111001000011110101101010011101 { +b11111001000011110101101010011101 A" +0n +b10101011110001010111110100010001 / +b10101011110001010111110100010001 K +b10101011110001010111110100010001 e +b10101011110001010111110100010001 "" +b1101 ~ +b1101 )" +b1101 ." +0. +1% +#3722500 +b10110 5 +b10110 L +b10110 W +b10110 ' +b10110 D +1. +0% +#3723000 +0k +1j +0h +1g +09" +1:" +b1001 0" +18" +1l +0p +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +1n +0m +b10110 ~ +b10110 )" +b10110 ." +b11111001000011110101101010011101 / +b11111001000011110101101010011101 K +b11111001000011110101101010011101 e +b11111001000011110101101010011101 "" +0. +1% +#3723500 +b11011 5 +b11011 L +b11011 W +b1 7 +b1 N +b1 V +16 +b11011 ' +b11011 D +b1 & +b1 C +1( +1. +0% +#3724000 +19" +1o +b101000111010100110110001111 | +b101000111010100110110001111 B" +0g +0:" +0q +17" +b100 0" +0j +b11110111101001011000001000100 { +b11110111101001011000001000100 A" +b11110 -" +1u +1m +1w +1," +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b11011 ~ +b11011 )" +b11011 ." +b1 } +b1 (" +b1 +" +1#" +0. +1% +#3724500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b11011111100111001011110001011100 2 +b11011111100111001011110001011100 I +b11011111100111001011110001011100 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b11111 & +b11111 C +b11011111100111001011110001011100 , +b11011111100111001011110001011100 H +b1000 + +b1000 G +1- +1. +0% +#3725000 +0] +1i +0u +0s +1=" +1@" +1h +1g +09" +0;" +0r +15" +16" +b10111 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +14" +1v +0t +0y +1z +b11101010111011000001001001111101 | +b11101010111011000001001001111101 B" +12" +0n +0m +0/" +1x +b11011111100111001011110001011100 &" +b11011111100111001011110001011100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +b11110111101001011000001000100 / +b11110111101001011000001000100 K +b11110111101001011000001000100 e +b11110111101001011000001000100 "" +b101000111010100110110001111 0 +b101000111010100110110001111 M +b101000111010100110110001111 f +b101000111010100110110001111 !" +0. +1% +#3725010 +b11011111100111001011110001011100 K" +#3725500 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3726000 +1] +1u +0=" +0@" +1r +17" +b11111 3" +0c +0_ +b10010 -" +04" +0v +b11111001000011110101101010011101 | +b11111001000011110101101010011101 B" +02" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101010111011000001001001111101 0 +b11101010111011000001001001111101 M +b11101010111011000001001001111101 f +b11101010111011000001001001111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 } +b1101 (" +b1101 +" +0. +1% +#3726500 +b1000 7 +b1000 N +b1000 V +b11110110001011001100000101101011 2 +b11110110001011001100000101101011 I +b11110110001011001100000101101011 Z +b11100 3 +b11100 J +b11100 Y +11 +b1000 & +b1000 C +b11110110001011001100000101101011 , +b11110110001011001100000101101011 H +b11100 + +b11100 G +1- +1. +0% +#3727000 +0] +1y +1=" +1>" +1@" +1q +15" +06" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b10111 -" +0z +b11011111100111001011110001011100 | +b11011111100111001011110001011100 B" +12" +0w +b11110110001011001100000101101011 &" +b11110110001011001100000101101011 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b1000 } +b1000 (" +b1000 +" +b11111001000011110101101010011101 0 +b11111001000011110101101010011101 M +b11111001000011110101101010011101 f +b11111001000011110101101010011101 !" +0. +1% +#3727010 +b11110110001011001100000101101011 _" +#3727500 +b10001 7 +b10001 N +b10001 V +b10001001111101011011100000111110 2 +b10001001111101011011100000111110 I +b10001001111101011011100000111110 Z +b11011 3 +b11011 J +b11011 Y +b10001 & +b10001 C +b10001001111101011011100000111110 , +b10001001111101011011100000111110 H +b11011 + +b11011 G +1. +0% +#3728000 +1c +0u +1s +0\ +0[ +1=" +0>" +0q +05" +b100 3" +0d +b1110 -" +14" +1v +0y +b11010010110001101100001110011 | +b11010010110001101100001110011 B" +1b +1a +1w +b11011111100111001011110001011100 0 +b11011111100111001011110001011100 M +b11011111100111001011110001011100 f +b11011111100111001011110001011100 !" +b10001001111101011011100000111110 &" +b10001001111101011011100000111110 ?" +b11011 %" +b11011 *" +b11011 1" +b10001 } +b10001 (" +b10001 +" +0. +1% +#3728010 +b10001001111101011011100000111110 ^" +#3728500 +b0 7 +b0 N +b0 V +06 +b10001111110111001100001000101110 2 +b10001111110111001100001000101110 I +b10001111110111001100001000101110 Z +b1110 3 +b1110 J +b1110 Y +b0 & +b0 C +0( +b10001111110111001100001000101110 , +b10001111110111001100001000101110 H +b1110 + +b1110 G +1. +0% +#3729000 +1_ +0c +1[ +1@" +1>" +1q +07" +b10001 3" +0<" +0` +1d +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +0w +0," +b10001111110111001100001000101110 &" +b10001111110111001100001000101110 ?" +b1110 %" +b1110 *" +b1110 1" +b0 } +b0 (" +b0 +" +0#" +b11010010110001101100001110011 0 +b11010010110001101100001110011 M +b11010010110001101100001110011 f +b11010010110001101100001110011 !" +0. +1% +#3729010 +b10001111110111001100001000101110 Q" +#3729500 +b11111000110100111011101111100010 2 +b11111000110100111011101111100010 I +b11111000110100111011101111100010 Z +b10010 3 +b10010 J +b10010 Y +b11111000110100111011101111100010 , +b11111000110100111011101111100010 H +b10010 + +b10010 G +1. +0% +#3730000 +0_ +1] +0=" +0>" +b1101 3" +1<" +1` +0d +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111000110100111011101111100010 &" +b11111000110100111011101111100010 ?" +b10010 %" +b10010 *" +b10010 1" +0. +1% +#3730010 +b11111000110100111011101111100010 U" +#3730500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3731000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3731500 +1. +0% +#3732000 +0. +1% +#3732500 +1. +0% +#3733000 +0. +1% +#3733500 +b11101 5 +b11101 L +b11101 W +14 +b10110101000010100011001000000110 2 +b10110101000010100011001000000110 I +b10110101000010100011001000000110 Z +b1 3 +b1 J +b1 Y +11 +b11101 ' +b11101 D +1) +b10110101000010100011001000000110 , +b10110101000010100011001000000110 H +b1 + +b1 G +1- +1. +0% +#3734000 +0i +b10000000010010000100011101110011 { +b10000000010010000100011101110011 A" +0[ +1@" +0g +19" +1:" +1;" +b11110 3" +1_ +b10 0" +0j +0o +1p +0k +18" +1l +1a +12" +1m +1/" +b10110101000010100011001000000110 &" +b10110101000010100011001000000110 ?" +b1 %" +b1 *" +b1 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#3734010 +b10110101000010100011001000000110 D" +#3734500 +b0 5 +b0 L +b0 W +04 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3735000 +1i +b110100110101100010000101001100 | +b110100110101100010000101001100 B" +1[ +0@" +1g +09" +0:" +0;" +17" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1u +0a +02" +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +b10000000010010000100011101110011 / +b10000000010010000100011101110011 K +b10000000010010000100011101110011 e +b10000000010010000100011101110011 "" +0. +1% +#3735500 +06 +0( +1. +0% +#3736000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +07" +0u +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110100110101100010000101001100 0 +b110100110101100010000101001100 M +b110100110101100010000101001100 f +b110100110101100010000101001100 !" +0#" +0. +1% +#3736500 +b110 5 +b110 L +b110 W +14 +b10100 7 +b10100 N +b10100 V +16 +b110111011110011011011000001111 2 +b110111011110011011011000001111 I +b110111011110011011011000001111 Z +b10011 3 +b10011 J +b10011 Y +11 +b110 ' +b110 D +1) +b10100 & +b10100 C +1( +b110111011110011011011000001111 , +b110111011110011011011000001111 H +b10011 + +b10011 G +1- +1. +0% +#3737000 +0i +b1011101011111011010111001011111 { +b1011101011111011010111001011111 A" +0s +b10110101111011001100001110000100 | +b10110101111011001100001110000100 B" +0\ +0[ +1@" +0h +1:" +1;" +16" +17" +b1100 3" +0_ +1<" +1` +b11001 0" +1j +1k +b1011 -" +1t +0u +14" +1v +1b +1a +12" +1n +1/" +1," +b110111011110011011011000001111 &" +b110111011110011011011000001111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3737010 +b110111011110011011011000001111 V" +#3737500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3738000 +1i +1s +1\ +1[ +0@" +1h +0:" +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +04" +0v +0b +0a +02" +0n +0/" +0," +b1011101011111011010111001011111 / +b1011101011111011010111001011111 K +b1011101011111011010111001011111 e +b1011101011111011010111001011111 "" +b10110101111011001100001110000100 0 +b10110101111011001100001110000100 M +b10110101111011001100001110000100 f +b10110101111011001100001110000100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3738500 +b11 5 +b11 L +b11 W +14 +b11 ' +b11 D +1) +1. +0% +#3739000 +b100101110101100101100010000110 { +b100101110101100101100010000110 A" +0h +0g +1;" +b11100 0" +1k +1n +1m +1/" +b11 ~ +b11 )" +b11 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3739500 +b1000 5 +b1000 L +b1000 W +b10100101111110010000101000111101 2 +b10100101111110010000101000111101 I +b10100101111110010000101000111101 Z +b10100 3 +b10100 J +b10100 Y +11 +b1000 ' +b1000 D +b10100101111110010000101000111101 , +b10100101111110010000101000111101 H +b10100 + +b10100 G +1- +1. +0% +#3740000 +0] +0i +1>" +1@" +1h +1g +19" +b1011 3" +1^ +0_ +1<" +1` +b10111 0" +1o +b11011111100111001011110001011100 { +b11011111100111001011110001011100 A" +12" +0n +0m +b100101110101100101100010000110 / +b100101110101100101100010000110 K +b100101110101100101100010000110 e +b100101110101100101100010000110 "" +b10100101111110010000101000111101 &" +b10100101111110010000101000111101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +0. +1% +#3740010 +b10100101111110010000101000111101 W" +#3740500 +b0 5 +b0 L +b0 W +04 +b11101010100111000100010001 2 +b11101010100111000100010001 I +b11101010100111000100010001 Z +b10011 3 +b10011 J +b10011 Y +b0 ' +b0 D +0) +b11101010100111000100010001 , +b11101010100111000100010001 H +b10011 + +b10011 G +1. +0% +#3741000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +0[ +0>" +09" +0;" +b1100 3" +0^ +b11111 0" +0o +0k +1b +1a +0/" +b11101010100111000100010001 &" +b11101010100111000100010001 ?" +b10011 %" +b10011 *" +b10011 1" +b0 ~ +b0 )" +b0 ." +0$" +b11011111100111001011110001011100 / +b11011111100111001011110001011100 K +b11011111100111001011110001011100 e +b11011111100111001011110001011100 "" +0. +1% +#3741010 +b11101010100111000100010001 V" +#3741500 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3742000 +0s +b1111000010000100001010101100111 | +b1111000010000100001010101100111 B" +1\ +1[ +0@" +0r +15" +16" +17" +b11111 3" +0<" +0` +b1 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#3742500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3743000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b1111000010000100001010101100111 0 +b1111000010000100001010101100111 M +b1111000010000100001010101100111 f +b1111000010000100001010101100111 !" +0. +1% +#3743500 +1. +0% +#3744000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3744500 +1. +0% +#3745000 +0. +1% +#3745500 +b100101100101101011001000110101 2 +b100101100101101011001000110101 I +b100101100101101011001000110101 Z +b1011 3 +b1011 J +b1011 Y +11 +b100101100101101011001000110101 , +b100101100101101011001000110101 H +b1011 + +b1011 G +1- +1. +0% +#3746000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b100101100101101011001000110101 &" +b100101100101101011001000110101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +0. +1% +#3746010 +b100101100101101011001000110101 N" +#3746500 +b11101 5 +b11101 L +b11101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3747000 +1] +0i +b10000000010010000100011101110011 { +b10000000010010000100011101110011 A" +1\ +1[ +0=" +0@" +0g +19" +1:" +1;" +b11111 3" +0c +0_ +b10 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#3747500 +b10000 5 +b10000 L +b10000 W +b100 7 +b100 N +b100 V +16 +b10000 ' +b10000 D +b100 & +b100 C +1( +1. +0% +#3748000 +1i +0s +b11000111000000101001111100101011 | +b11000111000000101001111100101011 B" +1g +09" +0:" +16" +17" +b1111 0" +0p +b1001010000100100001111011001110 { +b1001010000100100001111011001110 A" +b11011 -" +1t +1u +0m +1," +b10000000010010000100011101110011 / +b10000000010010000100011101110011 K +b10000000010010000100011101110011 e +b10000000010010000100011101110011 "" +b10000 ~ +b10000 )" +b10000 ." +b100 } +b100 (" +b100 +" +1#" +0. +1% +#3748500 +b110 5 +b110 L +b110 W +b1111 7 +b1111 N +b1111 V +b110 ' +b110 D +b1111 & +b1111 C +1. +0% +#3749000 +1k +0i +0t +0h +1;" +1:" +0r +0q +15" +b11001 0" +08" +0l +1j +b1011101011111011010111001011111 { +b1011101011111011010111001011111 A" +b10000 -" +1z +b110000100011001000111101011001 | +b110000100011001000111101011001 B" +1n +1x +1w +b110 ~ +b110 )" +b110 ." +b1111 } +b1111 (" +b1111 +" +b1001010000100100001111011001110 / +b1001010000100100001111011001110 K +b1001010000100100001111011001110 e +b1001010000100100001111011001110 "" +b11000111000000101001111100101011 0 +b11000111000000101001111100101011 M +b11000111000000101001111100101011 f +b11000111000000101001111100101011 !" +0. +1% +#3749500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10111010100111111100100100100001 2 +b10111010100111111100100100100001 I +b10111010100111111100100100100001 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10111010100111111100100100100001 , +b10111010100111111100100100100001 H +b11101 + +b11101 G +1- +1. +0% +#3750000 +0] +1i +1s +0[ +1=" +1>" +1@" +1h +0:" +0;" +1r +1q +05" +06" +07" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0n +0/" +0x +0w +0," +b1011101011111011010111001011111 / +b1011101011111011010111001011111 K +b1011101011111011010111001011111 e +b1011101011111011010111001011111 "" +b110000100011001000111101011001 0 +b110000100011001000111101011001 M +b110000100011001000111101011001 f +b110000100011001000111101011001 !" +b10111010100111111100100100100001 &" +b10111010100111111100100100100001 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3750010 +b10111010100111111100100100100001 `" +#3750500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3751000 +1] +b1001010000100100001111011001110 | +b1001010000100100001111011001110 B" +1[ +0=" +0>" +0@" +17" +b11111 3" +0d +0<" +0` +b1111 -" +0u +14" +1v +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3751500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3752000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b1001010000100100001111011001110 0 +b1001010000100100001111011001110 M +b1001010000100100001111011001110 f +b1001010000100100001111011001110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3752500 +b10 5 +b10 L +b10 W +14 +b10 ' +b10 D +1) +1. +0% +#3753000 +b10010001000001011101000110111100 { +b10010001000001011101000110111100 A" +0h +1;" +b11101 0" +1k +1n +1/" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3753500 +b1000 5 +b1000 L +b1000 W +b10100 7 +b10100 N +b10100 V +16 +b1000 ' +b1000 D +b10100 & +b10100 C +1( +1. +0% +#3754000 +0i +0s +b10100101111110010000101000111101 | +b10100101111110010000101000111101 B" +1h +19" +16" +17" +b10111 0" +1o +b11011111100111001011110001011100 { +b11011111100111001011110001011100 A" +b1011 -" +1t +0u +14" +1v +0n +1," +b10010001000001011101000110111100 / +b10010001000001011101000110111100 K +b10010001000001011101000110111100 e +b10010001000001011101000110111100 "" +b1000 ~ +b1000 )" +b1000 ." +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#3754500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1010001111110011000000110011000 2 +b1010001111110011000000110011000 I +b1010001111110011000000110011000 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1010001111110011000000110011000 , +b1010001111110011000000110011000 H +b10001 + +b10001 G +1- +1. +0% +#3755000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0[ +1@" +09" +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1110 3" +0_ +1<" +1` +b11111 0" +0o +0k +b11111 -" +0t +04" +0v +1a +12" +0/" +0," +b1010001111110011000000110011000 &" +b1010001111110011000000110011000 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011111100111001011110001011100 / +b11011111100111001011110001011100 K +b11011111100111001011110001011100 e +b11011111100111001011110001011100 "" +b10100101111110010000101000111101 0 +b10100101111110010000101000111101 M +b10100101111110010000101000111101 f +b10100101111110010000101000111101 !" +0. +1% +#3755010 +b1010001111110011000000110011000 T" +#3755500 +b10011 7 +b10011 N +b10011 V +16 +b11101110000001110110001100110011 2 +b11101110000001110110001100110011 I +b11101110000001110110001100110011 Z +b11000 3 +b11000 J +b11000 Y +b10011 & +b10011 C +1( +b11101110000001110110001100110011 , +b11101110000001110110001100110011 H +b11000 + +b11000 G +1. +0% +#3756000 +0] +b11101010100111000100010001 | +b11101010100111000100010001 B" +1[ +1=" +0r +0q +17" +b111 3" +1c +b1100 -" +0u +14" +1v +0a +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101110000001110110001100110011 &" +b11101110000001110110001100110011 ?" +b11000 %" +b11000 *" +b11000 1" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#3756010 +b11101110000001110110001100110011 [" +#3756500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3757000 +1] +0=" +0@" +1r +1q +07" +b11111 3" +0c +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11101010100111000100010001 0 +b11101010100111000100010001 M +b11101010100111000100010001 f +b11101010100111000100010001 !" +0. +1% +#3757500 +b1011 7 +b1011 N +b1011 V +16 +b1000100111100000110101011001100 2 +b1000100111100000110101011001100 I +b1000100111100000110101011001100 Z +b10 3 +b10 J +b10 Y +11 +b1011 & +b1011 C +1( +b1000100111100000110101011001100 , +b1000100111100000110101011001100 H +b10 + +b10 G +1- +1. +0% +#3758000 +0s +b100101100101101011001000110101 | +b100101100101101011001000110101 B" +0\ +1@" +0r +0q +15" +17" +b11101 3" +1_ +b10100 -" +1y +1u +1b +12" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000100111100000110101011001100 &" +b1000100111100000110101011001100 ?" +b10 %" +b10 *" +b10 1" +1'" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#3758010 +b1000100111100000110101011001100 E" +#3758500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3759000 +1s +1\ +0@" +1r +1q +05" +07" +b11111 3" +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b100101100101101011001000110101 0 +b100101100101101011001000110101 M +b100101100101101011001000110101 f +b100101100101101011001000110101 !" +0. +1% +#3759500 +1. +0% +#3760000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3760500 +1. +0% +#3761000 +0. +1% +#3761500 +14 +b1010 7 +b1010 N +b1010 V +16 +1) +b1010 & +b1010 C +1( +1. +0% +#3762000 +b110100110101100010000101001100 { +b110100110101100010000101001100 A" +0s +b10001101011000111000000010011001 | +b10001101011000111000000010011001 B" +1;" +0r +15" +17" +1k +b10101 -" +1y +1u +1/" +1x +1," +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#3762500 +b10111 5 +b10111 L +b10111 W +b10110 7 +b10110 N +b10110 V +b10111 ' +b10111 D +b10110 & +b10110 C +1. +0% +#3763000 +16" +0k +0i +0u +1t +0h +0g +1:" +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +05" +b1000 0" +18" +1l +1j +b10101011110001010111110100010001 { +b10101011110001010111110100010001 A" +b1001 -" +14" +1v +0y +1n +1m +b10111 ~ +b10111 )" +b10111 ." +b10110 } +b10110 (" +b10110 +" +b110100110101100010000101001100 / +b110100110101100010000101001100 K +b110100110101100010000101001100 e +b110100110101100010000101001100 "" +b10001101011000111000000010011001 0 +b10001101011000111000000010011001 M +b10001101011000111000000010011001 f +b10001101011000111000000010011001 !" +0. +1% +#3763500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b0 ' +b0 D +0) +b11000 & +b11000 C +1. +0% +#3764000 +15" +1i +1y +1h +1g +0:" +0;" +1r +06" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +0t +b11101110000001110110001100110011 | +b11101110000001110110001100110011 B" +0n +0m +0/" +0x +b10101011110001010111110100010001 / +b10101011110001010111110100010001 K +b10101011110001010111110100010001 e +b10101011110001010111110100010001 "" +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +0. +1% +#3764500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3765000 +1s +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0y +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101110000001110110001100110011 0 +b11101110000001110110001100110011 M +b11101110000001110110001100110011 f +b11101110000001110110001100110011 !" +0. +1% +#3765500 +1. +0% +#3766000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3766500 +1. +0% +#3767000 +0. +1% +#3767500 +b10110 5 +b10110 L +b10110 W +14 +b10110 ' +b10110 D +1) +1. +0% +#3768000 +0i +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +0h +1:" +1;" +b1001 0" +1j +0k +18" +1l +1n +1/" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#3768500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 ' +b0 D +0) +b10 & +b10 C +1( +1. +0% +#3769000 +1i +b1000100111100000110101011001100 | +b1000100111100000110101011001100 B" +1h +0:" +0;" +0r +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +0n +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +0. +1% +#3769500 +b10110 5 +b10110 L +b10110 W +14 +b111 7 +b111 N +b111 V +b1001101111001011000011110011101 2 +b1001101111001011000011110011101 I +b1001101111001011000011110011101 Z +b1001 3 +b1001 J +b1001 Y +11 +b10110 ' +b10110 D +1) +b111 & +b111 C +b1001101111001011000011110011101 , +b1001101111001011000011110011101 H +b1001 + +b1001 G +1- +1. +0% +#3770000 +0] +0i +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +0s +0[ +1=" +1@" +0h +1:" +1;" +0q +16" +b10110 3" +1c +1_ +b1001 0" +1j +0k +18" +1l +b11000 -" +1t +b10100010001111001110000110000111 | +b10100010001111001110000110000111 B" +1a +12" +1n +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000100111100000110101011001100 0 +b1000100111100000110101011001100 M +b1000100111100000110101011001100 f +b1000100111100000110101011001100 !" +b1001101111001011000011110011101 &" +b1001101111001011000011110011101 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b111 } +b111 (" +b111 +" +0. +1% +#3770010 +b1001101111001011000011110011101 L" +#3770500 +b11100 5 +b11100 L +b11100 W +b0 7 +b0 N +b0 V +06 +b110010000100010010000100110101 2 +b110010000100010010000100110101 I +b110010000100010010000100110101 Z +b1010 3 +b1010 J +b1010 Y +b11100 ' +b11100 D +b0 & +b0 C +0( +b110010000100010010000100110101 , +b110010000100010010000100110101 H +b1010 + +b1010 G +1. +0% +#3771000 +0j +1s +0\ +1[ +1h +19" +1r +1q +06" +07" +b10101 3" +b11 0" +1p +b11110110001011001100000101101011 { +b11110110001011001100000101101011 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0a +0n +0x +0w +0," +b110010000100010010000100110101 &" +b110010000100010010000100110101 ?" +b1010 %" +b1010 *" +b1010 1" +b11100 ~ +b11100 )" +b11100 ." +b0 } +b0 (" +b0 +" +0#" +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b10100010001111001110000110000111 0 +b10100010001111001110000110000111 M +b10100010001111001110000110000111 f +b10100010001111001110000110000111 !" +0. +1% +#3771010 +b110010000100010010000100110101 M" +#3771500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3772000 +1] +1i +1\ +0=" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0c +0_ +b11111 0" +0p +08" +0l +0b +02" +0/" +b11110110001011001100000101101011 / +b11110110001011001100000101101011 K +b11110110001011001100000101101011 e +b11110110001011001100000101101011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3772500 +1. +0% +#3773000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3773500 +1. +0% +#3774000 +0. +1% +#3774500 +1. +0% +#3775000 +0. +1% +#3775500 +1. +0% +#3776000 +0. +1% +#3776500 +1. +0% +#3777000 +0. +1% +#3777500 +b10110 7 +b10110 N +b10110 V +16 +b10110 & +b10110 C +1( +1. +0% +#3778000 +0s +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +0r +16" +17" +b1001 -" +1t +0u +14" +1v +1x +1," +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#3778500 +b11011 7 +b11011 N +b11011 V +b1001111010011001110101101010101 2 +b1001111010011001110101101010101 I +b1001111010011001110101101010101 Z +b11001 3 +b11001 J +b11001 Y +11 +b11011 & +b11011 C +b1001111010011001110101101010101 , +b1001111010011001110101101010101 H +b11001 + +b11001 G +1- +1. +0% +#3779000 +15" +0] +1y +0[ +1=" +1@" +0q +06" +b110 3" +1c +0_ +1<" +1` +b100 -" +0t +b10001001111101011011100000111110 | +b10001001111101011011100000111110 B" +1a +12" +1w +b1001111010011001110101101010101 &" +b1001111010011001110101101010101 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11011 } +b11011 (" +b11011 +" +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +0. +1% +#3779010 +b1001111010011001110101101010101 \" +#3779500 +b0 7 +b0 N +b0 V +06 +b11000111100001010110010000011111 2 +b11000111100001010110010000011111 I +b11000111100001010110010000011111 Z +b11100 3 +b11100 J +b11100 Y +b0 & +b0 C +0( +b11000111100001010110010000011111 , +b11000111100001010110010000011111 H +b11100 + +b11100 G +1. +0% +#3780000 +0c +1s +1[ +1>" +1r +1q +05" +07" +b11 3" +1d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +0x +0w +0," +b10001001111101011011100000111110 0 +b10001001111101011011100000111110 M +b10001001111101011011100000111110 f +b10001001111101011011100000111110 !" +b11000111100001010110010000011111 &" +b11000111100001010110010000011111 ?" +b11100 %" +b11100 *" +b11100 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3780010 +b11000111100001010110010000011111 _" +#3780500 +b10110011010110101100010111100011 2 +b10110011010110101100010111100011 I +b10110011010110101100010111100011 Z +b11111 3 +b11111 J +b11111 Y +b10110011010110101100010111100011 , +b10110011010110101100010111100011 H +b11111 + +b11111 G +1. +0% +#3781000 +0\ +0[ +b0 3" +1b +1a +b10110011010110101100010111100011 &" +b10110011010110101100010111100011 ?" +b11111 %" +b11111 *" +b11111 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3781500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3782000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3782500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#3783000 +b100101110101100101100010000110 | +b100101110101100101100010000110 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b11 } +b11 (" +b11 +" +1#" +0. +1% +#3783500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3784000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b100101110101100101100010000110 0 +b100101110101100101100010000110 M +b100101110101100101100010000110 f +b100101110101100101100010000110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3784500 +b10010 5 +b10010 L +b10010 W +14 +b1100 7 +b1100 N +b1100 V +16 +b11111101110011000011101010001110 2 +b11111101110011000011101010001110 I +b11111101110011000011101010001110 Z +b11000 3 +b11000 J +b11000 Y +11 +b10010 ' +b10010 D +1) +b1100 & +b1100 C +1( +b11111101110011000011101010001110 , +b11111101110011000011101010001110 H +b11000 + +b11000 G +1- +1. +0% +#3785000 +0] +b11111000110100111011101111100010 { +b11111000110100111011101111100010 A" +0s +b11011011101110010111100100001100 | +b11011011101110010111100100001100 B" +1=" +1@" +0h +1;" +15" +16" +17" +b111 3" +1c +0_ +1<" +1` +b1101 0" +0k +18" +1l +b10011 -" +0t +0y +1z +1u +12" +1n +1/" +1," +b11111101110011000011101010001110 &" +b11111101110011000011101010001110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3785010 +b11111101110011000011101010001110 [" +#3785500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110000111101101000000100011100 2 +b110000111101101000000100011100 I +b110000111101101000000100011100 Z +b11011 3 +b11011 J +b11011 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110000111101101000000100011100 , +b110000111101101000000100011100 H +b11011 + +b11011 G +1. +0% +#3786000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1h +0;" +05" +06" +07" +b100 3" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +0u +1b +1a +0n +0/" +0," +b11111000110100111011101111100010 / +b11111000110100111011101111100010 K +b11111000110100111011101111100010 e +b11111000110100111011101111100010 "" +b11011011101110010111100100001100 0 +b11011011101110010111100100001100 M +b11011011101110010111100100001100 f +b11011011101110010111100100001100 !" +b110000111101101000000100011100 &" +b110000111101101000000100011100 ?" +b11011 %" +b11011 *" +b11011 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3786010 +b110000111101101000000100011100 ^" +#3786500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3787000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3787500 +b10100 5 +b10100 L +b10100 W +14 +b10100 ' +b10100 D +1) +1. +0% +#3788000 +0i +b10100101111110010000101000111101 { +b10100101111110010000101000111101 A" +1:" +1;" +b1011 0" +1j +0k +18" +1l +1/" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#3788500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3789000 +1i +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0j +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10100101111110010000101000111101 / +b10100101111110010000101000111101 K +b10100101111110010000101000111101 e +b10100101111110010000101000111101 "" +0. +1% +#3789500 +1. +0% +#3790000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3790500 +1. +0% +#3791000 +0. +1% +#3791500 +b1111 5 +b1111 L +b1111 W +14 +b1010 7 +b1010 N +b1010 V +16 +b10000100001100000001010111011110 2 +b10000100001100000001010111011110 I +b10000100001100000001010111011110 Z +b11111 3 +b11111 J +b11111 Y +11 +b1111 ' +b1111 D +1) +b1010 & +b1010 C +1( +b10000100001100000001010111011110 , +b10000100001100000001010111011110 H +b11111 + +b11111 G +1- +1. +0% +#3792000 +0] +0i +b110000100011001000111101011001 { +b110000100011001000111101011001 A" +0s +b110010000100010010000100110101 | +b110010000100010010000100110101 B" +0\ +0[ +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +0r +15" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10000 0" +0j +0o +1p +1k +b10101 -" +1y +1u +1b +1a +12" +1n +1m +1/" +1x +1," +b10000100001100000001010111011110 &" +b10000100001100000001010111011110 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#3792500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3793000 +1] +1i +1s +1\ +1[ +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +1r +05" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +0m +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110000100011001000111101011001 / +b110000100011001000111101011001 K +b110000100011001000111101011001 e +b110000100011001000111101011001 "" +b110010000100010010000100110101 0 +b110010000100010010000100110101 M +b110010000100010010000100110101 f +b110010000100010010000100110101 !" +0. +1% +#3793500 +b10111 5 +b10111 L +b10111 W +14 +b10111 ' +b10111 D +1) +1. +0% +#3794000 +0i +b10101011110001010111110100010001 { +b10101011110001010111110100010001 A" +0h +0g +1:" +1;" +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#3794500 +b10110 5 +b10110 L +b10110 W +b1000 7 +b1000 N +b1000 V +16 +b10110 ' +b10110 D +b1000 & +b1000 C +1( +1. +0% +#3795000 +0s +b11011111100111001011110001011100 | +b11011111100111001011110001011100 B" +1g +15" +17" +b1001 0" +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +b10111 -" +1y +1u +0m +1," +b10110 ~ +b10110 )" +b10110 ." +b1000 } +b1000 (" +b1000 +" +1#" +b10101011110001010111110100010001 / +b10101011110001010111110100010001 K +b10101011110001010111110100010001 e +b10101011110001010111110100010001 "" +0. +1% +#3795500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +b0 ' +b0 D +0) +b11001 & +b11001 C +1. +0% +#3796000 +1i +0u +1h +0:" +0;" +0q +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b110 -" +14" +1v +b1001111010011001110101101010101 | +b1001111010011001110101101010101 B" +0n +0/" +1w +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b11011111100111001011110001011100 0 +b11011111100111001011110001011100 M +b11011111100111001011110001011100 f +b11011111100111001011110001011100 !" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#3796500 +b11010 5 +b11010 L +b11010 W +14 +b11110 7 +b11110 N +b11110 V +b11010 ' +b11010 D +1) +b11110 & +b11110 C +1. +0% +#3797000 +0i +b10011101011101111110101011000110 { +b10011101011101111110101011000110 A" +0y +0h +19" +1;" +0r +1q +16" +b101 0" +1o +0k +18" +1l +b1 -" +1z +b1111000010000100001010101100111 | +b1111000010000100001010101100111 B" +1n +1/" +1x +0w +b11010 ~ +b11010 )" +b11010 ." +1$" +b11110 } +b11110 (" +b11110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001111010011001110101101010101 0 +b1001111010011001110101101010101 M +b1001111010011001110101101010101 f +b1001111010011001110101101010101 !" +0. +1% +#3797500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +b0 ' +b0 D +0) +b10000 & +b10000 C +1. +0% +#3798000 +1i +1s +1h +09" +0;" +1r +05" +06" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1111 -" +0z +b1001010000100100001111011001110 | +b1001010000100100001111011001110 B" +0n +0/" +0x +b10011101011101111110101011000110 / +b10011101011101111110101011000110 K +b10011101011101111110101011000110 e +b10011101011101111110101011000110 "" +b1111000010000100001010101100111 0 +b1111000010000100001010101100111 M +b1111000010000100001010101100111 f +b1111000010000100001010101100111 !" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +0. +1% +#3798500 +b0 7 +b0 N +b0 V +06 +b11100111010100001011110000111101 2 +b11100111010100001011110000111101 I +b11100111010100001011110000111101 Z +b1 3 +b1 J +b1 Y +11 +b0 & +b0 C +0( +b11100111010100001011110000111101 , +b11100111010100001011110000111101 H +b1 + +b1 G +1- +1. +0% +#3799000 +0[ +1@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11110 3" +1_ +b11111 -" +04" +0v +1a +12" +0," +b11100111010100001011110000111101 &" +b11100111010100001011110000111101 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001010000100100001111011001110 0 +b1001010000100100001111011001110 M +b1001010000100100001111011001110 f +b1001010000100100001111011001110 !" +0. +1% +#3799010 +b11100111010100001011110000111101 D" +#3799500 +b10 5 +b10 L +b10 W +14 +b11100101010111101010011101110110 2 +b11100101010111101010011101110110 I +b11100101010111101010011101110110 Z +b1001 3 +b1001 J +b1001 Y +b10 ' +b10 D +1) +b11100101010111101010011101110110 , +b11100101010111101010011101110110 H +b1001 + +b1001 G +1. +0% +#3800000 +0] +b1000100111100000110101011001100 { +b1000100111100000110101011001100 A" +1=" +0h +1;" +b10110 3" +1c +b11101 0" +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100101010111101010011101110110 &" +b11100101010111101010011101110110 ?" +b1001 %" +b1001 *" +b1001 1" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#3800010 +b11100101010111101010011101110110 L" +#3800500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3801000 +1] +b11100111010100001011110000111101 | +b11100111010100001011110000111101 B" +1[ +0=" +0@" +1h +0;" +0q +17" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11110 -" +1u +0a +02" +0n +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b1000100111100000110101011001100 / +b1000100111100000110101011001100 K +b1000100111100000110101011001100 e +b1000100111100000110101011001100 "" +0. +1% +#3801500 +b11011 5 +b11011 L +b11011 W +14 +b10001 7 +b10001 N +b10001 V +b11011 ' +b11011 D +1) +b10001 & +b10001 C +1. +0% +#3802000 +0i +b110000111101101000000100011100 { +b110000111101101000000100011100 A" +0u +0h +0g +19" +1;" +b1010001111110011000000110011000 | +b1010001111110011000000110011000 B" +b100 0" +1o +0k +18" +1l +b1110 -" +14" +1v +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100111010100001011110000111101 0 +b11100111010100001011110000111101 M +b11100111010100001011110000111101 f +b11100111010100001011110000111101 !" +b11011 ~ +b11011 )" +b11011 ." +1$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#3802500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b0 ' +b0 D +0) +b11000 & +b11000 C +1. +0% +#3803000 +1i +0s +1h +1g +09" +0;" +1q +15" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +1y +b11111101110011000011101010001110 | +b11111101110011000011101010001110 B" +0n +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +b110000111101101000000100011100 / +b110000111101101000000100011100 K +b110000111101101000000100011100 e +b110000111101101000000100011100 "" +b1010001111110011000000110011000 0 +b1010001111110011000000110011000 M +b1010001111110011000000110011000 f +b1010001111110011000000110011000 !" +0. +1% +#3803500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b110000100001101011111100001011 2 +b110000100001101011111100001011 I +b110000100001101011111100001011 Z +b10011 3 +b10011 J +b10011 Y +11 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b110000100001101011111100001011 , +b110000100001101011111100001011 H +b10011 + +b10011 G +1- +1. +0% +#3804000 +0i +b10011110001000010100001000010010 { +b10011110001000010100001000010010 A" +1s +0\ +0[ +1@" +0g +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1100 3" +0_ +1<" +1` +b11010 0" +1j +1k +b11111 -" +0y +04" +0v +1b +1a +12" +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101110011000011101010001110 0 +b11111101110011000011101010001110 M +b11111101110011000011101010001110 f +b11111101110011000011101010001110 !" +b110000100001101011111100001011 &" +b110000100001101011111100001011 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3804010 +b110000100001101011111100001011 V" +#3804500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3805000 +1i +1\ +1[ +0@" +1g +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011110001000010100001000010010 / +b10011110001000010100001000010010 K +b10011110001000010100001000010010 e +b10011110001000010100001000010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3805500 +b11010 7 +b11010 N +b11010 V +16 +b11101001001010110010110010011111 2 +b11101001001010110010110010011111 I +b11101001001010110010110010011111 Z +b11110 3 +b11110 J +b11110 Y +11 +b11010 & +b11010 C +1( +b11101001001010110010110010011111 , +b11101001001010110010110010011111 H +b11110 + +b11110 G +1- +1. +0% +#3806000 +0] +0s +b10011101011101111110101011000110 | +b10011101011101111110101011000110 B" +0\ +1=" +1>" +1@" +0r +15" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b101 -" +1y +0u +14" +1v +1b +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101001001010110010110010011111 &" +b11101001001010110010110010011111 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#3806010 +b11101001001010110010110010011111 a" +#3806500 +b10100 5 +b10100 L +b10100 W +14 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b11100 & +b11100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3807000 +1] +0i +b10100101111110010000101000111101 { +b10100101111110010000101000111101 A" +0y +1\ +0=" +0>" +0@" +1:" +1;" +1r +16" +b11111 3" +0d +0<" +0` +b1011 0" +1j +0k +18" +1l +b11 -" +1z +b11000111100001010110010000011111 | +b11000111100001010110010000011111 B" +0b +02" +1/" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11100 } +b11100 (" +b11100 +" +b10011101011101111110101011000110 0 +b10011101011101111110101011000110 M +b10011101011101111110101011000110 f +b10011101011101111110101011000110 !" +0. +1% +#3807500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3808000 +1i +1s +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0j +08" +0l +b11111 -" +0z +04" +0v +0/" +0," +b10100101111110010000101000111101 / +b10100101111110010000101000111101 K +b10100101111110010000101000111101 e +b10100101111110010000101000111101 "" +b11000111100001010110010000011111 0 +b11000111100001010110010000011111 M +b11000111100001010110010000011111 f +b11000111100001010110010000011111 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3808500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#3809000 +0s +b11101001001010110010110010011111 | +b11101001001010110010110010011111 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3809500 +b11100 5 +b11100 L +b11100 W +14 +b11011 7 +b11011 N +b11011 V +b11100 ' +b11100 D +1) +b11011 & +b11011 C +1. +0% +#3810000 +0i +b11000111100001010110010000011111 { +b11000111100001010110010000011111 A" +1y +19" +1:" +1;" +0q +15" +06" +b11 0" +0j +0o +1p +0k +18" +1l +b100 -" +0z +b110000111101101000000100011100 | +b110000111101101000000100011100 B" +1/" +1w +b11101001001010110010110010011111 0 +b11101001001010110010110010011111 M +b11101001001010110010110010011111 f +b11101001001010110010110010011111 !" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#3810500 +b1101 5 +b1101 L +b1101 W +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +b0 & +b0 C +0( +1. +0% +#3811000 +1k +1s +0g +1;" +1r +1q +05" +07" +b10010 0" +08" +0l +b11111001000011110101101010011101 { +b11111001000011110101101010011101 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +0x +0w +0," +b1101 ~ +b1101 )" +b1101 ." +b0 } +b0 (" +b0 +" +0#" +b11000111100001010110010000011111 / +b11000111100001010110010000011111 K +b11000111100001010110010000011111 e +b11000111100001010110010000011111 "" +b110000111101101000000100011100 0 +b110000111101101000000100011100 M +b110000111101101000000100011100 f +b110000111101101000000100011100 !" +0. +1% +#3811500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3812000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b11111001000011110101101010011101 / +b11111001000011110101101010011101 K +b11111001000011110101101010011101 e +b11111001000011110101101010011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3812500 +1. +0% +#3813000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3813500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#3814000 +0s +b110010000100010010000100110101 | +b110010000100010010000100110101 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#3814500 +b10111 7 +b10111 N +b10111 V +b10000100010111010101010111010110 2 +b10000100010111010101010111010110 I +b10000100010111010101010111010110 Z +b10 3 +b10 J +b10 Y +11 +b10111 & +b10111 C +b10000100010111010101010111010110 , +b10000100010111010101010111010110 H +b10 + +b10 G +1- +1. +0% +#3815000 +16" +0u +1t +0\ +1@" +0q +05" +b11101 3" +1_ +b1000 -" +14" +1v +0y +b10101011110001010111110100010001 | +b10101011110001010111110100010001 B" +1b +12" +1w +b10000100010111010101010111010110 &" +b10000100010111010101010111010110 ?" +b10 %" +b10 *" +b10 1" +1'" +b10111 } +b10111 (" +b10111 +" +b110010000100010010000100110101 0 +b110010000100010010000100110101 M +b110010000100010010000100110101 f +b110010000100010010000100110101 !" +0. +1% +#3815010 +b10000100010111010101010111010110 E" +#3815500 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3816000 +0t +1\ +0@" +1r +15" +b11111 3" +0_ +b10 -" +1z +b10111010100111111100100100100001 | +b10111010100111111100100100100001 B" +0b +02" +0x +b10101011110001010111110100010001 0 +b10101011110001010111110100010001 M +b10101011110001010111110100010001 f +b10101011110001010111110100010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +0. +1% +#3816500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b100101110000000100110000111001 2 +b100101110000000100110000111001 I +b100101110000000100110000111001 Z +b10100 3 +b10100 J +b10100 Y +11 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b100101110000000100110000111001 , +b100101110000000100110000111001 H +b10100 + +b10100 G +1- +1. +0% +#3817000 +0] +0i +b1011101011111011010111001011111 { +b1011101011111011010111001011111 A" +1s +1>" +1@" +0h +1:" +1;" +1q +05" +06" +07" +b1011 3" +1^ +0_ +1<" +1` +b11001 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1n +1/" +0w +0," +b100101110000000100110000111001 &" +b100101110000000100110000111001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10111010100111111100100100100001 0 +b10111010100111111100100100100001 M +b10111010100111111100100100100001 f +b10111010100111111100100100100001 !" +0. +1% +#3817010 +b100101110000000100110000111001 W" +#3817500 +b0 5 +b0 L +b0 W +04 +b11001001101010010111001011001100 2 +b11001001101010010111001011001100 I +b11001001101010010111001011001100 Z +b1010 3 +b1010 J +b1010 Y +b0 ' +b0 D +0) +b11001001101010010111001011001100 , +b11001001101010010111001011001100 H +b1010 + +b1010 G +1. +0% +#3818000 +1=" +1_ +1c +1i +0\ +1@" +0>" +1h +0:" +0;" +b10101 3" +0<" +0` +0^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0n +0/" +b1011101011111011010111001011111 / +b1011101011111011010111001011111 K +b1011101011111011010111001011111 e +b1011101011111011010111001011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001001101010010111001011001100 &" +b11001001101010010111001011001100 ?" +b1010 %" +b1010 *" +b1010 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3818010 +b11001001101010010111001011001100 M" +#3818500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3819000 +1] +1\ +0=" +0@" +b11111 3" +0c +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3819500 +b11011 5 +b11011 L +b11011 W +14 +b10010 7 +b10010 N +b10010 V +16 +b11011 ' +b11011 D +1) +b10010 & +b10010 C +1( +1. +0% +#3820000 +0i +b110000111101101000000100011100 { +b110000111101101000000100011100 A" +b11111000110100111011101111100010 | +b11111000110100111011101111100010 B" +0h +0g +19" +1;" +0r +17" +b100 0" +1o +0k +18" +1l +b1101 -" +0u +14" +1v +1n +1m +1/" +1x +1," +b11011 ~ +b11011 )" +b11011 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#3820500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +b0 & +b0 C +0( +1. +0% +#3821000 +1:" +1j +b10101011110001010111110100010001 { +b10101011110001010111110100010001 A" +09" +1r +07" +b1000 0" +0o +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +b110000111101101000000100011100 / +b110000111101101000000100011100 K +b110000111101101000000100011100 e +b110000111101101000000100011100 "" +b11111000110100111011101111100010 0 +b11111000110100111011101111100010 M +b11111000110100111011101111100010 f +b11111000110100111011101111100010 !" +0. +1% +#3821500 +b100 5 +b100 L +b100 W +b100 ' +b100 D +1. +0% +#3822000 +1k +1h +1g +1;" +b11011 0" +08" +0l +b11000111000000101001111100101011 { +b11000111000000101001111100101011 A" +0n +0m +b10101011110001010111110100010001 / +b10101011110001010111110100010001 K +b10101011110001010111110100010001 e +b10101011110001010111110100010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100 ~ +b100 )" +b100 ." +0. +1% +#3822500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +1. +0% +#3823000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10111010100111111100100100100001 | +b10111010100111111100100100100001 B" +0:" +0;" +0q +15" +16" +17" +b11111 0" +0j +0k +b10 -" +0t +0y +1z +0u +14" +1v +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +b11000111000000101001111100101011 / +b11000111000000101001111100101011 K +b11000111000000101001111100101011 e +b11000111000000101001111100101011 "" +0. +1% +#3823500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b10001111100001000101010101100110 2 +b10001111100001000101010101100110 I +b10001111100001000101010101100110 Z +b10000 3 +b10000 J +b10000 Y +11 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b10001111100001000101010101100110 , +b10001111100001000101010101100110 H +b10000 + +b10000 G +1- +1. +0% +#3824000 +b11100111010100001011110000111101 { +b11100111010100001011110000111101 A" +1s +1@" +0g +1;" +1q +05" +06" +07" +b1111 3" +0_ +1<" +1` +b11110 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111010100111111100100100100001 0 +b10111010100111111100100100100001 M +b10111010100111111100100100100001 f +b10111010100111111100100100100001 !" +b10001111100001000101010101100110 &" +b10001111100001000101010101100110 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3824010 +b10001111100001000101010101100110 S" +#3824500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3825000 +0@" +1g +0;" +b11111 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100111010100001011110000111101 / +b11100111010100001011110000111101 K +b11100111010100001011110000111101 e +b11100111010100001011110000111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3825500 +b10110 5 +b10110 L +b10110 W +14 +b110 7 +b110 N +b110 V +16 +b10110 ' +b10110 D +1) +b110 & +b110 C +1( +1. +0% +#3826000 +0i +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +0s +b1011101011111011010111001011111 | +b1011101011111011010111001011111 B" +0h +1:" +1;" +0r +16" +17" +b1001 0" +1j +0k +18" +1l +b11001 -" +1t +1u +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110 ~ +b10110 )" +b10110 ." +1$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#3826500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3827000 +1i +1s +1h +0:" +0;" +1r +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b1011101011111011010111001011111 0 +b1011101011111011010111001011111 M +b1011101011111011010111001011111 f +b1011101011111011010111001011111 !" +0. +1% +#3827500 +b10110 5 +b10110 L +b10110 W +14 +b11111 7 +b11111 N +b11111 V +16 +b10110 ' +b10110 D +1) +b11111 & +b11111 C +1( +1. +0% +#3828000 +0i +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +0s +b10000100001100000001010111011110 | +b10000100001100000001010111011110 B" +0h +1:" +1;" +0r +0q +15" +16" +17" +b1001 0" +1j +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +1n +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110 ~ +b10110 )" +b10110 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#3828500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b0 ' +b0 D +0) +b10110 & +b10110 C +1. +0% +#3829000 +1i +1t +1h +0:" +0;" +1q +05" +16" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1001 -" +0z +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +0n +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b10000100001100000001010111011110 0 +b10000100001100000001010111011110 M +b10000100001100000001010111011110 f +b10000100001100000001010111011110 !" +0. +1% +#3829500 +b1111 5 +b1111 L +b1111 W +14 +b11110 7 +b11110 N +b11110 V +b1111 ' +b1111 D +1) +b11110 & +b11110 C +1. +0% +#3830000 +0i +b110000100011001000111101011001 { +b110000100011001000111101011001 A" +0t +b11101001001010110010110010011111 | +b11101001001010110010110010011111 B" +0h +0g +19" +1:" +1;" +15" +b10000 0" +0j +0o +1p +1k +b1 -" +1z +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +b1111 ~ +b1111 )" +b1111 ." +1$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#3830500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3831000 +1i +1s +1h +1g +09" +0:" +0;" +1r +05" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110000100011001000111101011001 / +b110000100011001000111101011001 K +b110000100011001000111101011001 e +b110000100011001000111101011001 "" +b11101001001010110010110010011111 0 +b11101001001010110010110010011111 M +b11101001001010110010110010011111 f +b11101001001010110010110010011111 !" +0. +1% +#3831500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#3832000 +0s +b1001111010011001110101101010101 | +b1001111010011001110101101010101 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#3832500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3833000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1001111010011001110101101010101 0 +b1001111010011001110101101010101 M +b1001111010011001110101101010101 f +b1001111010011001110101101010101 !" +0. +1% +#3833500 +1. +0% +#3834000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3834500 +b1100 5 +b1100 L +b1100 W +14 +b11110110111000001111111000001010 2 +b11110110111000001111111000001010 I +b11110110111000001111111000001010 Z +b10011 3 +b10011 J +b10011 Y +11 +b1100 ' +b1100 D +1) +b11110110111000001111111000001010 , +b11110110111000001111111000001010 H +b10011 + +b10011 G +1- +1. +0% +#3835000 +0i +b11011011101110010111100100001100 { +b11011011101110010111100100001100 A" +0\ +0[ +1@" +19" +1:" +1;" +b1100 3" +0_ +1<" +1` +b10011 0" +0j +0o +1p +1k +1b +1a +12" +1/" +b11110110111000001111111000001010 &" +b11110110111000001111111000001010 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#3835010 +b11110110111000001111111000001010 V" +#3835500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3836000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +1[ +0@" +09" +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0p +0k +0b +0a +02" +0/" +b11011011101110010111100100001100 / +b11011011101110010111100100001100 K +b11011011101110010111100100001100 e +b11011011101110010111100100001100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3836500 +1. +0% +#3837000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3837500 +b1000 5 +b1000 L +b1000 W +14 +b11111 7 +b11111 N +b11111 V +16 +b1000 ' +b1000 D +1) +b11111 & +b11111 C +1( +1. +0% +#3838000 +0i +b11011111100111001011110001011100 { +b11011111100111001011110001011100 A" +0s +b10000100001100000001010111011110 | +b10000100001100000001010111011110 B" +19" +1;" +0r +0q +15" +16" +17" +b10111 0" +1o +1k +b0 -" +0t +0y +1z +0u +14" +1v +1/" +1x +1w +1," +b1000 ~ +b1000 )" +b1000 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#3838500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3839000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0;" +1r +1q +05" +06" +07" +b11111 0" +0o +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011111100111001011110001011100 / +b11011111100111001011110001011100 K +b11011111100111001011110001011100 e +b11011111100111001011110001011100 "" +b10000100001100000001010111011110 0 +b10000100001100000001010111011110 M +b10000100001100000001010111011110 f +b10000100001100000001010111011110 !" +0. +1% +#3839500 +b11010 7 +b11010 N +b11010 V +16 +b11010 & +b11010 C +1( +1. +0% +#3840000 +0s +b10011101011101111110101011000110 | +b10011101011101111110101011000110 B" +0r +15" +17" +b101 -" +1y +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#3840500 +b11000 7 +b11000 N +b11000 V +b1110010010110110011011010101 2 +b1110010010110110011011010101 I +b1110010010110110011011010101 Z +b110 3 +b110 J +b110 Y +11 +b11000 & +b11000 C +b1110010010110110011011010101 , +b1110010010110110011011010101 H +b110 + +b110 G +1- +1. +0% +#3841000 +0] +0\ +1>" +1@" +1r +b11001 3" +1^ +1_ +b111 -" +b11111101110011000011101010001110 | +b11111101110011000011101010001110 B" +1b +12" +0x +b1110010010110110011011010101 &" +b1110010010110110011011010101 ?" +b110 %" +b110 *" +b110 1" +1'" +b11000 } +b11000 (" +b11000 +" +b10011101011101111110101011000110 0 +b10011101011101111110101011000110 M +b10011101011101111110101011000110 f +b10011101011101111110101011000110 !" +0. +1% +#3841010 +b1110010010110110011011010101 I" +#3841500 +b1 5 +b1 L +b1 W +14 +b1011 7 +b1011 N +b1011 V +b1100110101100000000100011101010 2 +b1100110101100000000100011101010 I +b1100110101100000000100011101010 Z +b11011 3 +b11011 J +b11011 Y +b1 ' +b1 D +1) +b1011 & +b1011 C +b1100110101100000000100011101010 , +b1100110101100000000100011101010 H +b11011 + +b11011 G +1. +0% +#3842000 +1=" +0_ +1c +b11100111010100001011110000111101 { +b11100111010100001011110000111101 A" +1u +0[ +0>" +0g +1;" +0r +0q +17" +b100 3" +1<" +1` +0^ +b11110 0" +1k +b10100 -" +04" +0v +b100101100101101011001000110101 | +b100101100101101011001000110101 B" +1a +1m +1/" +1x +1w +b11111101110011000011101010001110 0 +b11111101110011000011101010001110 M +b11111101110011000011101010001110 f +b11111101110011000011101010001110 !" +b1100110101100000000100011101010 &" +b1100110101100000000100011101010 ?" +b11011 %" +b11011 *" +b11011 1" +b1 ~ +b1 )" +b1 ." +1$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#3842010 +b1100110101100000000100011101010 ^" +#3842500 +b11001 5 +b11001 L +b11001 W +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3843000 +1] +0k +0i +0u +0y +1\ +1[ +0=" +0@" +b1001111010011001110101101010101 { +b1001111010011001110101101010101 A" +19" +b10000100001100000001010111011110 | +b10000100001100000001010111011110 B" +16" +b11111 3" +0c +0<" +0` +b110 0" +18" +1l +1o +b0 -" +14" +1v +1z +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +b11111 } +b11111 (" +b11111 +" +b11100111010100001011110000111101 / +b11100111010100001011110000111101 K +b11100111010100001011110000111101 e +b11100111010100001011110000111101 "" +b100101100101101011001000110101 0 +b100101100101101011001000110101 M +b100101100101101011001000110101 f +b100101100101101011001000110101 !" +0. +1% +#3843500 +b10110 5 +b10110 L +b10110 W +b1010 7 +b1010 N +b1010 V +b10110 ' +b10110 D +b1010 & +b1010 C +1. +0% +#3844000 +1:" +1j +1u +1y +0h +1g +09" +1q +17" +15" +06" +b1001 0" +0o +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +b10101 -" +04" +0v +0z +b11001001101010010111001011001100 | +b11001001101010010111001011001100 B" +1n +0m +0w +b1001111010011001110101101010101 / +b1001111010011001110101101010101 K +b1001111010011001110101101010101 e +b1001111010011001110101101010101 "" +b10000100001100000001010111011110 0 +b10000100001100000001010111011110 M +b10000100001100000001010111011110 f +b10000100001100000001010111011110 !" +b10110 ~ +b10110 )" +b10110 ." +b1010 } +b1010 (" +b1010 +" +0. +1% +#3844500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b0 ' +b0 D +0) +b1110 & +b1110 C +1. +0% +#3845000 +1i +0y +b10001111110111001100001000101110 | +b10001111110111001100001000101110 B" +1h +0:" +0;" +16" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10001 -" +1z +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b11001001101010010111001011001100 0 +b11001001101010010111001011001100 M +b11001001101010010111001011001100 f +b11001001101010010111001011001100 !" +0. +1% +#3845500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b10000010010101101110101110111011 2 +b10000010010101101110101110111011 I +b10000010010101101110101110111011 Z +b11000 3 +b11000 J +b11000 Y +11 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b10000010010101101110101110111011 , +b10000010010101101110101110111011 H +b11000 + +b11000 G +1- +1. +0% +#3846000 +0] +0i +b11101001001010110010110010011111 { +b11101001001010110010110010011111 A" +1s +1=" +1@" +0h +19" +1:" +1;" +1r +05" +06" +07" +b111 3" +1c +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001111110111001100001000101110 0 +b10001111110111001100001000101110 M +b10001111110111001100001000101110 f +b10001111110111001100001000101110 !" +b10000010010101101110101110111011 &" +b10000010010101101110101110111011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3846010 +b10000010010101101110101110111011 [" +#3846500 +b10111 5 +b10111 L +b10111 W +b10010110010011101010101110011110 2 +b10010110010011101010101110011110 I +b10010110010011101010101110011110 Z +b0 3 +b0 J +b0 Y +b10111 ' +b10111 D +b10010110010011101010101110011110 , +b10010110010011101010101110011110 H +b0 + +b0 G +1. +0% +#3847000 +1_ +1] +1j +1@" +0=" +0g +09" +1:" +b11111 3" +0<" +0` +0c +b1000 0" +0p +b10101011110001010111110100010001 { +b10101011110001010111110100010001 A" +1m +b10010110010011101010101110011110 &" +b10010110010011101010101110011110 ?" +b0 %" +b0 *" +b0 1" +b10111 ~ +b10111 )" +b10111 ." +b11101001001010110010110010011111 / +b11101001001010110010110010011111 K +b11101001001010110010110010011111 e +b11101001001010110010110010011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3847010 +b10010110010011101010101110011110 C" +#3847500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b0 , +b0 H +0- +1. +0% +#3848000 +1i +0@" +1h +1g +0:" +0;" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0m +0/" +b10101011110001010111110100010001 / +b10101011110001010111110100010001 K +b10101011110001010111110100010001 e +b10101011110001010111110100010001 "" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3848500 +1. +0% +#3849000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3849500 +1. +0% +#3850000 +0. +1% +#3850500 +b11010101001010110100100111110001 2 +b11010101001010110100100111110001 I +b11010101001010110100100111110001 Z +b11100 3 +b11100 J +b11100 Y +11 +b11010101001010110100100111110001 , +b11010101001010110100100111110001 H +b11100 + +b11100 G +1- +1. +0% +#3851000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b11010101001010110100100111110001 &" +b11010101001010110100100111110001 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#3851010 +b11010101001010110100100111110001 _" +#3851500 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3852000 +1] +0s +b100101110000000100110000111001 | +b100101110000000100110000111001 B" +0=" +0>" +0@" +16" +17" +b11111 3" +0d +0<" +0` +b1011 -" +1t +0u +14" +1v +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#3852500 +b0 7 +b0 N +b0 V +06 +b11110101110101110101001110001001 2 +b11110101110101110101001110001001 I +b11110101110101110101001110001001 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 & +b0 C +0( +b11110101110101110101001110001001 , +b11110101110101110101001110001001 H +b1101 + +b1101 G +1- +1. +0% +#3853000 +0] +1s +0[ +1=" +1>" +1@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10010 3" +0^ +0c +1d +1_ +b11111 -" +0t +04" +0v +1a +12" +0," +b11110101110101110101001110001001 &" +b11110101110101110101001110001001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b100101110000000100110000111001 0 +b100101110000000100110000111001 M +b100101110000000100110000111001 f +b100101110000000100110000111001 !" +0. +1% +#3853010 +b11110101110101110101001110001001 P" +#3853500 +b11100110010100111000011111011101 2 +b11100110010100111000011111011101 I +b11100110010100111000011111011101 Z +b11100 3 +b11100 J +b11100 Y +b11100110010100111000011111011101 , +b11100110010100111000011111011101 H +b11100 + +b11100 G +1. +0% +#3854000 +0_ +1[ +b11 3" +1<" +1` +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100110010100111000011111011101 &" +b11100110010100111000011111011101 ?" +b11100 %" +b11100 *" +b11100 1" +0. +1% +#3854010 +b11100110010100111000011111011101 _" +#3854500 +b1111 7 +b1111 N +b1111 V +16 +b11011010101101100101111100001100 2 +b11011010101101100101111100001100 I +b11011010101101100101111100001100 Z +b11001 3 +b11001 J +b11001 Y +b1111 & +b1111 C +1( +b11011010101101100101111100001100 , +b11011010101101100101111100001100 H +b11001 + +b11001 G +1. +0% +#3855000 +1c +0s +b110000100011001000111101011001 | +b110000100011001000111101011001 B" +0[ +1=" +0>" +0r +0q +15" +16" +17" +b110 3" +0d +b10000 -" +0t +0y +1z +1u +1a +1x +1w +1," +b11011010101101100101111100001100 &" +b11011010101101100101111100001100 ?" +b11001 %" +b11001 *" +b11001 1" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#3855010 +b11011010101101100101111100001100 \" +#3855500 +b10101 5 +b10101 L +b10101 W +14 +b10 7 +b10 N +b10 V +b11110001011000100111000100110010 2 +b11110001011000100111000100110010 I +b11110001011000100111000100110010 Z +b1 3 +b1 J +b1 Y +b10101 ' +b10101 D +1) +b10 & +b10 C +b11110001011000100111000100110010 , +b11110001011000100111000100110010 H +b1 + +b1 G +1. +0% +#3856000 +1_ +1] +0i +b10010010101000001110101001001111 { +b10010010101000001110101001001111 A" +1s +1@" +0=" +0g +1:" +1;" +1q +05" +06" +b11110 3" +0<" +0` +0c +b1010 0" +1j +0k +18" +1l +b11101 -" +0z +b10000100010111010101010111010110 | +b10000100010111010101010111010110 B" +1m +1/" +0w +b110000100011001000111101011001 0 +b110000100011001000111101011001 M +b110000100011001000111101011001 f +b110000100011001000111101011001 !" +b11110001011000100111000100110010 &" +b11110001011000100111000100110010 ?" +b1 %" +b1 *" +b1 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b10 } +b10 (" +b10 +" +0. +1% +#3856010 +b11110001011000100111000100110010 D" +#3856500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1000110010001111101100011011110 2 +b1000110010001111101100011011110 I +b1000110010001111101100011011110 Z +b1000 3 +b1000 J +b1000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1000110010001111101100011011110 , +b1000110010001111101100011011110 H +b1000 + +b1000 G +1. +0% +#3857000 +0] +1i +1[ +1=" +1g +0:" +0;" +1r +07" +b10111 3" +1c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0m +0/" +0x +0," +b1000110010001111101100011011110 &" +b1000110010001111101100011011110 ?" +b1000 %" +b1000 *" +b1000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10010010101000001110101001001111 / +b10010010101000001110101001001111 K +b10010010101000001110101001001111 e +b10010010101000001110101001001111 "" +b10000100010111010101010111010110 0 +b10000100010111010101010111010110 M +b10000100010111010101010111010110 f +b10000100010111010101010111010110 !" +0. +1% +#3857010 +b1000110010001111101100011011110 K" +#3857500 +b111111100001000011011001011 2 +b111111100001000011011001011 I +b111111100001000011011001011 Z +b10000 3 +b10000 J +b10000 Y +b111111100001000011011001011 , +b111111100001000011011001011 H +b10000 + +b10000 G +1. +0% +#3858000 +0_ +1] +0=" +b1111 3" +1<" +1` +0c +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111111100001000011011001011 &" +b111111100001000011011001011 ?" +b10000 %" +b10000 *" +b10000 1" +0. +1% +#3858010 +b111111100001000011011001011 S" +#3858500 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3859000 +0s +b1110010010110110011011010101 | +b1110010010110110011011010101 B" +0@" +0r +16" +17" +b11111 3" +0<" +0` +b11001 -" +1t +1u +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#3859500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b11101001011110110100101000110000 2 +b11101001011110110100101000110000 I +b11101001011110110100101000110000 Z +b10000 3 +b10000 J +b10000 Y +11 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +b11101001011110110100101000110000 , +b11101001011110110100101000110000 H +b10000 + +b10000 G +1- +1. +0% +#3860000 +0i +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +1s +1@" +0h +1:" +1;" +1r +06" +07" +b1111 3" +0_ +1<" +1` +b1001 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +1/" +0x +0," +b1110010010110110011011010101 0 +b1110010010110110011011010101 M +b1110010010110110011011010101 f +b1110010010110110011011010101 !" +b11101001011110110100101000110000 &" +b11101001011110110100101000110000 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3860010 +b11101001011110110100101000110000 S" +#3860500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b10111100110010000010001101011010 2 +b10111100110010000010001101011010 I +b10111100110010000010001101011010 Z +b10011 3 +b10011 J +b10011 Y +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b10111100110010000010001101011010 , +b10111100110010000010001101011010 H +b10011 + +b10011 G +1. +0% +#3861000 +1i +0s +b10011101011101111110101011000110 | +b10011101011101111110101011000110 B" +0\ +0[ +1h +0:" +0;" +0r +15" +17" +b1100 3" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b101 -" +1y +0u +14" +1v +1b +1a +0n +0/" +1x +1," +b10111100110010000010001101011010 &" +b10111100110010000010001101011010 ?" +b10011 %" +b10011 *" +b10011 1" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3861010 +b10111100110010000010001101011010 V" +#3861500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3862000 +0i +b10101011110001010111110100010001 { +b10101011110001010111110100010001 A" +1s +1\ +1[ +0@" +0h +0g +1:" +1;" +1r +05" +07" +b11111 3" +0<" +0` +b1000 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011101011101111110101011000110 0 +b10011101011101111110101011000110 M +b10011101011101111110101011000110 f +b10011101011101111110101011000110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3862500 +b11010 5 +b11010 L +b11010 W +b1000 7 +b1000 N +b1000 V +16 +b11010 ' +b11010 D +b1000 & +b1000 C +1( +1. +0% +#3863000 +19" +1o +0s +b1000110010001111101100011011110 | +b1000110010001111101100011011110 B" +1g +0:" +15" +17" +b101 0" +0j +b10011101011101111110101011000110 { +b10011101011101111110101011000110 A" +b10111 -" +1y +1u +0m +1," +b11010 ~ +b11010 )" +b11010 ." +b1000 } +b1000 (" +b1000 +" +1#" +b10101011110001010111110100010001 / +b10101011110001010111110100010001 K +b10101011110001010111110100010001 e +b10101011110001010111110100010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3863500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3864000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b10011101011101111110101011000110 / +b10011101011101111110101011000110 K +b10011101011101111110101011000110 e +b10011101011101111110101011000110 "" +b1000110010001111101100011011110 0 +b1000110010001111101100011011110 M +b1000110010001111101100011011110 f +b1000110010001111101100011011110 !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3864500 +b0 7 +b0 N +b0 V +06 +b111111100001011111001110000111 2 +b111111100001011111001110000111 I +b111111100001011111001110000111 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 & +b0 C +0( +b111111100001011111001110000111 , +b111111100001011111001110000111 H +b10011 + +b10011 G +1- +1. +0% +#3865000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1@" +05" +07" +b1100 3" +0_ +1<" +1` +b11111 -" +0y +0u +1b +1a +12" +0," +b111111100001011111001110000111 &" +b111111100001011111001110000111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3865010 +b111111100001011111001110000111 V" +#3865500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3866000 +1\ +1[ +0@" +b11111 3" +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3866500 +b1111 5 +b1111 L +b1111 W +14 +b100101101010100101001100100000 2 +b100101101010100101001100100000 I +b100101101010100101001100100000 Z +b1110 3 +b1110 J +b1110 Y +11 +b1111 ' +b1111 D +1) +b100101101010100101001100100000 , +b100101101010100101001100100000 H +b1110 + +b1110 G +1- +1. +0% +#3867000 +0] +0i +b110000100011001000111101011001 { +b110000100011001000111101011001 A" +0\ +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +b10001 3" +0^ +0c +1d +1_ +b10000 0" +0j +0o +1p +1k +1b +12" +1n +1m +1/" +b100101101010100101001100100000 &" +b100101101010100101001100100000 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#3867010 +b100101101010100101001100100000 Q" +#3867500 +b0 5 +b0 L +b0 W +04 +b1101000110011000100001011010000 2 +b1101000110011000100001011010000 I +b1101000110011000100001011010000 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b1101000110011000100001011010000 , +b1101000110011000100001011010000 H +b11001 + +b11001 G +1. +0% +#3868000 +0_ +1c +1i +1\ +0[ +1=" +0>" +1h +1g +09" +0:" +0;" +b110 3" +1<" +1` +0d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +1a +0n +0m +0/" +b110000100011001000111101011001 / +b110000100011001000111101011001 K +b110000100011001000111101011001 e +b110000100011001000111101011001 "" +b1101000110011000100001011010000 &" +b1101000110011000100001011010000 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3868010 +b1101000110011000100001011010000 \" +#3868500 +b1011 5 +b1011 L +b1011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3869000 +1] +0i +b100101100101101011001000110101 { +b100101100101101011001000110101 A" +1[ +0=" +0@" +0h +0g +19" +1;" +b11111 3" +0c +0<" +0` +b10100 0" +1o +1k +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3869500 +b10000 5 +b10000 L +b10000 W +b10000 ' +b10000 D +1. +0% +#3870000 +0k +1i +1h +1g +09" +b1111 0" +18" +1l +0o +b11101001011110110100101000110000 { +b11101001011110110100101000110000 A" +0n +0m +b100101100101101011001000110101 / +b100101100101101011001000110101 K +b100101100101101011001000110101 e +b100101100101101011001000110101 "" +b10000 ~ +b10000 )" +b10000 ." +0. +1% +#3870500 +b110 5 +b110 L +b110 W +b11101 7 +b11101 N +b11101 V +16 +b11110001100000101110110001001110 2 +b11110001100000101110110001001110 I +b11110001100000101110110001001110 Z +b1 3 +b1 J +b1 Y +11 +b110 ' +b110 D +b11101 & +b11101 C +1( +b11110001100000101110110001001110 , +b11110001100000101110110001001110 H +b1 + +b1 G +1- +1. +0% +#3871000 +1k +0i +0s +b10111010100111111100100100100001 | +b10111010100111111100100100100001 B" +0[ +1@" +0h +1;" +1:" +0q +15" +16" +17" +b11110 3" +1_ +b11001 0" +08" +0l +1j +b1110010010110110011011010101 { +b1110010010110110011011010101 A" +b10 -" +0t +0y +1z +0u +14" +1v +1a +12" +1n +1w +1," +b11110001100000101110110001001110 &" +b11110001100000101110110001001110 ?" +b1 %" +b1 *" +b1 1" +1'" +b110 ~ +b110 )" +b110 ." +b11101 } +b11101 (" +b11101 +" +1#" +b11101001011110110100101000110000 / +b11101001011110110100101000110000 K +b11101001011110110100101000110000 e +b11101001011110110100101000110000 "" +0. +1% +#3871010 +b11110001100000101110110001001110 D" +#3871500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3872000 +1i +1u +1[ +0@" +1h +0:" +0;" +17" +b11110101110101110101001110001001 | +b11110101110101110101001110001001 B" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +04" +0v +0a +02" +0n +0/" +b1110010010110110011011010101 / +b1110010010110110011011010101 K +b1110010010110110011011010101 e +b1110010010110110011011010101 "" +b10111010100111111100100100100001 0 +b10111010100111111100100100100001 M +b10111010100111111100100100100001 f +b10111010100111111100100100100001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#3872500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3873000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110101110101110101001110001001 0 +b11110101110101110101001110001001 M +b11110101110101110101001110001001 f +b11110101110101110101001110001001 !" +0. +1% +#3873500 +1. +0% +#3874000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3874500 +1. +0% +#3875000 +0. +1% +#3875500 +b1001000000001010011111000010101 2 +b1001000000001010011111000010101 I +b1001000000001010011111000010101 Z +b11011 3 +b11011 J +b11011 Y +11 +b1001000000001010011111000010101 , +b1001000000001010011111000010101 H +b11011 + +b11011 G +1- +1. +0% +#3876000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b1001000000001010011111000010101 &" +b1001000000001010011111000010101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0. +1% +#3876010 +b1001000000001010011111000010101 ^" +#3876500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3877000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3877500 +b10110 7 +b10110 N +b10110 V +16 +b10110 & +b10110 C +1( +1. +0% +#3878000 +0s +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +0r +16" +17" +b1001 -" +1t +0u +14" +1v +1x +1," +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#3878500 +b0 7 +b0 N +b0 V +06 +b1001100000101101000011111101001 2 +b1001100000101101000011111101001 I +b1001100000101101000011111101001 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 & +b0 C +0( +b1001100000101101000011111101001 , +b1001100000101101000011111101001 H +b10101 + +b10101 G +1- +1. +0% +#3879000 +0] +1s +0[ +1>" +1@" +1r +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0," +b1001100000101101000011111101001 &" +b1001100000101101000011111101001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +0. +1% +#3879010 +b1001100000101101000011111101001 X" +#3879500 +b101 5 +b101 L +b101 W +14 +b1001000111111000000100100001101 2 +b1001000111111000000100100001101 I +b1001000111111000000100100001101 Z +b1 3 +b1 J +b1 Y +b101 ' +b101 D +1) +b1001000111111000000100100001101 , +b1001000111111000000100100001101 H +b1 + +b1 G +1. +0% +#3880000 +1_ +1] +0i +b10011110001000010100001000010010 { +b10011110001000010100001000010010 A" +1@" +0>" +0g +1:" +1;" +b11110 3" +0<" +0` +0^ +b11010 0" +1j +1k +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001000111111000000100100001101 &" +b1001000111111000000100100001101 ?" +b1 %" +b1 *" +b1 1" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#3880010 +b1001000111111000000100100001101 D" +#3880500 +b1010 5 +b1010 L +b1010 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3881000 +19" +1o +1[ +0@" +0h +1g +0:" +b11111 3" +0_ +b10101 0" +0j +b11001001101010010111001011001100 { +b11001001101010010111001011001100 A" +0a +02" +1n +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +b10011110001000010100001000010010 / +b10011110001000010100001000010010 K +b10011110001000010100001000010010 e +b10011110001000010100001000010010 "" +0. +1% +#3881500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3882000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b11001001101010010111001011001100 / +b11001001101010010111001011001100 K +b11001001101010010111001011001100 e +b11001001101010010111001011001100 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3882500 +b11000 5 +b11000 L +b11000 W +14 +b11 7 +b11 N +b11 V +16 +b11000 ' +b11000 D +1) +b11 & +b11 C +1( +1. +0% +#3883000 +0i +b10000010010101101110101110111011 { +b10000010010101101110101110111011 A" +b100101110101100101100010000110 | +b100101110101100101100010000110 B" +19" +1;" +0r +0q +17" +b111 0" +1o +0k +18" +1l +b11100 -" +1u +1/" +1x +1w +1," +b11000 ~ +b11000 )" +b11000 ." +1$" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3883500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101101110110111001100100101011 2 +b10101101110110111001100100101011 I +b10101101110110111001100100101011 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101101110110111001100100101011 , +b10101101110110111001100100101011 H +b100 + +b100 G +1- +1. +0% +#3884000 +0] +1i +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +07" +b11011 3" +1^ +1_ +b11111 0" +0o +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0/" +0x +0w +0," +b10000010010101101110101110111011 / +b10000010010101101110101110111011 K +b10000010010101101110101110111011 e +b10000010010101101110101110111011 "" +b100101110101100101100010000110 0 +b100101110101100101100010000110 M +b100101110101100101100010000110 f +b100101110101100101100010000110 !" +b10101101110110111001100100101011 &" +b10101101110110111001100100101011 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3884010 +b10101101110110111001100100101011 G" +#3884500 +b10001 5 +b10001 L +b10001 W +14 +b11011111001111100001011111000011 2 +b11011111001111100001011111000011 I +b11011111001111100001011111000011 Z +b11 3 +b11 J +b11 Y +b10001 ' +b10001 D +1) +b11011111001111100001011111000011 , +b11011111001111100001011111000011 H +b11 + +b11 G +1. +0% +#3885000 +1] +b1010001111110011000000110011000 { +b1010001111110011000000110011000 A" +0\ +0[ +0>" +0g +1;" +b11100 3" +0^ +b1110 0" +0k +18" +1l +1b +1a +1m +1/" +b11011111001111100001011111000011 &" +b11011111001111100001011111000011 ?" +b11 %" +b11 *" +b11 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3885010 +b11011111001111100001011111000011 F" +#3885500 +b0 5 +b0 L +b0 W +04 +b11001010101011100001100010110110 2 +b11001010101011100001100010110110 I +b11001010101011100001100010110110 Z +b1000 3 +b1000 J +b1000 Y +b0 ' +b0 D +0) +b11001010101011100001100010110110 , +b11001010101011100001100010110110 H +b1000 + +b1000 G +1. +0% +#3886000 +0] +1\ +1[ +1=" +1g +0;" +b10111 3" +1c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +0m +0/" +b1010001111110011000000110011000 / +b1010001111110011000000110011000 K +b1010001111110011000000110011000 e +b1010001111110011000000110011000 "" +b11001010101011100001100010110110 &" +b11001010101011100001100010110110 ?" +b1000 %" +b1000 *" +b1000 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3886010 +b11001010101011100001100010110110 K" +#3886500 +b1 5 +b1 L +b1 W +14 +b10000110110000000110011000001111 2 +b10000110110000000110011000001111 I +b10000110110000000110011000001111 Z +b11 3 +b11 J +b11 Y +b1 ' +b1 D +1) +b10000110110000000110011000001111 , +b10000110110000000110011000001111 H +b11 + +b11 G +1. +0% +#3887000 +1] +b1001000111111000000100100001101 { +b1001000111111000000100100001101 A" +0\ +0[ +0=" +0g +1;" +b11100 3" +0c +b11110 0" +1k +1b +1a +1m +1/" +b10000110110000000110011000001111 &" +b10000110110000000110011000001111 ?" +b11 %" +b11 *" +b11 1" +b1 ~ +b1 )" +b1 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3887010 +b10000110110000000110011000001111 F" +#3887500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b11010000010110101011000011000101 2 +b11010000010110101011000011000101 I +b11010000010110101011000011000101 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b11010000010110101011000011000101 , +b11010000010110101011000011000101 H +b11110 + +b11110 G +1. +0% +#3888000 +0_ +0] +0s +b10111010100111111100100100100001 | +b10111010100111111100100100100001 B" +1[ +1=" +1>" +1g +0;" +0q +15" +16" +17" +b1 3" +1<" +1` +0^ +0c +1d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +0t +0y +1z +0u +14" +1v +0a +0m +0/" +1w +1," +b1001000111111000000100100001101 / +b1001000111111000000100100001101 K +b1001000111111000000100100001101 e +b1001000111111000000100100001101 "" +b11010000010110101011000011000101 &" +b11010000010110101011000011000101 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#3888010 +b11010000010110101011000011000101 a" +#3888500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3889000 +1] +1s +1\ +0=" +0>" +0@" +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111010100111111100100100100001 0 +b10111010100111111100100100100001 M +b10111010100111111100100100100001 f +b10111010100111111100100100100001 !" +0. +1% +#3889500 +b11100100110110101000100000101001 2 +b11100100110110101000100000101001 I +b11100100110110101000100000101001 Z +b110 3 +b110 J +b110 Y +11 +b11100100110110101000100000101001 , +b11100100110110101000100000101001 H +b110 + +b110 G +1- +1. +0% +#3890000 +0] +0\ +1>" +1@" +b11001 3" +1^ +1_ +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100100110110101000100000101001 &" +b11100100110110101000100000101001 ?" +b110 %" +b110 *" +b110 1" +1'" +0. +1% +#3890010 +b11100100110110101000100000101001 I" +#3890500 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3891000 +1] +b10010110010011101010101110011110 | +b10010110010011101010101110011110 B" +1\ +0>" +0@" +17" +b11111 3" +0^ +0_ +1u +0b +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1#" +0. +1% +#3891500 +14 +b10100 7 +b10100 N +b10100 V +1) +b10100 & +b10100 C +1. +0% +#3892000 +b10010110010011101010101110011110 { +b10010110010011101010101110011110 A" +0u +0s +1;" +b100101110000000100110000111001 | +b100101110000000100110000111001 B" +16" +1k +b1011 -" +14" +1v +1t +1/" +b10010110010011101010101110011110 0 +b10010110010011101010101110011110 M +b10010110010011101010101110011110 f +b10010110010011101010101110011110 !" +1$" +b10100 } +b10100 (" +b10100 +" +0. +1% +#3892500 +04 +b0 7 +b0 N +b0 V +06 +0) +b0 & +b0 C +0( +1. +0% +#3893000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0k +b11111 -" +0t +04" +0v +0/" +0," +0$" +b0 } +b0 (" +b0 +" +0#" +b10010110010011101010101110011110 / +b10010110010011101010101110011110 K +b10010110010011101010101110011110 e +b10010110010011101010101110011110 "" +b100101110000000100110000111001 0 +b100101110000000100110000111001 M +b100101110000000100110000111001 f +b100101110000000100110000111001 !" +0. +1% +#3893500 +b10010011111100000010101000111010 2 +b10010011111100000010101000111010 I +b10010011111100000010101000111010 Z +b10010 3 +b10010 J +b10010 Y +11 +b10010011111100000010101000111010 , +b10010011111100000010101000111010 H +b10010 + +b10010 G +1- +1. +0% +#3894000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010011111100000010101000111010 &" +b10010011111100000010101000111010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +0. +1% +#3894010 +b10010011111100000010101000111010 U" +#3894500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3895000 +b11101001011110110100101000110000 | +b11101001011110110100101000110000 B" +1\ +0@" +17" +b11111 3" +0<" +0` +b1111 -" +0u +14" +1v +0b +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#3895500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b10110110101110100110110101110001 2 +b10110110101110100110110101110001 I +b10110110101110100110110101110001 Z +b1111 3 +b1111 J +b1111 Y +11 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b10110110101110100110110101110001 , +b10110110101110100110110101110001 H +b1111 + +b1111 G +1- +1. +0% +#3896000 +0] +b1001000111111000000100100001101 { +b1001000111111000000100100001101 A" +0\ +0[ +1=" +1>" +1@" +0g +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10000 3" +0^ +0c +1d +1_ +b11110 0" +1k +b11111 -" +04" +0v +1b +1a +12" +1m +1/" +0," +b11101001011110110100101000110000 0 +b11101001011110110100101000110000 M +b11101001011110110100101000110000 f +b11101001011110110100101000110000 !" +b10110110101110100110110101110001 &" +b10110110101110100110110101110001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3896010 +b10110110101110100110110101110001 R" +#3896500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3897000 +1] +1\ +1[ +0=" +0>" +0@" +1g +0;" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001000111111000000100100001101 / +b1001000111111000000100100001101 K +b1001000111111000000100100001101 e +b1001000111111000000100100001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3897500 +b1010 5 +b1010 L +b1010 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1010 ' +b1010 D +1) +b1000 & +b1000 C +1( +1. +0% +#3898000 +0i +b11001001101010010111001011001100 { +b11001001101010010111001011001100 A" +0s +b11001010101011100001100010110110 | +b11001010101011100001100010110110 B" +0h +19" +1;" +15" +17" +b10101 0" +1o +1k +b10111 -" +1y +1u +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010 ~ +b1010 )" +b1010 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#3898500 +b111 5 +b111 L +b111 W +b1101 7 +b1101 N +b1101 V +b111 ' +b111 D +b1101 & +b1101 C +1. +0% +#3899000 +1:" +1j +0y +0g +09" +0q +16" +b11000 0" +0o +b10100010001111001110000110000111 { +b10100010001111001110000110000111 A" +b10010 -" +1z +b11110101110101110101001110001001 | +b11110101110101110101001110001001 B" +1m +1w +b111 ~ +b111 )" +b111 ." +b1101 } +b1101 (" +b1101 +" +b11001001101010010111001011001100 / +b11001001101010010111001011001100 K +b11001001101010010111001011001100 e +b11001001101010010111001011001100 "" +b11001010101011100001100010110110 0 +b11001010101011100001100010110110 M +b11001010101011100001100010110110 f +b11001010101011100001100010110110 !" +0. +1% +#3899500 +b0 7 +b0 N +b0 V +06 +b1100000000011110011101100001101 2 +b1100000000011110011101100001101 I +b1100000000011110011101100001101 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 & +b0 C +0( +b1100000000011110011101100001101 , +b1100000000011110011101100001101 H +b11110 + +b11110 G +1- +1. +0% +#3900000 +0] +1s +0\ +1=" +1>" +1@" +1q +05" +06" +07" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0w +0," +b10100010001111001110000110000111 / +b10100010001111001110000110000111 K +b10100010001111001110000110000111 e +b10100010001111001110000110000111 "" +b11110101110101110101001110001001 0 +b11110101110101110101001110001001 M +b11110101110101110101001110001001 f +b11110101110101110101001110001001 !" +b1100000000011110011101100001101 &" +b1100000000011110011101100001101 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3900010 +b1100000000011110011101100001101 a" +#3900500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3901000 +1] +1i +1\ +0=" +0>" +0@" +1h +1g +0:" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3901500 +b11000 7 +b11000 N +b11000 V +16 +b11000 & +b11000 C +1( +1. +0% +#3902000 +0s +b10000010010101101110101110111011 | +b10000010010101101110101110111011 B" +15" +17" +b111 -" +1y +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#3902500 +b1000 7 +b1000 N +b1000 V +b1000 & +b1000 C +1. +0% +#3903000 +1u +17" +b11001010101011100001100010110110 | +b11001010101011100001100010110110 B" +b10111 -" +04" +0v +b1000 } +b1000 (" +b1000 +" +b10000010010101101110101110111011 0 +b10000010010101101110101110111011 M +b10000010010101101110101110111011 f +b10000010010101101110101110111011 !" +0. +1% +#3903500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +1. +0% +#3904000 +0i +b10000100001100000001010111011110 { +b10000100001100000001010111011110 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +0g +19" +1:" +1;" +05" +07" +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +0u +1n +1m +1/" +0," +b11001010101011100001100010110110 0 +b11001010101011100001100010110110 M +b11001010101011100001100010110110 f +b11001010101011100001100010110110 !" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3904500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#3905000 +1k +1i +1h +1g +1;" +09" +0:" +b11111 0" +08" +0l +0p +b10010110010011101010101110011110 { +b10010110010011101010101110011110 A" +0n +0m +b0 ~ +b0 )" +b0 ." +b10000100001100000001010111011110 / +b10000100001100000001010111011110 K +b10000100001100000001010111011110 e +b10000100001100000001010111011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3905500 +04 +0) +1. +0% +#3906000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +b10010110010011101010101110011110 / +b10010110010011101010101110011110 K +b10010110010011101010101110011110 e +b10010110010011101010101110011110 "" +0$" +0. +1% +#3906500 +b100 5 +b100 L +b100 W +14 +b100 ' +b100 D +1) +1. +0% +#3907000 +0i +b10101101110110111001100100101011 { +b10101101110110111001100100101011 A" +1:" +1;" +b11011 0" +1j +1k +1/" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3907500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#3908000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b10101101110110111001100100101011 / +b10101101110110111001100100101011 K +b10101101110110111001100100101011 e +b10101101110110111001100100101011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3908500 +b10011000000011110101111000011111 2 +b10011000000011110101111000011111 I +b10011000000011110101111000011111 Z +b10111 3 +b10111 J +b10111 Y +11 +b10011000000011110101111000011111 , +b10011000000011110101111000011111 H +b10111 + +b10111 G +1- +1. +0% +#3909000 +0] +0\ +0[ +1>" +1@" +b1000 3" +1^ +0_ +1<" +1` +1b +1a +12" +b10011000000011110101111000011111 &" +b10011000000011110101111000011111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3909010 +b10011000000011110101111000011111 Z" +#3909500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3910000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3910500 +b10000 5 +b10000 L +b10000 W +14 +b1001111110000101011000001100001 2 +b1001111110000101011000001100001 I +b1001111110000101011000001100001 Z +b11011 3 +b11011 J +b11011 Y +11 +b10000 ' +b10000 D +1) +b1001111110000101011000001100001 , +b1001111110000101011000001100001 H +b11011 + +b11011 G +1- +1. +0% +#3911000 +0] +b11101001011110110100101000110000 { +b11101001011110110100101000110000 A" +0\ +0[ +1=" +1@" +1;" +b100 3" +1c +0_ +1<" +1` +b1111 0" +0k +18" +1l +1b +1a +12" +1/" +b1001111110000101011000001100001 &" +b1001111110000101011000001100001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#3911010 +b1001111110000101011000001100001 ^" +#3911500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b11001101110101011101100101101010 2 +b11001101110101011101100101101010 I +b11001101110101011101100101101010 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +b11001101110101011101100101101010 , +b11001101110101011101100101101010 H +b11110 + +b11110 G +1. +0% +#3912000 +0c +0s +b100101101010100101001100100000 | +b100101101010100101001100100000 B" +1[ +1>" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +15" +16" +17" +b1 3" +1d +b11111 0" +08" +0l +b10001 -" +0t +0y +1z +1u +0a +0/" +1x +1," +b11101001011110110100101000110000 / +b11101001011110110100101000110000 K +b11101001011110110100101000110000 e +b11101001011110110100101000110000 "" +b11001101110101011101100101101010 &" +b11001101110101011101100101101010 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#3912010 +b11001101110101011101100101101010 a" +#3912500 +b0 7 +b0 N +b0 V +06 +b10011000111111111001010101000001 2 +b10011000111111111001010101000001 I +b10011000111111111001010101000001 Z +b11 3 +b11 J +b11 Y +b0 & +b0 C +0( +b10011000111111111001010101000001 , +b10011000111111111001010101000001 H +b11 + +b11 G +1. +0% +#3913000 +1_ +1] +1s +0[ +1@" +0=" +0>" +1r +05" +06" +07" +b11100 3" +0<" +0` +0d +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0x +0," +b10011000111111111001010101000001 &" +b10011000111111111001010101000001 ?" +b11 %" +b11 *" +b11 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101101010100101001100100000 0 +b100101101010100101001100100000 M +b100101101010100101001100100000 f +b100101101010100101001100100000 !" +0. +1% +#3913010 +b10011000111111111001010101000001 F" +#3913500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3914000 +1\ +1[ +0@" +b11111 3" +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#3914500 +b10110 7 +b10110 N +b10110 V +16 +b11110011111001110110011111011 2 +b11110011111001110110011111011 I +b11110011111001110110011111011 Z +b11101 3 +b11101 J +b11101 Y +11 +b10110 & +b10110 C +1( +b11110011111001110110011111011 , +b11110011111001110110011111011 H +b11101 + +b11101 G +1- +1. +0% +#3915000 +0] +0s +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +0[ +1=" +1>" +1@" +0r +16" +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b1001 -" +1t +0u +14" +1v +1a +12" +1x +1," +b11110011111001110110011111011 &" +b11110011111001110110011111011 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#3915010 +b11110011111001110110011111011 `" +#3915500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3916000 +1] +0i +b10000100001100000001010111011110 { +b10000100001100000001010111011110 A" +1s +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +1r +06" +07" +b11111 3" +0d +0<" +0` +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +1m +1/" +0x +0," +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3916500 +b0 5 +b0 L +b0 W +04 +b1101100011000100110000100101 2 +b1101100011000100110000100101 I +b1101100011000100110000100101 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b1101100011000100110000100101 , +b1101100011000100110000100101 H +b11000 + +b11000 G +1- +1. +0% +#3917000 +0] +1i +1=" +1@" +1h +1g +09" +0:" +0;" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0m +0/" +b1101100011000100110000100101 &" +b1101100011000100110000100101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000100001100000001010111011110 / +b10000100001100000001010111011110 K +b10000100001100000001010111011110 e +b10000100001100000001010111011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3917010 +b1101100011000100110000100101 [" +#3917500 +b11101 5 +b11101 L +b11101 W +14 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3918000 +1] +0i +b11110011111001110110011111011 { +b11110011111001110110011111011 A" +b111111100001011111001110000111 | +b111111100001011111001110000111 B" +0=" +0@" +0g +19" +1:" +1;" +0r +0q +17" +b11111 3" +0c +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +b1100 -" +0u +14" +1v +02" +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#3918500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b0 ' +b0 D +0) +b0 & +b0 C +1. +0% +#3919000 +1i +1u +1g +09" +0:" +0;" +1r +1q +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +04" +0v +b10010110010011101010101110011110 | +b10010110010011101010101110011110 B" +0m +0/" +0x +0w +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +b11110011111001110110011111011 / +b11110011111001110110011111011 K +b11110011111001110110011111011 e +b11110011111001110110011111011 "" +b111111100001011111001110000111 0 +b111111100001011111001110000111 M +b111111100001011111001110000111 f +b111111100001011111001110000111 !" +0. +1% +#3919500 +b1110 5 +b1110 L +b1110 W +14 +b10111 7 +b10111 N +b10111 V +b1110 ' +b1110 D +1) +b10111 & +b10111 C +1. +0% +#3920000 +0i +b100101101010100101001100100000 { +b100101101010100101001100100000 A" +0u +0s +0h +19" +1:" +1;" +0r +0q +16" +b10001 0" +0j +0o +1p +1k +b1000 -" +14" +1v +1t +b10011000000011110101111000011111 | +b10011000000011110101111000011111 B" +1n +1/" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010110010011101010101110011110 0 +b10010110010011101010101110011110 M +b10010110010011101010101110011110 f +b10010110010011101010101110011110 !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b10111 } +b10111 (" +b10111 +" +0. +1% +#3920500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b0 ' +b0 D +0) +b10011 & +b10011 C +1. +0% +#3921000 +1i +1s +b111111100001011111001110000111 | +b111111100001011111001110000111 B" +1h +09" +0:" +0;" +06" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0t +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b100101101010100101001100100000 / +b100101101010100101001100100000 K +b100101101010100101001100100000 e +b100101101010100101001100100000 "" +b10011000000011110101111000011111 0 +b10011000000011110101111000011111 M +b10011000000011110101111000011111 f +b10011000000011110101111000011111 !" +0. +1% +#3921500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3922000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111111100001011111001110000111 0 +b111111100001011111001110000111 M +b111111100001011111001110000111 f +b111111100001011111001110000111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3922500 +1. +0% +#3923000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3923500 +b111 5 +b111 L +b111 W +14 +b11000 7 +b11000 N +b11000 V +16 +b11001000000011101111100011001 2 +b11001000000011101111100011001 I +b11001000000011101111100011001 Z +b1110 3 +b1110 J +b1110 Y +11 +b111 ' +b111 D +1) +b11000 & +b11000 C +1( +b11001000000011101111100011001 , +b11001000000011101111100011001 H +b1110 + +b1110 G +1- +1. +0% +#3924000 +0] +0i +b10100010001111001110000110000111 { +b10100010001111001110000110000111 A" +0s +b1101100011000100110000100101 | +b1101100011000100110000100101 B" +0\ +1=" +1>" +1@" +0h +0g +1:" +1;" +15" +17" +b10001 3" +0^ +0c +1d +1_ +b11000 0" +1j +1k +b111 -" +1y +0u +14" +1v +1b +12" +1n +1m +1/" +1," +b11001000000011101111100011001 &" +b11001000000011101111100011001 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#3924010 +b11001000000011101111100011001 Q" +#3924500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3925000 +1] +1i +1s +1\ +0=" +0>" +0@" +1h +1g +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +0b +02" +0n +0m +0/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10100010001111001110000110000111 / +b10100010001111001110000110000111 K +b10100010001111001110000110000111 e +b10100010001111001110000110000111 "" +b1101100011000100110000100101 0 +b1101100011000100110000100101 M +b1101100011000100110000100101 f +b1101100011000100110000100101 !" +0. +1% +#3925500 +b100 5 +b100 L +b100 W +14 +b100 ' +b100 D +1) +1. +0% +#3926000 +0i +b10101101110110111001100100101011 { +b10101101110110111001100100101011 A" +1:" +1;" +b11011 0" +1j +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#3926500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b11001100100100000101101000000110 2 +b11001100100100000101101000000110 I +b11001100100100000101101000000110 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b111 & +b111 C +1( +b11001100100100000101101000000110 , +b11001100100100000101101000000110 H +b11000 + +b11000 G +1- +1. +0% +#3927000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10100010001111001110000110000111 | +b10100010001111001110000110000111 B" +1=" +1@" +0:" +0;" +0r +0q +16" +17" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0j +0k +b11000 -" +1t +1u +12" +0/" +1x +1w +1," +b11001100100100000101101000000110 &" +b11001100100100000101101000000110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +b10101101110110111001100100101011 / +b10101101110110111001100100101011 K +b10101101110110111001100100101011 e +b10101101110110111001100100101011 "" +0. +1% +#3927010 +b11001100100100000101101000000110 [" +#3927500 +b1110 7 +b1110 N +b1110 V +b10001011011000010000000000010011 2 +b10001011011000010000000000010011 I +b10001011011000010000000000010011 Z +b1000 3 +b1000 J +b1000 Y +b1110 & +b1110 C +b10001011011000010000000000010011 , +b10001011011000010000000000010011 H +b1000 + +b1000 G +1. +0% +#3928000 +1_ +0t +1@" +1q +15" +b10111 3" +0<" +0` +b10001 -" +1z +b11001000000011101111100011001 | +b11001000000011101111100011001 B" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100010001111001110000110000111 0 +b10100010001111001110000110000111 M +b10100010001111001110000110000111 f +b10100010001111001110000110000111 !" +b10001011011000010000000000010011 &" +b10001011011000010000000000010011 ?" +b1000 %" +b1000 *" +b1000 1" +b1110 } +b1110 (" +b1110 +" +0. +1% +#3928010 +b10001011011000010000000000010011 K" +#3928500 +b11001 5 +b11001 L +b11001 W +14 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3929000 +1] +0i +b1101000110011000100001011010000 { +b1101000110011000100001011010000 A" +0u +0=" +0@" +0g +19" +1;" +0q +b11111 3" +0c +0_ +b110 0" +1o +0k +18" +1l +b0 -" +14" +1v +b10000100001100000001010111011110 | +b10000100001100000001010111011110 B" +02" +1m +1/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b11111 } +b11111 (" +b11111 +" +b11001000000011101111100011001 0 +b11001000000011101111100011001 M +b11001000000011101111100011001 f +b11001000000011101111100011001 !" +0. +1% +#3929500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#3930000 +1i +1s +1g +09" +0;" +1r +1q +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b1101000110011000100001011010000 / +b1101000110011000100001011010000 K +b1101000110011000100001011010000 e +b1101000110011000100001011010000 "" +b10000100001100000001010111011110 0 +b10000100001100000001010111011110 M +b10000100001100000001010111011110 f +b10000100001100000001010111011110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3930500 +b10111 5 +b10111 L +b10111 W +14 +b10111 ' +b10111 D +1) +1. +0% +#3931000 +0i +b10011000000011110101111000011111 { +b10011000000011110101111000011111 A" +0h +0g +1:" +1;" +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3931500 +b10001 5 +b10001 L +b10001 W +b110 7 +b110 N +b110 V +16 +b1100001100011011111111001001110 2 +b1100001100011011111111001001110 I +b1100001100011011111111001001110 Z +b11011 3 +b11011 J +b11011 Y +11 +b10001 ' +b10001 D +b110 & +b110 C +1( +b1100001100011011111111001001110 , +b1100001100011011111111001001110 H +b11011 + +b11011 G +1- +1. +0% +#3932000 +0] +1i +0s +b11100100110110101000100000101001 | +b11100100110110101000100000101001 B" +0\ +0[ +1=" +1@" +1h +0:" +0r +16" +17" +b100 3" +1c +0_ +1<" +1` +b1110 0" +0j +b1010001111110011000000110011000 { +b1010001111110011000000110011000 A" +b11001 -" +1t +1u +1b +1a +12" +0n +1x +1," +b10011000000011110101111000011111 / +b10011000000011110101111000011111 K +b10011000000011110101111000011111 e +b10011000000011110101111000011111 "" +b1100001100011011111111001001110 &" +b1100001100011011111111001001110 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +b110 } +b110 (" +b110 +" +1#" +0. +1% +#3932010 +b1100001100011011111111001001110 ^" +#3932500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3933000 +1] +0u +1s +1\ +1[ +0=" +0@" +1g +0;" +0q +06" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +14" +1v +0t +b111111100001011111001110000111 | +b111111100001011111001110000111 B" +0b +0a +02" +0m +0/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b1010001111110011000000110011000 / +b1010001111110011000000110011000 K +b1010001111110011000000110011000 e +b1010001111110011000000110011000 "" +b11100100110110101000100000101001 0 +b11100100110110101000100000101001 M +b11100100110110101000100000101001 f +b11100100110110101000100000101001 !" +0. +1% +#3933500 +b0 7 +b0 N +b0 V +06 +b10010011010100001101111110000110 2 +b10010011010100001101111110000110 I +b10010011010100001101111110000110 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b10010011010100001101111110000110 , +b10010011010100001101111110000110 H +b111 + +b111 G +1- +1. +0% +#3934000 +0] +0\ +0[ +1>" +1@" +1r +1q +07" +b11000 3" +1^ +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111111100001011111001110000111 0 +b111111100001011111001110000111 M +b111111100001011111001110000111 f +b111111100001011111001110000111 !" +b10010011010100001101111110000110 &" +b10010011010100001101111110000110 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3934010 +b10010011010100001101111110000110 J" +#3934500 +b10001 5 +b10001 L +b10001 W +14 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3935000 +1] +b1010001111110011000000110011000 { +b1010001111110011000000110011000 A" +b10010110010011101010101110011110 | +b10010110010011101010101110011110 B" +1\ +1[ +0>" +0@" +0g +1;" +17" +b11111 3" +0^ +0_ +b1110 0" +0k +18" +1l +1u +0b +0a +02" +1m +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3935500 +b100 5 +b100 L +b100 W +b1011 7 +b1011 N +b1011 V +b100 ' +b100 D +b1011 & +b1011 C +1. +0% +#3936000 +1k +0i +0s +1g +1;" +1:" +0r +0q +15" +b11011 0" +08" +0l +1j +b10101101110110111001100100101011 { +b10101101110110111001100100101011 A" +b10100 -" +1y +b100101100101101011001000110101 | +b100101100101101011001000110101 B" +0m +1x +1w +b1010001111110011000000110011000 / +b1010001111110011000000110011000 K +b1010001111110011000000110011000 e +b1010001111110011000000110011000 "" +b10010110010011101010101110011110 0 +b10010110010011101010101110011110 M +b10010110010011101010101110011110 f +b10010110010011101010101110011110 !" +b100 ~ +b100 )" +b100 ." +b1011 } +b1011 (" +b1011 +" +0. +1% +#3936500 +b10001 5 +b10001 L +b10001 W +b11010 7 +b11010 N +b11010 V +b10001 ' +b10001 D +b11010 & +b11010 C +1. +0% +#3937000 +0k +1i +0u +0g +0:" +1q +b1110 0" +18" +1l +0j +b1010001111110011000000110011000 { +b1010001111110011000000110011000 A" +b101 -" +14" +1v +b10011101011101111110101011000110 | +b10011101011101111110101011000110 B" +1m +0w +b10001 ~ +b10001 )" +b10001 ." +b11010 } +b11010 (" +b11010 +" +b10101101110110111001100100101011 / +b10101101110110111001100100101011 K +b10101101110110111001100100101011 e +b10101101110110111001100100101011 "" +b100101100101101011001000110101 0 +b100101100101101011001000110101 M +b100101100101101011001000110101 f +b100101100101101011001000110101 !" +0. +1% +#3937500 +b1100 5 +b1100 L +b1100 W +b10010 7 +b10010 N +b10010 V +b1100 ' +b1100 D +b10010 & +b10010 C +1. +0% +#3938000 +1k +0i +1s +b10010011111100000010101000111010 | +b10010011111100000010101000111010 B" +1g +1;" +19" +1:" +05" +b10011 0" +08" +0l +0j +0o +1p +b11011011101110010111100100001100 { +b11011011101110010111100100001100 A" +b1101 -" +0y +0m +b1010001111110011000000110011000 / +b1010001111110011000000110011000 K +b1010001111110011000000110011000 e +b1010001111110011000000110011000 "" +b10011101011101111110101011000110 0 +b10011101011101111110101011000110 M +b10011101011101111110101011000110 f +b10011101011101111110101011000110 !" +b1100 ~ +b1100 )" +b1100 ." +b10010 } +b10010 (" +b10010 +" +0. +1% +#3938500 +b101 5 +b101 L +b101 W +b0 7 +b0 N +b0 V +06 +b101 ' +b101 D +b0 & +b0 C +0( +1. +0% +#3939000 +1j +0g +09" +1:" +1r +07" +b11010 0" +0p +b10011110001000010100001000010010 { +b10011110001000010100001000010010 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +0x +0," +b101 ~ +b101 )" +b101 ." +b0 } +b0 (" +b0 +" +0#" +b11011011101110010111100100001100 / +b11011011101110010111100100001100 K +b11011011101110010111100100001100 e +b11011011101110010111100100001100 "" +b10010011111100000010101000111010 0 +b10010011111100000010101000111010 M +b10010011111100000010101000111010 f +b10010011111100000010101000111010 !" +0. +1% +#3939500 +b1100 5 +b1100 L +b1100 W +b11001000011111011011000100111111 2 +b11001000011111011011000100111111 I +b11001000011111011011000100111111 Z +b1111 3 +b1111 J +b1111 Y +11 +b1100 ' +b1100 D +b11001000011111011011000100111111 , +b11001000011111011011000100111111 H +b1111 + +b1111 G +1- +1. +0% +#3940000 +0] +0j +0\ +0[ +1=" +1>" +1@" +1g +19" +b10000 3" +0^ +0c +1d +1_ +b10011 0" +1p +b11011011101110010111100100001100 { +b11011011101110010111100100001100 A" +1b +1a +12" +0m +b10011110001000010100001000010010 / +b10011110001000010100001000010010 K +b10011110001000010100001000010010 e +b10011110001000010100001000010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001000011111011011000100111111 &" +b11001000011111011011000100111111 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +0. +1% +#3940010 +b11001000011111011011000100111111 R" +#3940500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3941000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b1001000111111000000100100001101 | +b1001000111111000000100100001101 B" +1\ +1[ +0=" +0>" +0@" +09" +0:" +0;" +0q +17" +b11111 3" +0d +0_ +b11111 0" +0p +0k +b11110 -" +1u +0b +0a +02" +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b11011011101110010111100100001100 / +b11011011101110010111100100001100 K +b11011011101110010111100100001100 e +b11011011101110010111100100001100 "" +0. +1% +#3941500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#3942000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001000111111000000100100001101 0 +b1001000111111000000100100001101 M +b1001000111111000000100100001101 f +b1001000111111000000100100001101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3942500 +1. +0% +#3943000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3943500 +b11000 5 +b11000 L +b11000 W +14 +b10111 7 +b10111 N +b10111 V +16 +b10000011110010001010111000110101 2 +b10000011110010001010111000110101 I +b10000011110010001010111000110101 Z +b10001 3 +b10001 J +b10001 Y +11 +b11000 ' +b11000 D +1) +b10111 & +b10111 C +1( +b10000011110010001010111000110101 , +b10000011110010001010111000110101 H +b10001 + +b10001 G +1- +1. +0% +#3944000 +0i +b11001100100100000101101000000110 { +b11001100100100000101101000000110 A" +0s +b10011000000011110101111000011111 | +b10011000000011110101111000011111 B" +0[ +1@" +19" +1;" +0r +0q +16" +17" +b1110 3" +0_ +1<" +1` +b111 0" +1o +0k +18" +1l +b1000 -" +1t +0u +14" +1v +1a +12" +1/" +1x +1w +1," +b10000011110010001010111000110101 &" +b10000011110010001010111000110101 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#3944010 +b10000011110010001010111000110101 T" +#3944500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b10001110000010010001100011010110 2 +b10001110000010010001100011010110 I +b10001110000010010001100011010110 Z +b1111 3 +b1111 J +b1111 Y +b11 ' +b11 D +b0 & +b0 C +0( +b10001110000010010001100011010110 , +b10001110000010010001100011010110 H +b1111 + +b1111 G +1. +0% +#3945000 +1_ +0] +1k +1i +1s +0\ +1@" +1=" +1>" +0h +0g +1;" +09" +1r +1q +06" +07" +b10000 3" +0<" +0` +0^ +0c +1d +b11100 0" +08" +0l +0o +b10011000111111111001010101000001 { +b10011000111111111001010101000001 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1n +1m +0x +0w +0," +b10001110000010010001100011010110 &" +b10001110000010010001100011010110 ?" +b1111 %" +b1111 *" +b1111 1" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +b11001100100100000101101000000110 / +b11001100100100000101101000000110 K +b11001100100100000101101000000110 e +b11001100100100000101101000000110 "" +b10011000000011110101111000011111 0 +b10011000000011110101111000011111 M +b10011000000011110101111000011111 f +b10011000000011110101111000011111 !" +0. +1% +#3945010 +b10001110000010010001100011010110 R" +#3945500 +b1000 5 +b1000 L +b1000 W +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3946000 +1] +0i +0s +b100101100101101011001000110101 | +b100101100101101011001000110101 B" +1\ +1[ +0=" +0>" +0@" +1h +1g +19" +0r +0q +15" +17" +b11111 3" +0d +0_ +b10111 0" +1o +b10001011011000010000000000010011 { +b10001011011000010000000000010011 A" +b10100 -" +1y +1u +0b +0a +02" +0n +0m +1x +1w +1," +b10011000111111111001010101000001 / +b10011000111111111001010101000001 K +b10011000111111111001010101000001 e +b10011000111111111001010101000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#3946500 +b0 5 +b0 L +b0 W +b11110 7 +b11110 N +b11110 V +b0 ' +b0 D +b11110 & +b11110 C +1. +0% +#3947000 +1i +b10010110010011101010101110011110 { +b10010110010011101010101110011110 A" +0u +0y +09" +1q +16" +b11111 0" +0o +b1 -" +14" +1v +1z +b11001101110101011101100101101010 | +b11001101110101011101100101101010 B" +0w +b0 ~ +b0 )" +b0 ." +b11110 } +b11110 (" +b11110 +" +b10001011011000010000000000010011 / +b10001011011000010000000000010011 K +b10001011011000010000000000010011 e +b10001011011000010000000000010011 "" +b100101100101101011001000110101 0 +b100101100101101011001000110101 M +b100101100101101011001000110101 f +b100101100101101011001000110101 !" +0. +1% +#3947500 +04 +b10101 7 +b10101 N +b10101 V +0) +b10101 & +b10101 C +1. +0% +#3948000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1t +0;" +1r +0q +05" +16" +0k +b1010 -" +0z +b1001100000101101000011111101001 | +b1001100000101101000011111101001 B" +0/" +0x +1w +b10010110010011101010101110011110 / +b10010110010011101010101110011110 K +b10010110010011101010101110011110 e +b10010110010011101010101110011110 "" +b11001101110101011101100101101010 0 +b11001101110101011101100101101010 M +b11001101110101011101100101101010 f +b11001101110101011101100101101010 !" +0$" +b10101 } +b10101 (" +b10101 +" +0. +1% +#3948500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b100110111001111101100000111111 2 +b100110111001111101100000111111 I +b100110111001111101100000111111 Z +b11110 3 +b11110 J +b11110 Y +11 +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +b100110111001111101100000111111 , +b100110111001111101100000111111 H +b11110 + +b11110 G +1- +1. +0% +#3949000 +0] +0i +b1100001100011011111111001001110 { +b1100001100011011111111001001110 A" +1s +0\ +1=" +1>" +1@" +0h +0g +19" +1;" +1q +06" +07" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b100 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1n +1m +1/" +0w +0," +b100110111001111101100000111111 &" +b100110111001111101100000111111 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001100000101101000011111101001 0 +b1001100000101101000011111101001 M +b1001100000101101000011111101001 f +b1001100000101101000011111101001 !" +0. +1% +#3949010 +b100110111001111101100000111111 a" +#3949500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3950000 +1] +1i +1\ +0=" +0>" +0@" +1h +1g +09" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0m +0/" +b1100001100011011111111001001110 / +b1100001100011011111111001001110 K +b1100001100011011111111001001110 e +b1100001100011011111111001001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#3950500 +b11010 5 +b11010 L +b11010 W +14 +b10110001000000101101111101010001 2 +b10110001000000101101111101010001 I +b10110001000000101101111101010001 Z +b1001 3 +b1001 J +b1001 Y +11 +b11010 ' +b11010 D +1) +b10110001000000101101111101010001 , +b10110001000000101101111101010001 H +b1001 + +b1001 G +1- +1. +0% +#3951000 +0] +0i +b10011101011101111110101011000110 { +b10011101011101111110101011000110 A" +0[ +1=" +1@" +0h +19" +1;" +b10110 3" +1c +1_ +b101 0" +1o +0k +18" +1l +1a +12" +1n +1/" +b10110001000000101101111101010001 &" +b10110001000000101101111101010001 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#3951010 +b10110001000000101101111101010001 L" +#3951500 +b1111 5 +b1111 L +b1111 W +b10011110011110000111000010000001 2 +b10011110011110000111000010000001 I +b10011110011110000111000010000001 Z +b11 3 +b11 J +b11 Y +b1111 ' +b1111 D +b10011110011110000111000010000001 , +b10011110011110000111000010000001 H +b11 + +b11 G +1. +0% +#3952000 +1] +1k +0o +0\ +0=" +0g +1;" +1:" +b11100 3" +0c +b10000 0" +08" +0l +1p +b10001110000010010001100011010110 { +b10001110000010010001100011010110 A" +1b +1m +b10011101011101111110101011000110 / +b10011101011101111110101011000110 K +b10011101011101111110101011000110 e +b10011101011101111110101011000110 "" +b10011110011110000111000010000001 &" +b10011110011110000111000010000001 ?" +b11 %" +b11 *" +b11 1" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#3952010 +b10011110011110000111000010000001 F" +#3952500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3953000 +1i +1\ +1[ +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10001110000010010001100011010110 / +b10001110000010010001100011010110 K +b10001110000010010001100011010110 e +b10001110000010010001100011010110 "" +0. +1% +#3953500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#3954000 +b111111100001011111001110000111 { +b111111100001011111001110000111 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#3954500 +b1101 5 +b1101 L +b1101 W +b11 7 +b11 N +b11 V +16 +b1101 ' +b1101 D +b11 & +b11 C +1( +1. +0% +#3955000 +1k +0i +b10011110011110000111000010000001 | +b10011110011110000111000010000001 B" +1h +1;" +19" +1:" +0r +0q +17" +b10010 0" +08" +0l +0j +0o +1p +b11110101110101110101001110001001 { +b11110101110101110101001110001001 A" +b11100 -" +1u +0n +1x +1w +1," +b1101 ~ +b1101 )" +b1101 ." +b11 } +b11 (" +b11 +" +1#" +b111111100001011111001110000111 / +b111111100001011111001110000111 K +b111111100001011111001110000111 e +b111111100001011111001110000111 "" +0. +1% +#3955500 +b11101 5 +b11101 L +b11101 W +b0 7 +b0 N +b0 V +06 +b110001011000101010011001100110 2 +b110001011000101010011001100110 I +b110001011000101010011001100110 Z +b11100 3 +b11100 J +b11100 Y +11 +b11101 ' +b11101 D +b0 & +b0 C +0( +b110001011000101010011001100110 , +b110001011000101010011001100110 H +b11100 + +b11100 G +1- +1. +0% +#3956000 +0] +0k +1=" +1>" +1@" +b11110011111001110110011111011 { +b11110011111001110110011111011 A" +1r +1q +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b10 0" +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0x +0w +0," +b11110101110101110101001110001001 / +b11110101110101110101001110001001 K +b11110101110101110101001110001001 e +b11110101110101110101001110001001 "" +b10011110011110000111000010000001 0 +b10011110011110000111000010000001 M +b10011110011110000111000010000001 f +b10011110011110000111000010000001 !" +b110001011000101010011001100110 &" +b110001011000101010011001100110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3956010 +b110001011000101010011001100110 _" +#3956500 +b100 5 +b100 L +b100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3957000 +1] +1k +1j +0=" +0>" +0@" +1g +1;" +09" +1:" +b11111 3" +0d +0<" +0` +b11011 0" +08" +0l +0p +b10101101110110111001100100101011 { +b10101101110110111001100100101011 A" +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +b11110011111001110110011111011 / +b11110011111001110110011111011 K +b11110011111001110110011111011 e +b11110011111001110110011111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3957500 +b1011 5 +b1011 L +b1011 W +b1011 ' +b1011 D +1. +0% +#3958000 +19" +1o +0h +0g +0:" +b10100 0" +0j +b100101100101101011001000110101 { +b100101100101101011001000110101 A" +1n +1m +b10101101110110111001100100101011 / +b10101101110110111001100100101011 K +b10101101110110111001100100101011 e +b10101101110110111001100100101011 "" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#3958500 +b11000 5 +b11000 L +b11000 W +b1101 7 +b1101 N +b1101 V +16 +b1011110001011111101000011011101 2 +b1011110001011111101000011011101 I +b1011110001011111101000011011101 Z +b10001 3 +b10001 J +b10001 Y +11 +b11000 ' +b11000 D +b1101 & +b1101 C +1( +b1011110001011111101000011011101 , +b1011110001011111101000011011101 H +b10001 + +b10001 G +1- +1. +0% +#3959000 +0k +0s +b11110101110101110101001110001001 | +b11110101110101110101001110001001 B" +0[ +1@" +1h +1g +0q +15" +16" +17" +b1110 3" +0_ +1<" +1` +b111 0" +18" +1l +b11001100100100000101101000000110 { +b11001100100100000101101000000110 A" +b10010 -" +0t +0y +1z +1u +1a +12" +0n +0m +1w +1," +b1011110001011111101000011011101 &" +b1011110001011111101000011011101 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +b1101 } +b1101 (" +b1101 +" +1#" +b100101100101101011001000110101 / +b100101100101101011001000110101 K +b100101100101101011001000110101 e +b100101100101101011001000110101 "" +0. +1% +#3959010 +b1011110001011111101000011011101 T" +#3959500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3960000 +1k +1s +1[ +0@" +0h +1;" +1q +05" +06" +07" +b11111 3" +0<" +0` +b10101 0" +08" +0l +b11001001101010010111001011001100 { +b11001001101010010111001011001100 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +1n +0w +0," +b11001100100100000101101000000110 / +b11001100100100000101101000000110 K +b11001100100100000101101000000110 e +b11001100100100000101101000000110 "" +b11110101110101110101001110001001 0 +b11110101110101110101001110001001 M +b11110101110101110101001110001001 f +b11110101110101110101001110001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3960500 +b1111 5 +b1111 L +b1111 W +b10 7 +b10 N +b10 V +16 +b10101101001011101010100111000100 2 +b10101101001011101010100111000100 I +b10101101001011101010100111000100 Z +b10001 3 +b10001 J +b10001 Y +11 +b1111 ' +b1111 D +b10 & +b10 C +1( +b10101101001011101010100111000100 , +b10101101001011101010100111000100 H +b10001 + +b10001 G +1- +1. +0% +#3961000 +0o +b10000100010111010101010111010110 | +b10000100010111010101010111010110 B" +0[ +1@" +0g +1:" +0r +17" +b1110 3" +0_ +1<" +1` +b10000 0" +1p +b10001110000010010001100011010110 { +b10001110000010010001100011010110 A" +b11101 -" +1u +1a +12" +1m +1x +1," +b10101101001011101010100111000100 &" +b10101101001011101010100111000100 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +b10 } +b10 (" +b10 +" +1#" +b11001001101010010111001011001100 / +b11001001101010010111001011001100 K +b11001001101010010111001011001100 e +b11001001101010010111001011001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3961010 +b10101101001011101010100111000100 T" +#3961500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b1101111010101100100110101110 2 +b1101111010101100100110101110 I +b1101111010101100100110101110 Z +b1110 3 +b1110 J +b1110 Y +b10101 ' +b10101 D +b0 & +b0 C +0( +b1101111010101100100110101110 , +b1101111010101100100110101110 H +b1110 + +b1110 G +1. +0% +#3962000 +1_ +0] +0k +1j +0\ +1[ +1@" +1=" +1>" +1h +09" +1:" +1r +07" +b10001 3" +0<" +0` +0^ +0c +1d +b1010 0" +18" +1l +0p +b1001100000101101000011111101001 { +b1001100000101101000011111101001 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0a +0n +0x +0," +b10001110000010010001100011010110 / +b10001110000010010001100011010110 K +b10001110000010010001100011010110 e +b10001110000010010001100011010110 "" +b10000100010111010101010111010110 0 +b10000100010111010101010111010110 M +b10000100010111010101010111010110 f +b10000100010111010101010111010110 !" +b1101111010101100100110101110 &" +b1101111010101100100110101110 ?" +b1110 %" +b1110 *" +b1110 1" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3962010 +b1101111010101100100110101110 Q" +#3962500 +b1001 5 +b1001 L +b1001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3963000 +19" +1] +1k +1o +1\ +0=" +0>" +0@" +1;" +b10110001000000101101111101010001 { +b10110001000000101101111101010001 A" +0:" +b11111 3" +0d +0_ +b10110 0" +08" +0l +0j +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b1001100000101101000011111101001 / +b1001100000101101000011111101001 K +b1001100000101101000011111101001 e +b1001100000101101000011111101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3963500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#3964000 +1i +0s +b10001011011000010000000000010011 | +b10001011011000010000000000010011 B" +1g +09" +0;" +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +0m +0/" +1," +b10110001000000101101111101010001 / +b10110001000000101101111101010001 K +b10110001000000101101111101010001 e +b10110001000000101101111101010001 "" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#3964500 +b1101 7 +b1101 N +b1101 V +b10111100101110001000010011000111 2 +b10111100101110001000010011000111 I +b10111100101110001000010011000111 Z +b1011 3 +b1011 J +b1011 Y +11 +b1101 & +b1101 C +b10111100101110001000010011000111 , +b10111100101110001000010011000111 H +b1011 + +b1011 G +1- +1. +0% +#3965000 +0] +0y +0\ +0[ +1=" +1@" +0q +16" +b10100 3" +1c +1_ +b10010 -" +1z +b11110101110101110101001110001001 | +b11110101110101110101001110001001 B" +1b +1a +12" +1w +b10111100101110001000010011000111 &" +b10111100101110001000010011000111 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b1101 } +b1101 (" +b1101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001011011000010000000000010011 0 +b10001011011000010000000000010011 M +b10001011011000010000000000010011 f +b10001011011000010000000000010011 !" +0. +1% +#3965010 +b10111100101110001000010011000111 N" +#3965500 +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3966000 +1] +1y +1\ +1[ +0=" +0@" +0r +1q +15" +06" +b11111 3" +0c +0_ +b10101 -" +0z +b11001001101010010111001011001100 | +b11001001101010010111001011001100 B" +0b +0a +02" +1x +0w +b11110101110101110101001110001001 0 +b11110101110101110101001110001001 M +b11110101110101110101001110001001 f +b11110101110101110101001110001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 } +b1010 (" +b1010 +" +0. +1% +#3966500 +b0 7 +b0 N +b0 V +06 +b1110010000001101011111010001001 2 +b1110010000001101011111010001001 I +b1110010000001101011111010001001 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 & +b0 C +0( +b1110010000001101011111010001001 , +b1110010000001101011111010001001 H +b11010 + +b11010 G +1- +1. +0% +#3967000 +0] +1s +0\ +1=" +1@" +1r +05" +07" +b101 3" +1c +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0," +b1110010000001101011111010001001 &" +b1110010000001101011111010001001 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11001001101010010111001011001100 0 +b11001001101010010111001011001100 M +b11001001101010010111001011001100 f +b11001001101010010111001011001100 !" +0. +1% +#3967010 +b1110010000001101011111010001001 ]" +#3967500 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3968000 +1] +0s +b100110111001111101100000111111 | +b100110111001111101100000111111 B" +1\ +0=" +0@" +0r +15" +16" +17" +b11111 3" +0c +0<" +0` +b1 -" +0t +0y +1z +0u +14" +1v +0b +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#3968500 +b1111 7 +b1111 N +b1111 V +b1111 & +b1111 C +1. +0% +#3969000 +1u +0q +17" +b10000 -" +04" +0v +b10001110000010010001100011010110 | +b10001110000010010001100011010110 B" +1w +b1111 } +b1111 (" +b1111 +" +b100110111001111101100000111111 0 +b100110111001111101100000111111 M +b100110111001111101100000111111 f +b100110111001111101100000111111 !" +0. +1% +#3969500 +b0 7 +b0 N +b0 V +06 +b11111111100011111111011101110010 2 +b11111111100011111111011101110010 I +b11111111100011111111011101110010 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 & +b0 C +0( +b11111111100011111111011101110010 , +b11111111100011111111011101110010 H +b1111 + +b1111 G +1- +1. +0% +#3970000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1r +1q +05" +06" +07" +b10000 3" +0^ +0c +1d +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0w +0," +b10001110000010010001100011010110 0 +b10001110000010010001100011010110 M +b10001110000010010001100011010110 f +b10001110000010010001100011010110 !" +b11111111100011111111011101110010 &" +b11111111100011111111011101110010 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3970010 +b11111111100011111111011101110010 R" +#3970500 +b11101 7 +b11101 N +b11101 V +16 +b1110111011111000001011100101 2 +b1110111011111000001011100101 I +b1110111011111000001011100101 Z +b10 3 +b10 J +b10 Y +b11101 & +b11101 C +1( +b1110111011111000001011100101 , +b1110111011111000001011100101 H +b10 + +b10 G +1. +0% +#3971000 +1] +0s +b11110011111001110110011111011 | +b11110011111001110110011111011 B" +1[ +0=" +0>" +0q +15" +16" +17" +b11101 3" +0d +b10 -" +0t +0y +1z +0u +14" +1v +0a +1w +1," +b1110111011111000001011100101 &" +b1110111011111000001011100101 ?" +b10 %" +b10 *" +b10 1" +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3971010 +b1110111011111000001011100101 E" +#3971500 +b101 7 +b101 N +b101 V +b10110110110111010011101100000100 2 +b10110110110111010011101100000100 I +b10110110110111010011101100000100 Z +b11010 3 +b11010 J +b11010 Y +b101 & +b101 C +b10110110110111010011101100000100 , +b10110110110111010011101100000100 H +b11010 + +b11010 G +1. +0% +#3972000 +0_ +0] +1u +1t +1=" +17" +b10011110001000010100001000010010 | +b10011110001000010100001000010010 B" +05" +16" +b101 3" +1<" +1` +1c +b11010 -" +04" +0v +0z +b11110011111001110110011111011 0 +b11110011111001110110011111011 M +b11110011111001110110011111011 f +b11110011111001110110011111011 !" +b10110110110111010011101100000100 &" +b10110110110111010011101100000100 ?" +b11010 %" +b11010 *" +b11010 1" +b101 } +b101 (" +b101 +" +0. +1% +#3972010 +b10110110110111010011101100000100 ]" +#3972500 +b1111 7 +b1111 N +b1111 V +b10101100010001010110101110000010 2 +b10101100010001010110101110000010 I +b10101100010001010110101110000010 Z +b11000 3 +b11000 J +b11000 Y +b1111 & +b1111 C +b10101100010001010110101110000010 , +b10101100010001010110101110000010 H +b11000 + +b11000 G +1. +0% +#3973000 +0t +1\ +0r +15" +b111 3" +b10000 -" +1z +b11111111100011111111011101110010 | +b11111111100011111111011101110010 B" +0b +1x +b10101100010001010110101110000010 &" +b10101100010001010110101110000010 ?" +b11000 %" +b11000 *" +b11000 1" +b1111 } +b1111 (" +b1111 +" +b10011110001000010100001000010010 0 +b10011110001000010100001000010010 M +b10011110001000010100001000010010 f +b10011110001000010100001000010010 !" +0. +1% +#3973010 +b10101100010001010110101110000010 [" +#3973500 +b1001 5 +b1001 L +b1001 W +14 +b10011 7 +b10011 N +b10011 V +b10101100001100001111101111010011 2 +b10101100001100001111101111010011 I +b10101100001100001111101111010011 Z +b10010 3 +b10010 J +b10010 Y +b1001 ' +b1001 D +1) +b10011 & +b10011 C +b10101100001100001111101111010011 , +b10101100001100001111101111010011 H +b10010 + +b10010 G +1. +0% +#3974000 +1] +0i +b10110001000000101101111101010001 { +b10110001000000101101111101010001 A" +0u +1s +0\ +0=" +0g +19" +1;" +b111111100001011111001110000111 | +b111111100001011111001110000111 B" +05" +06" +b1101 3" +0c +b10110 0" +1o +1k +b1100 -" +14" +1v +0z +1b +1m +1/" +b11111111100011111111011101110010 0 +b11111111100011111111011101110010 M +b11111111100011111111011101110010 f +b11111111100011111111011101110010 !" +b10101100001100001111101111010011 &" +b10101100001100001111101111010011 ?" +b10010 %" +b10010 *" +b10010 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b10011 } +b10011 (" +b10011 +" +0. +1% +#3974010 +b10101100001100001111101111010011 U" +#3974500 +b111 5 +b111 L +b111 W +b10010 7 +b10010 N +b10010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +b10010 & +b10010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3975000 +1:" +1j +1\ +0@" +0h +09" +1q +b11111 3" +0<" +0` +b11000 0" +0o +b10010011010100001101111110000110 { +b10010011010100001101111110000110 A" +b1101 -" +b10101100001100001111101111010011 | +b10101100001100001111101111010011 B" +0b +02" +1n +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +b10010 } +b10010 (" +b10010 +" +b10110001000000101101111101010001 / +b10110001000000101101111101010001 K +b10110001000000101101111101010001 e +b10110001000000101101111101010001 "" +b111111100001011111001110000111 0 +b111111100001011111001110000111 M +b111111100001011111001110000111 f +b111111100001011111001110000111 !" +0. +1% +#3975500 +b11101 5 +b11101 L +b11101 W +b0 7 +b0 N +b0 V +06 +b11000000110100000010000100000000 2 +b11000000110100000010000100000000 I +b11000000110100000010000100000000 Z +11 +b11101 ' +b11101 D +b0 & +b0 C +0( +b11000000110100000010000100000000 , +b11000000110100000010000100000000 H +1- +1. +0% +#3976000 +0k +0j +1@" +1h +19" +1r +07" +1_ +b10 0" +18" +1l +1p +b11110011111001110110011111011 { +b11110011111001110110011111011 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0x +0," +b10010011010100001101111110000110 / +b10010011010100001101111110000110 K +b10010011010100001101111110000110 e +b10010011010100001101111110000110 "" +b10101100001100001111101111010011 0 +b10101100001100001111101111010011 M +b10101100001100001111101111010011 f +b10101100001100001111101111010011 !" +b11000000110100000010000100000000 &" +b11000000110100000010000100000000 ?" +1'" +b11101 ~ +b11101 )" +b11101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3976010 +b11000000110100000010000100000000 C" +#3976500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b0 , +b0 H +0- +1. +0% +#3977000 +1i +0@" +1g +09" +0:" +0;" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0m +0/" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110011111001110110011111011 / +b11110011111001110110011111011 K +b11110011111001110110011111011 e +b11110011111001110110011111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3977500 +b10001 5 +b10001 L +b10001 W +14 +b1000010111111111110010101000 2 +b1000010111111111110010101000 I +b1000010111111111110010101000 Z +b11100 3 +b11100 J +b11100 Y +11 +b10001 ' +b10001 D +1) +b1000010111111111110010101000 , +b1000010111111111110010101000 H +b11100 + +b11100 G +1- +1. +0% +#3978000 +0] +b10101101001011101010100111000100 { +b10101101001011101010100111000100 A" +1=" +1>" +1@" +0g +1;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b1110 0" +0k +18" +1l +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000010111111111110010101000 &" +b1000010111111111110010101000 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#3978010 +b1000010111111111110010101000 _" +#3978500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3979000 +1] +0s +b11011011101110010111100100001100 | +b11011011101110010111100100001100 B" +0=" +0>" +0@" +1g +0;" +15" +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +02" +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +b10101101001011101010100111000100 / +b10101101001011101010100111000100 K +b10101101001011101010100111000100 e +b10101101001011101010100111000100 "" +0. +1% +#3979500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b1110101110111111010110010001101 2 +b1110101110111111010110010001101 I +b1110101110111111010110010001101 Z +b10001 3 +b10001 J +b10001 Y +11 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +b1110101110111111010110010001101 , +b1110101110111111010110010001101 H +b10001 + +b10001 G +1- +1. +0% +#3980000 +0i +b11011011101110010111100100001100 { +b11011011101110010111100100001100 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1@" +19" +1:" +1;" +05" +06" +07" +b1110 3" +0_ +1<" +1` +b10011 0" +0j +0o +1p +1k +b11111 -" +0z +0u +1a +12" +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011011101110010111100100001100 0 +b11011011101110010111100100001100 M +b11011011101110010111100100001100 f +b11011011101110010111100100001100 !" +b1110101110111111010110010001101 &" +b1110101110111111010110010001101 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3980010 +b1110101110111111010110010001101 T" +#3980500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3981000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1[ +0@" +09" +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0p +0k +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11011011101110010111100100001100 / +b11011011101110010111100100001100 K +b11011011101110010111100100001100 e +b11011011101110010111100100001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3981500 +b1001 5 +b1001 L +b1001 W +14 +b1111 7 +b1111 N +b1111 V +16 +b1001 ' +b1001 D +1) +b1111 & +b1111 C +1( +1. +0% +#3982000 +0i +b10110001000000101101111101010001 { +b10110001000000101101111101010001 A" +0s +b11111111100011111111011101110010 | +b11111111100011111111011101110010 B" +0g +19" +1;" +0r +0q +15" +16" +17" +b10110 0" +1o +1k +b10000 -" +0t +0y +1z +1u +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001 ~ +b1001 )" +b1001 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#3982500 +b111 5 +b111 L +b111 W +b0 7 +b0 N +b0 V +06 +b10000101001001101000111010001 2 +b10000101001001101000111010001 I +b10000101001001101000111010001 Z +b1011 3 +b1011 J +b1011 Y +11 +b111 ' +b111 D +b0 & +b0 C +0( +b10000101001001101000111010001 , +b10000101001001101000111010001 H +b1011 + +b1011 G +1- +1. +0% +#3983000 +1:" +0] +1j +1s +0\ +0[ +1=" +1@" +0h +09" +1r +1q +05" +06" +07" +b10100 3" +1c +1_ +b11000 0" +0o +b10010011010100001101111110000110 { +b10010011010100001101111110000110 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +0x +0w +0," +b10000101001001101000111010001 &" +b10000101001001101000111010001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b111 ~ +b111 )" +b111 ." +b0 } +b0 (" +b0 +" +0#" +b10110001000000101101111101010001 / +b10110001000000101101111101010001 K +b10110001000000101101111101010001 e +b10110001000000101101111101010001 "" +b11111111100011111111011101110010 0 +b11111111100011111111011101110010 M +b11111111100011111111011101110010 f +b11111111100011111111011101110010 !" +0. +1% +#3983010 +b10000101001001101000111010001 N" +#3983500 +b1001 5 +b1001 L +b1001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3984000 +19" +1] +1o +1\ +1[ +0=" +0@" +1h +0:" +b11111 3" +0c +0_ +b10110 0" +0j +b10110001000000101101111101010001 { +b10110001000000101101111101010001 A" +0b +0a +02" +0n +b10010011010100001101111110000110 / +b10010011010100001101111110000110 K +b10010011010100001101111110000110 e +b10010011010100001101111110000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +0. +1% +#3984500 +b0 5 +b0 L +b0 W +04 +b11001001110011110011111100101 2 +b11001001110011110011111100101 I +b11001001110011110011111100101 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b11001001110011110011111100101 , +b11001001110011110011111100101 H +b1100 + +b1100 G +1- +1. +0% +#3985000 +0] +1i +1=" +1>" +1@" +1g +09" +0;" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b11001001110011110011111100101 &" +b11001001110011110011111100101 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110001000000101101111101010001 / +b10110001000000101101111101010001 K +b10110001000000101101111101010001 e +b10110001000000101101111101010001 "" +0. +1% +#3985010 +b11001001110011110011111100101 O" +#3985500 +b110100001001110111101000010010 2 +b110100001001110111101000010010 I +b110100001001110111101000010010 Z +b110 3 +b110 J +b110 Y +b110100001001110111101000010010 , +b110100001001110111101000010010 H +b110 + +b110 G +1. +0% +#3986000 +1^ +0\ +0=" +1>" +b11001 3" +0d +1b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110100001001110111101000010010 &" +b110100001001110111101000010010 ?" +b110 %" +b110 *" +b110 1" +0. +1% +#3986010 +b110100001001110111101000010010 I" +#3986500 +b11111 5 +b11111 L +b11111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3987000 +1] +0i +b10000100001100000001010111011110 { +b10000100001100000001010111011110 A" +1\ +0>" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0^ +0_ +b0 0" +0j +0o +1p +0k +18" +1l +0b +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#3987500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +1. +0% +#3988000 +1i +0s +b10101100010001010110101110000010 | +b10101100010001010110101110000010 B" +1h +1g +09" +0:" +0;" +15" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +1y +0u +14" +1v +0n +0m +0/" +1," +b10000100001100000001010111011110 / +b10000100001100000001010111011110 K +b10000100001100000001010111011110 e +b10000100001100000001010111011110 "" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#3988500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b1101110111111110100101000100010 2 +b1101110111111110100101000100010 I +b1101110111111110100101000100010 Z +b11101 3 +b11101 J +b11101 Y +11 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b1101110111111110100101000100010 , +b1101110111111110100101000100010 H +b11101 + +b11101 G +1- +1. +0% +#3989000 +0] +0i +b100101110000000100110000111001 { +b100101110000000100110000111001 A" +1s +0[ +1=" +1>" +1@" +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b1011 0" +1j +0k +18" +1l +b11111 -" +0y +04" +0v +1a +12" +1/" +0," +b1101110111111110100101000100010 &" +b1101110111111110100101000100010 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101100010001010110101110000010 0 +b10101100010001010110101110000010 M +b10101100010001010110101110000010 f +b10101100010001010110101110000010 !" +0. +1% +#3989010 +b1101110111111110100101000100010 `" +#3989500 +b10011 5 +b10011 L +b10011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3990000 +1] +1i +1[ +0=" +0>" +0@" +0h +0g +0:" +b11111 3" +0d +0<" +0` +b1100 0" +0j +b111111100001011111001110000111 { +b111111100001011111001110000111 A" +0a +02" +1n +1m +b100101110000000100110000111001 / +b100101110000000100110000111001 K +b100101110000000100110000111001 e +b100101110000000100110000111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#3990500 +b0 5 +b0 L +b0 W +04 +b10010110011110100001111111110100 2 +b10010110011110100001111111110100 I +b10010110011110100001111111110100 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b10010110011110100001111111110100 , +b10010110011110100001111111110100 H +b10000 + +b10000 G +1- +1. +0% +#3991000 +1@" +1h +1g +0;" +b1111 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0m +0/" +b10010110011110100001111111110100 &" +b10010110011110100001111111110100 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b111111100001011111001110000111 / +b111111100001011111001110000111 K +b111111100001011111001110000111 e +b111111100001011111001110000111 "" +0. +1% +#3991010 +b10010110011110100001111111110100 S" +#3991500 +b11011 5 +b11011 L +b11011 W +14 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3992000 +0i +b1100001100011011111111001001110 { +b1100001100011011111111001001110 A" +b1110101110111111010110010001101 | +b1110101110111111010110010001101 B" +0@" +0h +0g +19" +1;" +0q +17" +b11111 3" +0<" +0` +b100 0" +1o +0k +18" +1l +b1110 -" +0u +14" +1v +02" +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#3992500 +b10110 5 +b10110 L +b10110 W +b1001 7 +b1001 N +b1001 V +b10110 ' +b10110 D +b1001 & +b1001 C +1. +0% +#3993000 +1:" +1j +1u +0s +1g +09" +17" +b10110001000000101101111101010001 | +b10110001000000101101111101010001 B" +15" +b1001 0" +0o +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +b10110 -" +04" +0v +1y +0m +b10110 ~ +b10110 )" +b10110 ." +b1001 } +b1001 (" +b1001 +" +b1100001100011011111111001001110 / +b1100001100011011111111001001110 K +b1100001100011011111111001001110 e +b1100001100011011111111001001110 "" +b1110101110111111010110010001101 0 +b1110101110111111010110010001101 M +b1110101110111111010110010001101 f +b1110101110111111010110010001101 !" +0. +1% +#3993500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +b0 & +b0 C +0( +1. +0% +#3994000 +1k +1i +1s +0g +1;" +0:" +1q +05" +07" +b11100 0" +08" +0l +0j +b10011110011110000111000010000001 { +b10011110011110000111000010000001 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +0w +0," +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b10110001000000101101111101010001 0 +b10110001000000101101111101010001 M +b10110001000000101101111101010001 f +b10110001000000101101111101010001 !" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#3994500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +1. +0% +#3995000 +0s +b11110101110101110101001110001001 | +b11110101110101110101001110001001 B" +1h +1g +0;" +0q +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +0t +0y +1z +1u +0n +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +b10011110011110000111000010000001 / +b10011110011110000111000010000001 K +b10011110011110000111000010000001 e +b10011110011110000111000010000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#3995500 +b110 7 +b110 N +b110 V +b11011010000011011000011100100110 2 +b11011010000011011000011100100110 I +b11011010000011011000011100100110 Z +b101 3 +b101 J +b101 Y +11 +b110 & +b110 C +b11011010000011011000011100100110 , +b11011010000011011000011100100110 H +b101 + +b101 G +1- +1. +0% +#3996000 +0] +1t +0[ +1>" +1@" +0r +1q +05" +16" +b11010 3" +1^ +1_ +b11001 -" +0z +b110100001001110111101000010010 | +b110100001001110111101000010010 B" +1a +12" +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110101110101110101001110001001 0 +b11110101110101110101001110001001 M +b11110101110101110101001110001001 f +b11110101110101110101001110001001 !" +b11011010000011011000011100100110 &" +b11011010000011011000011100100110 ?" +b101 %" +b101 *" +b101 1" +1'" +b110 } +b110 (" +b110 +" +0. +1% +#3996010 +b11011010000011011000011100100110 H" +#3996500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#3997000 +1] +1s +1[ +0>" +0@" +1r +06" +07" +b11111 3" +0^ +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b110100001001110111101000010010 0 +b110100001001110111101000010010 M +b110100001001110111101000010010 f +b110100001001110111101000010010 !" +0. +1% +#3997500 +b11110 5 +b11110 L +b11110 W +14 +b10111001101011101111111000100 2 +b10111001101011101111111000100 I +b10111001101011101111111000100 Z +b11000 3 +b11000 J +b11000 Y +11 +b11110 ' +b11110 D +1) +b10111001101011101111111000100 , +b10111001101011101111111000100 H +b11000 + +b11000 G +1- +1. +0% +#3998000 +0] +0i +b100110111001111101100000111111 { +b100110111001111101100000111111 A" +1=" +1@" +0h +19" +1:" +1;" +b111 3" +1c +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111001101011101111111000100 &" +b10111001101011101111111000100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#3998010 +b10111001101011101111111000100 [" +#3998500 +b0 5 +b0 L +b0 W +04 +b10011111011101100111000000 2 +b10011111011101100111000000 I +b10011111011101100111000000 Z +b10011 3 +b10011 J +b10011 Y +b0 ' +b0 D +0) +b10011111011101100111000000 , +b10011111011101100111000000 H +b10011 + +b10011 G +1. +0% +#3999000 +1] +1i +0\ +0[ +0=" +1h +09" +0:" +0;" +b1100 3" +0c +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +0n +0/" +b10011111011101100111000000 &" +b10011111011101100111000000 ?" +b10011 %" +b10011 *" +b10011 1" +b0 ~ +b0 )" +b0 ." +0$" +b100110111001111101100000111111 / +b100110111001111101100000111111 K +b100110111001111101100000111111 e +b100110111001111101100000111111 "" +0. +1% +#3999010 +b10011111011101100111000000 V" +#3999500 +b10110 7 +b10110 N +b10110 V +16 +b11001000100101110100111001001001 2 +b11001000100101110100111001001001 I +b11001000100101110100111001001001 Z +b1 3 +b1 J +b1 Y +b10110 & +b10110 C +1( +b11001000100101110100111001001001 , +b11001000100101110100111001001001 H +b1 + +b1 G +1. +0% +#4000000 +1_ +0s +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +1\ +1@" +0r +16" +17" +b11110 3" +0<" +0` +b1001 -" +1t +0u +14" +1v +0b +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001000100101110100111001001001 &" +b11001000100101110100111001001001 ?" +b1 %" +b1 *" +b1 1" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#4000010 +b11001000100101110100111001001001 D" +#4000500 +b11100 5 +b11100 L +b11100 W +14 +b100 7 +b100 N +b100 V +b1111001110011001110010100011101 2 +b1111001110011001110010100011101 I +b1111001110011001110010100011101 Z +b11001 3 +b11001 J +b11001 Y +b11100 ' +b11100 D +1) +b100 & +b100 C +b1111001110011001110010100011101 , +b1111001110011001110010100011101 H +b11001 + +b11001 G +1. +0% +#4001000 +0_ +0] +0i +b1000010111111111110010101000 { +b1000010111111111110010101000 A" +1u +1=" +19" +1:" +1;" +1r +17" +b110 3" +1<" +1` +1c +b11 0" +0j +0o +1p +0k +18" +1l +b11011 -" +04" +0v +b10101101110110111001100100101011 | +b10101101110110111001100100101011 B" +1/" +0x +b1111001110011001110010100011101 &" +b1111001110011001110010100011101 ?" +b11001 %" +b11001 *" +b11001 1" +b11100 ~ +b11100 )" +b11100 ." +1$" +b100 } +b100 (" +b100 +" +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +0. +1% +#4001010 +b1111001110011001110010100011101 \" +#4001500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4002000 +1] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0=" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +06" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0p +08" +0l +b11111 -" +0t +0u +0a +02" +0/" +0," +b1000010111111111110010101000 / +b1000010111111111110010101000 K +b1000010111111111110010101000 e +b1000010111111111110010101000 "" +b10101101110110111001100100101011 0 +b10101101110110111001100100101011 M +b10101101110110111001100100101011 f +b10101101110110111001100100101011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4002500 +b11011 5 +b11011 L +b11011 W +14 +b1011 7 +b1011 N +b1011 V +16 +b11011 ' +b11011 D +1) +b1011 & +b1011 C +1( +1. +0% +#4003000 +0i +b1100001100011011111111001001110 { +b1100001100011011111111001001110 A" +0s +b10000101001001101000111010001 | +b10000101001001101000111010001 B" +0h +0g +19" +1;" +0r +0q +15" +17" +b100 0" +1o +0k +18" +1l +b10100 -" +1y +1u +1n +1m +1/" +1x +1w +1," +b11011 ~ +b11011 )" +b11011 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4003500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4004000 +1i +1s +1h +1g +09" +0;" +1r +1q +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0w +0," +b1100001100011011111111001001110 / +b1100001100011011111111001001110 K +b1100001100011011111111001001110 e +b1100001100011011111111001001110 "" +b10000101001001101000111010001 0 +b10000101001001101000111010001 M +b10000101001001101000111010001 f +b10000101001001101000111010001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4004500 +b10100 5 +b10100 L +b10100 W +14 +b11110 7 +b11110 N +b11110 V +16 +b10100 ' +b10100 D +1) +b11110 & +b11110 C +1( +1. +0% +#4005000 +0i +b100101110000000100110000111001 { +b100101110000000100110000111001 A" +0s +b100110111001111101100000111111 | +b100110111001111101100000111111 B" +1:" +1;" +0r +15" +16" +17" +b1011 0" +1j +0k +18" +1l +b1 -" +0t +0y +1z +0u +14" +1v +1/" +1x +1," +b10100 ~ +b10100 )" +b10100 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4005500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +b0 ' +b0 D +0) +b11 & +b11 C +1. +0% +#4006000 +1i +1u +1s +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +17" +05" +06" +b11111 0" +0j +08" +0l +b11100 -" +04" +0v +0z +b10011110011110000111000010000001 | +b10011110011110000111000010000001 B" +0/" +1w +b100101110000000100110000111001 / +b100101110000000100110000111001 K +b100101110000000100110000111001 e +b100101110000000100110000111001 "" +b100110111001111101100000111111 0 +b100110111001111101100000111111 M +b100110111001111101100000111111 f +b100110111001111101100000111111 !" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +0. +1% +#4006500 +b11001 7 +b11001 N +b11001 V +b11001 & +b11001 C +1. +0% +#4007000 +0u +0s +1r +15" +b110 -" +14" +1v +1y +b1111001110011001110010100011101 | +b1111001110011001110010100011101 B" +0x +b11001 } +b11001 (" +b11001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011110011110000111000010000001 0 +b10011110011110000111000010000001 M +b10011110011110000111000010000001 f +b10011110011110000111000010000001 !" +0. +1% +#4007500 +b10111 7 +b10111 N +b10111 V +b10111 & +b10111 C +1. +0% +#4008000 +16" +1t +0r +05" +b1000 -" +0y +b10011000000011110101111000011111 | +b10011000000011110101111000011111 B" +1x +b1111001110011001110010100011101 0 +b1111001110011001110010100011101 M +b1111001110011001110010100011101 f +b1111001110011001110010100011101 !" +b10111 } +b10111 (" +b10111 +" +0. +1% +#4008500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +1. +0% +#4009000 +0i +b1111001110011001110010100011101 { +b1111001110011001110010100011101 A" +1s +0g +19" +1;" +1r +1q +06" +07" +b110 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0w +0," +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10011000000011110101111000011111 0 +b10011000000011110101111000011111 M +b10011000000011110101111000011111 f +b10011000000011110101111000011111 !" +0. +1% +#4009500 +b10101 5 +b10101 L +b10101 W +b10101 ' +b10101 D +1. +0% +#4010000 +1:" +1j +b1001100000101101000011111101001 { +b1001100000101101000011111101001 A" +09" +b1010 0" +0o +b1111001110011001110010100011101 / +b1111001110011001110010100011101 K +b1111001110011001110010100011101 e +b1111001110011001110010100011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#4010500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b10000011101111010100000001110010 2 +b10000011101111010100000001110010 I +b10000011101111010100000001110010 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b10000011101111010100000001110010 , +b10000011101111010100000001110010 H +b11010 + +b11010 G +1- +1. +0% +#4011000 +0] +1i +0s +b100101110000000100110000111001 | +b100101110000000100110000111001 B" +0\ +1=" +1@" +1g +0:" +0;" +16" +17" +b101 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +1t +0u +14" +1v +1b +12" +0m +0/" +1," +b10000011101111010100000001110010 &" +b10000011101111010100000001110010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b1001100000101101000011111101001 / +b1001100000101101000011111101001 K +b1001100000101101000011111101001 e +b1001100000101101000011111101001 "" +0. +1% +#4011010 +b10000011101111010100000001110010 ]" +#4011500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4012000 +1] +1s +1\ +0=" +0@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0<" +0` +b11111 -" +0t +04" +0v +0b +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101110000000100110000111001 0 +b100101110000000100110000111001 M +b100101110000000100110000111001 f +b100101110000000100110000111001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4012500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#4013000 +0i +b1000010111111111110010101000 { +b1000010111111111110010101000 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4013500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4014000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b1000010111111111110010101000 / +b1000010111111111110010101000 K +b1000010111111111110010101000 e +b1000010111111111110010101000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4014500 +b100 5 +b100 L +b100 W +14 +b100 ' +b100 D +1) +1. +0% +#4015000 +0i +b10101101110110111001100100101011 { +b10101101110110111001100100101011 A" +1:" +1;" +b11011 0" +1j +1k +1/" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4015500 +b11101 5 +b11101 L +b11101 W +b100 7 +b100 N +b100 V +16 +b11101 ' +b11101 D +b100 & +b100 C +1( +1. +0% +#4016000 +0k +0j +0s +b10101101110110111001100100101011 | +b10101101110110111001100100101011 B" +0g +19" +16" +17" +b10 0" +18" +1l +1p +b1101110111111110100101000100010 { +b1101110111111110100101000100010 A" +b11011 -" +1t +1u +1m +1," +b10101101110110111001100100101011 / +b10101101110110111001100100101011 K +b10101101110110111001100100101011 e +b10101101110110111001100100101011 "" +b11101 ~ +b11101 )" +b11101 ." +b100 } +b100 (" +b100 +" +1#" +0. +1% +#4016500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +b0 ' +b0 D +0) +b11001 & +b11001 C +1. +0% +#4017000 +15" +1i +0u +1y +1g +09" +0:" +0;" +0q +06" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b110 -" +14" +1v +0t +b1111001110011001110010100011101 | +b1111001110011001110010100011101 B" +0m +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +b1101110111111110100101000100010 / +b1101110111111110100101000100010 K +b1101110111111110100101000100010 e +b1101110111111110100101000100010 "" +b10101101110110111001100100101011 0 +b10101101110110111001100100101011 M +b10101101110110111001100100101011 f +b10101101110110111001100100101011 !" +0. +1% +#4017500 +b1000 5 +b1000 L +b1000 W +14 +b10110 7 +b10110 N +b10110 V +b101001010100111000110100111011 2 +b101001010100111000110100111011 I +b101001010100111000110100111011 Z +b10000 3 +b10000 J +b10000 Y +11 +b1000 ' +b1000 D +1) +b10110 & +b10110 C +b101001010100111000110100111011 , +b101001010100111000110100111011 H +b10000 + +b10000 G +1- +1. +0% +#4018000 +16" +0i +b10001011011000010000000000010011 { +b10001011011000010000000000010011 A" +1t +1@" +19" +1;" +0r +1q +05" +b1111 3" +0_ +1<" +1` +b10111 0" +1o +1k +b1001 -" +0y +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +12" +1/" +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111001110011001110010100011101 0 +b1111001110011001110010100011101 M +b1111001110011001110010100011101 f +b1111001110011001110010100011101 !" +b101001010100111000110100111011 &" +b101001010100111000110100111011 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#4018010 +b101001010100111000110100111011 S" +#4018500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +b11010101010100000101111011100 2 +b11010101010100000101111011100 I +b11010101010100000101111011100 Z +b10010 3 +b10010 J +b10010 Y +b0 ' +b0 D +0) +b1001 & +b1001 C +b11010101010100000101111011100 , +b11010101010100000101111011100 H +b10010 + +b10010 G +1. +0% +#4019000 +15" +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +1y +0\ +09" +0;" +1r +0q +17" +06" +b1101 3" +b11111 0" +0o +0k +b10110 -" +04" +0v +0t +b10110001000000101101111101010001 | +b10110001000000101101111101010001 B" +1b +0/" +0x +1w +b11010101010100000101111011100 &" +b11010101010100000101111011100 ?" +b10010 %" +b10010 *" +b10010 1" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +b10001011011000010000000000010011 / +b10001011011000010000000000010011 K +b10001011011000010000000000010011 e +b10001011011000010000000000010011 "" +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +0. +1% +#4019010 +b11010101010100000101111011100 U" +#4019500 +b10100 7 +b10100 N +b10100 V +b10010010010010110001010000111111 2 +b10010010010010110001010000111111 I +b10010010010010110001010000111111 Z +b10011 3 +b10011 J +b10011 Y +b10100 & +b10100 C +b10010010010010110001010000111111 , +b10010010010010110001010000111111 H +b10011 + +b10011 G +1. +0% +#4020000 +16" +0u +1t +0[ +1q +05" +b1100 3" +b1011 -" +14" +1v +0y +b100101110000000100110000111001 | +b100101110000000100110000111001 B" +1a +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110001000000101101111101010001 0 +b10110001000000101101111101010001 M +b10110001000000101101111101010001 f +b10110001000000101101111101010001 !" +b10010010010010110001010000111111 &" +b10010010010010110001010000111111 ?" +b10011 %" +b10011 *" +b10011 1" +b10100 } +b10100 (" +b10100 +" +0. +1% +#4020010 +b10010010010010110001010000111111 V" +#4020500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4021000 +b11010101010100000101111011100 { +b11010101010100000101111011100 A" +1s +1\ +1[ +0@" +0h +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b1101 0" +0k +18" +1l +b11111 -" +0t +04" +0v +0b +0a +02" +1n +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b100101110000000100110000111001 0 +b100101110000000100110000111001 M +b100101110000000100110000111001 f +b100101110000000100110000111001 !" +0. +1% +#4021500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +1. +0% +#4022000 +0s +b10011000000011110101111000011111 | +b10011000000011110101111000011111 B" +1h +0;" +0r +0q +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +1t +0u +14" +1v +0n +0/" +1x +1w +1," +b11010101010100000101111011100 / +b11010101010100000101111011100 K +b11010101010100000101111011100 e +b11010101010100000101111011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#4022500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4023000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011000000011110101111000011111 0 +b10011000000011110101111000011111 M +b10011000000011110101111000011111 f +b10011000000011110101111000011111 !" +0. +1% +#4023500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#4024000 +0s +b10010011010100001101111110000110 | +b10010011010100001101111110000110 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#4024500 +b11 5 +b11 L +b11 W +14 +b1000 7 +b1000 N +b1000 V +b11 ' +b11 D +1) +b1000 & +b1000 C +1. +0% +#4025000 +15" +b10011110011110000111000010000001 { +b10011110011110000111000010000001 A" +1y +0h +0g +1;" +1r +1q +06" +b11100 0" +1k +b10111 -" +0t +b10001011011000010000000000010011 | +b10001011011000010000000000010011 B" +1n +1m +1/" +0x +0w +b11 ~ +b11 )" +b11 ." +1$" +b1000 } +b1000 (" +b1000 +" +b10010011010100001101111110000110 0 +b10010011010100001101111110000110 M +b10010011010100001101111110000110 f +b10010011010100001101111110000110 !" +0. +1% +#4025500 +b1 5 +b1 L +b1 W +b1010 7 +b1010 N +b1010 V +b1 ' +b1 D +b1010 & +b1010 C +1. +0% +#4026000 +1h +0r +b11110 0" +b11001000100101110100111001001001 { +b11001000100101110100111001001001 A" +b10101 -" +b11001001101010010111001011001100 | +b11001001101010010111001011001100 B" +0n +1x +b10011110011110000111000010000001 / +b10011110011110000111000010000001 K +b10011110011110000111000010000001 e +b10011110011110000111000010000001 "" +b10001011011000010000000000010011 0 +b10001011011000010000000000010011 M +b10001011011000010000000000010011 f +b10001011011000010000000000010011 !" +b1 ~ +b1 )" +b1 ." +b1010 } +b1010 (" +b1010 +" +0. +1% +#4026500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b11001 ' +b11001 D +b0 & +b0 C +0( +1. +0% +#4027000 +0k +0i +1s +b1111001110011001110010100011101 { +b1111001110011001110010100011101 A" +19" +1r +05" +07" +b110 0" +18" +1l +1o +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +b11001000100101110100111001001001 / +b11001000100101110100111001001001 K +b11001000100101110100111001001001 e +b11001000100101110100111001001001 "" +b11001001101010010111001011001100 0 +b11001001101010010111001011001100 M +b11001001101010010111001011001100 f +b11001001101010010111001011001100 !" +0. +1% +#4027500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4028000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b1111001110011001110010100011101 / +b1111001110011001110010100011101 K +b1111001110011001110010100011101 e +b1111001110011001110010100011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4028500 +1. +0% +#4029000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4029500 +1. +0% +#4030000 +0. +1% +#4030500 +1. +0% +#4031000 +0. +1% +#4031500 +1. +0% +#4032000 +0. +1% +#4032500 +b11 7 +b11 N +b11 V +16 +b11101100010101011111101010111101 2 +b11101100010101011111101010111101 I +b11101100010101011111101010111101 Z +b11100 3 +b11100 J +b11100 Y +11 +b11 & +b11 C +1( +b11101100010101011111101010111101 , +b11101100010101011111101010111101 H +b11100 + +b11100 G +1- +1. +0% +#4033000 +0] +b10011110011110000111000010000001 | +b10011110011110000111000010000001 B" +1=" +1>" +1@" +0r +0q +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11100 -" +1u +12" +1x +1w +1," +b11101100010101011111101010111101 &" +b11101100010101011111101010111101 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#4033010 +b11101100010101011111101010111101 _" +#4033500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4034000 +1] +0i +b110100001001110111101000010010 { +b110100001001110111101000010010 A" +0=" +0>" +0@" +0h +1:" +1;" +1r +1q +07" +b11111 3" +0d +0<" +0` +b11001 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1n +1/" +0x +0w +0," +b10011110011110000111000010000001 0 +b10011110011110000111000010000001 M +b10011110011110000111000010000001 f +b10011110011110000111000010000001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4034500 +b0 5 +b0 L +b0 W +04 +b1011010101111110001110000111 2 +b1011010101111110001110000111 I +b1011010101111110001110000111 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b1011010101111110001110000111 , +b1011010101111110001110000111 H +b11111 + +b11111 G +1- +1. +0% +#4035000 +0] +1i +0\ +0[ +1=" +1>" +1@" +1h +0:" +0;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0/" +b1011010101111110001110000111 &" +b1011010101111110001110000111 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b110100001001110111101000010010 / +b110100001001110111101000010010 K +b110100001001110111101000010010 e +b110100001001110111101000010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4035500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4036000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4036500 +b11110 5 +b11110 L +b11110 W +14 +b100101101000111000110010110101 2 +b100101101000111000110010110101 I +b100101101000111000110010110101 Z +b11010 3 +b11010 J +b11010 Y +11 +b11110 ' +b11110 D +1) +b100101101000111000110010110101 , +b100101101000111000110010110101 H +b11010 + +b11010 G +1- +1. +0% +#4037000 +0] +0i +b100110111001111101100000111111 { +b100110111001111101100000111111 A" +0\ +1=" +1@" +0h +19" +1:" +1;" +b101 3" +1c +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +1b +12" +1n +1/" +b100101101000111000110010110101 &" +b100101101000111000110010110101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#4037010 +b100101101000111000110010110101 ]" +#4037500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b1011110011011100101110110011101 2 +b1011110011011100101110110011101 I +b1011110011011100101110110011101 Z +b110 3 +b110 J +b110 Y +b0 ' +b0 D +0) +b100 & +b100 C +1( +b1011110011011100101110110011101 , +b1011110011011100101110110011101 H +b110 + +b110 G +1. +0% +#4038000 +1>" +1_ +1^ +1i +0s +b10101101110110111001100100101011 | +b10101101110110111001100100101011 B" +1@" +0=" +1h +09" +0:" +0;" +16" +17" +b11001 3" +0<" +0` +0c +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +1t +1u +0n +0/" +1," +b100110111001111101100000111111 / +b100110111001111101100000111111 K +b100110111001111101100000111111 e +b100110111001111101100000111111 "" +b1011110011011100101110110011101 &" +b1011110011011100101110110011101 ?" +b110 %" +b110 *" +b110 1" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#4038010 +b1011110011011100101110110011101 I" +#4038500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4039000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0>" +0@" +06" +07" +b11111 3" +0^ +0_ +b11111 -" +0t +0u +0b +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101101110110111001100100101011 0 +b10101101110110111001100100101011 M +b10101101110110111001100100101011 f +b10101101110110111001100100101011 !" +0. +1% +#4039500 +b10001 5 +b10001 L +b10001 W +14 +b10001 ' +b10001 D +1) +1. +0% +#4040000 +b1110101110111111010110010001101 { +b1110101110111111010110010001101 A" +0g +1;" +b1110 0" +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#4040500 +b1101 5 +b1101 L +b1101 W +b10000 7 +b10000 N +b10000 V +16 +b10001001110100110111100000101010 2 +b10001001110100110111100000101010 I +b10001001110100110111100000101010 Z +b11 3 +b11 J +b11 Y +11 +b1101 ' +b1101 D +b10000 & +b10000 C +1( +b10001001110100110111100000101010 , +b10001001110100110111100000101010 H +b11 + +b11 G +1- +1. +0% +#4041000 +1k +0i +b101001010100111000110100111011 | +b101001010100111000110100111011 B" +0\ +0[ +1@" +1;" +b11110101110101110101001110001001 { +b11110101110101110101001110001001 A" +19" +1:" +17" +b11100 3" +1_ +b10010 0" +08" +0l +0j +0o +1p +b1111 -" +0u +14" +1v +1b +1a +12" +1," +b10001001110100110111100000101010 &" +b10001001110100110111100000101010 ?" +b11 %" +b11 *" +b11 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +b10000 } +b10000 (" +b10000 +" +1#" +b1110101110111111010110010001101 / +b1110101110111111010110010001101 K +b1110101110111111010110010001101 e +b1110101110111111010110010001101 "" +0. +1% +#4041010 +b10001001110100110111100000101010 F" +#4041500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4042000 +1i +0s +1\ +1[ +0@" +1g +09" +0:" +0;" +0r +16" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +0b +0a +02" +0m +0/" +1x +b11110101110101110101001110001001 / +b11110101110101110101001110001001 K +b11110101110101110101001110001001 e +b11110101110101110101001110001001 "" +b101001010100111000110100111011 0 +b101001010100111000110100111011 M +b101001010100111000110100111011 f +b101001010100111000110100111011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#4042500 +b100 7 +b100 N +b100 V +b11100101001101001101110011110 2 +b11100101001101001101110011110 I +b11100101001101001101110011110 Z +b11 3 +b11 J +b11 Y +11 +b100 & +b100 C +b11100101001101001101110011110 , +b11100101001101001101110011110 H +b11 + +b11 G +1- +1. +0% +#4043000 +1u +0\ +0[ +1@" +1r +17" +b11100 3" +1_ +b11011 -" +04" +0v +b10101101110110111001100100101011 | +b10101101110110111001100100101011 B" +1b +1a +12" +0x +b11100101001101001101110011110 &" +b11100101001101001101110011110 ?" +b11 %" +b11 *" +b11 1" +1'" +b100 } +b100 (" +b100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +0. +1% +#4043010 +b11100101001101001101110011110 F" +#4043500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4044000 +0i +b11111111100011111111011101110010 { +b11111111100011111111011101110010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0@" +0h +0g +19" +1:" +1;" +06" +07" +b11111 3" +0_ +b10000 0" +0j +0o +1p +1k +b11111 -" +0t +0u +0b +0a +02" +1n +1m +1/" +0," +b10101101110110111001100100101011 0 +b10101101110110111001100100101011 M +b10101101110110111001100100101011 f +b10101101110110111001100100101011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4044500 +b11101 5 +b11101 L +b11101 W +b11101 ' +b11101 D +1. +0% +#4045000 +0k +1h +b10 0" +18" +1l +b1101110111111110100101000100010 { +b1101110111111110100101000100010 A" +0n +b11101 ~ +b11101 )" +b11101 ." +b11111111100011111111011101110010 / +b11111111100011111111011101110010 K +b11111111100011111111011101110010 e +b11111111100011111111011101110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4045500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +1. +0% +#4046000 +1i +0s +b10011000000011110101111000011111 | +b10011000000011110101111000011111 B" +1g +09" +0:" +0;" +0r +0q +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +1t +0u +14" +1v +0m +0/" +1x +1w +1," +b1101110111111110100101000100010 / +b1101110111111110100101000100010 K +b1101110111111110100101000100010 e +b1101110111111110100101000100010 "" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#4046500 +b1110 7 +b1110 N +b1110 V +b1101001011100100100000010101 2 +b1101001011100100100000010101 I +b1101001011100100100000010101 Z +b1010 3 +b1010 J +b1010 Y +11 +b1110 & +b1110 C +b1101001011100100100000010101 , +b1101001011100100100000010101 H +b1010 + +b1010 G +1- +1. +0% +#4047000 +0] +1u +0t +0\ +1=" +1@" +1q +17" +15" +b10101 3" +1c +1_ +b10001 -" +04" +0v +1z +b1101111010101100100110101110 | +b1101111010101100100110101110 B" +1b +12" +0w +b1101001011100100100000010101 &" +b1101001011100100100000010101 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1110 } +b1110 (" +b1110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011000000011110101111000011111 0 +b10011000000011110101111000011111 M +b10011000000011110101111000011111 f +b10011000000011110101111000011111 !" +0. +1% +#4047010 +b1101001011100100100000010101 M" +#4047500 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4048000 +1] +0u +1y +1\ +0=" +0@" +0q +15" +06" +b11111 3" +0c +0_ +b100 -" +14" +1v +0z +b1100001100011011111111001001110 | +b1100001100011011111111001001110 B" +0b +02" +1w +b1101111010101100100110101110 0 +b1101111010101100100110101110 M +b1101111010101100100110101110 f +b1101111010101100100110101110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +0. +1% +#4048500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4049000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1100001100011011111111001001110 0 +b1100001100011011111111001001110 M +b1100001100011011111111001001110 f +b1100001100011011111111001001110 !" +0. +1% +#4049500 +b10 5 +b10 L +b10 W +14 +16 +b10 ' +b10 D +1) +1( +1. +0% +#4050000 +b1110111011111000001011100101 { +b1110111011111000001011100101 A" +b11000000110100000010000100000000 | +b11000000110100000010000100000000 B" +0h +1;" +17" +b11101 0" +1k +1u +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10 ~ +b10 )" +b10 ." +1$" +1#" +0. +1% +#4050500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#4051000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +0;" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0u +0n +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +0#" +b1110111011111000001011100101 / +b1110111011111000001011100101 K +b1110111011111000001011100101 e +b1110111011111000001011100101 "" +b11000000110100000010000100000000 0 +b11000000110100000010000100000000 M +b11000000110100000010000100000000 f +b11000000110100000010000100000000 !" +0. +1% +#4051500 +b101111000110010000100010110111 2 +b101111000110010000100010110111 I +b101111000110010000100010110111 Z +b10001 3 +b10001 J +b10001 Y +11 +b101111000110010000100010110111 , +b101111000110010000100010110111 H +b10001 + +b10001 G +1- +1. +0% +#4052000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101111000110010000100010110111 &" +b101111000110010000100010110111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0. +1% +#4052010 +b101111000110010000100010110111 T" +#4052500 +b1110111000010111011011101100100 2 +b1110111000010111011011101100100 I +b1110111000010111011011101100100 Z +b10000 3 +b10000 J +b10000 Y +b1110111000010111011011101100100 , +b1110111000010111011011101100100 H +b10000 + +b10000 G +1. +0% +#4053000 +1[ +b1111 3" +0a +b1110111000010111011011101100100 &" +b1110111000010111011011101100100 ?" +b10000 %" +b10000 *" +b10000 1" +0. +1% +#4053010 +b1110111000010111011011101100100 S" +#4053500 +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4054000 +b11000000110100000010000100000000 { +b11000000110100000010000100000000 A" +0@" +1;" +b11111 3" +0<" +0` +1k +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +0. +1% +#4054500 +b1101 5 +b1101 L +b1101 W +b1101 ' +b1101 D +1. +0% +#4055000 +0i +0g +19" +1:" +b10010 0" +0j +0o +1p +b11110101110101110101001110001001 { +b11110101110101110101001110001001 A" +1m +b1101 ~ +b1101 )" +b1101 ." +b11000000110100000010000100000000 / +b11000000110100000010000100000000 K +b11000000110100000010000100000000 e +b11000000110100000010000100000000 "" +0. +1% +#4055500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +1. +0% +#4056000 +1i +b11010101010100000101111011100 | +b11010101010100000101111011100 B" +1g +09" +0:" +0;" +0r +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +0u +14" +1v +0m +0/" +1x +1," +b11110101110101110101001110001001 / +b11110101110101110101001110001001 K +b11110101110101110101001110001001 e +b11110101110101110101001110001001 "" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#4056500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +1. +0% +#4057000 +b1110111000010111011011101100100 { +b1110111000010111011011101100100 A" +1;" +1r +07" +b1111 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0," +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010101010100000101111011100 0 +b11010101010100000101111011100 M +b11010101010100000101111011100 f +b11010101010100000101111011100 !" +0. +1% +#4057500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4058000 +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +08" +0l +0/" +b1110111000010111011011101100100 / +b1110111000010111011011101100100 K +b1110111000010111011011101100100 e +b1110111000010111011011101100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4058500 +b11110 5 +b11110 L +b11110 W +14 +b101 7 +b101 N +b101 V +16 +b10110101100110001110110000110000 2 +b10110101100110001110110000110000 I +b10110101100110001110110000110000 Z +b1111 3 +b1111 J +b1111 Y +11 +b11110 ' +b11110 D +1) +b101 & +b101 C +1( +b10110101100110001110110000110000 , +b10110101100110001110110000110000 H +b1111 + +b1111 G +1- +1. +0% +#4059000 +0] +0i +b100110111001111101100000111111 { +b100110111001111101100000111111 A" +0s +b11011010000011011000011100100110 | +b11011010000011011000011100100110 B" +0\ +0[ +1=" +1>" +1@" +0h +19" +1:" +1;" +0q +16" +17" +b10000 3" +0^ +0c +1d +1_ +b1 0" +0j +0o +1p +0k +18" +1l +b11010 -" +1t +1u +1b +1a +12" +1n +1/" +1w +1," +b10110101100110001110110000110000 &" +b10110101100110001110110000110000 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4059010 +b10110101100110001110110000110000 R" +#4059500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b111000101011110000010011010 2 +b111000101011110000010011010 I +b111000101011110000010011010 Z +b11000 3 +b11000 J +b11000 Y +b10101 ' +b10101 D +b0 & +b0 C +0( +b111000101011110000010011010 , +b111000101011110000010011010 H +b11000 + +b11000 G +1. +0% +#4060000 +0_ +1c +1j +1s +1\ +1[ +1=" +0>" +1h +0g +09" +1:" +1q +06" +07" +b111 3" +1<" +1` +0d +b1010 0" +0p +b1001100000101101000011111101001 { +b1001100000101101000011111101001 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +0n +1m +0w +0," +b100110111001111101100000111111 / +b100110111001111101100000111111 K +b100110111001111101100000111111 e +b100110111001111101100000111111 "" +b11011010000011011000011100100110 0 +b11011010000011011000011100100110 M +b11011010000011011000011100100110 f +b11011010000011011000011100100110 !" +b111000101011110000010011010 &" +b111000101011110000010011010 ?" +b11000 %" +b11000 *" +b11000 1" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4060010 +b111000101011110000010011010 [" +#4060500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b10101101001001110011110111010010 2 +b10101101001001110011110111010010 I +b10101101001001110011110111010010 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +b10101101001001110011110111010010 , +b10101101001001110011110111010010 H +b10000 + +b10000 G +1. +0% +#4061000 +1] +1i +0s +b10011000000011110101111000011111 | +b10011000000011110101111000011111 B" +0=" +1g +0:" +0;" +0r +0q +16" +17" +b1111 3" +0c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +1t +0u +14" +1v +0m +0/" +1x +1w +1," +b10101101001001110011110111010010 &" +b10101101001001110011110111010010 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +b1001100000101101000011111101001 / +b1001100000101101000011111101001 K +b1001100000101101000011111101001 e +b1001100000101101000011111101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4061010 +b10101101001001110011110111010010 S" +#4061500 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4062000 +0@" +1q +b11111 3" +0<" +0` +b1001 -" +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +02" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011000000011110101111000011111 0 +b10011000000011110101111000011111 M +b10011000000011110101111000011111 f +b10011000000011110101111000011111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 } +b10110 (" +b10110 +" +0. +1% +#4062500 +b1000 5 +b1000 L +b1000 W +14 +b1011 7 +b1011 N +b1011 V +b1000 ' +b1000 D +1) +b1011 & +b1011 C +1. +0% +#4063000 +15" +0i +b10001011011000010000000000010011 { +b10001011011000010000000000010011 A" +1u +1y +19" +1;" +0q +17" +06" +b10111 0" +1o +1k +b10100 -" +04" +0v +0t +b10000101001001101000111010001 | +b10000101001001101000111010001 B" +1/" +1w +b1000 ~ +b1000 )" +b1000 ." +1$" +b1011 } +b1011 (" +b1011 +" +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +0. +1% +#4063500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b0 ' +b0 D +0) +b1111 & +b1111 C +1. +0% +#4064000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0y +b10110101100110001110110000110000 | +b10110101100110001110110000110000 B" +09" +0;" +16" +b11111 0" +0o +0k +b10000 -" +1z +0/" +b10001011011000010000000000010011 / +b10001011011000010000000000010011 K +b10001011011000010000000000010011 e +b10001011011000010000000000010011 "" +b10000101001001101000111010001 0 +b10000101001001101000111010001 M +b10000101001001101000111010001 f +b10000101001001101000111010001 !" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +0. +1% +#4064500 +b0 7 +b0 N +b0 V +06 +b11101100100011110100111100000101 2 +b11101100100011110100111100000101 I +b11101100100011110100111100000101 Z +b101 3 +b101 J +b101 Y +11 +b0 & +b0 C +0( +b11101100100011110100111100000101 , +b11101100100011110100111100000101 H +b101 + +b101 G +1- +1. +0% +#4065000 +0] +1s +0[ +1>" +1@" +1r +1q +05" +06" +07" +b11010 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0w +0," +b11101100100011110100111100000101 &" +b11101100100011110100111100000101 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110101100110001110110000110000 0 +b10110101100110001110110000110000 M +b10110101100110001110110000110000 f +b10110101100110001110110000110000 !" +0. +1% +#4065010 +b11101100100011110100111100000101 H" +#4065500 +b11111 5 +b11111 L +b11111 W +14 +b10011001110100001001100101011100 2 +b10011001110100001001100101011100 I +b10011001110100001001100101011100 Z +b10001 3 +b10001 J +b10001 Y +b11111 ' +b11111 D +1) +b10011001110100001001100101011100 , +b10011001110100001001100101011100 H +b10001 + +b10001 G +1. +0% +#4066000 +0_ +1] +0i +b1011010101111110001110000111 { +b1011010101111110001110000111 A" +0>" +0h +0g +19" +1:" +1;" +b1110 3" +1<" +1` +0^ +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011001110100001001100101011100 &" +b10011001110100001001100101011100 ?" +b10001 %" +b10001 *" +b10001 1" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#4066010 +b10011001110100001001100101011100 T" +#4066500 +b10001 5 +b10001 L +b10001 W +b100 7 +b100 N +b100 V +16 +b1100100100011100000011101111101 2 +b1100100100011100000011101111101 I +b1100100100011100000011101111101 Z +b11110 3 +b11110 J +b11110 Y +b10001 ' +b10001 D +b100 & +b100 C +1( +b1100100100011100000011101111101 , +b1100100100011100000011101111101 H +b11110 + +b11110 G +1. +0% +#4067000 +0] +1i +0s +b10101101110110111001100100101011 | +b10101101110110111001100100101011 B" +0\ +1[ +1=" +1>" +1h +09" +0:" +16" +17" +b1 3" +0^ +0c +1d +b1110 0" +0p +b10011001110100001001100101011100 { +b10011001110100001001100101011100 A" +b11011 -" +1t +1u +1b +0a +0n +1," +b1100100100011100000011101111101 &" +b1100100100011100000011101111101 ?" +b11110 %" +b11110 *" +b11110 1" +b10001 ~ +b10001 )" +b10001 ." +b100 } +b100 (" +b100 +" +1#" +b1011010101111110001110000111 / +b1011010101111110001110000111 K +b1011010101111110001110000111 e +b1011010101111110001110000111 "" +0. +1% +#4067010 +b1100100100011100000011101111101 a" +#4067500 +b10000 5 +b10000 L +b10000 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4068000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +0>" +0@" +1g +06" +07" +b11111 3" +0d +0<" +0` +b1111 0" +b10101101001001110011110111010010 { +b10101101001001110011110111010010 A" +b11111 -" +0t +0u +0b +02" +0m +0," +b10011001110100001001100101011100 / +b10011001110100001001100101011100 K +b10011001110100001001100101011100 e +b10011001110100001001100101011100 "" +b10101101110110111001100100101011 0 +b10101101110110111001100100101011 M +b10101101110110111001100100101011 f +b10101101110110111001100100101011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4068500 +b110 5 +b110 L +b110 W +b1111 7 +b1111 N +b1111 V +16 +b110 ' +b110 D +b1111 & +b1111 C +1( +1. +0% +#4069000 +1k +0i +0s +b10110101100110001110110000110000 | +b10110101100110001110110000110000 B" +0h +1;" +1:" +0r +0q +15" +16" +17" +b11001 0" +08" +0l +1j +b1011110011011100101110110011101 { +b1011110011011100101110110011101 A" +b10000 -" +0t +0y +1z +1u +1n +1x +1w +1," +b110 ~ +b110 )" +b110 ." +b1111 } +b1111 (" +b1111 +" +1#" +b10101101001001110011110111010010 / +b10101101001001110011110111010010 K +b10101101001001110011110111010010 e +b10101101001001110011110111010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4069500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +b0 & +b0 C +0( +1. +0% +#4070000 +19" +1o +1s +1h +0:" +1r +1q +05" +06" +07" +b10111 0" +0j +b10001011011000010000000000010011 { +b10001011011000010000000000010011 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0x +0w +0," +b1011110011011100101110110011101 / +b1011110011011100101110110011101 K +b1011110011011100101110110011101 e +b1011110011011100101110110011101 "" +b10110101100110001110110000110000 0 +b10110101100110001110110000110000 M +b10110101100110001110110000110000 f +b10110101100110001110110000110000 !" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4070500 +b1011 5 +b1011 L +b1011 W +b1010 7 +b1010 N +b1010 V +16 +b10101101101110110110000011111 2 +b10101101101110110110000011111 I +b10101101101110110110000011111 Z +b1 3 +b1 J +b1 Y +11 +b1011 ' +b1011 D +b1010 & +b1010 C +1( +b10101101101110110110000011111 , +b10101101101110110110000011111 H +b1 + +b1 G +1- +1. +0% +#4071000 +0s +b1101001011100100100000010101 | +b1101001011100100100000010101 B" +0[ +1@" +0h +0g +0r +15" +17" +b11110 3" +1_ +b10100 0" +b10000101001001101000111010001 { +b10000101001001101000111010001 A" +b10101 -" +1y +1u +1a +12" +1n +1m +1x +1," +b10101101101110110110000011111 &" +b10101101101110110110000011111 ?" +b1 %" +b1 *" +b1 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +b1010 } +b1010 (" +b1010 +" +1#" +b10001011011000010000000000010011 / +b10001011011000010000000000010011 K +b10001011011000010000000000010011 e +b10001011011000010000000000010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4071010 +b10101101101110110110000011111 D" +#4071500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4072000 +1:" +0k +1j +1s +1[ +0@" +1g +09" +1r +05" +07" +b11111 3" +0_ +b1001 0" +18" +1l +0o +b10000011000010011100010001000101 { +b10000011000010011100010001000101 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0m +0x +0," +b10000101001001101000111010001 / +b10000101001001101000111010001 K +b10000101001001101000111010001 e +b10000101001001101000111010001 "" +b1101001011100100100000010101 0 +b1101001011100100100000010101 M +b1101001011100100100000010101 f +b1101001011100100100000010101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4072500 +b1001 5 +b1001 L +b1001 W +b1001 ' +b1001 D +1. +0% +#4073000 +19" +1k +1o +1h +0g +1;" +0:" +b10110 0" +08" +0l +0j +b10110001000000101101111101010001 { +b10110001000000101101111101010001 A" +0n +1m +b1001 ~ +b1001 )" +b1001 ." +b10000011000010011100010001000101 / +b10000011000010011100010001000101 K +b10000011000010011100010001000101 e +b10000011000010011100010001000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4073500 +b0 5 +b0 L +b0 W +04 +b11010011001001001010111111010 2 +b11010011001001001010111111010 I +b11010011001001001010111111010 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b11010011001001001010111111010 , +b11010011001001001010111111010 H +b1000 + +b1000 G +1- +1. +0% +#4074000 +0] +1i +1=" +1@" +1g +09" +0;" +b10111 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b10110001000000101101111101010001 / +b10110001000000101101111101010001 K +b10110001000000101101111101010001 e +b10110001000000101101111101010001 "" +b11010011001001001010111111010 &" +b11010011001001001010111111010 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4074010 +b11010011001001001010111111010 K" +#4074500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4075000 +1] +0=" +0@" +b11111 3" +0c +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4075500 +1. +0% +#4076000 +0. +1% +#4076500 +1. +0% +#4077000 +0. +1% +#4077500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#4078000 +b11010101010100000101111011100 { +b11010101010100000101111011100 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#4078500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4079000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11010101010100000101111011100 / +b11010101010100000101111011100 K +b11010101010100000101111011100 e +b11010101010100000101111011100 "" +0. +1% +#4079500 +b1101 7 +b1101 N +b1101 V +16 +b1101 & +b1101 C +1( +1. +0% +#4080000 +0s +b11110101110101110101001110001001 | +b11110101110101110101001110001001 B" +0q +15" +16" +17" +b10010 -" +0t +0y +1z +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#4080500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4081000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11110101110101110101001110001001 0 +b11110101110101110101001110001001 M +b11110101110101110101001110001001 f +b11110101110101110101001110001001 !" +0. +1% +#4081500 +b10 5 +b10 L +b10 W +14 +b11110 7 +b11110 N +b11110 V +16 +b1111000001111110110011001000010 2 +b1111000001111110110011001000010 I +b1111000001111110110011001000010 Z +b1101 3 +b1101 J +b1101 Y +11 +b10 ' +b10 D +1) +b11110 & +b11110 C +1( +b1111000001111110110011001000010 , +b1111000001111110110011001000010 H +b1101 + +b1101 G +1- +1. +0% +#4082000 +0] +b1110111011111000001011100101 { +b1110111011111000001011100101 A" +0s +b1100100100011100000011101111101 | +b1100100100011100000011101111101 B" +0[ +1=" +1>" +1@" +0h +1;" +0r +15" +16" +17" +b10010 3" +0^ +0c +1d +1_ +b11101 0" +1k +b1 -" +0t +0y +1z +0u +14" +1v +1a +12" +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111000001111110110011001000010 &" +b1111000001111110110011001000010 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#4082010 +b1111000001111110110011001000010 P" +#4082500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b101010010110100000010010110001 2 +b101010010110100000010010110001 I +b101010010110100000010010110001 Z +b11110 ' +b11110 D +b0 & +b0 C +0( +b101010010110100000010010110001 , +b101010010110100000010010110001 H +1. +0% +#4083000 +0k +0i +1s +b1100100100011100000011101111101 { +b1100100100011100000011101111101 A" +19" +1:" +1r +05" +06" +07" +b1 0" +18" +1l +0j +0o +1p +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b101010010110100000010010110001 &" +b101010010110100000010010110001 ?" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +b1110111011111000001011100101 / +b1110111011111000001011100101 K +b1110111011111000001011100101 e +b1110111011111000001011100101 "" +b1100100100011100000011101111101 0 +b1100100100011100000011101111101 M +b1100100100011100000011101111101 f +b1100100100011100000011101111101 !" +0. +1% +#4083010 +b101010010110100000010010110001 P" +#4083500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b100100100100101111110000100 2 +b100100100100101111110000100 I +b100100100100101111110000100 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b100100100100101111110000100 , +b100100100100101111110000100 H +b111 + +b111 G +1. +0% +#4084000 +1^ +1i +0s +b100101101000111000110010110101 | +b100101101000111000110010110101 B" +0\ +0=" +1>" +1h +09" +0:" +0;" +0r +15" +17" +b11000 3" +0d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b101 -" +1y +0u +14" +1v +1b +0n +0/" +1x +1," +b1100100100011100000011101111101 / +b1100100100011100000011101111101 K +b1100100100011100000011101111101 e +b1100100100011100000011101111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100100100100101111110000100 &" +b100100100100101111110000100 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#4084010 +b100100100100101111110000100 J" +#4084500 +b0 7 +b0 N +b0 V +06 +b1110101010110010000110000111000 2 +b1110101010110010000110000111000 I +b1110101010110010000110000111000 Z +b10 3 +b10 J +b10 Y +b0 & +b0 C +0( +b1110101010110010000110000111000 , +b1110101010110010000110000111000 H +b10 + +b10 G +1. +0% +#4085000 +1] +1s +1[ +0>" +1r +05" +07" +b11101 3" +0^ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +0x +0," +b1110101010110010000110000111000 &" +b1110101010110010000110000111000 ?" +b10 %" +b10 *" +b10 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101101000111000110010110101 0 +b100101101000111000110010110101 M +b100101101000111000110010110101 f +b100101101000111000110010110101 !" +0. +1% +#4085010 +b1110101010110010000110000111000 E" +#4085500 +b11000 5 +b11000 L +b11000 W +14 +b100 7 +b100 N +b100 V +16 +b1010000101010010011101100010111 2 +b1010000101010010011101100010111 I +b1010000101010010011101100010111 Z +b11001 3 +b11001 J +b11001 Y +b11000 ' +b11000 D +1) +b100 & +b100 C +1( +b1010000101010010011101100010111 , +b1010000101010010011101100010111 H +b11001 + +b11001 G +1. +0% +#4086000 +0_ +0] +0i +b111000101011110000010011010 { +b111000101011110000010011010 A" +0s +b10101101110110111001100100101011 | +b10101101110110111001100100101011 B" +1\ +0[ +1=" +19" +1;" +16" +17" +b110 3" +1<" +1` +1c +b111 0" +1o +0k +18" +1l +b11011 -" +1t +1u +0b +1a +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010000101010010011101100010111 &" +b1010000101010010011101100010111 ?" +b11001 %" +b11001 *" +b11001 1" +b11000 ~ +b11000 )" +b11000 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#4086010 +b1010000101010010011101100010111 \" +#4086500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +06 +b10010101100110000010010011110011 2 +b10010101100110000010010011110011 I +b10010101100110000010010011110011 Z +b0 3 +b0 J +b0 Y +b11011 ' +b11011 D +b0 & +b0 C +0( +b10010101100110000010010011110011 , +b10010101100110000010010011110011 H +b0 + +b0 G +1. +0% +#4087000 +1_ +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +1@" +0=" +0h +0g +06" +07" +b11111 3" +0<" +0` +0c +b100 0" +b1100001100011011111111001001110 { +b1100001100011011111111001001110 A" +b11111 -" +0t +0u +0a +1n +1m +0," +b10010101100110000010010011110011 &" +b10010101100110000010010011110011 ?" +b0 %" +b0 *" +b0 1" +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0#" +b111000101011110000010011010 / +b111000101011110000010011010 K +b111000101011110000010011010 e +b111000101011110000010011010 "" +b10101101110110111001100100101011 0 +b10101101110110111001100100101011 M +b10101101110110111001100100101011 f +b10101101110110111001100100101011 !" +0. +1% +#4087010 +b10010101100110000010010011110011 C" +#4087500 +b111 5 +b111 L +b111 W +b0 2 +b0 I +b0 Z +01 +b111 ' +b111 D +b0 , +b0 H +0- +1. +0% +#4088000 +1:" +1k +1j +0@" +1;" +b100100100100101111110000100 { +b100100100100101111110000100 A" +09" +0_ +b11000 0" +08" +0l +0o +02" +b1100001100011011111111001001110 / +b1100001100011011111111001001110 K +b1100001100011011111111001001110 e +b1100001100011011111111001001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +0'" +b111 ~ +b111 )" +b111 ." +0. +1% +#4088500 +b1 5 +b1 L +b1 W +b1 ' +b1 D +1. +0% +#4089000 +1i +1h +0:" +b11110 0" +0j +b10101101101110110110000011111 { +b10101101101110110110000011111 A" +0n +b1 ~ +b1 )" +b1 ." +b100100100100101111110000100 / +b100100100100101111110000100 K +b100100100100101111110000100 e +b100100100100101111110000100 "" +0. +1% +#4089500 +b11011 5 +b11011 L +b11011 W +b11011 ' +b11011 D +1. +0% +#4090000 +0k +0i +0h +19" +b100 0" +18" +1l +1o +b1100001100011011111111001001110 { +b1100001100011011111111001001110 A" +1n +b10101101101110110110000011111 / +b10101101101110110110000011111 K +b10101101101110110110000011111 e +b10101101101110110110000011111 "" +b11011 ~ +b11011 )" +b11011 ." +0. +1% +#4090500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4091000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1100001100011011111111001001110 / +b1100001100011011111111001001110 K +b1100001100011011111111001001110 e +b1100001100011011111111001001110 "" +0. +1% +#4091500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#4092000 +0s +b1010000101010010011101100010111 | +b1010000101010010011101100010111 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#4092500 +b0 7 +b0 N +b0 V +06 +b11110011111010000010011011001001 2 +b11110011111010000010011011001001 I +b11110011111010000010011011001001 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 & +b0 C +0( +b11110011111010000010011011001001 , +b11110011111010000010011011001001 H +b10101 + +b10101 G +1- +1. +0% +#4093000 +0] +1s +0[ +1>" +1@" +1q +05" +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0w +0," +b11110011111010000010011011001001 &" +b11110011111010000010011011001001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1010000101010010011101100010111 0 +b1010000101010010011101100010111 M +b1010000101010010011101100010111 f +b1010000101010010011101100010111 !" +0. +1% +#4093010 +b11110011111010000010011011001001 X" +#4093500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4094000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4094500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#4095000 +0i +b11101100010101011111101010111101 { +b11101100010101011111101010111101 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#4095500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4096000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b11101100010101011111101010111101 / +b11101100010101011111101010111101 K +b11101100010101011111101010111101 e +b11101100010101011111101010111101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4096500 +b11111 7 +b11111 N +b11111 V +16 +b11101011111010010100110110110001 2 +b11101011111010010100110110110001 I +b11101011111010010100110110110001 Z +b10100 3 +b10100 J +b10100 Y +11 +b11111 & +b11111 C +1( +b11101011111010010100110110110001 , +b11101011111010010100110110110001 H +b10100 + +b10100 G +1- +1. +0% +#4097000 +0] +0s +b1011010101111110001110000111 | +b1011010101111110001110000111 B" +1>" +1@" +0r +0q +15" +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b0 -" +0t +0y +1z +0u +14" +1v +12" +1x +1w +1," +b11101011111010010100110110110001 &" +b11101011111010010100110110110001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4097010 +b11101011111010010100110110110001 W" +#4097500 +b1000 5 +b1000 L +b1000 W +14 +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4098000 +1] +0i +b11010011001001001010111111010 { +b11010011001001001010111111010 A" +1u +1t +0>" +0@" +19" +1;" +1q +17" +05" +16" +b11111 3" +0^ +0<" +0` +b10111 0" +1o +1k +b11001 -" +04" +0v +0z +b1011110011011100101110110011101 | +b1011110011011100101110110011101 B" +02" +1/" +0w +b1011010101111110001110000111 0 +b1011010101111110001110000111 M +b1011010101111110001110000111 f +b1011010101111110001110000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#4098500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +b0 & +b0 C +0( +1. +0% +#4099000 +1i +1s +0h +0g +09" +1r +06" +07" +b11100 0" +0o +b11100101001101001101110011110 { +b11100101001101001101110011110 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +0x +0," +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +b11010011001001001010111111010 / +b11010011001001001010111111010 K +b11010011001001001010111111010 e +b11010011001001001010111111010 "" +b1011110011011100101110110011101 0 +b1011110011011100101110110011101 M +b1011110011011100101110110011101 f +b1011110011011100101110110011101 !" +0. +1% +#4099500 +b11010 5 +b11010 L +b11010 W +b11111000101001011100011110010000 2 +b11111000101001011100011110010000 I +b11111000101001011100011110010000 Z +b1001 3 +b1001 J +b1001 Y +11 +b11010 ' +b11010 D +b11111000101001011100011110010000 , +b11111000101001011100011110010000 H +b1001 + +b1001 G +1- +1. +0% +#4100000 +0] +0k +0i +0[ +1=" +1@" +1g +19" +b10110 3" +1c +1_ +b101 0" +18" +1l +1o +b100101101000111000110010110101 { +b100101101000111000110010110101 A" +1a +12" +0m +b11100101001101001101110011110 / +b11100101001101001101110011110 K +b11100101001101001101110011110 e +b11100101001101001101110011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111000101001011100011110010000 &" +b11111000101001011100011110010000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +0. +1% +#4100010 +b11111000101001011100011110010000 L" +#4100500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4101000 +1] +1i +1[ +0=" +0@" +1h +09" +0;" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b100101101000111000110010110101 / +b100101101000111000110010110101 K +b100101101000111000110010110101 e +b100101101000111000110010110101 "" +0. +1% +#4101500 +b10001 5 +b10001 L +b10001 W +14 +b111011110100000011101000000000 2 +b111011110100000011101000000000 I +b111011110100000011101000000000 Z +b110 3 +b110 J +b110 Y +11 +b10001 ' +b10001 D +1) +b111011110100000011101000000000 , +b111011110100000011101000000000 H +b110 + +b110 G +1- +1. +0% +#4102000 +0] +b10011001110100001001100101011100 { +b10011001110100001001100101011100 A" +0\ +1>" +1@" +0g +1;" +b11001 3" +1^ +1_ +b1110 0" +0k +18" +1l +1b +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111011110100000011101000000000 &" +b111011110100000011101000000000 ?" +b110 %" +b110 *" +b110 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#4102010 +b111011110100000011101000000000 I" +#4102500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b110110110110011001011100100110 2 +b110110110110011001011100100110 I +b110110110110011001011100100110 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b1 & +b1 C +1( +b110110110110011001011100100110 , +b110110110110011001011100100110 H +b11111 + +b11111 G +1. +0% +#4103000 +0_ +0^ +b10101101101110110110000011111 | +b10101101101110110110000011111 B" +0[ +1=" +1g +0;" +0q +17" +b0 3" +1<" +1` +1d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +1u +1a +0m +0/" +1w +1," +b110110110110011001011100100110 &" +b110110110110011001011100100110 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b10011001110100001001100101011100 / +b10011001110100001001100101011100 K +b10011001110100001001100101011100 e +b10011001110100001001100101011100 "" +0. +1% +#4103500 +b11111 5 +b11111 L +b11111 W +14 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4104000 +1] +0i +b110110110110011001011100100110 { +b110110110110011001011100100110 A" +0u +0s +1\ +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +0r +1q +16" +b11111 3" +0d +0<" +0` +b0 0" +0j +0o +1p +0k +18" +1l +b1001 -" +14" +1v +1t +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +0b +0a +02" +1n +1m +1/" +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101101101110110110000011111 0 +b10101101101110110110000011111 M +b10101101101110110110000011111 f +b10101101101110110110000011111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#4104500 +b11010 5 +b11010 L +b11010 W +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +b0 & +b0 C +0( +1. +0% +#4105000 +1o +1s +1g +19" +0:" +1r +06" +07" +b101 0" +0p +b100101101000111000110010110101 { +b100101101000111000110010110101 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0x +0," +b11010 ~ +b11010 )" +b11010 ." +b0 } +b0 (" +b0 +" +0#" +b110110110110011001011100100110 / +b110110110110011001011100100110 K +b110110110110011001011100100110 e +b110110110110011001011100100110 "" +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +0. +1% +#4105500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4106000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b100101101000111000110010110101 / +b100101101000111000110010110101 K +b100101101000111000110010110101 e +b100101101000111000110010110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4106500 +b11000 7 +b11000 N +b11000 V +16 +b11101011010010011110001101111111 2 +b11101011010010011110001101111111 I +b11101011010010011110001101111111 Z +b11111 3 +b11111 J +b11111 Y +11 +b11000 & +b11000 C +1( +b11101011010010011110001101111111 , +b11101011010010011110001101111111 H +b11111 + +b11111 G +1- +1. +0% +#4107000 +0] +0s +b111000101011110000010011010 | +b111000101011110000010011010 B" +0\ +0[ +1=" +1>" +1@" +15" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b111 -" +1y +0u +14" +1v +1b +1a +12" +1," +b11101011010010011110001101111111 &" +b11101011010010011110001101111111 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4107500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4108000 +1] +1s +1\ +1[ +0=" +0>" +0@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0<" +0` +b11111 -" +0y +04" +0v +0b +0a +02" +0," +b111000101011110000010011010 0 +b111000101011110000010011010 M +b111000101011110000010011010 f +b111000101011110000010011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4108500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#4109000 +0i +b10110101100110001110110000110000 { +b10110101100110001110110000110000 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4109500 +b0 5 +b0 L +b0 W +04 +b1111100010010000000001111110010 2 +b1111100010010000000001111110010 I +b1111100010010000000001111110010 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b1111100010010000000001111110010 , +b1111100010010000000001111110010 H +b10100 + +b10100 G +1- +1. +0% +#4110000 +0] +1i +1>" +1@" +1h +1g +09" +0:" +0;" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0n +0m +0/" +b10110101100110001110110000110000 / +b10110101100110001110110000110000 K +b10110101100110001110110000110000 e +b10110101100110001110110000110000 "" +b1111100010010000000001111110010 &" +b1111100010010000000001111110010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4110010 +b1111100010010000000001111110010 W" +#4110500 +16 +b11000011110010110101111111101000 2 +b11000011110010110101111111101000 I +b11000011110010110101111111101000 Z +b100 3 +b100 J +b100 Y +1( +b11000011110010110101111111101000 , +b11000011110010110101111111101000 H +b100 + +b100 G +1. +0% +#4111000 +1_ +b10010101100110000010010011110011 | +b10010101100110000010010011110011 B" +1@" +17" +b11011 3" +0<" +0` +1u +1," +b11000011110010110101111111101000 &" +b11000011110010110101111111101000 ?" +b100 %" +b100 *" +b100 1" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4111010 +b11000011110010110101111111101000 G" +#4111500 +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4112000 +1] +0s +0>" +0@" +0r +16" +b11111 3" +0^ +0_ +b11001 -" +1t +b111011110100000011101000000000 | +b111011110100000011101000000000 B" +02" +1x +b10010101100110000010010011110011 0 +b10010101100110000010010011110011 M +b10010101100110000010010011110011 f +b10010101100110000010010011110011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 } +b110 (" +b110 +" +0. +1% +#4112500 +b0 7 +b0 N +b0 V +06 +b10011100101100000110100110101111 2 +b10011100101100000110100110101111 I +b10011100101100000110100110101111 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 & +b0 C +0( +b10011100101100000110100110101111 , +b10011100101100000110100110101111 H +b1010 + +b1010 G +1- +1. +0% +#4113000 +0] +1s +0\ +1=" +1@" +1r +06" +07" +b10101 3" +1c +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0," +b10011100101100000110100110101111 &" +b10011100101100000110100110101111 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b111011110100000011101000000000 0 +b111011110100000011101000000000 M +b111011110100000011101000000000 f +b111011110100000011101000000000 !" +0. +1% +#4113010 +b10011100101100000110100110101111 M" +#4113500 +b11101 7 +b11101 N +b11101 V +16 +b1110101111000101111111000100001 2 +b1110101111000101111111000100001 I +b1110101111000101111111000100001 Z +b11101 & +b11101 C +1( +b1110101111000101111111000100001 , +b1110101111000101111111000100001 H +1. +0% +#4114000 +0s +b1101110111111110100101000100010 | +b1101110111111110100101000100010 B" +0q +15" +16" +17" +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110101111000101111111000100001 &" +b1110101111000101111111000100001 ?" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#4114010 +b1110101111000101111111000100001 M" +#4114500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b11001110011000111110111111100100 2 +b11001110011000111110111111100100 I +b11001110011000111110111111100100 Z +b1100 3 +b1100 J +b1100 Y +b110 ' +b110 D +1) +b0 & +b0 C +0( +b11001110011000111110111111100100 , +b11001110011000111110111111100100 H +b1100 + +b1100 G +1. +0% +#4115000 +0c +0i +b111011110100000011101000000000 { +b111011110100000011101000000000 A" +1s +1\ +1>" +0h +1:" +1;" +1q +05" +06" +07" +b10011 3" +1d +b11001 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1n +1/" +0w +0," +b11001110011000111110111111100100 &" +b11001110011000111110111111100100 ?" +b1100 %" +b1100 *" +b1100 1" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1101110111111110100101000100010 0 +b1101110111111110100101000100010 M +b1101110111111110100101000100010 f +b1101110111111110100101000100010 !" +0. +1% +#4115010 +b11001110011000111110111111100100 O" +#4115500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4116000 +1] +1i +0=" +0>" +0@" +1h +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0/" +b111011110100000011101000000000 / +b111011110100000011101000000000 K +b111011110100000011101000000000 e +b111011110100000011101000000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4116500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#4117000 +0s +b1100100100011100000011101111101 | +b1100100100011100000011101111101 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4117500 +b11000 5 +b11000 L +b11000 W +14 +b10110 7 +b10110 N +b10110 V +b11000 ' +b11000 D +1) +b10110 & +b10110 C +1. +0% +#4118000 +0i +b111000101011110000010011010 { +b111000101011110000010011010 A" +1t +b10000011000010011100010001000101 | +b10000011000010011100010001000101 B" +19" +1;" +05" +16" +b111 0" +1o +0k +18" +1l +b1001 -" +0z +1/" +b1100100100011100000011101111101 0 +b1100100100011100000011101111101 M +b1100100100011100000011101111101 f +b1100100100011100000011101111101 !" +b11000 ~ +b11000 )" +b11000 ." +1$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#4118500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4119000 +1i +1s +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +06" +07" +b11111 0" +0o +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b111000101011110000010011010 / +b111000101011110000010011010 K +b111000101011110000010011010 e +b111000101011110000010011010 "" +b10000011000010011100010001000101 0 +b10000011000010011100010001000101 M +b10000011000010011100010001000101 f +b10000011000010011100010001000101 !" +0. +1% +#4119500 +1. +0% +#4120000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4120500 +b100 7 +b100 N +b100 V +16 +b1110110110001110100100001110000 2 +b1110110110001110100100001110000 I +b1110110110001110100100001110000 Z +b11011 3 +b11011 J +b11011 Y +11 +b100 & +b100 C +1( +b1110110110001110100100001110000 , +b1110110110001110100100001110000 H +b11011 + +b11011 G +1- +1. +0% +#4121000 +0] +0s +b11000011110010110101111111101000 | +b11000011110010110101111111101000 B" +0\ +0[ +1=" +1@" +16" +17" +b100 3" +1c +0_ +1<" +1` +b11011 -" +1t +1u +1b +1a +12" +1," +b1110110110001110100100001110000 &" +b1110110110001110100100001110000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#4121010 +b1110110110001110100100001110000 ^" +#4121500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b111100000000101000010010001001 2 +b111100000000101000010010001001 I +b111100000000101000010010001001 Z +b1111 3 +b1111 J +b1111 Y +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b111100000000101000010010001001 , +b111100000000101000010010001001 H +b1111 + +b1111 G +1. +0% +#4122000 +1_ +0c +0i +b1100100100011100000011101111101 { +b1100100100011100000011101111101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +1>" +0h +19" +1:" +1;" +06" +07" +b10000 3" +0<" +0` +1d +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +0u +1n +1/" +0," +b11000011110010110101111111101000 0 +b11000011110010110101111111101000 M +b11000011110010110101111111101000 f +b11000011110010110101111111101000 !" +b111100000000101000010010001001 &" +b111100000000101000010010001001 ?" +b1111 %" +b1111 *" +b1111 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4122010 +b111100000000101000010010001001 R" +#4122500 +b10100 5 +b10100 L +b10100 W +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4123000 +1] +1j +0s +b1100100100011100000011101111101 | +b1100100100011100000011101111101 B" +1\ +1[ +0=" +0>" +0@" +1h +09" +1:" +0r +15" +16" +17" +b11111 3" +0d +0_ +b1011 0" +0p +b1111100010010000000001111110010 { +b1111100010010000000001111110010 A" +b1 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +0n +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +b11110 } +b11110 (" +b11110 +" +1#" +b1100100100011100000011101111101 / +b1100100100011100000011101111101 K +b1100100100011100000011101111101 e +b1100100100011100000011101111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4123500 +b10010 5 +b10010 L +b10010 W +b1 7 +b1 N +b1 V +b10010 ' +b10010 D +b1 & +b1 C +1. +0% +#4124000 +1i +1u +1s +0h +0:" +1r +0q +17" +05" +06" +b1101 0" +0j +b11010101010100000101111011100 { +b11010101010100000101111011100 A" +b11110 -" +04" +0v +0z +b10101101101110110110000011111 | +b10101101101110110110000011111 B" +1n +0x +1w +b1111100010010000000001111110010 / +b1111100010010000000001111110010 K +b1111100010010000000001111110010 e +b1111100010010000000001111110010 "" +b1100100100011100000011101111101 0 +b1100100100011100000011101111101 M +b1100100100011100000011101111101 f +b1100100100011100000011101111101 !" +b10010 ~ +b10010 )" +b10010 ." +b1 } +b1 (" +b1 +" +0. +1% +#4124500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110000001110000011100011010 2 +b110000001110000011100011010 I +b110000001110000011100011010 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110000001110000011100011010 , +b110000001110000011100011010 H +b1000 + +b1000 G +1- +1. +0% +#4125000 +0] +1=" +1@" +1h +0;" +1q +07" +b10111 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0n +0/" +0w +0," +b110000001110000011100011010 &" +b110000001110000011100011010 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11010101010100000101111011100 / +b11010101010100000101111011100 K +b11010101010100000101111011100 e +b11010101010100000101111011100 "" +b10101101101110110110000011111 0 +b10101101101110110110000011111 M +b10101101101110110110000011111 f +b10101101101110110110000011111 !" +0. +1% +#4125010 +b110000001110000011100011010 K" +#4125500 +b10011 5 +b10011 L +b10011 W +14 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4126000 +1] +b10010010010010110001010000111111 { +b10010010010010110001010000111111 A" +0s +b11101100010101011111101010111101 | +b11101100010101011111101010111101 B" +0=" +0@" +0h +0g +1;" +15" +16" +17" +b11111 3" +0c +0_ +b1100 0" +0k +18" +1l +b11 -" +0t +0y +1z +0u +14" +1v +02" +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#4126500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4127000 +1s +1h +1g +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +04" +0v +0n +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10010010010010110001010000111111 / +b10010010010010110001010000111111 K +b10010010010010110001010000111111 e +b10010010010010110001010000111111 "" +b11101100010101011111101010111101 0 +b11101100010101011111101010111101 M +b11101100010101011111101010111101 f +b11101100010101011111101010111101 !" +0. +1% +#4127500 +b1100 7 +b1100 N +b1100 V +16 +b10011100100111100000010110001111 2 +b10011100100111100000010110001111 I +b10011100100111100000010110001111 Z +11 +b1100 & +b1100 C +1( +b10011100100111100000010110001111 , +b10011100100111100000010110001111 H +1- +1. +0% +#4128000 +0s +b11001110011000111110111111100100 | +b11001110011000111110111111100100 B" +1@" +15" +16" +17" +1_ +b10011 -" +0t +0y +1z +1u +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011100100111100000010110001111 &" +b10011100100111100000010110001111 ?" +1'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#4128010 +b10011100100111100000010110001111 C" +#4128500 +b0 7 +b0 N +b0 V +06 +b10111100101011101011001001100111 2 +b10111100101011101011001001100111 I +b10111100101011101011001001100111 Z +b1010 3 +b1010 J +b1010 Y +b0 & +b0 C +0( +b10111100101011101011001001100111 , +b10111100101011101011001001100111 H +b1010 + +b1010 G +1. +0% +#4129000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1=" +05" +06" +07" +b10101 3" +1c +b11111 -" +0z +0u +1b +0," +b10111100101011101011001001100111 &" +b10111100101011101011001001100111 ?" +b1010 %" +b1010 *" +b1010 1" +b0 } +b0 (" +b0 +" +0#" +b11001110011000111110111111100100 0 +b11001110011000111110111111100100 M +b11001110011000111110111111100100 f +b11001110011000111110111111100100 !" +0. +1% +#4129010 +b10111100101011101011001001100111 M" +#4129500 +b11110 5 +b11110 L +b11110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4130000 +1] +0i +b1100100100011100000011101111101 { +b1100100100011100000011101111101 A" +1\ +0=" +0@" +0h +19" +1:" +1;" +b11111 3" +0c +0_ +b1 0" +0j +0o +1p +0k +18" +1l +0b +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#4130500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b11110000010101101111011000100 2 +b11110000010101101111011000100 I +b11110000010101101111011000100 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b11110000010101101111011000100 , +b11110000010101101111011000100 H +b11001 + +b11001 G +1- +1. +0% +#4131000 +0] +1i +0s +b11001110011000111110111111100100 | +b11001110011000111110111111100100 B" +0[ +1=" +1@" +1h +09" +0:" +0;" +15" +16" +17" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +1a +12" +0n +0/" +1," +b11110000010101101111011000100 &" +b11110000010101101111011000100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +b1100100100011100000011101111101 / +b1100100100011100000011101111101 K +b1100100100011100000011101111101 e +b1100100100011100000011101111101 "" +0. +1% +#4131010 +b11110000010101101111011000100 \" +#4131500 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4132000 +1] +1t +b11000011110010110101111111101000 | +b11000011110010110101111111101000 B" +1[ +0=" +0@" +05" +16" +b11111 3" +0c +0<" +0` +b11011 -" +0z +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001110011000111110111111100100 0 +b11001110011000111110111111100100 M +b11001110011000111110111111100100 f +b11001110011000111110111111100100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +0. +1% +#4132500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4133000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +06" +07" +b11111 -" +0t +0u +0," +b0 } +b0 (" +b0 +" +0#" +b11000011110010110101111111101000 0 +b11000011110010110101111111101000 M +b11000011110010110101111111101000 f +b11000011110010110101111111101000 !" +0. +1% +#4133500 +b1101 5 +b1101 L +b1101 W +14 +b11010110101011011100101001010100 2 +b11010110101011011100101001010100 I +b11010110101011011100101001010100 Z +b10110 3 +b10110 J +b10110 Y +11 +b1101 ' +b1101 D +1) +b11010110101011011100101001010100 , +b11010110101011011100101001010100 H +b10110 + +b10110 G +1- +1. +0% +#4134000 +0] +0i +b101010010110100000010010110001 { +b101010010110100000010010110001 A" +0\ +1>" +1@" +0g +19" +1:" +1;" +b1001 3" +1^ +0_ +1<" +1` +b10010 0" +0j +0o +1p +1k +1b +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010110101011011100101001010100 &" +b11010110101011011100101001010100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#4134010 +b11010110101011011100101001010100 Y" +#4134500 +b1100 5 +b1100 L +b1100 W +b1100 7 +b1100 N +b1100 V +16 +b10100100100000110001110111001100 2 +b10100100100000110001110111001100 I +b10100100100000110001110111001100 Z +b1001 3 +b1001 J +b1001 Y +b1100 ' +b1100 D +b1100 & +b1100 C +1( +b10100100100000110001110111001100 , +b10100100100000110001110111001100 H +b1001 + +b1001 G +1. +0% +#4135000 +1=" +1_ +1c +0s +b11001110011000111110111111100100 | +b11001110011000111110111111100100 B" +1\ +0[ +1@" +0>" +1g +15" +16" +17" +b10110 3" +0<" +0` +0^ +b10011 0" +b11001110011000111110111111100100 { +b11001110011000111110111111100100 A" +b10011 -" +0t +0y +1z +1u +0b +1a +0m +1," +b10100100100000110001110111001100 &" +b10100100100000110001110111001100 ?" +b1001 %" +b1001 *" +b1001 1" +b1100 ~ +b1100 )" +b1100 ." +b1100 } +b1100 (" +b1100 +" +1#" +b101010010110100000010010110001 / +b101010010110100000010010110001 K +b101010010110100000010010110001 e +b101010010110100000010010110001 "" +0. +1% +#4135010 +b10100100100000110001110111001100 L" +#4135500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10001100111011001111001011010100 2 +b10001100111011001111001011010100 I +b10001100111011001111001011010100 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10001100111011001111001011010100 , +b10001100111011001111001011010100 H +b111 + +b111 G +1. +0% +#4136000 +1>" +1^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0=" +09" +0:" +0;" +05" +06" +07" +b11000 3" +0c +b11111 0" +0p +0k +b11111 -" +0z +0u +1b +0/" +0," +b11001110011000111110111111100100 / +b11001110011000111110111111100100 K +b11001110011000111110111111100100 e +b11001110011000111110111111100100 "" +b11001110011000111110111111100100 0 +b11001110011000111110111111100100 M +b11001110011000111110111111100100 f +b11001110011000111110111111100100 !" +b10001100111011001111001011010100 &" +b10001100111011001111001011010100 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4136010 +b10001100111011001111001011010100 J" +#4136500 +b10111001101110001000010011100110 2 +b10111001101110001000010011100110 I +b10111001101110001000010011100110 Z +b1111 3 +b1111 J +b1111 Y +b10111001101110001000010011100110 , +b10111001101110001000010011100110 H +b1111 + +b1111 G +1. +0% +#4137000 +0^ +1=" +b10000 3" +1d +b10111001101110001000010011100110 &" +b10111001101110001000010011100110 ?" +b1111 %" +b1111 *" +b1111 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4137010 +b10111001101110001000010011100110 R" +#4137500 +b10000 5 +b10000 L +b10000 W +14 +b11101100011001011101000100000110 2 +b11101100011001011101000100000110 I +b11101100011001011101000100000110 Z +b101 3 +b101 J +b101 Y +b10000 ' +b10000 D +1) +b11101100011001011101000100000110 , +b11101100011001011101000100000110 H +b101 + +b101 G +1. +0% +#4138000 +1^ +b10101101001001110011110111010010 { +b10101101001001110011110111010010 A" +1\ +0=" +1>" +1;" +b11010 3" +0d +b1111 0" +0k +18" +1l +0b +1/" +b11101100011001011101000100000110 &" +b11101100011001011101000100000110 ?" +b101 %" +b101 *" +b101 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#4138010 +b11101100011001011101000100000110 H" +#4138500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4139000 +1] +0s +b111011110100000011101000000000 | +b111011110100000011101000000000 B" +1[ +0>" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +16" +17" +b11111 3" +0^ +0_ +b11111 0" +08" +0l +b11001 -" +1t +1u +0a +02" +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +b10101101001001110011110111010010 / +b10101101001001110011110111010010 K +b10101101001001110011110111010010 e +b10101101001001110011110111010010 "" +0. +1% +#4139500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +1) +b0 & +b0 C +0( +1. +0% +#4140000 +b10101101101110110110000011111 { +b10101101101110110110000011111 A" +1s +0g +1;" +1r +06" +07" +b11110 0" +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111011110100000011101000000000 0 +b111011110100000011101000000000 M +b111011110100000011101000000000 f +b111011110100000011101000000000 !" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4140500 +b11000 5 +b11000 L +b11000 W +b11000 ' +b11000 D +1. +0% +#4141000 +0k +0i +1g +19" +b111 0" +18" +1l +1o +b111000101011110000010011010 { +b111000101011110000010011010 A" +0m +b11000 ~ +b11000 )" +b11000 ." +b10101101101110110110000011111 / +b10101101101110110110000011111 K +b10101101101110110110000011111 e +b10101101101110110110000011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4141500 +b0 5 +b0 L +b0 W +04 +b1101101010111101001011011100110 2 +b1101101010111101001011011100110 I +b1101101010111101001011011100110 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b1101101010111101001011011100110 , +b1101101010111101001011011100110 H +b1111 + +b1111 G +1- +1. +0% +#4142000 +0] +1i +0\ +0[ +1=" +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0o +08" +0l +1b +1a +12" +0/" +b111000101011110000010011010 / +b111000101011110000010011010 K +b111000101011110000010011010 e +b111000101011110000010011010 "" +b1101101010111101001011011100110 &" +b1101101010111101001011011100110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4142010 +b1101101010111101001011011100110 R" +#4142500 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4143000 +1] +b10011001110100001001100101011100 | +b10011001110100001001100101011100 B" +1\ +1[ +0=" +0>" +0@" +0q +17" +b11111 3" +0d +0_ +b1110 -" +0u +14" +1v +0b +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 } +b10001 (" +b10001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4143500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b1100010101110111010001111001 2 +b1100010101110111010001111001 I +b1100010101110111010001111001 Z +b111 3 +b111 J +b111 Y +11 +b11 ' +b11 D +1) +b0 & +b0 C +0( +b1100010101110111010001111001 , +b1100010101110111010001111001 H +b111 + +b111 G +1- +1. +0% +#4144000 +0] +b11100101001101001101110011110 { +b11100101001101001101110011110 A" +0\ +0[ +1>" +1@" +0h +0g +1;" +1q +07" +b11000 3" +1^ +1_ +b11100 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1m +1/" +0w +0," +b10011001110100001001100101011100 0 +b10011001110100001001100101011100 M +b10011001110100001001100101011100 f +b10011001110100001001100101011100 !" +b1100010101110111010001111001 &" +b1100010101110111010001111001 ?" +b111 %" +b111 *" +b111 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4144010 +b1100010101110111010001111001 J" +#4144500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4145000 +1] +1\ +1[ +0>" +0@" +1h +1g +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100101001101001101110011110 / +b11100101001101001101110011110 K +b11100101001101001101110011110 e +b11100101001101001101110011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4145500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#4146000 +b10010010010010110001010000111111 { +b10010010010010110001010000111111 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#4146500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b1001100001010101100101111110011 2 +b1001100001010101100101111110011 I +b1001100001010101100101111110011 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b1001100001010101100101111110011 , +b1001100001010101100101111110011 H +b11110 + +b11110 G +1- +1. +0% +#4147000 +0] +0s +b10100100100000110001110111001100 | +b10100100100000110001110111001100 B" +0\ +1=" +1>" +1@" +1h +1g +0;" +0q +15" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10110 -" +1y +1u +1b +12" +0n +0m +0/" +1w +1," +b1001100001010101100101111110011 &" +b1001100001010101100101111110011 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b10010010010010110001010000111111 / +b10010010010010110001010000111111 K +b10010010010010110001010000111111 e +b10010010010010110001010000111111 "" +0. +1% +#4147010 +b1001100001010101100101111110011 a" +#4147500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4148000 +1] +1s +1\ +0=" +0>" +0@" +1q +05" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100100100000110001110111001100 0 +b10100100100000110001110111001100 M +b10100100100000110001110111001100 f +b10100100100000110001110111001100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4148500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#4149000 +0i +b1100010101110111010001111001 { +b1100010101110111010001111001 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b111 ~ +b111 )" +b111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4149500 +b10010 5 +b10010 L +b10010 W +b1111 7 +b1111 N +b1111 V +16 +b11110001000101110000111111101110 2 +b11110001000101110000111111101110 I +b11110001000101110000111111101110 Z +b11011 3 +b11011 J +b11011 Y +11 +b10010 ' +b10010 D +b1111 & +b1111 C +1( +b11110001000101110000111111101110 , +b11110001000101110000111111101110 H +b11011 + +b11011 G +1- +1. +0% +#4150000 +0] +0k +1i +0s +b1101101010111101001011011100110 | +b1101101010111101001011011100110 B" +0\ +0[ +1=" +1@" +1g +0:" +0r +0q +15" +16" +17" +b100 3" +1c +0_ +1<" +1` +b1101 0" +18" +1l +0j +b11010101010100000101111011100 { +b11010101010100000101111011100 A" +b10000 -" +0t +0y +1z +1u +1b +1a +12" +0m +1x +1w +1," +b1100010101110111010001111001 / +b1100010101110111010001111001 K +b1100010101110111010001111001 e +b1100010101110111010001111001 "" +b11110001000101110000111111101110 &" +b11110001000101110000111111101110 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#4150010 +b11110001000101110000111111101110 ^" +#4150500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4151000 +1] +1s +1\ +1[ +0=" +0@" +1h +0;" +1q +05" +06" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +0z +b1110101010110010000110000111000 | +b1110101010110010000110000111000 B" +0b +0a +02" +0n +0/" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +b11010101010100000101111011100 / +b11010101010100000101111011100 K +b11010101010100000101111011100 e +b11010101010100000101111011100 "" +b1101101010111101001011011100110 0 +b1101101010111101001011011100110 M +b1101101010111101001011011100110 f +b1101101010111101001011011100110 !" +0. +1% +#4151500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4152000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110101010110010000110000111000 0 +b1110101010110010000110000111000 M +b1110101010110010000110000111000 f +b1110101010110010000110000111000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4152500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#4153000 +b10010010010010110001010000111111 { +b10010010010010110001010000111111 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4153500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b1110110100100111001001101100 2 +b1110110100100111001001101100 I +b1110110100100111001001101100 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b1110110100100111001001101100 , +b1110110100100111001001101100 H +b111 + +b111 G +1- +1. +0% +#4154000 +0] +0s +b11110001000101110000111111101110 | +b11110001000101110000111111101110 B" +0\ +0[ +1>" +1@" +1h +1g +0;" +0r +0q +15" +17" +b11000 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +1y +0u +14" +1v +1b +1a +12" +0n +0m +0/" +1x +1w +1," +b10010010010010110001010000111111 / +b10010010010010110001010000111111 K +b10010010010010110001010000111111 e +b10010010010010110001010000111111 "" +b1110110100100111001001101100 &" +b1110110100100111001001101100 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#4154010 +b1110110100100111001001101100 J" +#4154500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b11101111001100011011100110111111 2 +b11101111001100011011100110111111 I +b11101111001100011011100110111111 Z +b10 3 +b10 J +b10 Y +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +b11101111001100011011100110111111 , +b11101111001100011011100110111111 H +b10 + +b10 G +1. +0% +#4155000 +1] +0i +b110000001110000011100011010 { +b110000001110000011100011010 A" +1s +1[ +0>" +19" +1;" +1r +1q +05" +07" +b11101 3" +0^ +b10111 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +1/" +0x +0w +0," +b11101111001100011011100110111111 &" +b11101111001100011011100110111111 ?" +b10 %" +b10 *" +b10 1" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110001000101110000111111101110 0 +b11110001000101110000111111101110 M +b11110001000101110000111111101110 f +b11110001000101110000111111101110 !" +0. +1% +#4155010 +b11101111001100011011100110111111 E" +#4155500 +b1011 5 +b1011 L +b1011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4156000 +1\ +0@" +0h +0g +b11111 3" +0_ +b10100 0" +b10000101001001101000111010001 { +b10000101001001101000111010001 A" +0b +02" +1n +1m +b110000001110000011100011010 / +b110000001110000011100011010 K +b110000001110000011100011010 e +b110000001110000011100011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#4156500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4157000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10000101001001101000111010001 / +b10000101001001101000111010001 K +b10000101001001101000111010001 e +b10000101001001101000111010001 "" +0. +1% +#4157500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#4158000 +b11010101010100000101111011100 { +b11010101010100000101111011100 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#4158500 +b11001 5 +b11001 L +b11001 W +b11111101010110111000101010111101 2 +b11111101010110111000101010111101 I +b11111101010110111000101010111101 Z +b1 3 +b1 J +b1 Y +11 +b11001 ' +b11001 D +b11111101010110111000101010111101 , +b11111101010110111000101010111101 H +b1 + +b1 G +1- +1. +0% +#4159000 +0i +0[ +1@" +1h +0g +19" +b11110 3" +1_ +b110 0" +1o +b11110000010101101111011000100 { +b11110000010101101111011000100 A" +1a +12" +0n +1m +b11111101010110111000101010111101 &" +b11111101010110111000101010111101 ?" +b1 %" +b1 *" +b1 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b11010101010100000101111011100 / +b11010101010100000101111011100 K +b11010101010100000101111011100 e +b11010101010100000101111011100 "" +0. +1% +#4159010 +b11111101010110111000101010111101 D" +#4159500 +b1111 5 +b1111 L +b1111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4160000 +1k +0o +1[ +0@" +0h +1;" +1:" +b11111 3" +0_ +b10000 0" +08" +0l +1p +b1101101010111101001011011100110 { +b1101101010111101001011011100110 A" +0a +02" +1n +b11110000010101101111011000100 / +b11110000010101101111011000100 K +b11110000010101101111011000100 e +b11110000010101101111011000100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#4160500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b100011011111110000110011010110 2 +b100011011111110000110011010110 I +b100011011111110000110011010110 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b100011011111110000110011010110 , +b100011011111110000110011010110 H +b11001 + +b11001 G +1- +1. +0% +#4161000 +0] +1i +0s +b11010110101011011100101001010100 | +b11010110101011011100101001010100 B" +0[ +1=" +1@" +1h +1g +09" +0:" +0;" +0r +16" +17" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +1a +12" +0n +0m +0/" +1x +1," +b100011011111110000110011010110 &" +b100011011111110000110011010110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +b1101101010111101001011011100110 / +b1101101010111101001011011100110 K +b1101101010111101001011011100110 e +b1101101010111101001011011100110 "" +0. +1% +#4161010 +b100011011111110000110011010110 \" +#4161500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10010101011010110110101000111011 2 +b10010101011010110110101000111011 I +b10010101011010110110101000111011 Z +b10011 3 +b10011 J +b10011 Y +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b10010101011010110110101000111011 , +b10010101011010110110101000111011 H +b10011 + +b10011 G +1. +0% +#4162000 +1] +0i +b1111100010010000000001111110010 { +b1111100010010000000001111110010 A" +1s +0\ +0=" +1:" +1;" +1r +06" +07" +b1100 3" +0c +b1011 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010110101011011100101001010100 0 +b11010110101011011100101001010100 M +b11010110101011011100101001010100 f +b11010110101011011100101001010100 !" +b10010101011010110110101000111011 &" +b10010101011010110110101000111011 ?" +b10011 %" +b10011 *" +b10011 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4162010 +b10010101011010110110101000111011 V" +#4162500 +b10101 5 +b10101 L +b10101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4163000 +1\ +1[ +0@" +0g +b11111 3" +0<" +0` +b1010 0" +b11110011111010000010011011001001 { +b11110011111010000010011011001001 A" +0b +0a +02" +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +b1111100010010000000001111110010 / +b1111100010010000000001111110010 K +b1111100010010000000001111110010 e +b1111100010010000000001111110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4163500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4164000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11110011111010000010011011001001 / +b11110011111010000010011011001001 K +b11110011111010000010011011001001 e +b11110011111010000010011011001001 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4164500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#4165000 +0s +b11110001000101110000111111101110 | +b11110001000101110000111111101110 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4165500 +b11000 7 +b11000 N +b11000 V +b11000 & +b11000 C +1. +0% +#4166000 +1r +1q +b111 -" +b111000101011110000010011010 | +b111000101011110000010011010 B" +0x +0w +b11110001000101110000111111101110 0 +b11110001000101110000111111101110 M +b11110001000101110000111111101110 f +b11110001000101110000111111101110 !" +b11000 } +b11000 (" +b11000 +" +0. +1% +#4166500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +1. +0% +#4167000 +0i +b11101100010101011111101010111101 { +b11101100010101011111101010111101 A" +1s +19" +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +04" +0v +1/" +0," +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b111000101011110000010011010 0 +b111000101011110000010011010 M +b111000101011110000010011010 f +b111000101011110000010011010 !" +0. +1% +#4167500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b0 ' +b0 D +0) +b111 & +b111 C +1( +1. +0% +#4168000 +1i +0s +b1110110100100111001001101100 | +b1110110100100111001001101100 B" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +16" +17" +b11111 0" +0p +08" +0l +b11000 -" +1t +1u +0/" +1x +1w +1," +b11101100010101011111101010111101 / +b11101100010101011111101010111101 K +b11101100010101011111101010111101 e +b11101100010101011111101010111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#4168500 +1. +0% +#4169000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110110100100111001001101100 0 +b1110110100100111001001101100 M +b1110110100100111001001101100 f +b1110110100100111001001101100 !" +0. +1% +#4169500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b1100010001001111010111010100001 2 +b1100010001001111010111010100001 I +b1100010001001111010111010100001 Z +b11111 3 +b11111 J +b11111 Y +11 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +b1100010001001111010111010100001 , +b1100010001001111010111010100001 H +b11111 + +b11111 G +1- +1. +0% +#4170000 +0] +0i +b100011011111110000110011010110 { +b100011011111110000110011010110 A" +1s +0\ +0[ +1=" +1>" +1@" +0g +19" +1;" +1r +1q +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b110 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1m +1/" +0x +0w +0," +b1100010001001111010111010100001 &" +b1100010001001111010111010100001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4170500 +b0 5 +b0 L +b0 W +04 +b1001001010100111110010111010100 2 +b1001001010100111110010111010100 I +b1001001010100111110010111010100 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b1001001010100111110010111010100 , +b1001001010100111110010111010100 H +b10110 + +b10110 G +1. +0% +#4171000 +1^ +1i +1[ +0=" +1>" +1g +09" +0;" +b1001 3" +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +0m +0/" +b1001001010100111110010111010100 &" +b1001001010100111110010111010100 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b100011011111110000110011010110 / +b100011011111110000110011010110 K +b100011011111110000110011010110 e +b100011011111110000110011010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4171010 +b1001001010100111110010111010100 Y" +#4171500 +b10 7 +b10 N +b10 V +16 +b1100001000111000101101000010101 2 +b1100001000111000101101000010101 I +b1100001000111000101101000010101 Z +b10111 3 +b10111 J +b10111 Y +b10 & +b10 C +1( +b1100001000111000101101000010101 , +b1100001000111000101101000010101 H +b10111 + +b10111 G +1. +0% +#4172000 +b11101111001100011011100110111111 | +b11101111001100011011100110111111 B" +0[ +0r +17" +b1000 3" +b11101 -" +1u +1a +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100001000111000101101000010101 &" +b1100001000111000101101000010101 ?" +b10111 %" +b10111 *" +b10111 1" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#4172010 +b1100001000111000101101000010101 Z" +#4172500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4173000 +1] +0i +b11000011110010110101111111101000 { +b11000011110010110101111111101000 A" +1\ +1[ +0>" +0@" +1:" +1;" +1r +07" +b11111 3" +0^ +0<" +0` +b11011 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11101111001100011011100110111111 0 +b11101111001100011011100110111111 M +b11101111001100011011100110111111 f +b11101111001100011011100110111111 !" +0. +1% +#4173500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b10110010100011111110001000100010 2 +b10110010100011111110001000100010 I +b10110010100011111110001000100010 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b11 & +b11 C +1( +b10110010100011111110001000100010 , +b10110010100011111110001000100010 H +b11101 + +b11101 G +1- +1. +0% +#4174000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11100101001101001101110011110 | +b11100101001101001101110011110 B" +0[ +1=" +1>" +1@" +0:" +0;" +0r +0q +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +0k +b11100 -" +1u +1a +12" +0/" +1x +1w +1," +b11000011110010110101111111101000 / +b11000011110010110101111111101000 K +b11000011110010110101111111101000 e +b11000011110010110101111111101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110010100011111110001000100010 &" +b10110010100011111110001000100010 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#4174010 +b10110010100011111110001000100010 `" +#4174500 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4175000 +1] +1[ +0=" +0>" +0@" +1q +b11111 3" +0d +0<" +0` +b11101 -" +b11101111001100011011100110111111 | +b11101111001100011011100110111111 B" +0a +02" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100101001101001101110011110 0 +b11100101001101001101110011110 M +b11100101001101001101110011110 f +b11100101001101001101110011110 !" +0. +1% +#4175500 +b11011 7 +b11011 N +b11011 V +b11011 & +b11011 C +1. +0% +#4176000 +0u +0s +0q +15" +b100 -" +14" +1v +1y +b11110001000101110000111111101110 | +b11110001000101110000111111101110 B" +1w +b11101111001100011011100110111111 0 +b11101111001100011011100110111111 M +b11101111001100011011100110111111 f +b11101111001100011011100110111111 !" +b11011 } +b11011 (" +b11011 +" +0. +1% +#4176500 +b1001 5 +b1001 L +b1001 W +14 +b11110 7 +b11110 N +b11110 V +b1101111101110110110111111011110 2 +b1101111101110110110111111011110 I +b1101111101110110110111111011110 Z +11 +b1001 ' +b1001 D +1) +b11110 & +b11110 C +b1101111101110110110111111011110 , +b1101111101110110110111111011110 H +1- +1. +0% +#4177000 +0i +b10100100100000110001110111001100 { +b10100100100000110001110111001100 A" +0y +1@" +0g +19" +1;" +1q +16" +1_ +b10110 0" +1o +1k +b1 -" +1z +b1001100001010101100101111110011 | +b1001100001010101100101111110011 B" +12" +1m +1/" +0w +b1101111101110110110111111011110 &" +b1101111101110110110111111011110 ?" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b11110 } +b11110 (" +b11110 +" +b11110001000101110000111111101110 0 +b11110001000101110000111111101110 M +b11110001000101110000111111101110 f +b11110001000101110000111111101110 !" +0. +1% +#4177010 +b1101111101110110110111111011110 C" +#4177500 +b0 7 +b0 N +b0 V +06 +b101100100100111001110011010110 2 +b101100100100111001110011010110 I +b101100100100111001110011010110 Z +b10011 3 +b10011 J +b10011 Y +b0 & +b0 C +0( +b101100100100111001110011010110 , +b101100100100111001110011010110 H +b10011 + +b10011 G +1. +0% +#4178000 +0_ +1s +0\ +0[ +1r +05" +06" +07" +b1100 3" +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +0x +0," +b10100100100000110001110111001100 / +b10100100100000110001110111001100 K +b10100100100000110001110111001100 e +b10100100100000110001110111001100 "" +b1001100001010101100101111110011 0 +b1001100001010101100101111110011 M +b1001100001010101100101111110011 f +b1001100001010101100101111110011 !" +b101100100100111001110011010110 &" +b101100100100111001110011010110 ?" +b10011 %" +b10011 *" +b10011 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4178010 +b101100100100111001110011010110 V" +#4178500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4179000 +1i +0s +b1101111010101100100110101110 | +b1101111010101100100110101110 B" +1\ +1[ +0@" +1g +09" +0;" +0r +15" +16" +17" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +0t +0y +1z +1u +0b +0a +02" +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4179500 +b1000 5 +b1000 L +b1000 W +14 +b1010 7 +b1010 N +b1010 V +b101101000110010010101001001000 2 +b101101000110010010101001001000 I +b101101000110010010101001001000 Z +b11110 3 +b11110 J +b11110 Y +11 +b1000 ' +b1000 D +1) +b1010 & +b1010 C +b101101000110010010101001001000 , +b101101000110010010101001001000 H +b11110 + +b11110 G +1- +1. +0% +#4180000 +0] +0i +b110000001110000011100011010 { +b110000001110000011100011010 A" +1y +b10111100101011101011001001100111 | +b10111100101011101011001001100111 B" +0\ +1=" +1>" +1@" +19" +1;" +15" +06" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b10111 0" +1o +1k +b10101 -" +0z +1b +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101111010101100100110101110 0 +b1101111010101100100110101110 M +b1101111010101100100110101110 f +b1101111010101100100110101110 !" +b101101000110010010101001001000 &" +b101101000110010010101001001000 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1010 } +b1010 (" +b1010 +" +0. +1% +#4180010 +b101101000110010010101001001000 a" +#4180500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4181000 +16" +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +1t +1\ +0=" +0>" +0@" +09" +0;" +b1001001010100111110010111010100 | +b1001001010100111110010111010100 B" +05" +b11111 3" +0d +0<" +0` +b11111 0" +0o +0k +b1001 -" +14" +1v +0y +0b +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +b110000001110000011100011010 / +b110000001110000011100011010 K +b110000001110000011100011010 e +b110000001110000011100011010 "" +b10111100101011101011001001100111 0 +b10111100101011101011001001100111 M +b10111100101011101011001001100111 f +b10111100101011101011001001100111 !" +0. +1% +#4181500 +b0 7 +b0 N +b0 V +b0 & +b0 C +1. +0% +#4182000 +1u +1s +1r +17" +06" +b11111 -" +04" +0v +0t +b1101111101110110110111111011110 | +b1101111101110110110111111011110 B" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001001010100111110010111010100 0 +b1001001010100111110010111010100 M +b1001001010100111110010111010100 f +b1001001010100111110010111010100 !" +b0 } +b0 (" +b0 +" +0. +1% +#4182500 +06 +0( +1. +0% +#4183000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +07" +0u +0," +0#" +b1101111101110110110111111011110 0 +b1101111101110110110111111011110 M +b1101111101110110110111111011110 f +b1101111101110110110111111011110 !" +0. +1% +#4183500 +1. +0% +#4184000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4184500 +b10101100111110011001111101001011 2 +b10101100111110011001111101001011 I +b10101100111110011001111101001011 Z +b11100 3 +b11100 J +b11100 Y +11 +b10101100111110011001111101001011 , +b10101100111110011001111101001011 H +b11100 + +b11100 G +1- +1. +0% +#4185000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b10101100111110011001111101001011 &" +b10101100111110011001111101001011 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#4185010 +b10101100111110011001111101001011 _" +#4185500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4186000 +1] +0i +b100101101000111000110010110101 { +b100101101000111000110010110101 A" +0=" +0>" +0@" +0h +19" +1;" +b11111 3" +0d +0<" +0` +b101 0" +1o +0k +18" +1l +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#4186500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +1. +0% +#4187000 +1i +0s +b101010010110100000010010110001 | +b101010010110100000010010110001 B" +1h +09" +0;" +0q +15" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +0t +0y +1z +1u +0n +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +b100101101000111000110010110101 / +b100101101000111000110010110101 K +b100101101000111000110010110101 e +b100101101000111000110010110101 "" +0. +1% +#4187500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +1. +0% +#4188000 +0i +b100011011111110000110011010110 { +b100011011111110000110011010110 A" +1s +0g +19" +1;" +1q +05" +06" +07" +b110 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101010010110100000010010110001 0 +b101010010110100000010010110001 M +b101010010110100000010010110001 f +b101010010110100000010010110001 !" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4188500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b11111101110010011001100101000101 2 +b11111101110010011001100101000101 I +b11111101110010011001100101000101 Z +11 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +b11111101110010011001100101000101 , +b11111101110010011001100101000101 H +1- +1. +0% +#4189000 +1i +0s +b1100010001001111010111010100001 | +b1100010001001111010111010100001 B" +1@" +1g +09" +0;" +0r +0q +15" +16" +17" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +0t +0y +1z +0u +14" +1v +12" +0m +0/" +1x +1w +1," +b11111101110010011001100101000101 &" +b11111101110010011001100101000101 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b100011011111110000110011010110 / +b100011011111110000110011010110 K +b100011011111110000110011010110 e +b100011011111110000110011010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4189010 +b11111101110010011001100101000101 C" +#4189500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11000101001001010011000001101 2 +b11000101001001010011000001101 I +b11000101001001010011000001101 Z +b10100 3 +b10100 J +b10100 Y +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b11000101001001010011000001101 , +b11000101001001010011000001101 H +b10100 + +b10100 G +1. +0% +#4190000 +0_ +0] +0i +b10110010100011111110001000100010 { +b10110010100011111110001000100010 A" +1s +1>" +0g +19" +1:" +1;" +1r +1q +05" +06" +07" +b1011 3" +1<" +1` +1^ +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100010001001111010111010100001 0 +b1100010001001111010111010100001 M +b1100010001001111010111010100001 f +b1100010001001111010111010100001 !" +b11000101001001010011000001101 &" +b11000101001001010011000001101 ?" +b10100 %" +b10100 *" +b10100 1" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4190010 +b11000101001001010011000001101 W" +#4190500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b1110001110110000011110010110111 2 +b1110001110110000011110010110111 I +b1110001110110000011110010110111 Z +b0 ' +b0 D +0) +b10 & +b10 C +1( +b1110001110110000011110010110111 , +b1110001110110000011110010110111 H +1. +0% +#4191000 +1i +b11101111001100011011100110111111 | +b11101111001100011011100110111111 B" +1g +09" +0:" +0;" +0r +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +0m +0/" +1x +1," +b1110001110110000011110010110111 &" +b1110001110110000011110010110111 ?" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +b10110010100011111110001000100010 / +b10110010100011111110001000100010 K +b10110010100011111110001000100010 e +b10110010100011111110001000100010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4191010 +b1110001110110000011110010110111 W" +#4191500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4192000 +1] +0i +b10101100111110011001111101001011 { +b10101100111110011001111101001011 A" +0>" +0@" +19" +1:" +1;" +1r +07" +b11111 3" +0^ +0<" +0` +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101111001100011011100110111111 0 +b11101111001100011011100110111111 M +b11101111001100011011100110111111 f +b11101111001100011011100110111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4192500 +b10011 5 +b10011 L +b10011 W +b10011 ' +b10011 D +1. +0% +#4193000 +1i +0h +0g +09" +0:" +b1100 0" +0p +b101100100100111001110011010110 { +b101100100100111001110011010110 A" +1n +1m +b10011 ~ +b10011 )" +b10011 ." +b10101100111110011001111101001011 / +b10101100111110011001111101001011 K +b10101100111110011001111101001011 e +b10101100111110011001111101001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4193500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b10010100010001001011011000101110 2 +b10010100010001001011011000101110 I +b10010100010001001011011000101110 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b10010100010001001011011000101110 , +b10010100010001001011011000101110 H +b100 + +b100 G +1- +1. +0% +#4194000 +0] +0s +b1110001110110000011110010110111 | +b1110001110110000011110010110111 B" +1>" +1@" +1h +1g +0;" +16" +17" +b11011 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +1t +0u +14" +1v +12" +0n +0m +0/" +1," +b101100100100111001110011010110 / +b101100100100111001110011010110 K +b101100100100111001110011010110 e +b101100100100111001110011010110 "" +b10010100010001001011011000101110 &" +b10010100010001001011011000101110 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#4194010 +b10010100010001001011011000101110 G" +#4194500 +14 +b0 7 +b0 N +b0 V +06 +b10001110110011011111000001010111 2 +b10001110110011011111000001010111 I +b10001110110011011111000001010111 Z +b11111 3 +b11111 J +b11111 Y +1) +b0 & +b0 C +0( +b10001110110011011111000001010111 , +b10001110110011011111000001010111 H +b11111 + +b11111 G +1. +0% +#4195000 +0_ +0^ +b11111101110010011001100101000101 { +b11111101110010011001100101000101 A" +1s +0\ +0[ +1=" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b0 3" +1<" +1` +1d +1k +b11111 -" +0t +04" +0v +1b +1a +1/" +0," +b10001110110011011111000001010111 &" +b10001110110011011111000001010111 ?" +b11111 %" +b11111 *" +b11111 1" +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110001110110000011110010110111 0 +b1110001110110000011110010110111 M +b1110001110110000011110010110111 f +b1110001110110000011110010110111 !" +0. +1% +#4195500 +b1 5 +b1 L +b1 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4196000 +1] +1\ +1[ +0=" +0>" +0@" +0g +b11111 3" +0d +0<" +0` +b11110 0" +b11111101010110111000101010111101 { +b11111101010110111000101010111101 A" +0b +0a +02" +1m +b11111101110010011001100101000101 / +b11111101110010011001100101000101 K +b11111101110010011001100101000101 e +b11111101110010011001100101000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +0. +1% +#4196500 +b0 5 +b0 L +b0 W +04 +b110011110110010100100010110000 2 +b110011110110010100100010110000 I +b110011110110010100100010110000 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b110011110110010100100010110000 , +b110011110110010100100010110000 H +b110 + +b110 G +1- +1. +0% +#4197000 +0] +0\ +1>" +1@" +1g +0;" +b11001 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0m +0/" +b110011110110010100100010110000 &" +b110011110110010100100010110000 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111101010110111000101010111101 / +b11111101010110111000101010111101 K +b11111101010110111000101010111101 e +b11111101010110111000101010111101 "" +0. +1% +#4197010 +b110011110110010100100010110000 I" +#4197500 +b110 5 +b110 L +b110 W +14 +b1010001100110110101001001001011 2 +b1010001100110110101001001001011 I +b1010001100110110101001001001011 Z +b11011 3 +b11011 J +b11011 Y +b110 ' +b110 D +1) +b1010001100110110101001001001011 , +b1010001100110110101001001001011 H +b11011 + +b11011 G +1. +0% +#4198000 +1=" +0_ +1c +0i +b110011110110010100100010110000 { +b110011110110010100100010110000 A" +0[ +0>" +0h +1:" +1;" +b100 3" +1<" +1` +0^ +b11001 0" +1j +1k +1a +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010001100110110101001001001011 &" +b1010001100110110101001001001011 ?" +b11011 %" +b11011 *" +b11011 1" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#4198010 +b1010001100110110101001001001011 ^" +#4198500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4199000 +1] +1i +b11100101001101001101110011110 | +b11100101001101001101110011110 B" +1\ +1[ +0=" +0@" +1h +0:" +0;" +0r +0q +17" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11100 -" +1u +0b +0a +02" +0n +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +b110011110110010100100010110000 / +b110011110110010100100010110000 K +b110011110110010100100010110000 e +b110011110110010100100010110000 "" +0. +1% +#4199500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b100000110110110000010011000110 2 +b100000110110110000010011000110 I +b100000110110110000010011000110 Z +b110 3 +b110 J +b110 Y +11 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b100000110110110000010011000110 , +b100000110110110000010011000110 H +b110 + +b110 G +1- +1. +0% +#4200000 +0] +0i +b11101100011001011101000100000110 { +b11101100011001011101000100000110 A" +0\ +1>" +1@" +0g +1:" +1;" +1r +1q +07" +b11001 3" +1^ +1_ +b11010 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100101001101001101110011110 0 +b11100101001101001101110011110 M +b11100101001101001101110011110 f +b11100101001101001101110011110 !" +b100000110110110000010011000110 &" +b100000110110110000010011000110 ?" +b110 %" +b110 *" +b110 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4200010 +b100000110110110000010011000110 I" +#4200500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4201000 +1] +1i +b10011001110100001001100101011100 | +b10011001110100001001100101011100 B" +1\ +0>" +0@" +1g +0:" +0;" +0q +17" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +0u +14" +1v +0b +02" +0m +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b11101100011001011101000100000110 / +b11101100011001011101000100000110 K +b11101100011001011101000100000110 e +b11101100011001011101000100000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4201500 +b0 7 +b0 N +b0 V +06 +b10011100110010101001001001010101 2 +b10011100110010101001001001010101 I +b10011100110010101001001001010101 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 & +b0 C +0( +b10011100110010101001001001010101 , +b10011100110010101001001001010101 H +b11010 + +b11010 G +1- +1. +0% +#4202000 +0] +0\ +1=" +1@" +1q +07" +b101 3" +1c +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011001110100001001100101011100 0 +b10011001110100001001100101011100 M +b10011001110100001001100101011100 f +b10011001110100001001100101011100 !" +b10011100110010101001001001010101 &" +b10011100110010101001001001010101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4202010 +b10011100110010101001001001010101 ]" +#4202500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4203000 +1] +0i +b10011100110010101001001001010101 { +b10011100110010101001001001010101 A" +1\ +0=" +0@" +0h +19" +1;" +b11111 3" +0c +0<" +0` +b101 0" +1o +0k +18" +1l +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4203500 +b10011 5 +b10011 L +b10011 W +b10011 ' +b10011 D +1. +0% +#4204000 +1i +0g +09" +b1100 0" +0o +b101100100100111001110011010110 { +b101100100100111001110011010110 A" +1m +b10011100110010101001001001010101 / +b10011100110010101001001001010101 K +b10011100110010101001001001010101 e +b10011100110010101001001001010101 "" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#4204500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b111111101110001110001001000010 2 +b111111101110001110001001000010 I +b111111101110001110001001000010 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b111111101110001110001001000010 , +b111111101110001110001001000010 H +b1010 + +b1010 G +1- +1. +0% +#4205000 +0] +0s +b10110010100011111110001000100010 | +b10110010100011111110001000100010 B" +0\ +1=" +1@" +1h +1g +0;" +0q +15" +16" +17" +b10101 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10 -" +0t +0y +1z +0u +14" +1v +1b +12" +0n +0m +0/" +1w +1," +b111111101110001110001001000010 &" +b111111101110001110001001000010 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +b101100100100111001110011010110 / +b101100100100111001110011010110 K +b101100100100111001110011010110 e +b101100100100111001110011010110 "" +0. +1% +#4205010 +b111111101110001110001001000010 M" +#4205500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4206000 +1] +1s +1\ +0=" +0@" +1q +05" +06" +07" +b11111 3" +0c +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110010100011111110001000100010 0 +b10110010100011111110001000100010 M +b10110010100011111110001000100010 f +b10110010100011111110001000100010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4206500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#4207000 +b10101101001001110011110111010010 | +b10101101001001110011110111010010 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4207500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1001010000100100011101111010110 2 +b1001010000100100011101111010110 I +b1001010000100100011101111010110 Z +b1100 3 +b1100 J +b1100 Y +11 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +b1001010000100100011101111010110 , +b1001010000100100011101111010110 H +b1100 + +b1100 G +1- +1. +0% +#4208000 +0] +0i +b101010010110100000010010110001 { +b101010010110100000010010110001 A" +1=" +1>" +1@" +0g +19" +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10011 3" +0^ +0c +1d +1_ +b10010 0" +0j +0o +1p +1k +b11111 -" +04" +0v +12" +1m +1/" +0," +b10101101001001110011110111010010 0 +b10101101001001110011110111010010 M +b10101101001001110011110111010010 f +b10101101001001110011110111010010 !" +b1001010000100100011101111010110 &" +b1001010000100100011101111010110 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4208010 +b1001010000100100011101111010110 O" +#4208500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4209000 +1] +1i +0s +b1001001010100111110010111010100 | +b1001001010100111110010111010100 B" +0=" +0>" +0@" +1g +09" +0:" +0;" +0r +16" +17" +b11111 3" +0d +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +02" +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +b101010010110100000010010110001 / +b101010010110100000010010110001 K +b101010010110100000010010110001 e +b101010010110100000010010110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4209500 +b11001 7 +b11001 N +b11001 V +b11001 & +b11001 C +1. +0% +#4210000 +15" +1y +1r +0q +06" +b110 -" +0t +b100011011111110000110011010110 | +b100011011111110000110011010110 B" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001001010100111110010111010100 0 +b1001001010100111110010111010100 M +b1001001010100111110010111010100 f +b1001001010100111110010111010100 !" +b11001 } +b11001 (" +b11001 +" +0. +1% +#4210500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4211000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b100011011111110000110011010110 0 +b100011011111110000110011010110 M +b100011011111110000110011010110 f +b100011011111110000110011010110 !" +0. +1% +#4211500 +b11000 7 +b11000 N +b11000 V +16 +b110001011111000110001100001101 2 +b110001011111000110001100001101 I +b110001011111000110001100001101 Z +b1000 3 +b1000 J +b1000 Y +11 +b11000 & +b11000 C +1( +b110001011111000110001100001101 , +b110001011111000110001100001101 H +b1000 + +b1000 G +1- +1. +0% +#4212000 +0] +0s +b111000101011110000010011010 | +b111000101011110000010011010 B" +1=" +1@" +15" +17" +b10111 3" +1c +1_ +b111 -" +1y +0u +14" +1v +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110001011111000110001100001101 &" +b110001011111000110001100001101 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#4212010 +b110001011111000110001100001101 K" +#4212500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4213000 +1] +1s +0=" +0@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0_ +b11111 -" +0y +04" +0v +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b111000101011110000010011010 0 +b111000101011110000010011010 M +b111000101011110000010011010 f +b111000101011110000010011010 !" +0. +1% +#4213500 +b10110 7 +b10110 N +b10110 V +16 +b1101111111100101111000000111111 2 +b1101111111100101111000000111111 I +b1101111111100101111000000111111 Z +b1010 3 +b1010 J +b1010 Y +11 +b10110 & +b10110 C +1( +b1101111111100101111000000111111 , +b1101111111100101111000000111111 H +b1010 + +b1010 G +1- +1. +0% +#4214000 +0] +0s +b1001001010100111110010111010100 | +b1001001010100111110010111010100 B" +0\ +1=" +1@" +0r +16" +17" +b10101 3" +1c +1_ +b1001 -" +1t +0u +14" +1v +1b +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101111111100101111000000111111 &" +b1101111111100101111000000111111 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#4214010 +b1101111111100101111000000111111 M" +#4214500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b1111000101000111110100011011101 2 +b1111000101000111110100011011101 I +b1111000101000111110100011011101 Z +b1101 3 +b1101 J +b1101 Y +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +b1111000101000111110100011011101 , +b1111000101000111110100011011101 H +b1101 + +b1101 G +1. +0% +#4215000 +0c +0i +b10000101001001101000111010001 { +b10000101001001101000111010001 A" +1s +1\ +0[ +1>" +0h +0g +19" +1;" +1r +06" +07" +b10010 3" +1d +b10100 0" +1o +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1a +1n +1m +1/" +0x +0," +b1111000101000111110100011011101 &" +b1111000101000111110100011011101 ?" +b1101 %" +b1101 *" +b1101 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1001001010100111110010111010100 0 +b1001001010100111110010111010100 M +b1001001010100111110010111010100 f +b1001001010100111110010111010100 !" +0. +1% +#4215010 +b1111000101000111110100011011101 P" +#4215500 +b11110 5 +b11110 L +b11110 W +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4216000 +1] +0k +0o +0s +b1101111010101100100110101110 | +b1101111010101100100110101110 B" +1[ +0=" +0>" +0@" +1g +1:" +0r +15" +16" +17" +b11111 3" +0d +0_ +b1 0" +18" +1l +1p +b101101000110010010101001001000 { +b101101000110010010101001001000 A" +b10001 -" +0t +0y +1z +1u +0a +02" +0m +1x +1," +b10000101001001101000111010001 / +b10000101001001101000111010001 K +b10000101001001101000111010001 e +b10000101001001101000111010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#4216500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4217000 +1i +1s +1h +09" +0:" +0;" +1r +05" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101101000110010010101001001000 / +b101101000110010010101001001000 K +b101101000110010010101001001000 e +b101101000110010010101001001000 "" +b1101111010101100100110101110 0 +b1101111010101100100110101110 M +b1101111010101100100110101110 f +b1101111010101100100110101110 !" +0. +1% +#4217500 +b1010 5 +b1010 L +b1010 W +14 +b10000010001101010101010001101111 2 +b10000010001101010101010001101111 I +b10000010001101010101010001101111 Z +b10001 3 +b10001 J +b10001 Y +11 +b1010 ' +b1010 D +1) +b10000010001101010101010001101111 , +b10000010001101010101010001101111 H +b10001 + +b10001 G +1- +1. +0% +#4218000 +0i +b1101111111100101111000000111111 { +b1101111111100101111000000111111 A" +0[ +1@" +0h +19" +1;" +b1110 3" +0_ +1<" +1` +b10101 0" +1o +1k +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000010001101010101010001101111 &" +b10000010001101010101010001101111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#4218010 +b10000010001101010101010001101111 T" +#4218500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4219000 +1i +1[ +0@" +1h +09" +0;" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1101111111100101111000000111111 / +b1101111111100101111000000111111 K +b1101111111100101111000000111111 e +b1101111111100101111000000111111 "" +0. +1% +#4219500 +1. +0% +#4220000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4220500 +b10111 5 +b10111 L +b10111 W +14 +b10110001011001000001101010101100 2 +b10110001011001000001101010101100 I +b10110001011001000001101010101100 Z +b11010 3 +b11010 J +b11010 Y +11 +b10111 ' +b10111 D +1) +b10110001011001000001101010101100 , +b10110001011001000001101010101100 H +b11010 + +b11010 G +1- +1. +0% +#4221000 +0] +0i +b1100001000111000101101000010101 { +b1100001000111000101101000010101 A" +0\ +1=" +1@" +0h +0g +1:" +1;" +b101 3" +1c +0_ +1<" +1` +b1000 0" +1j +0k +18" +1l +1b +12" +1n +1m +1/" +b10110001011001000001101010101100 &" +b10110001011001000001101010101100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#4221010 +b10110001011001000001101010101100 ]" +#4221500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4222000 +1] +1i +1\ +0=" +0@" +1h +1g +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0m +0/" +b1100001000111000101101000010101 / +b1100001000111000101101000010101 K +b1100001000111000101101000010101 e +b1100001000111000101101000010101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4222500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#4223000 +0s +b1110110100100111001001101100 | +b1110110100100111001001101100 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4223500 +b0 7 +b0 N +b0 V +06 +b1111110100101001100100000001000 2 +b1111110100101001100100000001000 I +b1111110100101001100100000001000 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 & +b0 C +0( +b1111110100101001100100000001000 , +b1111110100101001100100000001000 H +b11101 + +b11101 G +1- +1. +0% +#4224000 +0] +1s +0[ +1=" +1>" +1@" +1r +1q +06" +07" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0w +0," +b1110110100100111001001101100 0 +b1110110100100111001001101100 M +b1110110100100111001001101100 f +b1110110100100111001001101100 !" +b1111110100101001100100000001000 &" +b1111110100101001100100000001000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4224010 +b1111110100101001100100000001000 `" +#4224500 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4225000 +1] +0s +b10000101001001101000111010001 | +b10000101001001101000111010001 B" +1[ +0=" +0>" +0@" +0r +0q +15" +17" +b11111 3" +0d +0<" +0` +b10100 -" +1y +1u +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4225500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4226000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b10000101001001101000111010001 0 +b10000101001001101000111010001 M +b10000101001001101000111010001 f +b10000101001001101000111010001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4226500 +b10001 5 +b10001 L +b10001 W +14 +b1111 7 +b1111 N +b1111 V +16 +b11110000001001000011011100010010 2 +b11110000001001000011011100010010 I +b11110000001001000011011100010010 Z +b10101 3 +b10101 J +b10101 Y +11 +b10001 ' +b10001 D +1) +b1111 & +b1111 C +1( +b11110000001001000011011100010010 , +b11110000001001000011011100010010 H +b10101 + +b10101 G +1- +1. +0% +#4227000 +0] +b10000010001101010101010001101111 { +b10000010001101010101010001101111 A" +0s +b1101101010111101001011011100110 | +b1101101010111101001011011100110 B" +0[ +1>" +1@" +0g +1;" +0r +0q +15" +16" +17" +b1010 3" +1^ +0_ +1<" +1` +b1110 0" +0k +18" +1l +b10000 -" +0t +0y +1z +1u +1a +12" +1m +1/" +1x +1w +1," +b11110000001001000011011100010010 &" +b11110000001001000011011100010010 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4227010 +b11110000001001000011011100010010 X" +#4227500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1100100110101110101011010001101 2 +b1100100110101110101011010001101 I +b1100100110101110101011010001101 Z +b11101 3 +b11101 J +b11101 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1100100110101110101011010001101 , +b1100100110101110101011010001101 H +b11101 + +b11101 G +1. +0% +#4228000 +0^ +1s +1=" +1g +0;" +1r +1q +05" +06" +07" +b10 3" +1d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0w +0," +b10000010001101010101010001101111 / +b10000010001101010101010001101111 K +b10000010001101010101010001101111 e +b10000010001101010101010001101111 "" +b1101101010111101001011011100110 0 +b1101101010111101001011011100110 M +b1101101010111101001011011100110 f +b1101101010111101001011011100110 !" +b1100100110101110101011010001101 &" +b1100100110101110101011010001101 ?" +b11101 %" +b11101 *" +b11101 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4228010 +b1100100110101110101011010001101 `" +#4228500 +b10101 7 +b10101 N +b10101 V +16 +b11011010000101110100100001011100 2 +b11011010000101110100100001011100 I +b11011010000101110100100001011100 Z +b100 3 +b100 J +b100 Y +b10101 & +b10101 C +1( +b11011010000101110100100001011100 , +b11011010000101110100100001011100 H +b100 + +b100 G +1. +0% +#4229000 +1_ +1^ +0s +b11110000001001000011011100010010 | +b11110000001001000011011100010010 B" +1[ +1@" +0=" +1>" +0q +16" +17" +b11011 3" +0<" +0` +0d +b1010 -" +1t +0u +14" +1v +0a +1w +1," +b11011010000101110100100001011100 &" +b11011010000101110100100001011100 ?" +b100 %" +b100 *" +b100 1" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4229010 +b11011010000101110100100001011100 G" +#4229500 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4230000 +1] +1u +1s +0>" +0@" +1q +17" +06" +b11111 3" +0^ +0_ +b11111 -" +04" +0v +0t +b11111101110010011001100101000101 | +b11111101110010011001100101000101 B" +02" +0w +b11110000001001000011011100010010 0 +b11110000001001000011011100010010 M +b11110000001001000011011100010010 f +b11110000001001000011011100010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0. +1% +#4230500 +06 +b11111110010011010100100111110100 2 +b11111110010011010100100111110100 I +b11111110010011010100100111110100 Z +b11101 3 +b11101 J +b11101 Y +11 +0( +b11111110010011010100100111110100 , +b11111110010011010100100111110100 H +b11101 + +b11101 G +1- +1. +0% +#4231000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1=" +1>" +1@" +07" +b10 3" +0^ +0c +1d +0_ +1<" +1` +0u +1a +12" +0," +b11111110010011010100100111110100 &" +b11111110010011010100100111110100 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +0#" +b11111101110010011001100101000101 0 +b11111101110010011001100101000101 M +b11111101110010011001100101000101 f +b11111101110010011001100101000101 !" +0. +1% +#4231010 +b11111110010011010100100111110100 `" +#4231500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4232000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4232500 +b1100110101010101010010110010110 2 +b1100110101010101010010110010110 I +b1100110101010101010010110010110 Z +b10010 3 +b10010 J +b10010 Y +11 +b1100110101010101010010110010110 , +b1100110101010101010010110010110 H +b10010 + +b10010 G +1- +1. +0% +#4233000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b1100110101010101010010110010110 &" +b1100110101010101010010110010110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +0. +1% +#4233010 +b1100110101010101010010110010110 U" +#4233500 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4234000 +0s +b100011011111110000110011010110 | +b100011011111110000110011010110 B" +1\ +0@" +0q +15" +17" +b11111 3" +0<" +0` +b110 -" +1y +0u +14" +1v +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#4234500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#4235000 +0i +b1100001000111000101101000010101 { +b1100001000111000101101000010101 A" +1s +0h +0g +1:" +1;" +1q +05" +07" +b1000 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0w +0," +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b100011011111110000110011010110 0 +b100011011111110000110011010110 M +b100011011111110000110011010110 f +b100011011111110000110011010110 !" +0. +1% +#4235500 +b11110 5 +b11110 L +b11110 W +b11110 ' +b11110 D +1. +0% +#4236000 +0j +1g +19" +b1 0" +1p +b101101000110010010101001001000 { +b101101000110010010101001001000 A" +0m +b1100001000111000101101000010101 / +b1100001000111000101101000010101 K +b1100001000111000101101000010101 e +b1100001000111000101101000010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#4236500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b10110100001000110011100101100111 2 +b10110100001000110011100101100111 I +b10110100001000110011100101100111 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +b10110100001000110011100101100111 , +b10110100001000110011100101100111 H +b11110 + +b11110 G +1- +1. +0% +#4237000 +0] +1i +0s +b1111000101000111110100011011101 | +b1111000101000111110100011011101 B" +0\ +1=" +1>" +1@" +1h +09" +0:" +0;" +0q +15" +16" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +0t +0y +1z +1u +1b +12" +0n +0/" +1w +1," +b10110100001000110011100101100111 &" +b10110100001000110011100101100111 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +b101101000110010010101001001000 / +b101101000110010010101001001000 K +b101101000110010010101001001000 e +b101101000110010010101001001000 "" +0. +1% +#4237010 +b10110100001000110011100101100111 a" +#4237500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4238000 +1] +0i +b1001001010100111110010111010100 { +b1001001010100111110010111010100 A" +1s +1\ +0=" +0>" +0@" +0h +1:" +1;" +1q +05" +06" +b11111 3" +0d +0<" +0` +b1001 0" +1j +0k +18" +1l +b11111 -" +0z +b11111101110010011001100101000101 | +b11111101110010011001100101000101 B" +0b +02" +1n +1/" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111000101000111110100011011101 0 +b1111000101000111110100011011101 M +b1111000101000111110100011011101 f +b1111000101000111110100011011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0. +1% +#4238500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#4239000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +0:" +0;" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0u +0n +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +0#" +b1001001010100111110010111010100 / +b1001001010100111110010111010100 K +b1001001010100111110010111010100 e +b1001001010100111110010111010100 "" +b11111101110010011001100101000101 0 +b11111101110010011001100101000101 M +b11111101110010011001100101000101 f +b11111101110010011001100101000101 !" +0. +1% +#4239500 +b10111110011101111001100100101010 2 +b10111110011101111001100100101010 I +b10111110011101111001100100101010 Z +b110 3 +b110 J +b110 Y +11 +b10111110011101111001100100101010 , +b10111110011101111001100100101010 H +b110 + +b110 G +1- +1. +0% +#4240000 +0] +0\ +1>" +1@" +b11001 3" +1^ +1_ +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111110011101111001100100101010 &" +b10111110011101111001100100101010 ?" +b110 %" +b110 *" +b110 1" +1'" +0. +1% +#4240010 +b10111110011101111001100100101010 I" +#4240500 +b11000 5 +b11000 L +b11000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4241000 +1] +0i +b111000101011110000010011010 { +b111000101011110000010011010 A" +1\ +0>" +0@" +19" +1;" +b11111 3" +0^ +0_ +b111 0" +1o +0k +18" +1l +0b +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#4241500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4242000 +1i +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0o +08" +0l +0/" +b111000101011110000010011010 / +b111000101011110000010011010 K +b111000101011110000010011010 e +b111000101011110000010011010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4242500 +b10101 5 +b10101 L +b10101 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1101001110111101101000101111001 2 +b1101001110111101101000101111001 I +b1101001110111101101000101111001 Z +b11 3 +b11 J +b11 Y +11 +b10101 ' +b10101 D +1) +b1000 & +b1000 C +1( +b1101001110111101101000101111001 , +b1101001110111101101000101111001 H +b11 + +b11 G +1- +1. +0% +#4243000 +0i +b11110000001001000011011100010010 { +b11110000001001000011011100010010 A" +0s +b110001011111000110001100001101 | +b110001011111000110001100001101 B" +0\ +0[ +1@" +0g +1:" +1;" +15" +17" +b11100 3" +1_ +b1010 0" +1j +0k +18" +1l +b10111 -" +1y +1u +1b +1a +12" +1m +1/" +1," +b1101001110111101101000101111001 &" +b1101001110111101101000101111001 ?" +b11 %" +b11 *" +b11 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4243010 +b1101001110111101101000101111001 F" +#4243500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4244000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0@" +1g +0:" +0;" +05" +07" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +0u +0b +0a +02" +0m +0/" +0," +b11110000001001000011011100010010 / +b11110000001001000011011100010010 K +b11110000001001000011011100010010 e +b11110000001001000011011100010010 "" +b110001011111000110001100001101 0 +b110001011111000110001100001101 M +b110001011111000110001100001101 f +b110001011111000110001100001101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4244500 +b101 5 +b101 L +b101 W +14 +b1 7 +b1 N +b1 V +16 +b1010111111101100100100010110110 2 +b1010111111101100100100010110110 I +b1010111111101100100100010110110 Z +b11100 3 +b11100 J +b11100 Y +11 +b101 ' +b101 D +1) +b1 & +b1 C +1( +b1010111111101100100100010110110 , +b1010111111101100100100010110110 H +b11100 + +b11100 G +1- +1. +0% +#4245000 +0] +0i +b11101100011001011101000100000110 { +b11101100011001011101000100000110 A" +b11111101010110111000101010111101 | +b11111101010110111000101010111101 B" +1=" +1>" +1@" +0g +1:" +1;" +0q +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11010 0" +1j +1k +b11110 -" +1u +12" +1m +1/" +1w +1," +b1010111111101100100100010110110 &" +b1010111111101100100100010110110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4245010 +b1010111111101100100100010110110 _" +#4245500 +b11100 5 +b11100 L +b11100 W +b11 7 +b11 N +b11 V +b11010101001100110000110101011101 2 +b11010101001100110000110101011101 I +b11010101001100110000110101011101 Z +b1010 3 +b1010 J +b1010 Y +b11100 ' +b11100 D +b11 & +b11 C +b11010101001100110000110101011101 , +b11010101001100110000110101011101 H +b1010 + +b1010 G +1. +0% +#4246000 +1_ +1c +0k +0j +0\ +1@" +1=" +0>" +1g +19" +0r +b10101 3" +0<" +0` +0d +b11 0" +18" +1l +1p +b1010111111101100100100010110110 { +b1010111111101100100100010110110 A" +b11100 -" +b1101001110111101101000101111001 | +b1101001110111101101000101111001 B" +1b +0m +1x +b11101100011001011101000100000110 / +b11101100011001011101000100000110 K +b11101100011001011101000100000110 e +b11101100011001011101000100000110 "" +b11111101010110111000101010111101 0 +b11111101010110111000101010111101 M +b11111101010110111000101010111101 f +b11111101010110111000101010111101 !" +b11010101001100110000110101011101 &" +b11010101001100110000110101011101 ?" +b1010 %" +b1010 *" +b1010 1" +b11100 ~ +b11100 )" +b11100 ." +b11 } +b11 (" +b11 +" +0. +1% +#4246010 +b11010101001100110000110101011101 M" +#4246500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10111010011010000011010010010001 2 +b10111010011010000011010010010001 I +b10111010011010000011010010010001 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10111010011010000011010010010001 , +b10111010011010000011010010010001 H +b11 + +b11 G +1. +0% +#4247000 +1] +1i +0[ +0=" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +07" +b11100 3" +0c +b11111 0" +0p +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0/" +0x +0w +0," +b10111010011010000011010010010001 &" +b10111010011010000011010010010001 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1010111111101100100100010110110 / +b1010111111101100100100010110110 K +b1010111111101100100100010110110 e +b1010111111101100100100010110110 "" +b1101001110111101101000101111001 0 +b1101001110111101101000101111001 M +b1101001110111101101000101111001 f +b1101001110111101101000101111001 !" +0. +1% +#4247010 +b10111010011010000011010010010001 F" +#4247500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4248000 +1\ +1[ +0@" +b11111 3" +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4248500 +14 +b11100 7 +b11100 N +b11100 V +16 +1) +b11100 & +b11100 C +1( +1. +0% +#4249000 +b11111101110010011001100101000101 { +b11111101110010011001100101000101 A" +0s +b1010111111101100100100010110110 | +b1010111111101100100100010110110 B" +1;" +15" +16" +17" +1k +b11 -" +0t +0y +1z +0u +14" +1v +1/" +1," +1$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#4249500 +04 +b0 7 +b0 N +b0 V +06 +0) +b0 & +b0 C +0( +1. +0% +#4250000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0k +b11111 -" +0z +04" +0v +0/" +0," +b11111101110010011001100101000101 / +b11111101110010011001100101000101 K +b11111101110010011001100101000101 e +b11111101110010011001100101000101 "" +b1010111111101100100100010110110 0 +b1010111111101100100100010110110 M +b1010111111101100100100010110110 f +b1010111111101100100100010110110 !" +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4250500 +1. +0% +#4251000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4251500 +b1000 5 +b1000 L +b1000 W +14 +b11111000000000100010011010110101 2 +b11111000000000100010011010110101 I +b11111000000000100010011010110101 Z +b11 3 +b11 J +b11 Y +11 +b1000 ' +b1000 D +1) +b11111000000000100010011010110101 , +b11111000000000100010011010110101 H +b11 + +b11 G +1- +1. +0% +#4252000 +0i +b110001011111000110001100001101 { +b110001011111000110001100001101 A" +0\ +0[ +1@" +19" +1;" +b11100 3" +1_ +b10111 0" +1o +1k +1b +1a +12" +1/" +b11111000000000100010011010110101 &" +b11111000000000100010011010110101 ?" +b11 %" +b11 *" +b11 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#4252010 +b11111000000000100010011010110101 F" +#4252500 +b11111 5 +b11111 L +b11111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4253000 +0k +0o +1\ +1[ +0@" +0h +0g +1:" +b11111 3" +0_ +b0 0" +18" +1l +1p +b10001110110011011111000001010111 { +b10001110110011011111000001010111 A" +0b +0a +02" +1n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +b110001011111000110001100001101 / +b110001011111000110001100001101 K +b110001011111000110001100001101 e +b110001011111000110001100001101 "" +0. +1% +#4253500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#4254000 +1k +1i +1h +1g +1;" +09" +0:" +b11111 0" +08" +0l +0p +b11111101110010011001100101000101 { +b11111101110010011001100101000101 A" +0n +0m +b10001110110011011111000001010111 / +b10001110110011011111000001010111 K +b10001110110011011111000001010111 e +b10001110110011011111000001010111 "" +b0 ~ +b0 )" +b0 ." +0. +1% +#4254500 +04 +b10 7 +b10 N +b10 V +16 +0) +b10 & +b10 C +1( +1. +0% +#4255000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11101111001100011011100110111111 | +b11101111001100011011100110111111 B" +0;" +0r +17" +0k +b11101 -" +1u +0/" +1x +1," +0$" +b10 } +b10 (" +b10 +" +1#" +b11111101110010011001100101000101 / +b11111101110010011001100101000101 K +b11111101110010011001100101000101 e +b11111101110010011001100101000101 "" +0. +1% +#4255500 +14 +b0 7 +b0 N +b0 V +06 +1) +b0 & +b0 C +0( +1. +0% +#4256000 +b11111101110010011001100101000101 { +b11111101110010011001100101000101 A" +1;" +1r +07" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101111001100011011100110111111 0 +b11101111001100011011100110111111 M +b11101111001100011011100110111111 f +b11101111001100011011100110111111 !" +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4256500 +04 +16 +b1011100110010110110011100011 2 +b1011100110010110110011100011 I +b1011100110010110110011100011 Z +b1110 3 +b1110 J +b1110 Y +11 +0) +1( +b1011100110010110110011100011 , +b1011100110010110110011100011 H +b1110 + +b1110 G +1- +1. +0% +#4257000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111101110010011001100101000101 | +b11111101110010011001100101000101 B" +0\ +1=" +1>" +1@" +0;" +17" +b10001 3" +0^ +0c +1d +1_ +0k +1u +1b +12" +0/" +1," +b1011100110010110110011100011 &" +b1011100110010110110011100011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +0$" +1#" +b11111101110010011001100101000101 / +b11111101110010011001100101000101 K +b11111101110010011001100101000101 e +b11111101110010011001100101000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4257010 +b1011100110010110110011100011 Q" +#4257500 +06 +b100010110100010001001111001110 2 +b100010110100010001001111001110 I +b100010110100010001001111001110 Z +b10101 3 +b10101 J +b10101 Y +0( +b100010110100010001001111001110 , +b100010110100010001001111001110 H +b10101 + +b10101 G +1. +0% +#4258000 +0_ +1^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0[ +0=" +1>" +07" +b1010 3" +1<" +1` +0d +0u +0b +1a +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101110010011001100101000101 0 +b11111101110010011001100101000101 M +b11111101110010011001100101000101 f +b11111101110010011001100101000101 !" +b100010110100010001001111001110 &" +b100010110100010001001111001110 ?" +b10101 %" +b10101 *" +b10101 1" +0#" +0. +1% +#4258010 +b100010110100010001001111001110 X" +#4258500 +14 +b1101010101110101110110111111101 2 +b1101010101110101110110111111101 I +b1101010101110101110110111111101 Z +b1 3 +b1 J +b1 Y +1) +b1101010101110101110110111111101 , +b1101010101110101110110111111101 H +b1 + +b1 G +1. +0% +#4259000 +1_ +1] +b11111101110010011001100101000101 { +b11111101110010011001100101000101 A" +1@" +0>" +1;" +b11110 3" +0<" +0` +0^ +1k +1/" +b1101010101110101110110111111101 &" +b1101010101110101110110111111101 ?" +b1 %" +b1 *" +b1 1" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4259010 +b1101010101110101110110111111101 D" +#4259500 +04 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4260000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10000010001101010101010001101111 | +b10000010001101010101010001101111 B" +1[ +0@" +0;" +0q +17" +b11111 3" +0_ +0k +b1110 -" +0u +14" +1v +0a +02" +0/" +1w +1," +b11111101110010011001100101000101 / +b11111101110010011001100101000101 K +b11111101110010011001100101000101 e +b11111101110010011001100101000101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#4260500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1001101101011110001111111001011 2 +b1001101101011110001111111001011 I +b1001101101011110001111111001011 Z +b10111 3 +b10111 J +b10111 Y +11 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +b1001101101011110001111111001011 , +b1001101101011110001111111001011 H +b10111 + +b10111 G +1- +1. +0% +#4261000 +0] +0i +b1011100110010110110011100011 { +b1011100110010110110011100011 A" +0\ +0[ +1>" +1@" +0h +19" +1:" +1;" +1q +07" +b1000 3" +1^ +0_ +1<" +1` +b10001 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1/" +0w +0," +b1001101101011110001111111001011 &" +b1001101101011110001111111001011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000010001101010101010001101111 0 +b10000010001101010101010001101111 M +b10000010001101010101010001101111 f +b10000010001101010101010001101111 !" +0. +1% +#4261010 +b1001101101011110001111111001011 Z" +#4261500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4262000 +1] +1i +1\ +1[ +0>" +0@" +1h +09" +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0/" +b1011100110010110110011100011 / +b1011100110010110110011100011 K +b1011100110010110110011100011 e +b1011100110010110110011100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4262500 +1. +0% +#4263000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4263500 +1. +0% +#4264000 +0. +1% +#4264500 +b11 7 +b11 N +b11 V +16 +b10100100011101011010000101001101 2 +b10100100011101011010000101001101 I +b10100100011101011010000101001101 Z +11 +b11 & +b11 C +1( +b10100100011101011010000101001101 , +b10100100011101011010000101001101 H +1- +1. +0% +#4265000 +b11111000000000100010011010110101 | +b11111000000000100010011010110101 B" +1@" +0r +0q +17" +1_ +b11100 -" +1u +12" +1x +1w +1," +b10100100011101011010000101001101 &" +b10100100011101011010000101001101 ?" +1'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#4265010 +b10100100011101011010000101001101 C" +#4265500 +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +1) +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#4266000 +b10100100011101011010000101001101 { +b10100100011101011010000101001101 A" +0@" +1;" +1r +1q +07" +0_ +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1/" +0x +0w +0," +b11111000000000100010011010110101 0 +b11111000000000100010011010110101 M +b11111000000000100010011010110101 f +b11111000000000100010011010110101 !" +b0 &" +b0 ?" +0'" +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4266500 +b1000 5 +b1000 L +b1000 W +b10000 7 +b10000 N +b10000 V +16 +b1010001010000110010011100011101 2 +b1010001010000110010011100011101 I +b1010001010000110010011100011101 Z +b10 3 +b10 J +b10 Y +11 +b1000 ' +b1000 D +b10000 & +b10000 C +1( +b1010001010000110010011100011101 , +b1010001010000110010011100011101 H +b10 + +b10 G +1- +1. +0% +#4267000 +0i +b110001011111000110001100001101 { +b110001011111000110001100001101 A" +b10101101001001110011110111010010 | +b10101101001001110011110111010010 B" +0\ +1@" +19" +17" +b11101 3" +1_ +b10111 0" +1o +b1111 -" +0u +14" +1v +1b +12" +1," +b1010001010000110010011100011101 &" +b1010001010000110010011100011101 ?" +b10 %" +b10 *" +b10 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b10000 } +b10000 (" +b10000 +" +1#" +b10100100011101011010000101001101 / +b10100100011101011010000101001101 K +b10100100011101011010000101001101 e +b10100100011101011010000101001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4267010 +b1010001010000110010011100011101 E" +#4267500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4268000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +0s +1\ +0@" +09" +0;" +0q +17" +15" +b11111 3" +0_ +b11111 0" +0o +0k +b10110 -" +04" +0v +1y +b10100100100000110001110111001100 | +b10100100100000110001110111001100 B" +0b +02" +0/" +1w +b110001011111000110001100001101 / +b110001011111000110001100001101 K +b110001011111000110001100001101 e +b110001011111000110001100001101 "" +b10101101001001110011110111010010 0 +b10101101001001110011110111010010 M +b10101101001001110011110111010010 f +b10101101001001110011110111010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +0. +1% +#4268500 +b10 5 +b10 L +b10 W +14 +b1110 7 +b1110 N +b1110 V +b1100110110111101100011110010100 2 +b1100110110111101100011110010100 I +b1100110110111101100011110010100 Z +b1011 3 +b1011 J +b1011 Y +11 +b10 ' +b10 D +1) +b1110 & +b1110 C +b1100110110111101100011110010100 , +b1100110110111101100011110010100 H +b1011 + +b1011 G +1- +1. +0% +#4269000 +0] +b1010001010000110010011100011101 { +b1010001010000110010011100011101 A" +0y +0\ +0[ +1=" +1@" +0h +1;" +0r +1q +16" +b10100 3" +1c +1_ +b11101 0" +1k +b10001 -" +1z +b1011100110010110110011100011 | +b1011100110010110110011100011 B" +1b +1a +12" +1n +1/" +1x +0w +b1100110110111101100011110010100 &" +b1100110110111101100011110010100 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b1110 } +b1110 (" +b1110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100100100000110001110111001100 0 +b10100100100000110001110111001100 M +b10100100100000110001110111001100 f +b10100100100000110001110111001100 !" +0. +1% +#4269010 +b1100110110111101100011110010100 N" +#4269500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001110100100101110001000100 2 +b1001110100100101110001000100 I +b1001110100100101110001000100 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001110100100101110001000100 , +b1001110100100101110001000100 H +b10111 + +b10111 G +1. +0% +#4270000 +1>" +0_ +1^ +1s +0=" +1h +0;" +1r +05" +06" +07" +b1000 3" +1<" +1` +0c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0," +b1010001010000110010011100011101 / +b1010001010000110010011100011101 K +b1010001010000110010011100011101 e +b1010001010000110010011100011101 "" +b1011100110010110110011100011 0 +b1011100110010110110011100011 M +b1011100110010110110011100011 f +b1011100110010110110011100011 !" +b1001110100100101110001000100 &" +b1001110100100101110001000100 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4270010 +b1001110100100101110001000100 Z" +#4270500 +b1110 7 +b1110 N +b1110 V +16 +b101000001110110110110111010110 2 +b101000001110110110110111010110 I +b101000001110110110110111010110 Z +b10110 3 +b10110 J +b10110 Y +b1110 & +b1110 C +1( +b101000001110110110110111010110 , +b101000001110110110110111010110 H +b10110 + +b10110 G +1. +0% +#4271000 +0s +b1011100110010110110011100011 | +b1011100110010110110011100011 B" +1[ +0r +15" +16" +17" +b1001 3" +b10001 -" +0t +0y +1z +1u +0a +1x +1," +b101000001110110110110111010110 &" +b101000001110110110110111010110 ?" +b10110 %" +b10110 *" +b10110 1" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4271010 +b101000001110110110110111010110 Y" +#4271500 +b0 7 +b0 N +b0 V +06 +b110100000111100100000001011011 2 +b110100000111100100000001011011 I +b110100000111100100000001011011 Z +b10011 3 +b10011 J +b10011 Y +b0 & +b0 C +0( +b110100000111100100000001011011 , +b110100000111100100000001011011 H +b10011 + +b10011 G +1. +0% +#4272000 +1] +1s +0[ +0>" +1r +05" +06" +07" +b1100 3" +0^ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0x +0," +b1011100110010110110011100011 0 +b1011100110010110110011100011 M +b1011100110010110110011100011 f +b1011100110010110110011100011 !" +b110100000111100100000001011011 &" +b110100000111100100000001011011 ?" +b10011 %" +b10011 *" +b10011 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4272010 +b110100000111100100000001011011 V" +#4272500 +b10100 5 +b10100 L +b10100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4273000 +0i +b1110001110110000011110010110111 { +b1110001110110000011110010110111 A" +1\ +1[ +0@" +1:" +1;" +b11111 3" +0<" +0` +b1011 0" +1j +0k +18" +1l +0b +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4273500 +b101 5 +b101 L +b101 W +b110 7 +b110 N +b110 V +16 +b11100110000011111001001000 2 +b11100110000011111001001000 I +b11100110000011111001001000 Z +b11110 3 +b11110 J +b11110 Y +11 +b101 ' +b101 D +b110 & +b110 C +1( +b11100110000011111001001000 , +b11100110000011111001001000 H +b11110 + +b11110 G +1- +1. +0% +#4274000 +0] +1k +0s +b10111110011101111001100100101010 | +b10111110011101111001100100101010 B" +0\ +1=" +1>" +1@" +0g +1;" +0r +16" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11010 0" +08" +0l +b11101100011001011101000100000110 { +b11101100011001011101000100000110 A" +b11001 -" +1t +1u +1b +12" +1m +1x +1," +b1110001110110000011110010110111 / +b1110001110110000011110010110111 K +b1110001110110000011110010110111 e +b1110001110110000011110010110111 "" +b11100110000011111001001000 &" +b11100110000011111001001000 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b101 ~ +b101 )" +b101 ." +b110 } +b110 (" +b110 +" +1#" +0. +1% +#4274010 +b11100110000011111001001000 a" +#4274500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10100000000111111100110110010100 2 +b10100000000111111100110110010100 I +b10100000000111111100110110010100 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10100000000111111100110110010100 , +b10100000000111111100110110010100 H +b10110 + +b10110 G +1. +0% +#4275000 +1^ +1i +1s +0=" +1>" +1g +0:" +0;" +1r +06" +07" +b1001 3" +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0," +b10100000000111111100110110010100 &" +b10100000000111111100110110010100 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101100011001011101000100000110 / +b11101100011001011101000100000110 K +b11101100011001011101000100000110 e +b11101100011001011101000100000110 "" +b10111110011101111001100100101010 0 +b10111110011101111001100100101010 M +b10111110011101111001100100101010 f +b10111110011101111001100100101010 !" +0. +1% +#4275010 +b10100000000111111100110110010100 Y" +#4275500 +b10000110000011011111011100 2 +b10000110000011011111011100 I +b10000110000011011111011100 Z +b11111 3 +b11111 J +b11111 Y +b10000110000011011111011100 , +b10000110000011011111011100 H +b11111 + +b11111 G +1. +0% +#4276000 +0^ +0[ +1=" +b0 3" +1d +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000110000011011111011100 &" +b10000110000011011111011100 ?" +b11111 %" +b11111 *" +b11111 1" +0. +1% +#4276500 +b10011 7 +b10011 N +b10011 V +16 +b1001100111110001111111100011100 2 +b1001100111110001111111100011100 I +b1001100111110001111111100011100 Z +b111 3 +b111 J +b111 Y +b10011 & +b10011 C +1( +b1001100111110001111111100011100 , +b1001100111110001111111100011100 H +b111 + +b111 G +1. +0% +#4277000 +1_ +1^ +b110100000111100100000001011011 | +b110100000111100100000001011011 B" +1@" +0=" +1>" +0r +0q +17" +b11000 3" +0<" +0` +0d +b1100 -" +0u +14" +1v +1x +1w +1," +b1001100111110001111111100011100 &" +b1001100111110001111111100011100 ?" +b111 %" +b111 *" +b111 1" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#4277010 +b1001100111110001111111100011100 J" +#4277500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +b11000110100110000001010011001100 2 +b11000110100110000001010011001100 I +b11000110100110000001010011001100 Z +b10100 3 +b10100 J +b10100 Y +b100 ' +b100 D +1) +b0 & +b0 C +b11000110100110000001010011001100 , +b11000110100110000001010011001100 H +b10100 + +b10100 G +1. +0% +#4278000 +0_ +0i +b11011010000101110100100001011100 { +b11011010000101110100100001011100 A" +1u +1\ +1[ +1:" +1;" +1r +1q +17" +b1011 3" +1<" +1` +b11011 0" +1j +1k +b11111 -" +04" +0v +b10100100011101011010000101001101 | +b10100100011101011010000101001101 B" +0b +0a +1/" +0x +0w +b110100000111100100000001011011 0 +b110100000111100100000001011011 M +b110100000111100100000001011011 f +b110100000111100100000001011011 !" +b11000110100110000001010011001100 &" +b11000110100110000001010011001100 ?" +b10100 %" +b10100 *" +b10100 1" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0. +1% +#4278010 +b11000110100110000001010011001100 W" +#4278500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10101 & +b10101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4279000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +0s +0>" +0@" +0:" +0;" +0q +16" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +0k +b1010 -" +14" +1v +1t +b100010110100010001001111001110 | +b100010110100010001001111001110 B" +02" +0/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +b11011010000101110100100001011100 / +b11011010000101110100100001011100 K +b11011010000101110100100001011100 e +b11011010000101110100100001011100 "" +b10100100011101011010000101001101 0 +b10100100011101011010000101001101 M +b10100100011101011010000101001101 f +b10100100011101011010000101001101 !" +0. +1% +#4279500 +b10011 7 +b10011 N +b10011 V +b10010010110111101000110110000 2 +b10010010110111101000110110000 I +b10010010110111101000110110000 Z +b1100 3 +b1100 J +b1100 Y +11 +b10011 & +b10011 C +b10010010110111101000110110000 , +b10010010110111101000110110000 H +b1100 + +b1100 G +1- +1. +0% +#4280000 +0] +1s +1=" +1>" +1@" +0r +06" +b10011 3" +0^ +0c +1d +1_ +b1100 -" +0t +b110100000111100100000001011011 | +b110100000111100100000001011011 B" +12" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010110100010001001111001110 0 +b100010110100010001001111001110 M +b100010110100010001001111001110 f +b100010110100010001001111001110 !" +b10010010110111101000110110000 &" +b10010010110111101000110110000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b10011 } +b10011 (" +b10011 +" +0. +1% +#4280010 +b10010010110111101000110110000 O" +#4280500 +b1100 5 +b1100 L +b1100 W +14 +b1100 7 +b1100 N +b1100 V +b1111101101101110000111110001010 2 +b1111101101101110000111110001010 I +b1111101101101110000111110001010 Z +b11110 3 +b11110 J +b11110 Y +b1100 ' +b1100 D +1) +b1100 & +b1100 C +b1111101101101110000111110001010 , +b1111101101101110000111110001010 H +b11110 + +b11110 G +1. +0% +#4281000 +0_ +0i +b10010010110111101000110110000 { +b10010010110111101000110110000 A" +1u +0s +0\ +19" +1:" +1;" +1r +1q +17" +15" +16" +b1 3" +1<" +1` +b10011 0" +0j +0o +1p +1k +b10011 -" +04" +0v +0t +0y +1z +b10010010110111101000110110000 | +b10010010110111101000110110000 B" +1b +1/" +0x +0w +b1111101101101110000111110001010 &" +b1111101101101110000111110001010 ?" +b11110 %" +b11110 *" +b11110 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b1100 } +b1100 (" +b1100 +" +b110100000111100100000001011011 0 +b110100000111100100000001011011 M +b110100000111100100000001011011 f +b110100000111100100000001011011 !" +0. +1% +#4281010 +b1111101101101110000111110001010 a" +#4281500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4282000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +0>" +0@" +09" +0:" +0;" +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0p +0k +b11111 -" +0z +0u +0b +02" +0/" +0," +b10010010110111101000110110000 / +b10010010110111101000110110000 K +b10010010110111101000110110000 e +b10010010110111101000110110000 "" +b10010010110111101000110110000 0 +b10010010110111101000110110000 M +b10010010110111101000110110000 f +b10010010110111101000110110000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4282500 +b11101 5 +b11101 L +b11101 W +14 +b10111101010010011111011010100101 2 +b10111101010010011111011010100101 I +b10111101010010011111011010100101 Z +b10100 3 +b10100 J +b10100 Y +11 +b11101 ' +b11101 D +1) +b10111101010010011111011010100101 , +b10111101010010011111011010100101 H +b10100 + +b10100 G +1- +1. +0% +#4283000 +0] +0i +b11111110010011010100100111110100 { +b11111110010011010100100111110100 A" +1>" +1@" +0g +19" +1:" +1;" +b1011 3" +1^ +0_ +1<" +1` +b10 0" +0j +0o +1p +0k +18" +1l +12" +1m +1/" +b10111101010010011111011010100101 &" +b10111101010010011111011010100101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4283010 +b10111101010010011111011010100101 W" +#4283500 +b101 5 +b101 L +b101 W +b11101011100101011111100110011100 2 +b11101011100101011111100110011100 I +b11101011100101011111100110011100 Z +b10 3 +b10 J +b10 Y +b101 ' +b101 D +b11101011100101011111100110011100 , +b11101011100101011111100110011100 H +b10 + +b10 G +1. +0% +#4284000 +1_ +1] +1k +1j +0\ +1@" +0>" +1;" +b11101100011001011101000100000110 { +b11101100011001011101000100000110 A" +09" +1:" +b11101 3" +0<" +0` +0^ +b11010 0" +08" +0l +0p +1b +b11111110010011010100100111110100 / +b11111110010011010100100111110100 K +b11111110010011010100100111110100 e +b11111110010011010100100111110100 "" +b11101011100101011111100110011100 &" +b11101011100101011111100110011100 ?" +b10 %" +b10 *" +b10 1" +b101 ~ +b101 )" +b101 ." +0. +1% +#4284010 +b11101011100101011111100110011100 E" +#4284500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4285000 +1i +1\ +0@" +1g +0:" +0;" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101100011001011101000100000110 / +b11101100011001011101000100000110 K +b11101100011001011101000100000110 e +b11101100011001011101000100000110 "" +0. +1% +#4285500 +1. +0% +#4286000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4286500 +b1 7 +b1 N +b1 V +16 +b11110101100001001100110010111001 2 +b11110101100001001100110010111001 I +b11110101100001001100110010111001 Z +b10100 3 +b10100 J +b10100 Y +11 +b1 & +b1 C +1( +b11110101100001001100110010111001 , +b11110101100001001100110010111001 H +b10100 + +b10100 G +1- +1. +0% +#4287000 +0] +b1101010101110101110110111111101 | +b1101010101110101110110111111101 B" +1>" +1@" +0q +17" +b1011 3" +1^ +0_ +1<" +1` +b11110 -" +1u +12" +1w +1," +b11110101100001001100110010111001 &" +b11110101100001001100110010111001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#4287010 +b11110101100001001100110010111001 W" +#4287500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4288000 +1] +0>" +0@" +1q +07" +b11111 3" +0^ +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0w +0," +b1101010101110101110110111111101 0 +b1101010101110101110110111111101 M +b1101010101110101110110111111101 f +b1101010101110101110110111111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4288500 +1. +0% +#4289000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4289500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#4290000 +0i +b10100100100000110001110111001100 { +b10100100100000110001110111001100 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#4290500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +1. +0% +#4291000 +1i +0s +b10110001011001000001101010101100 | +b10110001011001000001101010101100 B" +1g +09" +0;" +0r +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b101 -" +1y +0u +14" +1v +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b10100100100000110001110111001100 / +b10100100100000110001110111001100 K +b10100100100000110001110111001100 e +b10100100100000110001110111001100 "" +0. +1% +#4291500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4292000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110001011001000001101010101100 0 +b10110001011001000001101010101100 M +b10110001011001000001101010101100 f +b10110001011001000001101010101100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4292500 +1. +0% +#4293000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4293500 +b10001 5 +b10001 L +b10001 W +14 +b10001 ' +b10001 D +1) +1. +0% +#4294000 +b10000010001101010101010001101111 { +b10000010001101010101010001101111 A" +0g +1;" +b1110 0" +0k +18" +1l +1m +1/" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#4294500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b1100100010000010001011110101010 2 +b1100100010000010001011110101010 I +b1100100010000010001011110101010 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b1100100010000010001011110101010 , +b1100100010000010001011110101010 H +b10 + +b10 G +1- +1. +0% +#4295000 +0s +b11110101100001001100110010111001 | +b11110101100001001100110010111001 B" +0\ +1@" +1g +0;" +16" +17" +b11101 3" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +1t +0u +14" +1v +1b +12" +0m +0/" +1," +b1100100010000010001011110101010 &" +b1100100010000010001011110101010 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b10000010001101010101010001101111 / +b10000010001101010101010001101111 K +b10000010001101010101010001101111 e +b10000010001101010101010001101111 "" +0. +1% +#4295010 +b1100100010000010001011110101010 E" +#4295500 +b1000 5 +b1000 L +b1000 W +14 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4296000 +0i +b110001011111000110001100001101 { +b110001011111000110001100001101 A" +0t +1\ +0@" +19" +1;" +0r +0q +15" +b11111 3" +0_ +b10111 0" +1o +1k +b0 -" +1z +b10000110000011011111011100 | +b10000110000011011111011100 B" +0b +02" +1/" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110101100001001100110010111001 0 +b11110101100001001100110010111001 M +b11110101100001001100110010111001 f +b11110101100001001100110010111001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#4296500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b0 ' +b0 D +0) +b101 & +b101 C +1. +0% +#4297000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +1t +09" +0;" +1r +17" +05" +16" +b11111 0" +0o +0k +b11010 -" +04" +0v +0z +b11101100011001011101000100000110 | +b11101100011001011101000100000110 B" +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b110001011111000110001100001101 / +b110001011111000110001100001101 K +b110001011111000110001100001101 e +b110001011111000110001100001101 "" +b10000110000011011111011100 0 +b10000110000011011111011100 M +b10000110000011011111011100 f +b10000110000011011111011100 !" +0. +1% +#4297500 +b100 7 +b100 N +b100 V +b100 & +b100 C +1. +0% +#4298000 +1q +b11011 -" +b11011010000101110100100001011100 | +b11011010000101110100100001011100 B" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101100011001011101000100000110 0 +b11101100011001011101000100000110 M +b11101100011001011101000100000110 f +b11101100011001011101000100000110 !" +b100 } +b100 (" +b100 +" +0. +1% +#4298500 +b10 7 +b10 N +b10 V +b1101100101000110011010011101001 2 +b1101100101000110011010011101001 I +b1101100101000110011010011101001 Z +b10000 3 +b10000 J +b10000 Y +11 +b10 & +b10 C +b1101100101000110011010011101001 , +b1101100101000110011010011101001 H +b10000 + +b10000 G +1- +1. +0% +#4299000 +1s +1@" +0r +06" +b1111 3" +0_ +1<" +1` +b11101 -" +0t +b1100100010000010001011110101010 | +b1100100010000010001011110101010 B" +12" +1x +b1101100101000110011010011101001 &" +b1101100101000110011010011101001 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b10 } +b10 (" +b10 +" +b11011010000101110100100001011100 0 +b11011010000101110100100001011100 M +b11011010000101110100100001011100 f +b11011010000101110100100001011100 !" +0. +1% +#4299010 +b1101100101000110011010011101001 S" +#4299500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4300000 +0i +b100010110100010001001111001110 { +b100010110100010001001111001110 A" +0@" +0g +1:" +1;" +1r +07" +b11111 3" +0<" +0` +b1010 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1m +1/" +0x +0," +b1100100010000010001011110101010 0 +b1100100010000010001011110101010 M +b1100100010000010001011110101010 f +b1100100010000010001011110101010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4300500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b1010000110111011001101100110 2 +b1010000110111011001101100110 I +b1010000110111011001101100110 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b1010000110111011001101100110 , +b1010000110111011001101100110 H +b1 + +b1 G +1- +1. +0% +#4301000 +1i +b1101100101000110011010011101001 | +b1101100101000110011010011101001 B" +0[ +1@" +1g +0:" +0;" +17" +b11110 3" +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1111 -" +0u +14" +1v +1a +12" +0m +0/" +1," +b1010000110111011001101100110 &" +b1010000110111011001101100110 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +b100010110100010001001111001110 / +b100010110100010001001111001110 K +b100010110100010001001111001110 e +b100010110100010001001111001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4301010 +b1010000110111011001101100110 D" +#4301500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4302000 +1[ +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0_ +b11111 -" +04" +0v +0a +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101100101000110011010011101001 0 +b1101100101000110011010011101001 M +b1101100101000110011010011101001 f +b1101100101000110011010011101001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4302500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#4303000 +0s +b1111101101101110000111110001010 | +b1111101101101110000111110001010 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4303500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b11101001111100111111110110000100 2 +b11101001111100111111110110000100 I +b11101001111100111111110110000100 Z +b11100 3 +b11100 J +b11100 Y +11 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b11101001111100111111110110000100 , +b11101001111100111111110110000100 H +b11100 + +b11100 G +1- +1. +0% +#4304000 +0] +b1010000110111011001101100110 { +b1010000110111011001101100110 A" +1s +1=" +1>" +1@" +0g +1;" +1r +05" +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11110 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1m +1/" +0x +0," +b1111101101101110000111110001010 0 +b1111101101101110000111110001010 M +b1111101101101110000111110001010 f +b1111101101101110000111110001010 !" +b11101001111100111111110110000100 &" +b11101001111100111111110110000100 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4304010 +b11101001111100111111110110000100 _" +#4304500 +b0 5 +b0 L +b0 W +04 +b11001000101010000101111010001111 2 +b11001000101010000101111010001111 I +b11001000101010000101111010001111 Z +b100 3 +b100 J +b100 Y +b0 ' +b0 D +0) +b11001000101010000101111010001111 , +b11001000101010000101111010001111 H +b100 + +b100 G +1. +0% +#4305000 +1_ +1^ +1@" +0=" +1>" +1g +0;" +b11011 3" +0<" +0` +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b11001000101010000101111010001111 &" +b11001000101010000101111010001111 ?" +b100 %" +b100 *" +b100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1010000110111011001101100110 / +b1010000110111011001101100110 K +b1010000110111011001101100110 e +b1010000110111011001101100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4305010 +b11001000101010000101111010001111 G" +#4305500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4306000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4306500 +b101 5 +b101 L +b101 W +14 +b101 ' +b101 D +1) +1. +0% +#4307000 +0i +b11101100011001011101000100000110 { +b11101100011001011101000100000110 A" +0g +1:" +1;" +b11010 0" +1j +1k +1m +1/" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#4307500 +b0 5 +b0 L +b0 W +04 +16 +b0 ' +b0 D +0) +1( +1. +0% +#4308000 +1i +b10100100011101011010000101001101 | +b10100100011101011010000101001101 B" +1g +0:" +0;" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1u +0m +0/" +1," +b11101100011001011101000100000110 / +b11101100011001011101000100000110 K +b11101100011001011101000100000110 e +b11101100011001011101000100000110 "" +b0 ~ +b0 )" +b0 ." +0$" +1#" +0. +1% +#4308500 +b1110 5 +b1110 L +b1110 W +14 +06 +b1110 ' +b1110 D +1) +0( +1. +0% +#4309000 +0i +b1011100110010110110011100011 { +b1011100110010110110011100011 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +19" +1:" +1;" +07" +b10001 0" +0j +0o +1p +1k +0u +1n +1/" +0," +b1110 ~ +b1110 )" +b1110 ." +1$" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100100011101011010000101001101 0 +b10100100011101011010000101001101 M +b10100100011101011010000101001101 f +b10100100011101011010000101001101 !" +0. +1% +#4309500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b1110101000011000000000111000100 2 +b1110101000011000000000111000100 I +b1110101000011000000000111000100 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b1110101000011000000000111000100 , +b1110101000011000000000111000100 H +b111 + +b111 G +1- +1. +0% +#4310000 +0] +1i +0s +b100010110100010001001111001110 | +b100010110100010001001111001110 B" +0\ +0[ +1>" +1@" +1h +09" +0:" +0;" +0q +16" +17" +b11000 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1010 -" +1t +0u +14" +1v +1b +1a +12" +0n +0/" +1w +1," +b1011100110010110110011100011 / +b1011100110010110110011100011 K +b1011100110010110110011100011 e +b1011100110010110110011100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110101000011000000000111000100 &" +b1110101000011000000000111000100 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#4310010 +b1110101000011000000000111000100 J" +#4310500 +b0 7 +b0 N +b0 V +06 +b1111000100010011010101001000011 2 +b1111000100010011010101001000011 I +b1111000100010011010101001000011 Z +b1101 3 +b1101 J +b1101 Y +b0 & +b0 C +0( +b1111000100010011010101001000011 , +b1111000100010011010101001000011 H +b1101 + +b1101 G +1. +0% +#4311000 +0^ +1s +1\ +1=" +1q +06" +07" +b10010 3" +1d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0w +0," +b1111000100010011010101001000011 &" +b1111000100010011010101001000011 ?" +b1101 %" +b1101 *" +b1101 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010110100010001001111001110 0 +b100010110100010001001111001110 M +b100010110100010001001111001110 f +b100010110100010001001111001110 !" +0. +1% +#4311010 +b1111000100010011010101001000011 P" +#4311500 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4312000 +1] +b1010000110111011001101100110 | +b1010000110111011001101100110 B" +1[ +0=" +0>" +0@" +0q +17" +b11111 3" +0d +0_ +b11110 -" +1u +0a +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#4312500 +b0 7 +b0 N +b0 V +b0 & +b0 C +1. +0% +#4313000 +1q +b11111 -" +b10100100011101011010000101001101 | +b10100100011101011010000101001101 B" +0w +b0 } +b0 (" +b0 +" +b1010000110111011001101100110 0 +b1010000110111011001101100110 M +b1010000110111011001101100110 f +b1010000110111011001101100110 !" +0. +1% +#4313500 +b11100 5 +b11100 L +b11100 W +14 +b10010 7 +b10010 N +b10010 V +b11110001010101000100100100100111 2 +b11110001010101000100100100100111 I +b11110001010101000100100100100111 Z +b1001 3 +b1001 J +b1001 Y +11 +b11100 ' +b11100 D +1) +b10010 & +b10010 C +b11110001010101000100100100100111 , +b11110001010101000100100100100111 H +b1001 + +b1001 G +1- +1. +0% +#4314000 +0] +0i +b11101001111100111111110110000100 { +b11101001111100111111110110000100 A" +0u +0[ +1=" +1@" +19" +1:" +1;" +0r +b10110 3" +1c +1_ +b11 0" +0j +0o +1p +0k +18" +1l +b1101 -" +14" +1v +b1100110101010101010010110010110 | +b1100110101010101010010110010110 B" +1a +12" +1/" +1x +b10100100011101011010000101001101 0 +b10100100011101011010000101001101 M +b10100100011101011010000101001101 f +b10100100011101011010000101001101 !" +b11110001010101000100100100100111 &" +b11110001010101000100100100100111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#4314010 +b11110001010101000100100100100111 L" +#4314500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4315000 +1] +1i +1[ +0=" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +07" +b11111 3" +0c +0_ +b11111 0" +0p +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101001111100111111110110000100 / +b11101001111100111111110110000100 K +b11101001111100111111110110000100 e +b11101001111100111111110110000100 "" +b1100110101010101010010110010110 0 +b1100110101010101010010110010110 M +b1100110101010101010010110010110 f +b1100110101010101010010110010110 !" +0. +1% +#4315500 +1. +0% +#4316000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4316500 +b100 7 +b100 N +b100 V +16 +b11001000100010011011000011010010 2 +b11001000100010011011000011010010 I +b11001000100010011011000011010010 Z +b11010 3 +b11010 J +b11010 Y +11 +b100 & +b100 C +1( +b11001000100010011011000011010010 , +b11001000100010011011000011010010 H +b11010 + +b11010 G +1- +1. +0% +#4317000 +0] +0s +b11001000101010000101111010001111 | +b11001000101010000101111010001111 B" +0\ +1=" +1@" +16" +17" +b101 3" +1c +0_ +1<" +1` +b11011 -" +1t +1u +1b +12" +1," +b11001000100010011011000011010010 &" +b11001000100010011011000011010010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#4317010 +b11001000100010011011000011010010 ]" +#4317500 +b11 5 +b11 L +b11 W +14 +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4318000 +15" +1] +b11111000000000100010011010110101 { +b11111000000000100010011010110101 A" +1y +1\ +0=" +0@" +0h +0g +1;" +0r +0q +06" +b11111 3" +0c +0<" +0` +b11100 0" +1k +b10100 -" +0t +b1100110110111101100011110010100 | +b1100110110111101100011110010100 B" +0b +02" +1n +1m +1/" +1x +1w +b11001000101010000101111010001111 0 +b11001000101010000101111010001111 M +b11001000101010000101111010001111 f +b11001000101010000101111010001111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#4318500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4319000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11111000000000100010011010110101 / +b11111000000000100010011010110101 K +b11111000000000100010011010110101 e +b11111000000000100010011010110101 "" +b1100110110111101100011110010100 0 +b1100110110111101100011110010100 M +b1100110110111101100011110010100 f +b1100110110111101100011110010100 !" +0. +1% +#4319500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4320000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4320500 +b1111 7 +b1111 N +b1111 V +16 +b1111 & +b1111 C +1( +1. +0% +#4321000 +0s +b1101101010111101001011011100110 | +b1101101010111101001011011100110 B" +0r +0q +15" +16" +17" +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4321500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +1) +b0 & +b0 C +0( +1. +0% +#4322000 +b1010000110111011001101100110 { +b1010000110111011001101100110 A" +1s +0g +1;" +1r +1q +05" +06" +07" +b11110 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0w +0," +b1101101010111101001011011100110 0 +b1101101010111101001011011100110 M +b1101101010111101001011011100110 f +b1101101010111101001011011100110 !" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4322500 +b11 5 +b11 L +b11 W +b11 ' +b11 D +1. +0% +#4323000 +0h +b11100 0" +b11111000000000100010011010110101 { +b11111000000000100010011010110101 A" +1n +b11 ~ +b11 )" +b11 ." +b1010000110111011001101100110 / +b1010000110111011001101100110 K +b1010000110111011001101100110 e +b1010000110111011001101100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4323500 +b1111 5 +b1111 L +b1111 W +b10010 7 +b10010 N +b10010 V +16 +b110000010001101100010101100001 2 +b110000010001101100010101100001 I +b110000010001101100010101100001 Z +b1101 3 +b1101 J +b1101 Y +11 +b1111 ' +b1111 D +b10010 & +b10010 C +1( +b110000010001101100010101100001 , +b110000010001101100010101100001 H +b1101 + +b1101 G +1- +1. +0% +#4324000 +0] +0i +b1101101010111101001011011100110 { +b1101101010111101001011011100110 A" +b1100110101010101010010110010110 | +b1100110101010101010010110010110 B" +0[ +1=" +1>" +1@" +19" +1:" +0r +17" +b10010 3" +0^ +0c +1d +1_ +b10000 0" +0j +0o +1p +b1101 -" +0u +14" +1v +1a +12" +1x +1," +b11111000000000100010011010110101 / +b11111000000000100010011010110101 K +b11111000000000100010011010110101 e +b11111000000000100010011010110101 "" +b110000010001101100010101100001 &" +b110000010001101100010101100001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#4324010 +b110000010001101100010101100001 P" +#4324500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4325000 +1] +1i +1[ +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +0q +b11111 3" +0d +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +b110100000111100100000001011011 | +b110100000111100100000001011011 B" +0a +02" +0n +0m +0/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b1101101010111101001011011100110 / +b1101101010111101001011011100110 K +b1101101010111101001011011100110 e +b1101101010111101001011011100110 "" +b1100110101010101010010110010110 0 +b1100110101010101010010110010110 M +b1100110101010101010010110010110 f +b1100110101010101010010110010110 !" +0. +1% +#4325500 +b11101 5 +b11101 L +b11101 W +14 +b11010 7 +b11010 N +b11010 V +b11101 ' +b11101 D +1) +b11010 & +b11010 C +1. +0% +#4326000 +0i +b11111110010011010100100111110100 { +b11111110010011010100100111110100 A" +0s +0g +19" +1:" +1;" +1q +15" +b10 0" +0j +0o +1p +0k +18" +1l +b101 -" +1y +b11001000100010011011000011010010 | +b11001000100010011011000011010010 B" +1m +1/" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110100000111100100000001011011 0 +b110100000111100100000001011011 M +b110100000111100100000001011011 f +b110100000111100100000001011011 !" +b11101 ~ +b11101 )" +b11101 ." +1$" +b11010 } +b11010 (" +b11010 +" +0. +1% +#4326500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +b0 & +b0 C +0( +1. +0% +#4327000 +1k +1i +1s +0h +1;" +09" +0:" +1r +05" +07" +b11100 0" +08" +0l +0p +b11111000000000100010011010110101 { +b11111000000000100010011010110101 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0x +0," +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +b11111110010011010100100111110100 / +b11111110010011010100100111110100 K +b11111110010011010100100111110100 e +b11111110010011010100100111110100 "" +b11001000100010011011000011010010 0 +b11001000100010011011000011010010 M +b11001000100010011011000011010010 f +b11001000100010011011000011010010 !" +0. +1% +#4327500 +b1 5 +b1 L +b1 W +b1 ' +b1 D +1. +0% +#4328000 +1h +b11110 0" +b1010000110111011001101100110 { +b1010000110111011001101100110 A" +0n +b11111000000000100010011010110101 / +b11111000000000100010011010110101 K +b11111000000000100010011010110101 e +b11111000000000100010011010110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 ~ +b1 )" +b1 ." +0. +1% +#4328500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +1. +0% +#4329000 +0s +b100011011111110000110011010110 | +b100011011111110000110011010110 B" +1g +0;" +0q +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +1y +0u +14" +1v +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +b1010000110111011001101100110 / +b1010000110111011001101100110 K +b1010000110111011001101100110 e +b1010000110111011001101100110 "" +0. +1% +#4329500 +b0 7 +b0 N +b0 V +06 +b1011111010011100111111011111100 2 +b1011111010011100111111011111100 I +b1011111010011100111111011111100 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 & +b0 C +0( +b1011111010011100111111011111100 , +b1011111010011100111111011111100 H +b1001 + +b1001 G +1- +1. +0% +#4330000 +0] +1s +0[ +1=" +1@" +1q +05" +07" +b10110 3" +1c +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100011011111110000110011010110 0 +b100011011111110000110011010110 M +b100011011111110000110011010110 f +b100011011111110000110011010110 !" +b1011111010011100111111011111100 &" +b1011111010011100111111011111100 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4330010 +b1011111010011100111111011111100 L" +#4330500 +b1101 7 +b1101 N +b1101 V +16 +b11000000111001000101101000010000 2 +b11000000111001000101101000010000 I +b11000000111001000101101000010000 Z +b0 3 +b0 J +b0 Y +b1101 & +b1101 C +1( +b11000000111001000101101000010000 , +b11000000111001000101101000010000 H +b0 + +b0 G +1. +0% +#4331000 +1] +0s +b110000010001101100010101100001 | +b110000010001101100010101100001 B" +1[ +0=" +0q +15" +16" +17" +b11111 3" +0c +b10010 -" +0t +0y +1z +1u +0a +1w +1," +b11000000111001000101101000010000 &" +b11000000111001000101101000010000 ?" +b0 %" +b0 *" +b0 1" +b1101 } +b1101 (" +b1101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4331010 +b11000000111001000101101000010000 C" +#4331500 +b10100 5 +b10100 L +b10100 W +14 +b10001 7 +b10001 N +b10001 V +b11010111001111000011001000011111 2 +b11010111001111000011001000011111 I +b11010111001111000011001000011111 Z +b11000 3 +b11000 J +b11000 Y +b10100 ' +b10100 D +1) +b10001 & +b10001 C +b11010111001111000011001000011111 , +b11010111001111000011001000011111 H +b11000 + +b11000 G +1. +0% +#4332000 +0_ +0] +0i +b11110101100001001100110010111001 { +b11110101100001001100110010111001 A" +0u +1s +1=" +1:" +1;" +b10000010001101010101010001101111 | +b10000010001101010101010001101111 B" +05" +06" +b111 3" +1<" +1` +1c +b1011 0" +1j +0k +18" +1l +b1110 -" +14" +1v +0z +1/" +b110000010001101100010101100001 0 +b110000010001101100010101100001 M +b110000010001101100010101100001 f +b110000010001101100010101100001 !" +b11010111001111000011001000011111 &" +b11010111001111000011001000011111 ?" +b11000 %" +b11000 *" +b11000 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#4332010 +b11010111001111000011001000011111 [" +#4332500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101000111110111110000111100101 2 +b10101000111110111110000111100101 I +b10101000111110111110000111100101 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101000111110111110000111100101 , +b10101000111110111110000111100101 H +b1011 + +b1011 G +1. +0% +#4333000 +1_ +1i +0\ +0[ +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +07" +b10100 3" +0<" +0` +b11111 0" +0j +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +0/" +0w +0," +b10101000111110111110000111100101 &" +b10101000111110111110000111100101 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110101100001001100110010111001 / +b11110101100001001100110010111001 K +b11110101100001001100110010111001 e +b11110101100001001100110010111001 "" +b10000010001101010101010001101111 0 +b10000010001101010101010001101111 M +b10000010001101010101010001101111 f +b10000010001101010101010001101111 !" +0. +1% +#4333010 +b10101000111110111110000111100101 N" +#4333500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4334000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4334500 +1. +0% +#4335000 +0. +1% +#4335500 +1. +0% +#4336000 +0. +1% +#4336500 +b1001 5 +b1001 L +b1001 W +14 +b10011 7 +b10011 N +b10011 V +16 +b111011001100101111001101110001 2 +b111011001100101111001101110001 I +b111011001100101111001101110001 Z +b11011 3 +b11011 J +b11011 Y +11 +b1001 ' +b1001 D +1) +b10011 & +b10011 C +1( +b111011001100101111001101110001 , +b111011001100101111001101110001 H +b11011 + +b11011 G +1- +1. +0% +#4337000 +0] +0i +b1011111010011100111111011111100 { +b1011111010011100111111011111100 A" +b110100000111100100000001011011 | +b110100000111100100000001011011 B" +0\ +0[ +1=" +1@" +0g +19" +1;" +0r +0q +17" +b100 3" +1c +0_ +1<" +1` +b10110 0" +1o +1k +b1100 -" +0u +14" +1v +1b +1a +12" +1m +1/" +1x +1w +1," +b111011001100101111001101110001 &" +b111011001100101111001101110001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#4337010 +b111011001100101111001101110001 ^" +#4337500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1000001101110111000010010001000 2 +b1000001101110111000010010001000 I +b1000001101110111000010010001000 Z +b10101 3 +b10101 J +b10101 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1000001101110111000010010001000 , +b1000001101110111000010010001000 H +b10101 + +b10101 G +1. +0% +#4338000 +1>" +1^ +1i +1\ +0=" +1g +09" +0;" +1r +1q +07" +b1010 3" +0c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0m +0/" +0x +0w +0," +b1011111010011100111111011111100 / +b1011111010011100111111011111100 K +b1011111010011100111111011111100 e +b1011111010011100111111011111100 "" +b110100000111100100000001011011 0 +b110100000111100100000001011011 M +b110100000111100100000001011011 f +b110100000111100100000001011011 !" +b1000001101110111000010010001000 &" +b1000001101110111000010010001000 ?" +b10101 %" +b10101 *" +b10101 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4338010 +b1000001101110111000010010001000 X" +#4338500 +b11011011010111011001100100100001 2 +b11011011010111011001100100100001 I +b11011011010111011001100100100001 Z +b111 3 +b111 J +b111 Y +b11011011010111011001100100100001 , +b11011011010111011001100100100001 H +b111 + +b111 G +1. +0% +#4339000 +1_ +0\ +1@" +b11000 3" +0<" +0` +1b +b11011011010111011001100100100001 &" +b11011011010111011001100100100001 ?" +b111 %" +b111 *" +b111 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4339010 +b11011011010111011001100100100001 J" +#4339500 +b111110100101011111001011001000 2 +b111110100101011111001011001000 I +b111110100101011111001011001000 Z +b1101 3 +b1101 J +b1101 Y +b111110100101011111001011001000 , +b111110100101011111001011001000 H +b1101 + +b1101 G +1. +0% +#4340000 +0^ +1\ +1=" +b10010 3" +1d +0b +b111110100101011111001011001000 &" +b111110100101011111001011001000 ?" +b1101 %" +b1101 *" +b1101 1" +0. +1% +#4340010 +b111110100101011111001011001000 P" +#4340500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4341000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4341500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#4342000 +0i +b10101000111110111110000111100101 { +b10101000111110111110000111100101 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#4342500 +b10111 5 +b10111 L +b10111 W +b1010 7 +b1010 N +b1010 V +16 +b10111 ' +b10111 D +b1010 & +b1010 C +1( +1. +0% +#4343000 +1:" +0k +1j +0s +b11010101001100110000110101011101 | +b11010101001100110000110101011101 B" +b1001110100100101110001000100 { +b1001110100100101110001000100 A" +09" +0r +15" +17" +b1000 0" +18" +1l +0o +b10101 -" +1y +1u +1x +1," +b10111 ~ +b10111 )" +b10111 ." +b1010 } +b1010 (" +b1010 +" +1#" +b10101000111110111110000111100101 / +b10101000111110111110000111100101 K +b10101000111110111110000111100101 e +b10101000111110111110000111100101 "" +0. +1% +#4343500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b10011010001111000101100101001101 2 +b10011010001111000101100101001101 I +b10011010001111000101100101001101 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b11111 & +b11111 C +b10011010001111000101100101001101 , +b10011010001111000101100101001101 H +b10100 + +b10100 G +1- +1. +0% +#4344000 +0] +1i +0u +0y +1>" +1@" +1h +1g +0:" +0;" +0q +16" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +14" +1v +1z +b10000110000011011111011100 | +b10000110000011011111011100 B" +12" +0n +0m +0/" +1w +b1001110100100101110001000100 / +b1001110100100101110001000100 K +b1001110100100101110001000100 e +b1001110100100101110001000100 "" +b11010101001100110000110101011101 0 +b11010101001100110000110101011101 M +b11010101001100110000110101011101 f +b11010101001100110000110101011101 !" +b10011010001111000101100101001101 &" +b10011010001111000101100101001101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#4344010 +b10011010001111000101100101001101 W" +#4344500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b11011011100110110010110010001100 2 +b11011011100110110010110010001100 I +b11011011100110110010110010001100 Z +b1001 3 +b1001 J +b1001 Y +b10 ' +b10 D +1) +b0 & +b0 C +0( +b11011011100110110010110010001100 , +b11011011100110110010110010001100 H +b1001 + +b1001 G +1. +0% +#4345000 +1=" +1_ +1c +b1100100010000010001011110101010 { +b1100100010000010001011110101010 A" +1s +0[ +1@" +0>" +0h +1;" +1r +1q +05" +06" +07" +b10110 3" +0<" +0` +0^ +b11101 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +1n +1/" +0x +0w +0," +b11011011100110110010110010001100 &" +b11011011100110110010110010001100 ?" +b1001 %" +b1001 *" +b1001 1" +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000110000011011111011100 0 +b10000110000011011111011100 M +b10000110000011011111011100 f +b10000110000011011111011100 !" +0. +1% +#4345010 +b11011011100110110010110010001100 L" +#4345500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4346000 +1] +0s +b1001110100100101110001000100 | +b1001110100100101110001000100 B" +1[ +0=" +0@" +1h +0;" +0r +0q +16" +17" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1000 -" +1t +0u +14" +1v +0a +02" +0n +0/" +1x +1w +1," +b1100100010000010001011110101010 / +b1100100010000010001011110101010 K +b1100100010000010001011110101010 e +b1100100010000010001011110101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#4346500 +b1111 5 +b1111 L +b1111 W +14 +b10100 7 +b10100 N +b10100 V +b1111 ' +b1111 D +1) +b10100 & +b10100 C +1. +0% +#4347000 +0i +b1101101010111101001011011100110 { +b1101101010111101001011011100110 A" +0h +0g +19" +1:" +1;" +1r +1q +b10000 0" +0j +0o +1p +1k +b1011 -" +b10011010001111000101100101001101 | +b10011010001111000101100101001101 B" +1n +1m +1/" +0x +0w +b1111 ~ +b1111 )" +b1111 ." +1$" +b10100 } +b10100 (" +b10100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001110100100101110001000100 0 +b1001110100100101110001000100 M +b1001110100100101110001000100 f +b1001110100100101110001000100 !" +0. +1% +#4347500 +b10110 5 +b10110 L +b10110 W +b10110 7 +b10110 N +b10110 V +b10110 ' +b10110 D +b10110 & +b10110 C +1. +0% +#4348000 +0k +1j +1g +09" +1:" +0r +b1001 0" +18" +1l +0p +b10100000000111111100110110010100 { +b10100000000111111100110110010100 A" +b1001 -" +b10100000000111111100110110010100 | +b10100000000111111100110110010100 B" +0m +1x +b1101101010111101001011011100110 / +b1101101010111101001011011100110 K +b1101101010111101001011011100110 e +b1101101010111101001011011100110 "" +b10011010001111000101100101001101 0 +b10011010001111000101100101001101 M +b10011010001111000101100101001101 f +b10011010001111000101100101001101 !" +b10110 ~ +b10110 )" +b10110 ." +b10110 } +b10110 (" +b10110 +" +0. +1% +#4348500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b111111011011001111111010000000 2 +b111111011011001111111010000000 I +b111111011011001111111010000000 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b111111011011001111111010000000 , +b111111011011001111111010000000 H +b10011 + +b10011 G +1- +1. +0% +#4349000 +1i +1s +0\ +0[ +1@" +1h +0:" +0;" +1r +06" +07" +b1100 3" +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0n +0/" +0x +0," +b111111011011001111111010000000 &" +b111111011011001111111010000000 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10100000000111111100110110010100 / +b10100000000111111100110110010100 K +b10100000000111111100110110010100 e +b10100000000111111100110110010100 "" +b10100000000111111100110110010100 0 +b10100000000111111100110110010100 M +b10100000000111111100110110010100 f +b10100000000111111100110110010100 !" +0. +1% +#4349010 +b111111011011001111111010000000 V" +#4349500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4350000 +b1101100101000110011010011101001 | +b1101100101000110011010011101001 B" +1\ +1[ +0@" +17" +b11111 3" +0<" +0` +b1111 -" +0u +14" +1v +0b +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#4350500 +b10101 7 +b10101 N +b10101 V +b11010010111000011100001000011110 2 +b11010010111000011100001000011110 I +b11010010111000011100001000011110 Z +b11110 3 +b11110 J +b11110 Y +11 +b10101 & +b10101 C +b11010010111000011100001000011110 , +b11010010111000011100001000011110 H +b11110 + +b11110 G +1- +1. +0% +#4351000 +0] +0s +0\ +1=" +1>" +1@" +0q +16" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1010 -" +1t +b1000001101110111000010010001000 | +b1000001101110111000010010001000 B" +1b +12" +1w +b11010010111000011100001000011110 &" +b11010010111000011100001000011110 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10101 } +b10101 (" +b10101 +" +b1101100101000110011010011101001 0 +b1101100101000110011010011101001 M +b1101100101000110011010011101001 f +b1101100101000110011010011101001 !" +0. +1% +#4351010 +b11010010111000011100001000011110 a" +#4351500 +b0 7 +b0 N +b0 V +06 +b11001011010110011111111110011001 2 +b11001011010110011111111110011001 I +b11001011010110011111111110011001 Z +b10001 3 +b10001 J +b10001 Y +b0 & +b0 C +0( +b11001011010110011111111110011001 , +b11001011010110011111111110011001 H +b10001 + +b10001 G +1. +0% +#4352000 +1] +1s +1\ +0[ +0=" +0>" +1q +06" +07" +b1110 3" +0d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1a +0w +0," +b1000001101110111000010010001000 0 +b1000001101110111000010010001000 M +b1000001101110111000010010001000 f +b1000001101110111000010010001000 !" +b11001011010110011111111110011001 &" +b11001011010110011111111110011001 ?" +b10001 %" +b10001 *" +b10001 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4352010 +b11001011010110011111111110011001 T" +#4352500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4353000 +1[ +0@" +b11111 3" +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4353500 +b10110 5 +b10110 L +b10110 W +14 +b10010 7 +b10010 N +b10010 V +16 +b10110 ' +b10110 D +1) +b10010 & +b10010 C +1( +1. +0% +#4354000 +0i +b10100000000111111100110110010100 { +b10100000000111111100110110010100 A" +b1100110101010101010010110010110 | +b1100110101010101010010110010110 B" +0h +1:" +1;" +0r +17" +b1001 0" +1j +0k +18" +1l +b1101 -" +0u +14" +1v +1n +1/" +1x +1," +b10110 ~ +b10110 )" +b10110 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#4354500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b10010000001100011011110111100110 2 +b10010000001100011011110111100110 I +b10010000001100011011110111100110 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +b1 & +b1 C +b10010000001100011011110111100110 , +b10010000001100011011110111100110 H +b1011 + +b1011 G +1- +1. +0% +#4355000 +0] +1i +1u +0\ +0[ +1=" +1@" +1h +0:" +0;" +1r +0q +17" +b10100 3" +1c +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +04" +0v +b1010000110111011001101100110 | +b1010000110111011001101100110 B" +1b +1a +12" +0n +0/" +0x +1w +b10010000001100011011110111100110 &" +b10010000001100011011110111100110 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +b10100000000111111100110110010100 / +b10100000000111111100110110010100 K +b10100000000111111100110110010100 e +b10100000000111111100110110010100 "" +b1100110101010101010010110010110 0 +b1100110101010101010010110010110 M +b1100110101010101010010110010110 f +b1100110101010101010010110010110 !" +0. +1% +#4355010 +b10010000001100011011110111100110 N" +#4355500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b1101110110101001101010011110001 2 +b1101110110101001101010011110001 I +b1101110110101001101010011110001 Z +b10001 3 +b10001 J +b10001 Y +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b1101110110101001101010011110001 , +b1101110110101001101010011110001 H +b10001 + +b10001 G +1. +0% +#4356000 +0_ +1] +0i +b1001110100100101110001000100 { +b1001110100100101110001000100 A" +1\ +0=" +0h +0g +1:" +1;" +1q +07" +b1110 3" +1<" +1` +0c +b1000 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1n +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010000110111011001101100110 0 +b1010000110111011001101100110 M +b1010000110111011001101100110 f +b1010000110111011001101100110 !" +b1101110110101001101010011110001 &" +b1101110110101001101010011110001 ?" +b10001 %" +b10001 *" +b10001 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4356010 +b1101110110101001101010011110001 T" +#4356500 +b10110 5 +b10110 L +b10110 W +b1011 7 +b1011 N +b1011 V +16 +b10010100001100110101101100101001 2 +b10010100001100110101101100101001 I +b10010100001100110101101100101001 Z +b1010 3 +b1010 J +b1010 Y +b10110 ' +b10110 D +b1011 & +b1011 C +1( +b10010100001100110101101100101001 , +b10010100001100110101101100101001 H +b1010 + +b1010 G +1. +0% +#4357000 +1_ +0] +0s +b10010000001100011011110111100110 | +b10010000001100011011110111100110 B" +0\ +1[ +1@" +1=" +1g +0r +0q +15" +17" +b10101 3" +0<" +0` +1c +b1001 0" +b10100000000111111100110110010100 { +b10100000000111111100110110010100 A" +b10100 -" +1y +1u +1b +0a +0m +1x +1w +1," +b10010100001100110101101100101001 &" +b10010100001100110101101100101001 ?" +b1010 %" +b1010 *" +b1010 1" +b10110 ~ +b10110 )" +b10110 ." +b1011 } +b1011 (" +b1011 +" +1#" +b1001110100100101110001000100 / +b1001110100100101110001000100 K +b1001110100100101110001000100 e +b1001110100100101110001000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4357010 +b10010100001100110101101100101001 M" +#4357500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4358000 +19" +1] +1k +1o +1s +1\ +0=" +0@" +1h +1;" +0:" +1r +1q +05" +07" +b11111 3" +0c +0_ +b10111 0" +08" +0l +0j +b110001011111000110001100001101 { +b110001011111000110001100001101 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0n +0x +0w +0," +b10100000000111111100110110010100 / +b10100000000111111100110110010100 K +b10100000000111111100110110010100 e +b10100000000111111100110110010100 "" +b10010000001100011011110111100110 0 +b10010000001100011011110111100110 M +b10010000001100011011110111100110 f +b10010000001100011011110111100110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4358500 +b11101 5 +b11101 L +b11101 W +b11 7 +b11 N +b11 V +16 +b11101 ' +b11101 D +b11 & +b11 C +1( +1. +0% +#4359000 +0k +0o +b11111000000000100010011010110101 | +b11111000000000100010011010110101 B" +0g +1:" +0r +0q +17" +b10 0" +18" +1l +1p +b11111110010011010100100111110100 { +b11111110010011010100100111110100 A" +b11100 -" +1u +1m +1x +1w +1," +b11101 ~ +b11101 )" +b11101 ." +b11 } +b11 (" +b11 +" +1#" +b110001011111000110001100001101 / +b110001011111000110001100001101 K +b110001011111000110001100001101 e +b110001011111000110001100001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4359500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4360000 +1i +1g +09" +0:" +0;" +1r +1q +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0w +0," +b11111110010011010100100111110100 / +b11111110010011010100100111110100 K +b11111110010011010100100111110100 e +b11111110010011010100100111110100 "" +b11111000000000100010011010110101 0 +b11111000000000100010011010110101 M +b11111000000000100010011010110101 f +b11111000000000100010011010110101 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4360500 +1. +0% +#4361000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4361500 +b1100 5 +b1100 L +b1100 W +14 +b111101011100000000001010111011 2 +b111101011100000000001010111011 I +b111101011100000000001010111011 Z +b1011 3 +b1011 J +b1011 Y +11 +b1100 ' +b1100 D +1) +b111101011100000000001010111011 , +b111101011100000000001010111011 H +b1011 + +b1011 G +1- +1. +0% +#4362000 +0] +0i +b10010010110111101000110110000 { +b10010010110111101000110110000 A" +0\ +0[ +1=" +1@" +19" +1:" +1;" +b10100 3" +1c +1_ +b10011 0" +0j +0o +1p +1k +1b +1a +12" +1/" +b111101011100000000001010111011 &" +b111101011100000000001010111011 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#4362010 +b111101011100000000001010111011 N" +#4362500 +b1001 5 +b1001 L +b1001 W +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4363000 +1] +1o +0s +b100011011111110000110011010110 | +b100011011111110000110011010110 B" +1\ +1[ +0=" +0@" +0g +19" +0:" +0q +15" +17" +b11111 3" +0c +0_ +b10110 0" +0p +b11011011100110110010110010001100 { +b11011011100110110010110010001100 A" +b110 -" +1y +0u +14" +1v +0b +0a +02" +1m +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b11001 } +b11001 (" +b11001 +" +1#" +b10010010110111101000110110000 / +b10010010110111101000110110000 K +b10010010110111101000110110000 e +b10010010110111101000110110000 "" +0. +1% +#4363500 +b1101 5 +b1101 L +b1101 W +b100 7 +b100 N +b100 V +b1101 ' +b1101 D +b100 & +b100 C +1. +0% +#4364000 +16" +0o +b111110100101011111001011001000 { +b111110100101011111001011001000 A" +1u +1t +1:" +1q +17" +05" +b10010 0" +1p +b11011 -" +04" +0v +0y +b11001000101010000101111010001111 | +b11001000101010000101111010001111 B" +0w +b11011011100110110010110010001100 / +b11011011100110110010110010001100 K +b11011011100110110010110010001100 e +b11011011100110110010110010001100 "" +b100011011111110000110011010110 0 +b100011011111110000110011010110 M +b100011011111110000110011010110 f +b100011011111110000110011010110 !" +b1101 ~ +b1101 )" +b1101 ." +b100 } +b100 (" +b100 +" +0. +1% +#4364500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b0 ' +b0 D +0) +b11110 & +b11110 C +1. +0% +#4365000 +1i +0u +0t +1g +09" +0:" +0;" +0r +15" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +14" +1v +1z +b11010010111000011100001000011110 | +b11010010111000011100001000011110 B" +0m +0/" +1x +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +b111110100101011111001011001000 / +b111110100101011111001011001000 K +b111110100101011111001011001000 e +b111110100101011111001011001000 "" +b11001000101010000101111010001111 0 +b11001000101010000101111010001111 M +b11001000101010000101111010001111 f +b11001000101010000101111010001111 !" +0. +1% +#4365500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4366000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010010111000011100001000011110 0 +b11010010111000011100001000011110 M +b11010010111000011100001000011110 f +b11010010111000011100001000011110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4366500 +b11011 5 +b11011 L +b11011 W +14 +b11001 7 +b11001 N +b11001 V +16 +b11011 ' +b11011 D +1) +b11001 & +b11001 C +1( +1. +0% +#4367000 +0i +b111011001100101111001101110001 { +b111011001100101111001101110001 A" +0s +b100011011111110000110011010110 | +b100011011111110000110011010110 B" +0h +0g +19" +1;" +0q +15" +17" +b100 0" +1o +0k +18" +1l +b110 -" +1y +0u +14" +1v +1n +1m +1/" +1w +1," +b11011 ~ +b11011 )" +b11011 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4367500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4368000 +1i +1s +1h +1g +09" +0;" +1q +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0w +0," +b111011001100101111001101110001 / +b111011001100101111001101110001 K +b111011001100101111001101110001 e +b111011001100101111001101110001 "" +b100011011111110000110011010110 0 +b100011011111110000110011010110 M +b100011011111110000110011010110 f +b100011011111110000110011010110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4368500 +b10000001111000011001010000111100 2 +b10000001111000011001010000111100 I +b10000001111000011001010000111100 Z +11 +b10000001111000011001010000111100 , +b10000001111000011001010000111100 H +1- +1. +0% +#4369000 +1@" +1_ +12" +b10000001111000011001010000111100 &" +b10000001111000011001010000111100 ?" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4369010 +b10000001111000011001010000111100 C" +#4369500 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +01 +b111 & +b111 C +1( +b0 , +b0 H +0- +1. +0% +#4370000 +0s +b11011011010111011001100100100001 | +b11011011010111011001100100100001 B" +0@" +0r +0q +16" +17" +0_ +b11000 -" +1t +1u +02" +1x +1w +1," +b0 &" +b0 ?" +0'" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#4370500 +b0 7 +b0 N +b0 V +06 +b100110100011011010010010010010 2 +b100110100011011010010010010010 I +b100110100011011010010010010010 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 & +b0 C +0( +b100110100011011010010010010010 , +b100110100011011010010010010010 H +b11101 + +b11101 G +1- +1. +0% +#4371000 +0] +1s +0[ +1=" +1>" +1@" +1r +1q +06" +07" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0w +0," +b100110100011011010010010010010 &" +b100110100011011010010010010010 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11011011010111011001100100100001 0 +b11011011010111011001100100100001 M +b11011011010111011001100100100001 f +b11011011010111011001100100100001 !" +0. +1% +#4371010 +b100110100011011010010010010010 `" +#4371500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4372000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4372500 +b1010 5 +b1010 L +b1010 W +14 +b11100110111010001011110111111101 2 +b11100110111010001011110111111101 I +b11100110111010001011110111111101 Z +b10110 3 +b10110 J +b10110 Y +11 +b1010 ' +b1010 D +1) +b11100110111010001011110111111101 , +b11100110111010001011110111111101 H +b10110 + +b10110 G +1- +1. +0% +#4373000 +0] +0i +b10010100001100110101101100101001 { +b10010100001100110101101100101001 A" +0\ +1>" +1@" +0h +19" +1;" +b1001 3" +1^ +0_ +1<" +1` +b10101 0" +1o +1k +1b +12" +1n +1/" +b11100110111010001011110111111101 &" +b11100110111010001011110111111101 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#4373010 +b11100110111010001011110111111101 Y" +#4373500 +b10011 5 +b10011 L +b10011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4374000 +1] +0k +1i +1\ +0>" +0@" +0g +09" +b11111 3" +0^ +0<" +0` +b1100 0" +18" +1l +0o +b111111011011001111111010000000 { +b111111011011001111111010000000 A" +0b +02" +1m +b10010100001100110101101100101001 / +b10010100001100110101101100101001 K +b10010100001100110101101100101001 e +b10010100001100110101101100101001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#4374500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#4375000 +0s +b110001011111000110001100001101 | +b110001011111000110001100001101 B" +1h +1g +0;" +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +1u +0n +0m +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b111111011011001111111010000000 / +b111111011011001111111010000000 K +b111111011011001111111010000000 e +b111111011011001111111010000000 "" +0. +1% +#4375500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +1. +0% +#4376000 +0i +b10011010001111000101100101001101 { +b10011010001111000101100101001101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1:" +1;" +05" +07" +b1011 0" +1j +0k +18" +1l +b11111 -" +0y +0u +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110001011111000110001100001101 0 +b110001011111000110001100001101 M +b110001011111000110001100001101 f +b110001011111000110001100001101 !" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4376500 +b100 5 +b100 L +b100 W +b10101011010001000100101001001101 2 +b10101011010001000100101001001101 I +b10101011010001000100101001001101 Z +b10101 3 +b10101 J +b10101 Y +11 +b100 ' +b100 D +b10101011010001000100101001001101 , +b10101011010001000100101001001101 H +b10101 + +b10101 G +1- +1. +0% +#4377000 +0] +1k +0[ +1>" +1@" +1;" +b11001000101010000101111010001111 { +b11001000101010000101111010001111 A" +b1010 3" +1^ +0_ +1<" +1` +b11011 0" +08" +0l +1a +12" +b10101011010001000100101001001101 &" +b10101011010001000100101001001101 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b100 ~ +b100 )" +b100 ." +b10011010001111000101100101001101 / +b10011010001111000101100101001101 K +b10011010001111000101100101001101 e +b10011010001111000101100101001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4377010 +b10101011010001000100101001001101 X" +#4377500 +b0 5 +b0 L +b0 W +04 +b111000111011010010101100110100 2 +b111000111011010010101100110100 I +b111000111011010010101100110100 Z +b10001 3 +b10001 J +b10001 Y +b0 ' +b0 D +0) +b111000111011010010101100110100 , +b111000111011010010101100110100 H +b10001 + +b10001 G +1. +0% +#4378000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0>" +0:" +0;" +b1110 3" +0^ +b11111 0" +0j +0k +0/" +b11001000101010000101111010001111 / +b11001000101010000101111010001111 K +b11001000101010000101111010001111 e +b11001000101010000101111010001111 "" +b111000111011010010101100110100 &" +b111000111011010010101100110100 ?" +b10001 %" +b10001 *" +b10001 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4378010 +b111000111011010010101100110100 T" +#4378500 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4379000 +b10000001111000011001010000111100 | +b10000001111000011001010000111100 B" +1[ +0@" +17" +b11111 3" +0<" +0` +1u +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4379500 +14 +06 +b11011100100010010001001001110111 2 +b11011100100010010001001001110111 I +b11011100100010010001001001110111 Z +b10100 3 +b10100 J +b10100 Y +11 +1) +0( +b11011100100010010001001001110111 , +b11011100100010010001001001110111 H +b10100 + +b10100 G +1- +1. +0% +#4380000 +0] +b10000001111000011001010000111100 { +b10000001111000011001010000111100 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1>" +1@" +1;" +07" +b1011 3" +1^ +0_ +1<" +1` +1k +0u +12" +1/" +0," +b10000001111000011001010000111100 0 +b10000001111000011001010000111100 M +b10000001111000011001010000111100 f +b10000001111000011001010000111100 !" +b11011100100010010001001001110111 &" +b11011100100010010001001001110111 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +1$" +0#" +0. +1% +#4380010 +b11011100100010010001001001110111 W" +#4380500 +04 +b10100110110110101010001001101000 2 +b10100110110110101010001001101000 I +b10100110110110101010001001101000 Z +b1 3 +b1 J +b1 Y +0) +b10100110110110101010001001101000 , +b10100110110110101010001001101000 H +b1 + +b1 G +1. +0% +#4381000 +1_ +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +1@" +0>" +0;" +b11110 3" +0<" +0` +0^ +0k +1a +0/" +b10100110110110101010001001101000 &" +b10100110110110101010001001101000 ?" +b1 %" +b1 *" +b1 1" +0$" +b10000001111000011001010000111100 / +b10000001111000011001010000111100 K +b10000001111000011001010000111100 e +b10000001111000011001010000111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4381010 +b10100110110110101010001001101000 D" +#4381500 +b101 5 +b101 L +b101 W +14 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4382000 +0i +b11101100011001011101000100000110 { +b11101100011001011101000100000110 A" +0s +b1001110100100101110001000100 | +b1001110100100101110001000100 B" +1[ +0@" +0g +1:" +1;" +0r +0q +16" +17" +b11111 3" +0_ +b11010 0" +1j +1k +b1000 -" +1t +0u +14" +1v +0a +02" +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#4382500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4383000 +1i +1s +1g +0:" +0;" +1r +1q +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101100011001011101000100000110 / +b11101100011001011101000100000110 K +b11101100011001011101000100000110 e +b11101100011001011101000100000110 "" +b1001110100100101110001000100 0 +b1001110100100101110001000100 M +b1001110100100101110001000100 f +b1001110100100101110001000100 !" +0. +1% +#4383500 +1. +0% +#4384000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4384500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#4385000 +0i +b100110100011011010010010010010 { +b100110100011011010010010010010 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#4385500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4386000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b100110100011011010010010010010 / +b100110100011011010010010010010 K +b100110100011011010010010010010 e +b100110100011011010010010010010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4386500 +b1110 7 +b1110 N +b1110 V +16 +b1001001110000011000100000100010 2 +b1001001110000011000100000100010 I +b1001001110000011000100000100010 Z +b10111 3 +b10111 J +b10111 Y +11 +b1110 & +b1110 C +1( +b1001001110000011000100000100010 , +b1001001110000011000100000100010 H +b10111 + +b10111 G +1- +1. +0% +#4387000 +0] +0s +b1011100110010110110011100011 | +b1011100110010110110011100011 B" +0\ +0[ +1>" +1@" +0r +15" +16" +17" +b1000 3" +1^ +0_ +1<" +1` +b10001 -" +0t +0y +1z +1u +1b +1a +12" +1x +1," +b1001001110000011000100000100010 &" +b1001001110000011000100000100010 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4387010 +b1001001110000011000100000100010 Z" +#4387500 +b1011 5 +b1011 L +b1011 W +14 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4388000 +1] +0i +b111101011100000000001010111011 { +b111101011100000000001010111011 A" +0u +1s +1\ +1[ +0>" +0@" +0h +0g +19" +1;" +0q +05" +06" +b11111 3" +0^ +0<" +0` +b10100 0" +1o +1k +b1100 -" +14" +1v +0z +b111111011011001111111010000000 | +b111111011011001111111010000000 B" +0b +0a +02" +1n +1m +1/" +1w +b1011100110010110110011100011 0 +b1011100110010110110011100011 M +b1011100110010110110011100011 f +b1011100110010110110011100011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b10011 } +b10011 (" +b10011 +" +0. +1% +#4388500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b11110000101011011010000111111111 2 +b11110000101011011010000111111111 I +b11110000101011011010000111111111 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +b11110000101011011010000111111111 , +b11110000101011011010000111111111 H +b11001 + +b11001 G +1- +1. +0% +#4389000 +0] +1i +0[ +1=" +1@" +1h +1g +09" +0;" +1r +b110 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +b111000111011010010101100110100 | +b111000111011010010101100110100 B" +1a +12" +0n +0m +0/" +0x +b11110000101011011010000111111111 &" +b11110000101011011010000111111111 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +b111101011100000000001010111011 / +b111101011100000000001010111011 K +b111101011100000000001010111011 e +b111101011100000000001010111011 "" +b111111011011001111111010000000 0 +b111111011011001111111010000000 M +b111111011011001111111010000000 f +b111111011011001111111010000000 !" +0. +1% +#4389010 +b11110000101011011010000111111111 \" +#4389500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b10000011001011000110000011110011 2 +b10000011001011000110000011110011 I +b10000011001011000110000011110011 Z +b11011 3 +b11011 J +b11011 Y +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b10000011001011000110000011110011 , +b10000011001011000110000011110011 H +b11011 + +b11011 G +1. +0% +#4390000 +0i +b10000110000011011111011100 { +b10000110000011011111011100 A" +0\ +0h +0g +19" +1:" +1;" +1q +07" +b100 3" +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1n +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111000111011010010101100110100 0 +b111000111011010010101100110100 M +b111000111011010010101100110100 f +b111000111011010010101100110100 !" +b10000011001011000110000011110011 &" +b10000011001011000110000011110011 ?" +b11011 %" +b11011 *" +b11011 1" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4390010 +b10000011001011000110000011110011 ^" +#4390500 +b10 5 +b10 L +b10 W +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4391000 +1] +1k +1i +0s +b10000110000011011111011100 | +b10000110000011011111011100 B" +1\ +1[ +0=" +0@" +1g +1;" +09" +0:" +0r +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b11101 0" +08" +0l +0p +b1100100010000010001011110101010 { +b1100100010000010001011110101010 A" +b0 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +0m +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b11111 } +b11111 (" +b11111 +" +1#" +b10000110000011011111011100 / +b10000110000011011111011100 K +b10000110000011011111011100 e +b10000110000011011111011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4391500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11101111111110001011100101010101 2 +b11101111111110001011100101010101 I +b11101111111110001011100101010101 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11101111111110001011100101010101 , +b11101111111110001011100101010101 H +b10001 + +b10001 G +1- +1. +0% +#4392000 +1s +0[ +1@" +1h +0;" +1r +1q +05" +06" +07" +b1110 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0n +0/" +0x +0w +0," +b1100100010000010001011110101010 / +b1100100010000010001011110101010 K +b1100100010000010001011110101010 e +b1100100010000010001011110101010 "" +b10000110000011011111011100 0 +b10000110000011011111011100 M +b10000110000011011111011100 f +b10000110000011011111011100 !" +b11101111111110001011100101010101 &" +b11101111111110001011100101010101 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4392010 +b11101111111110001011100101010101 T" +#4392500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4393000 +1[ +0@" +b11111 3" +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4393500 +b10000 5 +b10000 L +b10000 W +14 +b10000 ' +b10000 D +1) +1. +0% +#4394000 +b1101100101000110011010011101001 { +b1101100101000110011010011101001 A" +1;" +b1111 0" +0k +18" +1l +1/" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#4394500 +b1101 5 +b1101 L +b1101 W +b101001001101110111111000010110 2 +b101001001101110111111000010110 I +b101001001101110111111000010110 Z +b100 3 +b100 J +b100 Y +11 +b1101 ' +b1101 D +b101001001101110111111000010110 , +b101001001101110111111000010110 H +b100 + +b100 G +1- +1. +0% +#4395000 +0] +1k +0i +1>" +1@" +0g +1;" +19" +1:" +b11011 3" +1^ +1_ +b10010 0" +08" +0l +0j +0o +1p +b111110100101011111001011001000 { +b111110100101011111001011001000 A" +12" +1m +b101001001101110111111000010110 &" +b101001001101110111111000010110 ?" +b100 %" +b100 *" +b100 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +b1101100101000110011010011101001 / +b1101100101000110011010011101001 K +b1101100101000110011010011101001 e +b1101100101000110011010011101001 "" +0. +1% +#4395010 +b101001001101110111111000010110 G" +#4395500 +b0 5 +b0 L +b0 W +04 +b100101010110010111011000010101 2 +b100101010110010111011000010101 I +b100101010110010111011000010101 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b100101010110010111011000010101 , +b100101010110010111011000010101 H +b0 + +b0 G +1. +0% +#4396000 +1] +1i +0>" +1g +09" +0:" +0;" +b11111 3" +0^ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b111110100101011111001011001000 / +b111110100101011111001011001000 K +b111110100101011111001011001000 e +b111110100101011111001011001000 "" +b100101010110010111011000010101 &" +b100101010110010111011000010101 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4396010 +b100101010110010111011000010101 C" +#4396500 +b10 5 +b10 L +b10 W +14 +b0 2 +b0 I +b0 Z +01 +b10 ' +b10 D +1) +b0 , +b0 H +0- +1. +0% +#4397000 +b1100100010000010001011110101010 { +b1100100010000010001011110101010 A" +0@" +0h +1;" +0_ +b11101 0" +1k +02" +1n +1/" +b0 &" +b0 ?" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4397500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#4398000 +0s +b110001011111000110001100001101 | +b110001011111000110001100001101 B" +1h +0;" +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +0n +0/" +1," +b1100100010000010001011110101010 / +b1100100010000010001011110101010 K +b1100100010000010001011110101010 e +b1100100010000010001011110101010 "" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#4398500 +b0 7 +b0 N +b0 V +06 +b10101101001001100100011111000101 2 +b10101101001001100100011111000101 I +b10101101001001100100011111000101 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 & +b0 C +0( +b10101101001001100100011111000101 , +b10101101001001100100011111000101 H +b11101 + +b11101 G +1- +1. +0% +#4399000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1=" +1>" +1@" +05" +07" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0y +0u +1a +12" +0," +b10101101001001100100011111000101 &" +b10101101001001100100011111000101 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110001011111000110001100001101 0 +b110001011111000110001100001101 M +b110001011111000110001100001101 f +b110001011111000110001100001101 !" +0. +1% +#4399010 +b10101101001001100100011111000101 `" +#4399500 +b11011 5 +b11011 L +b11011 W +14 +b10011100111110111100001101100110 2 +b10011100111110111100001101100110 I +b10011100111110111100001101100110 Z +b10 3 +b10 J +b10 Y +b11011 ' +b11011 D +1) +b10011100111110111100001101100110 , +b10011100111110111100001101100110 H +b10 + +b10 G +1. +0% +#4400000 +1_ +1] +0i +b10000011001011000110000011110011 { +b10000011001011000110000011110011 A" +0\ +1[ +1@" +0=" +0>" +0h +0g +19" +1;" +b11101 3" +0<" +0` +0d +b100 0" +1o +0k +18" +1l +1b +0a +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011100111110111100001101100110 &" +b10011100111110111100001101100110 ?" +b10 %" +b10 *" +b10 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#4400010 +b10011100111110111100001101100110 E" +#4400500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4401000 +1i +1\ +0@" +1h +1g +09" +0;" +b11111 3" +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10000011001011000110000011110011 / +b10000011001011000110000011110011 K +b10000011001011000110000011110011 e +b10000011001011000110000011110011 "" +0. +1% +#4401500 +b11110 5 +b11110 L +b11110 W +14 +b1010011100110111011110001111 2 +b1010011100110111011110001111 I +b1010011100110111011110001111 Z +11 +b11110 ' +b11110 D +1) +b1010011100110111011110001111 , +b1010011100110111011110001111 H +1- +1. +0% +#4402000 +0i +b11010010111000011100001000011110 { +b11010010111000011100001000011110 A" +1@" +0h +19" +1:" +1;" +1_ +b1 0" +0j +0o +1p +0k +18" +1l +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010011100110111011110001111 &" +b1010011100110111011110001111 ?" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#4402010 +b1010011100110111011110001111 C" +#4402500 +b11111 5 +b11111 L +b11111 W +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +01 +b11111 ' +b11111 D +b1111 & +b1111 C +1( +b0 , +b0 H +0- +1. +0% +#4403000 +0s +b1101101010111101001011011100110 | +b1101101010111101001011011100110 B" +0@" +0g +0r +0q +15" +16" +17" +0_ +b0 0" +b10000110000011011111011100 { +b10000110000011011111011100 A" +b10000 -" +0t +0y +1z +1u +02" +1m +1x +1w +1," +b0 &" +b0 ?" +0'" +b11111 ~ +b11111 )" +b11111 ." +b1111 } +b1111 (" +b1111 +" +1#" +b11010010111000011100001000011110 / +b11010010111000011100001000011110 K +b11010010111000011100001000011110 e +b11010010111000011100001000011110 "" +0. +1% +#4403500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4404000 +1i +1s +1h +1g +09" +0:" +0;" +1r +1q +05" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0w +0," +b10000110000011011111011100 / +b10000110000011011111011100 K +b10000110000011011111011100 e +b10000110000011011111011100 "" +b1101101010111101001011011100110 0 +b1101101010111101001011011100110 M +b1101101010111101001011011100110 f +b1101101010111101001011011100110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4404500 +b100 7 +b100 N +b100 V +16 +b100 & +b100 C +1( +1. +0% +#4405000 +0s +b101001001101110111111000010110 | +b101001001101110111111000010110 B" +16" +17" +b11011 -" +1t +1u +1," +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4405500 +b11011 7 +b11011 N +b11011 V +b10111000001001110000100001101011 2 +b10111000001001110000100001101011 I +b10111000001001110000100001101011 Z +b11100 3 +b11100 J +b11100 Y +11 +b11011 & +b11011 C +b10111000001001110000100001101011 , +b10111000001001110000100001101011 H +b11100 + +b11100 G +1- +1. +0% +#4406000 +15" +0] +0u +1y +1=" +1>" +1@" +0r +0q +06" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b100 -" +14" +1v +0t +b10000011001011000110000011110011 | +b10000011001011000110000011110011 B" +12" +1x +1w +b101001001101110111111000010110 0 +b101001001101110111111000010110 M +b101001001101110111111000010110 f +b101001001101110111111000010110 !" +b10111000001001110000100001101011 &" +b10111000001001110000100001101011 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11011 } +b11011 (" +b11011 +" +0. +1% +#4406010 +b10111000001001110000100001101011 _" +#4406500 +b11011 5 +b11011 L +b11011 W +14 +b1101 7 +b1101 N +b1101 V +b1011110111110100011100110100111 2 +b1011110111110100011100110100111 I +b1011110111110100011100110100111 Z +b10110 3 +b10110 J +b10110 Y +b11011 ' +b11011 D +1) +b1101 & +b1101 C +b1011110111110100011100110100111 , +b1011110111110100011100110100111 H +b10110 + +b10110 G +1. +0% +#4407000 +1^ +0i +b10000011001011000110000011110011 { +b10000011001011000110000011110011 A" +1u +0y +0\ +0=" +1>" +0h +0g +19" +1;" +1r +17" +16" +b1001 3" +0d +b100 0" +1o +0k +18" +1l +b10010 -" +04" +0v +1z +b111110100101011111001011001000 | +b111110100101011111001011001000 B" +1b +1n +1m +1/" +0x +b1011110111110100011100110100111 &" +b1011110111110100011100110100111 ?" +b10110 %" +b10110 *" +b10110 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +b1101 } +b1101 (" +b1101 +" +b10000011001011000110000011110011 0 +b10000011001011000110000011110011 M +b10000011001011000110000011110011 f +b10000011001011000110000011110011 !" +0. +1% +#4407010 +b1011110111110100011100110100111 Y" +#4407500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4408000 +1] +1k +1s +1\ +0>" +0@" +1h +1g +1;" +1q +05" +06" +07" +b11111 3" +0^ +0<" +0` +b10111 0" +08" +0l +b110001011111000110001100001101 { +b110001011111000110001100001101 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0n +0m +0w +0," +b10000011001011000110000011110011 / +b10000011001011000110000011110011 K +b10000011001011000110000011110011 e +b10000011001011000110000011110011 "" +b111110100101011111001011001000 0 +b111110100101011111001011001000 M +b111110100101011111001011001000 f +b111110100101011111001011001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4408500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b111010110100110110001111100110 2 +b111010110100110110001111100110 I +b111010110100110110001111100110 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b111010110100110110001111100110 , +b111010110100110110001111100110 H +b110 + +b110 G +1- +1. +0% +#4409000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b101001001101110111111000010110 | +b101001001101110111111000010110 B" +0\ +1>" +1@" +09" +0;" +16" +17" +b11001 3" +1^ +1_ +b11111 0" +0o +0k +b11011 -" +1t +1u +1b +12" +0/" +1," +b111010110100110110001111100110 &" +b111010110100110110001111100110 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +b110001011111000110001100001101 / +b110001011111000110001100001101 K +b110001011111000110001100001101 e +b110001011111000110001100001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4409010 +b111010110100110110001111100110 I" +#4409500 +b0 7 +b0 N +b0 V +06 +b10011100111111111000100101100010 2 +b10011100111111111000100101100010 I +b10011100111111111000100101100010 Z +b11011 3 +b11011 J +b11011 Y +b0 & +b0 C +0( +b10011100111111111000100101100010 , +b10011100111111111000100101100010 H +b11011 + +b11011 G +1. +0% +#4410000 +1=" +0_ +1c +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +0>" +06" +07" +b100 3" +1<" +1` +0^ +b11111 -" +0t +0u +1a +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101001001101110111111000010110 0 +b101001001101110111111000010110 M +b101001001101110111111000010110 f +b101001001101110111111000010110 !" +b10011100111111111000100101100010 &" +b10011100111111111000100101100010 ?" +b11011 %" +b11011 *" +b11011 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4410010 +b10011100111111111000100101100010 ^" +#4410500 +b101 5 +b101 L +b101 W +14 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4411000 +1] +0i +b11101100011001011101000100000110 { +b11101100011001011101000100000110 A" +0s +b11001000100010011011000011010010 | +b11001000100010011011000011010010 B" +1\ +1[ +0=" +0@" +0g +1:" +1;" +0r +15" +17" +b11111 3" +0c +0<" +0` +b11010 0" +1j +1k +b101 -" +1y +0u +14" +1v +0b +0a +02" +1m +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4411500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b111101010101001101101010001100 2 +b111101010101001101101010001100 I +b111101010101001101101010001100 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b111101010101001101101010001100 , +b111101010101001101101010001100 H +b1110 + +b1110 G +1- +1. +0% +#4412000 +0] +1i +1s +0\ +1=" +1>" +1@" +1g +0:" +0;" +1r +05" +07" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0m +0/" +0x +0," +b11101100011001011101000100000110 / +b11101100011001011101000100000110 K +b11101100011001011101000100000110 e +b11101100011001011101000100000110 "" +b11001000100010011011000011010010 0 +b11001000100010011011000011010010 M +b11001000100010011011000011010010 f +b11001000100010011011000011010010 !" +b111101010101001101101010001100 &" +b111101010101001101101010001100 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4412010 +b111101010101001101101010001100 Q" +#4412500 +b1010001100110101111001111010101 2 +b1010001100110101111001111010101 I +b1010001100110101111001111010101 Z +b11011 3 +b11011 J +b11011 Y +b1010001100110101111001111010101 , +b1010001100110101111001111010101 H +b11011 + +b11011 G +1. +0% +#4413000 +0_ +1c +0[ +1=" +0>" +b100 3" +1<" +1` +0d +1a +b1010001100110101111001111010101 &" +b1010001100110101111001111010101 ?" +b11011 %" +b11011 *" +b11011 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4413010 +b1010001100110101111001111010101 ^" +#4413500 +b10111 5 +b10111 L +b10111 W +14 +b10011 7 +b10011 N +b10011 V +16 +b11110011001010001000001000010010 2 +b11110011001010001000001000010010 I +b11110011001010001000001000010010 Z +b1010 3 +b1010 J +b1010 Y +b10111 ' +b10111 D +1) +b10011 & +b10011 C +1( +b11110011001010001000001000010010 , +b11110011001010001000001000010010 H +b1010 + +b1010 G +1. +0% +#4414000 +1_ +0i +b1001001110000011000100000100010 { +b1001001110000011000100000100010 A" +b111111011011001111111010000000 | +b111111011011001111111010000000 B" +1[ +1@" +0h +0g +1:" +1;" +0r +0q +17" +b10101 3" +0<" +0` +b1000 0" +1j +0k +18" +1l +b1100 -" +0u +14" +1v +0a +1n +1m +1/" +1x +1w +1," +b11110011001010001000001000010010 &" +b11110011001010001000001000010010 ?" +b1010 %" +b1010 *" +b1010 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#4414010 +b11110011001010001000001000010010 M" +#4414500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4415000 +1] +1i +1u +0s +1\ +0=" +0@" +1h +1g +0:" +0;" +17" +b11011011010111011001100100100001 | +b11011011010111011001100100100001 B" +16" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +04" +0v +1t +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +b1001001110000011000100000100010 / +b1001001110000011000100000100010 K +b1001001110000011000100000100010 e +b1001001110000011000100000100010 "" +b111111011011001111111010000000 0 +b111111011011001111111010000000 M +b111111011011001111111010000000 f +b111111011011001111111010000000 !" +0. +1% +#4415500 +b11 7 +b11 N +b11 V +b1001110111000111000001100 2 +b1001110111000111000001100 I +b1001110111000111000001100 Z +b10010 3 +b10010 J +b10010 Y +11 +b11 & +b11 C +b1001110111000111000001100 , +b1001110111000111000001100 H +b10010 + +b10010 G +1- +1. +0% +#4416000 +1s +b11111000000000100010011010110101 | +b11111000000000100010011010110101 B" +0\ +1@" +06" +b1101 3" +0_ +1<" +1` +b11100 -" +0t +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011011010111011001100100100001 0 +b11011011010111011001100100100001 M +b11011011010111011001100100100001 f +b11011011010111011001100100100001 !" +b1001110111000111000001100 &" +b1001110111000111000001100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11 } +b11 (" +b11 +" +0. +1% +#4416010 +b1001110111000111000001100 U" +#4416500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b10110111100000110100101110110110 2 +b10110111100000110100101110110110 I +b10110111100000110100101110110110 Z +b11110 3 +b11110 J +b11110 Y +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +b10110111100000110100101110110110 , +b10110111100000110100101110110110 H +b11110 + +b11110 G +1. +0% +#4417000 +0] +0i +b111101010101001101101010001100 { +b111101010101001101101010001100 A" +1=" +1>" +0h +19" +1:" +1;" +1r +1q +07" +b1 3" +0^ +0c +1d +b10001 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0w +0," +b10110111100000110100101110110110 &" +b10110111100000110100101110110110 ?" +b11110 %" +b11110 *" +b11110 1" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11111000000000100010011010110101 0 +b11111000000000100010011010110101 M +b11111000000000100010011010110101 f +b11111000000000100010011010110101 !" +0. +1% +#4417010 +b10110111100000110100101110110110 a" +#4417500 +b0 5 +b0 L +b0 W +04 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4418000 +1] +1i +b1010011100110111011110001111 | +b1010011100110111011110001111 B" +1\ +0=" +0>" +0@" +1h +09" +0:" +0;" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1u +0b +02" +0n +0/" +1," +b111101010101001101101010001100 / +b111101010101001101101010001100 K +b111101010101001101101010001100 e +b111101010101001101101010001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +0. +1% +#4418500 +b11000 5 +b11000 L +b11000 W +14 +06 +b11000 ' +b11000 D +1) +0( +1. +0% +#4419000 +0i +b11010111001111000011001000011111 { +b11010111001111000011001000011111 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +19" +1;" +07" +b111 0" +1o +0k +18" +1l +0u +1/" +0," +b11000 ~ +b11000 )" +b11000 ." +1$" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010011100110111011110001111 0 +b1010011100110111011110001111 M +b1010011100110111011110001111 f +b1010011100110111011110001111 !" +0. +1% +#4419500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b0 ' +b0 D +0) +b1 & +b1 C +1( +1. +0% +#4420000 +1i +b10100110110110101010001001101000 | +b10100110110110101010001001101000 B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +17" +b11111 0" +0o +08" +0l +b11110 -" +1u +0/" +1w +1," +b11010111001111000011001000011111 / +b11010111001111000011001000011111 K +b11010111001111000011001000011111 e +b11010111001111000011001000011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#4420500 +b11001 7 +b11001 N +b11001 V +b1000011111100100101101111000000 2 +b1000011111100100101101111000000 I +b1000011111100100101101111000000 Z +b11 3 +b11 J +b11 Y +11 +b11001 & +b11001 C +b1000011111100100101101111000000 , +b1000011111100100101101111000000 H +b11 + +b11 G +1- +1. +0% +#4421000 +0u +0s +0\ +0[ +1@" +b11110000101011011010000111111111 | +b11110000101011011010000111111111 B" +15" +b11100 3" +1_ +b110 -" +14" +1v +1y +1b +1a +12" +b1000011111100100101101111000000 &" +b1000011111100100101101111000000 ?" +b11 %" +b11 *" +b11 1" +1'" +b11001 } +b11001 (" +b11001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100110110110101010001001101000 0 +b10100110110110101010001001101000 M +b10100110110110101010001001101000 f +b10100110110110101010001001101000 !" +0. +1% +#4421010 +b1000011111100100101101111000000 F" +#4421500 +b10011 5 +b10011 L +b10011 W +14 +b100 7 +b100 N +b100 V +b11111111110001001110100010100111 2 +b11111111110001001110100010100111 I +b11111111110001001110100010100111 Z +b11001 3 +b11001 J +b11001 Y +b10011 ' +b10011 D +1) +b100 & +b100 C +b11111111110001001110100010100111 , +b11111111110001001110100010100111 H +b11001 + +b11001 G +1. +0% +#4422000 +16" +0_ +0] +b111111011011001111111010000000 { +b111111011011001111111010000000 A" +1u +1t +1\ +1=" +0h +0g +1;" +1q +17" +05" +b110 3" +1<" +1` +1c +b1100 0" +0k +18" +1l +b11011 -" +04" +0v +0y +b101001001101110111111000010110 | +b101001001101110111111000010110 B" +0b +1n +1m +1/" +0w +b11110000101011011010000111111111 0 +b11110000101011011010000111111111 M +b11110000101011011010000111111111 f +b11110000101011011010000111111111 !" +b11111111110001001110100010100111 &" +b11111111110001001110100010100111 ?" +b11001 %" +b11001 *" +b11001 1" +b10011 ~ +b10011 )" +b10011 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#4422010 +b11111111110001001110100010100111 \" +#4422500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4423000 +1] +0u +1[ +0=" +0@" +1h +1g +0;" +0r +0q +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +14" +1v +b1001001110000011000100000100010 | +b1001001110000011000100000100010 B" +0a +02" +0n +0m +0/" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +b111111011011001111111010000000 / +b111111011011001111111010000000 K +b111111011011001111111010000000 e +b111111011011001111111010000000 "" +b101001001101110111111000010110 0 +b101001001101110111111000010110 M +b101001001101110111111000010110 f +b101001001101110111111000010110 !" +0. +1% +#4423500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +1. +0% +#4424000 +0i +b10101011010001000100101001001101 { +b10101011010001000100101001001101 A" +1s +0g +1:" +1;" +1r +1q +06" +07" +b1010 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001001110000011000100000100010 0 +b1001001110000011000100000100010 M +b1001001110000011000100000100010 f +b1001001110000011000100000100010 !" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4424500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4425000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10101011010001000100101001001101 / +b10101011010001000100101001001101 K +b10101011010001000100101001001101 e +b10101011010001000100101001001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4425500 +b1101101100000000001010000000100 2 +b1101101100000000001010000000100 I +b1101101100000000001010000000100 Z +b1000 3 +b1000 J +b1000 Y +11 +b1101101100000000001010000000100 , +b1101101100000000001010000000100 H +b1000 + +b1000 G +1- +1. +0% +#4426000 +0] +1=" +1@" +b10111 3" +1c +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101101100000000001010000000100 &" +b1101101100000000001010000000100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +0. +1% +#4426010 +b1101101100000000001010000000100 K" +#4426500 +b101 5 +b101 L +b101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4427000 +1] +0i +b11101100011001011101000100000110 { +b11101100011001011101000100000110 A" +0=" +0@" +0g +1:" +1;" +b11111 3" +0c +0_ +b11010 0" +1j +1k +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#4427500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +1. +0% +#4428000 +1i +0s +b1001001110000011000100000100010 | +b1001001110000011000100000100010 B" +1g +0:" +0;" +0r +0q +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1000 -" +1t +0u +14" +1v +0m +0/" +1x +1w +1," +b11101100011001011101000100000110 / +b11101100011001011101000100000110 K +b11101100011001011101000100000110 e +b11101100011001011101000100000110 "" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#4428500 +b11001 7 +b11001 N +b11001 V +b11110011001111011011011111100010 2 +b11110011001111011011011111100010 I +b11110011001111011011011111100010 Z +b11011 3 +b11011 J +b11011 Y +11 +b11001 & +b11001 C +b11110011001111011011011111100010 , +b11110011001111011011011111100010 H +b11011 + +b11011 G +1- +1. +0% +#4429000 +15" +0] +1y +0\ +0[ +1=" +1@" +1r +06" +b100 3" +1c +0_ +1<" +1` +b110 -" +0t +b11111111110001001110100010100111 | +b11111111110001001110100010100111 B" +1b +1a +12" +0x +b11110011001111011011011111100010 &" +b11110011001111011011011111100010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b11001 } +b11001 (" +b11001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001001110000011000100000100010 0 +b1001001110000011000100000100010 M +b1001001110000011000100000100010 f +b1001001110000011000100000100010 !" +0. +1% +#4429010 +b11110011001111011011011111100010 ^" +#4429500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4430000 +1] +b1000011111100100101101111000000 { +b1000011111100100101101111000000 A" +1s +1\ +1[ +0=" +0@" +0h +0g +1;" +1q +05" +07" +b11111 3" +0c +0<" +0` +b11100 0" +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1n +1m +1/" +0w +0," +b11111111110001001110100010100111 0 +b11111111110001001110100010100111 M +b11111111110001001110100010100111 f +b11111111110001001110100010100111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4430500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4431000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1000011111100100101101111000000 / +b1000011111100100101101111000000 K +b1000011111100100101101111000000 e +b1000011111100100101101111000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4431500 +1. +0% +#4432000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4432500 +b1011111101010010110011111001 2 +b1011111101010010110011111001 I +b1011111101010010110011111001 Z +b1011 3 +b1011 J +b1011 Y +11 +b1011111101010010110011111001 , +b1011111101010010110011111001 H +b1011 + +b1011 G +1- +1. +0% +#4433000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b1011111101010010110011111001 &" +b1011111101010010110011111001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +0. +1% +#4433010 +b1011111101010010110011111001 N" +#4433500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4434000 +1] +b10011100111110111100001101100110 | +b10011100111110111100001101100110 B" +1\ +1[ +0=" +0@" +0r +17" +b11111 3" +0c +0_ +b11101 -" +1u +0b +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#4434500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b1110101010001111000100011100011 2 +b1110101010001111000100011100011 I +b1110101010001111000100011100011 Z +b1100 3 +b1100 J +b1100 Y +11 +b11 ' +b11 D +1) +b0 & +b0 C +0( +b1110101010001111000100011100011 , +b1110101010001111000100011100011 H +b1100 + +b1100 G +1- +1. +0% +#4435000 +0] +b1000011111100100101101111000000 { +b1000011111100100101101111000000 A" +1=" +1>" +1@" +0h +0g +1;" +1r +07" +b10011 3" +0^ +0c +1d +1_ +b11100 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +1m +1/" +0x +0," +b1110101010001111000100011100011 &" +b1110101010001111000100011100011 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10011100111110111100001101100110 0 +b10011100111110111100001101100110 M +b10011100111110111100001101100110 f +b10011100111110111100001101100110 !" +0. +1% +#4435010 +b1110101010001111000100011100011 O" +#4435500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4436000 +1] +0=" +0>" +0@" +1h +1g +0;" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0m +0/" +b1000011111100100101101111000000 / +b1000011111100100101101111000000 K +b1000011111100100101101111000000 e +b1000011111100100101101111000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4436500 +b11011011110001000011111000010001 2 +b11011011110001000011111000010001 I +b11011011110001000011111000010001 Z +b11111 3 +b11111 J +b11111 Y +11 +b11011011110001000011111000010001 , +b11011011110001000011111000010001 H +b11111 + +b11111 G +1- +1. +0% +#4437000 +0] +0\ +0[ +1=" +1>" +1@" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1b +1a +12" +b11011011110001000011111000010001 &" +b11011011110001000011111000010001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4437500 +b10011 5 +b10011 L +b10011 W +14 +b101000100000101110010011110111 2 +b101000100000101110010011110111 I +b101000100000101110010011110111 Z +b1001 3 +b1001 J +b1001 Y +b10011 ' +b10011 D +1) +b101000100000101110010011110111 , +b101000100000101110010011110111 H +b1001 + +b1001 G +1. +0% +#4438000 +1_ +1c +b111111011011001111111010000000 { +b111111011011001111111010000000 A" +1\ +1@" +1=" +0>" +0h +0g +1;" +b10110 3" +0<" +0` +0d +b1100 0" +0k +18" +1l +0b +1n +1m +1/" +b101000100000101110010011110111 &" +b101000100000101110010011110111 ?" +b1001 %" +b1001 *" +b1001 1" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#4438010 +b101000100000101110010011110111 L" +#4438500 +b10111 5 +b10111 L +b10111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4439000 +1] +0i +b1001001110000011000100000100010 { +b1001001110000011000100000100010 A" +1[ +0=" +0@" +1:" +b11111 3" +0c +0_ +b1000 0" +1j +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +b111111011011001111111010000000 / +b111111011011001111111010000000 K +b111111011011001111111010000000 e +b111111011011001111111010000000 "" +0. +1% +#4439500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 ' +b0 D +0) +b10 & +b10 C +1( +1. +0% +#4440000 +1i +b10011100111110111100001101100110 | +b10011100111110111100001101100110 B" +1h +1g +0:" +0;" +0r +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +0n +0m +0/" +1x +1," +b1001001110000011000100000100010 / +b1001001110000011000100000100010 K +b1001001110000011000100000100010 e +b1001001110000011000100000100010 "" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#4440500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4441000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011100111110111100001101100110 0 +b10011100111110111100001101100110 M +b10011100111110111100001101100110 f +b10011100111110111100001101100110 !" +0. +1% +#4441500 +1. +0% +#4442000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4442500 +b10111 7 +b10111 N +b10111 V +16 +b1111110100000101111000110101 2 +b1111110100000101111000110101 I +b1111110100000101111000110101 Z +b101 3 +b101 J +b101 Y +11 +b10111 & +b10111 C +1( +b1111110100000101111000110101 , +b1111110100000101111000110101 H +b101 + +b101 G +1- +1. +0% +#4443000 +0] +0s +b1001001110000011000100000100010 | +b1001001110000011000100000100010 B" +0[ +1>" +1@" +0r +0q +16" +17" +b11010 3" +1^ +1_ +b1000 -" +1t +0u +14" +1v +1a +12" +1x +1w +1," +b1111110100000101111000110101 &" +b1111110100000101111000110101 ?" +b101 %" +b101 *" +b101 1" +1'" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#4443010 +b1111110100000101111000110101 H" +#4443500 +b1010 5 +b1010 L +b1010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4444000 +1] +0i +b11110011001010001000001000010010 { +b11110011001010001000001000010010 A" +1s +1[ +0>" +0@" +0h +19" +1;" +1r +1q +06" +07" +b11111 3" +0^ +0_ +b10101 0" +1o +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +1/" +0x +0w +0," +b1001001110000011000100000100010 0 +b1001001110000011000100000100010 M +b1001001110000011000100000100010 f +b1001001110000011000100000100010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4444500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b11001110010110100100011101101111 2 +b11001110010110100100011101101111 I +b11001110010110100100011101101111 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b11001110010110100100011101101111 , +b11001110010110100100011101101111 H +b10110 + +b10110 G +1- +1. +0% +#4445000 +0] +1i +0s +b101000100000101110010011110111 | +b101000100000101110010011110111 B" +0\ +1>" +1@" +1h +09" +0;" +0q +15" +17" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +1y +1u +1b +12" +0n +0/" +1w +1," +b11001110010110100100011101101111 &" +b11001110010110100100011101101111 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b11110011001010001000001000010010 / +b11110011001010001000001000010010 K +b11110011001010001000001000010010 e +b11110011001010001000001000010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4445010 +b11001110010110100100011101101111 Y" +#4445500 +b0 7 +b0 N +b0 V +06 +b10000001110000100000001001011100 2 +b10000001110000100000001001011100 I +b10000001110000100000001001011100 Z +b1001 3 +b1001 J +b1001 Y +b0 & +b0 C +0( +b10000001110000100000001001011100 , +b10000001110000100000001001011100 H +b1001 + +b1001 G +1. +0% +#4446000 +1=" +1_ +1c +1s +1\ +0[ +1@" +0>" +1q +05" +07" +b10110 3" +0<" +0` +0^ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1a +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101000100000101110010011110111 0 +b101000100000101110010011110111 M +b101000100000101110010011110111 f +b101000100000101110010011110111 !" +b10000001110000100000001001011100 &" +b10000001110000100000001001011100 ?" +b1001 %" +b1001 *" +b1001 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4446010 +b10000001110000100000001001011100 L" +#4446500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4447000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4447500 +b1100 5 +b1100 L +b1100 W +14 +b11001 7 +b11001 N +b11001 V +16 +b1100 ' +b1100 D +1) +b11001 & +b11001 C +1( +1. +0% +#4448000 +0i +b1110101010001111000100011100011 { +b1110101010001111000100011100011 A" +0s +b11111111110001001110100010100111 | +b11111111110001001110100010100111 B" +19" +1:" +1;" +0q +15" +17" +b10011 0" +0j +0o +1p +1k +b110 -" +1y +0u +14" +1v +1/" +1w +1," +b1100 ~ +b1100 )" +b1100 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#4448500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4449000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1110101010001111000100011100011 / +b1110101010001111000100011100011 K +b1110101010001111000100011100011 e +b1110101010001111000100011100011 "" +b11111111110001001110100010100111 0 +b11111111110001001110100010100111 M +b11111111110001001110100010100111 f +b11111111110001001110100010100111 !" +0. +1% +#4449500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b11111110100111011011000000010000 2 +b11111110100111011011000000010000 I +b11111110100111011011000000010000 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +b11111110100111011011000000010000 , +b11111110100111011011000000010000 H +b10110 + +b10110 G +1- +1. +0% +#4450000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b1001110111000111000001100 | +b1001110111000111000001100 B" +0\ +1>" +1@" +09" +0:" +0;" +0r +17" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0p +0k +b1101 -" +0u +14" +1v +1b +12" +0/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111110100111011011000000010000 &" +b11111110100111011011000000010000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#4450010 +b11111110100111011011000000010000 Y" +#4450500 +b10011 5 +b10011 L +b10011 W +14 +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4451000 +1] +b111111011011001111111010000000 { +b111111011011001111111010000000 A" +1u +0s +1\ +0>" +0@" +0h +0g +1;" +17" +b11110011001010001000001000010010 | +b11110011001010001000001000010010 B" +15" +b11111 3" +0^ +0<" +0` +b1100 0" +0k +18" +1l +b10101 -" +04" +0v +1y +0b +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b1010 } +b1010 (" +b1010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001110111000111000001100 0 +b1001110111000111000001100 M +b1001110111000111000001100 f +b1001110111000111000001100 !" +0. +1% +#4451500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +b0 & +b0 C +0( +1. +0% +#4452000 +1k +0i +1s +1g +1;" +1:" +1r +05" +07" +b11001 0" +08" +0l +1j +b111010110100110110001111100110 { +b111010110100110110001111100110 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0x +0," +b111111011011001111111010000000 / +b111111011011001111111010000000 K +b111111011011001111111010000000 e +b111111011011001111111010000000 "" +b11110011001010001000001000010010 0 +b11110011001010001000001000010010 M +b11110011001010001000001000010010 f +b11110011001010001000001000010010 !" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4452500 +b11101 5 +b11101 L +b11101 W +b10110001111101011110010000011000 2 +b10110001111101011110010000011000 I +b10110001111101011110010000011000 Z +b10 3 +b10 J +b10 Y +11 +b11101 ' +b11101 D +b10110001111101011110010000011000 , +b10110001111101011110010000011000 H +b10 + +b10 G +1- +1. +0% +#4453000 +0k +0j +0\ +1@" +1h +0g +19" +b11101 3" +1_ +b10 0" +18" +1l +1p +b10101101001001100100011111000101 { +b10101101001001100100011111000101 A" +1b +12" +0n +1m +b10110001111101011110010000011000 &" +b10110001111101011110010000011000 ?" +b10 %" +b10 *" +b10 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b111010110100110110001111100110 / +b111010110100110110001111100110 K +b111010110100110110001111100110 e +b111010110100110110001111100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4453010 +b10110001111101011110010000011000 E" +#4453500 +b1011 5 +b1011 L +b1011 W +b11000100011010101110101111001011 2 +b11000100011010101110101111001011 I +b11000100011010101110101111001011 Z +b11011 3 +b11011 J +b11011 Y +b1011 ' +b1011 D +b11000100011010101110101111001011 , +b11000100011010101110101111001011 H +b11011 + +b11011 G +1. +0% +#4454000 +0_ +0] +1k +1o +0[ +1=" +0h +1;" +19" +0:" +b100 3" +1<" +1` +1c +b10100 0" +08" +0l +0p +b1011111101010010110011111001 { +b1011111101010010110011111001 A" +1a +1n +b10101101001001100100011111000101 / +b10101101001001100100011111000101 K +b10101101001001100100011111000101 e +b10101101001001100100011111000101 "" +b11000100011010101110101111001011 &" +b11000100011010101110101111001011 ?" +b11011 %" +b11011 *" +b11011 1" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#4454010 +b11000100011010101110101111001011 ^" +#4454500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b10110001011011010110111011111100 2 +b10110001011011010110111011111100 I +b10110001011011010110111011111100 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b10110001011011010110111011111100 , +b10110001011011010110111011111100 H +b11100 + +b11100 G +1. +0% +#4455000 +0c +1i +0s +b1101101010111101001011011100110 | +b1101101010111101001011011100110 B" +1\ +1[ +1>" +1h +1g +09" +0;" +0r +0q +15" +16" +17" +b11 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +0t +0y +1z +1u +0b +0a +0n +0m +0/" +1x +1w +1," +b10110001011011010110111011111100 &" +b10110001011011010110111011111100 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +b1011111101010010110011111001 / +b1011111101010010110011111001 K +b1011111101010010110011111001 e +b1011111101010010110011111001 "" +0. +1% +#4455010 +b10110001011011010110111011111100 _" +#4455500 +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4456000 +1] +1t +0=" +0>" +0@" +1q +05" +16" +b11111 3" +0d +0<" +0` +b11001 -" +0z +b111010110100110110001111100110 | +b111010110100110110001111100110 B" +02" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101101010111101001011011100110 0 +b1101101010111101001011011100110 M +b1101101010111101001011011100110 f +b1101101010111101001011011100110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 } +b110 (" +b110 +" +0. +1% +#4456500 +b1000 5 +b1000 L +b1000 W +14 +b1 7 +b1 N +b1 V +b1000 ' +b1000 D +1) +b1 & +b1 C +1. +0% +#4457000 +0i +b1101101100000000001010000000100 { +b1101101100000000001010000000100 A" +1s +19" +1;" +1r +0q +06" +b10111 0" +1o +1k +b11110 -" +0t +b10100110110110101010001001101000 | +b10100110110110101010001001101000 B" +1/" +0x +1w +b1000 ~ +b1000 )" +b1000 ." +1$" +b1 } +b1 (" +b1 +" +b111010110100110110001111100110 0 +b111010110100110110001111100110 M +b111010110100110110001111100110 f +b111010110100110110001111100110 !" +0. +1% +#4457500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4458000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +1q +07" +b11111 0" +0o +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0w +0," +b1101101100000000001010000000100 / +b1101101100000000001010000000100 K +b1101101100000000001010000000100 e +b1101101100000000001010000000100 "" +b10100110110110101010001001101000 0 +b10100110110110101010001001101000 M +b10100110110110101010001001101000 f +b10100110110110101010001001101000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4458500 +b11011 5 +b11011 L +b11011 W +14 +b11011011101101001110010011001011 2 +b11011011101101001110010011001011 I +b11011011101101001110010011001011 Z +b1110 3 +b1110 J +b1110 Y +11 +b11011 ' +b11011 D +1) +b11011011101101001110010011001011 , +b11011011101101001110010011001011 H +b1110 + +b1110 G +1- +1. +0% +#4459000 +0] +0i +b11000100011010101110101111001011 { +b11000100011010101110101111001011 A" +0\ +1=" +1>" +1@" +0h +0g +19" +1;" +b10001 3" +0^ +0c +1d +1_ +b100 0" +1o +0k +18" +1l +1b +12" +1n +1m +1/" +b11011011101101001110010011001011 &" +b11011011101101001110010011001011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4459010 +b11011011101101001110010011001011 Q" +#4459500 +b0 5 +b0 L +b0 W +04 +b100110110011110000110110001 2 +b100110110011110000110110001 I +b100110110011110000110110001 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b100110110011110000110110001 , +b100110110011110000110110001 H +b111 + +b111 G +1. +0% +#4460000 +1^ +1i +0[ +0=" +1>" +1h +1g +09" +0;" +b11000 3" +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +0n +0m +0/" +b11000100011010101110101111001011 / +b11000100011010101110101111001011 K +b11000100011010101110101111001011 e +b11000100011010101110101111001011 "" +b100110110011110000110110001 &" +b100110110011110000110110001 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4460010 +b100110110011110000110110001 J" +#4460500 +b11 5 +b11 L +b11 W +14 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4461000 +1] +b1000011111100100101101111000000 { +b1000011111100100101101111000000 A" +0s +b10101011010001000100101001001101 | +b10101011010001000100101001001101 B" +1\ +1[ +0>" +0@" +0h +0g +1;" +0q +16" +17" +b11111 3" +0^ +0_ +b11100 0" +1k +b1010 -" +1t +0u +14" +1v +0b +0a +02" +1n +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4461500 +b1110 5 +b1110 L +b1110 W +b11000 7 +b11000 N +b11000 V +b1110 ' +b1110 D +b11000 & +b11000 C +1. +0% +#4462000 +15" +0i +1y +1g +19" +1:" +1q +06" +b10001 0" +0j +0o +1p +b11011011101101001110010011001011 { +b11011011101101001110010011001011 A" +b111 -" +0t +b11010111001111000011001000011111 | +b11010111001111000011001000011111 B" +0m +0w +b1000011111100100101101111000000 / +b1000011111100100101101111000000 K +b1000011111100100101101111000000 e +b1000011111100100101101111000000 "" +b10101011010001000100101001001101 0 +b10101011010001000100101001001101 M +b10101011010001000100101001001101 f +b10101011010001000100101001001101 !" +b1110 ~ +b1110 )" +b1110 ." +b11000 } +b11000 (" +b11000 +" +0. +1% +#4462500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4463000 +1i +1s +1h +09" +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +0n +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011011101101001110010011001011 / +b11011011101101001110010011001011 K +b11011011101101001110010011001011 e +b11011011101101001110010011001011 "" +b11010111001111000011001000011111 0 +b11010111001111000011001000011111 M +b11010111001111000011001000011111 f +b11010111001111000011001000011111 !" +0. +1% +#4463500 +b10000 7 +b10000 N +b10000 V +16 +b11111111110111010110011011100111 2 +b11111111110111010110011011100111 I +b11111111110111010110011011100111 Z +b10001 3 +b10001 J +b10001 Y +11 +b10000 & +b10000 C +1( +b11111111110111010110011011100111 , +b11111111110111010110011011100111 H +b10001 + +b10001 G +1- +1. +0% +#4464000 +b1101100101000110011010011101001 | +b1101100101000110011010011101001 B" +0[ +1@" +17" +b1110 3" +0_ +1<" +1` +b1111 -" +0u +14" +1v +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111111110111010110011011100111 &" +b11111111110111010110011011100111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#4464010 +b11111111110111010110011011100111 T" +#4464500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4465000 +1[ +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11111 -" +04" +0v +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1101100101000110011010011101001 0 +b1101100101000110011010011101001 M +b1101100101000110011010011101001 f +b1101100101000110011010011101001 !" +0. +1% +#4465500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#4466000 +0s +b10000001110000100000001001011100 | +b10000001110000100000001001011100 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#4466500 +b10100010010100100001011111101001 2 +b10100010010100100001011111101001 I +b10100010010100100001011111101001 Z +b11000 3 +b11000 J +b11000 Y +11 +b10100010010100100001011111101001 , +b10100010010100100001011111101001 H +b11000 + +b11000 G +1- +1. +0% +#4467000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b10100010010100100001011111101001 &" +b10100010010100100001011111101001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10000001110000100000001001011100 0 +b10000001110000100000001001011100 M +b10000001110000100000001001011100 f +b10000001110000100000001001011100 !" +0. +1% +#4467010 +b10100010010100100001011111101001 [" +#4467500 +b0 7 +b0 N +b0 V +06 +b1110001011110111101101101101 2 +b1110001011110111101101101101 I +b1110001011110111101101101101 Z +b0 & +b0 C +0( +b1110001011110111101101101101 , +b1110001011110111101101101101 H +1. +0% +#4468000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b1110001011110111101101101101 &" +b1110001011110111101101101101 ?" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4468010 +b1110001011110111101101101101 [" +#4468500 +b1100 5 +b1100 L +b1100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4469000 +1] +0i +b1110101010001111000100011100011 { +b1110101010001111000100011100011 A" +0=" +0@" +19" +1:" +1;" +b11111 3" +0c +0<" +0` +b10011 0" +0j +0o +1p +1k +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4469500 +b0 5 +b0 L +b0 W +04 +b110100011111111111101000100010 2 +b110100011111111111101000100010 I +b110100011111111111101000100010 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b110100011111111111101000100010 , +b110100011111111111101000100010 H +b10000 + +b10000 G +1- +1. +0% +#4470000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1@" +09" +0:" +0;" +b1111 3" +0_ +1<" +1` +b11111 0" +0p +0k +12" +0/" +b1110101010001111000100011100011 / +b1110101010001111000100011100011 K +b1110101010001111000100011100011 e +b1110101010001111000100011100011 "" +b110100011111111111101000100010 &" +b110100011111111111101000100010 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4470010 +b110100011111111111101000100010 S" +#4470500 +b1001 5 +b1001 L +b1001 W +14 +b11011 7 +b11011 N +b11011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b11011 & +b11011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4471000 +0i +b10000001110000100000001001011100 { +b10000001110000100000001001011100 A" +0s +b11000100011010101110101111001011 | +b11000100011010101110101111001011 B" +0@" +0g +19" +1;" +0r +0q +15" +17" +b11111 3" +0<" +0` +b10110 0" +1o +1k +b100 -" +1y +0u +14" +1v +02" +1m +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4471500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11111101010100011101001110001011 2 +b11111101010100011101001110001011 I +b11111101010100011101001110001011 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11111101010100011101001110001011 , +b11111101010100011101001110001011 H +b11110 + +b11110 G +1- +1. +0% +#4472000 +0] +1i +1s +0\ +1=" +1>" +1@" +1g +09" +0;" +1r +1q +05" +07" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0m +0/" +0x +0w +0," +b10000001110000100000001001011100 / +b10000001110000100000001001011100 K +b10000001110000100000001001011100 e +b10000001110000100000001001011100 "" +b11000100011010101110101111001011 0 +b11000100011010101110101111001011 M +b11000100011010101110101111001011 f +b11000100011010101110101111001011 !" +b11111101010100011101001110001011 &" +b11111101010100011101001110001011 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4472010 +b11111101010100011101001110001011 a" +#4472500 +b1001101110000011111111010101011 2 +b1001101110000011111111010101011 I +b1001101110000011111111010101011 Z +b10111 3 +b10111 J +b10111 Y +b1001101110000011111111010101011 , +b1001101110000011111111010101011 H +b10111 + +b10111 G +1. +0% +#4473000 +1^ +0[ +0=" +1>" +b1000 3" +0d +1a +b1001101110000011111111010101011 &" +b1001101110000011111111010101011 ?" +b10111 %" +b10111 *" +b10111 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4473010 +b1001101110000011111111010101011 Z" +#4473500 +b11100 5 +b11100 L +b11100 W +14 +b10100000100111111110001010100000 2 +b10100000100111111110001010100000 I +b10100000100111111110001010100000 Z +b101 3 +b101 J +b101 Y +b11100 ' +b11100 D +1) +b10100000100111111110001010100000 , +b10100000100111111110001010100000 H +b101 + +b101 G +1. +0% +#4474000 +1_ +0i +b10110001011011010110111011111100 { +b10110001011011010110111011111100 A" +1\ +1@" +19" +1:" +1;" +b11010 3" +0<" +0` +b11 0" +0j +0o +1p +0k +18" +1l +0b +1/" +b10100000100111111110001010100000 &" +b10100000100111111110001010100000 ?" +b101 %" +b101 *" +b101 1" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#4474010 +b10100000100111111110001010100000 H" +#4474500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4475000 +1] +1i +1[ +0>" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0^ +0_ +b11111 0" +0p +08" +0l +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10110001011011010110111011111100 / +b10110001011011010110111011111100 K +b10110001011011010110111011111100 e +b10110001011011010110111011111100 "" +0. +1% +#4475500 +b1100101111010101100010010101101 2 +b1100101111010101100010010101101 I +b1100101111010101100010010101101 Z +b11010 3 +b11010 J +b11010 Y +11 +b1100101111010101100010010101101 , +b1100101111010101100010010101101 H +b11010 + +b11010 G +1- +1. +0% +#4476000 +0] +0\ +1=" +1@" +b101 3" +1c +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100101111010101100010010101101 &" +b1100101111010101100010010101101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +0. +1% +#4476010 +b1100101111010101100010010101101 ]" +#4476500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4477000 +1] +1\ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4477500 +b10110 5 +b10110 L +b10110 W +14 +b1100 7 +b1100 N +b1100 V +16 +b1010100111101001101100111000110 2 +b1010100111101001101100111000110 I +b1010100111101001101100111000110 Z +b11011 3 +b11011 J +b11011 Y +11 +b10110 ' +b10110 D +1) +b1100 & +b1100 C +1( +b1010100111101001101100111000110 , +b1010100111101001101100111000110 H +b11011 + +b11011 G +1- +1. +0% +#4478000 +0] +0i +b11111110100111011011000000010000 { +b11111110100111011011000000010000 A" +0s +b1110101010001111000100011100011 | +b1110101010001111000100011100011 B" +0\ +0[ +1=" +1@" +0h +1:" +1;" +15" +16" +17" +b100 3" +1c +0_ +1<" +1` +b1001 0" +1j +0k +18" +1l +b10011 -" +0t +0y +1z +1u +1b +1a +12" +1n +1/" +1," +b1010100111101001101100111000110 &" +b1010100111101001101100111000110 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#4478010 +b1010100111101001101100111000110 ^" +#4478500 +b10010 5 +b10010 L +b10010 W +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4479000 +1] +1i +b1001110111000111000001100 { +b1001110111000111000001100 A" +1s +1\ +1[ +0=" +0@" +0:" +0r +0q +05" +06" +b11111 3" +0c +0<" +0` +b1101 0" +0j +b11100 -" +0z +b1000011111100100101101111000000 | +b1000011111100100101101111000000 B" +0b +0a +02" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +b11 } +b11 (" +b11 +" +b11111110100111011011000000010000 / +b11111110100111011011000000010000 K +b11111110100111011011000000010000 e +b11111110100111011011000000010000 "" +b1110101010001111000100011100011 0 +b1110101010001111000100011100011 M +b1110101010001111000100011100011 f +b1110101010001111000100011100011 !" +0. +1% +#4479500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4480000 +1h +0;" +1r +1q +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0w +0," +b1001110111000111000001100 / +b1001110111000111000001100 K +b1001110111000111000001100 e +b1001110111000111000001100 "" +b1000011111100100101101111000000 0 +b1000011111100100101101111000000 M +b1000011111100100101101111000000 f +b1000011111100100101101111000000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4480500 +b110010100000110010011101101101 2 +b110010100000110010011101101101 I +b110010100000110010011101101101 Z +b10001 3 +b10001 J +b10001 Y +11 +b110010100000110010011101101101 , +b110010100000110010011101101101 H +b10001 + +b10001 G +1- +1. +0% +#4481000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b110010100000110010011101101101 &" +b110010100000110010011101101101 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4481010 +b110010100000110010011101101101 T" +#4481500 +b11001 7 +b11001 N +b11001 V +16 +b1000000011111100101001010111110 2 +b1000000011111100101001010111110 I +b1000000011111100101001010111110 Z +b10010 3 +b10010 J +b10010 Y +b11001 & +b11001 C +1( +b1000000011111100101001010111110 , +b1000000011111100101001010111110 H +b10010 + +b10010 G +1. +0% +#4482000 +0s +b11111111110001001110100010100111 | +b11111111110001001110100010100111 B" +0\ +1[ +0q +15" +17" +b1101 3" +b110 -" +1y +0u +14" +1v +1b +0a +1w +1," +b1000000011111100101001010111110 &" +b1000000011111100101001010111110 ?" +b10010 %" +b10010 *" +b10010 1" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#4482010 +b1000000011111100101001010111110 U" +#4482500 +b1 7 +b1 N +b1 V +b10111001110001000111010110010011 2 +b10111001110001000111010110010011 I +b10111001110001000111010110010011 Z +b11100 3 +b11100 J +b11100 Y +b1 & +b1 C +b10111001110001000111010110010011 , +b10111001110001000111010110010011 H +b11100 + +b11100 G +1. +0% +#4483000 +0] +1u +1s +1\ +1=" +1>" +17" +b10100110110110101010001001101000 | +b10100110110110101010001001101000 B" +05" +b11 3" +0^ +0c +1d +b11110 -" +04" +0v +0y +0b +b10111001110001000111010110010011 &" +b10111001110001000111010110010011 ?" +b11100 %" +b11100 *" +b11100 1" +b1 } +b1 (" +b1 +" +b11111111110001001110100010100111 0 +b11111111110001001110100010100111 M +b11111111110001001110100010100111 f +b11111111110001001110100010100111 !" +0. +1% +#4483010 +b10111001110001000111010110010011 _" +#4483500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4484000 +1] +0i +b101001001101110111111000010110 { +b101001001101110111111000010110 A" +0=" +0>" +0@" +1:" +1;" +1q +07" +b11111 3" +0d +0<" +0` +b11011 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1/" +0w +0," +b10100110110110101010001001101000 0 +b10100110110110101010001001101000 M +b10100110110110101010001001101000 f +b10100110110110101010001001101000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4484500 +b11011 5 +b11011 L +b11011 W +b11011 ' +b11011 D +1. +0% +#4485000 +19" +0k +1o +0h +0g +0:" +b100 0" +18" +1l +0j +b1010100111101001101100111000110 { +b1010100111101001101100111000110 A" +1n +1m +b11011 ~ +b11011 )" +b11011 ." +b101001001101110111111000010110 / +b101001001101110111111000010110 K +b101001001101110111111000010110 e +b101001001101110111111000010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4485500 +b0 5 +b0 L +b0 W +04 +b1001110000011011110010000010110 2 +b1001110000011011110010000010110 I +b1001110000011011110010000010110 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b1001110000011011110010000010110 , +b1001110000011011110010000010110 H +b11110 + +b11110 G +1- +1. +0% +#4486000 +0] +1i +0\ +1=" +1>" +1@" +1h +1g +09" +0;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0m +0/" +b1010100111101001101100111000110 / +b1010100111101001101100111000110 K +b1010100111101001101100111000110 e +b1010100111101001101100111000110 "" +b1001110000011011110010000010110 &" +b1001110000011011110010000010110 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4486010 +b1001110000011011110010000010110 a" +#4486500 +b110 5 +b110 L +b110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4487000 +1] +0i +b111010110100110110001111100110 { +b111010110100110110001111100110 A" +1\ +0=" +0>" +0@" +0h +1:" +1;" +b11111 3" +0d +0<" +0` +b11001 0" +1j +1k +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4487500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4488000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b111010110100110110001111100110 / +b111010110100110110001111100110 K +b111010110100110110001111100110 e +b111010110100110110001111100110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4488500 +1. +0% +#4489000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4489500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#4490000 +b110100011111111111101000100010 | +b110100011111111111101000100010 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#4490500 +b10101 7 +b10101 N +b10101 V +b101110001100111010000111011010 2 +b101110001100111010000111011010 I +b101110001100111010000111011010 Z +b11100 3 +b11100 J +b11100 Y +11 +b10101 & +b10101 C +b101110001100111010000111011010 , +b101110001100111010000111011010 H +b11100 + +b11100 G +1- +1. +0% +#4491000 +0] +0s +1=" +1>" +1@" +0q +16" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b1010 -" +1t +b10101011010001000100101001001101 | +b10101011010001000100101001001101 B" +12" +1w +b101110001100111010000111011010 &" +b101110001100111010000111011010 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b10101 } +b10101 (" +b10101 +" +b110100011111111111101000100010 0 +b110100011111111111101000100010 M +b110100011111111111101000100010 f +b110100011111111111101000100010 !" +0. +1% +#4491010 +b101110001100111010000111011010 _" +#4491500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b111111100011110111111010001011 2 +b111111100011110111111010001011 I +b111111100011110111111010001011 Z +b101 3 +b101 J +b101 Y +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +b111111100011110111111010001011 , +b111111100011110111111010001011 H +b101 + +b101 G +1. +0% +#4492000 +1_ +1^ +0i +b1110101010001111000100011100011 { +b1110101010001111000100011100011 A" +1s +0[ +1@" +0=" +1>" +19" +1:" +1;" +1q +06" +07" +b11010 3" +0<" +0` +0d +b10011 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +1/" +0w +0," +b10101011010001000100101001001101 0 +b10101011010001000100101001001101 M +b10101011010001000100101001001101 f +b10101011010001000100101001001101 !" +b111111100011110111111010001011 &" +b111111100011110111111010001011 ?" +b101 %" +b101 *" +b101 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4492010 +b111111100011110111111010001011 H" +#4492500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4493000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b101001001101110111111000010110 | +b101001001101110111111000010110 B" +1[ +0>" +0@" +09" +0:" +0;" +16" +17" +b11111 3" +0^ +0_ +b11111 0" +0p +0k +b11011 -" +1t +1u +0a +02" +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +b1110101010001111000100011100011 / +b1110101010001111000100011100011 K +b1110101010001111000100011100011 e +b1110101010001111000100011100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4493500 +b1 5 +b1 L +b1 W +14 +b1011 7 +b1011 N +b1011 V +b1 ' +b1 D +1) +b1011 & +b1011 C +1. +0% +#4494000 +15" +b10100110110110101010001001101000 { +b10100110110110101010001001101000 A" +1y +0g +1;" +0r +0q +06" +b11110 0" +1k +b10100 -" +0t +b1011111101010010110011111001 | +b1011111101010010110011111001 B" +1m +1/" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101001001101110111111000010110 0 +b101001001101110111111000010110 M +b101001001101110111111000010110 f +b101001001101110111111000010110 !" +b1 ~ +b1 )" +b1 ." +1$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#4494500 +b0 5 +b0 L +b0 W +b110 7 +b110 N +b110 V +b0 ' +b0 D +b110 & +b110 C +1. +0% +#4495000 +16" +1t +1g +1q +05" +b11111 0" +b1010011100110111011110001111 { +b1010011100110111011110001111 A" +b11001 -" +0y +b111010110100110110001111100110 | +b111010110100110110001111100110 B" +0m +0w +b0 ~ +b0 )" +b0 ." +b110 } +b110 (" +b110 +" +b10100110110110101010001001101000 / +b10100110110110101010001001101000 K +b10100110110110101010001001101000 e +b10100110110110101010001001101000 "" +b1011111101010010110011111001 0 +b1011111101010010110011111001 M +b1011111101010010110011111001 f +b1011111101010010110011111001 !" +0. +1% +#4495500 +04 +b1001 7 +b1001 N +b1001 V +b1100110110111110001110101111000 2 +b1100110110111110001110101111000 I +b1100110110111110001110101111000 Z +b10110 3 +b10110 J +b10110 Y +11 +0) +b1001 & +b1001 C +b1100110110111110001110101111000 , +b1100110110111110001110101111000 H +b10110 + +b10110 G +1- +1. +0% +#4496000 +15" +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1y +0\ +1>" +1@" +0;" +1r +0q +06" +b1001 3" +1^ +0_ +1<" +1` +0k +b10110 -" +0t +b10000001110000100000001001011100 | +b10000001110000100000001001011100 B" +1b +12" +0/" +0x +1w +b1010011100110111011110001111 / +b1010011100110111011110001111 K +b1010011100110111011110001111 e +b1010011100110111011110001111 "" +b111010110100110110001111100110 0 +b111010110100110110001111100110 M +b111010110100110110001111100110 f +b111010110100110110001111100110 !" +b1100110110111110001110101111000 &" +b1100110110111110001110101111000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +0$" +b1001 } +b1001 (" +b1001 +" +0. +1% +#4496010 +b1100110110111110001110101111000 Y" +#4496500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b101101011010001100011100101001 2 +b101101011010001100011100101001 I +b101101011010001100011100101001 Z +b11010 3 +b11010 J +b11010 Y +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +b101101011010001100011100101001 , +b101101011010001100011100101001 H +b11010 + +b11010 G +1. +0% +#4497000 +1=" +1c +0i +b1110101010001111000100011100011 { +b1110101010001111000100011100011 A" +1s +0>" +19" +1:" +1;" +1q +05" +07" +b101 3" +0^ +b10011 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0w +0," +b101101011010001100011100101001 &" +b101101011010001100011100101001 ?" +b11010 %" +b11010 *" +b11010 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000001110000100000001001011100 0 +b10000001110000100000001001011100 M +b10000001110000100000001001011100 f +b10000001110000100000001001011100 !" +0. +1% +#4497010 +b101101011010001100011100101001 ]" +#4497500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b1101011100001110010111000000111 2 +b1101011100001110010111000000111 I +b1101011100001110010111000000111 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +b100 & +b100 C +1( +b1101011100001110010111000000111 , +b1101011100001110010111000000111 H +b10111 + +b10111 G +1. +0% +#4498000 +1>" +1^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b101001001101110111111000010110 | +b101001001101110111111000010110 B" +0[ +0=" +09" +0:" +0;" +16" +17" +b1000 3" +0c +b11111 0" +0p +0k +b11011 -" +1t +1u +1a +0/" +1," +b1110101010001111000100011100011 / +b1110101010001111000100011100011 K +b1110101010001111000100011100011 e +b1110101010001111000100011100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101011100001110010111000000111 &" +b1101011100001110010111000000111 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#4498010 +b1101011100001110010111000000111 Z" +#4498500 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4499000 +15" +1] +0u +1y +1\ +1[ +0>" +0@" +0r +0q +06" +b11111 3" +0^ +0<" +0` +b100 -" +14" +1v +0t +b1010100111101001101100111000110 | +b1010100111101001101100111000110 B" +0b +0a +02" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101001001101110111111000010110 0 +b101001001101110111111000010110 M +b101001001101110111111000010110 f +b101001001101110111111000010110 !" +0. +1% +#4499500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b11110111100011001010011000111111 2 +b11110111100011001010011000111111 I +b11110111100011001010011000111111 Z +b1 3 +b1 J +b1 Y +11 +b111 ' +b111 D +1) +b0 & +b0 C +0( +b11110111100011001010011000111111 , +b11110111100011001010011000111111 H +b1 + +b1 G +1- +1. +0% +#4500000 +0i +b100110110011110000110110001 { +b100110110011110000110110001 A" +1s +0[ +1@" +0h +0g +1:" +1;" +1r +1q +05" +07" +b11110 3" +1_ +b11000 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1n +1m +1/" +0x +0w +0," +b1010100111101001101100111000110 0 +b1010100111101001101100111000110 M +b1010100111101001101100111000110 f +b1010100111101001101100111000110 !" +b11110111100011001010011000111111 &" +b11110111100011001010011000111111 ?" +b1 %" +b1 *" +b1 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4500010 +b11110111100011001010011000111111 D" +#4500500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4501000 +1i +1[ +0@" +1h +1g +0:" +0;" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b100110110011110000110110001 / +b100110110011110000110110001 K +b100110110011110000110110001 e +b100110110011110000110110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4501500 +b11010 5 +b11010 L +b11010 W +14 +b1100 7 +b1100 N +b1100 V +16 +b11010 ' +b11010 D +1) +b1100 & +b1100 C +1( +1. +0% +#4502000 +0i +b101101011010001100011100101001 { +b101101011010001100011100101001 A" +0s +b1110101010001111000100011100011 | +b1110101010001111000100011100011 B" +0h +19" +1;" +15" +16" +17" +b101 0" +1o +0k +18" +1l +b10011 -" +0t +0y +1z +1u +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010 ~ +b11010 )" +b11010 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#4502500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +b0 & +b0 C +0( +1. +0% +#4503000 +1k +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +1;" +09" +05" +06" +07" +b11100 0" +08" +0l +0o +b1000011111100100101101111000000 { +b1000011111100100101101111000000 A" +b11111 -" +0z +0u +1m +0," +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +b101101011010001100011100101001 / +b101101011010001100011100101001 K +b101101011010001100011100101001 e +b101101011010001100011100101001 "" +b1110101010001111000100011100011 0 +b1110101010001111000100011100011 M +b1110101010001111000100011100011 f +b1110101010001111000100011100011 !" +0. +1% +#4503500 +b1110 5 +b1110 L +b1110 W +b1110 ' +b1110 D +1. +0% +#4504000 +0i +1g +19" +1:" +b10001 0" +0j +0o +1p +b11011011101101001110010011001011 { +b11011011101101001110010011001011 A" +0m +b1000011111100100101101111000000 / +b1000011111100100101101111000000 K +b1000011111100100101101111000000 e +b1000011111100100101101111000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110 ~ +b1110 )" +b1110 ." +0. +1% +#4504500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b1010010101000100001010001010100 2 +b1010010101000100001010001010100 I +b1010010101000100001010001010100 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +b1010010101000100001010001010100 , +b1010010101000100001010001010100 H +b1111 + +b1111 G +1- +1. +0% +#4505000 +0] +1i +0s +b11011011110001000011111000010001 | +b11011011110001000011111000010001 B" +0\ +0[ +1=" +1>" +1@" +1h +09" +0:" +0;" +0r +0q +15" +16" +17" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b0 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +0n +0/" +1x +1w +1," +b1010010101000100001010001010100 &" +b1010010101000100001010001010100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b11011011101101001110010011001011 / +b11011011101101001110010011001011 K +b11011011101101001110010011001011 e +b11011011101101001110010011001011 "" +0. +1% +#4505010 +b1010010101000100001010001010100 R" +#4505500 +b11110 5 +b11110 L +b11110 W +14 +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4506000 +1] +0i +b1001110000011011110010000010110 { +b1001110000011011110010000010110 A" +1u +1\ +1[ +0=" +0>" +0@" +0h +19" +1:" +1;" +17" +b1010010101000100001010001010100 | +b1010010101000100001010001010100 B" +b11111 3" +0d +0_ +b1 0" +0j +0o +1p +0k +18" +1l +b10000 -" +04" +0v +0b +0a +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011011110001000011111000010001 0 +b11011011110001000011111000010001 M +b11011011110001000011111000010001 f +b11011011110001000011111000010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b1111 } +b1111 (" +b1111 +" +0. +1% +#4506500 +b10001 5 +b10001 L +b10001 W +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +b0 & +b0 C +0( +1. +0% +#4507000 +1i +1s +1h +0g +09" +0:" +1r +1q +05" +06" +07" +b1110 0" +0p +b110010100000110010011101101101 { +b110010100000110010011101101101 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +1m +0x +0w +0," +b10001 ~ +b10001 )" +b10001 ." +b0 } +b0 (" +b0 +" +0#" +b1001110000011011110010000010110 / +b1001110000011011110010000010110 K +b1001110000011011110010000010110 e +b1001110000011011110010000010110 "" +b1010010101000100001010001010100 0 +b1010010101000100001010001010100 M +b1010010101000100001010001010100 f +b1010010101000100001010001010100 !" +0. +1% +#4507500 +b10110 5 +b10110 L +b10110 W +b10110101111011101010011000011 2 +b10110101111011101010011000011 I +b10110101111011101010011000011 Z +b101 3 +b101 J +b101 Y +11 +b10110 ' +b10110 D +b10110101111011101010011000011 , +b10110101111011101010011000011 H +b101 + +b101 G +1- +1. +0% +#4508000 +0] +0i +0[ +1>" +1@" +0h +1g +1:" +b11010 3" +1^ +1_ +b1001 0" +1j +b1100110110111110001110101111000 { +b1100110110111110001110101111000 A" +1a +12" +1n +0m +b110010100000110010011101101101 / +b110010100000110010011101101101 K +b110010100000110010011101101101 e +b110010100000110010011101101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110101111011101010011000011 &" +b10110101111011101010011000011 ?" +b101 %" +b101 *" +b101 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +0. +1% +#4508010 +b10110101111011101010011000011 H" +#4508500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4509000 +1] +1i +1[ +0>" +0@" +1h +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100110110111110001110101111000 / +b1100110110111110001110101111000 K +b1100110110111110001110101111000 e +b1100110110111110001110101111000 "" +0. +1% +#4509500 +b1 7 +b1 N +b1 V +16 +b10010101100101110001001110000011 2 +b10010101100101110001001110000011 I +b10010101100101110001001110000011 Z +b10100 3 +b10100 J +b10100 Y +11 +b1 & +b1 C +1( +b10010101100101110001001110000011 , +b10010101100101110001001110000011 H +b10100 + +b10100 G +1- +1. +0% +#4510000 +0] +b11110111100011001010011000111111 | +b11110111100011001010011000111111 B" +1>" +1@" +0q +17" +b1011 3" +1^ +0_ +1<" +1` +b11110 -" +1u +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010101100101110001001110000011 &" +b10010101100101110001001110000011 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#4510010 +b10010101100101110001001110000011 W" +#4510500 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4511000 +1] +0s +0>" +0@" +0r +1q +15" +16" +b11111 3" +0^ +0<" +0` +b10001 -" +0t +0y +1z +b11011011101101001110010011001011 | +b11011011101101001110010011001011 B" +02" +1x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +b11110111100011001010011000111111 0 +b11110111100011001010011000111111 M +b11110111100011001010011000111111 f +b11110111100011001010011000111111 !" +0. +1% +#4511500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4512000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b11011011101101001110010011001011 0 +b11011011101101001110010011001011 M +b11011011101101001110010011001011 f +b11011011101101001110010011001011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4512500 +b111 5 +b111 L +b111 W +14 +b1010 7 +b1010 N +b1010 V +16 +b111 ' +b111 D +1) +b1010 & +b1010 C +1( +1. +0% +#4513000 +0i +b100110110011110000110110001 { +b100110110011110000110110001 A" +0s +b11110011001010001000001000010010 | +b11110011001010001000001000010010 B" +0h +0g +1:" +1;" +0r +15" +17" +b11000 0" +1j +1k +b10101 -" +1y +1u +1n +1m +1/" +1x +1," +b111 ~ +b111 )" +b111 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4513500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b10110010001010010110111101000100 2 +b10110010001010010110111101000100 I +b10110010001010010110111101000100 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +b10110010001010010110111101000100 , +b10110010001010010110111101000100 H +b11010 + +b11010 G +1- +1. +0% +#4514000 +0] +1i +0u +1s +0\ +1=" +1@" +1h +1g +0:" +0;" +1r +0q +05" +b101 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +14" +1v +0y +b110010100000110010011101101101 | +b110010100000110010011101101101 B" +1b +12" +0n +0m +0/" +0x +1w +b100110110011110000110110001 / +b100110110011110000110110001 K +b100110110011110000110110001 e +b100110110011110000110110001 "" +b11110011001010001000001000010010 0 +b11110011001010001000001000010010 M +b11110011001010001000001000010010 f +b11110011001010001000001000010010 !" +b10110010001010010110111101000100 &" +b10110010001010010110111101000100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#4514010 +b10110010001010010110111101000100 ]" +#4514500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b11010100011110110000011101010 2 +b11010100011110110000011101010 I +b11010100011110110000011101010 Z +b11000 3 +b11000 J +b11000 Y +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b11010100011110110000011101010 , +b11010100011110110000011101010 H +b11000 + +b11000 G +1. +0% +#4515000 +0i +b10010101100101110001001110000011 { +b10010101100101110001001110000011 A" +1\ +1:" +1;" +1q +07" +b111 3" +b1011 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1/" +0w +0," +b11010100011110110000011101010 &" +b11010100011110110000011101010 ?" +b11000 %" +b11000 *" +b11000 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110010100000110010011101101101 0 +b110010100000110010011101101101 M +b110010100000110010011101101101 f +b110010100000110010011101101101 !" +0. +1% +#4515010 +b11010100011110110000011101010 [" +#4515500 +b0 5 +b0 L +b0 W +04 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4516000 +1] +1i +b1010011100110111011110001111 | +b1010011100110111011110001111 B" +0=" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0j +08" +0l +1u +02" +0/" +1," +b10010101100101110001001110000011 / +b10010101100101110001001110000011 K +b10010101100101110001001110000011 e +b10010101100101110001001110000011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +0. +1% +#4516500 +b11000 7 +b11000 N +b11000 V +b11000 & +b11000 C +1. +0% +#4517000 +0u +0s +b11010100011110110000011101010 | +b11010100011110110000011101010 B" +15" +b111 -" +14" +1v +1y +b11000 } +b11000 (" +b11000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010011100110111011110001111 0 +b1010011100110111011110001111 M +b1010011100110111011110001111 f +b1010011100110111011110001111 !" +0. +1% +#4517500 +b1 7 +b1 N +b1 V +b1 & +b1 C +1. +0% +#4518000 +1u +1s +0q +17" +05" +b11110 -" +04" +0v +0y +b11110111100011001010011000111111 | +b11110111100011001010011000111111 B" +1w +b11010100011110110000011101010 0 +b11010100011110110000011101010 M +b11010100011110110000011101010 f +b11010100011110110000011101010 !" +b1 } +b1 (" +b1 +" +0. +1% +#4518500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +1. +0% +#4519000 +0i +b111110100101011111001011001000 { +b111110100101011111001011001000 A" +0g +19" +1:" +1;" +1q +07" +b10010 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0w +0," +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11110111100011001010011000111111 0 +b11110111100011001010011000111111 M +b11110111100011001010011000111111 f +b11110111100011001010011000111111 !" +0. +1% +#4519500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4520000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b111110100101011111001011001000 / +b111110100101011111001011001000 K +b111110100101011111001011001000 e +b111110100101011111001011001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4520500 +b10110 5 +b10110 L +b10110 W +14 +b1110000001010010001011000001011 2 +b1110000001010010001011000001011 I +b1110000001010010001011000001011 Z +b1 3 +b1 J +b1 Y +11 +b10110 ' +b10110 D +1) +b1110000001010010001011000001011 , +b1110000001010010001011000001011 H +b1 + +b1 G +1- +1. +0% +#4521000 +0i +b1100110110111110001110101111000 { +b1100110110111110001110101111000 A" +0[ +1@" +0h +1:" +1;" +b11110 3" +1_ +b1001 0" +1j +0k +18" +1l +1a +12" +1n +1/" +b1110000001010010001011000001011 &" +b1110000001010010001011000001011 ?" +b1 %" +b1 *" +b1 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4521010 +b1110000001010010001011000001011 D" +#4521500 +b1011 5 +b1011 L +b1011 W +b111011011100101110111101101000 2 +b111011011100101110111101101000 I +b111011011100101110111101101000 Z +b1011 ' +b1011 D +b111011011100101110111101101000 , +b111011011100101110111101101000 H +1. +0% +#4522000 +19" +1k +1o +0g +1;" +0:" +b10100 0" +08" +0l +0j +b1011111101010010110011111001 { +b1011111101010010110011111001 A" +1m +b1100110110111110001110101111000 / +b1100110110111110001110101111000 K +b1100110110111110001110101111000 e +b1100110110111110001110101111000 "" +b111011011100101110111101101000 &" +b111011011100101110111101101000 ?" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#4522010 +b111011011100101110111101101000 D" +#4522500 +b10101 5 +b10101 L +b10101 W +b11011000110001101001100000010111 2 +b11011000110001101001100000010111 I +b11011000110001101001100000010111 Z +b10100 3 +b10100 J +b10100 Y +b10101 ' +b10101 D +b11011000110001101001100000010111 , +b11011000110001101001100000010111 H +b10100 + +b10100 G +1. +0% +#4523000 +1:" +0_ +0] +0k +1j +1[ +1>" +1h +09" +b1011 3" +1<" +1` +1^ +b1010 0" +18" +1l +0o +b10101011010001000100101001001101 { +b10101011010001000100101001001101 A" +0a +0n +b11011000110001101001100000010111 &" +b11011000110001101001100000010111 ?" +b10100 %" +b10100 *" +b10100 1" +b10101 ~ +b10101 )" +b10101 ." +b1011111101010010110011111001 / +b1011111101010010110011111001 K +b1011111101010010110011111001 e +b1011111101010010110011111001 "" +0. +1% +#4523010 +b11011000110001101001100000010111 W" +#4523500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4524000 +1] +1i +0>" +0@" +1g +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0m +0/" +b10101011010001000100101001001101 / +b10101011010001000100101001001101 K +b10101011010001000100101001001101 e +b10101011010001000100101001001101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4524500 +b100 5 +b100 L +b100 W +14 +b110 7 +b110 N +b110 V +16 +b101100010001011001110000000101 2 +b101100010001011001110000000101 I +b101100010001011001110000000101 Z +b1001 3 +b1001 J +b1001 Y +11 +b100 ' +b100 D +1) +b110 & +b110 C +1( +b101100010001011001110000000101 , +b101100010001011001110000000101 H +b1001 + +b1001 G +1- +1. +0% +#4525000 +0] +0i +b101001001101110111111000010110 { +b101001001101110111111000010110 A" +0s +b111010110100110110001111100110 | +b111010110100110110001111100110 B" +0[ +1=" +1@" +1:" +1;" +0r +16" +17" +b10110 3" +1c +1_ +b11011 0" +1j +1k +b11001 -" +1t +1u +1a +12" +1/" +1x +1," +b101100010001011001110000000101 &" +b101100010001011001110000000101 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4525010 +b101100010001011001110000000101 L" +#4525500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4526000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0t +1[ +0=" +0@" +0:" +0;" +1r +15" +b11111 3" +0c +0_ +b11111 0" +0j +0k +b10011 -" +1z +b1110101010001111000100011100011 | +b1110101010001111000100011100011 B" +0a +02" +0/" +0x +b101001001101110111111000010110 / +b101001001101110111111000010110 K +b101001001101110111111000010110 e +b101001001101110111111000010110 "" +b111010110100110110001111100110 0 +b111010110100110110001111100110 M +b111010110100110110001111100110 f +b111010110100110110001111100110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#4526500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4527000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +06" +07" +b11111 -" +0z +0u +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110101010001111000100011100011 0 +b1110101010001111000100011100011 M +b1110101010001111000100011100011 f +b1110101010001111000100011100011 !" +0. +1% +#4527500 +b1101 5 +b1101 L +b1101 W +14 +b1011100111110101101011110101100 2 +b1011100111110101101011110101100 I +b1011100111110101101011110101100 Z +b10001 3 +b10001 J +b10001 Y +11 +b1101 ' +b1101 D +1) +b1011100111110101101011110101100 , +b1011100111110101101011110101100 H +b10001 + +b10001 G +1- +1. +0% +#4528000 +0i +b111110100101011111001011001000 { +b111110100101011111001011001000 A" +0[ +1@" +0g +19" +1:" +1;" +b1110 3" +0_ +1<" +1` +b10010 0" +0j +0o +1p +1k +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011100111110101101011110101100 &" +b1011100111110101101011110101100 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#4528010 +b1011100111110101101011110101100 T" +#4528500 +b10 5 +b10 L +b10 W +b111 7 +b111 N +b111 V +16 +b11000011001111111100000100011000 2 +b11000011001111111100000100011000 I +b11000011001111111100000100011000 Z +b1110 3 +b1110 J +b1110 Y +b10 ' +b10 D +b111 & +b111 C +1( +b11000011001111111100000100011000 , +b11000011001111111100000100011000 H +b1110 + +b1110 G +1. +0% +#4529000 +1_ +0] +1i +0s +b100110110011110000110110001 | +b100110110011110000110110001 B" +0\ +1[ +1@" +1=" +1>" +0h +1g +09" +0:" +0r +0q +16" +17" +b10001 3" +0<" +0` +0^ +0c +1d +b11101 0" +0p +b10110001111101011110010000011000 { +b10110001111101011110010000011000 A" +b11000 -" +1t +1u +1b +0a +1n +0m +1x +1w +1," +b11000011001111111100000100011000 &" +b11000011001111111100000100011000 ?" +b1110 %" +b1110 *" +b1110 1" +b10 ~ +b10 )" +b10 ." +b111 } +b111 (" +b111 +" +1#" +b111110100101011111001011001000 / +b111110100101011111001011001000 K +b111110100101011111001011001000 e +b111110100101011111001011001000 "" +0. +1% +#4529010 +b11000011001111111100000100011000 Q" +#4529500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b10100101110000001010101011001001 2 +b10100101110000001010101011001001 I +b10100101110000001010101011001001 Z +b1010 3 +b1010 J +b1010 Y +b1000 ' +b1000 D +b0 & +b0 C +0( +b10100101110000001010101011001001 , +b10100101110000001010101011001001 H +b1010 + +b1010 G +1. +0% +#4530000 +1c +0i +1s +1=" +0>" +1h +19" +1r +1q +06" +07" +b10101 3" +0d +b10111 0" +1o +b1101101100000000001010000000100 { +b1101101100000000001010000000100 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0x +0w +0," +b10110001111101011110010000011000 / +b10110001111101011110010000011000 K +b10110001111101011110010000011000 e +b10110001111101011110010000011000 "" +b100110110011110000110110001 0 +b100110110011110000110110001 M +b100110110011110000110110001 f +b100110110011110000110110001 !" +b10100101110000001010101011001001 &" +b10100101110000001010101011001001 ?" +b1010 %" +b1010 *" +b1010 1" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4530010 +b10100101110000001010101011001001 M" +#4530500 +b1101 5 +b1101 L +b1101 W +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4531000 +1] +0o +0s +b10101011010001000100101001001101 | +b10101011010001000100101001001101 B" +1\ +0=" +0@" +0g +1:" +0q +16" +17" +b11111 3" +0c +0_ +b10010 0" +1p +b111110100101011111001011001000 { +b111110100101011111001011001000 A" +b1010 -" +1t +0u +14" +1v +0b +02" +1m +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +b10101 } +b10101 (" +b10101 +" +1#" +b1101101100000000001010000000100 / +b1101101100000000001010000000100 K +b1101101100000000001010000000100 e +b1101101100000000001010000000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4531500 +b11 5 +b11 L +b11 W +b1001 7 +b1001 N +b1001 V +b11 ' +b11 D +b1001 & +b1001 C +1. +0% +#4532000 +15" +1i +1u +1y +0h +09" +0:" +17" +b101100010001011001110000000101 | +b101100010001011001110000000101 B" +06" +b11100 0" +0p +b1000011111100100101101111000000 { +b1000011111100100101101111000000 A" +b10110 -" +04" +0v +0t +1n +b111110100101011111001011001000 / +b111110100101011111001011001000 K +b111110100101011111001011001000 e +b111110100101011111001011001000 "" +b10101011010001000100101001001101 0 +b10101011010001000100101001001101 M +b10101011010001000100101001001101 f +b10101011010001000100101001001101 !" +b11 ~ +b11 )" +b11 ." +b1001 } +b1001 (" +b1001 +" +0. +1% +#4532500 +b1110 5 +b1110 L +b1110 W +b0 7 +b0 N +b0 V +06 +b11100100100100100100100010010010 2 +b11100100100100100100100010010010 I +b11100100100100100100100010010010 Z +b1010 3 +b1010 J +b1010 Y +11 +b1110 ' +b1110 D +b0 & +b0 C +0( +b11100100100100100100100010010010 , +b11100100100100100100100010010010 H +b1010 + +b1010 G +1- +1. +0% +#4533000 +0] +0i +1s +0\ +1=" +1@" +1g +19" +1:" +1q +05" +07" +b10101 3" +1c +1_ +b10001 0" +0j +0o +1p +b11000011001111111100000100011000 { +b11000011001111111100000100011000 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0m +0w +0," +b11100100100100100100100010010010 &" +b11100100100100100100100010010010 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b0 } +b0 (" +b0 +" +0#" +b1000011111100100101101111000000 / +b1000011111100100101101111000000 K +b1000011111100100101101111000000 e +b1000011111100100101101111000000 "" +b101100010001011001110000000101 0 +b101100010001011001110000000101 M +b101100010001011001110000000101 f +b101100010001011001110000000101 !" +0. +1% +#4533010 +b11100100100100100100100010010010 M" +#4533500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4534000 +1] +1i +1\ +0=" +0@" +1h +09" +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0/" +b11000011001111111100000100011000 / +b11000011001111111100000100011000 K +b11000011001111111100000100011000 e +b11000011001111111100000100011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4534500 +b10100 5 +b10100 L +b10100 W +14 +b10100 ' +b10100 D +1) +1. +0% +#4535000 +0i +b11011000110001101001100000010111 { +b11011000110001101001100000010111 A" +1:" +1;" +b1011 0" +1j +0k +18" +1l +1/" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4535500 +b0 5 +b0 L +b0 W +04 +b11001010010101001000111001010110 2 +b11001010010101001000111001010110 I +b11001010010101001000111001010110 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b11001010010101001000111001010110 , +b11001010010101001000111001010110 H +b1000 + +b1000 G +1- +1. +0% +#4536000 +0] +1i +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10111 3" +1c +1_ +b11111 0" +0j +08" +0l +12" +0/" +b11011000110001101001100000010111 / +b11011000110001101001100000010111 K +b11011000110001101001100000010111 e +b11011000110001101001100000010111 "" +b11001010010101001000111001010110 &" +b11001010010101001000111001010110 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4536010 +b11001010010101001000111001010110 K" +#4536500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4537000 +1] +0=" +0@" +b11111 3" +0c +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4537500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#4538000 +0s +b101110001100111010000111011010 | +b101110001100111010000111011010 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#4538500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b11101110011000101111001011001110 2 +b11101110011000101111001011001110 I +b11101110011000101111001011001110 Z +b10110 3 +b10110 J +b10110 Y +11 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b11101110011000101111001011001110 , +b11101110011000101111001011001110 H +b10110 + +b10110 G +1- +1. +0% +#4539000 +0] +0i +b101110001100111010000111011010 { +b101110001100111010000111011010 A" +1s +0\ +1>" +1@" +19" +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1001 3" +1^ +0_ +1<" +1` +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +04" +0v +1b +12" +1/" +0," +b11101110011000101111001011001110 &" +b11101110011000101111001011001110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b101110001100111010000111011010 0 +b101110001100111010000111011010 M +b101110001100111010000111011010 f +b101110001100111010000111011010 !" +0. +1% +#4539010 +b11101110011000101111001011001110 Y" +#4539500 +b0 5 +b0 L +b0 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4540000 +1] +1k +1i +1\ +0>" +0@" +1;" +b1010011100110111011110001111 { +b1010011100110111011110001111 A" +09" +0:" +b11111 3" +0^ +0<" +0` +b11111 0" +08" +0l +0p +0b +02" +b101110001100111010000111011010 / +b101110001100111010000111011010 K +b101110001100111010000111011010 e +b101110001100111010000111011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0. +1% +#4540500 +04 +b1011 7 +b1011 N +b1011 V +16 +0) +b1011 & +b1011 C +1( +1. +0% +#4541000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1011111101010010110011111001 | +b1011111101010010110011111001 B" +0;" +0r +0q +15" +17" +0k +b10100 -" +1y +1u +0/" +1x +1w +1," +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b1010011100110111011110001111 / +b1010011100110111011110001111 K +b1010011100110111011110001111 e +b1010011100110111011110001111 "" +0. +1% +#4541500 +b111 5 +b111 L +b111 W +14 +b1 7 +b1 N +b1 V +b111 ' +b111 D +1) +b1 & +b1 C +1. +0% +#4542000 +0i +b100110110011110000110110001 { +b100110110011110000110110001 A" +1s +0h +0g +1:" +1;" +1r +05" +b11000 0" +1j +1k +b11110 -" +0y +b111011011100101110111101101000 | +b111011011100101110111101101000 B" +1n +1m +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011111101010010110011111001 0 +b1011111101010010110011111001 M +b1011111101010010110011111001 f +b1011111101010010110011111001 !" +b111 ~ +b111 )" +b111 ." +1$" +b1 } +b1 (" +b1 +" +0. +1% +#4542500 +b11001 5 +b11001 L +b11001 W +b101 7 +b101 N +b101 V +b101100110101010110001010010100 2 +b101100110101010110001010010100 I +b101100110101010110001010010100 Z +b11101 3 +b11101 J +b11101 Y +11 +b11001 ' +b11001 D +b101 & +b101 C +b101100110101010110001010010100 , +b101100110101010110001010010100 H +b11101 + +b11101 G +1- +1. +0% +#4543000 +19" +0] +0k +1o +0s +b10110101111011101010011000011 | +b10110101111011101010011000011 B" +0[ +1=" +1>" +1@" +1h +0:" +16" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b110 0" +18" +1l +0j +b11111111110001001110100010100111 { +b11111111110001001110100010100111 A" +b11010 -" +1t +1a +12" +0n +b101100110101010110001010010100 &" +b101100110101010110001010010100 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b101 } +b101 (" +b101 +" +b100110110011110000110110001 / +b100110110011110000110110001 K +b100110110011110000110110001 e +b100110110011110000110110001 "" +b111011011100101110111101101000 0 +b111011011100101110111101101000 M +b111011011100101110111101101000 f +b111011011100101110111101101000 !" +0. +1% +#4543010 +b101100110101010110001010010100 `" +#4543500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4544000 +1] +1i +0u +0t +1[ +0=" +0>" +0@" +1g +09" +0;" +b101100110101010110001010010100 | +b101100110101010110001010010100 B" +15" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10 -" +14" +1v +1z +0a +02" +0m +0/" +b11111111110001001110100010100111 / +b11111111110001001110100010100111 K +b11111111110001001110100010100111 e +b11111111110001001110100010100111 "" +b10110101111011101010011000011 0 +b10110101111011101010011000011 M +b10110101111011101010011000011 f +b10110101111011101010011000011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +0. +1% +#4544500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b1101011111101110010100011100 2 +b1101011111101110010100011100 I +b1101011111101110010100011100 Z +b110 3 +b110 J +b110 Y +11 +b10 ' +b10 D +1) +b0 & +b0 C +0( +b1101011111101110010100011100 , +b1101011111101110010100011100 H +b110 + +b110 G +1- +1. +0% +#4545000 +0] +b10110001111101011110010000011000 { +b10110001111101011110010000011000 A" +1s +0\ +1>" +1@" +0h +1;" +1q +05" +06" +07" +b11001 3" +1^ +1_ +b11101 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1n +1/" +0w +0," +b1101011111101110010100011100 &" +b1101011111101110010100011100 ?" +b110 %" +b110 *" +b110 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101100110101010110001010010100 0 +b101100110101010110001010010100 M +b101100110101010110001010010100 f +b101100110101010110001010010100 !" +0. +1% +#4545010 +b1101011111101110010100011100 I" +#4545500 +b11001 5 +b11001 L +b11001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4546000 +1] +0k +0i +1\ +0>" +0@" +1h +0g +19" +b11111 3" +0^ +0_ +b110 0" +18" +1l +1o +b11111111110001001110100010100111 { +b11111111110001001110100010100111 A" +0b +02" +0n +1m +b10110001111101011110010000011000 / +b10110001111101011110010000011000 K +b10110001111101011110010000011000 e +b10110001111101011110010000011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +0. +1% +#4546500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4547000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11111111110001001110100010100111 / +b11111111110001001110100010100111 K +b11111111110001001110100010100111 e +b11111111110001001110100010100111 "" +0. +1% +#4547500 +b1011010011000010110011010010110 2 +b1011010011000010110011010010110 I +b1011010011000010110011010010110 Z +b1101 3 +b1101 J +b1101 Y +11 +b1011010011000010110011010010110 , +b1011010011000010110011010010110 H +b1101 + +b1101 G +1- +1. +0% +#4548000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011010011000010110011010010110 &" +b1011010011000010110011010010110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +0. +1% +#4548010 +b1011010011000010110011010010110 P" +#4548500 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4549000 +1] +b1000000011111100101001010111110 | +b1000000011111100101001010111110 B" +1[ +0=" +0>" +0@" +0r +17" +b11111 3" +0d +0_ +b1101 -" +0u +14" +1v +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#4549500 +b1001 5 +b1001 L +b1001 W +14 +b100 7 +b100 N +b100 V +b1001 ' +b1001 D +1) +b100 & +b100 C +1. +0% +#4550000 +0i +b101100010001011001110000000101 { +b101100010001011001110000000101 A" +1u +0s +0g +19" +1;" +1r +17" +16" +b10110 0" +1o +1k +b11011 -" +04" +0v +1t +b101001001101110111111000010110 | +b101001001101110111111000010110 B" +1m +1/" +0x +b1000000011111100101001010111110 0 +b1000000011111100101001010111110 M +b1000000011111100101001010111110 f +b1000000011111100101001010111110 !" +b1001 ~ +b1001 )" +b1001 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#4550500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4551000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1g +09" +0;" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +0u +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101100010001011001110000000101 / +b101100010001011001110000000101 K +b101100010001011001110000000101 e +b101100010001011001110000000101 "" +b101001001101110111111000010110 0 +b101001001101110111111000010110 M +b101001001101110111111000010110 f +b101001001101110111111000010110 !" +0. +1% +#4551500 +b11101 5 +b11101 L +b11101 W +14 +b10111 7 +b10111 N +b10111 V +16 +b11101 ' +b11101 D +1) +b10111 & +b10111 C +1( +1. +0% +#4552000 +0i +b101100110101010110001010010100 { +b101100110101010110001010010100 A" +0s +b1101011100001110010111000000111 | +b1101011100001110010111000000111 B" +0g +19" +1:" +1;" +0r +0q +16" +17" +b10 0" +0j +0o +1p +0k +18" +1l +b1000 -" +1t +0u +14" +1v +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101 ~ +b11101 )" +b11101 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#4552500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b10 ' +b10 D +b0 & +b0 C +0( +1. +0% +#4553000 +1k +1i +1s +0h +1g +1;" +09" +0:" +1r +1q +06" +07" +b11101 0" +08" +0l +0p +b10110001111101011110010000011000 { +b10110001111101011110010000011000 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0m +0x +0w +0," +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +b101100110101010110001010010100 / +b101100110101010110001010010100 K +b101100110101010110001010010100 e +b101100110101010110001010010100 "" +b1101011100001110010111000000111 0 +b1101011100001110010111000000111 M +b1101011100001110010111000000111 f +b1101011100001110010111000000111 !" +0. +1% +#4553500 +b10100 5 +b10100 L +b10100 W +b10100 ' +b10100 D +1. +0% +#4554000 +0k +0i +1h +1:" +b1011 0" +18" +1l +1j +b11011000110001101001100000010111 { +b11011000110001101001100000010111 A" +0n +b10110001111101011110010000011000 / +b10110001111101011110010000011000 K +b10110001111101011110010000011000 e +b10110001111101011110010000011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100 ~ +b10100 )" +b10100 ." +0. +1% +#4554500 +b1101 5 +b1101 L +b1101 W +b1101 ' +b1101 D +1. +0% +#4555000 +1k +0j +0g +1;" +19" +b10010 0" +08" +0l +1p +b1011010011000010110011010010110 { +b1011010011000010110011010010110 A" +1m +b1101 ~ +b1101 )" +b1101 ." +b11011000110001101001100000010111 / +b11011000110001101001100000010111 K +b11011000110001101001100000010111 e +b11011000110001101001100000010111 "" +0. +1% +#4555500 +b0 5 +b0 L +b0 W +04 +b11001101110011001000111001011101 2 +b11001101110011001000111001011101 I +b11001101110011001000111001011101 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b11001101110011001000111001011101 , +b11001101110011001000111001011101 H +b11001 + +b11001 G +1- +1. +0% +#4556000 +0] +1i +0[ +1=" +1@" +1g +09" +0:" +0;" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0m +0/" +b1011010011000010110011010010110 / +b1011010011000010110011010010110 K +b1011010011000010110011010010110 e +b1011010011000010110011010010110 "" +b11001101110011001000111001011101 &" +b11001101110011001000111001011101 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4556010 +b11001101110011001000111001011101 \" +#4556500 +b100 5 +b100 L +b100 W +14 +b11111001111110100100110101101000 2 +b11111001111110100100110101101000 I +b11111001111110100100110101101000 Z +b11000 3 +b11000 J +b11000 Y +b100 ' +b100 D +1) +b11111001111110100100110101101000 , +b11111001111110100100110101101000 H +b11000 + +b11000 G +1. +0% +#4557000 +0i +b101001001101110111111000010110 { +b101001001101110111111000010110 A" +1[ +1:" +1;" +b111 3" +b11011 0" +1j +1k +0a +1/" +b11111001111110100100110101101000 &" +b11111001111110100100110101101000 ?" +b11000 %" +b11000 *" +b11000 1" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4557010 +b11111001111110100100110101101000 [" +#4557500 +b11110 5 +b11110 L +b11110 W +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4558000 +1] +0k +0j +b1011100111110101101011110101100 | +b1011100111110101101011110101100 B" +0=" +0@" +0h +19" +0q +17" +b11111 3" +0c +0<" +0` +b1 0" +18" +1l +1p +b1001110000011011110010000010110 { +b1001110000011011110010000010110 A" +b1110 -" +0u +14" +1v +02" +1n +1w +1," +b101001001101110111111000010110 / +b101001001101110111111000010110 K +b101001001101110111111000010110 e +b101001001101110111111000010110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#4558500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10011001111111100110000111 2 +b10011001111111100110000111 I +b10011001111111100110000111 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10011001111111100110000111 , +b10011001111111100110000111 H +b10000 + +b10000 G +1- +1. +0% +#4559000 +1i +1@" +1h +09" +0:" +0;" +1q +07" +b1111 3" +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0/" +0w +0," +b10011001111111100110000111 &" +b10011001111111100110000111 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001110000011011110010000010110 / +b1001110000011011110010000010110 K +b1001110000011011110010000010110 e +b1001110000011011110010000010110 "" +b1011100111110101101011110101100 0 +b1011100111110101101011110101100 M +b1011100111110101101011110101100 f +b1011100111110101101011110101100 !" +0. +1% +#4559010 +b10011001111111100110000111 S" +#4559500 +b10101111001101001101000010001001 2 +b10101111001101001101000010001001 I +b10101111001101001101000010001001 Z +b111 3 +b111 J +b111 Y +b10101111001101001101000010001001 , +b10101111001101001101000010001001 H +b111 + +b111 G +1. +0% +#4560000 +1_ +0] +0\ +0[ +1@" +1>" +b11000 3" +0<" +0` +1^ +1b +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101111001101001101000010001001 &" +b10101111001101001101000010001001 ?" +b111 %" +b111 *" +b111 1" +0. +1% +#4560010 +b10101111001101001101000010001001 J" +#4560500 +b10110100100011100001001000010001 2 +b10110100100011100001001000010001 I +b10110100100011100001001000010001 Z +b1110 3 +b1110 J +b1110 Y +b10110100100011100001001000010001 , +b10110100100011100001001000010001 H +b1110 + +b1110 G +1. +0% +#4561000 +0^ +1[ +1=" +b10001 3" +1d +0a +b10110100100011100001001000010001 &" +b10110100100011100001001000010001 ?" +b1110 %" +b1110 *" +b1110 1" +0. +1% +#4561010 +b10110100100011100001001000010001 Q" +#4561500 +b11100 5 +b11100 L +b11100 W +14 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4562000 +1] +0i +b101110001100111010000111011010 { +b101110001100111010000111011010 A" +0s +b1011010011000010110011010010110 | +b1011010011000010110011010010110 B" +1\ +0=" +0>" +0@" +19" +1:" +1;" +0q +15" +16" +17" +b11111 3" +0d +0_ +b11 0" +0j +0o +1p +0k +18" +1l +b10010 -" +0t +0y +1z +1u +0b +02" +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#4562500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4563000 +1i +1s +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +06" +07" +b11111 0" +0p +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101110001100111010000111011010 / +b101110001100111010000111011010 K +b101110001100111010000111011010 e +b101110001100111010000111011010 "" +b1011010011000010110011010010110 0 +b1011010011000010110011010010110 M +b1011010011000010110011010010110 f +b1011010011000010110011010010110 !" +0. +1% +#4563500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#4564000 +0s +b1001110000011011110010000010110 | +b1001110000011011110010000010110 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#4564500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4565000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b1001110000011011110010000010110 0 +b1001110000011011110010000010110 M +b1001110000011011110010000010110 f +b1001110000011011110010000010110 !" +0. +1% +#4565500 +b101 5 +b101 L +b101 W +14 +b11000 7 +b11000 N +b11000 V +16 +b111101111010100001100000111100 2 +b111101111010100001100000111100 I +b111101111010100001100000111100 Z +b11011 3 +b11011 J +b11011 Y +11 +b101 ' +b101 D +1) +b11000 & +b11000 C +1( +b111101111010100001100000111100 , +b111101111010100001100000111100 H +b11011 + +b11011 G +1- +1. +0% +#4566000 +0] +0i +b10110101111011101010011000011 { +b10110101111011101010011000011 A" +0s +b11111001111110100100110101101000 | +b11111001111110100100110101101000 B" +0\ +0[ +1=" +1@" +0g +1:" +1;" +15" +17" +b100 3" +1c +0_ +1<" +1` +b11010 0" +1j +1k +b111 -" +1y +0u +14" +1v +1b +1a +12" +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111101111010100001100000111100 &" +b111101111010100001100000111100 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#4566010 +b111101111010100001100000111100 ^" +#4566500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011000011111111101100110111101 2 +b11011000011111111101100110111101 I +b11011000011111111101100110111101 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011000011111111101100110111101 , +b11011000011111111101100110111101 H +b10 + +b10 G +1. +0% +#4567000 +1_ +1] +1i +1s +1[ +1@" +0=" +1g +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11101 3" +0<" +0` +0c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +0a +0m +0/" +0," +b11011000011111111101100110111101 &" +b11011000011111111101100110111101 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10110101111011101010011000011 / +b10110101111011101010011000011 K +b10110101111011101010011000011 e +b10110101111011101010011000011 "" +b11111001111110100100110101101000 0 +b11111001111110100100110101101000 M +b11111001111110100100110101101000 f +b11111001111110100100110101101000 !" +0. +1% +#4567010 +b11011000011111111101100110111101 E" +#4567500 +b11100 5 +b11100 L +b11100 W +14 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4568000 +0i +b101110001100111010000111011010 { +b101110001100111010000111011010 A" +b1010011100110111011110001111 | +b1010011100110111011110001111 B" +1\ +0@" +19" +1:" +1;" +17" +b11111 3" +0_ +b11 0" +0j +0o +1p +0k +18" +1l +1u +0b +02" +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +1#" +0. +1% +#4568500 +b1101 5 +b1101 L +b1101 W +06 +b1101 ' +b1101 D +0( +1. +0% +#4569000 +1k +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +1;" +07" +b10010 0" +08" +0l +b1011010011000010110011010010110 { +b1011010011000010110011010010110 A" +0u +1m +0," +b1101 ~ +b1101 )" +b1101 ." +0#" +b101110001100111010000111011010 / +b101110001100111010000111011010 K +b101110001100111010000111011010 e +b101110001100111010000111011010 "" +b1010011100110111011110001111 0 +b1010011100110111011110001111 M +b1010011100110111011110001111 f +b1010011100110111011110001111 !" +0. +1% +#4569500 +b10011 5 +b10011 L +b10011 W +b10011 ' +b10011 D +1. +0% +#4570000 +0k +1i +0h +09" +0:" +b1100 0" +18" +1l +0p +b111111011011001111111010000000 { +b111111011011001111111010000000 A" +1n +b1011010011000010110011010010110 / +b1011010011000010110011010010110 K +b1011010011000010110011010010110 e +b1011010011000010110011010010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#4570500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b10010110000101011001010000110010 2 +b10010110000101011001010000110010 I +b10010110000101011001010000110010 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b10010110000101011001010000110010 , +b10010110000101011001010000110010 H +b101 + +b101 G +1- +1. +0% +#4571000 +0] +0s +b111101111010100001100000111100 | +b111101111010100001100000111100 B" +0[ +1>" +1@" +1h +1g +0;" +0r +0q +15" +17" +b11010 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +1y +0u +14" +1v +1a +12" +0n +0m +0/" +1x +1w +1," +b10010110000101011001010000110010 &" +b10010110000101011001010000110010 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +b111111011011001111111010000000 / +b111111011011001111111010000000 K +b111111011011001111111010000000 e +b111111011011001111111010000000 "" +0. +1% +#4571010 +b10010110000101011001010000110010 H" +#4571500 +b10100 5 +b10100 L +b10100 W +14 +b11110 7 +b11110 N +b11110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b11110 & +b11110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4572000 +1] +0i +b11011000110001101001100000010111 { +b11011000110001101001100000010111 A" +0y +1[ +0>" +0@" +1:" +1;" +1q +16" +b11111 3" +0^ +0_ +b1011 0" +1j +0k +18" +1l +b1 -" +1z +b1001110000011011110010000010110 | +b1001110000011011110010000010110 B" +0a +02" +1/" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111101111010100001100000111100 0 +b111101111010100001100000111100 M +b111101111010100001100000111100 f +b111101111010100001100000111100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#4572500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10111010111010000111001010101010 2 +b10111010111010000111001010101010 I +b10111010111010000111001010101010 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10111010111010000111001010101010 , +b10111010111010000111001010101010 H +b10011 + +b10011 G +1- +1. +0% +#4573000 +1i +1s +0\ +0[ +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +05" +06" +07" +b1100 3" +0_ +1<" +1` +b11111 0" +0j +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0/" +0x +0," +b10111010111010000111001010101010 &" +b10111010111010000111001010101010 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011000110001101001100000010111 / +b11011000110001101001100000010111 K +b11011000110001101001100000010111 e +b11011000110001101001100000010111 "" +b1001110000011011110010000010110 0 +b1001110000011011110010000010110 M +b1001110000011011110010000010110 f +b1001110000011011110010000010110 !" +0. +1% +#4573010 +b10111010111010000111001010101010 V" +#4573500 +b10001 5 +b10001 L +b10001 W +14 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4574000 +b1011100111110101101011110101100 { +b1011100111110101101011110101100 A" +b11011000011111111101100110111101 | +b11011000011111111101100110111101 B" +1\ +1[ +0@" +0g +1;" +0r +17" +b11111 3" +0<" +0` +b1110 0" +0k +18" +1l +b11101 -" +1u +0b +0a +02" +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#4574500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b0 ' +b0 D +0) +b1 & +b1 C +1. +0% +#4575000 +1g +0;" +1r +0q +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +b111011011100101110111101101000 | +b111011011100101110111101101000 B" +0m +0/" +0x +1w +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +b1011100111110101101011110101100 / +b1011100111110101101011110101100 K +b1011100111110101101011110101100 e +b1011100111110101101011110101100 "" +b11011000011111111101100110111101 0 +b11011000011111111101100110111101 M +b11011000011111111101100110111101 f +b11011000011111111101100110111101 !" +0. +1% +#4575500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4576000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111011011100101110111101101000 0 +b111011011100101110111101101000 M +b111011011100101110111101101000 f +b111011011100101110111101101000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4576500 +b10111 5 +b10111 L +b10111 W +14 +b11111 7 +b11111 N +b11111 V +16 +b11010010111110110100100001101111 2 +b11010010111110110100100001101111 I +b11010010111110110100100001101111 Z +b1011 3 +b1011 J +b1011 Y +11 +b10111 ' +b10111 D +1) +b11111 & +b11111 C +1( +b11010010111110110100100001101111 , +b11010010111110110100100001101111 H +b1011 + +b1011 G +1- +1. +0% +#4577000 +0] +0i +b1101011100001110010111000000111 { +b1101011100001110010111000000111 A" +0s +b11011011110001000011111000010001 | +b11011011110001000011111000010001 B" +0\ +0[ +1=" +1@" +0h +0g +1:" +1;" +0r +0q +15" +16" +17" +b10100 3" +1c +1_ +b1000 0" +1j +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +1n +1m +1/" +1x +1w +1," +b11010010111110110100100001101111 &" +b11010010111110110100100001101111 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4577010 +b11010010111110110100100001101111 N" +#4577500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4578000 +1] +1i +1s +1\ +1[ +0=" +0@" +1h +1g +0:" +0;" +1r +1q +05" +06" +07" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0n +0m +0/" +0x +0w +0," +b1101011100001110010111000000111 / +b1101011100001110010111000000111 K +b1101011100001110010111000000111 e +b1101011100001110010111000000111 "" +b11011011110001000011111000010001 0 +b11011011110001000011111000010001 M +b11011011110001000011111000010001 f +b11011011110001000011111000010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4578500 +1. +0% +#4579000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4579500 +1. +0% +#4580000 +0. +1% +#4580500 +b1111111101111010111111011010 2 +b1111111101111010111111011010 I +b1111111101111010111111011010 Z +b1010 3 +b1010 J +b1010 Y +11 +b1111111101111010111111011010 , +b1111111101111010111111011010 H +b1010 + +b1010 G +1- +1. +0% +#4581000 +0] +0\ +1=" +1@" +b10101 3" +1c +1_ +1b +12" +b1111111101111010111111011010 &" +b1111111101111010111111011010 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +0. +1% +#4581010 +b1111111101111010111111011010 M" +#4581500 +b1100 5 +b1100 L +b1100 W +14 +b110 7 +b110 N +b110 V +16 +b100110111101110110100101110011 2 +b100110111101110110100101110011 I +b100110111101110110100101110011 Z +b10101 3 +b10101 J +b10101 Y +b1100 ' +b1100 D +1) +b110 & +b110 C +1( +b100110111101110110100101110011 , +b100110111101110110100101110011 H +b10101 + +b10101 G +1. +0% +#4582000 +1>" +0_ +1^ +0i +b1110101010001111000100011100011 { +b1110101010001111000100011100011 A" +0s +b1101011111101110010100011100 | +b1101011111101110010100011100 B" +1\ +0[ +0=" +19" +1:" +1;" +0r +16" +17" +b1010 3" +1<" +1` +0c +b10011 0" +0j +0o +1p +1k +b11001 -" +1t +1u +0b +1a +1/" +1x +1," +b100110111101110110100101110011 &" +b100110111101110110100101110011 ?" +b10101 %" +b10101 *" +b10101 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#4582010 +b100110111101110110100101110011 X" +#4582500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4583000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1[ +0>" +0@" +09" +0:" +0;" +1r +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110101010001111000100011100011 / +b1110101010001111000100011100011 K +b1110101010001111000100011100011 e +b1110101010001111000100011100011 "" +b1101011111101110010100011100 0 +b1101011111101110010100011100 M +b1101011111101110010100011100 f +b1101011111101110010100011100 !" +0. +1% +#4583500 +b11101110101111110111110011111 2 +b11101110101111110111110011111 I +b11101110101111110111110011111 Z +b11 3 +b11 J +b11 Y +11 +b11101110101111110111110011111 , +b11101110101111110111110011111 H +b11 + +b11 G +1- +1. +0% +#4584000 +0\ +0[ +1@" +b11100 3" +1_ +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101110101111110111110011111 &" +b11101110101111110111110011111 ?" +b11 %" +b11 *" +b11 1" +1'" +0. +1% +#4584010 +b11101110101111110111110011111 F" +#4584500 +b11101 5 +b11101 L +b11101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4585000 +0i +b101100110101010110001010010100 { +b101100110101010110001010010100 A" +1\ +1[ +0@" +0g +19" +1:" +1;" +b11111 3" +0_ +b10 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#4585500 +b11 5 +b11 L +b11 W +b11000 7 +b11000 N +b11000 V +16 +b11011001011000101111100110011111 2 +b11011001011000101111100110011111 I +b11011001011000101111100110011111 Z +b10010 3 +b10010 J +b10010 Y +11 +b11 ' +b11 D +b11000 & +b11000 C +1( +b11011001011000101111100110011111 , +b11011001011000101111100110011111 H +b10010 + +b10010 G +1- +1. +0% +#4586000 +1k +1i +0s +b11111001111110100100110101101000 | +b11111001111110100100110101101000 B" +0\ +1@" +0h +1;" +09" +0:" +15" +17" +b1101 3" +0_ +1<" +1` +b11100 0" +08" +0l +0p +b11101110101111110111110011111 { +b11101110101111110111110011111 A" +b111 -" +1y +0u +14" +1v +1b +12" +1n +1," +b101100110101010110001010010100 / +b101100110101010110001010010100 K +b101100110101010110001010010100 e +b101100110101010110001010010100 "" +b11011001011000101111100110011111 &" +b11011001011000101111100110011111 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11 ~ +b11 )" +b11 ." +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#4586010 +b11011001011000101111100110011111 U" +#4586500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10101 & +b10101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4587000 +16" +1t +1\ +0@" +1h +1g +0;" +0q +05" +b11111 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1010 -" +0y +b100110111101110110100101110011 | +b100110111101110110100101110011 B" +0b +02" +0n +0m +0/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +b11101110101111110111110011111 / +b11101110101111110111110011111 K +b11101110101111110111110011111 e +b11101110101111110111110011111 "" +b11111001111110100100110101101000 0 +b11111001111110100100110101101000 M +b11111001111110100100110101101000 f +b11111001111110100100110101101000 !" +0. +1% +#4587500 +b10011 7 +b10011 N +b10011 V +b10100101101101111100110110110010 2 +b10100101101101111100110110110010 I +b10100101101101111100110110110010 Z +b10110 3 +b10110 J +b10110 Y +11 +b10011 & +b10011 C +b10100101101101111100110110110010 , +b10100101101101111100110110110010 H +b10110 + +b10110 G +1- +1. +0% +#4588000 +0] +1s +0\ +1>" +1@" +0r +06" +b1001 3" +1^ +0_ +1<" +1` +b1100 -" +0t +b10111010111010000111001010101010 | +b10111010111010000111001010101010 B" +1b +12" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100110111101110110100101110011 0 +b100110111101110110100101110011 M +b100110111101110110100101110011 f +b100110111101110110100101110011 !" +b10100101101101111100110110110010 &" +b10100101101101111100110110110010 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b10011 } +b10011 (" +b10011 +" +0. +1% +#4588010 +b10100101101101111100110110110010 Y" +#4588500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b1011101011001010011011100011110 2 +b1011101011001010011011100011110 I +b1011101011001010011011100011110 Z +b11100 3 +b11100 J +b11100 Y +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +b1011101011001010011011100011110 , +b1011101011001010011011100011110 H +b11100 + +b11100 G +1. +0% +#4589000 +0^ +0i +b11010010111110110100100001101111 { +b11010010111110110100100001101111 A" +1\ +1=" +0h +0g +19" +1;" +1r +1q +07" +b11 3" +1d +b10100 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1n +1m +1/" +0x +0w +0," +b1011101011001010011011100011110 &" +b1011101011001010011011100011110 ?" +b11100 %" +b11100 *" +b11100 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10111010111010000111001010101010 0 +b10111010111010000111001010101010 M +b10111010111010000111001010101010 f +b10111010111010000111001010101010 !" +0. +1% +#4589010 +b1011101011001010011011100011110 _" +#4589500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4590000 +1] +1i +0=" +0>" +0@" +1h +1g +09" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0m +0/" +b11010010111110110100100001101111 / +b11010010111110110100100001101111 K +b11010010111110110100100001101111 e +b11010010111110110100100001101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4590500 +b1011 5 +b1011 L +b1011 W +14 +b10010 7 +b10010 N +b10010 V +16 +b1011 ' +b1011 D +1) +b10010 & +b10010 C +1( +1. +0% +#4591000 +0i +b11010010111110110100100001101111 { +b11010010111110110100100001101111 A" +b11011001011000101111100110011111 | +b11011001011000101111100110011111 B" +0h +0g +19" +1;" +0r +17" +b10100 0" +1o +1k +b1101 -" +0u +14" +1v +1n +1m +1/" +1x +1," +b1011 ~ +b1011 )" +b1011 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4591500 +b10100 5 +b10100 L +b10100 W +b1110 7 +b1110 N +b1110 V +b10100 ' +b10100 D +b1110 & +b1110 C +1. +0% +#4592000 +1:" +0k +1j +1u +0s +1h +1g +09" +17" +b10110100100011100001001000010001 | +b10110100100011100001001000010001 B" +15" +16" +b1011 0" +18" +1l +0o +b11011000110001101001100000010111 { +b11011000110001101001100000010111 A" +b10001 -" +04" +0v +0t +0y +1z +0n +0m +b11010010111110110100100001101111 / +b11010010111110110100100001101111 K +b11010010111110110100100001101111 e +b11010010111110110100100001101111 "" +b11011001011000101111100110011111 0 +b11011001011000101111100110011111 M +b11011001011000101111100110011111 f +b11011001011000101111100110011111 !" +b10100 ~ +b10100 )" +b10100 ." +b1110 } +b1110 (" +b1110 +" +0. +1% +#4592500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b1010001111111000101101110010111 2 +b1010001111111000101101110010111 I +b1010001111111000101101110010111 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +0) +b110 & +b110 C +b1010001111111000101101110010111 , +b1010001111111000101101110010111 H +b11010 + +b11010 G +1- +1. +0% +#4593000 +0] +1i +1t +b1101011111101110010100011100 | +b1101011111101110010100011100 B" +0\ +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +05" +16" +b101 3" +1c +0_ +1<" +1` +b11111 0" +0j +08" +0l +b11001 -" +0z +1b +12" +0/" +b1010001111111000101101110010111 &" +b1010001111111000101101110010111 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +b11011000110001101001100000010111 / +b11011000110001101001100000010111 K +b11011000110001101001100000010111 e +b11011000110001101001100000010111 "" +b10110100100011100001001000010001 0 +b10110100100011100001001000010001 M +b10110100100011100001001000010001 f +b10110100100011100001001000010001 !" +0. +1% +#4593010 +b1010001111111000101101110010111 ]" +#4593500 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4594000 +1] +0u +1s +1\ +0=" +0@" +0q +06" +b11111 3" +0c +0<" +0` +b1100 -" +14" +1v +0t +b10111010111010000111001010101010 | +b10111010111010000111001010101010 B" +0b +02" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101011111101110010100011100 0 +b1101011111101110010100011100 M +b1101011111101110010100011100 f +b1101011111101110010100011100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +0. +1% +#4594500 +b0 7 +b0 N +b0 V +06 +b10110100010101010011011110111011 2 +b10110100010101010011011110111011 I +b10110100010101010011011110111011 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 & +b0 C +0( +b10110100010101010011011110111011 , +b10110100010101010011011110111011 H +b1000 + +b1000 G +1- +1. +0% +#4595000 +0] +1=" +1@" +1r +1q +07" +b10111 3" +1c +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0w +0," +b10110100010101010011011110111011 &" +b10110100010101010011011110111011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10111010111010000111001010101010 0 +b10111010111010000111001010101010 M +b10111010111010000111001010101010 f +b10111010111010000111001010101010 !" +0. +1% +#4595010 +b10110100010101010011011110111011 K" +#4595500 +b10101 5 +b10101 L +b10101 W +14 +b11000 7 +b11000 N +b11000 V +16 +b11000010111011001000111011001001 2 +b11000010111011001000111011001001 I +b11000010111011001000111011001001 Z +b10100 3 +b10100 J +b10100 Y +b10101 ' +b10101 D +1) +b11000 & +b11000 C +1( +b11000010111011001000111011001001 , +b11000010111011001000111011001001 H +b10100 + +b10100 G +1. +0% +#4596000 +1>" +0_ +1^ +0i +b100110111101110110100101110011 { +b100110111101110110100101110011 A" +0s +b11111001111110100100110101101000 | +b11111001111110100100110101101000 B" +0=" +0g +1:" +1;" +15" +17" +b1011 3" +1<" +1` +0c +b1010 0" +1j +0k +18" +1l +b111 -" +1y +0u +14" +1v +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000010111011001000111011001001 &" +b11000010111011001000111011001001 ?" +b10100 %" +b10100 *" +b10100 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#4596010 +b11000010111011001000111011001001 W" +#4596500 +b0 5 +b0 L +b0 W +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4597000 +1] +1k +1i +0y +0>" +0@" +1g +1;" +0:" +0q +16" +b11111 3" +0^ +0<" +0` +b11111 0" +08" +0l +0j +b1010011100110111011110001111 { +b1010011100110111011110001111 A" +b10 -" +1z +b101100110101010110001010010100 | +b101100110101010110001010010100 B" +02" +0m +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +b11101 } +b11101 (" +b11101 +" +b100110111101110110100101110011 / +b100110111101110110100101110011 K +b100110111101110110100101110011 e +b100110111101110110100101110011 "" +b11111001111110100100110101101000 0 +b11111001111110100100110101101000 M +b11111001111110100100110101101000 f +b11111001111110100100110101101000 !" +0. +1% +#4597500 +04 +b0 7 +b0 N +b0 V +06 +0) +b0 & +b0 C +0( +1. +0% +#4598000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0;" +1q +05" +06" +07" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0w +0," +b1010011100110111011110001111 / +b1010011100110111011110001111 K +b1010011100110111011110001111 e +b1010011100110111011110001111 "" +b101100110101010110001010010100 0 +b101100110101010110001010010100 M +b101100110101010110001010010100 f +b101100110101010110001010010100 !" +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4598500 +b10111 5 +b10111 L +b10111 W +14 +b1101101001001001001100100011000 2 +b1101101001001001001100100011000 I +b1101101001001001001100100011000 Z +b10000 3 +b10000 J +b10000 Y +11 +b10111 ' +b10111 D +1) +b1101101001001001001100100011000 , +b1101101001001001001100100011000 H +b10000 + +b10000 G +1- +1. +0% +#4599000 +0i +b1101011100001110010111000000111 { +b1101011100001110010111000000111 A" +1@" +0h +0g +1:" +1;" +b1111 3" +0_ +1<" +1` +b1000 0" +1j +0k +18" +1l +12" +1n +1m +1/" +b1101101001001001001100100011000 &" +b1101101001001001001100100011000 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4599010 +b1101101001001001001100100011000 S" +#4599500 +b111 5 +b111 L +b111 W +b10011001011100110001101110011110 2 +b10011001011100110001101110011110 I +b10011001011100110001101110011110 Z +b101 3 +b101 J +b101 Y +b111 ' +b111 D +b10011001011100110001101110011110 , +b10011001011100110001101110011110 H +b101 + +b101 G +1. +0% +#4600000 +1_ +0] +1k +0[ +1@" +1>" +1;" +b10101111001101001101000010001001 { +b10101111001101001101000010001001 A" +b11010 3" +0<" +0` +1^ +b11000 0" +08" +0l +1a +b1101011100001110010111000000111 / +b1101011100001110010111000000111 K +b1101011100001110010111000000111 e +b1101011100001110010111000000111 "" +b10011001011100110001101110011110 &" +b10011001011100110001101110011110 ?" +b101 %" +b101 *" +b101 1" +b111 ~ +b111 )" +b111 ." +0. +1% +#4600010 +b10011001011100110001101110011110 H" +#4600500 +b1011 5 +b1011 L +b1011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4601000 +19" +1] +1o +b11010010111110110100100001101111 { +b11010010111110110100100001101111 A" +1[ +0>" +0@" +0:" +b11111 3" +0^ +0_ +b10100 0" +0j +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +b10101111001101001101000010001001 / +b10101111001101001101000010001001 K +b10101111001101001101000010001001 e +b10101111001101001101000010001001 "" +0. +1% +#4601500 +b11111 5 +b11111 L +b11111 W +b10011 7 +b10011 N +b10011 V +16 +b11111 ' +b11111 D +b10011 & +b10011 C +1( +1. +0% +#4602000 +0k +0o +b10111010111010000111001010101010 | +b10111010111010000111001010101010 B" +b11011011110001000011111000010001 { +b11011011110001000011111000010001 A" +1:" +0r +0q +17" +b0 0" +18" +1l +1p +b1100 -" +0u +14" +1v +1x +1w +1," +b11010010111110110100100001101111 / +b11010010111110110100100001101111 K +b11010010111110110100100001101111 e +b11010010111110110100100001101111 "" +b11111 ~ +b11111 )" +b11111 ." +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#4602500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4603000 +1i +1h +1g +09" +0:" +0;" +1r +1q +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011011110001000011111000010001 / +b11011011110001000011111000010001 K +b11011011110001000011111000010001 e +b11011011110001000011111000010001 "" +b10111010111010000111001010101010 0 +b10111010111010000111001010101010 M +b10111010111010000111001010101010 f +b10111010111010000111001010101010 !" +0. +1% +#4603500 +b11001100000010001001111101010000 2 +b11001100000010001001111101010000 I +b11001100000010001001111101010000 Z +b1000 3 +b1000 J +b1000 Y +11 +b11001100000010001001111101010000 , +b11001100000010001001111101010000 H +b1000 + +b1000 G +1- +1. +0% +#4604000 +0] +1=" +1@" +b10111 3" +1c +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001100000010001001111101010000 &" +b11001100000010001001111101010000 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +0. +1% +#4604010 +b11001100000010001001111101010000 K" +#4604500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4605000 +1] +0=" +0@" +b11111 3" +0c +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4605500 +1. +0% +#4606000 +0. +1% +#4606500 +b10011101001010011110001010111111 2 +b10011101001010011110001010111111 I +b10011101001010011110001010111111 Z +b10101 3 +b10101 J +b10101 Y +11 +b10011101001010011110001010111111 , +b10011101001010011110001010111111 H +b10101 + +b10101 G +1- +1. +0% +#4607000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b10011101001010011110001010111111 &" +b10011101001010011110001010111111 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +0. +1% +#4607010 +b10011101001010011110001010111111 X" +#4607500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4608000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4608500 +1. +0% +#4609000 +0. +1% +#4609500 +b10000 7 +b10000 N +b10000 V +16 +b1000001111010111111101101001 2 +b1000001111010111111101101001 I +b1000001111010111111101101001 Z +b11 3 +b11 J +b11 Y +11 +b10000 & +b10000 C +1( +b1000001111010111111101101001 , +b1000001111010111111101101001 H +b11 + +b11 G +1- +1. +0% +#4610000 +b1101101001001001001100100011000 | +b1101101001001001001100100011000 B" +0\ +0[ +1@" +17" +b11100 3" +1_ +b1111 -" +0u +14" +1v +1b +1a +12" +1," +b1000001111010111111101101001 &" +b1000001111010111111101101001 ?" +b11 %" +b11 *" +b11 1" +1'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#4610010 +b1000001111010111111101101001 F" +#4610500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4611000 +1\ +1[ +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0_ +b11111 -" +04" +0v +0b +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1101101001001001001100100011000 0 +b1101101001001001001100100011000 M +b1101101001001001001100100011000 f +b1101101001001001001100100011000 !" +0. +1% +#4611500 +b1011 5 +b1011 L +b1011 W +14 +b1 7 +b1 N +b1 V +16 +b11000101011001010100001001010100 2 +b11000101011001010100001001010100 I +b11000101011001010100001001010100 Z +b1100 3 +b1100 J +b1100 Y +11 +b1011 ' +b1011 D +1) +b1 & +b1 C +1( +b11000101011001010100001001010100 , +b11000101011001010100001001010100 H +b1100 + +b1100 G +1- +1. +0% +#4612000 +0] +0i +b11010010111110110100100001101111 { +b11010010111110110100100001101111 A" +b111011011100101110111101101000 | +b111011011100101110111101101000 B" +1=" +1>" +1@" +0h +0g +19" +1;" +0q +17" +b10011 3" +0^ +0c +1d +1_ +b10100 0" +1o +1k +b11110 -" +1u +12" +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000101011001010100001001010100 &" +b11000101011001010100001001010100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#4612010 +b11000101011001010100001001010100 O" +#4612500 +b1100 5 +b1100 L +b1100 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4613000 +1] +0o +0=" +0>" +0@" +1h +1g +1:" +1q +07" +b11111 3" +0d +0_ +b10011 0" +1p +b11000101011001010100001001010100 { +b11000101011001010100001001010100 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0m +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +b0 } +b0 (" +b0 +" +0#" +b11010010111110110100100001101111 / +b11010010111110110100100001101111 K +b11010010111110110100100001101111 e +b11010010111110110100100001101111 "" +b111011011100101110111101101000 0 +b111011011100101110111101101000 M +b111011011100101110111101101000 f +b111011011100101110111101101000 !" +0. +1% +#4613500 +b0 5 +b0 L +b0 W +04 +b10000000111010100011101110011011 2 +b10000000111010100011101110011011 I +b10000000111010100011101110011011 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b10000000111010100011101110011011 , +b10000000111010100011101110011011 H +b10000 + +b10000 G +1- +1. +0% +#4614000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1@" +09" +0:" +0;" +b1111 3" +0_ +1<" +1` +b11111 0" +0p +0k +12" +0/" +b11000101011001010100001001010100 / +b11000101011001010100001001010100 K +b11000101011001010100001001010100 e +b11000101011001010100001001010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000000111010100011101110011011 &" +b10000000111010100011101110011011 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4614010 +b10000000111010100011101110011011 S" +#4614500 +b11101 5 +b11101 L +b11101 W +14 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4615000 +0i +b101100110101010110001010010100 { +b101100110101010110001010010100 A" +0s +b1101011100001110010111000000111 | +b1101011100001110010111000000111 B" +0@" +0g +19" +1:" +1;" +0r +0q +16" +17" +b11111 3" +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +b1000 -" +1t +0u +14" +1v +02" +1m +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4615500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4616000 +1i +1s +1g +09" +0:" +0;" +1r +1q +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b101100110101010110001010010100 / +b101100110101010110001010010100 K +b101100110101010110001010010100 e +b101100110101010110001010010100 "" +b1101011100001110010111000000111 0 +b1101011100001110010111000000111 M +b1101011100001110010111000000111 f +b1101011100001110010111000000111 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4616500 +b10 7 +b10 N +b10 V +16 +b1011000111101101111001010110111 2 +b1011000111101101111001010110111 I +b1011000111101101111001010110111 Z +b1001 3 +b1001 J +b1001 Y +11 +b10 & +b10 C +1( +b1011000111101101111001010110111 , +b1011000111101101111001010110111 H +b1001 + +b1001 G +1- +1. +0% +#4617000 +0] +b11011000011111111101100110111101 | +b11011000011111111101100110111101 B" +0[ +1=" +1@" +0r +17" +b10110 3" +1c +1_ +b11101 -" +1u +1a +12" +1x +1," +b1011000111101101111001010110111 &" +b1011000111101101111001010110111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4617010 +b1011000111101101111001010110111 L" +#4617500 +b10010 7 +b10010 N +b10010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 & +b10010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4618000 +1] +0u +1[ +0=" +0@" +b11011001011000101111100110011111 | +b11011001011000101111100110011111 B" +b11111 3" +0c +0_ +b1101 -" +14" +1v +0a +02" +b11011000011111111101100110111101 0 +b11011000011111111101100110111101 M +b11011000011111111101100110111101 f +b11011000011111111101100110111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 } +b10010 (" +b10010 +" +0. +1% +#4618500 +b0 7 +b0 N +b0 V +b0 & +b0 C +1. +0% +#4619000 +1u +1r +17" +b11111 -" +04" +0v +b1010011100110111011110001111 | +b1010011100110111011110001111 B" +0x +b0 } +b0 (" +b0 +" +b11011001011000101111100110011111 0 +b11011001011000101111100110011111 M +b11011001011000101111100110011111 f +b11011001011000101111100110011111 !" +0. +1% +#4619500 +b1111 5 +b1111 L +b1111 W +14 +06 +b10001000010100011010000001111101 2 +b10001000010100011010000001111101 I +b10001000010100011010000001111101 Z +b11111 3 +b11111 J +b11111 Y +11 +b1111 ' +b1111 D +1) +0( +b10001000010100011010000001111101 , +b10001000010100011010000001111101 H +b11111 + +b11111 G +1- +1. +0% +#4620000 +0] +0i +b1010010101000100001010001010100 { +b1010010101000100001010001010100 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10000 0" +0j +0o +1p +1k +0u +1b +1a +12" +1n +1m +1/" +0," +b1010011100110111011110001111 0 +b1010011100110111011110001111 M +b1010011100110111011110001111 f +b1010011100110111011110001111 !" +b10001000010100011010000001111101 &" +b10001000010100011010000001111101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +0#" +0. +1% +#4620500 +b111 5 +b111 L +b111 W +b1000 7 +b1000 N +b1000 V +16 +b1111110100010101001100110000101 2 +b1111110100010101001100110000101 I +b1111110100010101001100110000101 Z +b10111 3 +b10111 J +b10111 Y +b111 ' +b111 D +b1000 & +b1000 C +1( +b1111110100010101001100110000101 , +b1111110100010101001100110000101 H +b10111 + +b10111 G +1. +0% +#4621000 +1^ +1j +b10101111001101001101000010001001 { +b10101111001101001101000010001001 A" +0s +b11001100000010001001111101010000 | +b11001100000010001001111101010000 B" +0=" +1>" +09" +1:" +15" +17" +b1000 3" +0d +b11000 0" +0p +b10111 -" +1y +1u +1," +b1111110100010101001100110000101 &" +b1111110100010101001100110000101 ?" +b10111 %" +b10111 *" +b10111 1" +b111 ~ +b111 )" +b111 ." +b1000 } +b1000 (" +b1000 +" +1#" +b1010010101000100001010001010100 / +b1010010101000100001010001010100 K +b1010010101000100001010001010100 e +b1010010101000100001010001010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4621010 +b1111110100010101001100110000101 Z" +#4621500 +b101 5 +b101 L +b101 W +b10001 7 +b10001 N +b10001 V +b11000100001011101011101101111001 2 +b11000100001011101011101101111001 I +b11000100001011101011101101111001 Z +b10100 3 +b10100 J +b10100 Y +b101 ' +b101 D +b10001 & +b10001 C +b11000100001011101011101101111001 , +b11000100001011101011101101111001 H +b10100 + +b10100 G +1. +0% +#4622000 +0u +1s +1\ +1[ +1h +0q +05" +b1011 3" +b11010 0" +b10011001011100110001101110011110 { +b10011001011100110001101110011110 A" +b1110 -" +14" +1v +0y +b1011100111110101101011110101100 | +b1011100111110101101011110101100 B" +0b +0a +0n +1w +b10101111001101001101000010001001 / +b10101111001101001101000010001001 K +b10101111001101001101000010001001 e +b10101111001101001101000010001001 "" +b11001100000010001001111101010000 0 +b11001100000010001001111101010000 M +b11001100000010001001111101010000 f +b11001100000010001001111101010000 !" +b11000100001011101011101101111001 &" +b11000100001011101011101101111001 ?" +b10100 %" +b10100 *" +b10100 1" +b101 ~ +b101 )" +b101 ." +b10001 } +b10001 (" +b10001 +" +0. +1% +#4622010 +b11000100001011101011101101111001 W" +#4622500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b101100000011001110011111010101 2 +b101100000011001110011111010101 I +b101100000011001110011111010101 Z +b11011 3 +b11011 J +b11011 Y +b0 ' +b0 D +0) +b1101 & +b1101 C +b101100000011001110011111010101 , +b101100000011001110011111010101 H +b11011 + +b11011 G +1. +0% +#4623000 +1=" +1c +1i +1u +0s +0\ +0[ +0>" +1g +0:" +0;" +17" +b1011010011000010110011010010110 | +b1011010011000010110011010010110 B" +15" +16" +b100 3" +0^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +04" +0v +0t +0y +1z +1b +1a +0m +0/" +b101100000011001110011111010101 &" +b101100000011001110011111010101 ?" +b11011 %" +b11011 *" +b11011 1" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +b10011001011100110001101110011110 / +b10011001011100110001101110011110 K +b10011001011100110001101110011110 e +b10011001011100110001101110011110 "" +b1011100111110101101011110101100 0 +b1011100111110101101011110101100 M +b1011100111110101101011110101100 f +b1011100111110101101011110101100 !" +0. +1% +#4623010 +b101100000011001110011111010101 ^" +#4623500 +b0 7 +b0 N +b0 V +06 +b11111110000111110010001001010110 2 +b11111110000111110010001001010110 I +b11111110000111110010001001010110 Z +b1000 3 +b1000 J +b1000 Y +b0 & +b0 C +0( +b11111110000111110010001001010110 , +b11111110000111110010001001010110 H +b1000 + +b1000 G +1. +0% +#4624000 +1_ +1s +1\ +1[ +1@" +1q +05" +06" +07" +b10111 3" +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011010011000010110011010010110 0 +b1011010011000010110011010010110 M +b1011010011000010110011010010110 f +b1011010011000010110011010010110 !" +b11111110000111110010001001010110 &" +b11111110000111110010001001010110 ?" +b1000 %" +b1000 *" +b1000 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4624010 +b11111110000111110010001001010110 K" +#4624500 +b10111 5 +b10111 L +b10111 W +14 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4625000 +1] +0i +b1111110100010101001100110000101 { +b1111110100010101001100110000101 A" +b11011000011111111101100110111101 | +b11011000011111111101100110111101 B" +0=" +0@" +0h +0g +1:" +1;" +0r +17" +b11111 3" +0c +0_ +b1000 0" +1j +0k +18" +1l +b11101 -" +1u +02" +1n +1m +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4625500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1011100001101100010011010101111 2 +b1011100001101100010011010101111 I +b1011100001101100010011010101111 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1011100001101100010011010101111 , +b1011100001101100010011010101111 H +b1101 + +b1101 G +1- +1. +0% +#4626000 +0] +1i +0[ +1=" +1>" +1@" +1h +1g +0:" +0;" +1r +07" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0n +0m +0/" +0x +0," +b1111110100010101001100110000101 / +b1111110100010101001100110000101 K +b1111110100010101001100110000101 e +b1111110100010101001100110000101 "" +b11011000011111111101100110111101 0 +b11011000011111111101100110111101 M +b11011000011111111101100110111101 f +b11011000011111111101100110111101 !" +b1011100001101100010011010101111 &" +b1011100001101100010011010101111 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4626010 +b1011100001101100010011010101111 P" +#4626500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4627000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4627500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#4628000 +b10000000111010100011101110011011 | +b10000000111010100011101110011011 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#4628500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4629000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b10000000111010100011101110011011 0 +b10000000111010100011101110011011 M +b10000000111010100011101110011011 f +b10000000111010100011101110011011 !" +0. +1% +#4629500 +b10110 5 +b10110 L +b10110 W +14 +b10110 ' +b10110 D +1) +1. +0% +#4630000 +0i +b10100101101101111100110110110010 { +b10100101101101111100110110110010 A" +0h +1:" +1;" +b1001 0" +1j +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#4630500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 ' +b0 D +0) +b10 & +b10 C +1( +1. +0% +#4631000 +1i +b11011000011111111101100110111101 | +b11011000011111111101100110111101 B" +1h +0:" +0;" +0r +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +0n +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +b10100101101101111100110110110010 / +b10100101101101111100110110110010 K +b10100101101101111100110110110010 e +b10100101101101111100110110110010 "" +0. +1% +#4631500 +b11 5 +b11 L +b11 W +14 +b11111 7 +b11111 N +b11111 V +b11 ' +b11 D +1) +b11111 & +b11111 C +1. +0% +#4632000 +b1000001111010111111101101001 { +b1000001111010111111101101001 A" +0u +0s +0h +0g +1;" +0q +15" +16" +b11100 0" +1k +b0 -" +14" +1v +0t +0y +1z +b10001000010100011010000001111101 | +b10001000010100011010000001111101 B" +1n +1m +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011000011111111101100110111101 0 +b11011000011111111101100110111101 M +b11011000011111111101100110111101 f +b11011000011111111101100110111101 !" +b11 ~ +b11 )" +b11 ." +1$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#4632500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4633000 +1s +1h +1g +0;" +1r +1q +05" +06" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000001111010111111101101001 / +b1000001111010111111101101001 K +b1000001111010111111101101001 e +b1000001111010111111101101001 "" +b10001000010100011010000001111101 0 +b10001000010100011010000001111101 M +b10001000010100011010000001111101 f +b10001000010100011010000001111101 !" +0. +1% +#4633500 +14 +b1111 7 +b1111 N +b1111 V +16 +1) +b1111 & +b1111 C +1( +1. +0% +#4634000 +b1010011100110111011110001111 { +b1010011100110111011110001111 A" +0s +b1010010101000100001010001010100 | +b1010010101000100001010001010100 B" +1;" +0r +0q +15" +16" +17" +1k +b10000 -" +0t +0y +1z +1u +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#4634500 +b1 5 +b1 L +b1 W +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +b0 & +b0 C +0( +1. +0% +#4635000 +1s +0g +1r +1q +05" +06" +07" +b11110 0" +b111011011100101110111101101000 { +b111011011100101110111101101000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +0x +0w +0," +b1 ~ +b1 )" +b1 ." +b0 } +b0 (" +b0 +" +0#" +b1010011100110111011110001111 / +b1010011100110111011110001111 K +b1010011100110111011110001111 e +b1010011100110111011110001111 "" +b1010010101000100001010001010100 0 +b1010010101000100001010001010100 M +b1010010101000100001010001010100 f +b1010010101000100001010001010100 !" +0. +1% +#4635500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b1000001111011111110010100011011 2 +b1000001111011111110010100011011 I +b1000001111011111110010100011011 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b1000001111011111110010100011011 , +b1000001111011111110010100011011 H +b1000 + +b1000 G +1- +1. +0% +#4636000 +0] +0s +b11000101011001010100001001010100 | +b11000101011001010100001001010100 B" +1=" +1@" +1g +0;" +15" +16" +17" +b10111 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10011 -" +0t +0y +1z +1u +12" +0m +0/" +1," +b111011011100101110111101101000 / +b111011011100101110111101101000 K +b111011011100101110111101101000 e +b111011011100101110111101101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000001111011111110010100011011 &" +b1000001111011111110010100011011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#4636010 +b1000001111011111110010100011011 K" +#4636500 +b11100 7 +b11100 N +b11100 V +b10100001010001101010001011110100 2 +b10100001010001101010001011110100 I +b10100001010001101010001011110100 Z +b11 3 +b11 J +b11 Y +b11100 & +b11100 C +b10100001010001101010001011110100 , +b10100001010001101010001011110100 H +b11 + +b11 G +1. +0% +#4637000 +1] +0u +0\ +0[ +0=" +b1011101011001010011011100011110 | +b1011101011001010011011100011110 B" +b11100 3" +0c +b11 -" +14" +1v +1b +1a +b10100001010001101010001011110100 &" +b10100001010001101010001011110100 ?" +b11 %" +b11 *" +b11 1" +b11100 } +b11100 (" +b11100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000101011001010100001001010100 0 +b11000101011001010100001001010100 M +b11000101011001010100001001010100 f +b11000101011001010100001001010100 !" +0. +1% +#4637010 +b10100001010001101010001011110100 F" +#4637500 +b1001 5 +b1001 L +b1001 W +14 +b1010 7 +b1010 N +b1010 V +b1001111000101011000010 2 +b1001111000101011000010 I +b1001111000101011000010 Z +b10 3 +b10 J +b10 Y +b1001 ' +b1001 D +1) +b1010 & +b1010 C +b1001111000101011000010 , +b1001111000101011000010 H +b10 + +b10 G +1. +0% +#4638000 +0i +b1011000111101101111001010110111 { +b1011000111101101111001010110111 A" +1u +1y +1[ +0g +19" +1;" +0r +17" +15" +06" +b11101 3" +b10110 0" +1o +1k +b10101 -" +04" +0v +0z +b1111111101111010111111011010 | +b1111111101111010111111011010 B" +0a +1m +1/" +1x +b1011101011001010011011100011110 0 +b1011101011001010011011100011110 M +b1011101011001010011011100011110 f +b1011101011001010011011100011110 !" +b1001111000101011000010 &" +b1001111000101011000010 ?" +b10 %" +b10 *" +b10 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b1010 } +b1010 (" +b1010 +" +0. +1% +#4638010 +b1001111000101011000010 E" +#4638500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011010001000111000111110110110 2 +b11011010001000111000111110110110 I +b11011010001000111000111110110110 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011010001000111000111110110110 , +b11011010001000111000111110110110 H +b1 + +b1 G +1. +0% +#4639000 +1i +1s +1\ +0[ +1g +09" +0;" +1r +05" +07" +b11110 3" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1a +0m +0/" +0x +0," +b11011010001000111000111110110110 &" +b11011010001000111000111110110110 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1011000111101101111001010110111 / +b1011000111101101111001010110111 K +b1011000111101101111001010110111 e +b1011000111101101111001010110111 "" +b1111111101111010111111011010 0 +b1111111101111010111111011010 M +b1111111101111010111111011010 f +b1111111101111010111111011010 !" +0. +1% +#4639010 +b11011010001000111000111110110110 D" +#4639500 +b11001 5 +b11001 L +b11001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4640000 +0i +b11001101110011001000111001011101 { +b11001101110011001000111001011101 A" +1[ +0@" +0g +19" +1;" +b11111 3" +0_ +b110 0" +1o +0k +18" +1l +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#4640500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b0 ' +b0 D +0) +b11 & +b11 C +1( +1. +0% +#4641000 +1i +b10100001010001101010001011110100 | +b10100001010001101010001011110100 B" +1g +09" +0;" +0r +0q +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11100 -" +1u +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +b11001101110011001000111001011101 / +b11001101110011001000111001011101 K +b11001101110011001000111001011101 e +b11001101110011001000111001011101 "" +0. +1% +#4641500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +1. +0% +#4642000 +0i +b1011000111101101111001010110111 { +b1011000111101101111001010110111 A" +0g +19" +1;" +1r +1q +07" +b10110 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100001010001101010001011110100 0 +b10100001010001101010001011110100 M +b10100001010001101010001011110100 f +b10100001010001101010001011110100 !" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4642500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4643000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1011000111101101111001010110111 / +b1011000111101101111001010110111 K +b1011000111101101111001010110111 e +b1011000111101101111001010110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4643500 +1. +0% +#4644000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4644500 +1. +0% +#4645000 +0. +1% +#4645500 +1. +0% +#4646000 +0. +1% +#4646500 +b11110110101111010011101101011011 2 +b11110110101111010011101101011011 I +b11110110101111010011101101011011 Z +b1 3 +b1 J +b1 Y +11 +b11110110101111010011101101011011 , +b11110110101111010011101101011011 H +b1 + +b1 G +1- +1. +0% +#4647000 +0[ +1@" +b11110 3" +1_ +1a +12" +b11110110101111010011101101011011 &" +b11110110101111010011101101011011 ?" +b1 %" +b1 *" +b1 1" +1'" +0. +1% +#4647010 +b11110110101111010011101101011011 D" +#4647500 +14 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4648000 +b1010011100110111011110001111 { +b1010011100110111011110001111 A" +0s +b1000001111011111110010100011011 | +b1000001111011111110010100011011 B" +1[ +0@" +1;" +15" +17" +b11111 3" +0_ +1k +b10111 -" +1y +1u +0a +02" +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#4648500 +04 +b0 7 +b0 N +b0 V +06 +b110111101010100101011001010010 2 +b110111101010100101011001010010 I +b110111101010100101011001010010 Z +b11010 3 +b11010 J +b11010 Y +11 +0) +b0 & +b0 C +0( +b110111101010100101011001010010 , +b110111101010100101011001010010 H +b11010 + +b11010 G +1- +1. +0% +#4649000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1=" +1@" +0;" +05" +07" +b101 3" +1c +0_ +1<" +1` +0k +b11111 -" +0y +0u +1b +12" +0/" +0," +b110111101010100101011001010010 &" +b110111101010100101011001010010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +0$" +b0 } +b0 (" +b0 +" +0#" +b1010011100110111011110001111 / +b1010011100110111011110001111 K +b1010011100110111011110001111 e +b1010011100110111011110001111 "" +b1000001111011111110010100011011 0 +b1000001111011111110010100011011 M +b1000001111011111110010100011011 f +b1000001111011111110010100011011 !" +0. +1% +#4649010 +b110111101010100101011001010010 ]" +#4649500 +b1100 5 +b1100 L +b1100 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4650000 +1] +0i +b11000101011001010100001001010100 { +b11000101011001010100001001010100 A" +0s +b11001101110011001000111001011101 | +b11001101110011001000111001011101 B" +1\ +0=" +0@" +19" +1:" +1;" +0q +15" +17" +b11111 3" +0c +0<" +0` +b10011 0" +0j +0o +1p +1k +b110 -" +1y +0u +14" +1v +0b +02" +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#4650500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11100111001011010001110000001110 2 +b11100111001011010001110000001110 I +b11100111001011010001110000001110 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11100111001011010001110000001110 , +b11100111001011010001110000001110 H +b10111 + +b10111 G +1- +1. +0% +#4651000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0\ +0[ +1>" +1@" +09" +0:" +0;" +1q +05" +07" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0p +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0/" +0w +0," +b11100111001011010001110000001110 &" +b11100111001011010001110000001110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000101011001010100001001010100 / +b11000101011001010100001001010100 K +b11000101011001010100001001010100 e +b11000101011001010100001001010100 "" +b11001101110011001000111001011101 0 +b11001101110011001000111001011101 M +b11001101110011001000111001011101 f +b11001101110011001000111001011101 !" +0. +1% +#4651010 +b11100111001011010001110000001110 Z" +#4651500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4652000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4652500 +b1110 7 +b1110 N +b1110 V +16 +b11111010101000110001110100110 2 +b11111010101000110001110100110 I +b11111010101000110001110100110 Z +b11100 3 +b11100 J +b11100 Y +11 +b1110 & +b1110 C +1( +b11111010101000110001110100110 , +b11111010101000110001110100110 H +b11100 + +b11100 G +1- +1. +0% +#4653000 +0] +0s +b10110100100011100001001000010001 | +b10110100100011100001001000010001 B" +1=" +1>" +1@" +0r +15" +16" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b10001 -" +0t +0y +1z +1u +12" +1x +1," +b11111010101000110001110100110 &" +b11111010101000110001110100110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#4653010 +b11111010101000110001110100110 _" +#4653500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4654000 +1] +1s +0=" +0>" +0@" +1r +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0," +b10110100100011100001001000010001 0 +b10110100100011100001001000010001 M +b10110100100011100001001000010001 f +b10110100100011100001001000010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4654500 +b10001100011111011000011101110000 2 +b10001100011111011000011101110000 I +b10001100011111011000011101110000 Z +b11010 3 +b11010 J +b11010 Y +11 +b10001100011111011000011101110000 , +b10001100011111011000011101110000 H +b11010 + +b11010 G +1- +1. +0% +#4655000 +0] +0\ +1=" +1@" +b101 3" +1c +0_ +1<" +1` +1b +12" +b10001100011111011000011101110000 &" +b10001100011111011000011101110000 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4655010 +b10001100011111011000011101110000 ]" +#4655500 +b10 5 +b10 L +b10 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4656000 +1] +b1001111000101011000010 { +b1001111000101011000010 A" +1\ +0=" +0@" +0h +1;" +b11111 3" +0c +0<" +0` +b11101 0" +1k +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#4656500 +b0 5 +b0 L +b0 W +04 +b10101000000100111010111100110000 2 +b10101000000100111010111100110000 I +b10101000000100111010111100110000 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b10101000000100111010111100110000 , +b10101000000100111010111100110000 H +b11110 + +b11110 G +1- +1. +0% +#4657000 +0] +0\ +1=" +1>" +1@" +1h +0;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0/" +b10101000000100111010111100110000 &" +b10101000000100111010111100110000 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1001111000101011000010 / +b1001111000101011000010 K +b1001111000101011000010 e +b1001111000101011000010 "" +0. +1% +#4657010 +b10101000000100111010111100110000 a" +#4657500 +b10001 5 +b10001 L +b10001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4658000 +1] +b1011100111110101101011110101100 { +b1011100111110101101011110101100 A" +1\ +0=" +0>" +0@" +0g +1;" +b11111 3" +0d +0<" +0` +b1110 0" +0k +18" +1l +0b +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#4658500 +b101 5 +b101 L +b101 W +b101 ' +b101 D +1. +0% +#4659000 +1k +0i +1;" +b10011001011100110001101110011110 { +b10011001011100110001101110011110 A" +1:" +b11010 0" +08" +0l +1j +b101 ~ +b101 )" +b101 ." +b1011100111110101101011110101100 / +b1011100111110101101011110101100 K +b1011100111110101101011110101100 e +b1011100111110101101011110101100 "" +0. +1% +#4659500 +b1001 5 +b1001 L +b1001 W +b1001 ' +b1001 D +1. +0% +#4660000 +19" +1o +b1011000111101101111001010110111 { +b1011000111101101111001010110111 A" +0:" +b10110 0" +0j +b10011001011100110001101110011110 / +b10011001011100110001101110011110 K +b10011001011100110001101110011110 e +b10011001011100110001101110011110 "" +b1001 ~ +b1001 )" +b1001 ." +0. +1% +#4660500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4661000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1011000111101101111001010110111 / +b1011000111101101111001010110111 K +b1011000111101101111001010110111 e +b1011000111101101111001010110111 "" +0. +1% +#4661500 +b11111 5 +b11111 L +b11111 W +14 +b10 7 +b10 N +b10 V +16 +b11111 ' +b11111 D +1) +b10 & +b10 C +1( +1. +0% +#4662000 +0i +b10001000010100011010000001111101 { +b10001000010100011010000001111101 A" +b1001111000101011000010 | +b1001111000101011000010 B" +0h +0g +19" +1:" +1;" +0r +17" +b0 0" +0j +0o +1p +0k +18" +1l +b11101 -" +1u +1n +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111 ~ +b11111 )" +b11111 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#4662500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 ' +b0 D +0) +b1100 & +b1100 C +1. +0% +#4663000 +1i +0s +1h +1g +09" +0:" +0;" +1r +15" +16" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +b11000101011001010100001001010100 | +b11000101011001010100001001010100 B" +0n +0m +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +b10001000010100011010000001111101 / +b10001000010100011010000001111101 K +b10001000010100011010000001111101 e +b10001000010100011010000001111101 "" +b1001111000101011000010 0 +b1001111000101011000010 M +b1001111000101011000010 f +b1001111000101011000010 !" +0. +1% +#4663500 +b0 7 +b0 N +b0 V +06 +b11001010101101110010010001110111 2 +b11001010101101110010010001110111 I +b11001010101101110010010001110111 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 & +b0 C +0( +b11001010101101110010010001110111 , +b11001010101101110010010001110111 H +b10000 + +b10000 G +1- +1. +0% +#4664000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +05" +06" +07" +b1111 3" +0_ +1<" +1` +b11111 -" +0z +0u +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000101011001010100001001010100 0 +b11000101011001010100001001010100 M +b11000101011001010100001001010100 f +b11000101011001010100001001010100 !" +b11001010101101110010010001110111 &" +b11001010101101110010010001110111 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4664010 +b11001010101101110010010001110111 S" +#4664500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4665000 +0@" +b11111 3" +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4665500 +b1 5 +b1 L +b1 W +14 +b1 ' +b1 D +1) +1. +0% +#4666000 +b11110110101111010011101101011011 { +b11110110101111010011101101011011 A" +0g +1;" +b11110 0" +1k +1m +1/" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#4666500 +b10100 5 +b10100 L +b10100 W +b11011 7 +b11011 N +b11011 V +16 +b10100000111011111111110101011101 2 +b10100000111011111111110101011101 I +b10100000111011111111110101011101 Z +b1 3 +b1 J +b1 Y +11 +b10100 ' +b10100 D +b11011 & +b11011 C +1( +b10100000111011111111110101011101 , +b10100000111011111111110101011101 H +b1 + +b1 G +1- +1. +0% +#4667000 +0k +0i +0s +b101100000011001110011111010101 | +b101100000011001110011111010101 B" +0[ +1@" +1g +1:" +0r +0q +15" +17" +b11110 3" +1_ +b1011 0" +18" +1l +1j +b11000100001011101011101101111001 { +b11000100001011101011101101111001 A" +b100 -" +1y +0u +14" +1v +1a +12" +0m +1x +1w +1," +b10100000111011111111110101011101 &" +b10100000111011111111110101011101 ?" +b1 %" +b1 *" +b1 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b11011 } +b11011 (" +b11011 +" +1#" +b11110110101111010011101101011011 / +b11110110101111010011101101011011 K +b11110110101111010011101101011011 e +b11110110101111010011101101011011 "" +0. +1% +#4667010 +b10100000111011111111110101011101 D" +#4667500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4668000 +1i +1s +1[ +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +05" +07" +b11111 3" +0_ +b11111 0" +0j +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0/" +0x +0w +0," +b11000100001011101011101101111001 / +b11000100001011101011101101111001 K +b11000100001011101011101101111001 e +b11000100001011101011101101111001 "" +b101100000011001110011111010101 0 +b101100000011001110011111010101 M +b101100000011001110011111010101 f +b101100000011001110011111010101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4668500 +1. +0% +#4669000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4669500 +b11010 5 +b11010 L +b11010 W +14 +b10001000010000001010100001111110 2 +b10001000010000001010100001111110 I +b10001000010000001010100001111110 Z +b11100 3 +b11100 J +b11100 Y +11 +b11010 ' +b11010 D +1) +b10001000010000001010100001111110 , +b10001000010000001010100001111110 H +b11100 + +b11100 G +1- +1. +0% +#4670000 +0] +0i +b10001100011111011000011101110000 { +b10001100011111011000011101110000 A" +1=" +1>" +1@" +0h +19" +1;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b101 0" +1o +0k +18" +1l +12" +1n +1/" +b10001000010000001010100001111110 &" +b10001000010000001010100001111110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#4670010 +b10001000010000001010100001111110 _" +#4670500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4671000 +1] +1i +0s +b10011001011100110001101110011110 | +b10011001011100110001101110011110 B" +0=" +0>" +0@" +1h +09" +0;" +0q +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +1t +1u +02" +0n +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +b10001100011111011000011101110000 / +b10001100011111011000011101110000 K +b10001100011111011000011101110000 e +b10001100011111011000011101110000 "" +0. +1% +#4671500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +1. +0% +#4672000 +0i +b10110100100011100001001000010001 { +b10110100100011100001001000010001 A" +1s +0h +19" +1:" +1;" +1q +06" +07" +b10001 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011001011100110001101110011110 0 +b10011001011100110001101110011110 M +b10011001011100110001101110011110 f +b10011001011100110001101110011110 !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4672500 +b1101 5 +b1101 L +b1101 W +b10 7 +b10 N +b10 V +16 +b1101 ' +b1101 D +b10 & +b10 C +1( +1. +0% +#4673000 +b1001111000101011000010 | +b1001111000101011000010 B" +1h +0g +0r +17" +b10010 0" +b1011100001101100010011010101111 { +b1011100001101100010011010101111 A" +b11101 -" +1u +0n +1m +1x +1," +b1101 ~ +b1101 )" +b1101 ." +b10 } +b10 (" +b10 +" +1#" +b10110100100011100001001000010001 / +b10110100100011100001001000010001 K +b10110100100011100001001000010001 e +b10110100100011100001001000010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4673500 +b1 5 +b1 L +b1 W +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +b0 & +b0 C +0( +1. +0% +#4674000 +1i +b10100000111011111111110101011101 { +b10100000111011111111110101011101 A" +09" +0:" +1r +07" +b11110 0" +0p +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b1011100001101100010011010101111 / +b1011100001101100010011010101111 K +b1011100001101100010011010101111 e +b1011100001101100010011010101111 "" +b1001111000101011000010 0 +b1001111000101011000010 M +b1001111000101011000010 f +b1001111000101011000010 !" +b1 ~ +b1 )" +b1 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4674500 +b11100 5 +b11100 L +b11100 W +b11100 ' +b11100 D +1. +0% +#4675000 +0k +0i +1g +19" +1:" +b11 0" +18" +1l +0j +0o +1p +b10001000010000001010100001111110 { +b10001000010000001010100001111110 A" +0m +b11100 ~ +b11100 )" +b11100 ." +b10100000111011111111110101011101 / +b10100000111011111111110101011101 K +b10100000111011111111110101011101 e +b10100000111011111111110101011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4675500 +b1111 5 +b1111 L +b1111 W +b1111 ' +b1111 D +1. +0% +#4676000 +1k +0h +0g +1;" +b10000 0" +08" +0l +b1010010101000100001010001010100 { +b1010010101000100001010001010100 A" +1n +1m +b10001000010000001010100001111110 / +b10001000010000001010100001111110 K +b10001000010000001010100001111110 e +b10001000010000001010100001111110 "" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#4676500 +b10010 5 +b10010 L +b10010 W +b10100 7 +b10100 N +b10100 V +16 +b10010 ' +b10010 D +b10100 & +b10100 C +1( +1. +0% +#4677000 +0k +1i +0s +b11000100001011101011101101111001 | +b11000100001011101011101101111001 B" +1g +09" +0:" +16" +17" +b1101 0" +18" +1l +0p +b11011001011000101111100110011111 { +b11011001011000101111100110011111 A" +b1011 -" +1t +0u +14" +1v +0m +1," +b10010 ~ +b10010 )" +b10010 ." +b10100 } +b10100 (" +b10100 +" +1#" +b1010010101000100001010001010100 / +b1010010101000100001010001010100 K +b1010010101000100001010001010100 e +b1010010101000100001010001010100 "" +0. +1% +#4677500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b11010010111111010111011100010110 2 +b11010010111111010111011100010110 I +b11010010111111010111011100010110 Z +b1111 3 +b1111 J +b1111 Y +11 +b1000 ' +b1000 D +b0 & +b0 C +0( +b11010010111111010111011100010110 , +b11010010111111010111011100010110 H +b1111 + +b1111 G +1- +1. +0% +#4678000 +0] +1k +0i +1s +0\ +0[ +1=" +1>" +1@" +1h +1;" +19" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10000 3" +0^ +0c +1d +1_ +b10111 0" +08" +0l +1o +b1000001111011111110010100011011 { +b1000001111011111110010100011011 A" +b11111 -" +0t +04" +0v +1b +1a +12" +0n +0," +b11011001011000101111100110011111 / +b11011001011000101111100110011111 K +b11011001011000101111100110011111 e +b11011001011000101111100110011111 "" +b11000100001011101011101101111001 0 +b11000100001011101011101101111001 M +b11000100001011101011101101111001 f +b11000100001011101011101101111001 !" +b11010010111111010111011100010110 &" +b11010010111111010111011100010110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4678010 +b11010010111111010111011100010110 R" +#4678500 +b110 5 +b110 L +b110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4679000 +1:" +1] +1j +1\ +1[ +0=" +0>" +0@" +0h +09" +b11111 3" +0d +0_ +b11001 0" +0o +b1101011111101110010100011100 { +b1101011111101110010100011100 A" +0b +0a +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b1000001111011111110010100011011 / +b1000001111011111110010100011011 K +b1000001111011111110010100011011 e +b1000001111011111110010100011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4679500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +1. +0% +#4680000 +1i +0s +b10100101101101111100110110110010 | +b10100101101101111100110110110010 B" +1h +0:" +0;" +0r +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +0n +0/" +1x +1," +b1101011111101110010100011100 / +b1101011111101110010100011100 K +b1101011111101110010100011100 e +b1101011111101110010100011100 "" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#4680500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4681000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100101101101111100110110110010 0 +b10100101101101111100110110110010 M +b10100101101101111100110110110010 f +b10100101101101111100110110110010 !" +0. +1% +#4681500 +b10110 5 +b10110 L +b10110 W +14 +b10100 7 +b10100 N +b10100 V +16 +b1010100110110001110111110111011 2 +b1010100110110001110111110111011 I +b1010100110110001110111110111011 Z +b11001 3 +b11001 J +b11001 Y +11 +b10110 ' +b10110 D +1) +b10100 & +b10100 C +1( +b1010100110110001110111110111011 , +b1010100110110001110111110111011 H +b11001 + +b11001 G +1- +1. +0% +#4682000 +0] +0i +b10100101101101111100110110110010 { +b10100101101101111100110110110010 A" +0s +b11000100001011101011101101111001 | +b11000100001011101011101101111001 B" +0[ +1=" +1@" +0h +1:" +1;" +16" +17" +b110 3" +1c +0_ +1<" +1` +b1001 0" +1j +0k +18" +1l +b1011 -" +1t +0u +14" +1v +1a +12" +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010100110110001110111110111011 &" +b1010100110110001110111110111011 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#4682010 +b1010100110110001110111110111011 \" +#4682500 +b1110 5 +b1110 L +b1110 W +b0 7 +b0 N +b0 V +b11010010100011100110111101110000 2 +b11010010100011100110111101110000 I +b11010010100011100110111101110000 Z +b10111 3 +b10111 J +b10111 Y +b1110 ' +b1110 D +b0 & +b0 C +b11010010100011100110111101110000 , +b11010010100011100110111101110000 H +b10111 + +b10111 G +1. +0% +#4683000 +1>" +1^ +1k +0j +1u +1s +0\ +0=" +1;" +b10110100100011100001001000010001 { +b10110100100011100001001000010001 A" +19" +17" +b1010011100110111011110001111 | +b1010011100110111011110001111 B" +06" +b1000 3" +0c +b10001 0" +08" +0l +1p +b11111 -" +04" +0v +0t +1b +b11010010100011100110111101110000 &" +b11010010100011100110111101110000 ?" +b10111 %" +b10111 *" +b10111 1" +b1110 ~ +b1110 )" +b1110 ." +b0 } +b0 (" +b0 +" +b10100101101101111100110110110010 / +b10100101101101111100110110110010 K +b10100101101101111100110110110010 e +b10100101101101111100110110110010 "" +b11000100001011101011101101111001 0 +b11000100001011101011101101111001 M +b11000100001011101011101101111001 f +b11000100001011101011101101111001 !" +0. +1% +#4683010 +b11010010100011100110111101110000 Z" +#4683500 +b1100 5 +b1100 L +b1100 W +06 +b1001001000110101011000001110 2 +b1001001000110101011000001110 I +b1001001000110101011000001110 Z +b10001 3 +b10001 J +b10001 Y +b1100 ' +b1100 D +0( +b1001001000110101011000001110 , +b1001001000110101011000001110 H +b10001 + +b10001 G +1. +0% +#4684000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0>" +1h +07" +b1110 3" +0^ +b10011 0" +b11000101011001010100001001010100 { +b11000101011001010100001001010100 A" +0u +0b +0n +0," +b10110100100011100001001000010001 / +b10110100100011100001001000010001 K +b10110100100011100001001000010001 e +b10110100100011100001001000010001 "" +b1010011100110111011110001111 0 +b1010011100110111011110001111 M +b1010011100110111011110001111 f +b1010011100110111011110001111 !" +b1001001000110101011000001110 &" +b1001001000110101011000001110 ?" +b10001 %" +b10001 *" +b10001 1" +b1100 ~ +b1100 )" +b1100 ." +0#" +0. +1% +#4684010 +b1001001000110101011000001110 T" +#4684500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4685000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1[ +0@" +09" +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0p +0k +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000101011001010100001001010100 / +b11000101011001010100001001010100 K +b11000101011001010100001001010100 e +b11000101011001010100001001010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4685500 +b11111 5 +b11111 L +b11111 W +14 +b11111 ' +b11111 D +1) +1. +0% +#4686000 +0i +b10001000010100011010000001111101 { +b10001000010100011010000001111101 A" +0h +0g +19" +1:" +1;" +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#4686500 +b1011 5 +b1011 L +b1011 W +b11010 7 +b11010 N +b11010 V +16 +b1011 ' +b1011 D +b11010 & +b11010 C +1( +1. +0% +#4687000 +1k +1o +0s +b10001100011111011000011101110000 | +b10001100011111011000011101110000 B" +1;" +b11010010111110110100100001101111 { +b11010010111110110100100001101111 A" +19" +0:" +0r +15" +17" +b10100 0" +08" +0l +0p +b101 -" +1y +0u +14" +1v +1x +1," +b1011 ~ +b1011 )" +b1011 ." +b11010 } +b11010 (" +b11010 +" +1#" +b10001000010100011010000001111101 / +b10001000010100011010000001111101 K +b10001000010100011010000001111101 e +b10001000010100011010000001111101 "" +0. +1% +#4687500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4688000 +1i +1s +1h +1g +09" +0;" +1r +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0," +b11010010111110110100100001101111 / +b11010010111110110100100001101111 K +b11010010111110110100100001101111 e +b11010010111110110100100001101111 "" +b10001100011111011000011101110000 0 +b10001100011111011000011101110000 M +b10001100011111011000011101110000 f +b10001100011111011000011101110000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4688500 +14 +b1111010001100010010010000110101 2 +b1111010001100010010010000110101 I +b1111010001100010010010000110101 Z +b10101 3 +b10101 J +b10101 Y +11 +1) +b1111010001100010010010000110101 , +b1111010001100010010010000110101 H +b10101 + +b10101 G +1- +1. +0% +#4689000 +0] +b1010011100110111011110001111 { +b1010011100110111011110001111 A" +0[ +1>" +1@" +1;" +b1010 3" +1^ +0_ +1<" +1` +1k +1a +12" +1/" +b1111010001100010010010000110101 &" +b1111010001100010010010000110101 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4689010 +b1111010001100010010010000110101 X" +#4689500 +b1110 5 +b1110 L +b1110 W +b11110001010001101101001111010101 2 +b11110001010001101101001111010101 I +b11110001010001101101001111010101 Z +b10000 3 +b10000 J +b10000 Y +b1110 ' +b1110 D +b11110001010001101101001111010101 , +b11110001010001101101001111010101 H +b10000 + +b10000 G +1. +0% +#4690000 +1] +0i +1[ +0>" +0h +19" +1:" +b1111 3" +0^ +b10001 0" +0j +0o +1p +b10110100100011100001001000010001 { +b10110100100011100001001000010001 A" +0a +1n +b1010011100110111011110001111 / +b1010011100110111011110001111 K +b1010011100110111011110001111 e +b1010011100110111011110001111 "" +b11110001010001101101001111010101 &" +b11110001010001101101001111010101 ?" +b10000 %" +b10000 *" +b10000 1" +b1110 ~ +b1110 )" +b1110 ." +0. +1% +#4690010 +b11110001010001101101001111010101 S" +#4690500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4691000 +1i +0@" +1h +09" +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10110100100011100001001000010001 / +b10110100100011100001001000010001 K +b10110100100011100001001000010001 e +b10110100100011100001001000010001 "" +0. +1% +#4691500 +b1101 7 +b1101 N +b1101 V +16 +b1101 & +b1101 C +1( +1. +0% +#4692000 +0s +b1011100001101100010011010101111 | +b1011100001101100010011010101111 B" +0q +15" +16" +17" +b10010 -" +0t +0y +1z +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#4692500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4693000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1011100001101100010011010101111 0 +b1011100001101100010011010101111 M +b1011100001101100010011010101111 f +b1011100001101100010011010101111 !" +0. +1% +#4693500 +b1 5 +b1 L +b1 W +14 +b10000 7 +b10000 N +b10000 V +16 +b1 ' +b1 D +1) +b10000 & +b10000 C +1( +1. +0% +#4694000 +b10100000111011111111110101011101 { +b10100000111011111111110101011101 A" +b11110001010001101101001111010101 | +b11110001010001101101001111010101 B" +0g +1;" +17" +b11110 0" +1k +b1111 -" +0u +14" +1v +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 ~ +b1 )" +b1 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#4694500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4695000 +1g +0;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +04" +0v +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10100000111011111111110101011101 / +b10100000111011111111110101011101 K +b10100000111011111111110101011101 e +b10100000111011111111110101011101 "" +b11110001010001101101001111010101 0 +b11110001010001101101001111010101 M +b11110001010001101101001111010101 f +b11110001010001101101001111010101 !" +0. +1% +#4695500 +1. +0% +#4696000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4696500 +1. +0% +#4697000 +0. +1% +#4697500 +b11 5 +b11 L +b11 W +14 +b1111101100001001000100000101111 2 +b1111101100001001000100000101111 I +b1111101100001001000100000101111 Z +b1001 3 +b1001 J +b1001 Y +11 +b11 ' +b11 D +1) +b1111101100001001000100000101111 , +b1111101100001001000100000101111 H +b1001 + +b1001 G +1- +1. +0% +#4698000 +0] +b10100001010001101010001011110100 { +b10100001010001101010001011110100 A" +0[ +1=" +1@" +0h +0g +1;" +b10110 3" +1c +1_ +b11100 0" +1k +1a +12" +1n +1m +1/" +b1111101100001001000100000101111 &" +b1111101100001001000100000101111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#4698010 +b1111101100001001000100000101111 L" +#4698500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4699000 +1] +1[ +0=" +0@" +1h +1g +0;" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100001010001101010001011110100 / +b10100001010001101010001011110100 K +b10100001010001101010001011110100 e +b10100001010001101010001011110100 "" +0. +1% +#4699500 +b10101 5 +b10101 L +b10101 W +14 +b111 7 +b111 N +b111 V +16 +b10101 ' +b10101 D +1) +b111 & +b111 C +1( +1. +0% +#4700000 +0i +b1111010001100010010010000110101 { +b1111010001100010010010000110101 A" +0s +b10101111001101001101000010001001 | +b10101111001101001101000010001001 B" +0g +1:" +1;" +0r +0q +16" +17" +b1010 0" +1j +0k +18" +1l +b11000 -" +1t +1u +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101 ~ +b10101 )" +b10101 ." +1$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#4700500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b0 ' +b0 D +0) +b1101 & +b1101 C +1. +0% +#4701000 +1i +0t +1g +0:" +0;" +1r +15" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +1z +b1011100001101100010011010101111 | +b1011100001101100010011010101111 B" +0m +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +b1111010001100010010010000110101 / +b1111010001100010010010000110101 K +b1111010001100010010010000110101 e +b1111010001100010010010000110101 "" +b10101111001101001101000010001001 0 +b10101111001101001101000010001001 M +b10101111001101001101000010001001 f +b10101111001101001101000010001001 !" +0. +1% +#4701500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4702000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011100001101100010011010101111 0 +b1011100001101100010011010101111 M +b1011100001101100010011010101111 f +b1011100001101100010011010101111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4702500 +b10110001101111001101110010100011 2 +b10110001101111001101110010100011 I +b10110001101111001101110010100011 Z +b1001 3 +b1001 J +b1001 Y +11 +b10110001101111001101110010100011 , +b10110001101111001101110010100011 H +b1001 + +b1001 G +1- +1. +0% +#4703000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b10110001101111001101110010100011 &" +b10110001101111001101110010100011 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4703010 +b10110001101111001101110010100011 L" +#4703500 +b1000010001011001011111100011110 2 +b1000010001011001011111100011110 I +b1000010001011001011111100011110 Z +b101 3 +b101 J +b101 Y +b1000010001011001011111100011110 , +b1000010001011001011111100011110 H +b101 + +b101 G +1. +0% +#4704000 +1>" +1^ +0=" +b11010 3" +0c +b1000010001011001011111100011110 &" +b1000010001011001011111100011110 ?" +b101 %" +b101 *" +b101 1" +0. +1% +#4704010 +b1000010001011001011111100011110 H" +#4704500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4705000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4705500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#4706000 +0i +b10110001101111001101110010100011 { +b10110001101111001101110010100011 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#4706500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b10011111000000011101011100111 2 +b10011111000000011101011100111 I +b10011111000000011101011100111 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b10011111000000011101011100111 , +b10011111000000011101011100111 H +b1001 + +b1001 G +1- +1. +0% +#4707000 +0] +1i +b1001001000110101011000001110 | +b1001001000110101011000001110 B" +0[ +1=" +1@" +1g +09" +0;" +0q +17" +b10110 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +0u +14" +1v +1a +12" +0m +0/" +1w +1," +b10011111000000011101011100111 &" +b10011111000000011101011100111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b10110001101111001101110010100011 / +b10110001101111001101110010100011 K +b10110001101111001101110010100011 e +b10110001101111001101110010100011 "" +0. +1% +#4707010 +b10011111000000011101011100111 L" +#4707500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4708000 +1] +0i +b10001100011111011000011101110000 { +b10001100011111011000011101110000 A" +1[ +0=" +0@" +0h +19" +1;" +1q +07" +b11111 3" +0c +0_ +b101 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001001000110101011000001110 0 +b1001001000110101011000001110 M +b1001001000110101011000001110 f +b1001001000110101011000001110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4708500 +b0 5 +b0 L +b0 W +04 +b1101000001111101101100110100110 2 +b1101000001111101101100110100110 I +b1101000001111101101100110100110 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b1101000001111101101100110100110 , +b1101000001111101101100110100110 H +b10001 + +b10001 G +1- +1. +0% +#4709000 +1i +0[ +1@" +1h +09" +0;" +b1110 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0/" +b1101000001111101101100110100110 &" +b1101000001111101101100110100110 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001100011111011000011101110000 / +b10001100011111011000011101110000 K +b10001100011111011000011101110000 e +b10001100011111011000011101110000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4709010 +b1101000001111101101100110100110 T" +#4709500 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4710000 +0s +b1111010001100010010010000110101 | +b1111010001100010010010000110101 B" +1[ +0@" +0q +16" +17" +b11111 3" +0<" +0` +b1010 -" +1t +0u +14" +1v +0a +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#4710500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#4711000 +0i +b101001001101110111111000010110 { +b101001001101110111111000010110 A" +1s +1:" +1;" +1q +06" +07" +b11011 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0w +0," +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1111010001100010010010000110101 0 +b1111010001100010010010000110101 M +b1111010001100010010010000110101 f +b1111010001100010010010000110101 !" +0. +1% +#4711500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 ' +b0 D +0) +b10 & +b10 C +1( +1. +0% +#4712000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b1001111000101011000010 | +b1001111000101011000010 B" +0:" +0;" +0r +17" +b11111 0" +0j +0k +b11101 -" +1u +0/" +1x +1," +b101001001101110111111000010110 / +b101001001101110111111000010110 K +b101001001101110111111000010110 e +b101001001101110111111000010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#4712500 +b0 7 +b0 N +b0 V +06 +b101101110101001110101111011000 2 +b101101110101001110101111011000 I +b101101110101001110101111011000 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 & +b0 C +0( +b101101110101001110101111011000 , +b101101110101001110101111011000 H +b1001 + +b1001 G +1- +1. +0% +#4713000 +0] +0[ +1=" +1@" +1r +07" +b10110 3" +1c +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0," +b101101110101001110101111011000 &" +b101101110101001110101111011000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001111000101011000010 0 +b1001111000101011000010 M +b1001111000101011000010 f +b1001111000101011000010 !" +0. +1% +#4713010 +b101101110101001110101111011000 L" +#4713500 +b11001 5 +b11001 L +b11001 W +14 +b1100101100110000010010011001000 2 +b1100101100110000010010011001000 I +b1100101100110000010010011001000 Z +b1100 3 +b1100 J +b1100 Y +b11001 ' +b11001 D +1) +b1100101100110000010010011001000 , +b1100101100110000010010011001000 H +b1100 + +b1100 G +1. +0% +#4714000 +0c +0i +b1010100110110001110111110111011 { +b1010100110110001110111110111011 A" +1[ +1>" +0g +19" +1;" +b10011 3" +1d +b110 0" +1o +0k +18" +1l +0a +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100101100110000010010011001000 &" +b1100101100110000010010011001000 ?" +b1100 %" +b1100 *" +b1100 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#4714010 +b1100101100110000010010011001000 O" +#4714500 +b1001 5 +b1001 L +b1001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4715000 +1] +1k +0=" +0>" +0@" +1;" +b101101110101001110101111011000 { +b101101110101001110101111011000 A" +b11111 3" +0d +0_ +b10110 0" +08" +0l +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b1010100110110001110111110111011 / +b1010100110110001110111110111011 K +b1010100110110001110111110111011 e +b1010100110110001110111110111011 "" +0. +1% +#4715500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b10010011111101010001100011010001 2 +b10010011111101010001100011010001 I +b10010011111101010001100011010001 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b10010011111101010001100011010001 , +b10010011111101010001100011010001 H +b10011 + +b10011 G +1- +1. +0% +#4716000 +1i +0s +b1010100110110001110111110111011 | +b1010100110110001110111110111011 B" +0\ +0[ +1@" +1g +09" +0;" +0q +15" +17" +b1100 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +1y +0u +14" +1v +1b +1a +12" +0m +0/" +1w +1," +b101101110101001110101111011000 / +b101101110101001110101111011000 K +b101101110101001110101111011000 e +b101101110101001110101111011000 "" +b10010011111101010001100011010001 &" +b10010011111101010001100011010001 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#4716010 +b10010011111101010001100011010001 V" +#4716500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4717000 +1s +1\ +1[ +0@" +1q +05" +07" +b11111 3" +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010100110110001110111110111011 0 +b1010100110110001110111110111011 M +b1010100110110001110111110111011 f +b1010100110110001110111110111011 !" +0. +1% +#4717500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#4718000 +0i +b101100110101010110001010010100 { +b101100110101010110001010010100 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#4718500 +b10001 5 +b10001 L +b10001 W +b1101 7 +b1101 N +b1101 V +16 +b10001 ' +b10001 D +b1101 & +b1101 C +1( +1. +0% +#4719000 +1i +b1101000001111101101100110100110 { +b1101000001111101101100110100110 A" +0s +b1011100001101100010011010101111 | +b1011100001101100010011010101111 B" +09" +0:" +0q +15" +16" +17" +b1110 0" +0p +b10010 -" +0t +0y +1z +1u +1w +1," +b10001 ~ +b10001 )" +b10001 ." +b1101 } +b1101 (" +b1101 +" +1#" +b101100110101010110001010010100 / +b101100110101010110001010010100 K +b101100110101010110001010010100 e +b101100110101010110001010010100 "" +0. +1% +#4719500 +b11111 5 +b11111 L +b11111 W +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +b0 & +b0 C +0( +1. +0% +#4720000 +0i +1s +0h +19" +1:" +1q +05" +06" +07" +b0 0" +0j +0o +1p +b10001000010100011010000001111101 { +b10001000010100011010000001111101 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0w +0," +b1101000001111101101100110100110 / +b1101000001111101101100110100110 K +b1101000001111101101100110100110 e +b1101000001111101101100110100110 "" +b1011100001101100010011010101111 0 +b1011100001101100010011010101111 M +b1011100001101100010011010101111 f +b1011100001101100010011010101111 !" +b11111 ~ +b11111 )" +b11111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4720500 +b10011 5 +b10011 L +b10011 W +b101001010100001110011100010 2 +b101001010100001110011100010 I +b101001010100001110011100010 Z +b1111 3 +b1111 J +b1111 Y +11 +b10011 ' +b10011 D +b101001010100001110011100010 , +b101001010100001110011100010 H +b1111 + +b1111 G +1- +1. +0% +#4721000 +0] +1i +b10010011111101010001100011010001 { +b10010011111101010001100011010001 A" +0\ +0[ +1=" +1>" +1@" +09" +0:" +b10000 3" +0^ +0c +1d +1_ +b1100 0" +0p +1b +1a +12" +b101001010100001110011100010 &" +b101001010100001110011100010 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +b10001000010100011010000001111101 / +b10001000010100011010000001111101 K +b10001000010100011010000001111101 e +b10001000010100011010000001111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4721010 +b101001010100001110011100010 R" +#4721500 +b1010 5 +b1010 L +b1010 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4722000 +1] +1k +0i +1\ +1[ +0=" +0>" +0@" +1g +1;" +19" +b11111 3" +0d +0_ +b10101 0" +08" +0l +1o +b1111111101111010111111011010 { +b1111111101111010111111011010 A" +0b +0a +02" +0m +b10010011111101010001100011010001 / +b10010011111101010001100011010001 K +b10010011111101010001100011010001 e +b10010011111101010001100011010001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +0. +1% +#4722500 +b10101 5 +b10101 L +b10101 W +b10101 ' +b10101 D +1. +0% +#4723000 +1:" +0k +1j +1h +0g +09" +b1010 0" +18" +1l +0o +b1111010001100010010010000110101 { +b1111010001100010010010000110101 A" +0n +1m +b10101 ~ +b10101 )" +b10101 ." +b1111111101111010111111011010 / +b1111111101111010111111011010 K +b1111111101111010111111011010 e +b1111111101111010111111011010 "" +0. +1% +#4723500 +b100 5 +b100 L +b100 W +b100 ' +b100 D +1. +0% +#4724000 +1k +1g +1;" +b11011 0" +08" +0l +b101001001101110111111000010110 { +b101001001101110111111000010110 A" +0m +b1111010001100010010010000110101 / +b1111010001100010010010000110101 K +b1111010001100010010010000110101 e +b1111010001100010010010000110101 "" +b100 ~ +b100 )" +b100 ." +0. +1% +#4724500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +1. +0% +#4725000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11011001011000101111100110011111 | +b11011001011000101111100110011111 B" +0:" +0;" +0r +17" +b11111 0" +0j +0k +b1101 -" +0u +14" +1v +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +b101001001101110111111000010110 / +b101001001101110111111000010110 K +b101001001101110111111000010110 e +b101001001101110111111000010110 "" +0. +1% +#4725500 +1. +0% +#4726000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011001011000101111100110011111 0 +b11011001011000101111100110011111 M +b11011001011000101111100110011111 f +b11011001011000101111100110011111 !" +0. +1% +#4726500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4727000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4727500 +1. +0% +#4728000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4728500 +b1000 7 +b1000 N +b1000 V +16 +b1000 & +b1000 C +1( +1. +0% +#4729000 +0s +b1000001111011111110010100011011 | +b1000001111011111110010100011011 B" +15" +17" +b10111 -" +1y +1u +1," +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#4729500 +b101 5 +b101 L +b101 W +14 +b11100 7 +b11100 N +b11100 V +b101 ' +b101 D +1) +b11100 & +b11100 C +1. +0% +#4730000 +0i +b1000010001011001011111100011110 { +b1000010001011001011111100011110 A" +0u +0y +0g +1:" +1;" +b10001000010000001010100001111110 | +b10001000010000001010100001111110 B" +16" +b11010 0" +1j +1k +b11 -" +14" +1v +1z +1m +1/" +b1000001111011111110010100011011 0 +b1000001111011111110010100011011 M +b1000001111011111110010100011011 f +b1000001111011111110010100011011 !" +b101 ~ +b101 )" +b101 ." +1$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#4730500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4731000 +1i +1s +1g +0:" +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +04" +0v +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000010001011001011111100011110 / +b1000010001011001011111100011110 K +b1000010001011001011111100011110 e +b1000010001011001011111100011110 "" +b10001000010000001010100001111110 0 +b10001000010000001010100001111110 M +b10001000010000001010100001111110 f +b10001000010000001010100001111110 !" +0. +1% +#4731500 +b10 5 +b10 L +b10 W +14 +b11011000110101111000111110000011 2 +b11011000110101111000111110000011 I +b11011000110101111000111110000011 Z +b110 3 +b110 J +b110 Y +11 +b10 ' +b10 D +1) +b11011000110101111000111110000011 , +b11011000110101111000111110000011 H +b110 + +b110 G +1- +1. +0% +#4732000 +0] +b1001111000101011000010 { +b1001111000101011000010 A" +0\ +1>" +1@" +0h +1;" +b11001 3" +1^ +1_ +b11101 0" +1k +1b +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011000110101111000111110000011 &" +b11011000110101111000111110000011 ?" +b110 %" +b110 *" +b110 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#4732010 +b11011000110101111000111110000011 I" +#4732500 +b11 5 +b11 L +b11 W +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4733000 +1] +b11011001011000101111100110011111 | +b11011001011000101111100110011111 B" +1\ +0>" +0@" +0g +0r +17" +b11111 3" +0^ +0_ +b11100 0" +b10100001010001101010001011110100 { +b10100001010001101010001011110100 A" +b1101 -" +0u +14" +1v +0b +02" +1m +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +b10010 } +b10010 (" +b10010 +" +1#" +b1001111000101011000010 / +b1001111000101011000010 K +b1001111000101011000010 e +b1001111000101011000010 "" +0. +1% +#4733500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +b0 & +b0 C +0( +1. +0% +#4734000 +0i +1h +1g +1:" +1r +07" +b11011 0" +1j +b101001001101110111111000010110 { +b101001001101110111111000010110 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0x +0," +b10100001010001101010001011110100 / +b10100001010001101010001011110100 K +b10100001010001101010001011110100 e +b10100001010001101010001011110100 "" +b11011001011000101111100110011111 0 +b11011001011000101111100110011111 M +b11011001011000101111100110011111 f +b11011001011000101111100110011111 !" +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4734500 +b1011 5 +b1011 L +b1011 W +b1011 ' +b1011 D +1. +0% +#4735000 +19" +1o +0h +0g +0:" +b10100 0" +0j +b11010010111110110100100001101111 { +b11010010111110110100100001101111 A" +1n +1m +b1011 ~ +b1011 )" +b1011 ." +b101001001101110111111000010110 / +b101001001101110111111000010110 K +b101001001101110111111000010110 e +b101001001101110111111000010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4735500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b1011001011100110100000100011 2 +b1011001011100110100000100011 I +b1011001011100110100000100011 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b1011001011100110100000100011 , +b1011001011100110100000100011 H +b1110 + +b1110 G +1- +1. +0% +#4736000 +0] +1i +0s +b1000001111011111110010100011011 | +b1000001111011111110010100011011 B" +0\ +1=" +1>" +1@" +1h +1g +09" +0;" +15" +17" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +1b +12" +0n +0m +0/" +1," +b11010010111110110100100001101111 / +b11010010111110110100100001101111 K +b11010010111110110100100001101111 e +b11010010111110110100100001101111 "" +b1011001011100110100000100011 &" +b1011001011100110100000100011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#4736010 +b1011001011100110100000100011 Q" +#4736500 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4737000 +1] +1s +b1010011100110111011110001111 | +b1010011100110111011110001111 B" +1\ +0=" +0>" +0@" +05" +b11111 3" +0d +0_ +b11111 -" +0y +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000001111011111110010100011011 0 +b1000001111011111110010100011011 M +b1000001111011111110010100011011 f +b1000001111011111110010100011011 !" +0. +1% +#4737500 +b100 5 +b100 L +b100 W +14 +b11001 7 +b11001 N +b11001 V +b11011101011010111101111111001100 2 +b11011101011010111101111111001100 I +b11011101011010111101111111001100 Z +b11 3 +b11 J +b11 Y +11 +b100 ' +b100 D +1) +b11001 & +b11001 C +b11011101011010111101111111001100 , +b11011101011010111101111111001100 H +b11 + +b11 G +1- +1. +0% +#4738000 +0i +b101001001101110111111000010110 { +b101001001101110111111000010110 A" +0u +0s +0\ +0[ +1@" +1:" +1;" +0q +15" +b11100 3" +1_ +b11011 0" +1j +1k +b110 -" +14" +1v +1y +b1010100110110001110111110111011 | +b1010100110110001110111110111011 B" +1b +1a +12" +1/" +1w +b1010011100110111011110001111 0 +b1010011100110111011110001111 M +b1010011100110111011110001111 f +b1010011100110111011110001111 !" +b11011101011010111101111111001100 &" +b11011101011010111101111111001100 ?" +b11 %" +b11 *" +b11 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#4738010 +b11011101011010111101111111001100 F" +#4738500 +b1011 5 +b1011 L +b1011 W +b1111 7 +b1111 N +b1111 V +b10010101001110011110011010001111 2 +b10010101001110011110011010001111 I +b10010101001110011110011010001111 Z +b11000 3 +b11000 J +b11000 Y +b1011 ' +b1011 D +b1111 & +b1111 C +b10010101001110011110011010001111 , +b10010101001110011110011010001111 H +b11000 + +b11000 G +1. +0% +#4739000 +19" +0_ +0] +1o +1u +0y +1\ +1[ +1=" +0h +0g +0:" +0r +17" +16" +b111 3" +1<" +1` +1c +b10100 0" +0j +b11010010111110110100100001101111 { +b11010010111110110100100001101111 A" +b10000 -" +04" +0v +1z +b101001010100001110011100010 | +b101001010100001110011100010 B" +0b +0a +1n +1m +1x +b10010101001110011110011010001111 &" +b10010101001110011110011010001111 ?" +b11000 %" +b11000 *" +b11000 1" +b1011 ~ +b1011 )" +b1011 ." +b1111 } +b1111 (" +b1111 +" +b101001001101110111111000010110 / +b101001001101110111111000010110 K +b101001001101110111111000010110 e +b101001001101110111111000010110 "" +b1010100110110001110111110111011 0 +b1010100110110001110111110111011 M +b1010100110110001110111110111011 f +b1010100110110001110111110111011 !" +0. +1% +#4739010 +b10010101001110011110011010001111 [" +#4739500 +b111 5 +b111 L +b111 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4740000 +1:" +1] +1j +b10101111001101001101000010001001 { +b10101111001101001101000010001001 A" +1s +0=" +0@" +09" +1r +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b11000 0" +0o +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0w +0," +b11010010111110110100100001101111 / +b11010010111110110100100001101111 K +b11010010111110110100100001101111 e +b11010010111110110100100001101111 "" +b101001010100001110011100010 0 +b101001010100001110011100010 M +b101001010100001110011100010 f +b101001010100001110011100010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4740500 +b1110 5 +b1110 L +b1110 W +b1110 ' +b1110 D +1. +0% +#4741000 +0j +1g +19" +b10001 0" +1p +b1011001011100110100000100011 { +b1011001011100110100000100011 A" +0m +b1110 ~ +b1110 )" +b1110 ." +b10101111001101001101000010001001 / +b10101111001101001101000010001001 K +b10101111001101001101000010001001 e +b10101111001101001101000010001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4741500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4742000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b1011001011100110100000100011 / +b1011001011100110100000100011 K +b1011001011100110100000100011 e +b1011001011100110100000100011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4742500 +b1101 5 +b1101 L +b1101 W +14 +b110 7 +b110 N +b110 V +16 +b1101 ' +b1101 D +1) +b110 & +b110 C +1( +1. +0% +#4743000 +0i +b1011100001101100010011010101111 { +b1011100001101100010011010101111 A" +0s +b11011000110101111000111110000011 | +b11011000110101111000111110000011 B" +0g +19" +1:" +1;" +0r +16" +17" +b10010 0" +0j +0o +1p +1k +b11001 -" +1t +1u +1m +1/" +1x +1," +b1101 ~ +b1101 )" +b1101 ." +1$" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4743500 +b101 5 +b101 L +b101 W +b1001 7 +b1001 N +b1001 V +b1010011100001011001100010101010 2 +b1010011100001011001100010101010 I +b1010011100001011001100010101010 Z +b1101 3 +b1101 J +b1101 Y +11 +b101 ' +b101 D +b1001 & +b1001 C +b1010011100001011001100010101010 , +b1010011100001011001100010101010 H +b1101 + +b1101 G +1- +1. +0% +#4744000 +15" +0] +1j +b1000010001011001011111100011110 { +b1000010001011001011111100011110 A" +1y +0[ +1=" +1>" +1@" +09" +1:" +1r +0q +06" +b10010 3" +0^ +0c +1d +1_ +b11010 0" +0p +b10110 -" +0t +b101101110101001110101111011000 | +b101101110101001110101111011000 B" +1a +12" +0x +1w +b1011100001101100010011010101111 / +b1011100001101100010011010101111 K +b1011100001101100010011010101111 e +b1011100001101100010011010101111 "" +b11011000110101111000111110000011 0 +b11011000110101111000111110000011 M +b11011000110101111000111110000011 f +b11011000110101111000111110000011 !" +b1010011100001011001100010101010 &" +b1010011100001011001100010101010 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b101 ~ +b101 )" +b101 ." +b1001 } +b1001 (" +b1001 +" +0. +1% +#4744010 +b1010011100001011001100010101010 P" +#4744500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001101100111100010000101110 2 +b1001101100111100010000101110 I +b1001101100111100010000101110 Z +b10010 3 +b10010 J +b10010 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001101100111100010000101110 , +b1001101100111100010000101110 H +b10010 + +b10010 G +1. +0% +#4745000 +0_ +1] +1i +1s +0\ +1[ +0=" +0>" +1g +0:" +0;" +1q +05" +07" +b1101 3" +1<" +1` +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0a +0m +0/" +0w +0," +b1001101100111100010000101110 &" +b1001101100111100010000101110 ?" +b10010 %" +b10010 *" +b10010 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000010001011001011111100011110 / +b1000010001011001011111100011110 K +b1000010001011001011111100011110 e +b1000010001011001011111100011110 "" +b101101110101001110101111011000 0 +b101101110101001110101111011000 M +b101101110101001110101111011000 f +b101101110101001110101111011000 !" +0. +1% +#4745010 +b1001101100111100010000101110 U" +#4745500 +b11110 5 +b11110 L +b11110 W +14 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4746000 +0i +b10101000000100111010111100110000 { +b10101000000100111010111100110000 A" +b1001101100111100010000101110 | +b1001101100111100010000101110 B" +1\ +0@" +0h +19" +1:" +1;" +0r +17" +b11111 3" +0<" +0` +b1 0" +0j +0o +1p +0k +18" +1l +b1101 -" +0u +14" +1v +0b +02" +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#4746500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4747000 +1i +1h +09" +0:" +0;" +1r +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10101000000100111010111100110000 / +b10101000000100111010111100110000 K +b10101000000100111010111100110000 e +b10101000000100111010111100110000 "" +b1001101100111100010000101110 0 +b1001101100111100010000101110 M +b1001101100111100010000101110 f +b1001101100111100010000101110 !" +0. +1% +#4747500 +1. +0% +#4748000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4748500 +b10001000101110101000001101000111 2 +b10001000101110101000001101000111 I +b10001000101110101000001101000111 Z +b1001 3 +b1001 J +b1001 Y +11 +b10001000101110101000001101000111 , +b10001000101110101000001101000111 H +b1001 + +b1001 G +1- +1. +0% +#4749000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b10001000101110101000001101000111 &" +b10001000101110101000001101000111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +0. +1% +#4749010 +b10001000101110101000001101000111 L" +#4749500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4750000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4750500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#4751000 +0s +b11000100001011101011101101111001 | +b11000100001011101011101101111001 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#4751500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b11100011011000011011100111011100 2 +b11100011011000011011100111011100 I +b11100011011000011011100111011100 Z +b11010 3 +b11010 J +b11010 Y +11 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b11100011011000011011100111011100 , +b11100011011000011011100111011100 H +b11010 + +b11010 G +1- +1. +0% +#4752000 +0] +b10100000111011111111110101011101 { +b10100000111011111111110101011101 A" +1s +0\ +1=" +1@" +0g +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b101 3" +1c +0_ +1<" +1` +b11110 0" +1k +b11111 -" +0t +04" +0v +1b +12" +1m +1/" +0," +b11000100001011101011101101111001 0 +b11000100001011101011101101111001 M +b11000100001011101011101101111001 f +b11000100001011101011101101111001 !" +b11100011011000011011100111011100 &" +b11100011011000011011100111011100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4752010 +b11100011011000011011100111011100 ]" +#4752500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4753000 +1] +0s +b10101111001101001101000010001001 | +b10101111001101001101000010001001 B" +1\ +0=" +0@" +1g +0;" +0r +0q +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11000 -" +1t +1u +0b +02" +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +b10100000111011111111110101011101 / +b10100000111011111111110101011101 K +b10100000111011111111110101011101 e +b10100000111011111111110101011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4753500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4754000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101111001101001101000010001001 0 +b10101111001101001101000010001001 M +b10101111001101001101000010001001 f +b10101111001101001101000010001001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4754500 +b11100 7 +b11100 N +b11100 V +16 +b10011111010011101101011010000001 2 +b10011111010011101101011010000001 I +b10011111010011101101011010000001 Z +b11011 3 +b11011 J +b11011 Y +11 +b11100 & +b11100 C +1( +b10011111010011101101011010000001 , +b10011111010011101101011010000001 H +b11011 + +b11011 G +1- +1. +0% +#4755000 +0] +0s +b10001000010000001010100001111110 | +b10001000010000001010100001111110 B" +0\ +0[ +1=" +1@" +15" +16" +17" +b100 3" +1c +0_ +1<" +1` +b11 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +1," +b10011111010011101101011010000001 &" +b10011111010011101101011010000001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4755010 +b10011111010011101101011010000001 ^" +#4755500 +b100 5 +b100 L +b100 W +14 +b11011 7 +b11011 N +b11011 V +b10001110110110111010011001101100 2 +b10001110110110111010011001101100 I +b10001110110110111010011001101100 Z +b10011 3 +b10011 J +b10011 Y +b100 ' +b100 D +1) +b11011 & +b11011 C +b10001110110110111010011001101100 , +b10001110110110111010011001101100 H +b10011 + +b10011 G +1. +0% +#4756000 +1] +0i +b101001001101110111111000010110 { +b101001001101110111111000010110 A" +1y +0=" +1:" +1;" +0r +0q +15" +06" +b1100 3" +0c +b11011 0" +1j +1k +b100 -" +0z +b10011111010011101101011010000001 | +b10011111010011101101011010000001 B" +1/" +1x +1w +b10001000010000001010100001111110 0 +b10001000010000001010100001111110 M +b10001000010000001010100001111110 f +b10001000010000001010100001111110 !" +b10001110110110111010011001101100 &" +b10001110110110111010011001101100 ?" +b10011 %" +b10011 *" +b10011 1" +b100 ~ +b100 )" +b100 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#4756010 +b10001110110110111010011001101100 V" +#4756500 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4757000 +1\ +1[ +0@" +1r +b11111 3" +0<" +0` +b110 -" +b1010100110110001110111110111011 | +b1010100110110001110111110111011 B" +0b +0a +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +b101001001101110111111000010110 / +b101001001101110111111000010110 K +b101001001101110111111000010110 e +b101001001101110111111000010110 "" +b10011111010011101101011010000001 0 +b10011111010011101101011010000001 M +b10011111010011101101011010000001 f +b10011111010011101101011010000001 !" +0. +1% +#4757500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11110011110010100100101101100011 2 +b11110011110010100100101101100011 I +b11110011110010100100101101100011 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11110011110010100100101101100011 , +b11110011110010100100101101100011 H +b11000 + +b11000 G +1- +1. +0% +#4758000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1=" +1@" +0:" +0;" +1q +05" +07" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0j +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0/" +0w +0," +b1010100110110001110111110111011 0 +b1010100110110001110111110111011 M +b1010100110110001110111110111011 f +b1010100110110001110111110111011 !" +b11110011110010100100101101100011 &" +b11110011110010100100101101100011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4758010 +b11110011110010100100101101100011 [" +#4758500 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4759000 +1] +b1001101100111100010000101110 | +b1001101100111100010000101110 B" +0=" +0@" +0r +17" +b11111 3" +0c +0<" +0` +b1101 -" +0u +14" +1v +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4759500 +b10100 5 +b10100 L +b10100 W +14 +b11101 7 +b11101 N +b11101 V +b11010111100111101110010010011110 2 +b11010111100111101110010010011110 I +b11010111100111101110010010011110 Z +b10111 3 +b10111 J +b10111 Y +11 +b10100 ' +b10100 D +1) +b11101 & +b11101 C +b11010111100111101110010010011110 , +b11010111100111101110010010011110 H +b10111 + +b10111 G +1- +1. +0% +#4760000 +0] +0i +b11000100001011101011101101111001 { +b11000100001011101011101101111001 A" +0s +0\ +0[ +1>" +1@" +1:" +1;" +1r +0q +15" +16" +b1000 3" +1^ +0_ +1<" +1` +b1011 0" +1j +0k +18" +1l +b10 -" +0t +0y +1z +b101100110101010110001010010100 | +b101100110101010110001010010100 B" +1b +1a +12" +1/" +0x +1w +b1001101100111100010000101110 0 +b1001101100111100010000101110 M +b1001101100111100010000101110 f +b1001101100111100010000101110 !" +b11010111100111101110010010011110 &" +b11010111100111101110010010011110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11101 } +b11101 (" +b11101 +" +0. +1% +#4760010 +b11010111100111101110010010011110 Z" +#4760500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4761000 +19" +1] +1k +1o +1s +1\ +1[ +0>" +0@" +1;" +b1000001111011111110010100011011 { +b1000001111011111110010100011011 A" +0:" +1q +05" +06" +07" +b11111 3" +0^ +0<" +0` +b10111 0" +08" +0l +0j +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +b11000100001011101011101101111001 / +b11000100001011101011101101111001 K +b11000100001011101011101101111001 e +b11000100001011101011101101111001 "" +b101100110101010110001010010100 0 +b101100110101010110001010010100 M +b101100110101010110001010010100 f +b101100110101010110001010010100 !" +0. +1% +#4761500 +b1 5 +b1 L +b1 W +b10 7 +b10 N +b10 V +16 +b110010100011111000100001011011 2 +b110010100011111000100001011011 I +b110010100011111000100001011011 Z +b11 3 +b11 J +b11 Y +11 +b1 ' +b1 D +b10 & +b10 C +1( +b110010100011111000100001011011 , +b110010100011111000100001011011 H +b11 + +b11 G +1- +1. +0% +#4762000 +1i +b1001111000101011000010 | +b1001111000101011000010 B" +0\ +0[ +1@" +0g +09" +0r +17" +b11100 3" +1_ +b11110 0" +0o +b10100000111011111111110101011101 { +b10100000111011111111110101011101 A" +b11101 -" +1u +1b +1a +12" +1m +1x +1," +b1000001111011111110010100011011 / +b1000001111011111110010100011011 K +b1000001111011111110010100011011 e +b1000001111011111110010100011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110010100011111000100001011011 &" +b110010100011111000100001011011 ?" +b11 %" +b11 *" +b11 1" +1'" +b1 ~ +b1 )" +b1 ." +b10 } +b10 (" +b10 +" +1#" +0. +1% +#4762010 +b110010100011111000100001011011 F" +#4762500 +b1100 5 +b1100 L +b1100 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4763000 +0i +1\ +1[ +0@" +1g +19" +1:" +1r +07" +b11111 3" +0_ +b10011 0" +0j +0o +1p +b1100101100110000010010011001000 { +b1100101100110000010010011001000 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0m +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +b0 } +b0 (" +b0 +" +0#" +b10100000111011111111110101011101 / +b10100000111011111111110101011101 K +b10100000111011111111110101011101 e +b10100000111011111111110101011101 "" +b1001111000101011000010 0 +b1001111000101011000010 M +b1001111000101011000010 f +b1001111000101011000010 !" +0. +1% +#4763500 +b10011 5 +b10011 L +b10011 W +b10011 ' +b10011 D +1. +0% +#4764000 +0k +1i +0h +0g +09" +0:" +b1100 0" +18" +1l +0p +b10001110110110111010011001101100 { +b10001110110110111010011001101100 A" +1n +1m +b1100101100110000010010011001000 / +b1100101100110000010010011001000 K +b1100101100110000010010011001000 e +b1100101100110000010010011001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#4764500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b11110101001100101000010010000001 2 +b11110101001100101000010010000001 I +b11110101001100101000010010000001 Z +11 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b11110101001100101000010010000001 , +b11110101001100101000010010000001 H +1- +1. +0% +#4765000 +0s +b11000100001011101011101101111001 | +b11000100001011101011101101111001 B" +1@" +1h +1g +0;" +16" +17" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +1t +0u +14" +1v +12" +0n +0m +0/" +1," +b11110101001100101000010010000001 &" +b11110101001100101000010010000001 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b10001110110110111010011001101100 / +b10001110110110111010011001101100 K +b10001110110110111010011001101100 e +b10001110110110111010011001101100 "" +0. +1% +#4765010 +b11110101001100101000010010000001 C" +#4765500 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +01 +b10011 & +b10011 C +b0 , +b0 H +0- +1. +0% +#4766000 +1s +0@" +0r +0q +06" +0_ +b1100 -" +0t +b10001110110110111010011001101100 | +b10001110110110111010011001101100 B" +02" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000100001011101011101101111001 0 +b11000100001011101011101101111001 M +b11000100001011101011101101111001 f +b11000100001011101011101101111001 !" +b0 &" +b0 ?" +0'" +b10011 } +b10011 (" +b10011 +" +0. +1% +#4766500 +b10001 7 +b10001 N +b10001 V +b10001 & +b10001 C +1. +0% +#4767000 +1r +b1110 -" +b1101000001111101101100110100110 | +b1101000001111101101100110100110 B" +0x +b10001 } +b10001 (" +b10001 +" +b10001110110110111010011001101100 0 +b10001110110110111010011001101100 M +b10001110110110111010011001101100 f +b10001110110110111010011001101100 !" +0. +1% +#4767500 +b1101 5 +b1101 L +b1101 W +14 +b1100 7 +b1100 N +b1100 V +b1101 ' +b1101 D +1) +b1100 & +b1100 C +1. +0% +#4768000 +0i +b1010011100001011001100010101010 { +b1010011100001011001100010101010 A" +1u +0s +0g +19" +1:" +1;" +1q +17" +15" +16" +b10010 0" +0j +0o +1p +1k +b10011 -" +04" +0v +0t +0y +1z +b1100101100110000010010011001000 | +b1100101100110000010010011001000 B" +1m +1/" +0w +b1101000001111101101100110100110 0 +b1101000001111101101100110100110 M +b1101000001111101101100110100110 f +b1101000001111101101100110100110 !" +b1101 ~ +b1101 )" +b1101 ." +1$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#4768500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011100010010000111000001111000 2 +b11011100010010000111000001111000 I +b11011100010010000111000001111000 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011100010010000111000001111000 , +b11011100010010000111000001111000 H +b1 + +b1 G +1- +1. +0% +#4769000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1@" +1g +09" +0:" +0;" +05" +06" +07" +b11110 3" +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +0u +1a +12" +0m +0/" +0," +b11011100010010000111000001111000 &" +b11011100010010000111000001111000 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1010011100001011001100010101010 / +b1010011100001011001100010101010 K +b1010011100001011001100010101010 e +b1010011100001011001100010101010 "" +b1100101100110000010010011001000 0 +b1100101100110000010010011001000 M +b1100101100110000010010011001000 f +b1100101100110000010010011001000 !" +0. +1% +#4769010 +b11011100010010000111000001111000 D" +#4769500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4770000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4770500 +1. +0% +#4771000 +0. +1% +#4771500 +1. +0% +#4772000 +0. +1% +#4772500 +1. +0% +#4773000 +0. +1% +#4773500 +1. +0% +#4774000 +0. +1% +#4774500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#4775000 +b11110001010001101101001111010101 | +b11110001010001101101001111010101 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#4775500 +b11001 7 +b11001 N +b11001 V +b11001 & +b11001 C +1. +0% +#4776000 +0s +0q +15" +b110 -" +1y +b1010100110110001110111110111011 | +b1010100110110001110111110111011 B" +1w +b11110001010001101101001111010101 0 +b11110001010001101101001111010101 M +b11110001010001101101001111010101 f +b11110001010001101101001111010101 !" +b11001 } +b11001 (" +b11001 +" +0. +1% +#4776500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4777000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1010100110110001110111110111011 0 +b1010100110110001110111110111011 M +b1010100110110001110111110111011 f +b1010100110110001110111110111011 !" +0. +1% +#4777500 +b10101 7 +b10101 N +b10101 V +16 +b11111110011111111101011101101111 2 +b11111110011111111101011101101111 I +b11111110011111111101011101101111 Z +b10011 3 +b10011 J +b10011 Y +11 +b10101 & +b10101 C +1( +b11111110011111111101011101101111 , +b11111110011111111101011101101111 H +b10011 + +b10011 G +1- +1. +0% +#4778000 +0s +b1111010001100010010010000110101 | +b1111010001100010010010000110101 B" +0\ +0[ +1@" +0q +16" +17" +b1100 3" +0_ +1<" +1` +b1010 -" +1t +0u +14" +1v +1b +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111110011111111101011101101111 &" +b11111110011111111101011101101111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#4778010 +b11111110011111111101011101101111 V" +#4778500 +b1110 7 +b1110 N +b1110 V +b101101100111100010000100000111 2 +b101101100111100010000100000111 I +b101101100111100010000100000111 Z +b11001 3 +b11001 J +b11001 Y +b1110 & +b1110 C +b101101100111100010000100000111 , +b101101100111100010000100000111 H +b11001 + +b11001 G +1. +0% +#4779000 +0] +1u +0t +1\ +1=" +0r +1q +17" +15" +b110 3" +1c +b10001 -" +04" +0v +1z +b1011001011100110100000100011 | +b1011001011100110100000100011 B" +0b +1x +0w +b101101100111100010000100000111 &" +b101101100111100010000100000111 ?" +b11001 %" +b11001 *" +b11001 1" +b1110 } +b1110 (" +b1110 +" +b1111010001100010010010000110101 0 +b1111010001100010010010000110101 M +b1111010001100010010010000110101 f +b1111010001100010010010000110101 !" +0. +1% +#4779010 +b101101100111100010000100000111 \" +#4779500 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4780000 +1] +0u +1s +1[ +0=" +0@" +0q +05" +06" +b11111 3" +0c +0<" +0` +b1100 -" +14" +1v +0z +b11111110011111111101011101101111 | +b11111110011111111101011101101111 B" +0a +02" +1w +b1011001011100110100000100011 0 +b1011001011100110100000100011 M +b1011001011100110100000100011 f +b1011001011100110100000100011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +0. +1% +#4780500 +b10 7 +b10 N +b10 V +b110010000001101001001010000001 2 +b110010000001101001001010000001 I +b110010000001101001001010000001 Z +b1011 3 +b1011 J +b1011 Y +11 +b10 & +b10 C +b110010000001101001001010000001 , +b110010000001101001001010000001 H +b1011 + +b1011 G +1- +1. +0% +#4781000 +0] +1u +0\ +0[ +1=" +1@" +1q +17" +b10100 3" +1c +1_ +b11101 -" +04" +0v +b1001111000101011000010 | +b1001111000101011000010 B" +1b +1a +12" +0w +b110010000001101001001010000001 &" +b110010000001101001001010000001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10 } +b10 (" +b10 +" +b11111110011111111101011101101111 0 +b11111110011111111101011101101111 M +b11111110011111111101011101101111 f +b11111110011111111101011101101111 !" +0. +1% +#4781010 +b110010000001101001001010000001 N" +#4781500 +b11000 5 +b11000 L +b11000 W +14 +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4782000 +1] +0i +b11110011110010100100101101100011 { +b11110011110010100100101101100011 A" +1\ +1[ +0=" +0@" +19" +1;" +0q +b11111 3" +0c +0_ +b111 0" +1o +0k +18" +1l +b11100 -" +b110010100011111000100001011011 | +b110010100011111000100001011011 B" +0b +0a +02" +1/" +1w +b1001111000101011000010 0 +b1001111000101011000010 M +b1001111000101011000010 f +b1001111000101011000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b11 } +b11 (" +b11 +" +0. +1% +#4782500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110110000001011011010011101011 2 +b110110000001011011010011101011 I +b110110000001011011010011101011 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110110000001011011010011101011 , +b110110000001011011010011101011 H +b11000 + +b11000 G +1- +1. +0% +#4783000 +0] +1i +1=" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +07" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0o +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0/" +0x +0w +0," +b110110000001011011010011101011 &" +b110110000001011011010011101011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110011110010100100101101100011 / +b11110011110010100100101101100011 K +b11110011110010100100101101100011 e +b11110011110010100100101101100011 "" +b110010100011111000100001011011 0 +b110010100011111000100001011011 M +b110010100011111000100001011011 f +b110010100011111000100001011011 !" +0. +1% +#4783010 +b110110000001011011010011101011 [" +#4783500 +b11001 5 +b11001 L +b11001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4784000 +1] +0i +b101101100111100010000100000111 { +b101101100111100010000100000111 A" +0=" +0@" +0g +19" +1;" +b11111 3" +0c +0<" +0` +b110 0" +1o +0k +18" +1l +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#4784500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4785000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b101101100111100010000100000111 / +b101101100111100010000100000111 K +b101101100111100010000100000111 e +b101101100111100010000100000111 "" +0. +1% +#4785500 +b11001 5 +b11001 L +b11001 W +14 +b10100 7 +b10100 N +b10100 V +16 +b1001000001001011101100111000000 2 +b1001000001001011101100111000000 I +b1001000001001011101100111000000 Z +b1010 3 +b1010 J +b1010 Y +11 +b11001 ' +b11001 D +1) +b10100 & +b10100 C +1( +b1001000001001011101100111000000 , +b1001000001001011101100111000000 H +b1010 + +b1010 G +1- +1. +0% +#4786000 +0] +0i +b101101100111100010000100000111 { +b101101100111100010000100000111 A" +0s +b11000100001011101011101101111001 | +b11000100001011101011101101111001 B" +0\ +1=" +1@" +0g +19" +1;" +16" +17" +b10101 3" +1c +1_ +b110 0" +1o +0k +18" +1l +b1011 -" +1t +0u +14" +1v +1b +12" +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001000001001011101100111000000 &" +b1001000001001011101100111000000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#4786010 +b1001000001001011101100111000000 M" +#4786500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4787000 +1] +1i +1u +1\ +0=" +0@" +1g +09" +0;" +0q +17" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +04" +0v +b1000010001011001011111100011110 | +b1000010001011001011111100011110 B" +0b +02" +0m +0/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b101101100111100010000100000111 / +b101101100111100010000100000111 K +b101101100111100010000100000111 e +b101101100111100010000100000111 "" +b11000100001011101011101101111001 0 +b11000100001011101011101101111001 M +b11000100001011101011101101111001 f +b11000100001011101011101101111001 !" +0. +1% +#4787500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#4788000 +0i +b10100101101101111100110110110010 { +b10100101101101111100110110110010 A" +1s +0h +1:" +1;" +1q +06" +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000010001011001011111100011110 0 +b1000010001011001011111100011110 M +b1000010001011001011111100011110 f +b1000010001011001011111100011110 !" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4788500 +b11011 5 +b11011 L +b11011 W +b1 7 +b1 N +b1 V +16 +b11011 ' +b11011 D +b1 & +b1 C +1( +1. +0% +#4789000 +19" +1o +b11011100010010000111000001111000 | +b11011100010010000111000001111000 B" +0g +0:" +0q +17" +b100 0" +0j +b10011111010011101101011010000001 { +b10011111010011101101011010000001 A" +b11110 -" +1u +1m +1w +1," +b11011 ~ +b11011 )" +b11011 ." +b1 } +b1 (" +b1 +" +1#" +b10100101101101111100110110110010 / +b10100101101101111100110110110010 K +b10100101101101111100110110110010 e +b10100101101101111100110110110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4789500 +b10011 5 +b10011 L +b10011 W +b0 7 +b0 N +b0 V +06 +b10011 ' +b10011 D +b0 & +b0 C +0( +1. +0% +#4790000 +1i +b11111110011111111101011101101111 { +b11111110011111111101011101101111 A" +09" +1q +07" +b1100 0" +0o +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10011111010011101101011010000001 / +b10011111010011101101011010000001 K +b10011111010011101101011010000001 e +b10011111010011101101011010000001 "" +b11011100010010000111000001111000 0 +b11011100010010000111000001111000 M +b11011100010010000111000001111000 f +b11011100010010000111000001111000 !" +b10011 ~ +b10011 )" +b10011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4790500 +b0 5 +b0 L +b0 W +04 +b1111010000111110010110000110100 2 +b1111010000111110010110000110100 I +b1111010000111110010110000110100 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b1111010000111110010110000110100 , +b1111010000111110010110000110100 H +b1101 + +b1101 G +1- +1. +0% +#4791000 +0] +0[ +1=" +1>" +1@" +1h +1g +0;" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0m +0/" +b1111010000111110010110000110100 &" +b1111010000111110010110000110100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111110011111111101011101101111 / +b11111110011111111101011101101111 K +b11111110011111111101011101101111 e +b11111110011111111101011101101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4791010 +b1111010000111110010110000110100 P" +#4791500 +b101 5 +b101 L +b101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4792000 +1] +0i +b1000010001011001011111100011110 { +b1000010001011001011111100011110 A" +1[ +0=" +0>" +0@" +0g +1:" +1;" +b11111 3" +0d +0_ +b11010 0" +1j +1k +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#4792500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4793000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1000010001011001011111100011110 / +b1000010001011001011111100011110 K +b1000010001011001011111100011110 e +b1000010001011001011111100011110 "" +0. +1% +#4793500 +1. +0% +#4794000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4794500 +b10011 5 +b10011 L +b10011 W +14 +b10100 7 +b10100 N +b10100 V +16 +b10111101011101011001110100110111 2 +b10111101011101011001110100110111 I +b10111101011101011001110100110111 Z +b1 3 +b1 J +b1 Y +11 +b10011 ' +b10011 D +1) +b10100 & +b10100 C +1( +b10111101011101011001110100110111 , +b10111101011101011001110100110111 H +b1 + +b1 G +1- +1. +0% +#4795000 +b11111110011111111101011101101111 { +b11111110011111111101011101101111 A" +0s +b11000100001011101011101101111001 | +b11000100001011101011101101111001 B" +0[ +1@" +0h +0g +1;" +16" +17" +b11110 3" +1_ +b1100 0" +0k +18" +1l +b1011 -" +1t +0u +14" +1v +1a +12" +1n +1m +1/" +1," +b10111101011101011001110100110111 &" +b10111101011101011001110100110111 ?" +b1 %" +b1 *" +b1 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#4795010 +b10111101011101011001110100110111 D" +#4795500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10111000001001100101100111011010 2 +b10111000001001100101100111011010 I +b10111000001001100101100111011010 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10111000001001100101100111011010 , +b10111000001001100101100111011010 H +b0 + +b0 G +1. +0% +#4796000 +1s +1[ +1h +1g +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +04" +0v +0a +0n +0m +0/" +0," +b11111110011111111101011101101111 / +b11111110011111111101011101101111 K +b11111110011111111101011101101111 e +b11111110011111111101011101101111 "" +b11000100001011101011101101111001 0 +b11000100001011101011101101111001 M +b11000100001011101011101101111001 f +b11000100001011101011101101111001 !" +b10111000001001100101100111011010 &" +b10111000001001100101100111011010 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4796010 +b10111000001001100101100111011010 C" +#4796500 +b1111 5 +b1111 L +b1111 W +14 +b101100110111110001110001010 2 +b101100110111110001110001010 I +b101100110111110001110001010 Z +b10001 3 +b10001 J +b10001 Y +b1111 ' +b1111 D +1) +b101100110111110001110001010 , +b101100110111110001110001010 H +b10001 + +b10001 G +1. +0% +#4797000 +0_ +0i +b101001010100001110011100010 { +b101001010100001110011100010 A" +0[ +0h +0g +19" +1:" +1;" +b1110 3" +1<" +1` +b10000 0" +0j +0o +1p +1k +1a +1n +1m +1/" +b101100110111110001110001010 &" +b101100110111110001110001010 ?" +b10001 %" +b10001 *" +b10001 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4797010 +b101100110111110001110001010 T" +#4797500 +b11000 5 +b11000 L +b11000 W +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4798000 +0k +1o +0s +b101001010100001110011100010 | +b101001010100001110011100010 B" +1[ +0@" +1h +1g +19" +0:" +0r +0q +15" +16" +17" +b11111 3" +0<" +0` +b111 0" +18" +1l +0p +b110110000001011011010011101011 { +b110110000001011011010011101011 A" +b10000 -" +0t +0y +1z +1u +0a +02" +0n +0m +1x +1w +1," +b101001010100001110011100010 / +b101001010100001110011100010 K +b101001010100001110011100010 e +b101001010100001110011100010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#4798500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1010011010011011011000001100000 2 +b1010011010011011011000001100000 I +b1010011010011011011000001100000 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1010011010011011011000001100000 , +b1010011010011011011000001100000 H +b11011 + +b11011 G +1- +1. +0% +#4799000 +0] +1i +1s +0\ +0[ +1=" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +05" +06" +07" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0o +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0/" +0x +0w +0," +b1010011010011011011000001100000 &" +b1010011010011011011000001100000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110110000001011011010011101011 / +b110110000001011011010011101011 K +b110110000001011011010011101011 e +b110110000001011011010011101011 "" +b101001010100001110011100010 0 +b101001010100001110011100010 M +b101001010100001110011100010 f +b101001010100001110011100010 !" +0. +1% +#4799010 +b1010011010011011011000001100000 ^" +#4799500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4800000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4800500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#4801000 +b11111110011111111101011101101111 { +b11111110011111111101011101101111 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#4801500 +b1011 5 +b1011 L +b1011 W +b1011 ' +b1011 D +1. +0% +#4802000 +1k +0i +1;" +b110010000001101001001010000001 { +b110010000001101001001010000001 A" +19" +b10100 0" +08" +0l +1o +b11111110011111111101011101101111 / +b11111110011111111101011101101111 K +b11111110011111111101011101101111 e +b11111110011111111101011101101111 "" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#4802500 +b11100 5 +b11100 L +b11100 W +b1100111100111101100011110111011 2 +b1100111100111101100011110111011 I +b1100111100111101100011110111011 Z +b11010 3 +b11010 J +b11010 Y +11 +b11100 ' +b11100 D +b1100111100111101100011110111011 , +b1100111100111101100011110111011 H +b11010 + +b11010 G +1- +1. +0% +#4803000 +0] +0k +0o +0\ +1=" +1@" +1h +1g +1:" +b101 3" +1c +0_ +1<" +1` +b11 0" +18" +1l +1p +b10001000010000001010100001111110 { +b10001000010000001010100001111110 A" +1b +12" +0n +0m +b1100111100111101100011110111011 &" +b1100111100111101100011110111011 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b110010000001101001001010000001 / +b110010000001101001001010000001 K +b110010000001101001001010000001 e +b110010000001101001001010000001 "" +0. +1% +#4803010 +b1100111100111101100011110111011 ]" +#4803500 +b0 5 +b0 L +b0 W +04 +b1111010101000110011000110110 2 +b1111010101000110011000110110 I +b1111010101000110011000110110 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b1111010101000110011000110110 , +b1111010101000110011000110110 H +b11001 + +b11001 G +1. +0% +#4804000 +1i +1\ +0[ +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b110 3" +b11111 0" +0p +08" +0l +0b +1a +0/" +b10001000010000001010100001111110 / +b10001000010000001010100001111110 K +b10001000010000001010100001111110 e +b10001000010000001010100001111110 "" +b1111010101000110011000110110 &" +b1111010101000110011000110110 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4804010 +b1111010101000110011000110110 \" +#4804500 +b1110011111110111101111101011101 2 +b1110011111110111101111101011101 I +b1110011111110111101111101011101 Z +b11010 3 +b11010 J +b11010 Y +b1110011111110111101111101011101 , +b1110011111110111101111101011101 H +b11010 + +b11010 G +1. +0% +#4805000 +0\ +1[ +b101 3" +1b +0a +b1110011111110111101111101011101 &" +b1110011111110111101111101011101 ?" +b11010 %" +b11010 *" +b11010 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4805010 +b1110011111110111101111101011101 ]" +#4805500 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4806000 +1] +0s +b1110011111110111101111101011101 | +b1110011111110111101111101011101 B" +1\ +0=" +0@" +0r +15" +17" +b11111 3" +0c +0<" +0` +b101 -" +1y +0u +14" +1v +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#4806500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4807000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b1110011111110111101111101011101 0 +b1110011111110111101111101011101 M +b1110011111110111101111101011101 f +b1110011111110111101111101011101 !" +0. +1% +#4807500 +b11011 7 +b11011 N +b11011 V +16 +b1100111000010010101101111010110 2 +b1100111000010010101101111010110 I +b1100111000010010101101111010110 Z +b10001 3 +b10001 J +b10001 Y +11 +b11011 & +b11011 C +1( +b1100111000010010101101111010110 , +b1100111000010010101101111010110 H +b10001 + +b10001 G +1- +1. +0% +#4808000 +0s +b1010011010011011011000001100000 | +b1010011010011011011000001100000 B" +0[ +1@" +0r +0q +15" +17" +b1110 3" +0_ +1<" +1` +b100 -" +1y +0u +14" +1v +1a +12" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100111000010010101101111010110 &" +b1100111000010010101101111010110 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#4808010 +b1100111000010010101101111010110 T" +#4808500 +b10011 5 +b10011 L +b10011 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4809000 +b11111110011111111101011101101111 { +b11111110011111111101011101101111 A" +1s +1[ +0@" +0h +0g +1;" +1r +1q +05" +07" +b11111 3" +0<" +0` +b1100 0" +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +1m +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1010011010011011011000001100000 0 +b1010011010011011011000001100000 M +b1010011010011011011000001100000 f +b1010011010011011011000001100000 !" +0. +1% +#4809500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +1. +0% +#4810000 +0s +b101001010100001110011100010 | +b101001010100001110011100010 B" +1h +1g +0;" +0r +0q +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +0n +0m +0/" +1x +1w +1," +b11111110011111111101011101101111 / +b11111110011111111101011101101111 K +b11111110011111111101011101101111 e +b11111110011111111101011101101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#4810500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#4811000 +0i +b10100101101101111100110110110010 { +b10100101101101111100110110110010 A" +1s +0h +1:" +1;" +1r +1q +05" +06" +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0w +0," +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101001010100001110011100010 0 +b101001010100001110011100010 M +b101001010100001110011100010 f +b101001010100001110011100010 !" +0. +1% +#4811500 +b1011 5 +b1011 L +b1011 W +b1011 ' +b1011 D +1. +0% +#4812000 +19" +1k +1o +0g +1;" +0:" +b10100 0" +08" +0l +0j +b110010000001101001001010000001 { +b110010000001101001001010000001 A" +1m +b10100101101101111100110110110010 / +b10100101101101111100110110110010 K +b10100101101101111100110110110010 e +b10100101101101111100110110110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#4812500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b0 ' +b0 D +0) +b111 & +b111 C +1( +1. +0% +#4813000 +1i +0s +b10101111001101001101000010001001 | +b10101111001101001101000010001001 B" +1h +1g +09" +0;" +0r +0q +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11000 -" +1t +1u +0n +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +b110010000001101001001010000001 / +b110010000001101001001010000001 K +b110010000001101001001010000001 e +b110010000001101001001010000001 "" +0. +1% +#4813500 +b101 7 +b101 N +b101 V +b101 & +b101 C +1. +0% +#4814000 +1r +b11010 -" +b1000010001011001011111100011110 | +b1000010001011001011111100011110 B" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101111001101001101000010001001 0 +b10101111001101001101000010001001 M +b10101111001101001101000010001001 f +b10101111001101001101000010001001 !" +b101 } +b101 (" +b101 +" +0. +1% +#4814500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4815000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1000010001011001011111100011110 0 +b1000010001011001011111100011110 M +b1000010001011001011111100011110 f +b1000010001011001011111100011110 !" +0. +1% +#4815500 +b11110 5 +b11110 L +b11110 W +14 +b11110 ' +b11110 D +1) +1. +0% +#4816000 +0i +b10101000000100111010111100110000 { +b10101000000100111010111100110000 A" +0h +19" +1:" +1;" +b1 0" +0j +0o +1p +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#4816500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +1. +0% +#4817000 +1i +0s +b10101000000100111010111100110000 | +b10101000000100111010111100110000 B" +1h +09" +0:" +0;" +0r +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +0t +0y +1z +0u +14" +1v +0n +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b10101000000100111010111100110000 / +b10101000000100111010111100110000 K +b10101000000100111010111100110000 e +b10101000000100111010111100110000 "" +0. +1% +#4817500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#4818000 +0i +b11010111100111101110010010011110 { +b11010111100111101110010010011110 A" +1s +0h +0g +1:" +1;" +1r +05" +06" +07" +b1000 0" +1j +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000000100111010111100110000 0 +b10101000000100111010111100110000 M +b10101000000100111010111100110000 f +b10101000000100111010111100110000 !" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4818500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4819000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11010111100111101110010010011110 / +b11010111100111101110010010011110 K +b11010111100111101110010010011110 e +b11010111100111101110010010011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4819500 +1. +0% +#4820000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4820500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#4821000 +0i +b10001000101110101000001101000111 { +b10001000101110101000001101000111 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#4821500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b0 ' +b0 D +0) +b100 & +b100 C +1( +1. +0% +#4822000 +1i +0s +b101001001101110111111000010110 | +b101001001101110111111000010110 B" +1g +09" +0;" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +1t +1u +0m +0/" +1," +b10001000101110101000001101000111 / +b10001000101110101000001101000111 K +b10001000101110101000001101000111 e +b10001000101110101000001101000111 "" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#4822500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b1100100111000111011101101100100 2 +b1100100111000111011101101100100 I +b1100100111000111011101101100100 Z +b11010 3 +b11010 J +b11010 Y +11 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +b1100100111000111011101101100100 , +b1100100111000111011101101100100 H +b11010 + +b11010 G +1- +1. +0% +#4823000 +0] +0i +b1000001111011111110010100011011 { +b1000001111011111110010100011011 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1=" +1@" +19" +1;" +06" +07" +b101 3" +1c +0_ +1<" +1` +b10111 0" +1o +1k +b11111 -" +0t +0u +1b +12" +1/" +0," +b1100100111000111011101101100100 &" +b1100100111000111011101101100100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101001001101110111111000010110 0 +b101001001101110111111000010110 M +b101001001101110111111000010110 f +b101001001101110111111000010110 !" +0. +1% +#4823010 +b1100100111000111011101101100100 ]" +#4823500 +b0 5 +b0 L +b0 W +04 +b1011111101111000110011000110110 2 +b1011111101111000110011000110110 I +b1011111101111000110011000110110 Z +b100 3 +b100 J +b100 Y +b0 ' +b0 D +0) +b1011111101111000110011000110110 , +b1011111101111000110011000110110 H +b100 + +b100 G +1. +0% +#4824000 +1>" +1_ +1^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +1@" +0=" +09" +0;" +b11011 3" +0<" +0` +0c +b11111 0" +0o +0k +0b +0/" +b1000001111011111110010100011011 / +b1000001111011111110010100011011 K +b1000001111011111110010100011011 e +b1000001111011111110010100011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011111101111000110011000110110 &" +b1011111101111000110011000110110 ?" +b100 %" +b100 *" +b100 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4824010 +b1011111101111000110011000110110 G" +#4824500 +b10010 7 +b10010 N +b10010 V +16 +b111011101101110010100000111000 2 +b111011101101110010100000111000 I +b111011101101110010100000111000 Z +b10101 3 +b10101 J +b10101 Y +b10010 & +b10010 C +1( +b111011101101110010100000111000 , +b111011101101110010100000111000 H +b10101 + +b10101 G +1. +0% +#4825000 +0_ +b1001101100111100010000101110 | +b1001101100111100010000101110 B" +0[ +0r +17" +b1010 3" +1<" +1` +b1101 -" +0u +14" +1v +1a +1x +1," +b111011101101110010100000111000 &" +b111011101101110010100000111000 ?" +b10101 %" +b10101 *" +b10101 1" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4825010 +b111011101101110010100000111000 X" +#4825500 +b1111 7 +b1111 N +b1111 V +b10001001001000010101001011100001 2 +b10001001001000010101001011100001 I +b10001001001000010101001011100001 Z +b11000 3 +b11000 J +b11000 Y +b1111 & +b1111 C +b10001001001000010101001011100001 , +b10001001001000010101001011100001 H +b11000 + +b11000 G +1. +0% +#4826000 +1=" +1c +1u +0s +1[ +0>" +0q +17" +15" +16" +b111 3" +0^ +b10000 -" +04" +0v +0t +0y +1z +b101001010100001110011100010 | +b101001010100001110011100010 B" +0a +1w +b1001101100111100010000101110 0 +b1001101100111100010000101110 M +b1001101100111100010000101110 f +b1001101100111100010000101110 !" +b10001001001000010101001011100001 &" +b10001001001000010101001011100001 ?" +b11000 %" +b11000 *" +b11000 1" +b1111 } +b1111 (" +b1111 +" +0. +1% +#4826010 +b10001001001000010101001011100001 [" +#4826500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4827000 +1] +1s +0=" +0@" +1r +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b101001010100001110011100010 0 +b101001010100001110011100010 M +b101001010100001110011100010 f +b101001010100001110011100010 !" +0. +1% +#4827500 +b10 5 +b10 L +b10 W +14 +b10 ' +b10 D +1) +1. +0% +#4828000 +b1001111000101011000010 { +b1001111000101011000010 A" +0h +1;" +b11101 0" +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#4828500 +b11001 5 +b11001 L +b11001 W +b10010111101100010011100100101 2 +b10010111101100010011100100101 I +b10010111101100010011100100101 Z +b1 3 +b1 J +b1 Y +11 +b11001 ' +b11001 D +b10010111101100010011100100101 , +b10010111101100010011100100101 H +b1 + +b1 G +1- +1. +0% +#4829000 +0k +0i +0[ +1@" +1h +0g +19" +b11110 3" +1_ +b110 0" +18" +1l +1o +b1111010101000110011000110110 { +b1111010101000110011000110110 A" +1a +12" +0n +1m +b10010111101100010011100100101 &" +b10010111101100010011100100101 ?" +b1 %" +b1 *" +b1 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b1001111000101011000010 / +b1001111000101011000010 K +b1001111000101011000010 e +b1001111000101011000010 "" +0. +1% +#4829010 +b10010111101100010011100100101 D" +#4829500 +b1010 5 +b1010 L +b1010 W +b11001110010111101010101010001011 2 +b11001110010111101010101010001011 I +b11001110010111101010101010001011 Z +b1100 3 +b1100 J +b1100 Y +b1010 ' +b1010 D +b11001110010111101010101010001011 , +b11001110010111101010101010001011 H +b1100 + +b1100 G +1. +0% +#4830000 +0] +1k +1[ +1=" +1>" +0h +1g +1;" +b10011 3" +0^ +0c +1d +b10101 0" +08" +0l +b1001000001001011101100111000000 { +b1001000001001011101100111000000 A" +0a +1n +0m +b1111010101000110011000110110 / +b1111010101000110011000110110 K +b1111010101000110011000110110 e +b1111010101000110011000110110 "" +b11001110010111101010101010001011 &" +b11001110010111101010101010001011 ?" +b1100 %" +b1100 *" +b1100 1" +b1010 ~ +b1010 )" +b1010 ." +0. +1% +#4830010 +b11001110010111101010101010001011 O" +#4830500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4831000 +1] +1i +0s +b10001000101110101000001101000111 | +b10001000101110101000001101000111 B" +0=" +0>" +0@" +1h +09" +0;" +0q +15" +17" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +1y +1u +02" +0n +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b1001000001001011101100111000000 / +b1001000001001011101100111000000 K +b1001000001001011101100111000000 e +b1001000001001011101100111000000 "" +0. +1% +#4831500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4832000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001000101110101000001101000111 0 +b10001000101110101000001101000111 M +b10001000101110101000001101000111 f +b10001000101110101000001101000111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4832500 +b1000011100011000100101101011101 2 +b1000011100011000100101101011101 I +b1000011100011000100101101011101 Z +b11011 3 +b11011 J +b11011 Y +11 +b1000011100011000100101101011101 , +b1000011100011000100101101011101 H +b11011 + +b11011 G +1- +1. +0% +#4833000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b1000011100011000100101101011101 &" +b1000011100011000100101101011101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4833010 +b1000011100011000100101101011101 ^" +#4833500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4834000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4834500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#4835000 +0s +b10001000010000001010100001111110 | +b10001000010000001010100001111110 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#4835500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b10100011110100010010110111011011 2 +b10100011110100010010110111011011 I +b10100011110100010010110111011011 Z +b10001 3 +b10001 J +b10001 Y +11 +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +b10100011110100010010110111011011 , +b10100011110100010010110111011011 H +b10001 + +b10001 G +1- +1. +0% +#4836000 +b11110001010001101101001111010101 { +b11110001010001101101001111010101 A" +1s +0[ +1@" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1110 3" +0_ +1<" +1` +b1111 0" +0k +18" +1l +b11111 -" +0z +04" +0v +1a +12" +1/" +0," +b10001000010000001010100001111110 0 +b10001000010000001010100001111110 M +b10001000010000001010100001111110 f +b10001000010000001010100001111110 !" +b10100011110100010010110111011011 &" +b10100011110100010010110111011011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4836010 +b10100011110100010010110111011011 T" +#4836500 +b11 5 +b11 L +b11 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4837000 +1k +1[ +0@" +0h +0g +1;" +b11111 3" +0<" +0` +b11100 0" +08" +0l +b110010100011111000100001011011 { +b110010100011111000100001011011 A" +0a +02" +1n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +b11110001010001101101001111010101 / +b11110001010001101101001111010101 K +b11110001010001101101001111010101 e +b11110001010001101101001111010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4837500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#4838000 +0s +b1000001111011111110010100011011 | +b1000001111011111110010100011011 B" +1h +1g +0;" +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +0n +0m +0/" +1," +b110010100011111000100001011011 / +b110010100011111000100001011011 K +b110010100011111000100001011011 e +b110010100011111000100001011011 "" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#4838500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4839000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +07" +b11111 -" +0y +0u +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000001111011111110010100011011 0 +b1000001111011111110010100011011 M +b1000001111011111110010100011011 f +b1000001111011111110010100011011 !" +0. +1% +#4839500 +b10010111001111010100000001101000 2 +b10010111001111010100000001101000 I +b10010111001111010100000001101000 Z +b100 3 +b100 J +b100 Y +11 +b10010111001111010100000001101000 , +b10010111001111010100000001101000 H +b100 + +b100 G +1- +1. +0% +#4840000 +0] +1>" +1@" +b11011 3" +1^ +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010111001111010100000001101000 &" +b10010111001111010100000001101000 ?" +b100 %" +b100 *" +b100 1" +1'" +0. +1% +#4840010 +b10010111001111010100000001101000 G" +#4840500 +b11 5 +b11 L +b11 W +14 +b1000 7 +b1000 N +b1000 V +16 +b11110100100010111001000000101011 2 +b11110100100010111001000000101011 I +b11110100100010111001000000101011 Z +b1010 3 +b1010 J +b1010 Y +b11 ' +b11 D +1) +b1000 & +b1000 C +1( +b11110100100010111001000000101011 , +b11110100100010111001000000101011 H +b1010 + +b1010 G +1. +0% +#4841000 +1=" +1c +b110010100011111000100001011011 { +b110010100011111000100001011011 A" +0s +b1000001111011111110010100011011 | +b1000001111011111110010100011011 B" +0\ +0>" +0h +0g +1;" +15" +17" +b10101 3" +0^ +b11100 0" +1k +b10111 -" +1y +1u +1b +1n +1m +1/" +1," +b11110100100010111001000000101011 &" +b11110100100010111001000000101011 ?" +b1010 %" +b1010 *" +b1010 1" +b11 ~ +b11 )" +b11 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#4841010 +b11110100100010111001000000101011 M" +#4841500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001001111100011111110101101010 2 +b1001001111100011111110101101010 I +b1001001111100011111110101101010 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001001111100011111110101101010 , +b1001001111100011111110101101010 H +b10110 + +b10110 G +1. +0% +#4842000 +1>" +0_ +1^ +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0=" +1h +1g +0;" +05" +07" +b1001 3" +1<" +1` +0c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +0u +0n +0m +0/" +0," +b110010100011111000100001011011 / +b110010100011111000100001011011 K +b110010100011111000100001011011 e +b110010100011111000100001011011 "" +b1000001111011111110010100011011 0 +b1000001111011111110010100011011 M +b1000001111011111110010100011011 f +b1000001111011111110010100011011 !" +b1001001111100011111110101101010 &" +b1001001111100011111110101101010 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4842010 +b1001001111100011111110101101010 Y" +#4842500 +b11010101011101011101011011100100 2 +b11010101011101011101011011100100 I +b11010101011101011101011011100100 Z +b101 3 +b101 J +b101 Y +b11010101011101011101011011100100 , +b11010101011101011101011011100100 H +b101 + +b101 G +1. +0% +#4843000 +1_ +1\ +0[ +1@" +b11010 3" +0<" +0` +0b +1a +b11010101011101011101011011100100 &" +b11010101011101011101011011100100 ?" +b101 %" +b101 *" +b101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4843010 +b11010101011101011101011011100100 H" +#4843500 +b11101001010010001011011010110 2 +b11101001010010001011011010110 I +b11101001010010001011011010110 Z +b11111 3 +b11111 J +b11111 Y +b11101001010010001011011010110 , +b11101001010010001011011010110 H +b11111 + +b11111 G +1. +0% +#4844000 +0_ +0^ +0\ +1=" +b0 3" +1<" +1` +1d +1b +b11101001010010001011011010110 &" +b11101001010010001011011010110 ?" +b11111 %" +b11111 *" +b11111 1" +0. +1% +#4844500 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4845000 +1] +0s +b10101000000100111010111100110000 | +b10101000000100111010111100110000 B" +1\ +1[ +0=" +0>" +0@" +0r +15" +16" +17" +b11111 3" +0d +0<" +0` +b1 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#4845500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4846000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b10101000000100111010111100110000 0 +b10101000000100111010111100110000 M +b10101000000100111010111100110000 f +b10101000000100111010111100110000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4846500 +b10100110001111001110001100000110 2 +b10100110001111001110001100000110 I +b10100110001111001110001100000110 Z +b10000 3 +b10000 J +b10000 Y +11 +b10100110001111001110001100000110 , +b10100110001111001110001100000110 H +b10000 + +b10000 G +1- +1. +0% +#4847000 +1@" +b1111 3" +0_ +1<" +1` +12" +b10100110001111001110001100000110 &" +b10100110001111001110001100000110 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4847010 +b10100110001111001110001100000110 S" +#4847500 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4848000 +0s +b1100100111000111011101101100100 | +b1100100111000111011101101100100 B" +0@" +0r +15" +17" +b11111 3" +0<" +0` +b101 -" +1y +0u +14" +1v +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#4848500 +b0 7 +b0 N +b0 V +06 +b100000010101010011111010100001 2 +b100000010101010011111010100001 I +b100000010101010011111010100001 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 & +b0 C +0( +b100000010101010011111010100001 , +b100000010101010011111010100001 H +b1100 + +b1100 G +1- +1. +0% +#4849000 +0] +1s +1=" +1>" +1@" +1r +05" +07" +b10011 3" +0^ +0c +1d +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0," +b100000010101010011111010100001 &" +b100000010101010011111010100001 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1100100111000111011101101100100 0 +b1100100111000111011101101100100 M +b1100100111000111011101101100100 f +b1100100111000111011101101100100 !" +0. +1% +#4849010 +b100000010101010011111010100001 O" +#4849500 +b1001 5 +b1001 L +b1001 W +14 +b11000000010011011011101000111101 2 +b11000000010011011011101000111101 I +b11000000010011011011101000111101 Z +b10100 3 +b10100 J +b10100 Y +b1001 ' +b1001 D +1) +b11000000010011011011101000111101 , +b11000000010011011011101000111101 H +b10100 + +b10100 G +1. +0% +#4850000 +0_ +1^ +0i +b10001000101110101000001101000111 { +b10001000101110101000001101000111 A" +0=" +1>" +0g +19" +1;" +b1011 3" +1<" +1` +0d +b10110 0" +1o +1k +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000000010011011011101000111101 &" +b11000000010011011011101000111101 ?" +b10100 %" +b10100 *" +b10100 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#4850010 +b11000000010011011011101000111101 W" +#4850500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4851000 +1] +1i +0s +b1111010101000110011000110110 | +b1111010101000110011000110110 B" +0>" +0@" +1g +09" +0;" +0q +15" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +1y +0u +14" +1v +02" +0m +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +b10001000101110101000001101000111 / +b10001000101110101000001101000111 K +b10001000101110101000001101000111 e +b10001000101110101000001101000111 "" +0. +1% +#4851500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b10110011101011110100111010000001 2 +b10110011101011110100111010000001 I +b10110011101011110100111010000001 Z +b10101 3 +b10101 J +b10101 Y +11 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b10110011101011110100111010000001 , +b10110011101011110100111010000001 H +b10101 + +b10101 G +1- +1. +0% +#4852000 +0] +0i +b11101001010010001011011010110 { +b11101001010010001011011010110 A" +1s +0[ +1>" +1@" +0h +0g +19" +1:" +1;" +1q +05" +07" +b1010 3" +1^ +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1n +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111010101000110011000110110 0 +b1111010101000110011000110110 M +b1111010101000110011000110110 f +b1111010101000110011000110110 !" +b10110011101011110100111010000001 &" +b10110011101011110100111010000001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4852010 +b10110011101011110100111010000001 X" +#4852500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4853000 +1] +1i +b11111110011111111101011101101111 | +b11111110011111111101011101101111 B" +1[ +0>" +0@" +1h +1g +09" +0:" +0;" +0r +0q +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0u +14" +1v +0a +02" +0n +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b11101001010010001011011010110 / +b11101001010010001011011010110 K +b11101001010010001011011010110 e +b11101001010010001011011010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4853500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4854000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111110011111111101011101101111 0 +b11111110011111111101011101101111 M +b11111110011111111101011101101111 f +b11111110011111111101011101101111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4854500 +1. +0% +#4855000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4855500 +1. +0% +#4856000 +0. +1% +#4856500 +b10001 7 +b10001 N +b10001 V +16 +b10001 & +b10001 C +1( +1. +0% +#4857000 +b10100011110100010010110111011011 | +b10100011110100010010110111011011 B" +0q +17" +b1110 -" +0u +14" +1v +1w +1," +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#4857500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4858000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b10100011110100010010110111011011 0 +b10100011110100010010110111011011 M +b10100011110100010010110111011011 f +b10100011110100010010110111011011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4858500 +1. +0% +#4859000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4859500 +b1100 7 +b1100 N +b1100 V +16 +b1100011111100010011110101001101 2 +b1100011111100010011110101001101 I +b1100011111100010011110101001101 Z +b10110 3 +b10110 J +b10110 Y +11 +b1100 & +b1100 C +1( +b1100011111100010011110101001101 , +b1100011111100010011110101001101 H +b10110 + +b10110 G +1- +1. +0% +#4860000 +0] +0s +b100000010101010011111010100001 | +b100000010101010011111010100001 B" +0\ +1>" +1@" +15" +16" +17" +b1001 3" +1^ +0_ +1<" +1` +b10011 -" +0t +0y +1z +1u +1b +12" +1," +b1100011111100010011110101001101 &" +b1100011111100010011110101001101 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#4860010 +b1100011111100010011110101001101 Y" +#4860500 +b1010 7 +b1010 N +b1010 V +b11100011101000110010101010010001 2 +b11100011101000110010101010010001 I +b11100011101000110010101010010001 Z +b11001 3 +b11001 J +b11001 Y +b1010 & +b1010 C +b11100011101000110010101010010001 , +b11100011101000110010101010010001 H +b11001 + +b11001 G +1. +0% +#4861000 +1=" +1c +1y +1\ +0[ +0>" +0r +15" +06" +b110 3" +0^ +b10101 -" +0z +b11110100100010111001000000101011 | +b11110100100010111001000000101011 B" +0b +1a +1x +b11100011101000110010101010010001 &" +b11100011101000110010101010010001 ?" +b11001 %" +b11001 *" +b11001 1" +b1010 } +b1010 (" +b1010 +" +b100000010101010011111010100001 0 +b100000010101010011111010100001 M +b100000010101010011111010100001 f +b100000010101010011111010100001 !" +0. +1% +#4861010 +b11100011101000110010101010010001 \" +#4861500 +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4862000 +16" +1] +0u +1t +1[ +0=" +0@" +1r +05" +b11111 3" +0c +0<" +0` +b1011 -" +14" +1v +0y +b11000000010011011011101000111101 | +b11000000010011011011101000111101 B" +0a +02" +0x +b11110100100010111001000000101011 0 +b11110100100010111001000000101011 M +b11110100100010111001000000101011 f +b11110100100010111001000000101011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 } +b10100 (" +b10100 +" +0. +1% +#4862500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4863000 +1s +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0t +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b11000000010011011011101000111101 0 +b11000000010011011011101000111101 M +b11000000010011011011101000111101 f +b11000000010011011011101000111101 !" +0. +1% +#4863500 +b1101 5 +b1101 L +b1101 W +14 +b100 7 +b100 N +b100 V +16 +b11100011101001011010000110101101 2 +b11100011101001011010000110101101 I +b11100011101001011010000110101101 Z +b11011 3 +b11011 J +b11011 Y +11 +b1101 ' +b1101 D +1) +b100 & +b100 C +1( +b11100011101001011010000110101101 , +b11100011101001011010000110101101 H +b11011 + +b11011 G +1- +1. +0% +#4864000 +0] +0i +b1111010000111110010110000110100 { +b1111010000111110010110000110100 A" +0s +b10010111001111010100000001101000 | +b10010111001111010100000001101000 B" +0\ +0[ +1=" +1@" +0g +19" +1:" +1;" +16" +17" +b100 3" +1c +0_ +1<" +1` +b10010 0" +0j +0o +1p +1k +b11011 -" +1t +1u +1b +1a +12" +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100011101001011010000110101101 &" +b11100011101001011010000110101101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#4864010 +b11100011101001011010000110101101 ^" +#4864500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4865000 +1] +1i +1s +1\ +1[ +0=" +0@" +1g +09" +0:" +0;" +0r +06" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11101 -" +0t +b1001111000101011000010 | +b1001111000101011000010 B" +0b +0a +02" +0m +0/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +b1111010000111110010110000110100 / +b1111010000111110010110000110100 K +b1111010000111110010110000110100 e +b1111010000111110010110000110100 "" +b10010111001111010100000001101000 0 +b10010111001111010100000001101000 M +b10010111001111010100000001101000 f +b10010111001111010100000001101000 !" +0. +1% +#4865500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b11111011111100011010110000100010 2 +b11111011111100011010110000100010 I +b11111011111100011010110000100010 Z +b1000 3 +b1000 J +b1000 Y +11 +b11 ' +b11 D +1) +b0 & +b0 C +0( +b11111011111100011010110000100010 , +b11111011111100011010110000100010 H +b1000 + +b1000 G +1- +1. +0% +#4866000 +0] +b110010100011111000100001011011 { +b110010100011111000100001011011 A" +1=" +1@" +0h +0g +1;" +1r +07" +b10111 3" +1c +1_ +b11100 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001111000101011000010 0 +b1001111000101011000010 M +b1001111000101011000010 f +b1001111000101011000010 !" +b11111011111100011010110000100010 &" +b11111011111100011010110000100010 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4866010 +b11111011111100011010110000100010 K" +#4866500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4867000 +1] +0=" +0@" +1h +1g +0;" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b110010100011111000100001011011 / +b110010100011111000100001011011 K +b110010100011111000100001011011 e +b110010100011111000100001011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4867500 +1. +0% +#4868000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4868500 +b1111110110010100001100101011110 2 +b1111110110010100001100101011110 I +b1111110110010100001100101011110 Z +b11 3 +b11 J +b11 Y +11 +b1111110110010100001100101011110 , +b1111110110010100001100101011110 H +b11 + +b11 G +1- +1. +0% +#4869000 +0\ +0[ +1@" +b11100 3" +1_ +1b +1a +12" +b1111110110010100001100101011110 &" +b1111110110010100001100101011110 ?" +b11 %" +b11 *" +b11 1" +1'" +0. +1% +#4869010 +b1111110110010100001100101011110 F" +#4869500 +b10001101000000101111111001110100 2 +b10001101000000101111111001110100 I +b10001101000000101111111001110100 Z +b10000 3 +b10000 J +b10000 Y +b10001101000000101111111001110100 , +b10001101000000101111111001110100 H +b10000 + +b10000 G +1. +0% +#4870000 +0_ +1\ +1[ +b1111 3" +1<" +1` +0b +0a +b10001101000000101111111001110100 &" +b10001101000000101111111001110100 ?" +b10000 %" +b10000 *" +b10000 1" +0. +1% +#4870010 +b10001101000000101111111001110100 S" +#4870500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4871000 +0@" +b11111 3" +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#4871500 +14 +1) +1. +0% +#4872000 +b10111000001001100101100111011010 { +b10111000001001100101100111011010 A" +1;" +1k +1/" +1$" +0. +1% +#4872500 +04 +b101 7 +b101 N +b101 V +16 +b11000110110010011101110011110101 2 +b11000110110010011101110011110101 I +b11000110110010011101110011110101 Z +b1 3 +b1 J +b1 Y +11 +0) +b101 & +b101 C +1( +b11000110110010011101110011110101 , +b11000110110010011101110011110101 H +b1 + +b1 G +1- +1. +0% +#4873000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11010101011101011101011011100100 | +b11010101011101011101011011100100 B" +0[ +1@" +0;" +0q +16" +17" +b11110 3" +1_ +0k +b11010 -" +1t +1u +1a +12" +0/" +1w +1," +b11000110110010011101110011110101 &" +b11000110110010011101110011110101 ?" +b1 %" +b1 *" +b1 1" +1'" +0$" +b101 } +b101 (" +b101 +" +1#" +b10111000001001100101100111011010 / +b10111000001001100101100111011010 K +b10111000001001100101100111011010 e +b10111000001001100101100111011010 "" +0. +1% +#4873010 +b11000110110010011101110011110101 D" +#4873500 +b0 7 +b0 N +b0 V +06 +b11011010000001011000000111000101 2 +b11011010000001011000000111000101 I +b11011010000001011000000111000101 Z +b1100 3 +b1100 J +b1100 Y +b0 & +b0 C +0( +b11011010000001011000000111000101 , +b11011010000001011000000111000101 H +b1100 + +b1100 G +1. +0% +#4874000 +0] +1s +1[ +1=" +1>" +1q +06" +07" +b10011 3" +0^ +0c +1d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010101011101011101011011100100 0 +b11010101011101011101011011100100 M +b11010101011101011101011011100100 f +b11010101011101011101011011100100 !" +b11011010000001011000000111000101 &" +b11011010000001011000000111000101 ?" +b1100 %" +b1100 *" +b1100 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4874010 +b11011010000001011000000111000101 O" +#4874500 +b10001 5 +b10001 L +b10001 W +14 +b10101 7 +b10101 N +b10101 V +16 +b101100011011011111001010010011 2 +b101100011011011111001010010011 I +b101100011011011111001010010011 Z +b10110 3 +b10110 J +b10110 Y +b10001 ' +b10001 D +1) +b10101 & +b10101 C +1( +b101100011011011111001010010011 , +b101100011011011111001010010011 H +b10110 + +b10110 G +1. +0% +#4875000 +0_ +1^ +b10100011110100010010110111011011 { +b10100011110100010010110111011011 A" +0s +b10110011101011110100111010000001 | +b10110011101011110100111010000001 B" +0\ +0=" +1>" +0g +1;" +0q +16" +17" +b1001 3" +1<" +1` +0d +b1110 0" +0k +18" +1l +b1010 -" +1t +0u +14" +1v +1b +1m +1/" +1w +1," +b101100011011011111001010010011 &" +b101100011011011111001010010011 ?" +b10110 %" +b10110 *" +b10110 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4875010 +b101100011011011111001010010011 Y" +#4875500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4876000 +1] +1\ +0>" +0@" +1g +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0m +0/" +b10100011110100010010110111011011 / +b10100011110100010010110111011011 K +b10100011110100010010110111011011 e +b10100011110100010010110111011011 "" +b10110011101011110100111010000001 0 +b10110011101011110100111010000001 M +b10110011101011110100111010000001 f +b10110011101011110100111010000001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4876500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4877000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4877500 +b11111 5 +b11111 L +b11111 W +14 +b11001000010111101000111001010101 2 +b11001000010111101000111001010101 I +b11001000010111101000111001010101 Z +b11110 3 +b11110 J +b11110 Y +11 +b11111 ' +b11111 D +1) +b11001000010111101000111001010101 , +b11001000010111101000111001010101 H +b11110 + +b11110 G +1- +1. +0% +#4878000 +0] +0i +b11101001010010001011011010110 { +b11101001010010001011011010110 A" +0\ +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +1b +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001000010111101000111001010101 &" +b11001000010111101000111001010101 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#4878010 +b11001000010111101000111001010101 a" +#4878500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4879000 +1] +1i +1\ +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101001010010001011011010110 / +b11101001010010001011011010110 K +b11101001010010001011011010110 e +b11101001010010001011011010110 "" +0. +1% +#4879500 +b11010 5 +b11010 L +b11010 W +14 +b110101111111100001010100101011 2 +b110101111111100001010100101011 I +b110101111111100001010100101011 Z +b11011 3 +b11011 J +b11011 Y +11 +b11010 ' +b11010 D +1) +b110101111111100001010100101011 , +b110101111111100001010100101011 H +b11011 + +b11011 G +1- +1. +0% +#4880000 +0] +0i +b1100100111000111011101101100100 { +b1100100111000111011101101100100 A" +0\ +0[ +1=" +1@" +0h +19" +1;" +b100 3" +1c +0_ +1<" +1` +b101 0" +1o +0k +18" +1l +1b +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110101111111100001010100101011 &" +b110101111111100001010100101011 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#4880010 +b110101111111100001010100101011 ^" +#4880500 +b0 5 +b0 L +b0 W +04 +b1010111100001101101010111011101 2 +b1010111100001101101010111011101 I +b1010111100001101101010111011101 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b1010111100001101101010111011101 , +b1010111100001101101010111011101 H +b11010 + +b11010 G +1. +0% +#4881000 +1i +1[ +1h +09" +0;" +b101 3" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +0n +0/" +b1010111100001101101010111011101 &" +b1010111100001101101010111011101 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +b1100100111000111011101101100100 / +b1100100111000111011101101100100 K +b1100100111000111011101101100100 e +b1100100111000111011101101100100 "" +0. +1% +#4881010 +b1010111100001101101010111011101 ]" +#4881500 +b11111 7 +b11111 N +b11111 V +16 +b1101000110001110110101010110010 2 +b1101000110001110110101010110010 I +b1101000110001110110101010110010 Z +b1001 3 +b1001 J +b1001 Y +b11111 & +b11111 C +1( +b1101000110001110110101010110010 , +b1101000110001110110101010110010 H +b1001 + +b1001 G +1. +0% +#4882000 +1_ +0s +b11101001010010001011011010110 | +b11101001010010001011011010110 B" +1\ +0[ +1@" +0r +0q +15" +16" +17" +b10110 3" +0<" +0` +b0 -" +0t +0y +1z +0u +14" +1v +0b +1a +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101000110001110110101010110010 &" +b1101000110001110110101010110010 ?" +b1001 %" +b1001 *" +b1001 1" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#4882010 +b1101000110001110110101010110010 L" +#4882500 +b0 7 +b0 N +b0 V +06 +b10011111101110111100110111000101 2 +b10011111101110111100110111000101 I +b10011111101110111100110111000101 Z +b100 3 +b100 J +b100 Y +b0 & +b0 C +0( +b10011111101110111100110111000101 , +b10011111101110111100110111000101 H +b100 + +b100 G +1. +0% +#4883000 +1>" +1^ +1s +1[ +0=" +1r +1q +05" +06" +07" +b11011 3" +0c +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +0x +0w +0," +b10011111101110111100110111000101 &" +b10011111101110111100110111000101 ?" +b100 %" +b100 *" +b100 1" +b0 } +b0 (" +b0 +" +0#" +b11101001010010001011011010110 0 +b11101001010010001011011010110 M +b11101001010010001011011010110 f +b11101001010010001011011010110 !" +0. +1% +#4883010 +b10011111101110111100110111000101 G" +#4883500 +b1100 5 +b1100 L +b1100 W +14 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4884000 +1] +0i +b11011010000001011000000111000101 { +b11011010000001011000000111000101 A" +0s +b1101000110001110110101010110010 | +b1101000110001110110101010110010 B" +0>" +0@" +19" +1:" +1;" +0q +15" +17" +b11111 3" +0^ +0_ +b10011 0" +0j +0o +1p +1k +b10110 -" +1y +1u +02" +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#4884500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4885000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0:" +0;" +1q +05" +07" +b11111 0" +0p +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011010000001011000000111000101 / +b11011010000001011000000111000101 K +b11011010000001011000000111000101 e +b11011010000001011000000111000101 "" +b1101000110001110110101010110010 0 +b1101000110001110110101010110010 M +b1101000110001110110101010110010 f +b1101000110001110110101010110010 !" +0. +1% +#4885500 +b11010 5 +b11010 L +b11010 W +14 +b1101 7 +b1101 N +b1101 V +16 +b11010 ' +b11010 D +1) +b1101 & +b1101 C +1( +1. +0% +#4886000 +0i +b1010111100001101101010111011101 { +b1010111100001101101010111011101 A" +0s +b1111010000111110010110000110100 | +b1111010000111110010110000110100 B" +0h +19" +1;" +0q +15" +16" +17" +b101 0" +1o +0k +18" +1l +b10010 -" +0t +0y +1z +1u +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010 ~ +b11010 )" +b11010 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#4886500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101010000101111001100111011 2 +b10101010000101111001100111011 I +b10101010000101111001100111011 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101010000101111001100111011 , +b10101010000101111001100111011 H +b11111 + +b11111 G +1- +1. +0% +#4887000 +0] +1i +1s +0\ +0[ +1=" +1>" +1@" +1h +09" +0;" +1q +05" +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0n +0/" +0w +0," +b10101010000101111001100111011 &" +b10101010000101111001100111011 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1010111100001101101010111011101 / +b1010111100001101101010111011101 K +b1010111100001101101010111011101 e +b1010111100001101101010111011101 "" +b1111010000111110010110000110100 0 +b1111010000111110010110000110100 M +b1111010000111110010110000110100 f +b1111010000111110010110000110100 !" +0. +1% +#4887500 +b1110 5 +b1110 L +b1110 W +14 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4888000 +1] +0i +b1011001011100110100000100011 { +b1011001011100110100000100011 A" +b10111000001001100101100111011010 | +b10111000001001100101100111011010 B" +1\ +1[ +0=" +0>" +0@" +0h +19" +1:" +1;" +17" +b11111 3" +0d +0<" +0` +b10001 0" +0j +0o +1p +1k +1u +0b +0a +02" +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +1#" +0. +1% +#4888500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b0 ' +b0 D +0) +b10101 & +b10101 C +1. +0% +#4889000 +1i +0u +0s +1h +09" +0:" +0;" +0q +16" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1010 -" +14" +1v +1t +b10110011101011110100111010000001 | +b10110011101011110100111010000001 B" +0n +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +b1011001011100110100000100011 / +b1011001011100110100000100011 K +b1011001011100110100000100011 e +b1011001011100110100000100011 "" +b10111000001001100101100111011010 0 +b10111000001001100101100111011010 M +b10111000001001100101100111011010 f +b10111000001001100101100111011010 !" +0. +1% +#4889500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +1. +0% +#4890000 +0i +b1010111100001101101010111011101 { +b1010111100001101101010111011101 A" +1s +0h +19" +1;" +1q +06" +07" +b101 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110011101011110100111010000001 0 +b10110011101011110100111010000001 M +b10110011101011110100111010000001 f +b10110011101011110100111010000001 !" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4890500 +b1000 5 +b1000 L +b1000 W +b1000 ' +b1000 D +1. +0% +#4891000 +1k +1h +1;" +b10111 0" +08" +0l +b11111011111100011010110000100010 { +b11111011111100011010110000100010 A" +0n +b1000 ~ +b1000 )" +b1000 ." +b1010111100001101101010111011101 / +b1010111100001101101010111011101 K +b1010111100001101101010111011101 e +b1010111100001101101010111011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4891500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4892000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b11111011111100011010110000100010 / +b11111011111100011010110000100010 K +b11111011111100011010110000100010 e +b11111011111100011010110000100010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4892500 +b11011 5 +b11011 L +b11011 W +14 +b10011000001110000001111001110011 2 +b10011000001110000001111001110011 I +b10011000001110000001111001110011 Z +b11000 3 +b11000 J +b11000 Y +11 +b11011 ' +b11011 D +1) +b10011000001110000001111001110011 , +b10011000001110000001111001110011 H +b11000 + +b11000 G +1- +1. +0% +#4893000 +0] +0i +b110101111111100001010100101011 { +b110101111111100001010100101011 A" +1=" +1@" +0h +0g +19" +1;" +b111 3" +1c +0_ +1<" +1` +b100 0" +1o +0k +18" +1l +12" +1n +1m +1/" +b10011000001110000001111001110011 &" +b10011000001110000001111001110011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4893010 +b10011000001110000001111001110011 [" +#4893500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b11000110001010010111010010011001 2 +b11000110001010010111010010011001 I +b11000110001010010111010010011001 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b11000110001010010111010010011001 , +b11000110001010010111010010011001 H +b10111 + +b10111 G +1. +0% +#4894000 +1>" +1^ +1i +b11111110011111111101011101101111 | +b11111110011111111101011101101111 B" +0\ +0[ +0=" +1h +1g +09" +0;" +0r +0q +17" +b1000 3" +0c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0u +14" +1v +1b +1a +0n +0m +0/" +1x +1w +1," +b110101111111100001010100101011 / +b110101111111100001010100101011 K +b110101111111100001010100101011 e +b110101111111100001010100101011 "" +b11000110001010010111010010011001 &" +b11000110001010010111010010011001 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#4894010 +b11000110001010010111010010011001 Z" +#4894500 +b0 7 +b0 N +b0 V +06 +b1110100010111001000111101111011 2 +b1110100010111001000111101111011 I +b1110100010111001000111101111011 Z +b10000 3 +b10000 J +b10000 Y +b0 & +b0 C +0( +b1110100010111001000111101111011 , +b1110100010111001000111101111011 H +b10000 + +b10000 G +1. +0% +#4895000 +1] +1\ +1[ +0>" +1r +1q +07" +b1111 3" +0^ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +0x +0w +0," +b1110100010111001000111101111011 &" +b1110100010111001000111101111011 ?" +b10000 %" +b10000 *" +b10000 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111110011111111101011101101111 0 +b11111110011111111101011101101111 M +b11111110011111111101011101101111 f +b11111110011111111101011101101111 !" +0. +1% +#4895010 +b1110100010111001000111101111011 S" +#4895500 +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4896000 +b10111000001001100101100111011010 { +b10111000001001100101100111011010 A" +0@" +1;" +b11111 3" +0<" +0` +1k +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +0. +1% +#4896500 +04 +0) +1. +0% +#4897000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +0$" +b10111000001001100101100111011010 / +b10111000001001100101100111011010 K +b10111000001001100101100111011010 e +b10111000001001100101100111011010 "" +0. +1% +#4897500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#4898000 +0s +b11110100100010111001000000101011 | +b11110100100010111001000000101011 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#4898500 +b10000 5 +b10000 L +b10000 W +14 +b1101 7 +b1101 N +b1101 V +b10000 ' +b10000 D +1) +b1101 & +b1101 C +1. +0% +#4899000 +b1110100010111001000111101111011 { +b1110100010111001000111101111011 A" +0y +1;" +1r +0q +16" +b1111 0" +0k +18" +1l +b10010 -" +1z +b1111010000111110010110000110100 | +b1111010000111110010110000110100 B" +1/" +0x +1w +b10000 ~ +b10000 )" +b10000 ." +1$" +b1101 } +b1101 (" +b1101 +" +b11110100100010111001000000101011 0 +b11110100100010111001000000101011 M +b11110100100010111001000000101011 f +b11110100100010111001000000101011 !" +0. +1% +#4899500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4900000 +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +08" +0l +0/" +b1110100010111001000111101111011 / +b1110100010111001000111101111011 K +b1110100010111001000111101111011 e +b1110100010111001000111101111011 "" +b1111010000111110010110000110100 0 +b1111010000111110010110000110100 M +b1111010000111110010110000110100 f +b1111010000111110010110000110100 !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4900500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +1. +0% +#4901000 +0i +b10101010000101111001100111011 { +b10101010000101111001100111011 A" +1s +0h +0g +19" +1:" +1;" +1q +05" +06" +07" +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0w +0," +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4901500 +b11000 5 +b11000 L +b11000 W +b10101 7 +b10101 N +b10101 V +16 +b1010111011001100100000001101111 2 +b1010111011001100100000001101111 I +b1010111011001100100000001101111 Z +b1110 3 +b1110 J +b1110 Y +11 +b11000 ' +b11000 D +b10101 & +b10101 C +1( +b1010111011001100100000001101111 , +b1010111011001100100000001101111 H +b1110 + +b1110 G +1- +1. +0% +#4902000 +0] +1o +0s +b10110011101011110100111010000001 | +b10110011101011110100111010000001 B" +0\ +1=" +1>" +1@" +1h +1g +19" +0:" +0q +16" +17" +b10001 3" +0^ +0c +1d +1_ +b111 0" +0p +b10011000001110000001111001110011 { +b10011000001110000001111001110011 A" +b1010 -" +1t +0u +14" +1v +1b +12" +0n +0m +1w +1," +b10101010000101111001100111011 / +b10101010000101111001100111011 K +b10101010000101111001100111011 e +b10101010000101111001100111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010111011001100100000001101111 &" +b1010111011001100100000001101111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#4902010 +b1010111011001100100000001101111 Q" +#4902500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1111111100101010100010111111001 2 +b1111111100101010100010111111001 I +b1111111100101010100010111111001 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1111111100101010100010111111001 , +b1111111100101010100010111111001 H +b111 + +b111 G +1. +0% +#4903000 +1^ +1i +1s +0[ +0=" +1>" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +06" +07" +b11000 3" +0d +b11111 0" +0o +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0/" +0w +0," +b1111111100101010100010111111001 &" +b1111111100101010100010111111001 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011000001110000001111001110011 / +b10011000001110000001111001110011 K +b10011000001110000001111001110011 e +b10011000001110000001111001110011 "" +b10110011101011110100111010000001 0 +b10110011101011110100111010000001 M +b10110011101011110100111010000001 f +b10110011101011110100111010000001 !" +0. +1% +#4903010 +b1111111100101010100010111111001 J" +#4903500 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4904000 +1] +b11000110110010011101110011110101 | +b11000110110010011101110011110101 B" +1\ +1[ +0>" +0@" +0q +17" +b11111 3" +0^ +0_ +b11110 -" +1u +0b +0a +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#4904500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4905000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11000110110010011101110011110101 0 +b11000110110010011101110011110101 M +b11000110110010011101110011110101 f +b11000110110010011101110011110101 !" +0. +1% +#4905500 +16 +1( +1. +0% +#4906000 +b10111000001001100101100111011010 | +b10111000001001100101100111011010 B" +17" +1u +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +1#" +0. +1% +#4906500 +b10010 5 +b10010 L +b10010 W +14 +06 +b1000101000101100000010100100011 2 +b1000101000101100000010100100011 I +b1000101000101100000010100100011 Z +b1 3 +b1 J +b1 Y +11 +b10010 ' +b10010 D +1) +0( +b1000101000101100000010100100011 , +b1000101000101100000010100100011 H +b1 + +b1 G +1- +1. +0% +#4907000 +b1001101100111100010000101110 { +b1001101100111100010000101110 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1@" +0h +1;" +07" +b11110 3" +1_ +b1101 0" +0k +18" +1l +0u +1a +12" +1n +1/" +0," +b1000101000101100000010100100011 &" +b1000101000101100000010100100011 ?" +b1 %" +b1 *" +b1 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +0#" +b10111000001001100101100111011010 0 +b10111000001001100101100111011010 M +b10111000001001100101100111011010 f +b10111000001001100101100111011010 !" +0. +1% +#4907010 +b1000101000101100000010100100011 D" +#4907500 +b10101 5 +b10101 L +b10101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4908000 +0i +1[ +0@" +1h +0g +1:" +b11111 3" +0_ +b1010 0" +1j +b10110011101011110100111010000001 { +b10110011101011110100111010000001 A" +0a +02" +0n +1m +b1001101100111100010000101110 / +b1001101100111100010000101110 K +b1001101100111100010000101110 e +b1001101100111100010000101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#4908500 +b11111 5 +b11111 L +b11111 W +b100 7 +b100 N +b100 V +16 +b11111 ' +b11111 D +b100 & +b100 C +1( +1. +0% +#4909000 +0j +0s +b10011111101110111100110111000101 | +b10011111101110111100110111000101 B" +0h +19" +16" +17" +b0 0" +1p +b10101010000101111001100111011 { +b10101010000101111001100111011 A" +b11011 -" +1t +1u +1n +1," +b11111 ~ +b11111 )" +b11111 ." +b100 } +b100 (" +b100 +" +1#" +b10110011101011110100111010000001 / +b10110011101011110100111010000001 K +b10110011101011110100111010000001 e +b10110011101011110100111010000001 "" +0. +1% +#4909500 +b11001 5 +b11001 L +b11001 W +b10010 7 +b10010 N +b10010 V +b11001 ' +b11001 D +b10010 & +b10010 C +1. +0% +#4910000 +1o +0u +1s +1h +19" +0:" +0r +06" +b110 0" +0p +b11100011101000110010101010010001 { +b11100011101000110010101010010001 A" +b1101 -" +14" +1v +0t +b1001101100111100010000101110 | +b1001101100111100010000101110 B" +0n +1x +b10101010000101111001100111011 / +b10101010000101111001100111011 K +b10101010000101111001100111011 e +b10101010000101111001100111011 "" +b10011111101110111100110111000101 0 +b10011111101110111100110111000101 M +b10011111101110111100110111000101 f +b10011111101110111100110111000101 !" +b11001 ~ +b11001 )" +b11001 ." +b10010 } +b10010 (" +b10010 +" +0. +1% +#4910500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4911000 +1i +1g +09" +0;" +1r +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11100011101000110010101010010001 / +b11100011101000110010101010010001 K +b11100011101000110010101010010001 e +b11100011101000110010101010010001 "" +b1001101100111100010000101110 0 +b1001101100111100010000101110 M +b1001101100111100010000101110 f +b1001101100111100010000101110 !" +0. +1% +#4911500 +b1000011101010111101100110001111 2 +b1000011101010111101100110001111 I +b1000011101010111101100110001111 Z +b1001 3 +b1001 J +b1001 Y +11 +b1000011101010111101100110001111 , +b1000011101010111101100110001111 H +b1001 + +b1001 G +1- +1. +0% +#4912000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000011101010111101100110001111 &" +b1000011101010111101100110001111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +0. +1% +#4912010 +b1000011101010111101100110001111 L" +#4912500 +b11 5 +b11 L +b11 W +14 +b10010000001101011110100110100111 2 +b10010000001101011110100110100111 I +b10010000001101011110100110100111 Z +b1111 3 +b1111 J +b1111 Y +b11 ' +b11 D +1) +b10010000001101011110100110100111 , +b10010000001101011110100110100111 H +b1111 + +b1111 G +1. +0% +#4913000 +0c +b1111110110010100001100101011110 { +b1111110110010100001100101011110 A" +0\ +1>" +0h +0g +1;" +b10000 3" +1d +b11100 0" +1k +1b +1n +1m +1/" +b10010000001101011110100110100111 &" +b10010000001101011110100110100111 ?" +b1111 %" +b1111 *" +b1111 1" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#4913010 +b10010000001101011110100110100111 R" +#4913500 +b0 5 +b0 L +b0 W +04 +b11000010101001111001000000111011 2 +b11000010101001111001000000111011 I +b11000010101001111001000000111011 Z +b11000 3 +b11000 J +b11000 Y +b0 ' +b0 D +0) +b11000010101001111001000000111011 , +b11000010101001111001000000111011 H +b11000 + +b11000 G +1. +0% +#4914000 +0_ +1c +1\ +1[ +1=" +0>" +1h +1g +0;" +b111 3" +1<" +1` +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +0n +0m +0/" +b1111110110010100001100101011110 / +b1111110110010100001100101011110 K +b1111110110010100001100101011110 e +b1111110110010100001100101011110 "" +b11000010101001111001000000111011 &" +b11000010101001111001000000111011 ?" +b11000 %" +b11000 *" +b11000 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4914010 +b11000010101001111001000000111011 [" +#4914500 +b1101100101010011101100101001001 2 +b1101100101010011101100101001001 I +b1101100101010011101100101001001 Z +b1011 3 +b1011 J +b1011 Y +b1101100101010011101100101001001 , +b1101100101010011101100101001001 H +b1011 + +b1011 G +1. +0% +#4915000 +1_ +0\ +0[ +1@" +b10100 3" +0<" +0` +1b +1a +b1101100101010011101100101001001 &" +b1101100101010011101100101001001 ?" +b1011 %" +b1011 *" +b1011 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4915010 +b1101100101010011101100101001001 N" +#4915500 +b11010111100111111111111000000011 2 +b11010111100111111111111000000011 I +b11010111100111111111111000000011 Z +b11011 3 +b11011 J +b11011 Y +b11010111100111111111111000000011 , +b11010111100111111111111000000011 H +b11011 + +b11011 G +1. +0% +#4916000 +0_ +b100 3" +1<" +1` +b11010111100111111111111000000011 &" +b11010111100111111111111000000011 ?" +b11011 %" +b11011 *" +b11011 1" +0. +1% +#4916010 +b11010111100111111111111000000011 ^" +#4916500 +b1101 7 +b1101 N +b1101 V +16 +b1110011101010110001110111100011 2 +b1110011101010110001110111100011 I +b1110011101010110001110111100011 Z +b11000 3 +b11000 J +b11000 Y +b1101 & +b1101 C +1( +b1110011101010110001110111100011 , +b1110011101010110001110111100011 H +b11000 + +b11000 G +1. +0% +#4917000 +0s +b1111010000111110010110000110100 | +b1111010000111110010110000110100 B" +1\ +1[ +0q +15" +16" +17" +b111 3" +b10010 -" +0t +0y +1z +1u +0b +0a +1w +1," +b1110011101010110001110111100011 &" +b1110011101010110001110111100011 ?" +b11000 %" +b11000 *" +b11000 1" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#4917010 +b1110011101010110001110111100011 [" +#4917500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4918000 +1] +b1110100010111001000111101111011 { +b1110100010111001000111101111011 A" +1s +0=" +0@" +1;" +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b1111 0" +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1/" +0w +0," +b1111010000111110010110000110100 0 +b1111010000111110010110000110100 M +b1111010000111110010110000110100 f +b1111010000111110010110000110100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4918500 +b0 5 +b0 L +b0 W +04 +16 +b0 ' +b0 D +0) +1( +1. +0% +#4919000 +b10111000001001100101100111011010 | +b10111000001001100101100111011010 B" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +17" +b11111 0" +08" +0l +1u +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +1#" +b1110100010111001000111101111011 / +b1110100010111001000111101111011 K +b1110100010111001000111101111011 e +b1110100010111001000111101111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4919500 +b10011 5 +b10011 L +b10011 W +14 +b10110 7 +b10110 N +b10110 V +b10011 ' +b10011 D +1) +b10110 & +b10110 C +1. +0% +#4920000 +b11111110011111111101011101101111 { +b11111110011111111101011101101111 A" +0u +0s +0h +0g +1;" +0r +16" +b1100 0" +0k +18" +1l +b1001 -" +14" +1v +1t +b101100011011011111001010010011 | +b101100011011011111001010010011 B" +1n +1m +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111000001001100101100111011010 0 +b10111000001001100101100111011010 M +b10111000001001100101100111011010 f +b10111000001001100101100111011010 !" +b10011 ~ +b10011 )" +b10011 ." +1$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#4920500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b1111000000011011010010000001010 2 +b1111000000011011010010000001010 I +b1111000000011011010010000001010 Z +b11010 3 +b11010 J +b11010 Y +11 +b11110 ' +b11110 D +b0 & +b0 C +0( +b1111000000011011010010000001010 , +b1111000000011011010010000001010 H +b11010 + +b11010 G +1- +1. +0% +#4921000 +0] +0i +1s +0\ +1=" +1@" +1g +19" +1:" +1r +06" +07" +b101 3" +1c +0_ +1<" +1` +b1 0" +0j +0o +1p +b11001000010111101000111001010101 { +b11001000010111101000111001010101 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0m +0x +0," +b1111000000011011010010000001010 &" +b1111000000011011010010000001010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +b11111110011111111101011101101111 / +b11111110011111111101011101101111 K +b11111110011111111101011101101111 e +b11111110011111111101011101101111 "" +b101100011011011111001010010011 0 +b101100011011011111001010010011 M +b101100011011011111001010010011 f +b101100011011011111001010010011 !" +0. +1% +#4921010 +b1111000000011011010010000001010 ]" +#4921500 +b10000 5 +b10000 L +b10000 W +b1 7 +b1 N +b1 V +16 +b1011100000101101011101011101111 2 +b1011100000101101011101011101111 I +b1011100000101101011101011101111 Z +b10101 3 +b10101 J +b10101 Y +b10000 ' +b10000 D +b1 & +b1 C +1( +b1011100000101101011101011101111 , +b1011100000101101011101011101111 H +b10101 + +b10101 G +1. +0% +#4922000 +1>" +1^ +1i +b1000101000101100000010100100011 | +b1000101000101100000010100100011 B" +1\ +0[ +0=" +1h +09" +0:" +0q +17" +b1010 3" +0c +b1111 0" +0p +b1110100010111001000111101111011 { +b1110100010111001000111101111011 A" +b11110 -" +1u +0b +1a +0n +1w +1," +b11001000010111101000111001010101 / +b11001000010111101000111001010101 K +b11001000010111101000111001010101 e +b11001000010111101000111001010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011100000101101011101011101111 &" +b1011100000101101011101011101111 ?" +b10101 %" +b10101 *" +b10101 1" +b10000 ~ +b10000 )" +b10000 ." +b1 } +b1 (" +b1 +" +1#" +0. +1% +#4922010 +b1011100000101101011101011101111 X" +#4922500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10000100011010111101101100011000 2 +b10000100011010111101101100011000 I +b10000100011010111101101100011000 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10000100011010111101101100011000 , +b10000100011010111101101100011000 H +b10000 + +b10000 G +1. +0% +#4923000 +1] +1[ +0>" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +07" +b1111 3" +0^ +b11111 0" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0/" +0w +0," +b10000100011010111101101100011000 &" +b10000100011010111101101100011000 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110100010111001000111101111011 / +b1110100010111001000111101111011 K +b1110100010111001000111101111011 e +b1110100010111001000111101111011 "" +b1000101000101100000010100100011 0 +b1000101000101100000010100100011 M +b1000101000101100000010100100011 f +b1000101000101100000010100100011 !" +0. +1% +#4923010 +b10000100011010111101101100011000 S" +#4923500 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4924000 +0s +b11001000010111101000111001010101 | +b11001000010111101000111001010101 B" +0@" +0r +15" +16" +17" +b11111 3" +0<" +0` +b1 -" +0t +0y +1z +0u +14" +1v +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#4924500 +b0 7 +b0 N +b0 V +06 +b1001011010110111001011111001110 2 +b1001011010110111001011111001110 I +b1001011010110111001011111001110 Z +b110 3 +b110 J +b110 Y +11 +b0 & +b0 C +0( +b1001011010110111001011111001110 , +b1001011010110111001011111001110 H +b110 + +b110 G +1- +1. +0% +#4925000 +0] +1s +0\ +1>" +1@" +1r +05" +06" +07" +b11001 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0x +0," +b1001011010110111001011111001110 &" +b1001011010110111001011111001110 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11001000010111101000111001010101 0 +b11001000010111101000111001010101 M +b11001000010111101000111001010101 f +b11001000010111101000111001010101 !" +0. +1% +#4925010 +b1001011010110111001011111001110 I" +#4925500 +b11010 5 +b11010 L +b11010 W +14 +b1001110010111101111001010010100 2 +b1001110010111101111001010010100 I +b1001110010111101111001010010100 Z +b11011 3 +b11011 J +b11011 Y +b11010 ' +b11010 D +1) +b1001110010111101111001010010100 , +b1001110010111101111001010010100 H +b11011 + +b11011 G +1. +0% +#4926000 +1=" +0_ +1c +0i +b1111000000011011010010000001010 { +b1111000000011011010010000001010 A" +0[ +0>" +0h +19" +1;" +b100 3" +1<" +1` +0^ +b101 0" +1o +0k +18" +1l +1a +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001110010111101111001010010100 &" +b1001110010111101111001010010100 ?" +b11011 %" +b11011 *" +b11011 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#4926010 +b1001110010111101111001010010100 ^" +#4926500 +b0 5 +b0 L +b0 W +04 +b10010101101000110100001000001000 2 +b10010101101000110100001000001000 I +b10010101101000110100001000001000 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b10010101101000110100001000001000 , +b10010101101000110100001000001000 H +b1011 + +b1011 G +1. +0% +#4927000 +1_ +1i +1@" +1h +09" +0;" +b10100 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b10010101101000110100001000001000 &" +b10010101101000110100001000001000 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b1111000000011011010010000001010 / +b1111000000011011010010000001010 K +b1111000000011011010010000001010 e +b1111000000011011010010000001010 "" +0. +1% +#4927010 +b10010101101000110100001000001000 N" +#4927500 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4928000 +1] +0s +b1111111100101010100010111111001 | +b1111111100101010100010111111001 B" +1\ +1[ +0=" +0@" +0r +0q +16" +17" +b11111 3" +0c +0_ +b11000 -" +1t +1u +0b +0a +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#4928500 +b11001 5 +b11001 L +b11001 W +14 +b1000 7 +b1000 N +b1000 V +b11001 ' +b11001 D +1) +b1000 & +b1000 C +1. +0% +#4929000 +15" +0i +b11100011101000110010101010010001 { +b11100011101000110010101010010001 A" +1y +0g +19" +1;" +1r +1q +06" +b110 0" +1o +0k +18" +1l +b10111 -" +0t +b11111011111100011010110000100010 | +b11111011111100011010110000100010 B" +1m +1/" +0x +0w +b11001 ~ +b11001 )" +b11001 ." +1$" +b1000 } +b1000 (" +b1000 +" +b1111111100101010100010111111001 0 +b1111111100101010100010111111001 M +b1111111100101010100010111111001 f +b1111111100101010100010111111001 !" +0. +1% +#4929500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4930000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1g +09" +0;" +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +0u +0m +0/" +0," +b11100011101000110010101010010001 / +b11100011101000110010101010010001 K +b11100011101000110010101010010001 e +b11100011101000110010101010010001 "" +b11111011111100011010110000100010 0 +b11111011111100011010110000100010 M +b11111011111100011010110000100010 f +b11111011111100011010110000100010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4930500 +b101 5 +b101 L +b101 W +14 +b101 ' +b101 D +1) +1. +0% +#4931000 +0i +b11010101011101011101011011100100 { +b11010101011101011101011011100100 A" +0g +1:" +1;" +b11010 0" +1j +1k +1m +1/" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4931500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#4932000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b11010101011101011101011011100100 / +b11010101011101011101011011100100 K +b11010101011101011101011011100100 e +b11010101011101011101011011100100 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#4932500 +b11010 7 +b11010 N +b11010 V +16 +b11110101000011010110000110111000 2 +b11110101000011010110000110111000 I +b11110101000011010110000110111000 Z +b10 3 +b10 J +b10 Y +11 +b11010 & +b11010 C +1( +b11110101000011010110000110111000 , +b11110101000011010110000110111000 H +b10 + +b10 G +1- +1. +0% +#4933000 +0s +b1111000000011011010010000001010 | +b1111000000011011010010000001010 B" +0\ +1@" +0r +15" +17" +b11101 3" +1_ +b101 -" +1y +0u +14" +1v +1b +12" +1x +1," +b11110101000011010110000110111000 &" +b11110101000011010110000110111000 ?" +b10 %" +b10 *" +b10 1" +1'" +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#4933010 +b11110101000011010110000110111000 E" +#4933500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4934000 +1s +1\ +0@" +1r +05" +07" +b11111 3" +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0," +b1111000000011011010010000001010 0 +b1111000000011011010010000001010 M +b1111000000011011010010000001010 f +b1111000000011011010010000001010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4934500 +b11010 7 +b11010 N +b11010 V +16 +b1001000100111110111101100001110 2 +b1001000100111110111101100001110 I +b1001000100111110111101100001110 Z +b101 3 +b101 J +b101 Y +11 +b11010 & +b11010 C +1( +b1001000100111110111101100001110 , +b1001000100111110111101100001110 H +b101 + +b101 G +1- +1. +0% +#4935000 +0] +0s +b1111000000011011010010000001010 | +b1111000000011011010010000001010 B" +0[ +1>" +1@" +0r +15" +17" +b11010 3" +1^ +1_ +b101 -" +1y +0u +14" +1v +1a +12" +1x +1," +b1001000100111110111101100001110 &" +b1001000100111110111101100001110 ?" +b101 %" +b101 *" +b101 1" +1'" +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4935010 +b1001000100111110111101100001110 H" +#4935500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4936000 +1] +1s +1[ +0>" +0@" +1r +05" +07" +b11111 3" +0^ +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0," +b1111000000011011010010000001010 0 +b1111000000011011010010000001010 M +b1111000000011011010010000001010 f +b1111000000011011010010000001010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4936500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#4937000 +0s +b11110100100010111001000000101011 | +b11110100100010111001000000101011 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4937500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4938000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b11110100100010111001000000101011 0 +b11110100100010111001000000101011 M +b11110100100010111001000000101011 f +b11110100100010111001000000101011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4938500 +b1011 7 +b1011 N +b1011 V +16 +b1011 & +b1011 C +1( +1. +0% +#4939000 +0s +b10010101101000110100001000001000 | +b10010101101000110100001000001000 B" +0r +0q +15" +17" +b10100 -" +1y +1u +1x +1w +1," +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4939500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4940000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b10010101101000110100001000001000 0 +b10010101101000110100001000001000 M +b10010101101000110100001000001000 f +b10010101101000110100001000001000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4940500 +1. +0% +#4941000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4941500 +b10110 5 +b10110 L +b10110 W +14 +b11101 7 +b11101 N +b11101 V +16 +b10110 ' +b10110 D +1) +b11101 & +b11101 C +1( +1. +0% +#4942000 +0i +b101100011011011111001010010011 { +b101100011011011111001010010011 A" +0s +b101100110101010110001010010100 | +b101100110101010110001010010100 B" +0h +1:" +1;" +0q +15" +16" +17" +b1001 0" +1j +0k +18" +1l +b10 -" +0t +0y +1z +0u +14" +1v +1n +1/" +1w +1," +b10110 ~ +b10110 )" +b10110 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#4942500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#4943000 +1i +1s +1h +0:" +0;" +1q +05" +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101100011011011111001010010011 / +b101100011011011111001010010011 K +b101100011011011111001010010011 e +b101100011011011111001010010011 "" +b101100110101010110001010010100 0 +b101100110101010110001010010100 M +b101100110101010110001010010100 f +b101100110101010110001010010100 !" +0. +1% +#4943500 +b110 5 +b110 L +b110 W +14 +b100111010000000101101001101100 2 +b100111010000000101101001101100 I +b100111010000000101101001101100 Z +b1111 3 +b1111 J +b1111 Y +11 +b110 ' +b110 D +1) +b100111010000000101101001101100 , +b100111010000000101101001101100 H +b1111 + +b1111 G +1- +1. +0% +#4944000 +0] +0i +b1001011010110111001011111001110 { +b1001011010110111001011111001110 A" +0\ +0[ +1=" +1>" +1@" +0h +1:" +1;" +b10000 3" +0^ +0c +1d +1_ +b11001 0" +1j +1k +1b +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100111010000000101101001101100 &" +b100111010000000101101001101100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#4944010 +b100111010000000101101001101100 R" +#4944500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4945000 +1] +1i +1\ +1[ +0=" +0>" +0@" +1h +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001011010110111001011111001110 / +b1001011010110111001011111001110 K +b1001011010110111001011111001110 e +b1001011010110111001011111001110 "" +0. +1% +#4945500 +b10011011000001000001110000000001 2 +b10011011000001000001110000000001 I +b10011011000001000001110000000001 Z +b1101 3 +b1101 J +b1101 Y +11 +b10011011000001000001110000000001 , +b10011011000001000001110000000001 H +b1101 + +b1101 G +1- +1. +0% +#4946000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011000001000001110000000001 &" +b10011011000001000001110000000001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +0. +1% +#4946010 +b10011011000001000001110000000001 P" +#4946500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4947000 +1] +0s +b10001000010000001010100001111110 | +b10001000010000001010100001111110 B" +1[ +0=" +0>" +0@" +15" +16" +17" +b11111 3" +0d +0_ +b11 -" +0t +0y +1z +0u +14" +1v +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#4947500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4948000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b10001000010000001010100001111110 0 +b10001000010000001010100001111110 M +b10001000010000001010100001111110 f +b10001000010000001010100001111110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4948500 +1. +0% +#4949000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4949500 +1. +0% +#4950000 +0. +1% +#4950500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#4951000 +b1000101000101100000010100100011 | +b1000101000101100000010100100011 B" +0q +17" +b11110 -" +1u +1w +1," +b1 } +b1 (" +b1 +" +1#" +0. +1% +#4951500 +b0 7 +b0 N +b0 V +06 +b10010010100110011000000101111010 2 +b10010010100110011000000101111010 I +b10010010100110011000000101111010 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 & +b0 C +0( +b10010010100110011000000101111010 , +b10010010100110011000000101111010 H +b1001 + +b1001 G +1- +1. +0% +#4952000 +0] +0[ +1=" +1@" +1q +07" +b10110 3" +1c +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0w +0," +b1000101000101100000010100100011 0 +b1000101000101100000010100100011 M +b1000101000101100000010100100011 f +b1000101000101100000010100100011 !" +b10010010100110011000000101111010 &" +b10010010100110011000000101111010 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4952010 +b10010010100110011000000101111010 L" +#4952500 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4953000 +1] +b10100011110100010010110111011011 | +b10100011110100010010110111011011 B" +1[ +0=" +0@" +0q +17" +b11111 3" +0c +0_ +b1110 -" +0u +14" +1v +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 } +b10001 (" +b10001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4953500 +b101 7 +b101 N +b101 V +b101 & +b101 C +1. +0% +#4954000 +1u +0s +17" +b1001000100111110111101100001110 | +b1001000100111110111101100001110 B" +16" +b11010 -" +04" +0v +1t +b10100011110100010010110111011011 0 +b10100011110100010010110111011011 M +b10100011110100010010110111011011 f +b10100011110100010010110111011011 !" +b101 } +b101 (" +b101 +" +0. +1% +#4954500 +b1001 5 +b1001 L +b1001 W +14 +b11101 7 +b11101 N +b11101 V +b1101001010100011100000011010100 2 +b1101001010100011100000011010100 I +b1101001010100011100000011010100 Z +b11100 3 +b11100 J +b11100 Y +11 +b1001 ' +b1001 D +1) +b11101 & +b11101 C +b1101001010100011100000011010100 , +b1101001010100011100000011010100 H +b11100 + +b11100 G +1- +1. +0% +#4955000 +0] +0i +b10010010100110011000000101111010 { +b10010010100110011000000101111010 A" +0u +0t +1=" +1>" +1@" +0g +19" +1;" +b101100110101010110001010010100 | +b101100110101010110001010010100 B" +15" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b10110 0" +1o +1k +b10 -" +14" +1v +1z +12" +1m +1/" +b1101001010100011100000011010100 &" +b1101001010100011100000011010100 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b11101 } +b11101 (" +b11101 +" +b1001000100111110111101100001110 0 +b1001000100111110111101100001110 M +b1001000100111110111101100001110 f +b1001000100111110111101100001110 !" +0. +1% +#4955010 +b1101001010100011100000011010100 _" +#4955500 +b11101 5 +b11101 L +b11101 W +b0 7 +b0 N +b0 V +b11110111011101000001111001010111 2 +b11110111011101000001111001010111 I +b11110111011101000001111001010111 Z +b11010 3 +b11010 J +b11010 Y +b11101 ' +b11101 D +b0 & +b0 C +b11110111011101000001111001010111 , +b11110111011101000001111001010111 H +b11010 + +b11010 G +1. +0% +#4956000 +1c +0k +0o +1u +1s +0\ +1=" +0>" +b101100110101010110001010010100 { +b101100110101010110001010010100 A" +1:" +1q +17" +05" +06" +b101 3" +0d +b10 0" +18" +1l +1p +b11111 -" +04" +0v +0z +b10111000001001100101100111011010 | +b10111000001001100101100111011010 B" +1b +0w +b10010010100110011000000101111010 / +b10010010100110011000000101111010 K +b10010010100110011000000101111010 e +b10010010100110011000000101111010 "" +b101100110101010110001010010100 0 +b101100110101010110001010010100 M +b101100110101010110001010010100 f +b101100110101010110001010010100 !" +b11110111011101000001111001010111 &" +b11110111011101000001111001010111 ?" +b11010 %" +b11010 *" +b11010 1" +b11101 ~ +b11101 )" +b11101 ." +b0 } +b0 (" +b0 +" +0. +1% +#4956010 +b11110111011101000001111001010111 ]" +#4956500 +b0 5 +b0 L +b0 W +04 +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4957000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +0@" +1g +09" +0:" +0;" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0u +0b +02" +0m +0/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0#" +b101100110101010110001010010100 / +b101100110101010110001010010100 K +b101100110101010110001010010100 e +b101100110101010110001010010100 "" +b10111000001001100101100111011010 0 +b10111000001001100101100111011010 M +b10111000001001100101100111011010 f +b10111000001001100101100111011010 !" +0. +1% +#4957500 +1. +0% +#4958000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4958500 +1. +0% +#4959000 +0. +1% +#4959500 +b11100 7 +b11100 N +b11100 V +16 +b111111010101110010011010011011 2 +b111111010101110010011010011011 I +b111111010101110010011010011011 Z +b1100 3 +b1100 J +b1100 Y +11 +b11100 & +b11100 C +1( +b111111010101110010011010011011 , +b111111010101110010011010011011 H +b1100 + +b1100 G +1- +1. +0% +#4960000 +0] +0s +b1101001010100011100000011010100 | +b1101001010100011100000011010100 B" +1=" +1>" +1@" +15" +16" +17" +b10011 3" +0^ +0c +1d +1_ +b11 -" +0t +0y +1z +0u +14" +1v +12" +1," +b111111010101110010011010011011 &" +b111111010101110010011010011011 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#4960010 +b111111010101110010011010011011 O" +#4960500 +b0 7 +b0 N +b0 V +06 +b10101111100010010110100110010111 2 +b10101111100010010110100110010111 I +b10101111100010010110100110010111 Z +b11010 3 +b11010 J +b11010 Y +b0 & +b0 C +0( +b10101111100010010110100110010111 , +b10101111100010010110100110010111 H +b11010 + +b11010 G +1. +0% +#4961000 +0_ +1c +1s +0\ +1=" +0>" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b101 3" +1<" +1` +0d +b11111 -" +0z +04" +0v +1b +0," +b10101111100010010110100110010111 &" +b10101111100010010110100110010111 ?" +b11010 %" +b11010 *" +b11010 1" +b0 } +b0 (" +b0 +" +0#" +b1101001010100011100000011010100 0 +b1101001010100011100000011010100 M +b1101001010100011100000011010100 f +b1101001010100011100000011010100 !" +0. +1% +#4961010 +b10101111100010010110100110010111 ]" +#4961500 +b11000 5 +b11000 L +b11000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4962000 +1] +0i +b1110011101010110001110111100011 { +b1110011101010110001110111100011 A" +1\ +0=" +0@" +19" +1;" +b11111 3" +0c +0<" +0` +b111 0" +1o +0k +18" +1l +0b +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#4962500 +b0 5 +b0 L +b0 W +04 +b111101101111100011000011010001 2 +b111101101111100011000011010001 I +b111101101111100011000011010001 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b111101101111100011000011010001 , +b111101101111100011000011010001 H +b10110 + +b10110 G +1- +1. +0% +#4963000 +0] +1i +0\ +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0o +08" +0l +1b +12" +0/" +b111101101111100011000011010001 &" +b111101101111100011000011010001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110011101010110001110111100011 / +b1110011101010110001110111100011 K +b1110011101010110001110111100011 e +b1110011101010110001110111100011 "" +0. +1% +#4963010 +b111101101111100011000011010001 Y" +#4963500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4964000 +1] +b10000100011010111101101100011000 | +b10000100011010111101101100011000 B" +1\ +0>" +0@" +17" +b11111 3" +0^ +0<" +0` +b1111 -" +0u +14" +1v +0b +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#4964500 +b110 7 +b110 N +b110 V +b110 & +b110 C +1. +0% +#4965000 +1u +0s +0r +17" +16" +b11001 -" +04" +0v +1t +b1001011010110111001011111001110 | +b1001011010110111001011111001110 B" +1x +b110 } +b110 (" +b110 +" +b10000100011010111101101100011000 0 +b10000100011010111101101100011000 M +b10000100011010111101101100011000 f +b10000100011010111101101100011000 !" +0. +1% +#4965500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b11110110000110011001000111000010 2 +b11110110000110011001000111000010 I +b11110110000110011001000111000010 Z +b1011 3 +b1011 J +b1011 Y +11 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b11110110000110011001000111000010 , +b11110110000110011001000111000010 H +b1011 + +b1011 G +1- +1. +0% +#4966000 +0] +0i +b100111010000000101101001101100 { +b100111010000000101101001101100 A" +1s +0\ +0[ +1=" +1@" +0h +0g +19" +1:" +1;" +1r +06" +07" +b10100 3" +1c +1_ +b10000 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1m +1/" +0x +0," +b1001011010110111001011111001110 0 +b1001011010110111001011111001110 M +b1001011010110111001011111001110 f +b1001011010110111001011111001110 !" +b11110110000110011001000111000010 &" +b11110110000110011001000111000010 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4966010 +b11110110000110011001000111000010 N" +#4966500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4967000 +1] +1i +0s +b11110110000110011001000111000010 | +b11110110000110011001000111000010 B" +1\ +1[ +0=" +0@" +1h +1g +09" +0:" +0;" +0r +0q +15" +17" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +1y +1u +0b +0a +02" +0n +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b100111010000000101101001101100 / +b100111010000000101101001101100 K +b100111010000000101101001101100 e +b100111010000000101101001101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4967500 +b0 7 +b0 N +b0 V +06 +b111000110100001101110000100010 2 +b111000110100001101110000100010 I +b111000110100001101110000100010 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 & +b0 C +0( +b111000110100001101110000100010 , +b111000110100001101110000100010 H +b10011 + +b10011 G +1- +1. +0% +#4968000 +1s +0\ +0[ +1@" +1r +1q +05" +07" +b1100 3" +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110000110011001000111000010 0 +b11110110000110011001000111000010 M +b11110110000110011001000111000010 f +b11110110000110011001000111000010 !" +b111000110100001101110000100010 &" +b111000110100001101110000100010 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4968010 +b111000110100001101110000100010 V" +#4968500 +b101 5 +b101 L +b101 W +14 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4969000 +0i +b1001000100111110111101100001110 { +b1001000100111110111101100001110 A" +0s +b10101010000101111001100111011 | +b10101010000101111001100111011 B" +1\ +1[ +0@" +0g +1:" +1;" +0r +0q +15" +16" +17" +b11111 3" +0<" +0` +b11010 0" +1j +1k +b0 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1m +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4969500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b1010 ' +b1010 D +b0 & +b0 C +0( +1. +0% +#4970000 +19" +1o +1s +0h +1g +0:" +1r +1q +05" +06" +07" +b10101 0" +0j +b11110100100010111001000000101011 { +b11110100100010111001000000101011 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0m +0x +0w +0," +b1001000100111110111101100001110 / +b1001000100111110111101100001110 K +b1001000100111110111101100001110 e +b1001000100111110111101100001110 "" +b10101010000101111001100111011 0 +b10101010000101111001100111011 M +b10101010000101111001100111011 f +b10101010000101111001100111011 !" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4970500 +b10001 5 +b10001 L +b10001 W +b10001 ' +b10001 D +1. +0% +#4971000 +0k +1i +1h +0g +09" +b1110 0" +18" +1l +0o +b10100011110100010010110111011011 { +b10100011110100010010110111011011 A" +0n +1m +b10001 ~ +b10001 )" +b10001 ." +b11110100100010111001000000101011 / +b11110100100010111001000000101011 K +b11110100100010111001000000101011 e +b11110100100010111001000000101011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4971500 +b11110 5 +b11110 L +b11110 W +b111010000110110011101000100001 2 +b111010000110110011101000100001 I +b111010000110110011101000100001 Z +b1000 3 +b1000 J +b1000 Y +11 +b11110 ' +b11110 D +b111010000110110011101000100001 , +b111010000110110011101000100001 H +b1000 + +b1000 G +1- +1. +0% +#4972000 +0] +0i +1=" +1@" +0h +1g +19" +1:" +b10111 3" +1c +1_ +b1 0" +0j +0o +1p +b11001000010111101000111001010101 { +b11001000010111101000111001010101 A" +12" +1n +0m +b10100011110100010010110111011011 / +b10100011110100010010110111011011 K +b10100011110100010010110111011011 e +b10100011110100010010110111011011 "" +b111010000110110011101000100001 &" +b111010000110110011101000100001 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#4972010 +b111010000110110011101000100001 K" +#4972500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4973000 +1] +1i +0=" +0@" +1h +09" +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11001000010111101000111001010101 / +b11001000010111101000111001010101 K +b11001000010111101000111001010101 e +b11001000010111101000111001010101 "" +0. +1% +#4973500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#4974000 +0s +b11000000010011011011101000111101 | +b11000000010011011011101000111101 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#4974500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#4975000 +0t +0q +15" +b10 -" +1z +b101100110101010110001010010100 | +b101100110101010110001010010100 B" +1w +b11101 } +b11101 (" +b11101 +" +b11000000010011011011101000111101 0 +b11000000010011011011101000111101 M +b11000000010011011011101000111101 f +b11000000010011011011101000111101 !" +0. +1% +#4975500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4976000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b101100110101010110001010010100 0 +b101100110101010110001010010100 M +b101100110101010110001010010100 f +b101100110101010110001010010100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4976500 +1. +0% +#4977000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4977500 +b1011 7 +b1011 N +b1011 V +16 +b1011 & +b1011 C +1( +1. +0% +#4978000 +0s +b11110110000110011001000111000010 | +b11110110000110011001000111000010 B" +0r +0q +15" +17" +b10100 -" +1y +1u +1x +1w +1," +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#4978500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#4979000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11110110000110011001000111000010 0 +b11110110000110011001000111000010 M +b11110110000110011001000111000010 f +b11110110000110011001000111000010 !" +0. +1% +#4979500 +b101000010000001101100101101101 2 +b101000010000001101100101101101 I +b101000010000001101100101101101 Z +b11 3 +b11 J +b11 Y +11 +b101000010000001101100101101101 , +b101000010000001101100101101101 H +b11 + +b11 G +1- +1. +0% +#4980000 +0\ +0[ +1@" +b11100 3" +1_ +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101000010000001101100101101101 &" +b101000010000001101100101101101 ?" +b11 %" +b11 *" +b11 1" +1'" +0. +1% +#4980010 +b101000010000001101100101101101 F" +#4980500 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4981000 +0s +b10011111101110111100110111000101 | +b10011111101110111100110111000101 B" +1\ +1[ +0@" +16" +17" +b11111 3" +0_ +b11011 -" +1t +1u +0b +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#4981500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +1. +0% +#4982000 +0i +b10101010000101111001100111011 { +b10101010000101111001100111011 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +0g +19" +1:" +1;" +06" +07" +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +0u +1n +1m +1/" +0," +b10011111101110111100110111000101 0 +b10011111101110111100110111000101 M +b10011111101110111100110111000101 f +b10011111101110111100110111000101 !" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4982500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b11001001101000101111011101100011 2 +b11001001101000101111011101100011 I +b11001001101000101111011101100011 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b11001001101000101111011101100011 , +b11001001101000101111011101100011 H +b11000 + +b11000 G +1- +1. +0% +#4983000 +0] +1i +0s +b11001000010111101000111001010101 | +b11001000010111101000111001010101 B" +1=" +1@" +1h +1g +09" +0:" +0;" +0r +15" +16" +17" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +0t +0y +1z +0u +14" +1v +12" +0n +0m +0/" +1x +1," +b11001001101000101111011101100011 &" +b11001001101000101111011101100011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b10101010000101111001100111011 / +b10101010000101111001100111011 K +b10101010000101111001100111011 e +b10101010000101111001100111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4983010 +b11001001101000101111011101100011 [" +#4983500 +b10110 5 +b10110 L +b10110 W +14 +b10001 7 +b10001 N +b10001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b10001 & +b10001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4984000 +1] +0i +b111101101111100011000011010001 { +b111101101111100011000011010001 A" +1s +0=" +0@" +0h +1:" +1;" +1r +0q +05" +06" +b11111 3" +0c +0<" +0` +b1001 0" +1j +0k +18" +1l +b1110 -" +0z +b10100011110100010010110111011011 | +b10100011110100010010110111011011 B" +02" +1n +1/" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001000010111101000111001010101 0 +b11001000010111101000111001010101 M +b11001000010111101000111001010101 f +b11001000010111101000111001010101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#4984500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b110001011100000100110100 2 +b110001011100000100110100 I +b110001011100000100110100 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b11010 & +b11010 C +b110001011100000100110100 , +b110001011100000100110100 H +b11001 + +b11001 G +1- +1. +0% +#4985000 +0] +1i +0s +0[ +1=" +1@" +1h +0:" +0;" +0r +1q +15" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b101 -" +1y +b10101111100010010110100110010111 | +b10101111100010010110100110010111 B" +1a +12" +0n +0/" +1x +0w +b110001011100000100110100 &" +b110001011100000100110100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +b111101101111100011000011010001 / +b111101101111100011000011010001 K +b111101101111100011000011010001 e +b111101101111100011000011010001 "" +b10100011110100010010110111011011 0 +b10100011110100010010110111011011 M +b10100011110100010010110111011011 f +b10100011110100010010110111011011 !" +0. +1% +#4985010 +b110001011100000100110100 \" +#4985500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4986000 +1] +1s +1[ +0=" +0@" +1r +05" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101111100010010110100110010111 0 +b10101111100010010110100110010111 M +b10101111100010010110100110010111 f +b10101111100010010110100110010111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4986500 +b10110001100001110111010100101100 2 +b10110001100001110111010100101100 I +b10110001100001110111010100101100 Z +b10011 3 +b10011 J +b10011 Y +11 +b10110001100001110111010100101100 , +b10110001100001110111010100101100 H +b10011 + +b10011 G +1- +1. +0% +#4987000 +0\ +0[ +1@" +b1100 3" +0_ +1<" +1` +1b +1a +12" +b10110001100001110111010100101100 &" +b10110001100001110111010100101100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4987010 +b10110001100001110111010100101100 V" +#4987500 +b10011 7 +b10011 N +b10011 V +16 +b11000000111001010000100111001110 2 +b11000000111001010000100111001110 I +b11000000111001010000100111001110 Z +b1100 3 +b1100 J +b1100 Y +b10011 & +b10011 C +1( +b11000000111001010000100111001110 , +b11000000111001010000100111001110 H +b1100 + +b1100 G +1. +0% +#4988000 +1_ +0] +b10110001100001110111010100101100 | +b10110001100001110111010100101100 B" +1\ +1[ +1@" +1=" +1>" +0r +0q +17" +b10011 3" +0<" +0` +0^ +0c +1d +b1100 -" +0u +14" +1v +0b +0a +1x +1w +1," +b11000000111001010000100111001110 &" +b11000000111001010000100111001110 ?" +b1100 %" +b1100 *" +b1100 1" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#4988010 +b11000000111001010000100111001110 O" +#4988500 +b10101 5 +b10101 L +b10101 W +14 +b10000 7 +b10000 N +b10000 V +b10010001111000011111010110100100 2 +b10010001111000011111010110100100 I +b10010001111000011111010110100100 Z +b10100 3 +b10100 J +b10100 Y +b10101 ' +b10101 D +1) +b10000 & +b10000 C +b10010001111000011111010110100100 , +b10010001111000011111010110100100 H +b10100 + +b10100 G +1. +0% +#4989000 +0_ +1^ +0i +b1011100000101101011101011101111 { +b1011100000101101011101011101111 A" +0=" +1>" +0g +1:" +1;" +1r +1q +b1011 3" +1<" +1` +0d +b1010 0" +1j +0k +18" +1l +b1111 -" +b10000100011010111101101100011000 | +b10000100011010111101101100011000 B" +1m +1/" +0x +0w +b10010001111000011111010110100100 &" +b10010001111000011111010110100100 ?" +b10100 %" +b10100 *" +b10100 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b10000 } +b10000 (" +b10000 +" +b10110001100001110111010100101100 0 +b10110001100001110111010100101100 M +b10110001100001110111010100101100 f +b10110001100001110111010100101100 !" +0. +1% +#4989010 +b10010001111000011111010110100100 W" +#4989500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b111010110000110001000110101101 2 +b111010110000110001000110101101 I +b111010110000110001000110101101 Z +b10101 3 +b10101 J +b10101 Y +b10110 ' +b10110 D +b0 & +b0 C +0( +b111010110000110001000110101101 , +b111010110000110001000110101101 H +b10101 + +b10101 G +1. +0% +#4990000 +0[ +0h +1g +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1010 3" +b1001 0" +b111101101111100011000011010001 { +b111101101111100011000011010001 A" +b11111 -" +04" +0v +1a +1n +0m +0," +b1011100000101101011101011101111 / +b1011100000101101011101011101111 K +b1011100000101101011101011101111 e +b1011100000101101011101011101111 "" +b10000100011010111101101100011000 0 +b10000100011010111101101100011000 M +b10000100011010111101101100011000 f +b10000100011010111101101100011000 !" +b111010110000110001000110101101 &" +b111010110000110001000110101101 ?" +b10101 %" +b10101 *" +b10101 1" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4990010 +b111010110000110001000110101101 X" +#4990500 +b100 5 +b100 L +b100 W +b10 7 +b10 N +b10 V +16 +b1100011010110001000010111010001 2 +b1100011010110001000010111010001 I +b1100011010110001000010111010001 Z +b10011 3 +b10011 J +b10011 Y +b100 ' +b100 D +b10 & +b10 C +1( +b1100011010110001000010111010001 , +b1100011010110001000010111010001 H +b10011 + +b10011 G +1. +0% +#4991000 +1] +1k +b11110101000011010110000110111000 | +b11110101000011010110000110111000 B" +0\ +0>" +1h +1;" +0r +17" +b1100 3" +0^ +b11011 0" +08" +0l +b10011111101110111100110111000101 { +b10011111101110111100110111000101 A" +b11101 -" +1u +1b +0n +1x +1," +b1100011010110001000010111010001 &" +b1100011010110001000010111010001 ?" +b10011 %" +b10011 *" +b10011 1" +b100 ~ +b100 )" +b100 ." +b10 } +b10 (" +b10 +" +1#" +b111101101111100011000011010001 / +b111101101111100011000011010001 K +b111101101111100011000011010001 e +b111101101111100011000011010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4991010 +b1100011010110001000010111010001 V" +#4991500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b11011011001010100000000101010010 2 +b11011011001010100000000101010010 I +b11011011001010100000000101010010 Z +b1010 ' +b1010 D +b0 & +b0 C +0( +b11011011001010100000000101010010 , +b11011011001010100000000101010010 H +1. +0% +#4992000 +19" +1o +0h +0:" +1r +07" +b10101 0" +0j +b11110100100010111001000000101011 { +b11110100100010111001000000101011 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0x +0," +b10011111101110111100110111000101 / +b10011111101110111100110111000101 K +b10011111101110111100110111000101 e +b10011111101110111100110111000101 "" +b11110101000011010110000110111000 0 +b11110101000011010110000110111000 M +b11110101000011010110000110111000 f +b11110101000011010110000110111000 !" +b11011011001010100000000101010010 &" +b11011011001010100000000101010010 ?" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4992010 +b11011011001010100000000101010010 V" +#4992500 +b110 5 +b110 L +b110 W +b11010 7 +b11010 N +b11010 V +16 +b11100011011010111110111011010100 2 +b11100011011010111110111011010100 I +b11100011011010111110111011010100 Z +b10001 3 +b10001 J +b10001 Y +b110 ' +b110 D +b11010 & +b11010 C +1( +b11100011011010111110111011010100 , +b11100011011010111110111011010100 H +b10001 + +b10001 G +1. +0% +#4993000 +1:" +1j +b1001011010110111001011111001110 { +b1001011010110111001011111001110 A" +0s +b10101111100010010110100110010111 | +b10101111100010010110100110010111 B" +1\ +09" +0r +15" +17" +b1110 3" +b11001 0" +0o +b101 -" +1y +0u +14" +1v +0b +1x +1," +b11100011011010111110111011010100 &" +b11100011011010111110111011010100 ?" +b10001 %" +b10001 *" +b10001 1" +b110 ~ +b110 )" +b110 ." +b11010 } +b11010 (" +b11010 +" +1#" +b11110100100010111001000000101011 / +b11110100100010111001000000101011 K +b11110100100010111001000000101011 e +b11110100100010111001000000101011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4993010 +b11100011011010111110111011010100 T" +#4993500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1011110001010111010010100000111 2 +b1011110001010111010010100000111 I +b1011110001010111010010100000111 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1011110001010111010010100000111 , +b1011110001010111010010100000111 H +b1011 + +b1011 G +1. +0% +#4994000 +1_ +0] +1i +1s +0\ +1@" +1=" +1h +0:" +0;" +1r +05" +07" +b10100 3" +0<" +0` +1c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0n +0/" +0x +0," +b1001011010110111001011111001110 / +b1001011010110111001011111001110 K +b1001011010110111001011111001110 e +b1001011010110111001011111001110 "" +b10101111100010010110100110010111 0 +b10101111100010010110100110010111 M +b10101111100010010110100110010111 f +b10101111100010010110100110010111 !" +b1011110001010111010010100000111 &" +b1011110001010111010010100000111 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4994010 +b1011110001010111010010100000111 N" +#4994500 +b1010110110101001100011 2 +b1010110110101001100011 I +b1010110110101001100011 Z +b1 3 +b1 J +b1 Y +b1010110110101001100011 , +b1010110110101001100011 H +b1 + +b1 G +1. +0% +#4995000 +1] +1\ +0=" +b11110 3" +0c +0b +b1010110110101001100011 &" +b1010110110101001100011 ?" +b1 %" +b1 *" +b1 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4995010 +b1010110110101001100011 D" +#4995500 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4996000 +0s +b11001000010111101000111001010101 | +b11001000010111101000111001010101 B" +1[ +0@" +0r +15" +16" +17" +b11111 3" +0_ +b1 -" +0t +0y +1z +0u +14" +1v +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#4996500 +b11011 7 +b11011 N +b11011 V +b11011 & +b11011 C +1. +0% +#4997000 +1y +0q +15" +06" +b100 -" +0z +b1001110010111101111001010010100 | +b1001110010111101111001010010100 B" +1w +b11011 } +b11011 (" +b11011 +" +b11001000010111101000111001010101 0 +b11001000010111101000111001010101 M +b11001000010111101000111001010101 f +b11001000010111101000111001010101 !" +0. +1% +#4997500 +b0 7 +b0 N +b0 V +06 +b10100100101110011000111001011101 2 +b10100100101110011000111001011101 I +b10100100101110011000111001011101 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 & +b0 C +0( +b10100100101110011000111001011101 , +b10100100101110011000111001011101 H +b10010 + +b10010 G +1- +1. +0% +#4998000 +1s +0\ +1@" +1r +1q +05" +07" +b1101 3" +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0x +0w +0," +b1001110010111101111001010010100 0 +b1001110010111101111001010010100 M +b1001110010111101111001010010100 f +b1001110010111101111001010010100 !" +b10100100101110011000111001011101 &" +b10100100101110011000111001011101 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#4998010 +b10100100101110011000111001011101 U" +#4998500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#4999000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#4999500 +b10111111001000101011101111000110 2 +b10111111001000101011101111000110 I +b10111111001000101011101111000110 Z +b10000 3 +b10000 J +b10000 Y +11 +b10111111001000101011101111000110 , +b10111111001000101011101111000110 H +b10000 + +b10000 G +1- +1. +0% +#5000000 +1@" +b1111 3" +0_ +1<" +1` +12" +b10111111001000101011101111000110 &" +b10111111001000101011101111000110 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +0. +1% +#5000010 +b10111111001000101011101111000110 S" +#5000500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5001000 +0@" +b11111 3" +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5001500 +b11 7 +b11 N +b11 V +16 +b110001011101101011000101011011 2 +b110001011101101011000101011011 I +b110001011101101011000101011011 Z +11 +b11 & +b11 C +1( +b110001011101101011000101011011 , +b110001011101101011000101011011 H +1- +1. +0% +#5002000 +b101000010000001101100101101101 | +b101000010000001101100101101101 B" +1@" +0r +0q +17" +1_ +b11100 -" +1u +12" +1x +1w +1," +b110001011101101011000101011011 &" +b110001011101101011000101011011 ?" +1'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#5002010 +b110001011101101011000101011011 C" +#5002500 +b0 7 +b0 N +b0 V +06 +b1011111001010001010110010111100 2 +b1011111001010001010110010111100 I +b1011111001010001010110010111100 Z +b10 3 +b10 J +b10 Y +b0 & +b0 C +0( +b1011111001010001010110010111100 , +b1011111001010001010110010111100 H +b10 + +b10 G +1. +0% +#5003000 +0\ +1r +1q +07" +b11101 3" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0x +0w +0," +b1011111001010001010110010111100 &" +b1011111001010001010110010111100 ?" +b10 %" +b10 *" +b10 1" +b0 } +b0 (" +b0 +" +0#" +b101000010000001101100101101101 0 +b101000010000001101100101101101 M +b101000010000001101100101101101 f +b101000010000001101100101101101 !" +0. +1% +#5003010 +b1011111001010001010110010111100 E" +#5003500 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5004000 +0s +b10011011000001000001110000000001 | +b10011011000001000001110000000001 B" +1\ +0@" +0q +15" +16" +17" +b11111 3" +0_ +b10010 -" +0t +0y +1z +1u +0b +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#5004500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5005000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10011011000001000001110000000001 0 +b10011011000001000001110000000001 M +b10011011000001000001110000000001 f +b10011011000001000001110000000001 !" +0. +1% +#5005500 +b111 5 +b111 L +b111 W +14 +b11000110111011001101101001100 2 +b11000110111011001101101001100 I +b11000110111011001101101001100 Z +b11001 3 +b11001 J +b11001 Y +11 +b111 ' +b111 D +1) +b11000110111011001101101001100 , +b11000110111011001101101001100 H +b11001 + +b11001 G +1- +1. +0% +#5006000 +0] +0i +b1111111100101010100010111111001 { +b1111111100101010100010111111001 A" +0[ +1=" +1@" +0h +0g +1:" +1;" +b110 3" +1c +0_ +1<" +1` +b11000 0" +1j +1k +1a +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000110111011001101101001100 &" +b11000110111011001101101001100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#5006010 +b11000110111011001101101001100 \" +#5006500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5007000 +1] +1i +1[ +0=" +0@" +1h +1g +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111111100101010100010111111001 / +b1111111100101010100010111111001 K +b1111111100101010100010111111001 e +b1111111100101010100010111111001 "" +0. +1% +#5007500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#5008000 +0i +b11110100100010111001000000101011 { +b11110100100010111001000000101011 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#5008500 +b11010 5 +b11010 L +b11010 W +b11010 ' +b11010 D +1. +0% +#5009000 +0k +b10101111100010010110100110010111 { +b10101111100010010110100110010111 A" +b101 0" +18" +1l +b11010 ~ +b11010 )" +b11010 ." +b11110100100010111001000000101011 / +b11110100100010111001000000101011 K +b11110100100010111001000000101011 e +b11110100100010111001000000101011 "" +0. +1% +#5009500 +b0 5 +b0 L +b0 W +04 +b10101101111111110010001010110110 2 +b10101101111111110010001010110110 I +b10101101111111110010001010110110 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b10101101111111110010001010110110 , +b10101101111111110010001010110110 H +b10101 + +b10101 G +1- +1. +0% +#5010000 +0] +1i +0[ +1>" +1@" +1h +09" +0;" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0/" +b10101111100010010110100110010111 / +b10101111100010010110100110010111 K +b10101111100010010110100110010111 e +b10101111100010010110100110010111 "" +b10101101111111110010001010110110 &" +b10101101111111110010001010110110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5010010 +b10101101111111110010001010110110 X" +#5010500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5011000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5011500 +1. +0% +#5012000 +0. +1% +#5012500 +b10001 5 +b10001 L +b10001 W +14 +16 +b110001010111100110001100000100 2 +b110001010111100110001100000100 I +b110001010111100110001100000100 Z +b10110 3 +b10110 J +b10110 Y +11 +b10001 ' +b10001 D +1) +1( +b110001010111100110001100000100 , +b110001010111100110001100000100 H +b10110 + +b10110 G +1- +1. +0% +#5013000 +0] +b11100011011010111110111011010100 { +b11100011011010111110111011010100 A" +b110001011101101011000101011011 | +b110001011101101011000101011011 B" +0\ +1>" +1@" +0g +1;" +17" +b1001 3" +1^ +0_ +1<" +1` +b1110 0" +0k +18" +1l +1u +1b +12" +1m +1/" +1," +b110001010111100110001100000100 &" +b110001010111100110001100000100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +1#" +0. +1% +#5013010 +b110001010111100110001100000100 Y" +#5013500 +b1001 5 +b1001 L +b1001 W +b11000 7 +b11000 N +b11000 V +b11110000011111011111011111010001 2 +b11110000011111011111011111010001 I +b11110000011111011111011111010001 Z +b11001 3 +b11001 J +b11001 Y +b1001 ' +b1001 D +b11000 & +b11000 C +b11110000011111011111011111010001 , +b11110000011111011111011111010001 H +b11001 + +b11001 G +1. +0% +#5014000 +1=" +1c +1k +0i +0u +0s +1\ +0[ +0>" +1;" +b10010010100110011000000101111010 { +b10010010100110011000000101111010 A" +19" +b11001001101000101111011101100011 | +b11001001101000101111011101100011 B" +15" +b110 3" +0^ +b10110 0" +08" +0l +1o +b111 -" +14" +1v +1y +0b +1a +b11100011011010111110111011010100 / +b11100011011010111110111011010100 K +b11100011011010111110111011010100 e +b11100011011010111110111011010100 "" +b110001011101101011000101011011 0 +b110001011101101011000101011011 M +b110001011101101011000101011011 f +b110001011101101011000101011011 !" +b11110000011111011111011111010001 &" +b11110000011111011111011111010001 ?" +b11001 %" +b11001 *" +b11001 1" +b1001 ~ +b1001 )" +b1001 ." +b11000 } +b11000 (" +b11000 +" +0. +1% +#5014010 +b11110000011111011111011111010001 \" +#5014500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5015000 +1] +1i +1s +1[ +0=" +0@" +1g +09" +0;" +0r +0q +05" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0y +b11011011001010100000000101010010 | +b11011011001010100000000101010010 B" +0a +02" +0m +0/" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b10010010100110011000000101111010 / +b10010010100110011000000101111010 K +b10010010100110011000000101111010 e +b10010010100110011000000101111010 "" +b11001001101000101111011101100011 0 +b11001001101000101111011101100011 M +b11001001101000101111011101100011 f +b11001001101000101111011101100011 !" +0. +1% +#5015500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b11101011010010111001100101100110 2 +b11101011010010111001100101100110 I +b11101011010010111001100101100110 Z +b11100 3 +b11100 J +b11100 Y +11 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +b11101011010010111001100101100110 , +b11101011010010111001100101100110 H +b11100 + +b11100 G +1- +1. +0% +#5016000 +0] +0i +b11001001101000101111011101100011 { +b11001001101000101111011101100011 A" +1=" +1>" +1@" +19" +1;" +1r +1q +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b111 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011011001010100000000101010010 0 +b11011011001010100000000101010010 M +b11011011001010100000000101010010 f +b11011011001010100000000101010010 !" +b11101011010010111001100101100110 &" +b11101011010010111001100101100110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5016010 +b11101011010010111001100101100110 _" +#5016500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5017000 +1] +1i +b11011011001010100000000101010010 | +b11011011001010100000000101010010 B" +0=" +0>" +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +17" +b11111 3" +0d +0<" +0` +b11111 0" +0o +08" +0l +b1100 -" +0u +14" +1v +02" +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b11001001101000101111011101100011 / +b11001001101000101111011101100011 K +b11001001101000101111011101100011 e +b11001001101000101111011101100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5017500 +b100111100010110000001001011011 2 +b100111100010110000001001011011 I +b100111100010110000001001011011 Z +b11000 3 +b11000 J +b11000 Y +11 +b100111100010110000001001011011 , +b100111100010110000001001011011 H +b11000 + +b11000 G +1- +1. +0% +#5018000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011011001010100000000101010010 0 +b11011011001010100000000101010010 M +b11011011001010100000000101010010 f +b11011011001010100000000101010010 !" +b100111100010110000001001011011 &" +b100111100010110000001001011011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0. +1% +#5018010 +b100111100010110000001001011011 [" +#5018500 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5019000 +1] +1u +0=" +0@" +1r +17" +b11111 3" +0c +0<" +0` +b11110 -" +04" +0v +b1010110110101001100011 | +b1010110110101001100011 B" +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +0. +1% +#5019500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +1. +0% +#5020000 +0i +b10101010000101111001100111011 { +b10101010000101111001100111011 A" +0h +0g +19" +1:" +1;" +1q +07" +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0w +0," +b1010110110101001100011 0 +b1010110110101001100011 M +b1010110110101001100011 f +b1010110110101001100011 !" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5020500 +b11100 5 +b11100 L +b11100 W +b11101 7 +b11101 N +b11101 V +16 +b11100 ' +b11100 D +b11101 & +b11101 C +1( +1. +0% +#5021000 +0s +b101100110101010110001010010100 | +b101100110101010110001010010100 B" +1h +1g +0q +15" +16" +17" +b11 0" +b11101011010010111001100101100110 { +b11101011010010111001100101100110 A" +b10 -" +0t +0y +1z +0u +14" +1v +0n +0m +1w +1," +b11100 ~ +b11100 )" +b11100 ." +b11101 } +b11101 (" +b11101 +" +1#" +b10101010000101111001100111011 / +b10101010000101111001100111011 K +b10101010000101111001100111011 e +b10101010000101111001100111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5021500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5022000 +1i +1s +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +06" +07" +b11111 0" +0p +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0w +0," +b11101011010010111001100101100110 / +b11101011010010111001100101100110 K +b11101011010010111001100101100110 e +b11101011010010111001100101100110 "" +b101100110101010110001010010100 0 +b101100110101010110001010010100 M +b101100110101010110001010010100 f +b101100110101010110001010010100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5022500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#5023000 +0s +b11110100100010111001000000101011 | +b11110100100010111001000000101011 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5023500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5024000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b11110100100010111001000000101011 0 +b11110100100010111001000000101011 M +b11110100100010111001000000101011 f +b11110100100010111001000000101011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5024500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#5025000 +0s +b1111111100101010100010111111001 | +b1111111100101010100010111111001 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5025500 +b10100 7 +b10100 N +b10100 V +b10100 & +b10100 C +1. +0% +#5026000 +0u +1r +1q +b1011 -" +14" +1v +b10010001111000011111010110100100 | +b10010001111000011111010110100100 B" +0x +0w +b1111111100101010100010111111001 0 +b1111111100101010100010111111001 M +b1111111100101010100010111111001 f +b1111111100101010100010111111001 !" +b10100 } +b10100 (" +b10100 +" +0. +1% +#5026500 +b10011 5 +b10011 L +b10011 W +14 +b0 7 +b0 N +b0 V +06 +b11111100010111111100110000001 2 +b11111100010111111100110000001 I +b11111100010111111100110000001 Z +b11010 3 +b11010 J +b11010 Y +11 +b10011 ' +b10011 D +1) +b0 & +b0 C +0( +b11111100010111111100110000001 , +b11111100010111111100110000001 H +b11010 + +b11010 G +1- +1. +0% +#5027000 +0] +b11011011001010100000000101010010 { +b11011011001010100000000101010010 A" +1s +0\ +1=" +1@" +0h +0g +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b101 3" +1c +0_ +1<" +1` +b1100 0" +0k +18" +1l +b11111 -" +0t +04" +0v +1b +12" +1n +1m +1/" +0," +b11111100010111111100110000001 &" +b11111100010111111100110000001 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10010001111000011111010110100100 0 +b10010001111000011111010110100100 M +b10010001111000011111010110100100 f +b10010001111000011111010110100100 !" +0. +1% +#5027010 +b11111100010111111100110000001 ]" +#5027500 +b10010 5 +b10010 L +b10010 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5028000 +1] +1\ +0=" +0@" +1g +b11111 3" +0c +0<" +0` +b1101 0" +b10100100101110011000111001011101 { +b10100100101110011000111001011101 A" +0b +02" +0m +b11011011001010100000000101010010 / +b11011011001010100000000101010010 K +b11011011001010100000000101010010 e +b11011011001010100000000101010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +0. +1% +#5028500 +b0 5 +b0 L +b0 W +04 +b101110110100010100011110110010 2 +b101110110100010100011110110010 I +b101110110100010100011110110010 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b101110110100010100011110110010 , +b101110110100010100011110110010 H +b10111 + +b10111 G +1- +1. +0% +#5029000 +0] +0\ +0[ +1>" +1@" +1h +0;" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0n +0/" +b101110110100010100011110110010 &" +b101110110100010100011110110010 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10100100101110011000111001011101 / +b10100100101110011000111001011101 K +b10100100101110011000111001011101 e +b10100100101110011000111001011101 "" +0. +1% +#5029010 +b101110110100010100011110110010 Z" +#5029500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5030000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5030500 +1. +0% +#5031000 +0. +1% +#5031500 +b1111 5 +b1111 L +b1111 W +14 +b10100 7 +b10100 N +b10100 V +16 +b10100000100010000111010000010 2 +b10100000100010000111010000010 I +b10100000100010000111010000010 Z +b11 3 +b11 J +b11 Y +11 +b1111 ' +b1111 D +1) +b10100 & +b10100 C +1( +b10100000100010000111010000010 , +b10100000100010000111010000010 H +b11 + +b11 G +1- +1. +0% +#5032000 +0i +b100111010000000101101001101100 { +b100111010000000101101001101100 A" +0s +b10010001111000011111010110100100 | +b10010001111000011111010110100100 B" +0\ +0[ +1@" +0h +0g +19" +1:" +1;" +16" +17" +b11100 3" +1_ +b10000 0" +0j +0o +1p +1k +b1011 -" +1t +0u +14" +1v +1b +1a +12" +1n +1m +1/" +1," +b10100000100010000111010000010 &" +b10100000100010000111010000010 ?" +b11 %" +b11 *" +b11 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#5032010 +b10100000100010000111010000010 F" +#5032500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5033000 +1i +1s +1\ +1[ +0@" +1h +1g +09" +0:" +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +04" +0v +0b +0a +02" +0n +0m +0/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b100111010000000101101001101100 / +b100111010000000101101001101100 K +b100111010000000101101001101100 e +b100111010000000101101001101100 "" +b10010001111000011111010110100100 0 +b10010001111000011111010110100100 M +b10010001111000011111010110100100 f +b10010001111000011111010110100100 !" +0. +1% +#5033500 +1. +0% +#5034000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5034500 +b11110 5 +b11110 L +b11110 W +14 +b101110110100011101110100101111 2 +b101110110100011101110100101111 I +b101110110100011101110100101111 Z +b11 3 +b11 J +b11 Y +11 +b11110 ' +b11110 D +1) +b101110110100011101110100101111 , +b101110110100011101110100101111 H +b11 + +b11 G +1- +1. +0% +#5035000 +0i +b11001000010111101000111001010101 { +b11001000010111101000111001010101 A" +0\ +0[ +1@" +0h +19" +1:" +1;" +b11100 3" +1_ +b1 0" +0j +0o +1p +0k +18" +1l +1b +1a +12" +1n +1/" +b101110110100011101110100101111 &" +b101110110100011101110100101111 ?" +b11 %" +b11 *" +b11 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#5035010 +b101110110100011101110100101111 F" +#5035500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5036000 +1i +1\ +1[ +0@" +1h +09" +0:" +0;" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0n +0/" +b11001000010111101000111001010101 / +b11001000010111101000111001010101 K +b11001000010111101000111001010101 e +b11001000010111101000111001010101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5036500 +b10110 5 +b10110 L +b10110 W +14 +b1010 7 +b1010 N +b1010 V +16 +b10110 ' +b10110 D +1) +b1010 & +b1010 C +1( +1. +0% +#5037000 +0i +b110001010111100110001100000100 { +b110001010111100110001100000100 A" +0s +b11110100100010111001000000101011 | +b11110100100010111001000000101011 B" +0h +1:" +1;" +0r +15" +17" +b1001 0" +1j +0k +18" +1l +b10101 -" +1y +1u +1n +1/" +1x +1," +b10110 ~ +b10110 )" +b10110 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5037500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5038000 +1i +1s +1h +0:" +0;" +1r +05" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0," +b110001010111100110001100000100 / +b110001010111100110001100000100 K +b110001010111100110001100000100 e +b110001010111100110001100000100 "" +b11110100100010111001000000101011 0 +b11110100100010111001000000101011 M +b11110100100010111001000000101011 f +b11110100100010111001000000101011 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5038500 +b1001 5 +b1001 L +b1001 W +14 +b1111110001111000000001110110000 2 +b1111110001111000000001110110000 I +b1111110001111000000001110110000 Z +b10110 3 +b10110 J +b10110 Y +11 +b1001 ' +b1001 D +1) +b1111110001111000000001110110000 , +b1111110001111000000001110110000 H +b10110 + +b10110 G +1- +1. +0% +#5039000 +0] +0i +b10010010100110011000000101111010 { +b10010010100110011000000101111010 A" +0\ +1>" +1@" +0g +19" +1;" +b1001 3" +1^ +0_ +1<" +1` +b10110 0" +1o +1k +1b +12" +1m +1/" +b1111110001111000000001110110000 &" +b1111110001111000000001110110000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5039010 +b1111110001111000000001110110000 Y" +#5039500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5040000 +1] +1i +0s +b100111100010110000001001011011 | +b100111100010110000001001011011 B" +1\ +0>" +0@" +1g +09" +0;" +15" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b111 -" +1y +0u +14" +1v +0b +02" +0m +0/" +1," +b10010010100110011000000101111010 / +b10010010100110011000000101111010 K +b10010010100110011000000101111010 e +b10010010100110011000000101111010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#5040500 +b100 7 +b100 N +b100 V +b10011000001101101000011011100001 2 +b10011000001101101000011011100001 I +b10011000001101101000011011100001 Z +b1101 3 +b1101 J +b1101 Y +11 +b100 & +b100 C +b10011000001101101000011011100001 , +b10011000001101101000011011100001 H +b1101 + +b1101 G +1- +1. +0% +#5041000 +16" +0] +1u +1t +0[ +1=" +1>" +1@" +17" +b10011111101110111100110111000101 | +b10011111101110111100110111000101 B" +05" +b10010 3" +0^ +0c +1d +1_ +b11011 -" +04" +0v +0y +1a +12" +b10011000001101101000011011100001 &" +b10011000001101101000011011100001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b100 } +b100 (" +b100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100111100010110000001001011011 0 +b100111100010110000001001011011 M +b100111100010110000001001011011 f +b100111100010110000001001011011 !" +0. +1% +#5041010 +b10011000001101101000011011100001 P" +#5041500 +b10 5 +b10 L +b10 W +14 +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5042000 +1] +b1011111001010001010110010111100 { +b1011111001010001010110010111100 A" +0u +1[ +0=" +0>" +0@" +0h +1;" +b10010001111000011111010110100100 | +b10010001111000011111010110100100 B" +b11111 3" +0d +0_ +b11101 0" +1k +b1011 -" +14" +1v +0a +02" +1n +1/" +b10011111101110111100110111000101 0 +b10011111101110111100110111000101 M +b10011111101110111100110111000101 f +b10011111101110111100110111000101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b10100 } +b10100 (" +b10100 +" +0. +1% +#5042500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5043000 +1s +1h +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +04" +0v +0n +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1011111001010001010110010111100 / +b1011111001010001010110010111100 K +b1011111001010001010110010111100 e +b1011111001010001010110010111100 "" +b10010001111000011111010110100100 0 +b10010001111000011111010110100100 M +b10010001111000011111010110100100 f +b10010001111000011111010110100100 !" +0. +1% +#5043500 +b110 5 +b110 L +b110 W +14 +b110 ' +b110 D +1) +1. +0% +#5044000 +0i +b1001011010110111001011111001110 { +b1001011010110111001011111001110 A" +0h +1:" +1;" +b11001 0" +1j +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#5044500 +b1011 5 +b1011 L +b1011 W +b1011 ' +b1011 D +1. +0% +#5045000 +19" +1o +0g +0:" +b10100 0" +0j +b1011110001010111010010100000111 { +b1011110001010111010010100000111 A" +1m +b1011 ~ +b1011 )" +b1011 ." +b1001011010110111001011111001110 / +b1001011010110111001011111001110 K +b1001011010110111001011111001110 e +b1001011010110111001011111001110 "" +0. +1% +#5045500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5046000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1011110001010111010010100000111 / +b1011110001010111010010100000111 K +b1011110001010111010010100000111 e +b1011110001010111010010100000111 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5046500 +b10100 5 +b10100 L +b10100 W +14 +b10100 ' +b10100 D +1) +1. +0% +#5047000 +0i +b10010001111000011111010110100100 { +b10010001111000011111010110100100 A" +1:" +1;" +b1011 0" +1j +0k +18" +1l +1/" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5047500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b11001011010001100100100111010011 2 +b11001011010001100100100111010011 I +b11001011010001100100100111010011 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b11 & +b11 C +1( +b11001011010001100100100111010011 , +b11001011010001100100100111010011 H +b1000 + +b1000 G +1- +1. +0% +#5048000 +0] +1i +b101110110100011101110100101111 | +b101110110100011101110100101111 B" +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +17" +b10111 3" +1c +1_ +b11111 0" +0j +08" +0l +b11100 -" +1u +12" +0/" +1x +1w +1," +b10010001111000011111010110100100 / +b10010001111000011111010110100100 K +b10010001111000011111010110100100 e +b10010001111000011111010110100100 "" +b11001011010001100100100111010011 &" +b11001011010001100100100111010011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#5048010 +b11001011010001100100100111010011 K" +#5048500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5049000 +1] +0i +b1001000100111110111101100001110 { +b1001000100111110111101100001110 A" +0=" +0@" +0g +1:" +1;" +1r +1q +07" +b11111 3" +0c +0_ +b11010 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1m +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101110110100011101110100101111 0 +b101110110100011101110100101111 M +b101110110100011101110100101111 f +b101110110100011101110100101111 !" +0. +1% +#5049500 +b11100 5 +b11100 L +b11100 W +b1010 7 +b1010 N +b1010 V +16 +b11100 ' +b11100 D +b1010 & +b1010 C +1( +1. +0% +#5050000 +0k +0j +0s +b11110100100010111001000000101011 | +b11110100100010111001000000101011 B" +1g +19" +0r +15" +17" +b11 0" +18" +1l +1p +b11101011010010111001100101100110 { +b11101011010010111001100101100110 A" +b10101 -" +1y +1u +0m +1x +1," +b1001000100111110111101100001110 / +b1001000100111110111101100001110 K +b1001000100111110111101100001110 e +b1001000100111110111101100001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100 ~ +b11100 )" +b11100 ." +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#5050500 +b11101 5 +b11101 L +b11101 W +b11111 7 +b11111 N +b11111 V +b10111001000111001100000011001101 2 +b10111001000111001100000011001101 I +b10111001000111001100000011001101 Z +b11010 3 +b11010 J +b11010 Y +11 +b11101 ' +b11101 D +b11111 & +b11111 C +b10111001000111001100000011001101 , +b10111001000111001100000011001101 H +b11010 + +b11010 G +1- +1. +0% +#5051000 +0] +0u +0y +0\ +1=" +1@" +0g +0q +16" +b101 3" +1c +0_ +1<" +1` +b10 0" +b101100110101010110001010010100 { +b101100110101010110001010010100 A" +b0 -" +14" +1v +1z +b10101010000101111001100111011 | +b10101010000101111001100111011 B" +1b +12" +1m +1w +b10111001000111001100000011001101 &" +b10111001000111001100000011001101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b11111 } +b11111 (" +b11111 +" +b11101011010010111001100101100110 / +b11101011010010111001100101100110 K +b11101011010010111001100101100110 e +b11101011010010111001100101100110 "" +b11110100100010111001000000101011 0 +b11110100100010111001000000101011 M +b11110100100010111001000000101011 f +b11110100100010111001000000101011 !" +0. +1% +#5051010 +b10111001000111001100000011001101 ]" +#5051500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5052000 +1] +1i +1s +1\ +0=" +0@" +1g +09" +0:" +0;" +1r +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0m +0/" +0x +0w +0," +b101100110101010110001010010100 / +b101100110101010110001010010100 K +b101100110101010110001010010100 e +b101100110101010110001010010100 "" +b10101010000101111001100111011 0 +b10101010000101111001100111011 M +b10101010000101111001100111011 f +b10101010000101111001100111011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5052500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#5053000 +b11011011001010100000000101010010 | +b11011011001010100000000101010010 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5053500 +b111 7 +b111 N +b111 V +b111 & +b111 C +1. +0% +#5054000 +1u +0s +17" +b1111111100101010100010111111001 | +b1111111100101010100010111111001 B" +16" +b11000 -" +04" +0v +1t +b11011011001010100000000101010010 0 +b11011011001010100000000101010010 M +b11011011001010100000000101010010 f +b11011011001010100000000101010010 !" +b111 } +b111 (" +b111 +" +0. +1% +#5054500 +b10011 5 +b10011 L +b10011 W +14 +b1111 7 +b1111 N +b1111 V +b10011 ' +b10011 D +1) +b1111 & +b1111 C +1. +0% +#5055000 +b11011011001010100000000101010010 { +b11011011001010100000000101010010 A" +0t +b100111010000000101101001101100 | +b100111010000000101101001101100 B" +0h +0g +1;" +15" +b1100 0" +0k +18" +1l +b10000 -" +1z +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +b1111 } +b1111 (" +b1111 +" +b1111111100101010100010111111001 0 +b1111111100101010100010111111001 M +b1111111100101010100010111111001 f +b1111111100101010100010111111001 !" +0. +1% +#5055500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b1101100010100110000011110101111 2 +b1101100010100110000011110101111 I +b1101100010100110000011110101111 Z +b10100 3 +b10100 J +b10100 Y +11 +b110 ' +b110 D +b0 & +b0 C +0( +b1101100010100110000011110101111 , +b1101100010100110000011110101111 H +b10100 + +b10100 G +1- +1. +0% +#5056000 +0] +1k +0i +1s +1>" +1@" +1g +1;" +1:" +1r +1q +05" +06" +07" +b1011 3" +1^ +0_ +1<" +1` +b11001 0" +08" +0l +1j +b1001011010110111001011111001110 { +b1001011010110111001011111001110 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0m +0x +0w +0," +b11011011001010100000000101010010 / +b11011011001010100000000101010010 K +b11011011001010100000000101010010 e +b11011011001010100000000101010010 "" +b100111010000000101101001101100 0 +b100111010000000101101001101100 M +b100111010000000101101001101100 f +b100111010000000101101001101100 !" +b1101100010100110000011110101111 &" +b1101100010100110000011110101111 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5056010 +b1101100010100110000011110101111 W" +#5056500 +b10000 5 +b10000 L +b10000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5057000 +1] +0k +1i +0>" +0@" +1h +0:" +b11111 3" +0^ +0<" +0` +b1111 0" +18" +1l +0j +b10111111001000101011101111000110 { +b10111111001000101011101111000110 A" +02" +0n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +b1001011010110111001011111001110 / +b1001011010110111001011111001110 K +b1001011010110111001011111001110 e +b1001011010110111001011111001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5057500 +b11110 5 +b11110 L +b11110 W +b11110 7 +b11110 N +b11110 V +16 +b11110 ' +b11110 D +b11110 & +b11110 C +1( +1. +0% +#5058000 +0i +0s +b11001000010111101000111001010101 | +b11001000010111101000111001010101 B" +0h +19" +1:" +0r +15" +16" +17" +b1 0" +0j +0o +1p +b11001000010111101000111001010101 { +b11001000010111101000111001010101 A" +b1 -" +0t +0y +1z +0u +14" +1v +1n +1x +1," +b10111111001000101011101111000110 / +b10111111001000101011101111000110 K +b10111111001000101011101111000110 e +b10111111001000101011101111000110 "" +b11110 ~ +b11110 )" +b11110 ." +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#5058500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b0 ' +b0 D +0) +b11010 & +b11010 C +1. +0% +#5059000 +1i +1y +b10111001000111001100000011001101 | +b10111001000111001100000011001101 B" +1h +09" +0:" +0;" +15" +06" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b101 -" +0z +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +b11001000010111101000111001010101 / +b11001000010111101000111001010101 K +b11001000010111101000111001010101 e +b11001000010111101000111001010101 "" +b11001000010111101000111001010101 0 +b11001000010111101000111001010101 M +b11001000010111101000111001010101 f +b11001000010111101000111001010101 !" +0. +1% +#5059500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5060000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111001000111001100000011001101 0 +b10111001000111001100000011001101 M +b10111001000111001100000011001101 f +b10111001000111001100000011001101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5060500 +b10111101100010101101011010001010 2 +b10111101100010101101011010001010 I +b10111101100010101101011010001010 Z +b111 3 +b111 J +b111 Y +11 +b10111101100010101101011010001010 , +b10111101100010101101011010001010 H +b111 + +b111 G +1- +1. +0% +#5061000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b10111101100010101101011010001010 &" +b10111101100010101101011010001010 ?" +b111 %" +b111 *" +b111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5061010 +b10111101100010101101011010001010 J" +#5061500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5062000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5062500 +b10 5 +b10 L +b10 W +14 +b11011 7 +b11011 N +b11011 V +16 +b1101010000011100101011010001010 2 +b1101010000011100101011010001010 I +b1101010000011100101011010001010 Z +b11111 3 +b11111 J +b11111 Y +11 +b10 ' +b10 D +1) +b11011 & +b11011 C +1( +b1101010000011100101011010001010 , +b1101010000011100101011010001010 H +b11111 + +b11111 G +1- +1. +0% +#5063000 +0] +b1011111001010001010110010111100 { +b1011111001010001010110010111100 A" +0s +b1001110010111101111001010010100 | +b1001110010111101111001010010100 B" +0\ +0[ +1=" +1>" +1@" +0h +1;" +0r +0q +15" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11101 0" +1k +b100 -" +1y +0u +14" +1v +1b +1a +12" +1n +1/" +1x +1w +1," +b1101010000011100101011010001010 &" +b1101010000011100101011010001010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#5063500 +b11110 5 +b11110 L +b11110 W +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5064000 +1] +0k +0i +1u +1s +1\ +1[ +0=" +0>" +0@" +b11001000010111101000111001010101 { +b11001000010111101000111001010101 A" +19" +1:" +1q +17" +05" +b11111 3" +0d +0<" +0` +b1 0" +18" +1l +0j +0o +1p +b11101 -" +04" +0v +0y +b1011111001010001010110010111100 | +b1011111001010001010110010111100 B" +0b +0a +02" +0w +b1011111001010001010110010111100 / +b1011111001010001010110010111100 K +b1011111001010001010110010111100 e +b1011111001010001010110010111100 "" +b1001110010111101111001010010100 0 +b1001110010111101111001010010100 M +b1001110010111101111001010010100 f +b1001110010111101111001010010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b10 } +b10 (" +b10 +" +0. +1% +#5064500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b11000110001011001111101010001100 2 +b11000110001011001111101010001100 I +b11000110001011001111101010001100 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b1110 & +b1110 C +b11000110001011001111101010001100 , +b11000110001011001111101010001100 H +b1010 + +b1010 G +1- +1. +0% +#5065000 +0] +1i +0s +b1010111011001100100000001101111 | +b1010111011001100100000001101111 B" +0\ +1=" +1@" +1h +09" +0:" +0;" +15" +16" +b10101 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10001 -" +0t +0y +1z +1b +12" +0n +0/" +b11000110001011001111101010001100 &" +b11000110001011001111101010001100 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +b11001000010111101000111001010101 / +b11001000010111101000111001010101 K +b11001000010111101000111001010101 e +b11001000010111101000111001010101 "" +b1011111001010001010110010111100 0 +b1011111001010001010110010111100 M +b1011111001010001010110010111100 f +b1011111001010001010110010111100 !" +0. +1% +#5065010 +b11000110001011001111101010001100 M" +#5065500 +b0 7 +b0 N +b0 V +06 +b11101000011101101111011011100 2 +b11101000011101101111011011100 I +b11101000011101101111011011100 Z +b10011 3 +b10011 J +b10011 Y +b0 & +b0 C +0( +b11101000011101101111011011100 , +b11101000011101101111011011100 H +b10011 + +b10011 G +1. +0% +#5066000 +0_ +1] +1s +0[ +0=" +1r +05" +06" +07" +b1100 3" +1<" +1` +0c +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010111011001100100000001101111 0 +b1010111011001100100000001101111 M +b1010111011001100100000001101111 f +b1010111011001100100000001101111 !" +b11101000011101101111011011100 &" +b11101000011101101111011011100 ?" +b10011 %" +b10011 *" +b10011 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5066010 +b11101000011101101111011011100 V" +#5066500 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5067000 +b101110110100011101110100101111 | +b101110110100011101110100101111 B" +1\ +1[ +0@" +0r +0q +17" +b11111 3" +0<" +0` +b11100 -" +1u +0b +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5067500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +1. +0% +#5068000 +b11100011011010111110111011010100 { +b11100011011010111110111011010100 A" +0g +1;" +1r +1q +07" +b1110 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0w +0," +b101110110100011101110100101111 0 +b101110110100011101110100101111 M +b101110110100011101110100101111 f +b101110110100011101110100101111 !" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5068500 +b0 5 +b0 L +b0 W +04 +b1100011101101001001100111100011 2 +b1100011101101001001100111100011 I +b1100011101101001001100111100011 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +0) +b1100011101101001001100111100011 , +b1100011101101001001100111100011 H +b11010 + +b11010 G +1- +1. +0% +#5069000 +0] +0\ +1=" +1@" +1g +0;" +b101 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0m +0/" +b1100011101101001001100111100011 &" +b1100011101101001001100111100011 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100011011010111110111011010100 / +b11100011011010111110111011010100 K +b11100011011010111110111011010100 e +b11100011011010111110111011010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5069010 +b1100011101101001001100111100011 ]" +#5069500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5070000 +1] +1\ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5070500 +1. +0% +#5071000 +0. +1% +#5071500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#5072000 +0i +b10111101100010101101011010001010 { +b10111101100010101101011010001010 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#5072500 +b11010 5 +b11010 L +b11010 W +b10001000000111001111111110111010 2 +b10001000000111001111111110111010 I +b10001000000111001111111110111010 Z +b11001 3 +b11001 J +b11001 Y +11 +b11010 ' +b11010 D +b10001000000111001111111110111010 , +b10001000000111001111111110111010 H +b11001 + +b11001 G +1- +1. +0% +#5073000 +19" +0] +0k +1o +0[ +1=" +1@" +1g +0:" +b110 3" +1c +0_ +1<" +1` +b101 0" +18" +1l +0j +b1100011101101001001100111100011 { +b1100011101101001001100111100011 A" +1a +12" +0m +b10001000000111001111111110111010 &" +b10001000000111001111111110111010 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +b10111101100010101101011010001010 / +b10111101100010101101011010001010 K +b10111101100010101101011010001010 e +b10111101100010101101011010001010 "" +0. +1% +#5073010 +b10001000000111001111111110111010 \" +#5073500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5074000 +1] +1i +1[ +0=" +0@" +1h +09" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b1100011101101001001100111100011 / +b1100011101101001001100111100011 K +b1100011101101001001100111100011 e +b1100011101101001001100111100011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5074500 +b1001000100001110110100101011001 2 +b1001000100001110110100101011001 I +b1001000100001110110100101011001 Z +b1010 3 +b1010 J +b1010 Y +11 +b1001000100001110110100101011001 , +b1001000100001110110100101011001 H +b1010 + +b1010 G +1- +1. +0% +#5075000 +0] +0\ +1=" +1@" +b10101 3" +1c +1_ +1b +12" +b1001000100001110110100101011001 &" +b1001000100001110110100101011001 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5075010 +b1001000100001110110100101011001 M" +#5075500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5076000 +1] +1\ +0=" +0@" +b11111 3" +0c +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5076500 +1. +0% +#5077000 +0. +1% +#5077500 +b10111000111001110000110111110011 2 +b10111000111001110000110111110011 I +b10111000111001110000110111110011 Z +b1110 3 +b1110 J +b1110 Y +11 +b10111000111001110000110111110011 , +b10111000111001110000110111110011 H +b1110 + +b1110 G +1- +1. +0% +#5078000 +0] +0\ +1=" +1>" +1@" +b10001 3" +0^ +0c +1d +1_ +1b +12" +b10111000111001110000110111110011 &" +b10111000111001110000110111110011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +0. +1% +#5078010 +b10111000111001110000110111110011 Q" +#5078500 +b11011 5 +b11011 L +b11011 W +14 +b10100 7 +b10100 N +b10100 V +16 +b1111000101100111001011011101001 2 +b1111000101100111001011011101001 I +b1111000101100111001011011101001 Z +b1100 3 +b1100 J +b1100 Y +b11011 ' +b11011 D +1) +b10100 & +b10100 C +1( +b1111000101100111001011011101001 , +b1111000101100111001011011101001 H +b1100 + +b1100 G +1. +0% +#5079000 +0i +b1001110010111101111001010010100 { +b1001110010111101111001010010100 A" +0s +b1101100010100110000011110101111 | +b1101100010100110000011110101111 B" +1\ +0h +0g +19" +1;" +16" +17" +b10011 3" +b100 0" +1o +0k +18" +1l +b1011 -" +1t +0u +14" +1v +0b +1n +1m +1/" +1," +b1111000101100111001011011101001 &" +b1111000101100111001011011101001 ?" +b1100 %" +b1100 *" +b1100 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#5079010 +b1111000101100111001011011101001 O" +#5079500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11000001001100101101100000100001 2 +b11000001001100101101100000100001 I +b11000001001100101101100000100001 Z +b100 3 +b100 J +b100 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11000001001100101101100000100001 , +b11000001001100101101100000100001 H +b100 + +b100 G +1. +0% +#5080000 +1^ +1i +1s +0=" +1>" +1h +1g +09" +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11011 3" +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +04" +0v +0n +0m +0/" +0," +b1001110010111101111001010010100 / +b1001110010111101111001010010100 K +b1001110010111101111001010010100 e +b1001110010111101111001010010100 "" +b1101100010100110000011110101111 0 +b1101100010100110000011110101111 M +b1101100010100110000011110101111 f +b1101100010100110000011110101111 !" +b11000001001100101101100000100001 &" +b11000001001100101101100000100001 ?" +b100 %" +b100 *" +b100 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5080010 +b11000001001100101101100000100001 G" +#5080500 +b1 5 +b1 L +b1 W +14 +b1 7 +b1 N +b1 V +16 +b10001011111011110010011010010010 2 +b10001011111011110010011010010010 I +b10001011111011110010011010010010 Z +b11000 3 +b11000 J +b11000 Y +b1 ' +b1 D +1) +b1 & +b1 C +1( +b10001011111011110010011010010010 , +b10001011111011110010011010010010 H +b11000 + +b11000 G +1. +0% +#5081000 +1=" +0_ +1c +b1010110110101001100011 { +b1010110110101001100011 A" +b1010110110101001100011 | +b1010110110101001100011 B" +0>" +0g +1;" +0q +17" +b111 3" +1<" +1` +0^ +b11110 0" +1k +b11110 -" +1u +1m +1/" +1w +1," +b10001011111011110010011010010010 &" +b10001011111011110010011010010010 ?" +b11000 %" +b11000 *" +b11000 1" +b1 ~ +b1 )" +b1 ." +1$" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5081010 +b10001011111011110010011010010010 [" +#5081500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5082000 +1] +0=" +0@" +1g +0;" +1q +07" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0m +0/" +0w +0," +b1010110110101001100011 / +b1010110110101001100011 K +b1010110110101001100011 e +b1010110110101001100011 "" +b1010110110101001100011 0 +b1010110110101001100011 M +b1010110110101001100011 f +b1010110110101001100011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5082500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#5083000 +b11101000011101101111011011100 { +b11101000011101101111011011100 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5083500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +1. +0% +#5084000 +b10111111001000101011101111000110 | +b10111111001000101011101111000110 B" +1h +1g +0;" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1111 -" +0u +14" +1v +0n +0m +0/" +1," +b11101000011101101111011011100 / +b11101000011101101111011011100 K +b11101000011101101111011011100 e +b11101000011101101111011011100 "" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#5084500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +1. +0% +#5085000 +b11100011011010111110111011010100 { +b11100011011010111110111011010100 A" +0g +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1110 0" +0k +18" +1l +b11111 -" +04" +0v +1m +1/" +0," +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111111001000101011101111000110 0 +b10111111001000101011101111000110 M +b10111111001000101011101111000110 f +b10111111001000101011101111000110 !" +0. +1% +#5085500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5086000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11100011011010111110111011010100 / +b11100011011010111110111011010100 K +b11100011011010111110111011010100 e +b11100011011010111110111011010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5086500 +b11100 5 +b11100 L +b11100 W +14 +b1001 7 +b1001 N +b1001 V +16 +b11011111111000101100101001110010 2 +b11011111111000101100101001110010 I +b11011111111000101100101001110010 Z +b10100 3 +b10100 J +b10100 Y +11 +b11100 ' +b11100 D +1) +b1001 & +b1001 C +1( +b11011111111000101100101001110010 , +b11011111111000101100101001110010 H +b10100 + +b10100 G +1- +1. +0% +#5087000 +0] +0i +b11101011010010111001100101100110 { +b11101011010010111001100101100110 A" +0s +b10010010100110011000000101111010 | +b10010010100110011000000101111010 B" +1>" +1@" +19" +1:" +1;" +0q +15" +17" +b1011 3" +1^ +0_ +1<" +1` +b11 0" +0j +0o +1p +0k +18" +1l +b10110 -" +1y +1u +12" +1/" +1w +1," +b11011111111000101100101001110010 &" +b11011111111000101100101001110010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5087010 +b11011111111000101100101001110010 W" +#5087500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5088000 +1] +1i +0u +1s +0>" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +05" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +08" +0l +b1100 -" +14" +1v +0y +b11101000011101101111011011100 | +b11101000011101101111011011100 B" +02" +0/" +1x +b11101011010010111001100101100110 / +b11101011010010111001100101100110 K +b11101011010010111001100101100110 e +b11101011010010111001100101100110 "" +b10010010100110011000000101111010 0 +b10010010100110011000000101111010 M +b10010010100110011000000101111010 f +b10010010100110011000000101111010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +0. +1% +#5088500 +b10101 7 +b10101 N +b10101 V +b11111111100110011100001001001010 2 +b11111111100110011100001001001010 I +b11111111100110011100001001001010 Z +b10111 3 +b10111 J +b10111 Y +11 +b10101 & +b10101 C +b11111111100110011100001001001010 , +b11111111100110011100001001001010 H +b10111 + +b10111 G +1- +1. +0% +#5089000 +0] +0s +0\ +0[ +1>" +1@" +1r +16" +b1000 3" +1^ +0_ +1<" +1` +b1010 -" +1t +b10101101111111110010001010110110 | +b10101101111111110010001010110110 B" +1b +1a +12" +0x +b11111111100110011100001001001010 &" +b11111111100110011100001001001010 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10101 } +b10101 (" +b10101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101000011101101111011011100 0 +b11101000011101101111011011100 M +b11101000011101101111011011100 f +b11101000011101101111011011100 !" +0. +1% +#5089010 +b11111111100110011100001001001010 Z" +#5089500 +b1111 7 +b1111 N +b1111 V +b110100101011111010010111101100 2 +b110100101011111010010111101100 I +b110100101011111010010111101100 Z +b10000 3 +b10000 J +b10000 Y +b1111 & +b1111 C +b110100101011111010010111101100 , +b110100101011111010010111101100 H +b10000 + +b10000 G +1. +0% +#5090000 +1] +1u +0t +1\ +1[ +0>" +0r +17" +15" +b1111 3" +0^ +b10000 -" +04" +0v +1z +b100111010000000101101001101100 | +b100111010000000101101001101100 B" +0b +0a +1x +b10101101111111110010001010110110 0 +b10101101111111110010001010110110 M +b10101101111111110010001010110110 f +b10101101111111110010001010110110 !" +b110100101011111010010111101100 &" +b110100101011111010010111101100 ?" +b10000 %" +b10000 *" +b10000 1" +b1111 } +b1111 (" +b1111 +" +0. +1% +#5090010 +b110100101011111010010111101100 S" +#5090500 +b0 7 +b0 N +b0 V +06 +b10000100000101101110010000001011 2 +b10000100000101101110010000001011 I +b10000100000101101110010000001011 Z +b1110 3 +b1110 J +b1110 Y +b0 & +b0 C +0( +b10000100000101101110010000001011 , +b10000100000101101110010000001011 H +b1110 + +b1110 G +1. +0% +#5091000 +1_ +0] +1s +0\ +1@" +1=" +1>" +1r +1q +05" +06" +07" +b10001 3" +0<" +0` +0^ +0c +1d +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0x +0w +0," +b10000100000101101110010000001011 &" +b10000100000101101110010000001011 ?" +b1110 %" +b1110 *" +b1110 1" +b0 } +b0 (" +b0 +" +0#" +b100111010000000101101001101100 0 +b100111010000000101101001101100 M +b100111010000000101101001101100 f +b100111010000000101101001101100 !" +0. +1% +#5091010 +b10000100000101101110010000001011 Q" +#5091500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5092000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5092500 +b1110 5 +b1110 L +b1110 W +14 +b11001 7 +b11001 N +b11001 V +16 +b1110 ' +b1110 D +1) +b11001 & +b11001 C +1( +1. +0% +#5093000 +0i +b10000100000101101110010000001011 { +b10000100000101101110010000001011 A" +0s +b10001000000111001111111110111010 | +b10001000000111001111111110111010 B" +0h +19" +1:" +1;" +0q +15" +17" +b10001 0" +0j +0o +1p +1k +b110 -" +1y +0u +14" +1v +1n +1/" +1w +1," +b1110 ~ +b1110 )" +b1110 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#5093500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11001001010110111001010111101 2 +b11001001010110111001010111101 I +b11001001010110111001010111101 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11001001010110111001010111101 , +b11001001010110111001010111101 H +b10 + +b10 G +1- +1. +0% +#5094000 +1i +1s +0\ +1@" +1h +09" +0:" +0;" +1q +05" +07" +b11101 3" +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0n +0/" +0w +0," +b10000100000101101110010000001011 / +b10000100000101101110010000001011 K +b10000100000101101110010000001011 e +b10000100000101101110010000001011 "" +b10001000000111001111111110111010 0 +b10001000000111001111111110111010 M +b10001000000111001111111110111010 f +b10001000000111001111111110111010 !" +b11001001010110111001010111101 &" +b11001001010110111001010111101 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5094010 +b11001001010110111001010111101 E" +#5094500 +b11111011000110000001110001101101 2 +b11111011000110000001110001101101 I +b11111011000110000001110001101101 Z +b101 3 +b101 J +b101 Y +b11111011000110000001110001101101 , +b11111011000110000001110001101101 H +b101 + +b101 G +1. +0% +#5095000 +0] +1\ +0[ +1>" +b11010 3" +1^ +0b +1a +b11111011000110000001110001101101 &" +b11111011000110000001110001101101 ?" +b101 %" +b101 *" +b101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5095010 +b11111011000110000001110001101101 H" +#5095500 +b10010 7 +b10010 N +b10010 V +16 +b10010101001101101101110110010 2 +b10010101001101101101110110010 I +b10010101001101101101110110010 Z +b10011 3 +b10011 J +b10011 Y +b10010 & +b10010 C +1( +b10010101001101101101110110010 , +b10010101001101101101110110010 H +b10011 + +b10011 G +1. +0% +#5096000 +0_ +1] +b10100100101110011000111001011101 | +b10100100101110011000111001011101 B" +0\ +0>" +0r +17" +b1100 3" +1<" +1` +0^ +b1101 -" +0u +14" +1v +1b +1x +1," +b10010101001101101101110110010 &" +b10010101001101101101110110010 ?" +b10011 %" +b10011 *" +b10011 1" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#5096010 +b10010101001101101101110110010 V" +#5096500 +b1010 5 +b1010 L +b1010 W +14 +b0 7 +b0 N +b0 V +06 +b110011000101000011010001011110 2 +b110011000101000011010001011110 I +b110011000101000011010001011110 Z +b0 3 +b0 J +b0 Y +b1010 ' +b1010 D +1) +b0 & +b0 C +0( +b110011000101000011010001011110 , +b110011000101000011010001011110 H +b0 + +b0 G +1. +0% +#5097000 +1_ +0i +b1001000100001110110100101011001 { +b1001000100001110110100101011001 A" +1\ +1[ +1@" +0h +19" +1;" +1r +07" +b11111 3" +0<" +0` +b10101 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +1n +1/" +0x +0," +b110011000101000011010001011110 &" +b110011000101000011010001011110 ?" +b0 %" +b0 *" +b0 1" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10100100101110011000111001011101 0 +b10100100101110011000111001011101 M +b10100100101110011000111001011101 f +b10100100101110011000111001011101 !" +0. +1% +#5097010 +b110011000101000011010001011110 C" +#5097500 +b1100 5 +b1100 L +b1100 W +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +01 +b1100 ' +b1100 D +b1110 & +b1110 C +1( +b0 , +b0 H +0- +1. +0% +#5098000 +0o +0s +b10000100000101101110010000001011 | +b10000100000101101110010000001011 B" +0@" +1h +1:" +0r +15" +16" +17" +0_ +b10011 0" +1p +b1111000101100111001011011101001 { +b1111000101100111001011011101001 A" +b10001 -" +0t +0y +1z +1u +02" +0n +1x +1," +b1001000100001110110100101011001 / +b1001000100001110110100101011001 K +b1001000100001110110100101011001 e +b1001000100001110110100101011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +0'" +b1100 ~ +b1100 )" +b1100 ." +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#5098500 +b11100 5 +b11100 L +b11100 W +b1000 7 +b1000 N +b1000 V +b10110000111001001000100101000111 2 +b10110000111001001000100101000111 I +b10110000111001001000100101000111 Z +b10101 3 +b10101 J +b10101 Y +11 +b11100 ' +b11100 D +b1000 & +b1000 C +b10110000111001001000100101000111 , +b10110000111001001000100101000111 H +b10101 + +b10101 G +1- +1. +0% +#5099000 +0] +0k +1y +0[ +1>" +1@" +b11101011010010111001100101100110 { +b11101011010010111001100101100110 A" +1r +15" +06" +b1010 3" +1^ +0_ +1<" +1` +b11 0" +18" +1l +b10111 -" +0z +b11001011010001100100100111010011 | +b11001011010001100100100111010011 B" +1a +12" +0x +b10110000111001001000100101000111 &" +b10110000111001001000100101000111 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b1000 } +b1000 (" +b1000 +" +b1111000101100111001011011101001 / +b1111000101100111001011011101001 K +b1111000101100111001011011101001 e +b1111000101100111001011011101001 "" +b10000100000101101110010000001011 0 +b10000100000101101110010000001011 M +b10000100000101101110010000001011 f +b10000100000101101110010000001011 !" +0. +1% +#5099010 +b10110000111001001000100101000111 X" +#5099500 +b0 5 +b0 L +b0 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5100000 +1] +1k +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0>" +0@" +1;" +b110011000101000011010001011110 { +b110011000101000011010001011110 A" +09" +0:" +05" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +08" +0l +0p +b11111 -" +0y +0u +0a +02" +0," +b11101011010010111001100101100110 / +b11101011010010111001100101100110 K +b11101011010010111001100101100110 e +b11101011010010111001100101100110 "" +b11001011010001100100100111010011 0 +b11001011010001100100100111010011 M +b11001011010001100100100111010011 f +b11001011010001100100100111010011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5100500 +04 +b10011 7 +b10011 N +b10011 V +16 +0) +b10011 & +b10011 C +1( +1. +0% +#5101000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10010101001101101101110110010 | +b10010101001101101101110110010 B" +0;" +0r +0q +17" +0k +b1100 -" +0u +14" +1v +0/" +1x +1w +1," +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b110011000101000011010001011110 / +b110011000101000011010001011110 K +b110011000101000011010001011110 e +b110011000101000011010001011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5101500 +b1011 5 +b1011 L +b1011 W +14 +b1011 7 +b1011 N +b1011 V +b101000000000101001011100011 2 +b101000000000101001011100011 I +b101000000000101001011100011 Z +b11110 3 +b11110 J +b11110 Y +11 +b1011 ' +b1011 D +1) +b1011 & +b1011 C +b101000000000101001011100011 , +b101000000000101001011100011 H +b11110 + +b11110 G +1- +1. +0% +#5102000 +0] +0i +b1011110001010111010010100000111 { +b1011110001010111010010100000111 A" +1u +0s +0\ +1=" +1>" +1@" +0h +0g +19" +1;" +17" +b1011110001010111010010100000111 | +b1011110001010111010010100000111 B" +15" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b10100 0" +1o +1k +b10100 -" +04" +0v +1y +1b +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010101001101101101110110010 0 +b10010101001101101101110110010 M +b10010101001101101101110110010 f +b10010101001101101101110110010 !" +b101000000000101001011100011 &" +b101000000000101001011100011 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#5102010 +b101000000000101001011100011 a" +#5102500 +b0 7 +b0 N +b0 V +06 +b11101100001100110111010101010101 2 +b11101100001100110111010101010101 I +b11101100001100110111010101010101 Z +b11011 3 +b11011 J +b11011 Y +b0 & +b0 C +0( +b11101100001100110111010101010101 , +b11101100001100110111010101010101 H +b11011 + +b11011 G +1. +0% +#5103000 +1c +1s +0[ +1=" +0>" +1r +1q +05" +07" +b100 3" +0d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0x +0w +0," +b11101100001100110111010101010101 &" +b11101100001100110111010101010101 ?" +b11011 %" +b11011 *" +b11011 1" +b0 } +b0 (" +b0 +" +0#" +b1011110001010111010010100000111 / +b1011110001010111010010100000111 K +b1011110001010111010010100000111 e +b1011110001010111010010100000111 "" +b1011110001010111010010100000111 0 +b1011110001010111010010100000111 M +b1011110001010111010010100000111 f +b1011110001010111010010100000111 !" +0. +1% +#5103010 +b11101100001100110111010101010101 ^" +#5103500 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5104000 +1] +0s +b11000001001100101101100000100001 | +b11000001001100101101100000100001 B" +1\ +1[ +0=" +0@" +16" +17" +b11111 3" +0c +0<" +0` +b11011 -" +1t +1u +0b +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#5104500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b111101101000101001100011011010 2 +b111101101000101001100011011010 I +b111101101000101001100011011010 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b11100 & +b11100 C +b111101101000101001100011011010 , +b111101101000101001100011011010 H +b10011 + +b10011 G +1- +1. +0% +#5105000 +1i +0u +0t +0\ +0[ +1@" +1h +1g +09" +0;" +b11101011010010111001100101100110 | +b11101011010010111001100101100110 B" +15" +b1100 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +14" +1v +1z +1b +1a +12" +0n +0m +0/" +b111101101000101001100011011010 &" +b111101101000101001100011011010 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b11000001001100101101100000100001 0 +b11000001001100101101100000100001 M +b11000001001100101101100000100001 f +b11000001001100101101100000100001 !" +0. +1% +#5105010 +b111101101000101001100011011010 V" +#5105500 +b101 5 +b101 L +b101 W +14 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5106000 +0i +b11111011000110000001110001101101 { +b11111011000110000001110001101101 A" +1u +1\ +1[ +0@" +0g +1:" +1;" +17" +b1111000101100111001011011101001 | +b1111000101100111001011011101001 B" +b11111 3" +0<" +0` +b11010 0" +1j +1k +b10011 -" +04" +0v +0b +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011010010111001100101100110 0 +b11101011010010111001100101100110 M +b11101011010010111001100101100110 f +b11101011010010111001100101100110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#5106500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +b0 & +b0 C +0( +1. +0% +#5107000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +0:" +05" +06" +07" +b11100 0" +0j +b101110110100011101110100101111 { +b101110110100011101110100101111 A" +b11111 -" +0z +0u +1n +0," +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +b11111011000110000001110001101101 / +b11111011000110000001110001101101 K +b11111011000110000001110001101101 e +b11111011000110000001110001101101 "" +b1111000101100111001011011101001 0 +b1111000101100111001011011101001 M +b1111000101100111001011011101001 f +b1111000101100111001011011101001 !" +0. +1% +#5107500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +1. +0% +#5108000 +0s +b10011000001101101000011011100001 | +b10011000001101101000011011100001 B" +1h +1g +0;" +0q +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +0t +0y +1z +1u +0n +0m +0/" +1w +1," +b101110110100011101110100101111 / +b101110110100011101110100101111 K +b101110110100011101110100101111 e +b101110110100011101110100101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#5108500 +b1111 7 +b1111 N +b1111 V +b1111 & +b1111 C +1. +0% +#5109000 +0r +b10000 -" +b100111010000000101101001101100 | +b100111010000000101101001101100 B" +1x +b1111 } +b1111 (" +b1111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011000001101101000011011100001 0 +b10011000001101101000011011100001 M +b10011000001101101000011011100001 f +b10011000001101101000011011100001 !" +0. +1% +#5109500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5110000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b100111010000000101101001101100 0 +b100111010000000101101001101100 M +b100111010000000101101001101100 f +b100111010000000101101001101100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5110500 +b11 5 +b11 L +b11 W +14 +b1111101010000111001011100000011 2 +b1111101010000111001011100000011 I +b1111101010000111001011100000011 Z +b10110 3 +b10110 J +b10110 Y +11 +b11 ' +b11 D +1) +b1111101010000111001011100000011 , +b1111101010000111001011100000011 H +b10110 + +b10110 G +1- +1. +0% +#5111000 +0] +b101110110100011101110100101111 { +b101110110100011101110100101111 A" +0\ +1>" +1@" +0h +0g +1;" +b1001 3" +1^ +0_ +1<" +1` +b11100 0" +1k +1b +12" +1n +1m +1/" +b1111101010000111001011100000011 &" +b1111101010000111001011100000011 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5111010 +b1111101010000111001011100000011 Y" +#5111500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b1101110100111001001011010110111 2 +b1101110100111001001011010110111 I +b1101110100111001001011010110111 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b1101110100111001001011010110111 , +b1101110100111001001011010110111 H +b11 + +b11 G +1. +0% +#5112000 +1_ +1] +0s +b1111101010000111001011100000011 | +b1111101010000111001011100000011 B" +0[ +1@" +0>" +1h +1g +0;" +0r +16" +17" +b11100 3" +0<" +0` +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +1a +0n +0m +0/" +1x +1," +b101110110100011101110100101111 / +b101110110100011101110100101111 K +b101110110100011101110100101111 e +b101110110100011101110100101111 "" +b1101110100111001001011010110111 &" +b1101110100111001001011010110111 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#5112010 +b1101110100111001001011010110111 F" +#5112500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5113000 +0i +b10001000000111001111111110111010 { +b10001000000111001111111110111010 A" +1s +1\ +1[ +0@" +0g +19" +1;" +1r +06" +07" +b11111 3" +0_ +b110 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1m +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111101010000111001011100000011 0 +b1111101010000111001011100000011 M +b1111101010000111001011100000011 f +b1111101010000111001011100000011 !" +0. +1% +#5113500 +b1 5 +b1 L +b1 W +b1001101101000111001000000011111 2 +b1001101101000111001000000011111 I +b1001101101000111001000000011111 Z +b110 3 +b110 J +b110 Y +11 +b1 ' +b1 D +b1001101101000111001000000011111 , +b1001101101000111001000000011111 H +b110 + +b110 G +1- +1. +0% +#5114000 +0] +1k +1i +0\ +1>" +1@" +1;" +b1010110110101001100011 { +b1010110110101001100011 A" +09" +b11001 3" +1^ +1_ +b11110 0" +08" +0l +0o +1b +12" +b10001000000111001111111110111010 / +b10001000000111001111111110111010 K +b10001000000111001111111110111010 e +b10001000000111001111111110111010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001101101000111001000000011111 &" +b1001101101000111001000000011111 ?" +b110 %" +b110 *" +b110 1" +1'" +b1 ~ +b1 )" +b1 ." +0. +1% +#5114010 +b1001101101000111001000000011111 I" +#5114500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5115000 +1] +1\ +0>" +0@" +1g +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010110110101001100011 / +b1010110110101001100011 K +b1010110110101001100011 e +b1010110110101001100011 "" +0. +1% +#5115500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#5116000 +0i +b100111010000000101101001101100 { +b100111010000000101101001101100 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#5116500 +b0 5 +b0 L +b0 W +04 +b1001001100011110100101111011011 2 +b1001001100011110100101111011011 I +b1001001100011110100101111011011 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b1001001100011110100101111011011 , +b1001001100011110100101111011011 H +b1110 + +b1110 G +1- +1. +0% +#5117000 +0] +1i +0\ +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0m +0/" +b1001001100011110100101111011011 &" +b1001001100011110100101111011011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100111010000000101101001101100 / +b100111010000000101101001101100 K +b100111010000000101101001101100 e +b100111010000000101101001101100 "" +0. +1% +#5117010 +b1001001100011110100101111011011 Q" +#5117500 +b11110001100101100010010010000111 2 +b11110001100101100010010010000111 I +b11110001100101100010010010000111 Z +b10011 3 +b10011 J +b10011 Y +b11110001100101100010010010000111 , +b11110001100101100010010010000111 H +b10011 + +b10011 G +1. +0% +#5118000 +0_ +1] +0[ +0=" +0>" +b1100 3" +1<" +1` +0d +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110001100101100010010010000111 &" +b11110001100101100010010010000111 ?" +b10011 %" +b10011 *" +b10011 1" +0. +1% +#5118010 +b11110001100101100010010010000111 V" +#5118500 +b10001 5 +b10001 L +b10001 W +14 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5119000 +b11100011011010111110111011010100 { +b11100011011010111110111011010100 A" +b110100101011111010010111101100 | +b110100101011111010010111101100 B" +1\ +1[ +0@" +0g +1;" +17" +b11111 3" +0<" +0` +b1110 0" +0k +18" +1l +b1111 -" +0u +14" +1v +0b +0a +02" +1m +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#5119500 +b1 5 +b1 L +b1 W +b1110 7 +b1110 N +b1110 V +b1 ' +b1 D +b1110 & +b1110 C +1. +0% +#5120000 +1k +1u +0s +1;" +b1010110110101001100011 { +b1010110110101001100011 A" +0r +17" +15" +16" +b11110 0" +08" +0l +b10001 -" +04" +0v +0t +0y +1z +b1001001100011110100101111011011 | +b1001001100011110100101111011011 B" +1x +b11100011011010111110111011010100 / +b11100011011010111110111011010100 K +b11100011011010111110111011010100 e +b11100011011010111110111011010100 "" +b110100101011111010010111101100 0 +b110100101011111010010111101100 M +b110100101011111010010111101100 f +b110100101011111010010111101100 !" +b1 ~ +b1 )" +b1 ." +b1110 } +b1110 (" +b1110 +" +0. +1% +#5120500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b11001000011110100010100111011001 2 +b11001000011110100010100111011001 I +b11001000011110100010100111011001 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b110 & +b110 C +b11001000011110100010100111011001 , +b11001000011110100010100111011001 H +b10010 + +b10010 G +1- +1. +0% +#5121000 +1t +b1001101101000111001000000011111 | +b1001101101000111001000000011111 B" +0\ +1@" +1g +0;" +05" +16" +b1101 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11001 -" +0z +1b +12" +0m +0/" +b11001000011110100010100111011001 &" +b11001000011110100010100111011001 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +b1010110110101001100011 / +b1010110110101001100011 K +b1010110110101001100011 e +b1010110110101001100011 "" +b1001001100011110100101111011011 0 +b1001001100011110100101111011011 M +b1001001100011110100101111011011 f +b1001001100011110100101111011011 !" +0. +1% +#5121010 +b11001000011110100010100111011001 U" +#5121500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5122000 +1s +1\ +0@" +1r +06" +07" +b11111 3" +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001101101000111001000000011111 0 +b1001101101000111001000000011111 M +b1001101101000111001000000011111 f +b1001101101000111001000000011111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5122500 +b1011110000010011011100011011010 2 +b1011110000010011011100011011010 I +b1011110000010011011100011011010 Z +b11110 3 +b11110 J +b11110 Y +11 +b1011110000010011011100011011010 , +b1011110000010011011100011011010 H +b11110 + +b11110 G +1- +1. +0% +#5123000 +0] +0\ +1=" +1>" +1@" +b1 3" +0^ +0c +1d +0_ +1<" +1` +1b +12" +b1011110000010011011100011011010 &" +b1011110000010011011100011011010 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5123010 +b1011110000010011011100011011010 a" +#5123500 +b1111 7 +b1111 N +b1111 V +16 +b100101011011101001000100011 2 +b100101011011101001000100011 I +b100101011011101001000100011 Z +b0 3 +b0 J +b0 Y +b1111 & +b1111 C +1( +b100101011011101001000100011 , +b100101011011101001000100011 H +b0 + +b0 G +1. +0% +#5124000 +1_ +1] +0s +b100111010000000101101001101100 | +b100111010000000101101001101100 B" +1\ +1@" +0=" +0>" +0r +0q +15" +16" +17" +b11111 3" +0<" +0` +0d +b10000 -" +0t +0y +1z +1u +0b +1x +1w +1," +b100101011011101001000100011 &" +b100101011011101001000100011 ?" +b0 %" +b0 *" +b0 1" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#5124010 +b100101011011101001000100011 C" +#5124500 +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +01 +b1000 & +b1000 C +b0 , +b0 H +0- +1. +0% +#5125000 +1y +0@" +1r +1q +15" +06" +0_ +b10111 -" +0z +b11001011010001100100100111010011 | +b11001011010001100100100111010011 B" +02" +0x +0w +b0 &" +b0 ?" +0'" +b1000 } +b1000 (" +b1000 +" +b100111010000000101101001101100 0 +b100111010000000101101001101100 M +b100111010000000101101001101100 f +b100111010000000101101001101100 !" +0. +1% +#5125500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5126000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +07" +b11111 -" +0y +0u +0," +b11001011010001100100100111010011 0 +b11001011010001100100100111010011 M +b11001011010001100100100111010011 f +b11001011010001100100100111010011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5126500 +1. +0% +#5127000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5127500 +b11111 5 +b11111 L +b11111 W +14 +b11 7 +b11 N +b11 V +16 +b11111 ' +b11111 D +1) +b11 & +b11 C +1( +1. +0% +#5128000 +0i +b1101010000011100101011010001010 { +b1101010000011100101011010001010 A" +b1101110100111001001011010110111 | +b1101110100111001001011010110111 B" +0h +0g +19" +1:" +1;" +0r +0q +17" +b0 0" +0j +0o +1p +0k +18" +1l +b11100 -" +1u +1n +1m +1/" +1x +1w +1," +b11111 ~ +b11111 )" +b11111 ." +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#5128500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b11100011100001110010100101000101 2 +b11100011100001110010100101000101 I +b11100011100001110010100101000101 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b111 & +b111 C +b11100011100001110010100101000101 , +b11100011100001110010100101000101 H +b10000 + +b10000 G +1- +1. +0% +#5129000 +1i +0s +b10111101100010101101011010001010 | +b10111101100010101101011010001010 B" +1@" +1h +1g +09" +0:" +0;" +16" +b1111 3" +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +1t +12" +0n +0m +0/" +b11100011100001110010100101000101 &" +b11100011100001110010100101000101 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +b1101010000011100101011010001010 / +b1101010000011100101011010001010 K +b1101010000011100101011010001010 e +b1101010000011100101011010001010 "" +b1101110100111001001011010110111 0 +b1101110100111001001011010110111 M +b1101110100111001001011010110111 f +b1101110100111001001011010110111 !" +0. +1% +#5129010 +b11100011100001110010100101000101 S" +#5129500 +b0 7 +b0 N +b0 V +06 +b111001001110000100111011110000 2 +b111001001110000100111011110000 I +b111001001110000100111011110000 Z +b11101 3 +b11101 J +b11101 Y +b0 & +b0 C +0( +b111001001110000100111011110000 , +b111001001110000100111011110000 H +b11101 + +b11101 G +1. +0% +#5130000 +0] +1s +0[ +1=" +1>" +1r +1q +06" +07" +b10 3" +0^ +0c +1d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111101100010101101011010001010 0 +b10111101100010101101011010001010 M +b10111101100010101101011010001010 f +b10111101100010101101011010001010 !" +b111001001110000100111011110000 &" +b111001001110000100111011110000 ?" +b11101 %" +b11101 *" +b11101 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5130010 +b111001001110000100111011110000 `" +#5130500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5131000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5131500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#5132000 +0i +b1001001100011110100101111011011 { +b1001001100011110100101111011011 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#5132500 +1. +0% +#5133000 +b1001001100011110100101111011011 / +b1001001100011110100101111011011 K +b1001001100011110100101111011011 e +b1001001100011110100101111011011 "" +0. +1% +#5133500 +b0 5 +b0 L +b0 W +04 +b1100110100100101110011111001100 2 +b1100110100100101110011111001100 I +b1100110100100101110011111001100 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b1100110100100101110011111001100 , +b1100110100100101110011111001100 H +b111 + +b111 G +1- +1. +0% +#5134000 +0] +1i +0\ +0[ +1>" +1@" +1h +09" +0:" +0;" +b11000 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0/" +b1100110100100101110011111001100 &" +b1100110100100101110011111001100 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5134010 +b1100110100100101110011111001100 J" +#5134500 +b11011 7 +b11011 N +b11011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5135000 +1] +0s +b11101100001100110111010101010101 | +b11101100001100110111010101010101 B" +1\ +1[ +0>" +0@" +0r +0q +15" +17" +b11111 3" +0^ +0_ +b100 -" +1y +0u +14" +1v +0b +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5135500 +b1000 5 +b1000 L +b1000 W +14 +b110 7 +b110 N +b110 V +b1000 ' +b1000 D +1) +b110 & +b110 C +1. +0% +#5136000 +16" +0i +b11001011010001100100100111010011 { +b11001011010001100100100111010011 A" +1u +1t +19" +1;" +1q +17" +05" +b10111 0" +1o +1k +b11001 -" +04" +0v +0y +b1001101101000111001000000011111 | +b1001101101000111001000000011111 B" +1/" +0w +b11101100001100110111010101010101 0 +b11101100001100110111010101010101 M +b11101100001100110111010101010101 f +b11101100001100110111010101010101 !" +b1000 ~ +b1000 )" +b1000 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#5136500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5137000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0;" +1r +06" +07" +b11111 0" +0o +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001011010001100100100111010011 / +b11001011010001100100100111010011 K +b11001011010001100100100111010011 e +b11001011010001100100100111010011 "" +b1001101101000111001000000011111 0 +b1001101101000111001000000011111 M +b1001101101000111001000000011111 f +b1001101101000111001000000011111 !" +0. +1% +#5137500 +1. +0% +#5138000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5138500 +b11001 7 +b11001 N +b11001 V +16 +b11000100110111000111111100011100 2 +b11000100110111000111111100011100 I +b11000100110111000111111100011100 Z +b1110 3 +b1110 J +b1110 Y +11 +b11001 & +b11001 C +1( +b11000100110111000111111100011100 , +b11000100110111000111111100011100 H +b1110 + +b1110 G +1- +1. +0% +#5139000 +0] +0s +b10001000000111001111111110111010 | +b10001000000111001111111110111010 B" +0\ +1=" +1>" +1@" +0q +15" +17" +b10001 3" +0^ +0c +1d +1_ +b110 -" +1y +0u +14" +1v +1b +12" +1w +1," +b11000100110111000111111100011100 &" +b11000100110111000111111100011100 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#5139010 +b11000100110111000111111100011100 Q" +#5139500 +b0 7 +b0 N +b0 V +06 +b10001000100000001111100011101000 2 +b10001000100000001111100011101000 I +b10001000100000001111100011101000 Z +b11010 3 +b11010 J +b11010 Y +b0 & +b0 C +0( +b10001000100000001111100011101000 , +b10001000100000001111100011101000 H +b11010 + +b11010 G +1. +0% +#5140000 +0_ +1c +1s +1=" +0>" +1q +05" +07" +b101 3" +1<" +1` +0d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b10001000000111001111111110111010 0 +b10001000000111001111111110111010 M +b10001000000111001111111110111010 f +b10001000000111001111111110111010 !" +b10001000100000001111100011101000 &" +b10001000100000001111100011101000 ?" +b11010 %" +b11010 *" +b11010 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5140010 +b10001000100000001111100011101000 ]" +#5140500 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5141000 +1] +0s +b10001000000111001111111110111010 | +b10001000000111001111111110111010 B" +1\ +0=" +0@" +0q +15" +17" +b11111 3" +0c +0<" +0` +b110 -" +1y +0u +14" +1v +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5141500 +b0 7 +b0 N +b0 V +06 +b1110001101100001010100111101011 2 +b1110001101100001010100111101011 I +b1110001101100001010100111101011 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b1110001101100001010100111101011 , +b1110001101100001010100111101011 H +b111 + +b111 G +1- +1. +0% +#5142000 +0] +1s +0\ +0[ +1>" +1@" +1q +05" +07" +b11000 3" +1^ +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b10001000000111001111111110111010 0 +b10001000000111001111111110111010 M +b10001000000111001111111110111010 f +b10001000000111001111111110111010 !" +b1110001101100001010100111101011 &" +b1110001101100001010100111101011 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5142010 +b1110001101100001010100111101011 J" +#5142500 +b10110111100111000110011101111000 2 +b10110111100111000110011101111000 I +b10110111100111000110011101111000 Z +b10010 3 +b10010 J +b10010 Y +b10110111100111000110011101111000 , +b10110111100111000110011101111000 H +b10010 + +b10010 G +1. +0% +#5143000 +0_ +1] +1[ +0>" +b1101 3" +1<" +1` +0^ +0a +b10110111100111000110011101111000 &" +b10110111100111000110011101111000 ?" +b10010 %" +b10010 *" +b10010 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5143010 +b10110111100111000110011101111000 U" +#5143500 +b10 5 +b10 L +b10 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5144000 +b11001001010110111001010111101 { +b11001001010110111001010111101 A" +0s +b10001000000111001111111110111010 | +b10001000000111001111111110111010 B" +1\ +0@" +0h +1;" +0q +15" +17" +b11111 3" +0<" +0` +b11101 0" +1k +b110 -" +1y +0u +14" +1v +0b +02" +1n +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#5144500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5145000 +1s +1h +0;" +1q +05" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001001010110111001010111101 / +b11001001010110111001010111101 K +b11001001010110111001010111101 e +b11001001010110111001010111101 "" +b10001000000111001111111110111010 0 +b10001000000111001111111110111010 M +b10001000000111001111111110111010 f +b10001000000111001111111110111010 !" +0. +1% +#5145500 +b10000 7 +b10000 N +b10000 V +16 +b1111001001010010000110011111010 2 +b1111001001010010000110011111010 I +b1111001001010010000110011111010 Z +b10101 3 +b10101 J +b10101 Y +11 +b10000 & +b10000 C +1( +b1111001001010010000110011111010 , +b1111001001010010000110011111010 H +b10101 + +b10101 G +1- +1. +0% +#5146000 +0] +b11100011100001110010100101000101 | +b11100011100001110010100101000101 B" +0[ +1>" +1@" +17" +b1010 3" +1^ +0_ +1<" +1` +b1111 -" +0u +14" +1v +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111001001010010000110011111010 &" +b1111001001010010000110011111010 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#5146010 +b1111001001010010000110011111010 X" +#5146500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b1111010111110000110010110000111 2 +b1111010111110000110010110000111 I +b1111010111110000110010110000111 Z +b100 ' +b100 D +1) +b0 & +b0 C +0( +b1111010111110000110010110000111 , +b1111010111110000110010110000111 H +1. +0% +#5147000 +0i +b11000001001100101101100000100001 { +b11000001001100101101100000100001 A" +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11011 0" +1j +1k +b11111 -" +04" +0v +1/" +0," +b1111010111110000110010110000111 &" +b1111010111110000110010110000111 ?" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11100011100001110010100101000101 0 +b11100011100001110010100101000101 M +b11100011100001110010100101000101 f +b11100011100001110010100101000101 !" +0. +1% +#5147010 +b1111010111110000110010110000111 X" +#5147500 +b0 5 +b0 L +b0 W +04 +b10101011011001001000110011010110 2 +b10101011011001001000110011010110 I +b10101011011001001000110011010110 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +b10101011011001001000110011010110 , +b10101011011001001000110011010110 H +b101 + +b101 G +1. +0% +#5148000 +1_ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1@" +0:" +0;" +b11010 3" +0<" +0` +b11111 0" +0j +0k +0/" +b11000001001100101101100000100001 / +b11000001001100101101100000100001 K +b11000001001100101101100000100001 e +b11000001001100101101100000100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101011011001001000110011010110 &" +b10101011011001001000110011010110 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5148010 +b10101011011001001000110011010110 H" +#5148500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5149000 +1] +0i +b10001000100000001111100011101000 { +b10001000100000001111100011101000 A" +1[ +0>" +0@" +0h +19" +1;" +b11111 3" +0^ +0_ +b101 0" +1o +0k +18" +1l +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5149500 +b11110 5 +b11110 L +b11110 W +b11110 ' +b11110 D +1. +0% +#5150000 +0o +b1011110000010011011100011011010 { +b1011110000010011011100011011010 A" +1:" +b1 0" +1p +b10001000100000001111100011101000 / +b10001000100000001111100011101000 K +b10001000100000001111100011101000 e +b10001000100000001111100011101000 "" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#5150500 +b110 5 +b110 L +b110 W +b11000011111011011100010101111001 2 +b11000011111011011100010101111001 I +b11000011111011011100010101111001 Z +b101 3 +b101 J +b101 Y +11 +b110 ' +b110 D +b11000011111011011100010101111001 , +b11000011111011011100010101111001 H +b101 + +b101 G +1- +1. +0% +#5151000 +0] +1k +1j +0[ +1>" +1@" +1;" +b1001101101000111001000000011111 { +b1001101101000111001000000011111 A" +09" +1:" +b11010 3" +1^ +1_ +b11001 0" +08" +0l +0p +1a +12" +b11000011111011011100010101111001 &" +b11000011111011011100010101111001 ?" +b101 %" +b101 *" +b101 1" +1'" +b110 ~ +b110 )" +b110 ." +b1011110000010011011100011011010 / +b1011110000010011011100011011010 K +b1011110000010011011100011011010 e +b1011110000010011011100011011010 "" +0. +1% +#5151010 +b11000011111011011100010101111001 H" +#5151500 +b0 5 +b0 L +b0 W +04 +b10101010000110110010010101000101 2 +b10101010000110110010010101000101 I +b10101010000110110010010101000101 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b10101010000110110010010101000101 , +b10101010000110110010010101000101 H +b11111 + +b11111 G +1. +0% +#5152000 +0_ +0^ +1i +0\ +1=" +1h +0:" +0;" +b0 3" +1<" +1` +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0n +0/" +b1001101101000111001000000011111 / +b1001101101000111001000000011111 K +b1001101101000111001000000011111 e +b1001101101000111001000000011111 "" +b10101010000110110010010101000101 &" +b10101010000110110010010101000101 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5152500 +b11011 7 +b11011 N +b11011 V +16 +b11110111101001111010001101 2 +b11110111101001111010001101 I +b11110111101001111010001101 Z +b11 3 +b11 J +b11 Y +b11011 & +b11011 C +1( +b11110111101001111010001101 , +b11110111101001111010001101 H +b11 + +b11 G +1. +0% +#5153000 +1_ +1] +0s +b11101100001100110111010101010101 | +b11101100001100110111010101010101 B" +1@" +0=" +0>" +0r +0q +15" +17" +b11100 3" +0<" +0` +0d +b100 -" +1y +0u +14" +1v +1x +1w +1," +b11110111101001111010001101 &" +b11110111101001111010001101 ?" +b11 %" +b11 *" +b11 1" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5153010 +b11110111101001111010001101 F" +#5153500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5154000 +1s +1\ +1[ +0@" +1r +1q +05" +07" +b11111 3" +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0w +0," +b11101100001100110111010101010101 0 +b11101100001100110111010101010101 M +b11101100001100110111010101010101 f +b11101100001100110111010101010101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5154500 +b1101 5 +b1101 L +b1101 W +14 +b11011100000110010101100010011 2 +b11011100000110010101100010011 I +b11011100000110010101100010011 Z +b1010 3 +b1010 J +b1010 Y +11 +b1101 ' +b1101 D +1) +b11011100000110010101100010011 , +b11011100000110010101100010011 H +b1010 + +b1010 G +1- +1. +0% +#5155000 +0] +0i +b10011000001101101000011011100001 { +b10011000001101101000011011100001 A" +0\ +1=" +1@" +0g +19" +1:" +1;" +b10101 3" +1c +1_ +b10010 0" +0j +0o +1p +1k +1b +12" +1m +1/" +b11011100000110010101100010011 &" +b11011100000110010101100010011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5155010 +b11011100000110010101100010011 M" +#5155500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5156000 +1] +1i +0s +b1110001101100001010100111101011 | +b1110001101100001010100111101011 B" +1\ +0=" +0@" +1g +09" +0:" +0;" +0r +0q +16" +17" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11000 -" +1t +1u +0b +02" +0m +0/" +1x +1w +1," +b10011000001101101000011011100001 / +b10011000001101101000011011100001 K +b10011000001101101000011011100001 e +b10011000001101101000011011100001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#5156500 +b111 5 +b111 L +b111 W +14 +b10101 7 +b10101 N +b10101 V +b111 ' +b111 D +1) +b10101 & +b10101 C +1. +0% +#5157000 +0i +b1110001101100001010100111101011 { +b1110001101100001010100111101011 A" +0u +0h +0g +1:" +1;" +1r +b11000 0" +1j +1k +b1010 -" +14" +1v +b1111010111110000110010110000111 | +b1111010111110000110010110000111 B" +1n +1m +1/" +0x +b111 ~ +b111 )" +b111 ." +1$" +b10101 } +b10101 (" +b10101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110001101100001010100111101011 0 +b1110001101100001010100111101011 M +b1110001101100001010100111101011 f +b1110001101100001010100111101011 !" +0. +1% +#5157500 +b1010 5 +b1010 L +b1010 W +b10001 7 +b10001 N +b10001 V +b1010 ' +b1010 D +b10001 & +b10001 C +1. +0% +#5158000 +19" +1o +1s +b11100011011010111110111011010100 | +b11100011011010111110111011010100 B" +1g +0:" +06" +b10101 0" +0j +b11011100000110010101100010011 { +b11011100000110010101100010011 A" +b1110 -" +0t +0m +b1110001101100001010100111101011 / +b1110001101100001010100111101011 K +b1110001101100001010100111101011 e +b1110001101100001010100111101011 "" +b1111010111110000110010110000111 0 +b1111010111110000110010110000111 M +b1111010111110000110010110000111 f +b1111010111110000110010110000111 !" +b1010 ~ +b1010 )" +b1010 ." +b10001 } +b10001 (" +b10001 +" +0. +1% +#5158500 +b101 5 +b101 L +b101 W +b0 7 +b0 N +b0 V +06 +b101 ' +b101 D +b0 & +b0 C +0( +1. +0% +#5159000 +1:" +1j +1h +0g +09" +1q +07" +b11010 0" +0o +b11000011111011011100010101111001 { +b11000011111011011100010101111001 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +1m +0w +0," +b101 ~ +b101 )" +b101 ." +b0 } +b0 (" +b0 +" +0#" +b11011100000110010101100010011 / +b11011100000110010101100010011 K +b11011100000110010101100010011 e +b11011100000110010101100010011 "" +b11100011011010111110111011010100 0 +b11100011011010111110111011010100 M +b11100011011010111110111011010100 f +b11100011011010111110111011010100 !" +0. +1% +#5159500 +b1011 5 +b1011 L +b1011 W +b11000 7 +b11000 N +b11000 V +16 +b1011 ' +b1011 D +b11000 & +b11000 C +1( +1. +0% +#5160000 +19" +1o +0s +b10001011111011110010011010010010 | +b10001011111011110010011010010010 B" +0h +0:" +15" +17" +b10100 0" +0j +b1011110001010111010010100000111 { +b1011110001010111010010100000111 A" +b111 -" +1y +0u +14" +1v +1n +1," +b11000011111011011100010101111001 / +b11000011111011011100010101111001 K +b11000011111011011100010101111001 e +b11000011111011011100010101111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011 ~ +b1011 )" +b1011 ." +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#5160500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5161000 +1i +1s +1h +1g +09" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +0n +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1011110001010111010010100000111 / +b1011110001010111010010100000111 K +b1011110001010111010010100000111 e +b1011110001010111010010100000111 "" +b10001011111011110010011010010010 0 +b10001011111011110010011010010010 M +b10001011111011110010011010010010 f +b10001011111011110010011010010010 !" +0. +1% +#5161500 +b10101 5 +b10101 L +b10101 W +14 +b11001100111001100010111001111011 2 +b11001100111001100010111001111011 I +b11001100111001100010111001111011 Z +b100 3 +b100 J +b100 Y +11 +b10101 ' +b10101 D +1) +b11001100111001100010111001111011 , +b11001100111001100010111001111011 H +b100 + +b100 G +1- +1. +0% +#5162000 +0] +0i +b1111010111110000110010110000111 { +b1111010111110000110010110000111 A" +1>" +1@" +0g +1:" +1;" +b11011 3" +1^ +1_ +b1010 0" +1j +0k +18" +1l +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001100111001100010111001111011 &" +b11001100111001100010111001111011 ?" +b100 %" +b100 *" +b100 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#5162010 +b11001100111001100010111001111011 G" +#5162500 +b110 5 +b110 L +b110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5163000 +1] +1k +0>" +0@" +0h +1g +1;" +b11111 3" +0^ +0_ +b11001 0" +08" +0l +b1001101101000111001000000011111 { +b1001101101000111001000000011111 A" +02" +1n +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b1111010111110000110010110000111 / +b1111010111110000110010110000111 K +b1111010111110000110010110000111 e +b1111010111110000110010110000111 "" +0. +1% +#5163500 +b0 5 +b0 L +b0 W +04 +b1111101010000010111111111011010 2 +b1111101010000010111111111011010 I +b1111101010000010111111111011010 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b1111101010000010111111111011010 , +b1111101010000010111111111011010 H +b1110 + +b1110 G +1- +1. +0% +#5164000 +0] +1i +0\ +1=" +1>" +1@" +1h +0:" +0;" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0/" +b1001101101000111001000000011111 / +b1001101101000111001000000011111 K +b1001101101000111001000000011111 e +b1001101101000111001000000011111 "" +b1111101010000010111111111011010 &" +b1111101010000010111111111011010 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5164010 +b1111101010000010111111111011010 Q" +#5164500 +b11110 5 +b11110 L +b11110 W +14 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5165000 +1] +0i +b1011110000010011011100011011010 { +b1011110000010011011100011011010 A" +0s +b10001011111011110010011010010010 | +b10001011111011110010011010010010 B" +1\ +0=" +0>" +0@" +0h +19" +1:" +1;" +15" +17" +b11111 3" +0d +0_ +b1 0" +0j +0o +1p +0k +18" +1l +b111 -" +1y +0u +14" +1v +0b +02" +1n +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5165500 +b0 5 +b0 L +b0 W +b101 7 +b101 N +b101 V +b0 ' +b0 D +b101 & +b101 C +1. +0% +#5166000 +16" +1k +1i +1u +1t +1h +1;" +09" +0:" +0q +17" +05" +b11111 0" +08" +0l +0p +b100101011011101001000100011 { +b100101011011101001000100011 A" +b11010 -" +04" +0v +0y +b11000011111011011100010101111001 | +b11000011111011011100010101111001 B" +0n +1w +b1011110000010011011100011011010 / +b1011110000010011011100011011010 K +b1011110000010011011100011011010 e +b1011110000010011011100011011010 "" +b10001011111011110010011010010010 0 +b10001011111011110010011010010010 M +b10001011111011110010011010010010 f +b10001011111011110010011010010010 !" +b0 ~ +b0 )" +b0 ." +b101 } +b101 (" +b101 +" +0. +1% +#5166500 +b1100 5 +b1100 L +b1100 W +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +b0 & +b0 C +0( +1. +0% +#5167000 +0i +b1111000101100111001011011101001 { +b1111000101100111001011011101001 A" +1s +19" +1:" +1q +06" +07" +b10011 0" +0j +0o +1p +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b1100 ~ +b1100 )" +b1100 ." +b0 } +b0 (" +b0 +" +0#" +b100101011011101001000100011 / +b100101011011101001000100011 K +b100101011011101001000100011 e +b100101011011101001000100011 "" +b11000011111011011100010101111001 0 +b11000011111011011100010101111001 M +b11000011111011011100010101111001 f +b11000011111011011100010101111001 !" +0. +1% +#5167500 +b10100 5 +b10100 L +b10100 W +b11010 7 +b11010 N +b11010 V +16 +b10111010011000101001000101100011 2 +b10111010011000101001000101100011 I +b10111010011000101001000101100011 Z +b1110 3 +b1110 J +b1110 Y +11 +b10100 ' +b10100 D +b11010 & +b11010 C +1( +b10111010011000101001000101100011 , +b10111010011000101001000101100011 H +b1110 + +b1110 G +1- +1. +0% +#5168000 +0] +0k +1j +0s +b10001000100000001111100011101000 | +b10001000100000001111100011101000 B" +0\ +1=" +1>" +1@" +b11011111111000101100101001110010 { +b11011111111000101100101001110010 A" +09" +1:" +0r +15" +17" +b10001 3" +0^ +0c +1d +1_ +b1011 0" +18" +1l +0p +b101 -" +1y +0u +14" +1v +1b +12" +1x +1," +b1111000101100111001011011101001 / +b1111000101100111001011011101001 K +b1111000101100111001011011101001 e +b1111000101100111001011011101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111010011000101001000101100011 &" +b10111010011000101001000101100011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#5168010 +b10111010011000101001000101100011 Q" +#5168500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +b1101010111000111011011011101000 2 +b1101010111000111011011011101000 I +b1101010111000111011011011101000 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b11101 & +b11101 C +b1101010111000111011011011101000 , +b1101010111000111011011011101000 H +b11111 + +b11111 G +1. +0% +#5169000 +0_ +1i +0y +0[ +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +0q +16" +b0 3" +1<" +1` +b11111 0" +0j +08" +0l +b10 -" +1z +b111001001110000100111011110000 | +b111001001110000100111011110000 B" +1a +0/" +0x +1w +b1101010111000111011011011101000 &" +b1101010111000111011011011101000 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +b11011111111000101100101001110010 / +b11011111111000101100101001110010 K +b11011111111000101100101001110010 e +b11011111111000101100101001110010 "" +b10001000100000001111100011101000 0 +b10001000100000001111100011101000 M +b10001000100000001111100011101000 f +b10001000100000001111100011101000 !" +0. +1% +#5169500 +b110 7 +b110 N +b110 V +b100111101011100101010010110011 2 +b100111101011100101010010110011 I +b100111101011100101010010110011 Z +b111 3 +b111 J +b111 Y +b110 & +b110 C +b100111101011100101010010110011 , +b100111101011100101010010110011 H +b111 + +b111 G +1. +0% +#5170000 +1_ +1^ +1u +1t +1@" +0=" +1>" +0r +1q +17" +05" +16" +b11000 3" +0<" +0` +0d +b11001 -" +04" +0v +0z +b1001101101000111001000000011111 | +b1001101101000111001000000011111 B" +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111001001110000100111011110000 0 +b111001001110000100111011110000 M +b111001001110000100111011110000 f +b111001001110000100111011110000 !" +b100111101011100101010010110011 &" +b100111101011100101010010110011 ?" +b111 %" +b111 *" +b111 1" +b110 } +b110 (" +b110 +" +0. +1% +#5170010 +b100111101011100101010010110011 J" +#5170500 +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5171000 +15" +1] +1y +b11011100000110010101100010011 | +b11011100000110010101100010011 B" +1\ +1[ +0>" +0@" +06" +b11111 3" +0^ +0_ +b10101 -" +0t +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 } +b1010 (" +b1010 +" +b1001101101000111001000000011111 0 +b1001101101000111001000000011111 M +b1001101101000111001000000011111 f +b1001101101000111001000000011111 !" +0. +1% +#5171500 +b0 7 +b0 N +b0 V +06 +b10010011111100010100111011100001 2 +b10010011111100010100111011100001 I +b10010011111100010100111011100001 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 & +b0 C +0( +b10010011111100010100111011100001 , +b10010011111100010100111011100001 H +b11011 + +b11011 G +1- +1. +0% +#5172000 +0] +1s +0\ +0[ +1=" +1@" +1r +05" +07" +b100 3" +1c +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0," +b11011100000110010101100010011 0 +b11011100000110010101100010011 M +b11011100000110010101100010011 f +b11011100000110010101100010011 !" +b10010011111100010100111011100001 &" +b10010011111100010100111011100001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5172010 +b10010011111100010100111011100001 ^" +#5172500 +b11010 7 +b11010 N +b11010 V +16 +b10010110111110011100110101001 2 +b10010110111110011100110101001 I +b10010110111110011100110101001 Z +b1111 3 +b1111 J +b1111 Y +b11010 & +b11010 C +1( +b10010110111110011100110101001 , +b10010110111110011100110101001 H +b1111 + +b1111 G +1. +0% +#5173000 +1_ +0c +0s +b10001000100000001111100011101000 | +b10001000100000001111100011101000 B" +1@" +1>" +0r +15" +17" +b10000 3" +0<" +0` +1d +b101 -" +1y +0u +14" +1v +1x +1," +b10010110111110011100110101001 &" +b10010110111110011100110101001 ?" +b1111 %" +b1111 *" +b1111 1" +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5173010 +b10010110111110011100110101001 R" +#5173500 +b0 7 +b0 N +b0 V +06 +b10011101100011110101100101011000 2 +b10011101100011110101100101011000 I +b10011101100011110101100101011000 Z +b11011 3 +b11011 J +b11011 Y +b0 & +b0 C +0( +b10011101100011110101100101011000 , +b10011101100011110101100101011000 H +b11011 + +b11011 G +1. +0% +#5174000 +0_ +1c +1s +1=" +0>" +1r +05" +07" +b100 3" +1<" +1` +0d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b10001000100000001111100011101000 0 +b10001000100000001111100011101000 M +b10001000100000001111100011101000 f +b10001000100000001111100011101000 !" +b10011101100011110101100101011000 &" +b10011101100011110101100101011000 ?" +b11011 %" +b11011 *" +b11011 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5174010 +b10011101100011110101100101011000 ^" +#5174500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5175000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5175500 +b1011101110111100110110101110010 2 +b1011101110111100110110101110010 I +b1011101110111100110110101110010 Z +b11000 3 +b11000 J +b11000 Y +11 +b1011101110111100110110101110010 , +b1011101110111100110110101110010 H +b11000 + +b11000 G +1- +1. +0% +#5176000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b1011101110111100110110101110010 &" +b1011101110111100110110101110010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0. +1% +#5176010 +b1011101110111100110110101110010 [" +#5176500 +b11011010011011111000001000001101 2 +b11011010011011111000001000001101 I +b11011010011011111000001000001101 Z +b110 3 +b110 J +b110 Y +b11011010011011111000001000001101 , +b11011010011011111000001000001101 H +b110 + +b110 G +1. +0% +#5177000 +1>" +1_ +1^ +0\ +1@" +0=" +b11001 3" +0<" +0` +0c +1b +b11011010011011111000001000001101 &" +b11011010011011111000001000001101 ?" +b110 %" +b110 *" +b110 1" +0. +1% +#5177010 +b11011010011011111000001000001101 I" +#5177500 +b1011 5 +b1011 L +b1011 W +14 +b111 7 +b111 N +b111 V +16 +b10111011110010010101111011010110 2 +b10111011110010010101111011010110 I +b10111011110010010101111011010110 Z +b11100 3 +b11100 J +b11100 Y +b1011 ' +b1011 D +1) +b111 & +b111 C +1( +b10111011110010010101111011010110 , +b10111011110010010101111011010110 H +b11100 + +b11100 G +1. +0% +#5178000 +0_ +0^ +0i +b1011110001010111010010100000111 { +b1011110001010111010010100000111 A" +0s +b100111101011100101010010110011 | +b100111101011100101010010110011 B" +1\ +1=" +0h +0g +19" +1;" +0r +0q +16" +17" +b11 3" +1<" +1` +1d +b10100 0" +1o +1k +b11000 -" +1t +1u +0b +1n +1m +1/" +1x +1w +1," +b10111011110010010101111011010110 &" +b10111011110010010101111011010110 ?" +b11100 %" +b11100 *" +b11100 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#5178010 +b10111011110010010101111011010110 _" +#5178500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +b1000000010001110000111100011001 2 +b1000000010001110000111100011001 I +b1000000010001110000111100011001 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b1011 & +b1011 C +b1000000010001110000111100011001 , +b1000000010001110000111100011001 H +b1100 + +b1100 G +1. +0% +#5179000 +15" +1_ +1i +1y +b1011110001010111010010100000111 | +b1011110001010111010010100000111 B" +1@" +1h +1g +09" +0;" +06" +b10011 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +0t +0n +0m +0/" +b1000000010001110000111100011001 &" +b1000000010001110000111100011001 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +b1011110001010111010010100000111 / +b1011110001010111010010100000111 K +b1011110001010111010010100000111 e +b1011110001010111010010100000111 "" +b100111101011100101010010110011 0 +b100111101011100101010010110011 M +b100111101011100101010010110011 f +b100111101011100101010010110011 !" +0. +1% +#5179010 +b1000000010001110000111100011001 O" +#5179500 +b10110 5 +b10110 L +b10110 W +14 +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5180000 +16" +1] +0i +b1111101010000111001011100000011 { +b1111101010000111001011100000011 A" +1t +0=" +0>" +0@" +0h +1:" +1;" +1q +05" +b11111 3" +0d +0_ +b1001 0" +1j +0k +18" +1l +b11001 -" +0y +b11011010011011111000001000001101 | +b11011010011011111000001000001101 B" +02" +1n +1/" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011110001010111010010100000111 0 +b1011110001010111010010100000111 M +b1011110001010111010010100000111 f +b1011110001010111010010100000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#5180500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b0 ' +b0 D +0) +b1101 & +b1101 C +1. +0% +#5181000 +1i +0t +1h +0:" +0;" +1r +0q +15" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +1z +b10011000001101101000011011100001 | +b10011000001101101000011011100001 B" +0n +0/" +0x +1w +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +b1111101010000111001011100000011 / +b1111101010000111001011100000011 K +b1111101010000111001011100000011 e +b1111101010000111001011100000011 "" +b11011010011011111000001000001101 0 +b11011010011011111000001000001101 M +b11011010011011111000001000001101 f +b11011010011011111000001000001101 !" +0. +1% +#5181500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5182000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011000001101101000011011100001 0 +b10011000001101101000011011100001 M +b10011000001101101000011011100001 f +b10011000001101101000011011100001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5182500 +1. +0% +#5183000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5183500 +b10101 5 +b10101 L +b10101 W +14 +b11011 7 +b11011 N +b11011 V +16 +b10101 ' +b10101 D +1) +b11011 & +b11011 C +1( +1. +0% +#5184000 +0i +b1111010111110000110010110000111 { +b1111010111110000110010110000111 A" +0s +b10011101100011110101100101011000 | +b10011101100011110101100101011000 B" +0g +1:" +1;" +0r +0q +15" +17" +b1010 0" +1j +0k +18" +1l +b100 -" +1y +0u +14" +1v +1m +1/" +1x +1w +1," +b10101 ~ +b10101 )" +b10101 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#5184500 +b1101 5 +b1101 L +b1101 W +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +b0 & +b0 C +0( +1. +0% +#5185000 +1k +0j +1s +1;" +b10011000001101101000011011100001 { +b10011000001101101000011011100001 A" +19" +1r +1q +05" +07" +b10010 0" +08" +0l +1p +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b1101 ~ +b1101 )" +b1101 ." +b0 } +b0 (" +b0 +" +0#" +b1111010111110000110010110000111 / +b1111010111110000110010110000111 K +b1111010111110000110010110000111 e +b1111010111110000110010110000111 "" +b10011101100011110101100101011000 0 +b10011101100011110101100101011000 M +b10011101100011110101100101011000 f +b10011101100011110101100101011000 !" +0. +1% +#5185500 +b0 5 +b0 L +b0 W +04 +b1100011000100111001000001000101 2 +b1100011000100111001000001000101 I +b1100011000100111001000001000101 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b1100011000100111001000001000101 , +b1100011000100111001000001000101 H +b1000 + +b1000 G +1- +1. +0% +#5186000 +0] +1i +1=" +1@" +1g +09" +0:" +0;" +b10111 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b10011000001101101000011011100001 / +b10011000001101101000011011100001 K +b10011000001101101000011011100001 e +b10011000001101101000011011100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100011000100111001000001000101 &" +b1100011000100111001000001000101 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5186010 +b1100011000100111001000001000101 K" +#5186500 +b101 5 +b101 L +b101 W +14 +b10010 7 +b10010 N +b10010 V +16 +b11011000101001011011000101000 2 +b11011000101001011011000101000 I +b11011000101001011011000101000 Z +b1010 3 +b1010 J +b1010 Y +b101 ' +b101 D +1) +b10010 & +b10010 C +1( +b11011000101001011011000101000 , +b11011000101001011011000101000 H +b1010 + +b1010 G +1. +0% +#5187000 +0i +b11000011111011011100010101111001 { +b11000011111011011100010101111001 A" +b10110111100111000110011101111000 | +b10110111100111000110011101111000 B" +0\ +0g +1:" +1;" +0r +17" +b10101 3" +b11010 0" +1j +1k +b1101 -" +0u +14" +1v +1b +1m +1/" +1x +1," +b11011000101001011011000101000 &" +b11011000101001011011000101000 ?" +b1010 %" +b1010 *" +b1010 1" +b101 ~ +b101 )" +b101 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5187010 +b11011000101001011011000101000 M" +#5187500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +06 +b1001000010110000111001100110101 2 +b1001000010110000111001100110101 I +b1001000010110000111001100110101 Z +b11111 3 +b11111 J +b11111 Y +b1111 ' +b1111 D +b0 & +b0 C +0( +b1001000010110000111001100110101 , +b1001000010110000111001100110101 H +b11111 + +b11111 G +1. +0% +#5188000 +0_ +0c +0j +0[ +1>" +0h +19" +1r +07" +b0 3" +1<" +1` +1d +b10000 0" +1p +b10010110111110011100110101001 { +b10010110111110011100110101001 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +1n +0x +0," +b11000011111011011100010101111001 / +b11000011111011011100010101111001 K +b11000011111011011100010101111001 e +b11000011111011011100010101111001 "" +b10110111100111000110011101111000 0 +b10110111100111000110011101111000 M +b10110111100111000110011101111000 f +b10110111100111000110011101111000 !" +b1001000010110000111001100110101 &" +b1001000010110000111001100110101 ?" +b11111 %" +b11111 *" +b11111 1" +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5188500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5189000 +1] +1i +1\ +1[ +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010110111110011100110101001 / +b10010110111110011100110101001 K +b10010110111110011100110101001 e +b10010110111110011100110101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5189500 +b10010101010000101001011000101000 2 +b10010101010000101001011000101000 I +b10010101010000101001011000101000 Z +b100 3 +b100 J +b100 Y +11 +b10010101010000101001011000101000 , +b10010101010000101001011000101000 H +b100 + +b100 G +1- +1. +0% +#5190000 +0] +1>" +1@" +b11011 3" +1^ +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010101010000101001011000101000 &" +b10010101010000101001011000101000 ?" +b100 %" +b100 *" +b100 1" +1'" +0. +1% +#5190010 +b10010101010000101001011000101000 G" +#5190500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5191000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5191500 +1. +0% +#5192000 +0. +1% +#5192500 +b11010 5 +b11010 L +b11010 W +14 +b11101 7 +b11101 N +b11101 V +16 +b11010 ' +b11010 D +1) +b11101 & +b11101 C +1( +1. +0% +#5193000 +0i +b10001000100000001111100011101000 { +b10001000100000001111100011101000 A" +0s +b111001001110000100111011110000 | +b111001001110000100111011110000 B" +0h +19" +1;" +0q +15" +16" +17" +b101 0" +1o +0k +18" +1l +b10 -" +0t +0y +1z +0u +14" +1v +1n +1/" +1w +1," +b11010 ~ +b11010 )" +b11010 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#5193500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5194000 +1i +1s +1h +09" +0;" +1q +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0w +0," +b10001000100000001111100011101000 / +b10001000100000001111100011101000 K +b10001000100000001111100011101000 e +b10001000100000001111100011101000 "" +b111001001110000100111011110000 0 +b111001001110000100111011110000 M +b111001001110000100111011110000 f +b111001001110000100111011110000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5194500 +1. +0% +#5195000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5195500 +b10000 5 +b10000 L +b10000 W +14 +b100111010010001001000011110001 2 +b100111010010001001000011110001 I +b100111010010001001000011110001 Z +b11111 3 +b11111 J +b11111 Y +11 +b10000 ' +b10000 D +1) +b100111010010001001000011110001 , +b100111010010001001000011110001 H +b11111 + +b11111 G +1- +1. +0% +#5196000 +0] +b11100011100001110010100101000101 { +b11100011100001110010100101000101 A" +0\ +0[ +1=" +1>" +1@" +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1111 0" +0k +18" +1l +1b +1a +12" +1/" +b100111010010001001000011110001 &" +b100111010010001001000011110001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#5196500 +b11110 5 +b11110 L +b11110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5197000 +1] +0i +1\ +1[ +0=" +0>" +0@" +0h +19" +1:" +b11111 3" +0d +0<" +0` +b1 0" +0j +0o +1p +b1011110000010011011100011011010 { +b1011110000010011011100011011010 A" +0b +0a +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b11100011100001110010100101000101 / +b11100011100001110010100101000101 K +b11100011100001110010100101000101 e +b11100011100001110010100101000101 "" +0. +1% +#5197500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5198000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b1011110000010011011100011011010 / +b1011110000010011011100011011010 K +b1011110000010011011100011011010 e +b1011110000010011011100011011010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5198500 +b10111 5 +b10111 L +b10111 W +14 +b1011 7 +b1011 N +b1011 V +16 +b10111 ' +b10111 D +1) +b1011 & +b1011 C +1( +1. +0% +#5199000 +0i +b11111111100110011100001001001010 { +b11111111100110011100001001001010 A" +0s +b1011110001010111010010100000111 | +b1011110001010111010010100000111 B" +0h +0g +1:" +1;" +0r +0q +15" +17" +b1000 0" +1j +0k +18" +1l +b10100 -" +1y +1u +1n +1m +1/" +1x +1w +1," +b10111 ~ +b10111 )" +b10111 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5199500 +b10001 5 +b10001 L +b10001 W +b1110 7 +b1110 N +b1110 V +b10001 ' +b10001 D +b1110 & +b1110 C +1. +0% +#5200000 +1i +0y +1h +0:" +1q +16" +b1110 0" +0j +b11100011011010111110111011010100 { +b11100011011010111110111011010100 A" +b10001 -" +1z +b10111010011000101001000101100011 | +b10111010011000101001000101100011 B" +0n +0w +b11111111100110011100001001001010 / +b11111111100110011100001001001010 K +b11111111100110011100001001001010 e +b11111111100110011100001001001010 "" +b1011110001010111010010100000111 0 +b1011110001010111010010100000111 M +b1011110001010111010010100000111 f +b1011110001010111010010100000111 !" +b10001 ~ +b10001 )" +b10001 ." +b1110 } +b1110 (" +b1110 +" +0. +1% +#5200500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b11111100110010010111111011 2 +b11111100110010010111111011 I +b11111100110010010111111011 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +b11111100110010010111111011 , +b11111100110010010111111011 H +b10111 + +b10111 G +1- +1. +0% +#5201000 +0] +1s +0\ +0[ +1>" +1@" +1g +0;" +1r +05" +06" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b100101011011101001000100011 | +b100101011011101001000100011 B" +1b +1a +12" +0m +0/" +0x +b11111100110010010111111011 &" +b11111100110010010111111011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +b11100011011010111110111011010100 / +b11100011011010111110111011010100 K +b11100011011010111110111011010100 e +b11100011011010111110111011010100 "" +b10111010011000101001000101100011 0 +b10111010011000101001000101100011 M +b10111010011000101001000101100011 f +b10111010011000101001000101100011 !" +0. +1% +#5201010 +b11111100110010010111111011 Z" +#5201500 +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5202000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0>" +0@" +07" +b11111 3" +0^ +0<" +0` +0u +0b +0a +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101011011101001000100011 0 +b100101011011101001000100011 M +b100101011011101001000100011 f +b100101011011101001000100011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0#" +0. +1% +#5202500 +b110 5 +b110 L +b110 W +14 +b110 ' +b110 D +1) +1. +0% +#5203000 +0i +b11011010011011111000001000001101 { +b11011010011011111000001000001101 A" +0h +1:" +1;" +b11001 0" +1j +1k +1n +1/" +b110 ~ +b110 )" +b110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5203500 +1. +0% +#5204000 +b11011010011011111000001000001101 / +b11011010011011111000001000001101 K +b11011010011011111000001000001101 e +b11011010011011111000001000001101 "" +0. +1% +#5204500 +b1001 5 +b1001 L +b1001 W +b10001 7 +b10001 N +b10001 V +16 +b1001 ' +b1001 D +b10001 & +b10001 C +1( +1. +0% +#5205000 +19" +1o +b11100011011010111110111011010100 | +b11100011011010111110111011010100 B" +1h +0g +0:" +0q +17" +b10110 0" +0j +b10010010100110011000000101111010 { +b10010010100110011000000101111010 A" +b1110 -" +0u +14" +1v +0n +1m +1w +1," +b1001 ~ +b1001 )" +b1001 ." +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#5205500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11000011110110101011101110011011 2 +b11000011110110101011101110011011 I +b11000011110110101011101110011011 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11000011110110101011101110011011 , +b11000011110110101011101110011011 H +b1101 + +b1101 G +1- +1. +0% +#5206000 +0] +1i +0[ +1=" +1>" +1@" +1g +09" +0;" +1q +07" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0m +0/" +0w +0," +b10010010100110011000000101111010 / +b10010010100110011000000101111010 K +b10010010100110011000000101111010 e +b10010010100110011000000101111010 "" +b11100011011010111110111011010100 0 +b11100011011010111110111011010100 M +b11100011011010111110111011010100 f +b11100011011010111110111011010100 !" +b11000011110110101011101110011011 &" +b11000011110110101011101110011011 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5206010 +b11000011110110101011101110011011 P" +#5206500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5207000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5207500 +1. +0% +#5208000 +0. +1% +#5208500 +1. +0% +#5209000 +0. +1% +#5209500 +b11001 5 +b11001 L +b11001 W +14 +b100111111111000000100001011011 2 +b100111111111000000100001011011 I +b100111111111000000100001011011 Z +b10100 3 +b10100 J +b10100 Y +11 +b11001 ' +b11001 D +1) +b100111111111000000100001011011 , +b100111111111000000100001011011 H +b10100 + +b10100 G +1- +1. +0% +#5210000 +0] +0i +b10001000000111001111111110111010 { +b10001000000111001111111110111010 A" +1>" +1@" +0g +19" +1;" +b1011 3" +1^ +0_ +1<" +1` +b110 0" +1o +0k +18" +1l +12" +1m +1/" +b100111111111000000100001011011 &" +b100111111111000000100001011011 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#5210010 +b100111111111000000100001011011 W" +#5210500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5211000 +1] +1i +0s +b10011101100011110101100101011000 | +b10011101100011110101100101011000 B" +0>" +0@" +1g +09" +0;" +0r +0q +15" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +1y +0u +14" +1v +02" +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +b10001000000111001111111110111010 / +b10001000000111001111111110111010 K +b10001000000111001111111110111010 e +b10001000000111001111111110111010 "" +0. +1% +#5211500 +b10110 5 +b10110 L +b10110 W +14 +b11101 7 +b11101 N +b11101 V +b10110 ' +b10110 D +1) +b11101 & +b11101 C +1. +0% +#5212000 +0i +b1111101010000111001011100000011 { +b1111101010000111001011100000011 A" +0y +0h +1:" +1;" +1r +16" +b1001 0" +1j +0k +18" +1l +b10 -" +1z +b111001001110000100111011110000 | +b111001001110000100111011110000 B" +1n +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011101100011110101100101011000 0 +b10011101100011110101100101011000 M +b10011101100011110101100101011000 f +b10011101100011110101100101011000 !" +b10110 ~ +b10110 )" +b10110 ." +1$" +b11101 } +b11101 (" +b11101 +" +0. +1% +#5212500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b10011010111100100100101110111111 2 +b10011010111100100100101110111111 I +b10011010111100100100101110111111 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b11110 & +b11110 C +b10011010111100100100101110111111 , +b10011010111100100100101110111111 H +b1110 + +b1110 G +1- +1. +0% +#5213000 +0] +1i +0\ +1=" +1>" +1@" +1h +0:" +0;" +0r +1q +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +b1011110000010011011100011011010 | +b1011110000010011011100011011010 B" +1b +12" +0n +0/" +1x +0w +b10011010111100100100101110111111 &" +b10011010111100100100101110111111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +b1111101010000111001011100000011 / +b1111101010000111001011100000011 K +b1111101010000111001011100000011 e +b1111101010000111001011100000011 "" +b111001001110000100111011110000 0 +b111001001110000100111011110000 M +b111001001110000100111011110000 f +b111001001110000100111011110000 !" +0. +1% +#5213010 +b10011010111100100100101110111111 Q" +#5213500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5214000 +1] +1s +1\ +0=" +0>" +0@" +1r +05" +06" +07" +b11111 3" +0d +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011110000010011011100011011010 0 +b1011110000010011011100011011010 M +b1011110000010011011100011011010 f +b1011110000010011011100011011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5214500 +1. +0% +#5215000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5215500 +b10010 5 +b10010 L +b10010 W +14 +b11110001000101100001101001010011 2 +b11110001000101100001101001010011 I +b11110001000101100001101001010011 Z +b11011 3 +b11011 J +b11011 Y +11 +b10010 ' +b10010 D +1) +b11110001000101100001101001010011 , +b11110001000101100001101001010011 H +b11011 + +b11011 G +1- +1. +0% +#5216000 +0] +b10110111100111000110011101111000 { +b10110111100111000110011101111000 A" +0\ +0[ +1=" +1@" +0h +1;" +b100 3" +1c +0_ +1<" +1` +b1101 0" +0k +18" +1l +1b +1a +12" +1n +1/" +b11110001000101100001101001010011 &" +b11110001000101100001101001010011 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#5216010 +b11110001000101100001101001010011 ^" +#5216500 +b0 5 +b0 L +b0 W +04 +b1100001011011010101111111110101 2 +b1100001011011010101111111110101 I +b1100001011011010101111111110101 Z +b1001 3 +b1001 J +b1001 Y +b0 ' +b0 D +0) +b1100001011011010101111111110101 , +b1100001011011010101111111110101 H +b1001 + +b1001 G +1. +0% +#5217000 +1_ +1\ +1@" +1h +0;" +b10110 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0n +0/" +b1100001011011010101111111110101 &" +b1100001011011010101111111110101 ?" +b1001 %" +b1001 *" +b1001 1" +b0 ~ +b0 )" +b0 ." +0$" +b10110111100111000110011101111000 / +b10110111100111000110011101111000 K +b10110111100111000110011101111000 e +b10110111100111000110011101111000 "" +0. +1% +#5217010 +b1100001011011010101111111110101 L" +#5217500 +b101100101010000000001100111111 2 +b101100101010000000001100111111 I +b101100101010000000001100111111 Z +b10 3 +b10 J +b10 Y +b101100101010000000001100111111 , +b101100101010000000001100111111 H +b10 + +b10 G +1. +0% +#5218000 +1] +0\ +1[ +0=" +b11101 3" +0c +1b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101100101010000000001100111111 &" +b101100101010000000001100111111 ?" +b10 %" +b10 *" +b10 1" +0. +1% +#5218010 +b101100101010000000001100111111 E" +#5218500 +b11 5 +b11 L +b11 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5219000 +b11110111101001111010001101 { +b11110111101001111010001101 A" +1\ +0@" +0h +0g +1;" +b11111 3" +0_ +b11100 0" +1k +0b +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#5219500 +b111 5 +b111 L +b111 W +b10 7 +b10 N +b10 V +16 +b1011101001001001010011011011010 2 +b1011101001001001010011011011010 I +b1011101001001001010011011011010 Z +b10100 3 +b10100 J +b10100 Y +11 +b111 ' +b111 D +b10 & +b10 C +1( +b1011101001001001010011011011010 , +b1011101001001001010011011011010 H +b10100 + +b10100 G +1- +1. +0% +#5220000 +0] +0i +b100111101011100101010010110011 { +b100111101011100101010010110011 A" +b101100101010000000001100111111 | +b101100101010000000001100111111 B" +1>" +1@" +1:" +0r +17" +b1011 3" +1^ +0_ +1<" +1` +b11000 0" +1j +b11101 -" +1u +12" +1x +1," +b11110111101001111010001101 / +b11110111101001111010001101 K +b11110111101001111010001101 e +b11110111101001111010001101 "" +b1011101001001001010011011011010 &" +b1011101001001001010011011011010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b111 ~ +b111 )" +b111 ." +b10 } +b10 (" +b10 +" +1#" +0. +1% +#5220010 +b1011101001001001010011011011010 W" +#5220500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b11011000010110101101011010100001 2 +b11011000010110101101011010100001 I +b11011000010110101101011010100001 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b10011 & +b10011 C +b11011000010110101101011010100001 , +b11011000010110101101011010100001 H +b10 + +b10 G +1. +0% +#5221000 +1_ +1] +1i +0u +0\ +1@" +0>" +1h +1g +0:" +0;" +0q +b11101 3" +0<" +0` +0^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +14" +1v +b11110001100101100010010010000111 | +b11110001100101100010010010000111 B" +1b +0n +0m +0/" +1w +b11011000010110101101011010100001 &" +b11011000010110101101011010100001 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b100111101011100101010010110011 / +b100111101011100101010010110011 K +b100111101011100101010010110011 e +b100111101011100101010010110011 "" +b101100101010000000001100111111 0 +b101100101010000000001100111111 M +b101100101010000000001100111111 f +b101100101010000000001100111111 !" +0. +1% +#5221010 +b11011000010110101101011010100001 E" +#5221500 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5222000 +1u +1\ +0@" +1q +17" +b11111 3" +0_ +b11101 -" +04" +0v +b11011000010110101101011010100001 | +b11011000010110101101011010100001 B" +0b +02" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110001100101100010010010000111 0 +b11110001100101100010010010000111 M +b11110001100101100010010010000111 f +b11110001100101100010010010000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +0. +1% +#5222500 +b110 7 +b110 N +b110 V +b111000101000000010101111101001 2 +b111000101000000010101111101001 I +b111000101000000010101111101001 Z +11 +b110 & +b110 C +b111000101000000010101111101001 , +b111000101000000010101111101001 H +1- +1. +0% +#5223000 +0s +b11011010011011111000001000001101 | +b11011010011011111000001000001101 B" +1@" +16" +1_ +b11001 -" +1t +12" +b111000101000000010101111101001 &" +b111000101000000010101111101001 ?" +1'" +b110 } +b110 (" +b110 +" +b11011000010110101101011010100001 0 +b11011000010110101101011010100001 M +b11011000010110101101011010100001 f +b11011000010110101101011010100001 !" +0. +1% +#5223010 +b111000101000000010101111101001 C" +#5223500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#5224000 +1s +0@" +1r +06" +07" +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0," +b11011010011011111000001000001101 0 +b11011010011011111000001000001101 M +b11011010011011111000001000001101 f +b11011010011011111000001000001101 !" +b0 &" +b0 ?" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5224500 +b10 5 +b10 L +b10 W +14 +b1111001111100001000100110000101 2 +b1111001111100001000100110000101 I +b1111001111100001000100110000101 Z +b100 3 +b100 J +b100 Y +11 +b10 ' +b10 D +1) +b1111001111100001000100110000101 , +b1111001111100001000100110000101 H +b100 + +b100 G +1- +1. +0% +#5225000 +0] +b11011000010110101101011010100001 { +b11011000010110101101011010100001 A" +1>" +1@" +0h +1;" +b11011 3" +1^ +1_ +b11101 0" +1k +12" +1n +1/" +b1111001111100001000100110000101 &" +b1111001111100001000100110000101 ?" +b100 %" +b100 *" +b100 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5225010 +b1111001111100001000100110000101 G" +#5225500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5226000 +1] +0>" +0@" +1h +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0/" +b11011000010110101101011010100001 / +b11011000010110101101011010100001 K +b11011000010110101101011010100001 e +b11011000010110101101011010100001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5226500 +1. +0% +#5227000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5227500 +1. +0% +#5228000 +0. +1% +#5228500 +14 +b1111 7 +b1111 N +b1111 V +16 +1) +b1111 & +b1111 C +1( +1. +0% +#5229000 +b111000101000000010101111101001 { +b111000101000000010101111101001 A" +0s +b10010110111110011100110101001 | +b10010110111110011100110101001 B" +1;" +0r +0q +15" +16" +17" +1k +b10000 -" +0t +0y +1z +1u +1/" +1x +1w +1," +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#5229500 +04 +b0 7 +b0 N +b0 V +06 +b11110110011010000111011110001111 2 +b11110110011010000111011110001111 I +b11110110011010000111011110001111 Z +b1000 3 +b1000 J +b1000 Y +11 +0) +b0 & +b0 C +0( +b11110110011010000111011110001111 , +b11110110011010000111011110001111 H +b1000 + +b1000 G +1- +1. +0% +#5230000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1=" +1@" +0;" +1r +1q +05" +06" +07" +b10111 3" +1c +1_ +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0/" +0x +0w +0," +b111000101000000010101111101001 / +b111000101000000010101111101001 K +b111000101000000010101111101001 e +b111000101000000010101111101001 "" +b10010110111110011100110101001 0 +b10010110111110011100110101001 M +b10010110111110011100110101001 f +b10010110111110011100110101001 !" +b11110110011010000111011110001111 &" +b11110110011010000111011110001111 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5230010 +b11110110011010000111011110001111 K" +#5230500 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5231000 +1] +0s +b10010110111110011100110101001 | +b10010110111110011100110101001 B" +0=" +0@" +0r +0q +15" +16" +17" +b11111 3" +0c +0_ +b10000 -" +0t +0y +1z +1u +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5231500 +b0 7 +b0 N +b0 V +06 +b110000110111010000010000010001 2 +b110000110111010000010000010001 I +b110000110111010000010000010001 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 & +b0 C +0( +b110000110111010000010000010001 , +b110000110111010000010000010001 H +b1011 + +b1011 G +1- +1. +0% +#5232000 +0] +1s +0\ +0[ +1=" +1@" +1r +1q +05" +06" +07" +b10100 3" +1c +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0w +0," +b10010110111110011100110101001 0 +b10010110111110011100110101001 M +b10010110111110011100110101001 f +b10010110111110011100110101001 !" +b110000110111010000010000010001 &" +b110000110111010000010000010001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5232010 +b110000110111010000010000010001 N" +#5232500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5233000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5233500 +b10010110010110100010101110001000 2 +b10010110010110100010101110001000 I +b10010110010110100010101110001000 Z +b1 3 +b1 J +b1 Y +11 +b10010110010110100010101110001000 , +b10010110010110100010101110001000 H +b1 + +b1 G +1- +1. +0% +#5234000 +0[ +1@" +b11110 3" +1_ +1a +12" +b10010110010110100010101110001000 &" +b10010110010110100010101110001000 ?" +b1 %" +b1 *" +b1 1" +1'" +0. +1% +#5234010 +b10010110010110100010101110001000 D" +#5234500 +b1010 5 +b1010 L +b1010 W +14 +b10111000111011001101011110100 2 +b10111000111011001101011110100 I +b10111000111011001101011110100 Z +b1110 3 +b1110 J +b1110 Y +b1010 ' +b1010 D +1) +b10111000111011001101011110100 , +b10111000111011001101011110100 H +b1110 + +b1110 G +1. +0% +#5235000 +0] +0i +b11011000101001011011000101000 { +b11011000101001011011000101000 A" +0\ +1[ +1=" +1>" +0h +19" +1;" +b10001 3" +0^ +0c +1d +b10101 0" +1o +1k +1b +0a +1n +1/" +b10111000111011001101011110100 &" +b10111000111011001101011110100 ?" +b1110 %" +b1110 *" +b1110 1" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#5235010 +b10111000111011001101011110100 Q" +#5235500 +b1100 5 +b1100 L +b1100 W +b110 7 +b110 N +b110 V +16 +b11000001100100010100001100011001 2 +b11000001100100010100001100011001 I +b11000001100100010100001100011001 Z +b0 3 +b0 J +b0 Y +b1100 ' +b1100 D +b110 & +b110 C +1( +b11000001100100010100001100011001 , +b11000001100100010100001100011001 H +b0 + +b0 G +1. +0% +#5236000 +1] +0o +0s +b11011010011011111000001000001101 | +b11011010011011111000001000001101 B" +1\ +0=" +0>" +1h +1:" +0r +16" +17" +b11111 3" +0d +b10011 0" +1p +b1000000010001110000111100011001 { +b1000000010001110000111100011001 A" +b11001 -" +1t +1u +0b +0n +1x +1," +b11011000101001011011000101000 / +b11011000101001011011000101000 K +b11011000101001011011000101000 e +b11011000101001011011000101000 "" +b11000001100100010100001100011001 &" +b11000001100100010100001100011001 ?" +b0 %" +b0 *" +b0 1" +b1100 ~ +b1100 )" +b1100 ." +b110 } +b110 (" +b110 +" +1#" +0. +1% +#5236010 +b11000001100100010100001100011001 C" +#5236500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b10010001010000111110100001110110 2 +b10010001010000111110100001110110 I +b10010001010000111110100001110110 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b10010 & +b10010 C +b10010001010000111110100001110110 , +b10010001010000111110100001110110 H +b1011 + +b1011 G +1. +0% +#5237000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +1s +0\ +0[ +1=" +09" +0:" +0;" +b10110111100111000110011101111000 | +b10110111100111000110011101111000 B" +06" +b10100 3" +1c +b11111 0" +0p +0k +b1101 -" +14" +1v +0t +1b +1a +0/" +b10010001010000111110100001110110 &" +b10010001010000111110100001110110 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +b1000000010001110000111100011001 / +b1000000010001110000111100011001 K +b1000000010001110000111100011001 e +b1000000010001110000111100011001 "" +b11011010011011111000001000001101 0 +b11011010011011111000001000001101 M +b11011010011011111000001000001101 f +b11011010011011111000001000001101 !" +0. +1% +#5237010 +b10010001010000111110100001110110 N" +#5237500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5238000 +1] +0i +b10001000100000001111100011101000 { +b10001000100000001111100011101000 A" +1\ +1[ +0=" +0@" +0h +19" +1;" +1r +07" +b11111 3" +0c +0_ +b101 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1n +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110111100111000110011101111000 0 +b10110111100111000110011101111000 M +b10110111100111000110011101111000 f +b10110111100111000110011101111000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5238500 +b0 5 +b0 L +b0 W +04 +b110011001111101100000000100 2 +b110011001111101100000000100 I +b110011001111101100000000100 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b110011001111101100000000100 , +b110011001111101100000000100 H +b101 + +b101 G +1- +1. +0% +#5239000 +0] +1i +0[ +1>" +1@" +1h +09" +0;" +b11010 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0/" +b110011001111101100000000100 &" +b110011001111101100000000100 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001000100000001111100011101000 / +b10001000100000001111100011101000 K +b10001000100000001111100011101000 e +b10001000100000001111100011101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5239010 +b110011001111101100000000100 H" +#5239500 +b10001 5 +b10001 L +b10001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5240000 +1] +b11100011011010111110111011010100 { +b11100011011010111110111011010100 A" +1[ +0>" +0@" +0g +1;" +b11111 3" +0^ +0_ +b1110 0" +0k +18" +1l +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#5240500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +1. +0% +#5241000 +0s +b100111010010001001000011110001 | +b100111010010001001000011110001 B" +1g +0;" +0r +0q +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b11100011011010111110111011010100 / +b11100011011010111110111011010100 K +b11100011011010111110111011010100 e +b11100011011010111110111011010100 "" +0. +1% +#5241500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5242000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100111010010001001000011110001 0 +b100111010010001001000011110001 M +b100111010010001001000011110001 f +b100111010010001001000011110001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5242500 +16 +b101111001100001011100010111111 2 +b101111001100001011100010111111 I +b101111001100001011100010111111 Z +b10111 3 +b10111 J +b10111 Y +11 +1( +b101111001100001011100010111111 , +b101111001100001011100010111111 H +b10111 + +b10111 G +1- +1. +0% +#5243000 +0] +b11000001100100010100001100011001 | +b11000001100100010100001100011001 B" +0\ +0[ +1>" +1@" +17" +b1000 3" +1^ +0_ +1<" +1` +1u +1b +1a +12" +1," +b101111001100001011100010111111 &" +b101111001100001011100010111111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5243010 +b101111001100001011100010111111 Z" +#5243500 +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5244000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0>" +0@" +07" +b11111 3" +0^ +0<" +0` +0u +0b +0a +02" +0," +b11000001100100010100001100011001 0 +b11000001100100010100001100011001 M +b11000001100100010100001100011001 f +b11000001100100010100001100011001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0#" +0. +1% +#5244500 +b10110 7 +b10110 N +b10110 V +16 +b11110100001011110110111111010110 2 +b11110100001011110110111111010110 I +b11110100001011110110111111010110 Z +b1001 3 +b1001 J +b1001 Y +11 +b10110 & +b10110 C +1( +b11110100001011110110111111010110 , +b11110100001011110110111111010110 H +b1001 + +b1001 G +1- +1. +0% +#5245000 +0] +0s +b1111101010000111001011100000011 | +b1111101010000111001011100000011 B" +0[ +1=" +1@" +0r +16" +17" +b10110 3" +1c +1_ +b1001 -" +1t +0u +14" +1v +1a +12" +1x +1," +b11110100001011110110111111010110 &" +b11110100001011110110111111010110 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5245010 +b11110100001011110110111111010110 L" +#5245500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5246000 +1] +0i +b110011001111101100000000100 { +b110011001111101100000000100 A" +1s +1[ +0=" +0@" +0g +1:" +1;" +1r +06" +07" +b11111 3" +0c +0_ +b11010 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1m +1/" +0x +0," +b1111101010000111001011100000011 0 +b1111101010000111001011100000011 M +b1111101010000111001011100000011 f +b1111101010000111001011100000011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5246500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5247000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b110011001111101100000000100 / +b110011001111101100000000100 K +b110011001111101100000000100 e +b110011001111101100000000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5247500 +b1000 7 +b1000 N +b1000 V +16 +b101100100111101111101011111000 2 +b101100100111101111101011111000 I +b101100100111101111101011111000 Z +11 +b1000 & +b1000 C +1( +b101100100111101111101011111000 , +b101100100111101111101011111000 H +1- +1. +0% +#5248000 +0s +b11110110011010000111011110001111 | +b11110110011010000111011110001111 B" +1@" +15" +17" +1_ +b10111 -" +1y +1u +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101100100111101111101011111000 &" +b101100100111101111101011111000 ?" +1'" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#5248010 +b101100100111101111101011111000 C" +#5248500 +b0 7 +b0 N +b0 V +06 +b11010011011110010001001111110011 2 +b11010011011110010001001111110011 I +b11010011011110010001001111110011 Z +b111 3 +b111 J +b111 Y +b0 & +b0 C +0( +b11010011011110010001001111110011 , +b11010011011110010001001111110011 H +b111 + +b111 G +1. +0% +#5249000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1>" +05" +07" +b11000 3" +1^ +b11111 -" +0y +0u +1b +1a +0," +b11010011011110010001001111110011 &" +b11010011011110010001001111110011 ?" +b111 %" +b111 *" +b111 1" +b0 } +b0 (" +b0 +" +0#" +b11110110011010000111011110001111 0 +b11110110011010000111011110001111 M +b11110110011010000111011110001111 f +b11110110011010000111011110001111 !" +0. +1% +#5249010 +b11010011011110010001001111110011 J" +#5249500 +b10101000001011101101000001101010 2 +b10101000001011101101000001101010 I +b10101000001011101101000001101010 Z +b100 3 +b100 J +b100 Y +b10101000001011101101000001101010 , +b10101000001011101101000001101010 H +b100 + +b100 G +1. +0% +#5250000 +1\ +1[ +b11011 3" +0b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101000001011101101000001101010 &" +b10101000001011101101000001101010 ?" +b100 %" +b100 *" +b100 1" +0. +1% +#5250010 +b10101000001011101101000001101010 G" +#5250500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5251000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5251500 +b1010 5 +b1010 L +b1010 W +14 +b1100 7 +b1100 N +b1100 V +16 +b1010 ' +b1010 D +1) +b1100 & +b1100 C +1( +1. +0% +#5252000 +0i +b11011000101001011011000101000 { +b11011000101001011011000101000 A" +0s +b1000000010001110000111100011001 | +b1000000010001110000111100011001 B" +0h +19" +1;" +15" +16" +17" +b10101 0" +1o +1k +b10011 -" +0t +0y +1z +1u +1n +1/" +1," +b1010 ~ +b1010 )" +b1010 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#5252500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b100111011111100110001010100000 2 +b100111011111100110001010100000 I +b100111011111100110001010100000 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b100111011111100110001010100000 , +b100111011111100110001010100000 H +b11001 + +b11001 G +1- +1. +0% +#5253000 +0] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1=" +1@" +1h +09" +0;" +05" +06" +07" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +0u +1a +12" +0n +0/" +0," +b100111011111100110001010100000 &" +b100111011111100110001010100000 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011000101001011011000101000 / +b11011000101001011011000101000 K +b11011000101001011011000101000 e +b11011000101001011011000101000 "" +b1000000010001110000111100011001 0 +b1000000010001110000111100011001 M +b1000000010001110000111100011001 f +b1000000010001110000111100011001 !" +0. +1% +#5253010 +b100111011111100110001010100000 \" +#5253500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5254000 +1] +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5254500 +b1000 7 +b1000 N +b1000 V +16 +b1000 & +b1000 C +1( +1. +0% +#5255000 +0s +b11110110011010000111011110001111 | +b11110110011010000111011110001111 B" +15" +17" +b10111 -" +1y +1u +1," +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#5255500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5256000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +07" +b11111 -" +0y +0u +0," +b11110110011010000111011110001111 0 +b11110110011010000111011110001111 M +b11110110011010000111011110001111 f +b11110110011010000111011110001111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5256500 +b1110 5 +b1110 L +b1110 W +14 +b10111111110001100101011101011110 2 +b10111111110001100101011101011110 I +b10111111110001100101011101011110 Z +b10010 3 +b10010 J +b10010 Y +11 +b1110 ' +b1110 D +1) +b10111111110001100101011101011110 , +b10111111110001100101011101011110 H +b10010 + +b10010 G +1- +1. +0% +#5257000 +0i +b10111000111011001101011110100 { +b10111000111011001101011110100 A" +0\ +1@" +0h +19" +1:" +1;" +b1101 3" +0_ +1<" +1` +b10001 0" +0j +0o +1p +1k +1b +12" +1n +1/" +b10111111110001100101011101011110 &" +b10111111110001100101011101011110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5257010 +b10111111110001100101011101011110 U" +#5257500 +b1010 5 +b1010 L +b1010 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5258000 +1o +b11011000101001011011000101000 { +b11011000101001011011000101000 A" +1\ +0@" +19" +0:" +b11111 3" +0<" +0` +b10101 0" +0p +0b +02" +b10111000111011001101011110100 / +b10111000111011001101011110100 K +b10111000111011001101011110100 e +b10111000111011001101011110100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +0. +1% +#5258500 +b0 5 +b0 L +b0 W +04 +b11100011101000010011001111111110 2 +b11100011101000010011001111111110 I +b11100011101000010011001111111110 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b11100011101000010011001111111110 , +b11100011101000010011001111111110 H +b1110 + +b1110 G +1- +1. +0% +#5259000 +0] +1i +0\ +1=" +1>" +1@" +1h +09" +0;" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0/" +b11100011101000010011001111111110 &" +b11100011101000010011001111111110 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11011000101001011011000101000 / +b11011000101001011011000101000 K +b11011000101001011011000101000 e +b11011000101001011011000101000 "" +0. +1% +#5259010 +b11100011101000010011001111111110 Q" +#5259500 +b111 5 +b111 L +b111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5260000 +1] +0i +b11010011011110010001001111110011 { +b11010011011110010001001111110011 A" +1\ +0=" +0>" +0@" +0h +0g +1:" +1;" +b11111 3" +0d +0_ +b11000 0" +1j +1k +0b +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#5260500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b0 ' +b0 D +0) +b100 & +b100 C +1( +1. +0% +#5261000 +1i +0s +b10101000001011101101000001101010 | +b10101000001011101101000001101010 B" +1h +1g +0:" +0;" +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +1t +1u +0n +0m +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +b11010011011110010001001111110011 / +b11010011011110010001001111110011 K +b11010011011110010001001111110011 e +b11010011011110010001001111110011 "" +0. +1% +#5261500 +b0 7 +b0 N +b0 V +06 +b11011110111001111000001010100100 2 +b11011110111001111000001010100100 I +b11011110111001111000001010100100 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 & +b0 C +0( +b11011110111001111000001010100100 , +b11011110111001111000001010100100 H +b10011 + +b10011 G +1- +1. +0% +#5262000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1@" +06" +07" +b1100 3" +0_ +1<" +1` +b11111 -" +0t +0u +1b +1a +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000001011101101000001101010 0 +b10101000001011101101000001101010 M +b10101000001011101101000001101010 f +b10101000001011101101000001101010 !" +b11011110111001111000001010100100 &" +b11011110111001111000001010100100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5262010 +b11011110111001111000001010100100 V" +#5262500 +b1001 5 +b1001 L +b1001 W +14 +b100110111101000001111110011010 2 +b100110111101000001111110011010 I +b100110111101000001111110011010 Z +b11100 3 +b11100 J +b11100 Y +b1001 ' +b1001 D +1) +b100110111101000001111110011010 , +b100110111101000001111110011010 H +b11100 + +b11100 G +1. +0% +#5263000 +0] +0i +b11110100001011110110111111010110 { +b11110100001011110110111111010110 A" +1\ +1[ +1=" +1>" +0g +19" +1;" +b11 3" +0^ +0c +1d +b10110 0" +1o +1k +0b +0a +1m +1/" +b100110111101000001111110011010 &" +b100110111101000001111110011010 ?" +b11100 %" +b11100 *" +b11100 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5263010 +b100110111101000001111110011010 _" +#5263500 +b1 5 +b1 L +b1 W +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5264000 +1] +1i +b10010110010110100010101110001000 { +b10010110010110100010101110001000 A" +0s +b10101000001011101101000001101010 | +b10101000001011101101000001101010 B" +0=" +0>" +0@" +09" +16" +17" +b11111 3" +0d +0<" +0` +b11110 0" +0o +b11011 -" +1t +1u +02" +1," +b11110100001011110110111111010110 / +b11110100001011110110111111010110 K +b11110100001011110110111111010110 e +b11110100001011110110111111010110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +b100 } +b100 (" +b100 +" +1#" +0. +1% +#5264500 +b11010 5 +b11010 L +b11010 W +b0 7 +b0 N +b0 V +06 +b1010100101010110111110010100 2 +b1010100101010110111110010100 I +b1010100101010110111110010100 Z +b1100 3 +b1100 J +b1100 Y +11 +b11010 ' +b11010 D +b0 & +b0 C +0( +b1010100101010110111110010100 , +b1010100101010110111110010100 H +b1100 + +b1100 G +1- +1. +0% +#5265000 +0] +0k +0i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +1>" +1@" +0h +1g +19" +06" +07" +b10011 3" +0^ +0c +1d +1_ +b101 0" +18" +1l +1o +b10001000100000001111100011101000 { +b10001000100000001111100011101000 A" +b11111 -" +0t +0u +12" +1n +0m +0," +b1010100101010110111110010100 &" +b1010100101010110111110010100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +b0 } +b0 (" +b0 +" +0#" +b10010110010110100010101110001000 / +b10010110010110100010101110001000 K +b10010110010110100010101110001000 e +b10010110010110100010101110001000 "" +b10101000001011101101000001101010 0 +b10101000001011101101000001101010 M +b10101000001011101101000001101010 f +b10101000001011101101000001101010 !" +0. +1% +#5265010 +b1010100101010110111110010100 O" +#5265500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5266000 +1] +1i +0s +b10010110111110011100110101001 | +b10010110111110011100110101001 B" +0=" +0>" +0@" +1h +09" +0;" +0r +0q +15" +16" +17" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +02" +0n +0/" +1x +1w +1," +b10001000100000001111100011101000 / +b10001000100000001111100011101000 K +b10001000100000001111100011101000 e +b10001000100000001111100011101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#5266500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b10 ' +b10 D +1) +b0 & +b0 C +0( +1. +0% +#5267000 +b11011000010110101101011010100001 { +b11011000010110101101011010100001 A" +1s +0h +1;" +1r +1q +05" +06" +07" +b11101 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0w +0," +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010110111110011100110101001 0 +b10010110111110011100110101001 M +b10010110111110011100110101001 f +b10010110111110011100110101001 !" +0. +1% +#5267500 +b10100 5 +b10100 L +b10100 W +b10000 7 +b10000 N +b10000 V +16 +b10100 ' +b10100 D +b10000 & +b10000 C +1( +1. +0% +#5268000 +0k +0i +b11100011100001110010100101000101 | +b11100011100001110010100101000101 B" +1h +1:" +17" +b1011 0" +18" +1l +1j +b1011101001001001010011011011010 { +b1011101001001001010011011011010 A" +b1111 -" +0u +14" +1v +0n +1," +b11011000010110101101011010100001 / +b11011000010110101101011010100001 K +b11011000010110101101011010100001 e +b11011000010110101101011010100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100 ~ +b10100 )" +b10100 ." +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#5268500 +b11000 5 +b11000 L +b11000 W +b0 7 +b0 N +b0 V +06 +b11000 ' +b11000 D +b0 & +b0 C +0( +1. +0% +#5269000 +19" +1o +b1011101110111100110110101110010 { +b1011101110111100110110101110010 A" +0:" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b111 0" +0j +b11111 -" +04" +0v +0," +b11000 ~ +b11000 )" +b11000 ." +b0 } +b0 (" +b0 +" +0#" +b1011101001001001010011011011010 / +b1011101001001001010011011011010 K +b1011101001001001010011011011010 e +b1011101001001001010011011011010 "" +b11100011100001110010100101000101 0 +b11100011100001110010100101000101 M +b11100011100001110010100101000101 f +b11100011100001110010100101000101 !" +0. +1% +#5269500 +b10 5 +b10 L +b10 W +16 +b1110000100001001010101011001010 2 +b1110000100001001010101011001010 I +b1110000100001001010101011001010 Z +b10111 3 +b10111 J +b10111 Y +11 +b10 ' +b10 D +1( +b1110000100001001010101011001010 , +b1110000100001001010101011001010 H +b10111 + +b10111 G +1- +1. +0% +#5270000 +0] +1k +1i +b101100100111101111101011111000 | +b101100100111101111101011111000 B" +0\ +0[ +1>" +1@" +0h +1;" +09" +17" +b1000 3" +1^ +0_ +1<" +1` +b11101 0" +08" +0l +0o +b11011000010110101101011010100001 { +b11011000010110101101011010100001 A" +1u +1b +1a +12" +1n +1," +b1011101110111100110110101110010 / +b1011101110111100110110101110010 K +b1011101110111100110110101110010 e +b1011101110111100110110101110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110000100001001010101011001010 &" +b1110000100001001010101011001010 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10 ~ +b10 )" +b10 ." +1#" +0. +1% +#5270010 +b1110000100001001010101011001010 Z" +#5270500 +b10110 5 +b10110 L +b10110 W +06 +b10011010100011100010101010001001 2 +b10011010100011100010101010001001 I +b10011010100011100010101010001001 Z +b100 3 +b100 J +b100 Y +b10110 ' +b10110 D +0( +b10011010100011100010101010001001 , +b10011010100011100010101010001001 H +b100 + +b100 G +1. +0% +#5271000 +1_ +0k +0i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +1@" +b1111101010000111001011100000011 { +b1111101010000111001011100000011 A" +1:" +07" +b11011 3" +0<" +0` +b1001 0" +18" +1l +1j +0u +0b +0a +0," +b10011010100011100010101010001001 &" +b10011010100011100010101010001001 ?" +b100 %" +b100 *" +b100 1" +b10110 ~ +b10110 )" +b10110 ." +0#" +b11011000010110101101011010100001 / +b11011000010110101101011010100001 K +b11011000010110101101011010100001 e +b11011000010110101101011010100001 "" +b101100100111101111101011111000 0 +b101100100111101111101011111000 M +b101100100111101111101011111000 f +b101100100111101111101011111000 !" +0. +1% +#5271010 +b10011010100011100010101010001001 G" +#5271500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5272000 +1] +1i +b10111111110001100101011101011110 | +b10111111110001100101011101011110 B" +0>" +0@" +1h +0:" +0;" +0r +17" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +0u +14" +1v +02" +0n +0/" +1x +1," +b1111101010000111001011100000011 / +b1111101010000111001011100000011 K +b1111101010000111001011100000011 e +b1111101010000111001011100000011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#5272500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5273000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111111110001100101011101011110 0 +b10111111110001100101011101011110 M +b10111111110001100101011101011110 f +b10111111110001100101011101011110 !" +0. +1% +#5273500 +b10101101100111011001010011101100 2 +b10101101100111011001010011101100 I +b10101101100111011001010011101100 Z +b110 3 +b110 J +b110 Y +11 +b10101101100111011001010011101100 , +b10101101100111011001010011101100 H +b110 + +b110 G +1- +1. +0% +#5274000 +0] +0\ +1>" +1@" +b11001 3" +1^ +1_ +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101101100111011001010011101100 &" +b10101101100111011001010011101100 ?" +b110 %" +b110 *" +b110 1" +1'" +0. +1% +#5274010 +b10101101100111011001010011101100 I" +#5274500 +b11011 5 +b11011 L +b11011 W +14 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5275000 +1] +0i +b11110001000101100001101001010011 { +b11110001000101100001101001010011 A" +b11100011011010111110111011010100 | +b11100011011010111110111011010100 B" +1\ +0>" +0@" +0h +0g +19" +1;" +0q +17" +b11111 3" +0^ +0_ +b100 0" +1o +0k +18" +1l +b1110 -" +0u +14" +1v +0b +02" +1n +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#5275500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b10010110000100010001110100101010 2 +b10010110000100010001110100101010 I +b10010110000100010001110100101010 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b11110 & +b11110 C +b10010110000100010001110100101010 , +b10010110000100010001110100101010 H +b11001 + +b11001 G +1- +1. +0% +#5276000 +0] +1i +0s +0[ +1=" +1@" +1h +1g +09" +0;" +0r +1q +15" +16" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +0t +0y +1z +b1011110000010011011100011011010 | +b1011110000010011011100011011010 B" +1a +12" +0n +0m +0/" +1x +0w +b11110001000101100001101001010011 / +b11110001000101100001101001010011 K +b11110001000101100001101001010011 e +b11110001000101100001101001010011 "" +b11100011011010111110111011010100 0 +b11100011011010111110111011010100 M +b11100011011010111110111011010100 f +b11100011011010111110111011010100 !" +b10010110000100010001110100101010 &" +b10010110000100010001110100101010 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#5276010 +b10010110000100010001110100101010 \" +#5276500 +b0 7 +b0 N +b0 V +06 +b1010100110101011101111010111001 2 +b1010100110101011101111010111001 I +b1010100110101011101111010111001 Z +b11100 3 +b11100 J +b11100 Y +b0 & +b0 C +0( +b1010100110101011101111010111001 , +b1010100110101011101111010111001 H +b11100 + +b11100 G +1. +0% +#5277000 +0c +1s +1[ +1>" +1r +05" +06" +07" +b11 3" +1d +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +0x +0," +b1010100110101011101111010111001 &" +b1010100110101011101111010111001 ?" +b11100 %" +b11100 *" +b11100 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011110000010011011100011011010 0 +b1011110000010011011100011011010 M +b1011110000010011011100011011010 f +b1011110000010011011100011011010 !" +0. +1% +#5277010 +b1010100110101011101111010111001 _" +#5277500 +b11111100101100001101000100101110 2 +b11111100101100001101000100101110 I +b11111100101100001101000100101110 Z +b10000 3 +b10000 J +b10000 Y +b11111100101100001101000100101110 , +b11111100101100001101000100101110 H +b10000 + +b10000 G +1. +0% +#5278000 +1] +0=" +0>" +b1111 3" +0d +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111100101100001101000100101110 &" +b11111100101100001101000100101110 ?" +b10000 %" +b10000 *" +b10000 1" +0. +1% +#5278010 +b11111100101100001101000100101110 S" +#5278500 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5279000 +0s +b10011010100011100010101010001001 | +b10011010100011100010101010001001 B" +0@" +16" +17" +b11111 3" +0<" +0` +b11011 -" +1t +1u +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#5279500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +1. +0% +#5280000 +0i +b11110110011010000111011110001111 { +b11110110011010000111011110001111 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +19" +1;" +06" +07" +b10111 0" +1o +1k +b11111 -" +0t +0u +1/" +0," +b10011010100011100010101010001001 0 +b10011010100011100010101010001001 M +b10011010100011100010101010001001 f +b10011010100011100010101010001001 !" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5280500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b11110000010011101000110110110111 2 +b11110000010011101000110110110111 I +b11110000010011101000110110110111 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b11110000010011101000110110110111 , +b11110000010011101000110110110111 H +b10 + +b10 G +1- +1. +0% +#5281000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11100011011010111110111011010100 | +b11100011011010111110111011010100 B" +0\ +1@" +09" +0;" +0q +17" +b11101 3" +1_ +b11111 0" +0o +0k +b1110 -" +0u +14" +1v +1b +12" +0/" +1w +1," +b11110000010011101000110110110111 &" +b11110000010011101000110110110111 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b11110110011010000111011110001111 / +b11110110011010000111011110001111 K +b11110110011010000111011110001111 e +b11110110011010000111011110001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5281010 +b11110000010011101000110110110111 E" +#5281500 +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5282000 +1u +0s +1\ +0@" +0r +1q +17" +15" +b11111 3" +0_ +b10101 -" +04" +0v +1y +b11011000101001011011000101000 | +b11011000101001011011000101000 B" +0b +02" +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100011011010111110111011010100 0 +b11100011011010111110111011010100 M +b11100011011010111110111011010100 f +b11100011011010111110111011010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 } +b1010 (" +b1010 +" +0. +1% +#5282500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b10001100110000110010001000011001 2 +b10001100110000110010001000011001 I +b10001100110000110010001000011001 Z +b1101 3 +b1101 J +b1101 Y +11 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +b10001100110000110010001000011001 , +b10001100110000110010001000011001 H +b1101 + +b1101 G +1- +1. +0% +#5283000 +0] +0i +b10010110000100010001110100101010 { +b10010110000100010001110100101010 A" +1s +0[ +1=" +1>" +1@" +0g +19" +1;" +1r +05" +07" +b10010 3" +0^ +0c +1d +1_ +b110 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1m +1/" +0x +0," +b10001100110000110010001000011001 &" +b10001100110000110010001000011001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11011000101001011011000101000 0 +b11011000101001011011000101000 M +b11011000101001011011000101000 f +b11011000101001011011000101000 !" +0. +1% +#5283010 +b10001100110000110010001000011001 P" +#5283500 +b1010 5 +b1010 L +b1010 W +b11111 7 +b11111 N +b11111 V +16 +b11111010001000101000110110101010 2 +b11111010001000101000110110101010 I +b11111010001000101000110110101010 Z +b11101 3 +b11101 J +b11101 Y +b1010 ' +b1010 D +b11111 & +b11111 C +1( +b11111010001000101000110110101010 , +b11111010001000101000110110101010 H +b11101 + +b11101 G +1. +0% +#5284000 +0_ +1k +0s +b100111010010001001000011110001 | +b100111010010001001000011110001 B" +0h +1g +1;" +0r +0q +15" +16" +17" +b10 3" +1<" +1` +b10101 0" +08" +0l +b11011000101001011011000101000 { +b11011000101001011011000101000 A" +b0 -" +0t +0y +1z +0u +14" +1v +1n +0m +1x +1w +1," +b10010110000100010001110100101010 / +b10010110000100010001110100101010 K +b10010110000100010001110100101010 e +b10010110000100010001110100101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111010001000101000110110101010 &" +b11111010001000101000110110101010 ?" +b11101 %" +b11101 *" +b11101 1" +b1010 ~ +b1010 )" +b1010 ." +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#5284010 +b11111010001000101000110110101010 `" +#5284500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5285000 +1] +1i +1s +1[ +0=" +0>" +0@" +1h +09" +0;" +1r +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0n +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011000101001011011000101000 / +b11011000101001011011000101000 K +b11011000101001011011000101000 e +b11011000101001011011000101000 "" +b100111010010001001000011110001 0 +b100111010010001001000011110001 M +b100111010010001001000011110001 f +b100111010010001001000011110001 !" +0. +1% +#5285500 +1. +0% +#5286000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5286500 +b10010010100110010010101101010100 2 +b10010010100110010010101101010100 I +b10010010100110010010101101010100 Z +b10001 3 +b10001 J +b10001 Y +11 +b10010010100110010010101101010100 , +b10010010100110010010101101010100 H +b10001 + +b10001 G +1- +1. +0% +#5287000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b10010010100110010010101101010100 &" +b10010010100110010010101101010100 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0. +1% +#5287010 +b10010010100110010010101101010100 T" +#5287500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5288000 +1[ +0@" +b11111 3" +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5288500 +b101 7 +b101 N +b101 V +16 +b101 & +b101 C +1( +1. +0% +#5289000 +0s +b110011001111101100000000100 | +b110011001111101100000000100 B" +0q +16" +17" +b11010 -" +1t +1u +1w +1," +b101 } +b101 (" +b101 +" +1#" +0. +1% +#5289500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +1. +0% +#5290000 +0i +b100111010010001001000011110001 { +b100111010010001001000011110001 A" +1s +0h +0g +19" +1:" +1;" +1q +06" +07" +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0w +0," +b110011001111101100000000100 0 +b110011001111101100000000100 M +b110011001111101100000000100 f +b110011001111101100000000100 !" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5290500 +b10111 5 +b10111 L +b10111 W +b1110 7 +b1110 N +b1110 V +16 +b10111 ' +b10111 D +b1110 & +b1110 C +1( +1. +0% +#5291000 +1j +b1110000100001001010101011001010 { +b1110000100001001010101011001010 A" +0s +b11100011101000010011001111111110 | +b11100011101000010011001111111110 B" +09" +1:" +0r +15" +16" +17" +b1000 0" +0p +b10001 -" +0t +0y +1z +1u +1x +1," +b10111 ~ +b10111 )" +b10111 ." +b1110 } +b1110 (" +b1110 +" +1#" +b100111010010001001000011110001 / +b100111010010001001000011110001 K +b100111010010001001000011110001 e +b100111010010001001000011110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5291500 +b10000 5 +b10000 L +b10000 W +b11011 7 +b11011 N +b11011 V +b10001010100001101101100000111100 2 +b10001010100001101101100000111100 I +b10001010100001101101100000111100 Z +b11001 3 +b11001 J +b11001 Y +11 +b10000 ' +b10000 D +b11011 & +b11011 C +b10001010100001101101100000111100 , +b10001010100001101101100000111100 H +b11001 + +b11001 G +1- +1. +0% +#5292000 +0] +1i +0u +1y +0[ +1=" +1@" +1h +1g +0:" +0q +15" +06" +b110 3" +1c +0_ +1<" +1` +b1111 0" +0j +b11111100101100001101000100101110 { +b11111100101100001101000100101110 A" +b100 -" +14" +1v +0z +b11110001000101100001101001010011 | +b11110001000101100001101001010011 B" +1a +12" +0n +0m +1w +b1110000100001001010101011001010 / +b1110000100001001010101011001010 K +b1110000100001001010101011001010 e +b1110000100001001010101011001010 "" +b11100011101000010011001111111110 0 +b11100011101000010011001111111110 M +b11100011101000010011001111111110 f +b11100011101000010011001111111110 !" +b10001010100001101101100000111100 &" +b10001010100001101101100000111100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +b11011 } +b11011 (" +b11011 +" +0. +1% +#5292010 +b10001010100001101101100000111100 \" +#5292500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5293000 +1] +1s +1[ +0=" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +05" +07" +b11111 3" +0c +0<" +0` +b11111 0" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111100101100001101000100101110 / +b11111100101100001101000100101110 K +b11111100101100001101000100101110 e +b11111100101100001101000100101110 "" +b11110001000101100001101001010011 0 +b11110001000101100001101001010011 M +b11110001000101100001101001010011 f +b11110001000101100001101001010011 !" +0. +1% +#5293500 +b11101 5 +b11101 L +b11101 W +14 +b10100010010100001100011100011011 2 +b10100010010100001100011100011011 I +b10100010010100001100011100011011 Z +b1000 3 +b1000 J +b1000 Y +11 +b11101 ' +b11101 D +1) +b10100010010100001100011100011011 , +b10100010010100001100011100011011 H +b1000 + +b1000 G +1- +1. +0% +#5294000 +0] +0i +b11111010001000101000110110101010 { +b11111010001000101000110110101010 A" +1=" +1@" +0g +19" +1:" +1;" +b10111 3" +1c +1_ +b10 0" +0j +0o +1p +0k +18" +1l +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100010010100001100011100011011 &" +b10100010010100001100011100011011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#5294010 +b10100010010100001100011100011011 K" +#5294500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5295000 +1] +1i +0s +b1011101110111100110110101110010 | +b1011101110111100110110101110010 B" +0=" +0@" +1g +09" +0:" +0;" +15" +17" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +1y +0u +14" +1v +02" +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +b11111010001000101000110110101010 / +b11111010001000101000110110101010 K +b11111010001000101000110110101010 e +b11111010001000101000110110101010 "" +0. +1% +#5295500 +b0 7 +b0 N +b0 V +06 +b11001000111000000101110001000110 2 +b11001000111000000101110001000110 I +b11001000111000000101110001000110 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 & +b0 C +0( +b11001000111000000101110001000110 , +b11001000111000000101110001000110 H +b11110 + +b11110 G +1- +1. +0% +#5296000 +0] +1s +0\ +1=" +1>" +1@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0y +04" +0v +1b +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011101110111100110110101110010 0 +b1011101110111100110110101110010 M +b1011101110111100110110101110010 f +b1011101110111100110110101110010 !" +b11001000111000000101110001000110 &" +b11001000111000000101110001000110 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5296010 +b11001000111000000101110001000110 a" +#5296500 +b10101 5 +b10101 L +b10101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5297000 +1] +0i +b1111010111110000110010110000111 { +b1111010111110000110010110000111 A" +1\ +0=" +0>" +0@" +0g +1:" +1;" +b11111 3" +0d +0<" +0` +b1010 0" +1j +0k +18" +1l +0b +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5297500 +b110 5 +b110 L +b110 W +b110 ' +b110 D +1. +0% +#5298000 +1k +0h +1g +1;" +b11001 0" +08" +0l +b10101101100111011001010011101100 { +b10101101100111011001010011101100 A" +1n +0m +b1111010111110000110010110000111 / +b1111010111110000110010110000111 K +b1111010111110000110010110000111 e +b1111010111110000110010110000111 "" +b110 ~ +b110 )" +b110 ." +0. +1% +#5298500 +b111 5 +b111 L +b111 W +b101 7 +b101 N +b101 V +16 +b111 ' +b111 D +b101 & +b101 C +1( +1. +0% +#5299000 +0s +b110011001111101100000000100 | +b110011001111101100000000100 B" +0g +0q +16" +17" +b11000 0" +b11010011011110010001001111110011 { +b11010011011110010001001111110011 A" +b11010 -" +1t +1u +1m +1w +1," +b111 ~ +b111 )" +b111 ." +b101 } +b101 (" +b101 +" +1#" +b10101101100111011001010011101100 / +b10101101100111011001010011101100 K +b10101101100111011001010011101100 e +b10101101100111011001010011101100 "" +0. +1% +#5299500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5300000 +1i +1s +1h +1g +0:" +0;" +1q +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0w +0," +b11010011011110010001001111110011 / +b11010011011110010001001111110011 K +b11010011011110010001001111110011 e +b11010011011110010001001111110011 "" +b110011001111101100000000100 0 +b110011001111101100000000100 M +b110011001111101100000000100 f +b110011001111101100000000100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5300500 +1. +0% +#5301000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5301500 +b1111010110010101100010001101111 2 +b1111010110010101100010001101111 I +b1111010110010101100010001101111 Z +b10001 3 +b10001 J +b10001 Y +11 +b1111010110010101100010001101111 , +b1111010110010101100010001101111 H +b10001 + +b10001 G +1- +1. +0% +#5302000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b1111010110010101100010001101111 &" +b1111010110010101100010001101111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0. +1% +#5302010 +b1111010110010101100010001101111 T" +#5302500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5303000 +1[ +0@" +b11111 3" +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5303500 +b1 5 +b1 L +b1 W +14 +b10100 7 +b10100 N +b10100 V +16 +b1 ' +b1 D +1) +b10100 & +b10100 C +1( +1. +0% +#5304000 +b10010110010110100010101110001000 { +b10010110010110100010101110001000 A" +0s +b1011101001001001010011011011010 | +b1011101001001001010011011011010 B" +0g +1;" +16" +17" +b11110 0" +1k +b1011 -" +1t +0u +14" +1v +1m +1/" +1," +b1 ~ +b1 )" +b1 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#5304500 +b11010 5 +b11010 L +b11010 W +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +b0 & +b0 C +0( +1. +0% +#5305000 +0k +0i +1s +0h +1g +19" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b101 0" +18" +1l +1o +b10001000100000001111100011101000 { +b10001000100000001111100011101000 A" +b11111 -" +0t +04" +0v +1n +0m +0," +b11010 ~ +b11010 )" +b11010 ." +b0 } +b0 (" +b0 +" +0#" +b10010110010110100010101110001000 / +b10010110010110100010101110001000 K +b10010110010110100010101110001000 e +b10010110010110100010101110001000 "" +b1011101001001001010011011011010 0 +b1011101001001001010011011011010 M +b1011101001001001010011011011010 f +b1011101001001001010011011011010 !" +0. +1% +#5305500 +b10001 7 +b10001 N +b10001 V +16 +b1010010111101100001111011011101 2 +b1010010111101100001111011011101 I +b1010010111101100001111011011101 Z +b10101 3 +b10101 J +b10101 Y +11 +b10001 & +b10001 C +1( +b1010010111101100001111011011101 , +b1010010111101100001111011011101 H +b10101 + +b10101 G +1- +1. +0% +#5306000 +0] +b1111010110010101100010001101111 | +b1111010110010101100010001101111 B" +0[ +1>" +1@" +0q +17" +b1010 3" +1^ +0_ +1<" +1` +b1110 -" +0u +14" +1v +1a +12" +1w +1," +b10001000100000001111100011101000 / +b10001000100000001111100011101000 K +b10001000100000001111100011101000 e +b10001000100000001111100011101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010010111101100001111011011101 &" +b1010010111101100001111011011101 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#5306010 +b1010010111101100001111011011101 X" +#5306500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1111011111001101000000101001011 2 +b1111011111001101000000101001011 I +b1111011111001101000000101001011 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1111011111001101000000101001011 , +b1111011111001101000000101001011 H +b1111 + +b1111 G +1. +0% +#5307000 +1_ +0^ +1i +0\ +1@" +1=" +1h +09" +0;" +1q +07" +b10000 3" +0<" +0` +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0n +0/" +0w +0," +b1111011111001101000000101001011 &" +b1111011111001101000000101001011 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1111010110010101100010001101111 0 +b1111010110010101100010001101111 M +b1111010110010101100010001101111 f +b1111010110010101100010001101111 !" +0. +1% +#5307010 +b1111011111001101000000101001011 R" +#5307500 +b1110 5 +b1110 L +b1110 W +14 +b11101011101001001000100111000010 2 +b11101011101001001000100111000010 I +b11101011101001001000100111000010 Z +b10100 3 +b10100 J +b10100 Y +b1110 ' +b1110 D +1) +b11101011101001001000100111000010 , +b11101011101001001000100111000010 H +b10100 + +b10100 G +1. +0% +#5308000 +0_ +1^ +0i +b11100011101000010011001111111110 { +b11100011101000010011001111111110 A" +1\ +1[ +0=" +1>" +0h +19" +1:" +1;" +b1011 3" +1<" +1` +0d +b10001 0" +0j +0o +1p +1k +0b +0a +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101011101001001000100111000010 &" +b11101011101001001000100111000010 ?" +b10100 %" +b10100 *" +b10100 1" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#5308010 +b11101011101001001000100111000010 W" +#5308500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b10100001110000011110011011011000 2 +b10100001110000011110011011011000 I +b10100001110000011110011011011000 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b10100001110000011110011011011000 , +b10100001110000011110011011011000 H +b101 + +b101 G +1. +0% +#5309000 +1_ +1i +0s +b11101011101001001000100111000010 | +b11101011101001001000100111000010 B" +0[ +1@" +1h +09" +0:" +0;" +16" +17" +b11010 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1011 -" +1t +0u +14" +1v +1a +0n +0/" +1," +b10100001110000011110011011011000 &" +b10100001110000011110011011011000 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b11100011101000010011001111111110 / +b11100011101000010011001111111110 K +b11100011101000010011001111111110 e +b11100011101000010011001111111110 "" +0. +1% +#5309010 +b10100001110000011110011011011000 H" +#5309500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5310000 +1] +1s +1[ +0>" +0@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0_ +b11111 -" +0t +04" +0v +0a +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011101001001000100111000010 0 +b11101011101001001000100111000010 M +b11101011101001001000100111000010 f +b11101011101001001000100111000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5310500 +b1111 5 +b1111 L +b1111 W +14 +b11010110100111101011000000001111 2 +b11010110100111101011000000001111 I +b11010110100111101011000000001111 Z +b11101 3 +b11101 J +b11101 Y +11 +b1111 ' +b1111 D +1) +b11010110100111101011000000001111 , +b11010110100111101011000000001111 H +b11101 + +b11101 G +1- +1. +0% +#5311000 +0] +0i +b1111011111001101000000101001011 { +b1111011111001101000000101001011 A" +0[ +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b10000 0" +0j +0o +1p +1k +1a +12" +1n +1m +1/" +b11010110100111101011000000001111 &" +b11010110100111101011000000001111 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5311010 +b11010110100111101011000000001111 `" +#5311500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5312000 +1] +1i +0s +b11011000101001011011000101000 | +b11011000101001011011000101000 B" +1[ +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +0r +15" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10101 -" +1y +1u +0a +02" +0n +0m +0/" +1x +1," +b1111011111001101000000101001011 / +b1111011111001101000000101001011 K +b1111011111001101000000101001011 e +b1111011111001101000000101001011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#5312500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5313000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011000101001011011000101000 0 +b11011000101001011011000101000 M +b11011000101001011011000101000 f +b11011000101001011011000101000 !" +0. +1% +#5313500 +1. +0% +#5314000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5314500 +b11101001001101100111111110000100 2 +b11101001001101100111111110000100 I +b11101001001101100111111110000100 Z +b10001 3 +b10001 J +b10001 Y +11 +b11101001001101100111111110000100 , +b11101001001101100111111110000100 H +b10001 + +b10001 G +1- +1. +0% +#5315000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b11101001001101100111111110000100 &" +b11101001001101100111111110000100 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0. +1% +#5315010 +b11101001001101100111111110000100 T" +#5315500 +b1100101001010010100101101000010 2 +b1100101001010010100101101000010 I +b1100101001010010100101101000010 Z +b10111 3 +b10111 J +b10111 Y +b1100101001010010100101101000010 , +b1100101001010010100101101000010 H +b10111 + +b10111 G +1. +0% +#5316000 +0] +0\ +1>" +b1000 3" +1^ +1b +b1100101001010010100101101000010 &" +b1100101001010010100101101000010 ?" +b10111 %" +b10111 *" +b10111 1" +0. +1% +#5316010 +b1100101001010010100101101000010 Z" +#5316500 +b11111010001110011011001111011001 2 +b11111010001110011011001111011001 I +b11111010001110011011001111011001 Z +b10000 3 +b10000 J +b10000 Y +b11111010001110011011001111011001 , +b11111010001110011011001111011001 H +b10000 + +b10000 G +1. +0% +#5317000 +1] +1\ +1[ +0>" +b1111 3" +0^ +0b +0a +b11111010001110011011001111011001 &" +b11111010001110011011001111011001 ?" +b10000 %" +b10000 *" +b10000 1" +0. +1% +#5317010 +b11111010001110011011001111011001 S" +#5317500 +b10111 5 +b10111 L +b10111 W +14 +b10111001111110101101100010110001 2 +b10111001111110101101100010110001 I +b10111001111110101101100010110001 Z +b10011 3 +b10011 J +b10011 Y +b10111 ' +b10111 D +1) +b10111001111110101101100010110001 , +b10111001111110101101100010110001 H +b10011 + +b10011 G +1. +0% +#5318000 +0i +b1100101001010010100101101000010 { +b1100101001010010100101101000010 A" +0\ +0[ +0h +0g +1:" +1;" +b1100 3" +b1000 0" +1j +0k +18" +1l +1b +1a +1n +1m +1/" +b10111001111110101101100010110001 &" +b10111001111110101101100010110001 ?" +b10011 %" +b10011 *" +b10011 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#5318010 +b10111001111110101101100010110001 V" +#5318500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b111101011110111101000000100001 2 +b111101011110111101000000100001 I +b111101011110111101000000100001 Z +b110 3 +b110 J +b110 Y +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b111101011110111101000000100001 , +b111101011110111101000000100001 H +b110 + +b110 G +1. +0% +#5319000 +1_ +0] +1i +b11111010001110011011001111011001 | +b11111010001110011011001111011001 B" +1[ +1@" +1>" +1h +1g +0:" +0;" +17" +b11001 3" +0<" +0` +1^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1111 -" +0u +14" +1v +0a +0n +0m +0/" +1," +b111101011110111101000000100001 &" +b111101011110111101000000100001 ?" +b110 %" +b110 *" +b110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +b1100101001010010100101101000010 / +b1100101001010010100101101000010 K +b1100101001010010100101101000010 e +b1100101001010010100101101000010 "" +0. +1% +#5319010 +b111101011110111101000000100001 I" +#5319500 +b10010 5 +b10010 L +b10010 W +14 +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5320000 +1] +b10111111110001100101011101011110 { +b10111111110001100101011101011110 A" +1u +0s +1\ +0>" +0@" +0h +1;" +0r +17" +16" +b11111 3" +0^ +0_ +b1101 0" +0k +18" +1l +b11001 -" +04" +0v +1t +b111101011110111101000000100001 | +b111101011110111101000000100001 B" +0b +02" +1n +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111010001110011011001111011001 0 +b11111010001110011011001111011001 M +b11111010001110011011001111011001 f +b11111010001110011011001111011001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#5320500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b11101000010111110100010000011011 2 +b11101000010111110100010000011011 I +b11101000010111110100010000011011 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +b11101000010111110100010000011011 , +b11101000010111110100010000011011 H +b1010 + +b1010 G +1- +1. +0% +#5321000 +0] +0u +1s +0\ +1=" +1@" +1h +0;" +1r +0q +06" +b10101 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +14" +1v +0t +b11101001001101100111111110000100 | +b11101001001101100111111110000100 B" +1b +12" +0n +0/" +0x +1w +b11101000010111110100010000011011 &" +b11101000010111110100010000011011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +b10111111110001100101011101011110 / +b10111111110001100101011101011110 K +b10111111110001100101011101011110 e +b10111111110001100101011101011110 "" +b111101011110111101000000100001 0 +b111101011110111101000000100001 M +b111101011110111101000000100001 f +b111101011110111101000000100001 !" +0. +1% +#5321010 +b11101000010111110100010000011011 M" +#5321500 +b11110 7 +b11110 N +b11110 V +b11111111010010110111000000000110 2 +b11111111010010110111000000000110 I +b11111111010010110111000000000110 Z +b1011 3 +b1011 J +b1011 Y +b11110 & +b11110 C +b11111111010010110111000000000110 , +b11111111010010110111000000000110 H +b1011 + +b1011 G +1. +0% +#5322000 +0s +0[ +0r +1q +15" +16" +b10100 3" +b1 -" +0t +0y +1z +b11001000111000000101110001000110 | +b11001000111000000101110001000110 B" +1a +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101001001101100111111110000100 0 +b11101001001101100111111110000100 M +b11101001001101100111111110000100 f +b11101001001101100111111110000100 !" +b11111111010010110111000000000110 &" +b11111111010010110111000000000110 ?" +b1011 %" +b1011 *" +b1011 1" +b11110 } +b11110 (" +b11110 +" +0. +1% +#5322010 +b11111111010010110111000000000110 N" +#5322500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5323000 +1] +1s +1\ +1[ +0=" +0@" +1r +05" +06" +07" +b11111 3" +0c +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11001000111000000101110001000110 0 +b11001000111000000101110001000110 M +b11001000111000000101110001000110 f +b11001000111000000101110001000110 !" +0. +1% +#5323500 +b101 5 +b101 L +b101 W +14 +b1001 7 +b1001 N +b1001 V +16 +b1011100000010001110110110010 2 +b1011100000010001110110110010 I +b1011100000010001110110110010 Z +b11 3 +b11 J +b11 Y +11 +b101 ' +b101 D +1) +b1001 & +b1001 C +1( +b1011100000010001110110110010 , +b1011100000010001110110110010 H +b11 + +b11 G +1- +1. +0% +#5324000 +0i +b10100001110000011110011011011000 { +b10100001110000011110011011011000 A" +0s +b11110100001011110110111111010110 | +b11110100001011110110111111010110 B" +0\ +0[ +1@" +0g +1:" +1;" +0q +15" +17" +b11100 3" +1_ +b11010 0" +1j +1k +b10110 -" +1y +1u +1b +1a +12" +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011100000010001110110110010 &" +b1011100000010001110110110010 ?" +b11 %" +b11 *" +b11 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#5324010 +b1011100000010001110110110010 F" +#5324500 +b11111 5 +b11111 L +b11111 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5325000 +0k +0j +1s +1\ +1[ +0@" +0h +19" +1q +05" +07" +b11111 3" +0_ +b0 0" +18" +1l +1p +b100111010010001001000011110001 { +b100111010010001001000011110001 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1n +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +b0 } +b0 (" +b0 +" +0#" +b10100001110000011110011011011000 / +b10100001110000011110011011011000 K +b10100001110000011110011011011000 e +b10100001110000011110011011011000 "" +b11110100001011110110111111010110 0 +b11110100001011110110111111010110 M +b11110100001011110110111111010110 f +b11110100001011110110111111010110 !" +0. +1% +#5325500 +b1101 5 +b1101 L +b1101 W +b1101 7 +b1101 N +b1101 V +16 +b1101 ' +b1101 D +b1101 & +b1101 C +1( +1. +0% +#5326000 +1k +0s +b10001100110000110010001000011001 | +b10001100110000110010001000011001 B" +1h +1;" +0q +15" +16" +17" +b10010 0" +08" +0l +b10001100110000110010001000011001 { +b10001100110000110010001000011001 A" +b10010 -" +0t +0y +1z +1u +0n +1w +1," +b100111010010001001000011110001 / +b100111010010001001000011110001 K +b100111010010001001000011110001 e +b100111010010001001000011110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101 ~ +b1101 )" +b1101 ." +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#5326500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5327000 +1i +1s +1g +09" +0:" +0;" +1q +05" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10001100110000110010001000011001 / +b10001100110000110010001000011001 K +b10001100110000110010001000011001 e +b10001100110000110010001000011001 "" +b10001100110000110010001000011001 0 +b10001100110000110010001000011001 M +b10001100110000110010001000011001 f +b10001100110000110010001000011001 !" +0. +1% +#5327500 +b10110100101111100111111001101100 2 +b10110100101111100111111001101100 I +b10110100101111100111111001101100 Z +b11001 3 +b11001 J +b11001 Y +11 +b10110100101111100111111001101100 , +b10110100101111100111111001101100 H +b11001 + +b11001 G +1- +1. +0% +#5328000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110100101111100111111001101100 &" +b10110100101111100111111001101100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +0. +1% +#5328010 +b10110100101111100111111001101100 \" +#5328500 +b1110010110110011101110100100111 2 +b1110010110110011101110100100111 I +b1110010110110011101110100100111 Z +b1100 3 +b1100 J +b1100 Y +b1110010110110011101110100100111 , +b1110010110110011101110100100111 H +b1100 + +b1100 G +1. +0% +#5329000 +1_ +0c +1[ +1@" +1>" +b10011 3" +0<" +0` +1d +0a +b1110010110110011101110100100111 &" +b1110010110110011101110100100111 ?" +b1100 %" +b1100 *" +b1100 1" +0. +1% +#5329010 +b1110010110110011101110100100111 O" +#5329500 +b11101100001101010011011010010100 2 +b11101100001101010011011010010100 I +b11101100001101010011011010010100 Z +b11010 3 +b11010 J +b11010 Y +b11101100001101010011011010010100 , +b11101100001101010011011010010100 H +b11010 + +b11010 G +1. +0% +#5330000 +0_ +1c +0\ +1=" +0>" +b101 3" +1<" +1` +0d +1b +b11101100001101010011011010010100 &" +b11101100001101010011011010010100 ?" +b11010 %" +b11010 *" +b11010 1" +0. +1% +#5330010 +b11101100001101010011011010010100 ]" +#5330500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5331000 +1] +0s +b1010100110101011101111010111001 | +b1010100110101011101111010111001 B" +1\ +0=" +0@" +15" +16" +17" +b11111 3" +0c +0<" +0` +b11 -" +0t +0y +1z +0u +14" +1v +0b +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#5331500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +1. +0% +#5332000 +0i +b11010110100111101011000000001111 { +b11010110100111101011000000001111 A" +1s +0g +19" +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +04" +0v +1m +1/" +0," +b1010100110101011101111010111001 0 +b1010100110101011101111010111001 M +b1010100110101011101111010111001 f +b1010100110101011101111010111001 !" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5332500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +1. +0% +#5333000 +1i +0s +b11001000111000000101110001000110 | +b11001000111000000101110001000110 B" +1g +09" +0:" +0;" +0r +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b11010110100111101011000000001111 / +b11010110100111101011000000001111 K +b11010110100111101011000000001111 e +b11010110100111101011000000001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5333500 +b10 7 +b10 N +b10 V +b11011110001001101110000110 2 +b11011110001001101110000110 I +b11011110001001101110000110 Z +b1111 3 +b1111 J +b1111 Y +11 +b10 & +b10 C +b11011110001001101110000110 , +b11011110001001101110000110 H +b1111 + +b1111 G +1- +1. +0% +#5334000 +0] +1u +1s +0\ +0[ +1=" +1>" +1@" +17" +b11110000010011101000110110110111 | +b11110000010011101000110110110111 B" +05" +06" +b10000 3" +0^ +0c +1d +1_ +b11101 -" +04" +0v +0z +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001000111000000101110001000110 0 +b11001000111000000101110001000110 M +b11001000111000000101110001000110 f +b11001000111000000101110001000110 !" +b11011110001001101110000110 &" +b11011110001001101110000110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10 } +b10 (" +b10 +" +0. +1% +#5334010 +b11011110001001101110000110 R" +#5334500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b10110000001010100010100000011010 2 +b10110000001010100010100000011010 I +b10110000001010100010100000011010 Z +b110 3 +b110 J +b110 Y +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b10110000001010100010100000011010 , +b10110000001010100010100000011010 H +b110 + +b110 G +1. +0% +#5335000 +1^ +b11101001001101100111111110000100 { +b11101001001101100111111110000100 A" +1[ +0=" +1>" +0g +1;" +1r +07" +b11001 3" +0d +b1110 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +1m +1/" +0x +0," +b10110000001010100010100000011010 &" +b10110000001010100010100000011010 ?" +b110 %" +b110 *" +b110 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11110000010011101000110110110111 0 +b11110000010011101000110110110111 M +b11110000010011101000110110110111 f +b11110000010011101000110110110111 !" +0. +1% +#5335010 +b10110000001010100010100000011010 I" +#5335500 +b10011 5 +b10011 L +b10011 W +b1111001010100010001101100100100 2 +b1111001010100010001101100100100 I +b1111001010100010001101100100100 Z +b11111 3 +b11111 J +b11111 Y +b10011 ' +b10011 D +b1111001010100010001101100100100 , +b1111001010100010001101100100100 H +b11111 + +b11111 G +1. +0% +#5336000 +0_ +0^ +0[ +1=" +0h +b0 3" +1<" +1` +1d +b1100 0" +b10111001111110101101100010110001 { +b10111001111110101101100010110001 A" +1a +1n +b11101001001101100111111110000100 / +b11101001001101100111111110000100 K +b11101001001101100111111110000100 e +b11101001001101100111111110000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111001010100010001101100100100 &" +b1111001010100010001101100100100 ?" +b11111 %" +b11111 *" +b11111 1" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#5336500 +b1100 5 +b1100 L +b1100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5337000 +1] +1k +0i +1\ +1[ +0=" +0>" +0@" +1h +1g +1;" +19" +1:" +b11111 3" +0d +0<" +0` +b10011 0" +08" +0l +0j +0o +1p +b1110010110110011101110100100111 { +b1110010110110011101110100100111 A" +0b +0a +02" +0n +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +b10111001111110101101100010110001 / +b10111001111110101101100010110001 K +b10111001111110101101100010110001 e +b10111001111110101101100010110001 "" +0. +1% +#5337500 +b0 5 +b0 L +b0 W +04 +b11010111100100101010010110010101 2 +b11010111100100101010010110010101 I +b11010111100100101010010110010101 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b11010111100100101010010110010101 , +b11010111100100101010010110010101 H +b11101 + +b11101 G +1- +1. +0% +#5338000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +1=" +1>" +1@" +09" +0:" +0;" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +0k +1a +12" +0/" +b1110010110110011101110100100111 / +b1110010110110011101110100100111 K +b1110010110110011101110100100111 e +b1110010110110011101110100100111 "" +b11010111100100101010010110010101 &" +b11010111100100101010010110010101 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5338010 +b11010111100100101010010110010101 `" +#5338500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5339000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5339500 +b10111 5 +b10111 L +b10111 W +14 +b10110 7 +b10110 N +b10110 V +16 +b10111 ' +b10111 D +1) +b10110 & +b10110 C +1( +1. +0% +#5340000 +0i +b1100101001010010100101101000010 { +b1100101001010010100101101000010 A" +0s +b1111101010000111001011100000011 | +b1111101010000111001011100000011 B" +0h +0g +1:" +1;" +0r +16" +17" +b1000 0" +1j +0k +18" +1l +b1001 -" +1t +0u +14" +1v +1n +1m +1/" +1x +1," +b10111 ~ +b10111 )" +b10111 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#5340500 +b1100 5 +b1100 L +b1100 W +b1100 ' +b1100 D +1. +0% +#5341000 +1k +0j +1h +1g +1;" +19" +b10011 0" +08" +0l +1p +b1110010110110011101110100100111 { +b1110010110110011101110100100111 A" +0n +0m +b1100 ~ +b1100 )" +b1100 ." +b1100101001010010100101101000010 / +b1100101001010010100101101000010 K +b1100101001010010100101101000010 e +b1100101001010010100101101000010 "" +b1111101010000111001011100000011 0 +b1111101010000111001011100000011 M +b1111101010000111001011100000011 f +b1111101010000111001011100000011 !" +0. +1% +#5341500 +b1111 5 +b1111 L +b1111 W +b10001 7 +b10001 N +b10001 V +b1111 ' +b1111 D +b10001 & +b10001 C +1. +0% +#5342000 +1s +0h +0g +1r +0q +06" +b10000 0" +b11011110001001101110000110 { +b11011110001001101110000110 A" +b1110 -" +0t +b11101001001101100111111110000100 | +b11101001001101100111111110000100 B" +1n +1m +0x +1w +b1110010110110011101110100100111 / +b1110010110110011101110100100111 K +b1110010110110011101110100100111 e +b1110010110110011101110100100111 "" +b1111 ~ +b1111 )" +b1111 ." +b10001 } +b10001 (" +b10001 +" +0. +1% +#5342500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b0 ' +b0 D +0) +b10110 & +b10110 C +1. +0% +#5343000 +1i +0s +1h +1g +09" +0:" +0;" +0r +1q +16" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +b1111101010000111001011100000011 | +b1111101010000111001011100000011 B" +0n +0m +0/" +1x +0w +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +b11011110001001101110000110 / +b11011110001001101110000110 K +b11011110001001101110000110 e +b11011110001001101110000110 "" +b11101001001101100111111110000100 0 +b11101001001101100111111110000100 M +b11101001001101100111111110000100 f +b11101001001101100111111110000100 !" +0. +1% +#5343500 +b10 7 +b10 N +b10 V +b10 & +b10 C +1. +0% +#5344000 +1u +1s +17" +b11110000010011101000110110110111 | +b11110000010011101000110110110111 B" +06" +b11101 -" +04" +0v +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111101010000111001011100000011 0 +b1111101010000111001011100000011 M +b1111101010000111001011100000011 f +b1111101010000111001011100000011 !" +b10 } +b10 (" +b10 +" +0. +1% +#5344500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b10101001111011100010010100101111 2 +b10101001111011100010010100101111 I +b10101001111011100010010100101111 Z +b1 3 +b1 J +b1 Y +11 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b10101001111011100010010100101111 , +b10101001111011100010010100101111 H +b1 + +b1 G +1- +1. +0% +#5345000 +0i +b10110000001010100010100000011010 { +b10110000001010100010100000011010 A" +0[ +1@" +0h +1:" +1;" +1r +07" +b11110 3" +1_ +b11001 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1n +1/" +0x +0," +b10101001111011100010010100101111 &" +b10101001111011100010010100101111 ?" +b1 %" +b1 *" +b1 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11110000010011101000110110110111 0 +b11110000010011101000110110110111 M +b11110000010011101000110110110111 f +b11110000010011101000110110110111 !" +0. +1% +#5345010 +b10101001111011100010010100101111 D" +#5345500 +b11 5 +b11 L +b11 W +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5346000 +1i +0s +b1100101001010010100101101000010 | +b1100101001010010100101101000010 B" +1[ +0@" +0g +0:" +0r +0q +16" +17" +b11111 3" +0_ +b11100 0" +0j +b1011100000010001110110110010 { +b1011100000010001110110110010 A" +b1000 -" +1t +0u +14" +1v +0a +02" +1m +1x +1w +1," +b10110000001010100010100000011010 / +b10110000001010100010100000011010 K +b10110000001010100010100000011010 e +b10110000001010100010100000011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#5346500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b10011111110011011001110000010 2 +b10011111110011011001110000010 I +b10011111110011011001110000010 Z +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +b10011111110011011001110000010 , +b10011111110011011001110000010 H +1- +1. +0% +#5347000 +1u +0t +1@" +1h +1g +0;" +17" +b11011110001001101110000110 | +b11011110001001101110000110 B" +15" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +04" +0v +1z +12" +0n +0m +0/" +b10011111110011011001110000010 &" +b10011111110011011001110000010 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +b1011100000010001110110110010 / +b1011100000010001110110110010 K +b1011100000010001110110110010 e +b1011100000010001110110110010 "" +b1100101001010010100101101000010 0 +b1100101001010010100101101000010 M +b1100101001010010100101101000010 f +b1100101001010010100101101000010 !" +0. +1% +#5347010 +b10011111110011011001110000010 C" +#5347500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b111 ' +b111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#5348000 +0i +b11010011011110010001001111110011 { +b11010011011110010001001111110011 A" +1s +0@" +0h +0g +1:" +1;" +1r +1q +05" +06" +07" +0_ +b11000 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1n +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011110001001101110000110 0 +b11011110001001101110000110 M +b11011110001001101110000110 f +b11011110001001101110000110 !" +b0 &" +b0 ?" +0'" +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5348500 +b11111 5 +b11111 L +b11111 W +b11111 ' +b11111 D +1. +0% +#5349000 +0k +0j +b1111001010100010001101100100100 { +b1111001010100010001101100100100 A" +19" +b0 0" +18" +1l +1p +b11111 ~ +b11111 )" +b11111 ." +b11010011011110010001001111110011 / +b11010011011110010001001111110011 K +b11010011011110010001001111110011 e +b11010011011110010001001111110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5349500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5350000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b1111001010100010001101100100100 / +b1111001010100010001101100100100 K +b1111001010100010001101100100100 e +b1111001010100010001101100100100 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5350500 +b1101 5 +b1101 L +b1101 W +14 +b11001 7 +b11001 N +b11001 V +16 +b1101 ' +b1101 D +1) +b11001 & +b11001 C +1( +1. +0% +#5351000 +0i +b10001100110000110010001000011001 { +b10001100110000110010001000011001 A" +0s +b10110100101111100111111001101100 | +b10110100101111100111111001101100 B" +0g +19" +1:" +1;" +0q +15" +17" +b10010 0" +0j +0o +1p +1k +b110 -" +1y +0u +14" +1v +1m +1/" +1w +1," +b1101 ~ +b1101 )" +b1101 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5351500 +b10011 5 +b10011 L +b10011 W +b1000 7 +b1000 N +b1000 V +b10011 ' +b10011 D +b1000 & +b1000 C +1. +0% +#5352000 +0k +1i +1u +0h +09" +0:" +1q +17" +b1100 0" +18" +1l +0p +b10111001111110101101100010110001 { +b10111001111110101101100010110001 A" +b10111 -" +04" +0v +b10100010010100001100011100011011 | +b10100010010100001100011100011011 B" +1n +0w +b10001100110000110010001000011001 / +b10001100110000110010001000011001 K +b10001100110000110010001000011001 e +b10001100110000110010001000011001 "" +b10110100101111100111111001101100 0 +b10110100101111100111111001101100 M +b10110100101111100111111001101100 f +b10110100101111100111111001101100 !" +b10011 ~ +b10011 )" +b10011 ." +b1000 } +b1000 (" +b1000 +" +0. +1% +#5352500 +b111 5 +b111 L +b111 W +b10001 7 +b10001 N +b10001 V +b11100011010010001111011011110011 2 +b11100011010010001111011011110011 I +b11100011010010001111011011110011 Z +b11011 3 +b11011 J +b11011 Y +11 +b111 ' +b111 D +b10001 & +b10001 C +b11100011010010001111011011110011 , +b11100011010010001111011011110011 H +b11011 + +b11011 G +1- +1. +0% +#5353000 +0] +1k +0i +0u +1s +0\ +0[ +1=" +1@" +1;" +b11010011011110010001001111110011 { +b11010011011110010001001111110011 A" +1:" +0q +05" +b100 3" +1c +0_ +1<" +1` +b11000 0" +08" +0l +1j +b1110 -" +14" +1v +0y +b11101001001101100111111110000100 | +b11101001001101100111111110000100 B" +1b +1a +12" +1w +b11100011010010001111011011110011 &" +b11100011010010001111011011110011 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b111 ~ +b111 )" +b111 ." +b10001 } +b10001 (" +b10001 +" +b10111001111110101101100010110001 / +b10111001111110101101100010110001 K +b10111001111110101101100010110001 e +b10111001111110101101100010110001 "" +b10100010010100001100011100011011 0 +b10100010010100001100011100011011 M +b10100010010100001100011100011011 f +b10100010010100001100011100011011 !" +0. +1% +#5353010 +b11100011010010001111011011110011 ^" +#5353500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5354000 +19" +1] +0k +1o +1u +1\ +1[ +0=" +0@" +b11100011010010001111011011110011 { +b11100011010010001111011011110011 A" +0:" +1q +17" +b11111 3" +0c +0<" +0` +b100 0" +18" +1l +0j +b11111 -" +04" +0v +b10011111110011011001110000010 | +b10011111110011011001110000010 B" +0b +0a +02" +0w +b11010011011110010001001111110011 / +b11010011011110010001001111110011 K +b11010011011110010001001111110011 e +b11010011011110010001001111110011 "" +b11101001001101100111111110000100 0 +b11101001001101100111111110000100 M +b11101001001101100111111110000100 f +b11101001001101100111111110000100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0. +1% +#5354500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#5355000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +1g +09" +0;" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0u +0n +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +0#" +b11100011010010001111011011110011 / +b11100011010010001111011011110011 K +b11100011010010001111011011110011 e +b11100011010010001111011011110011 "" +b10011111110011011001110000010 0 +b10011111110011011001110000010 M +b10011111110011011001110000010 f +b10011111110011011001110000010 !" +0. +1% +#5355500 +b1000110000001000100111000000111 2 +b1000110000001000100111000000111 I +b1000110000001000100111000000111 Z +b10001 3 +b10001 J +b10001 Y +11 +b1000110000001000100111000000111 , +b1000110000001000100111000000111 H +b10001 + +b10001 G +1- +1. +0% +#5356000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000110000001000100111000000111 &" +b1000110000001000100111000000111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0. +1% +#5356010 +b1000110000001000100111000000111 T" +#5356500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5357000 +1[ +0@" +b11111 3" +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5357500 +b1000 7 +b1000 N +b1000 V +16 +b1000 & +b1000 C +1( +1. +0% +#5358000 +0s +b10100010010100001100011100011011 | +b10100010010100001100011100011011 B" +15" +17" +b10111 -" +1y +1u +1," +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#5358500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#5359000 +0i +b1100101001010010100101101000010 { +b1100101001010010100101101000010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +0g +1:" +1;" +05" +07" +b1000 0" +1j +0k +18" +1l +b11111 -" +0y +0u +1n +1m +1/" +0," +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10100010010100001100011100011011 0 +b10100010010100001100011100011011 M +b10100010010100001100011100011011 f +b10100010010100001100011100011011 !" +0. +1% +#5359500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b111101111001111111000001010111 2 +b111101111001111111000001010111 I +b111101111001111111000001010111 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b111101111001111111000001010111 , +b111101111001111111000001010111 H +b1010 + +b1010 G +1- +1. +0% +#5360000 +0] +1i +0s +b1111101010000111001011100000011 | +b1111101010000111001011100000011 B" +0\ +1=" +1@" +1h +1g +0:" +0;" +0r +16" +17" +b10101 3" +1c +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1001 -" +1t +0u +14" +1v +1b +12" +0n +0m +0/" +1x +1," +b1100101001010010100101101000010 / +b1100101001010010100101101000010 K +b1100101001010010100101101000010 e +b1100101001010010100101101000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111101111001111111000001010111 &" +b111101111001111111000001010111 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#5360010 +b111101111001111111000001010111 M" +#5360500 +b100 7 +b100 N +b100 V +b11000001001110011010010001100101 2 +b11000001001110011010010001100101 I +b11000001001110011010010001100101 Z +b11110 3 +b11110 J +b11110 Y +b100 & +b100 C +b11000001001110011010010001100101 , +b11000001001110011010010001100101 H +b11110 + +b11110 G +1. +0% +#5361000 +0_ +0c +1u +1>" +1r +17" +b1 3" +1<" +1` +1d +b11011 -" +04" +0v +b10011010100011100010101010001001 | +b10011010100011100010101010001001 B" +0x +b11000001001110011010010001100101 &" +b11000001001110011010010001100101 ?" +b11110 %" +b11110 *" +b11110 1" +b100 } +b100 (" +b100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111101010000111001011100000011 0 +b1111101010000111001011100000011 M +b1111101010000111001011100000011 f +b1111101010000111001011100000011 !" +0. +1% +#5361010 +b11000001001110011010010001100101 a" +#5361500 +b1110 7 +b1110 N +b1110 V +b10100110000111100101010111000000 2 +b10100110000111100101010111000000 I +b10100110000111100101010111000000 Z +b1010 3 +b1010 J +b1010 Y +b1110 & +b1110 C +b10100110000111100101010111000000 , +b10100110000111100101010111000000 H +b1010 + +b1010 G +1. +0% +#5362000 +1_ +1c +0t +1@" +1=" +0>" +0r +15" +b10101 3" +0<" +0` +0d +b10001 -" +1z +b11100011101000010011001111111110 | +b11100011101000010011001111111110 B" +1x +b10011010100011100010101010001001 0 +b10011010100011100010101010001001 M +b10011010100011100010101010001001 f +b10011010100011100010101010001001 !" +b10100110000111100101010111000000 &" +b10100110000111100101010111000000 ?" +b1010 %" +b1010 *" +b1010 1" +b1110 } +b1110 (" +b1110 +" +0. +1% +#5362010 +b10100110000111100101010111000000 M" +#5362500 +b11010 7 +b11010 N +b11010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5363000 +1] +0u +1y +1\ +0=" +0@" +b11101100001101010011011010010100 | +b11101100001101010011011010010100 B" +15" +06" +b11111 3" +0c +0_ +b101 -" +14" +1v +0z +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +b11100011101000010011001111111110 0 +b11100011101000010011001111111110 M +b11100011101000010011001111111110 f +b11100011101000010011001111111110 !" +0. +1% +#5363500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5364000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b11101100001101010011011010010100 0 +b11101100001101010011011010010100 M +b11101100001101010011011010010100 f +b11101100001101010011011010010100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5364500 +1. +0% +#5365000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5365500 +b11111 5 +b11111 L +b11111 W +14 +b11111 ' +b11111 D +1) +1. +0% +#5366000 +0i +b1111001010100010001101100100100 { +b1111001010100010001101100100100 A" +0h +0g +19" +1:" +1;" +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#5366500 +b1110 5 +b1110 L +b1110 W +b10111 7 +b10111 N +b10111 V +16 +b10100100110100110000001010011 2 +b10100100110100110000001010011 I +b10100100110100110000001010011 Z +b11010 3 +b11010 J +b11010 Y +11 +b1110 ' +b1110 D +b10111 & +b10111 C +1( +b10100100110100110000001010011 , +b10100100110100110000001010011 H +b11010 + +b11010 G +1- +1. +0% +#5367000 +0] +1k +0s +b1100101001010010100101101000010 | +b1100101001010010100101101000010 B" +0\ +1=" +1@" +1g +1;" +0r +0q +16" +17" +b101 3" +1c +0_ +1<" +1` +b10001 0" +08" +0l +b11100011101000010011001111111110 { +b11100011101000010011001111111110 A" +b1000 -" +1t +0u +14" +1v +1b +12" +0m +1x +1w +1," +b10100100110100110000001010011 &" +b10100100110100110000001010011 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b10111 } +b10111 (" +b10111 +" +1#" +b1111001010100010001101100100100 / +b1111001010100010001101100100100 K +b1111001010100010001101100100100 e +b1111001010100010001101100100100 "" +0. +1% +#5367010 +b10100100110100110000001010011 ]" +#5367500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5368000 +1] +1i +1s +1\ +0=" +0@" +1h +09" +0:" +0;" +1r +1q +06" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0/" +0x +0w +0," +b11100011101000010011001111111110 / +b11100011101000010011001111111110 K +b11100011101000010011001111111110 e +b11100011101000010011001111111110 "" +b1100101001010010100101101000010 0 +b1100101001010010100101101000010 M +b1100101001010010100101101000010 f +b1100101001010010100101101000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5368500 +b1010 5 +b1010 L +b1010 W +14 +b110010010000011111011100011111 2 +b110010010000011111011100011111 I +b110010010000011111011100011111 Z +b110 3 +b110 J +b110 Y +11 +b1010 ' +b1010 D +1) +b110010010000011111011100011111 , +b110010010000011111011100011111 H +b110 + +b110 G +1- +1. +0% +#5369000 +0] +0i +b10100110000111100101010111000000 { +b10100110000111100101010111000000 A" +0\ +1>" +1@" +0h +19" +1;" +b11001 3" +1^ +1_ +b10101 0" +1o +1k +1b +12" +1n +1/" +b110010010000011111011100011111 &" +b110010010000011111011100011111 ?" +b110 %" +b110 *" +b110 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5369010 +b110010010000011111011100011111 I" +#5369500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5370000 +1] +1i +1\ +0>" +0@" +1h +09" +0;" +b11111 3" +0^ +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0/" +b10100110000111100101010111000000 / +b10100110000111100101010111000000 K +b10100110000111100101010111000000 e +b10100110000111100101010111000000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5370500 +b10110 7 +b10110 N +b10110 V +16 +b10110 & +b10110 C +1( +1. +0% +#5371000 +0s +b1111101010000111001011100000011 | +b1111101010000111001011100000011 B" +0r +16" +17" +b1001 -" +1t +0u +14" +1v +1x +1," +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5371500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +1. +0% +#5372000 +0i +b1110010110110011101110100100111 { +b1110010110110011101110100100111 A" +1s +19" +1:" +1;" +1r +06" +07" +b10011 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0," +b1111101010000111001011100000011 0 +b1111101010000111001011100000011 M +b1111101010000111001011100000011 f +b1111101010000111001011100000011 !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5372500 +b1101 5 +b1101 L +b1101 W +b11001 7 +b11001 N +b11001 V +16 +b1101 ' +b1101 D +b11001 & +b11001 C +1( +1. +0% +#5373000 +0s +b10110100101111100111111001101100 | +b10110100101111100111111001101100 B" +0g +0q +15" +17" +b10010 0" +b10001100110000110010001000011001 { +b10001100110000110010001000011001 A" +b110 -" +1y +0u +14" +1v +1m +1w +1," +b1101 ~ +b1101 )" +b1101 ." +b11001 } +b11001 (" +b11001 +" +1#" +b1110010110110011101110100100111 / +b1110010110110011101110100100111 K +b1110010110110011101110100100111 e +b1110010110110011101110100100111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5373500 +b11000 5 +b11000 L +b11000 W +b0 7 +b0 N +b0 V +06 +b110010101011110101101101111010 2 +b110010101011110101101101111010 I +b110010101011110101101101111010 Z +b10 3 +b10 J +b10 Y +11 +b11000 ' +b11000 D +b0 & +b0 C +0( +b110010101011110101101101111010 , +b110010101011110101101101111010 H +b10 + +b10 G +1- +1. +0% +#5374000 +0k +1o +1s +0\ +1@" +1g +19" +0:" +1q +05" +07" +b11101 3" +1_ +b111 0" +18" +1l +0p +b1011101110111100110110101110010 { +b1011101110111100110110101110010 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0m +0w +0," +b10001100110000110010001000011001 / +b10001100110000110010001000011001 K +b10001100110000110010001000011001 e +b10001100110000110010001000011001 "" +b10110100101111100111111001101100 0 +b10110100101111100111111001101100 M +b10110100101111100111111001101100 f +b10110100101111100111111001101100 !" +b110010101011110101101101111010 &" +b110010101011110101101101111010 ?" +b10 %" +b10 *" +b10 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5374010 +b110010101011110101101101111010 E" +#5374500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b10101100101001111110111000101111 2 +b10101100101001111110111000101111 I +b10101100101001111110111000101111 Z +b1001 3 +b1001 J +b1001 Y +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b10101100101001111110111000101111 , +b10101100101001111110111000101111 H +b1001 + +b1001 G +1. +0% +#5375000 +0] +1i +0s +b10100110000111100101010111000000 | +b10100110000111100101010111000000 B" +1\ +0[ +1=" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +15" +17" +b10110 3" +1c +b11111 0" +0o +08" +0l +b10101 -" +1y +1u +0b +1a +0/" +1x +1," +b10101100101001111110111000101111 &" +b10101100101001111110111000101111 ?" +b1001 %" +b1001 *" +b1001 1" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +b1011101110111100110110101110010 / +b1011101110111100110110101110010 K +b1011101110111100110110101110010 e +b1011101110111100110110101110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5375010 +b10101100101001111110111000101111 L" +#5375500 +b0 7 +b0 N +b0 V +06 +b11000011111101011010111100100001 2 +b11000011111101011010111100100001 I +b11000011111101011010111100100001 Z +b0 & +b0 C +0( +b11000011111101011010111100100001 , +b11000011111101011010111100100001 H +1. +0% +#5376000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100110000111100101010111000000 0 +b10100110000111100101010111000000 M +b10100110000111100101010111000000 f +b10100110000111100101010111000000 !" +b11000011111101011010111100100001 &" +b11000011111101011010111100100001 ?" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5376010 +b11000011111101011010111100100001 L" +#5376500 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5377000 +1] +0s +b10100010010100001100011100011011 | +b10100010010100001100011100011011 B" +1[ +0=" +0@" +15" +17" +b11111 3" +0c +0_ +b10111 -" +1y +1u +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 } +b1000 (" +b1000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5377500 +b0 7 +b0 N +b0 V +06 +b10110011101111111101101101001010 2 +b10110011101111111101101101001010 I +b10110011101111111101101101001010 Z +b10 3 +b10 J +b10 Y +11 +b0 & +b0 C +0( +b10110011101111111101101101001010 , +b10110011101111111101101101001010 H +b10 + +b10 G +1- +1. +0% +#5378000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1@" +05" +07" +b11101 3" +1_ +b11111 -" +0y +0u +1b +12" +0," +b10100010010100001100011100011011 0 +b10100010010100001100011100011011 M +b10100010010100001100011100011011 f +b10100010010100001100011100011011 !" +b10110011101111111101101101001010 &" +b10110011101111111101101101001010 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5378010 +b10110011101111111101101101001010 E" +#5378500 +b100 5 +b100 L +b100 W +14 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5379000 +0i +b10011010100011100010101010001001 { +b10011010100011100010101010001001 A" +0s +b11010111100100101010010110010101 | +b11010111100100101010010110010101 B" +1\ +0@" +1:" +1;" +0q +15" +16" +17" +b11111 3" +0_ +b11011 0" +1j +1k +b10 -" +0t +0y +1z +0u +14" +1v +0b +02" +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5379500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +b0 & +b0 C +0( +1. +0% +#5380000 +1i +1s +0h +0g +0:" +1q +05" +06" +07" +b11100 0" +0j +b1011100000010001110110110010 { +b1011100000010001110110110010 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +0w +0," +b10011010100011100010101010001001 / +b10011010100011100010101010001001 K +b10011010100011100010101010001001 e +b10011010100011100010101010001001 "" +b11010111100100101010010110010101 0 +b11010111100100101010010110010101 M +b11010111100100101010010110010101 f +b11010111100100101010010110010101 !" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5380500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5381000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1011100000010001110110110010 / +b1011100000010001110110110010 K +b1011100000010001110110110010 e +b1011100000010001110110110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5381500 +1. +0% +#5382000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5382500 +b11001 5 +b11001 L +b11001 W +14 +b111000011101001000011011000111 2 +b111000011101001000011011000111 I +b111000011101001000011011000111 Z +b111 3 +b111 J +b111 Y +11 +b11001 ' +b11001 D +1) +b111000011101001000011011000111 , +b111000011101001000011011000111 H +b111 + +b111 G +1- +1. +0% +#5383000 +0] +0i +b10110100101111100111111001101100 { +b10110100101111100111111001101100 A" +0\ +0[ +1>" +1@" +0g +19" +1;" +b11000 3" +1^ +1_ +b110 0" +1o +0k +18" +1l +1b +1a +12" +1m +1/" +b111000011101001000011011000111 &" +b111000011101001000011011000111 ?" +b111 %" +b111 *" +b111 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#5383010 +b111000011101001000011011000111 J" +#5383500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5384000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b10110100101111100111111001101100 / +b10110100101111100111111001101100 K +b10110100101111100111111001101100 e +b10110100101111100111111001101100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5384500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5385000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5385500 +b11001 5 +b11001 L +b11001 W +14 +b11001 ' +b11001 D +1) +1. +0% +#5386000 +0i +b10110100101111100111111001101100 { +b10110100101111100111111001101100 A" +0g +19" +1;" +b110 0" +1o +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#5386500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5387000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10110100101111100111111001101100 / +b10110100101111100111111001101100 K +b10110100101111100111111001101100 e +b10110100101111100111111001101100 "" +0. +1% +#5387500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#5388000 +0s +b111000011101001000011011000111 | +b111000011101001000011011000111 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#5388500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +1. +0% +#5389000 +b1000110000001000100111000000111 { +b1000110000001000100111000000111 A" +1s +0g +1;" +1r +1q +06" +07" +b1110 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0w +0," +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b111000011101001000011011000111 0 +b111000011101001000011011000111 M +b111000011101001000011011000111 f +b111000011101001000011011000111 !" +0. +1% +#5389500 +b111 5 +b111 L +b111 W +b1011 7 +b1011 N +b1011 V +16 +b111 ' +b111 D +b1011 & +b1011 C +1( +1. +0% +#5390000 +1k +0i +0s +b11111111010010110111000000000110 | +b11111111010010110111000000000110 B" +0h +1;" +1:" +0r +0q +15" +17" +b11000 0" +08" +0l +1j +b111000011101001000011011000111 { +b111000011101001000011011000111 A" +b10100 -" +1y +1u +1n +1x +1w +1," +b1000110000001000100111000000111 / +b1000110000001000100111000000111 K +b1000110000001000100111000000111 e +b1000110000001000100111000000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 ~ +b111 )" +b111 ." +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#5390500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1101111111010101101100110000011 2 +b1101111111010101101100110000011 I +b1101111111010101101100110000011 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1101111111010101101100110000011 , +b1101111111010101101100110000011 H +b1101 + +b1101 G +1- +1. +0% +#5391000 +0] +1i +1s +0[ +1=" +1>" +1@" +1h +1g +0:" +0;" +1r +1q +05" +07" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0n +0m +0/" +0x +0w +0," +b1101111111010101101100110000011 &" +b1101111111010101101100110000011 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b111000011101001000011011000111 / +b111000011101001000011011000111 K +b111000011101001000011011000111 e +b111000011101001000011011000111 "" +b11111111010010110111000000000110 0 +b11111111010010110111000000000110 M +b11111111010010110111000000000110 f +b11111111010010110111000000000110 !" +0. +1% +#5391010 +b1101111111010101101100110000011 P" +#5391500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5392000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5392500 +1. +0% +#5393000 +0. +1% +#5393500 +1. +0% +#5394000 +0. +1% +#5394500 +b110 5 +b110 L +b110 W +14 +b110 ' +b110 D +1) +1. +0% +#5395000 +0i +b110010010000011111011100011111 { +b110010010000011111011100011111 A" +0h +1:" +1;" +b11001 0" +1j +1k +1n +1/" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#5395500 +b101 5 +b101 L +b101 W +b1010001001110100101101110011110 2 +b1010001001110100101101110011110 I +b1010001001110100101101110011110 Z +b11110 3 +b11110 J +b11110 Y +11 +b101 ' +b101 D +b1010001001110100101101110011110 , +b1010001001110100101101110011110 H +b11110 + +b11110 G +1- +1. +0% +#5396000 +0] +0\ +1=" +1>" +1@" +1h +0g +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11010 0" +b10100001110000011110011011011000 { +b10100001110000011110011011011000 A" +1b +12" +0n +1m +b110010010000011111011100011111 / +b110010010000011111011100011111 K +b110010010000011111011100011111 e +b110010010000011111011100011111 "" +b1010001001110100101101110011110 &" +b1010001001110100101101110011110 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b101 ~ +b101 )" +b101 ." +0. +1% +#5396010 +b1010001001110100101101110011110 a" +#5396500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5397000 +1] +1i +0s +b10100010010100001100011100011011 | +b10100010010100001100011100011011 B" +1\ +0=" +0>" +0@" +1g +0:" +0;" +15" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +0b +02" +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b10100001110000011110011011011000 / +b10100001110000011110011011011000 K +b10100001110000011110011011011000 e +b10100001110000011110011011011000 "" +0. +1% +#5397500 +b0 7 +b0 N +b0 V +06 +b100011100100100000111001111110 2 +b100011100100100000111001111110 I +b100011100100100000111001111110 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 & +b0 C +0( +b100011100100100000111001111110 , +b100011100100100000111001111110 H +b11100 + +b11100 G +1- +1. +0% +#5398000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +1>" +1@" +05" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0y +0u +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100010010100001100011100011011 0 +b10100010010100001100011100011011 M +b10100010010100001100011100011011 f +b10100010010100001100011100011011 !" +b100011100100100000111001111110 &" +b100011100100100000111001111110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5398010 +b100011100100100000111001111110 _" +#5398500 +b11100 5 +b11100 L +b11100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5399000 +1] +0i +b100011100100100000111001111110 { +b100011100100100000111001111110 A" +0=" +0>" +0@" +19" +1:" +1;" +b11111 3" +0d +0<" +0` +b11 0" +0j +0o +1p +0k +18" +1l +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5399500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +1. +0% +#5400000 +1i +0s +b1110010110110011101110100100111 | +b1110010110110011101110100100111 B" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +16" +17" +b11111 0" +0p +08" +0l +b10011 -" +0t +0y +1z +1u +0/" +1," +b100011100100100000111001111110 / +b100011100100100000111001111110 K +b100011100100100000111001111110 e +b100011100100100000111001111110 "" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#5400500 +b0 7 +b0 N +b0 V +06 +b10010111110011101011110101011100 2 +b10010111110011101011110101011100 I +b10010111110011101011110101011100 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b10010111110011101011110101011100 , +b10010111110011101011110101011100 H +b111 + +b111 G +1- +1. +0% +#5401000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1>" +1@" +05" +06" +07" +b11000 3" +1^ +1_ +b11111 -" +0z +0u +1b +1a +12" +0," +b10010111110011101011110101011100 &" +b10010111110011101011110101011100 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110010110110011101110100100111 0 +b1110010110110011101110100100111 M +b1110010110110011101110100100111 f +b1110010110110011101110100100111 !" +0. +1% +#5401010 +b10010111110011101011110101011100 J" +#5401500 +b1010110111100010110101111000111 2 +b1010110111100010110101111000111 I +b1010110111100010110101111000111 Z +b10010 3 +b10010 J +b10010 Y +b1010110111100010110101111000111 , +b1010110111100010110101111000111 H +b10010 + +b10010 G +1. +0% +#5402000 +0_ +1] +1[ +0>" +b1101 3" +1<" +1` +0^ +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010110111100010110101111000111 &" +b1010110111100010110101111000111 ?" +b10010 %" +b10010 *" +b10010 1" +0. +1% +#5402010 +b1010110111100010110101111000111 U" +#5402500 +b11011 5 +b11011 L +b11011 W +14 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5403000 +0i +b11100011010010001111011011110011 { +b11100011010010001111011011110011 A" +0s +b11100011101000010011001111111110 | +b11100011101000010011001111111110 B" +1\ +0@" +0h +0g +19" +1;" +0r +15" +16" +17" +b11111 3" +0<" +0` +b100 0" +1o +0k +18" +1l +b10001 -" +0t +0y +1z +1u +0b +02" +1n +1m +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#5403500 +b11010 5 +b11010 L +b11010 W +b0 7 +b0 N +b0 V +06 +b10100100100101001111110001100010 2 +b10100100100101001111110001100010 I +b10100100100101001111110001100010 Z +b11110 3 +b11110 J +b11110 Y +11 +b11010 ' +b11010 D +b0 & +b0 C +0( +b10100100100101001111110001100010 , +b10100100100101001111110001100010 H +b11110 + +b11110 G +1- +1. +0% +#5404000 +0] +1s +0\ +1=" +1>" +1@" +1g +1r +05" +06" +07" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b101 0" +b10100100110100110000001010011 { +b10100100110100110000001010011 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0m +0x +0," +b11100011010010001111011011110011 / +b11100011010010001111011011110011 K +b11100011010010001111011011110011 e +b11100011010010001111011011110011 "" +b11100011101000010011001111111110 0 +b11100011101000010011001111111110 M +b11100011101000010011001111111110 f +b11100011101000010011001111111110 !" +b10100100100101001111110001100010 &" +b10100100100101001111110001100010 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5404010 +b10100100100101001111110001100010 a" +#5404500 +b0 5 +b0 L +b0 W +04 +b11011000011001100110000011111011 2 +b11011000011001100110000011111011 I +b11011000011001100110000011111011 Z +b1101 3 +b1101 J +b1101 Y +b0 ' +b0 D +0) +b11011000011001100110000011111011 , +b11011000011001100110000011111011 H +b1101 + +b1101 G +1. +0% +#5405000 +1_ +1i +1\ +0[ +1@" +1h +09" +0;" +b10010 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +1a +0n +0/" +b11011000011001100110000011111011 &" +b11011000011001100110000011111011 ?" +b1101 %" +b1101 *" +b1101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10100100110100110000001010011 / +b10100100110100110000001010011 K +b10100100110100110000001010011 e +b10100100110100110000001010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5405010 +b11011000011001100110000011111011 P" +#5405500 +b10000001100000101001111011011000 2 +b10000001100000101001111011011000 I +b10000001100000101001111011011000 Z +b10010 3 +b10010 J +b10010 Y +b10000001100000101001111011011000 , +b10000001100000101001111011011000 H +b10010 + +b10010 G +1. +0% +#5406000 +0_ +1] +0\ +1[ +0=" +0>" +b1101 3" +1<" +1` +0d +1b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000001100000101001111011011000 &" +b10000001100000101001111011011000 ?" +b10010 %" +b10010 *" +b10010 1" +0. +1% +#5406010 +b10000001100000101001111011011000 U" +#5406500 +b100 5 +b100 L +b100 W +14 +b1011001010100100000000010010000 2 +b1011001010100100000000010010000 I +b1011001010100100000000010010000 Z +b11000 3 +b11000 J +b11000 Y +b100 ' +b100 D +1) +b1011001010100100000000010010000 , +b1011001010100100000000010010000 H +b11000 + +b11000 G +1. +0% +#5407000 +0] +0i +b10011010100011100010101010001001 { +b10011010100011100010101010001001 A" +1\ +1=" +1:" +1;" +b111 3" +1c +b11011 0" +1j +1k +0b +1/" +b1011001010100100000000010010000 &" +b1011001010100100000000010010000 ?" +b11000 %" +b11000 *" +b11000 1" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#5407010 +b1011001010100100000000010010000 [" +#5407500 +b0 5 +b0 L +b0 W +04 +b11011110111011011011110110110011 2 +b11011110111011011011110110110011 I +b11011110111011011011110110110011 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b11011110111011011011110110110011 , +b11011110111011011011110110110011 H +b11100 + +b11100 G +1. +0% +#5408000 +0c +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1>" +0:" +0;" +b11 3" +1d +b11111 0" +0j +0k +0/" +b10011010100011100010101010001001 / +b10011010100011100010101010001001 K +b10011010100011100010101010001001 e +b10011010100011100010101010001001 "" +b11011110111011011011110110110011 &" +b11011110111011011011110110110011 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5408010 +b11011110111011011011110110110011 _" +#5408500 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5409000 +1] +0s +b11111111010010110111000000000110 | +b11111111010010110111000000000110 B" +0=" +0>" +0@" +0r +0q +15" +17" +b11111 3" +0d +0<" +0` +b10100 -" +1y +1u +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5409500 +b0 7 +b0 N +b0 V +06 +b11100011100110111010101000011001 2 +b11100011100110111010101000011001 I +b11100011100110111010101000011001 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 & +b0 C +0( +b11100011100110111010101000011001 , +b11100011100110111010101000011001 H +b11001 + +b11001 G +1- +1. +0% +#5410000 +0] +1s +0[ +1=" +1@" +1r +1q +05" +07" +b110 3" +1c +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0w +0," +b11111111010010110111000000000110 0 +b11111111010010110111000000000110 M +b11111111010010110111000000000110 f +b11111111010010110111000000000110 !" +b11100011100110111010101000011001 &" +b11100011100110111010101000011001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5410010 +b11100011100110111010101000011001 \" +#5410500 +b101011001011111110010000110110 2 +b101011001011111110010000110110 I +b101011001011111110010000110110 Z +b11010 3 +b11010 J +b11010 Y +b101011001011111110010000110110 , +b101011001011111110010000110110 H +b11010 + +b11010 G +1. +0% +#5411000 +0\ +1[ +b101 3" +1b +0a +b101011001011111110010000110110 &" +b101011001011111110010000110110 ?" +b11010 %" +b11010 *" +b11010 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5411010 +b101011001011111110010000110110 ]" +#5411500 +b10010 5 +b10010 L +b10010 W +14 +b10100111011001001000001001011011 2 +b10100111011001001000001001011011 I +b10100111011001001000001001011011 Z +b10 3 +b10 J +b10 Y +b10010 ' +b10010 D +1) +b10100111011001001000001001011011 , +b10100111011001001000001001011011 H +b10 + +b10 G +1. +0% +#5412000 +1_ +1] +b10000001100000101001111011011000 { +b10000001100000101001111011011000 A" +1@" +0=" +0h +1;" +b11101 3" +0<" +0` +0c +b1101 0" +0k +18" +1l +1n +1/" +b10100111011001001000001001011011 &" +b10100111011001001000001001011011 ?" +b10 %" +b10 *" +b10 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#5412010 +b10100111011001001000001001011011 E" +#5412500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5413000 +1\ +0@" +1h +0;" +b11111 3" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10000001100000101001111011011000 / +b10000001100000101001111011011000 K +b10000001100000101001111011011000 e +b10000001100000101001111011011000 "" +0. +1% +#5413500 +b11010 7 +b11010 N +b11010 V +16 +b11010 & +b11010 C +1( +1. +0% +#5414000 +0s +b101011001011111110010000110110 | +b101011001011111110010000110110 B" +0r +15" +17" +b101 -" +1y +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#5414500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b1100010000101110110111111110100 2 +b1100010000101110110111111110100 I +b1100010000101110110111111110100 Z +b1111 3 +b1111 J +b1111 Y +11 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b1100010000101110110111111110100 , +b1100010000101110110111111110100 H +b1111 + +b1111 G +1- +1. +0% +#5415000 +0] +0i +b1111001010100010001101100100100 { +b1111001010100010001101100100100 A" +1s +0\ +0[ +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +1r +05" +07" +b10000 3" +0^ +0c +1d +1_ +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1m +1/" +0x +0," +b1100010000101110110111111110100 &" +b1100010000101110110111111110100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b101011001011111110010000110110 0 +b101011001011111110010000110110 M +b101011001011111110010000110110 f +b101011001011111110010000110110 !" +0. +1% +#5415010 +b1100010000101110110111111110100 R" +#5415500 +b1011 5 +b1011 L +b1011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5416000 +1] +1k +1o +1\ +1[ +0=" +0>" +0@" +1;" +b11111111010010110111000000000110 { +b11111111010010110111000000000110 A" +19" +0:" +b11111 3" +0d +0_ +b10100 0" +08" +0l +0p +0b +0a +02" +b1111001010100010001101100100100 / +b1111001010100010001101100100100 K +b1111001010100010001101100100100 e +b1111001010100010001101100100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#5416500 +b100 5 +b100 L +b100 W +b111111111111111101110111101101 2 +b111111111111111101110111101101 I +b111111111111111101110111101101 Z +b10010 3 +b10010 J +b10010 Y +11 +b100 ' +b100 D +b111111111111111101110111101101 , +b111111111111111101110111101101 H +b10010 + +b10010 G +1- +1. +0% +#5417000 +1:" +1j +0\ +1@" +1h +1g +09" +b1101 3" +0_ +1<" +1` +b11011 0" +0o +b10011010100011100010101010001001 { +b10011010100011100010101010001001 A" +1b +12" +0n +0m +b111111111111111101110111101101 &" +b111111111111111101110111101101 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b100 ~ +b100 )" +b100 ." +b11111111010010110111000000000110 / +b11111111010010110111000000000110 K +b11111111010010110111000000000110 e +b11111111010010110111000000000110 "" +0. +1% +#5417010 +b111111111111111101110111101101 U" +#5417500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5418000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +0@" +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0j +0k +0b +02" +0/" +b10011010100011100010101010001001 / +b10011010100011100010101010001001 K +b10011010100011100010101010001001 e +b10011010100011100010101010001001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5418500 +b10 5 +b10 L +b10 W +14 +b10101 7 +b10101 N +b10101 V +16 +b100100111001011100111100100 2 +b100100111001011100111100100 I +b100100111001011100111100100 Z +b1010 3 +b1010 J +b1010 Y +11 +b10 ' +b10 D +1) +b10101 & +b10101 C +1( +b100100111001011100111100100 , +b100100111001011100111100100 H +b1010 + +b1010 G +1- +1. +0% +#5419000 +0] +b10100111011001001000001001011011 { +b10100111011001001000001001011011 A" +0s +b1010010111101100001111011011101 | +b1010010111101100001111011011101 B" +0\ +1=" +1@" +0h +1;" +0q +16" +17" +b10101 3" +1c +1_ +b11101 0" +1k +b1010 -" +1t +0u +14" +1v +1b +12" +1n +1/" +1w +1," +b100100111001011100111100100 &" +b100100111001011100111100100 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5419010 +b100100111001011100111100100 M" +#5419500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5420000 +1] +0k +0i +1s +1\ +0=" +0@" +1h +0g +19" +1q +06" +07" +b11111 3" +0c +0_ +b110 0" +18" +1l +1o +b11100011100110111010101000011001 { +b11100011100110111010101000011001 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +1m +0w +0," +b10100111011001001000001001011011 / +b10100111011001001000001001011011 K +b10100111011001001000001001011011 e +b10100111011001001000001001011011 "" +b1010010111101100001111011011101 0 +b1010010111101100001111011011101 M +b1010010111101100001111011011101 f +b1010010111101100001111011011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5420500 +b0 5 +b0 L +b0 W +04 +b11000101111101111000000110100100 2 +b11000101111101111000000110100100 I +b11000101111101111000000110100100 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b11000101111101111000000110100100 , +b11000101111101111000000110100100 H +b1101 + +b1101 G +1- +1. +0% +#5421000 +0] +1i +0[ +1=" +1>" +1@" +1g +09" +0;" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0m +0/" +b11000101111101111000000110100100 &" +b11000101111101111000000110100100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100011100110111010101000011001 / +b11100011100110111010101000011001 K +b11100011100110111010101000011001 e +b11100011100110111010101000011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5421010 +b11000101111101111000000110100100 P" +#5421500 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5422000 +1] +0s +b1100101001010010100101101000010 | +b1100101001010010100101101000010 B" +1[ +0=" +0>" +0@" +0r +0q +16" +17" +b11111 3" +0d +0_ +b1000 -" +1t +0u +14" +1v +0a +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#5422500 +b1011 7 +b1011 N +b1011 V +b11001101011100101110000011111011 2 +b11001101011100101110000011111011 I +b11001101011100101110000011111011 Z +b11000 3 +b11000 J +b11000 Y +11 +b1011 & +b1011 C +b11001101011100101110000011111011 , +b11001101011100101110000011111011 H +b11000 + +b11000 G +1- +1. +0% +#5423000 +15" +0] +1u +1y +1=" +1@" +17" +b11111111010010110111000000000110 | +b11111111010010110111000000000110 B" +06" +b111 3" +1c +0_ +1<" +1` +b10100 -" +04" +0v +0t +12" +b11001101011100101110000011111011 &" +b11001101011100101110000011111011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b1011 } +b1011 (" +b1011 +" +b1100101001010010100101101000010 0 +b1100101001010010100101101000010 M +b1100101001010010100101101000010 f +b1100101001010010100101101000010 !" +0. +1% +#5423010 +b11001101011100101110000011111011 [" +#5423500 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5424000 +1] +0y +0=" +0@" +1q +16" +b11111 3" +0c +0<" +0` +b10001 -" +1z +b11100011101000010011001111111110 | +b11100011101000010011001111111110 B" +02" +0w +b11111111010010110111000000000110 0 +b11111111010010110111000000000110 M +b11111111010010110111000000000110 f +b11111111010010110111000000000110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +0. +1% +#5424500 +b11000 7 +b11000 N +b11000 V +b11000 & +b11000 C +1. +0% +#5425000 +0u +1y +1r +15" +06" +b111 -" +14" +1v +0z +b11001101011100101110000011111011 | +b11001101011100101110000011111011 B" +0x +b11000 } +b11000 (" +b11000 +" +b11100011101000010011001111111110 0 +b11100011101000010011001111111110 M +b11100011101000010011001111111110 f +b11100011101000010011001111111110 !" +0. +1% +#5425500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5426000 +1s +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0y +04" +0v +0," +b11001101011100101110000011111011 0 +b11001101011100101110000011111011 M +b11001101011100101110000011111011 f +b11001101011100101110000011111011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5426500 +b10111 7 +b10111 N +b10111 V +16 +b10111 & +b10111 C +1( +1. +0% +#5427000 +0s +b1100101001010010100101101000010 | +b1100101001010010100101101000010 B" +0r +0q +16" +17" +b1000 -" +1t +0u +14" +1v +1x +1w +1," +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5427500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5428000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b1100101001010010100101101000010 0 +b1100101001010010100101101000010 M +b1100101001010010100101101000010 f +b1100101001010010100101101000010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5428500 +1. +0% +#5429000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5429500 +b1100010111101010001001000010010 2 +b1100010111101010001001000010010 I +b1100010111101010001001000010010 Z +b111 3 +b111 J +b111 Y +11 +b1100010111101010001001000010010 , +b1100010111101010001001000010010 H +b111 + +b111 G +1- +1. +0% +#5430000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b1100010111101010001001000010010 &" +b1100010111101010001001000010010 ?" +b111 %" +b111 *" +b111 1" +1'" +0. +1% +#5430010 +b1100010111101010001001000010010 J" +#5430500 +b1010 7 +b1010 N +b1010 V +16 +b10101111100110011001010001110 2 +b10101111100110011001010001110 I +b10101111100110011001010001110 Z +b10100 3 +b10100 J +b10100 Y +b1010 & +b1010 C +1( +b10101111100110011001010001110 , +b10101111100110011001010001110 H +b10100 + +b10100 G +1. +0% +#5431000 +0_ +0s +b100100111001011100111100100 | +b100100111001011100111100100 B" +1\ +1[ +0r +15" +17" +b1011 3" +1<" +1` +b10101 -" +1y +1u +0b +0a +1x +1," +b10101111100110011001010001110 &" +b10101111100110011001010001110 ?" +b10100 %" +b10100 *" +b10100 1" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#5431010 +b10101111100110011001010001110 W" +#5431500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b1100001111100010011111110011110 2 +b1100001111100010011111110011110 I +b1100001111100010011111110011110 Z +b1110 3 +b1110 J +b1110 Y +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b1100001111100010011111110011110 , +b1100001111100010011111110011110 H +b1110 + +b1110 G +1. +0% +#5432000 +1_ +0^ +0i +b10100100100101001111110001100010 { +b10100100100101001111110001100010 A" +1s +0\ +1@" +1=" +0h +19" +1:" +1;" +1r +05" +07" +b10001 3" +0<" +0` +1d +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1n +1/" +0x +0," +b100100111001011100111100100 0 +b100100111001011100111100100 M +b100100111001011100111100100 f +b100100111001011100111100100 !" +b1100001111100010011111110011110 &" +b1100001111100010011111110011110 ?" +b1110 %" +b1110 *" +b1110 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5432010 +b1100001111100010011111110011110 Q" +#5432500 +b111 5 +b111 L +b111 W +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5433000 +1] +1k +1j +0s +b11001101011100101110000011111011 | +b11001101011100101110000011111011 B" +1\ +0=" +0>" +0@" +0g +1;" +09" +1:" +15" +17" +b11111 3" +0d +0_ +b11000 0" +08" +0l +0p +b1100010111101010001001000010010 { +b1100010111101010001001000010010 A" +b111 -" +1y +0u +14" +1v +0b +02" +1m +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +b11000 } +b11000 (" +b11000 +" +1#" +b10100100100101001111110001100010 / +b10100100100101001111110001100010 K +b10100100100101001111110001100010 e +b10100100100101001111110001100010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5433500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11101101001101000111111010111111 2 +b11101101001101000111111010111111 I +b11101101001101000111111010111111 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11101101001101000111111010111111 , +b11101101001101000111111010111111 H +b10010 + +b10010 G +1- +1. +0% +#5434000 +1i +1s +0\ +1@" +1h +1g +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1101 3" +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +1b +12" +0n +0m +0/" +0," +b1100010111101010001001000010010 / +b1100010111101010001001000010010 K +b1100010111101010001001000010010 e +b1100010111101010001001000010010 "" +b11001101011100101110000011111011 0 +b11001101011100101110000011111011 M +b11001101011100101110000011111011 f +b11001101011100101110000011111011 !" +b11101101001101000111111010111111 &" +b11101101001101000111111010111111 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5434010 +b11101101001101000111111010111111 U" +#5434500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5435000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5435500 +b101001000001000010011101101001 2 +b101001000001000010011101101001 I +b101001000001000010011101101001 Z +b101 3 +b101 J +b101 Y +11 +b101001000001000010011101101001 , +b101001000001000010011101101001 H +b101 + +b101 G +1- +1. +0% +#5436000 +0] +0[ +1>" +1@" +b11010 3" +1^ +1_ +1a +12" +b101001000001000010011101101001 &" +b101001000001000010011101101001 ?" +b101 %" +b101 *" +b101 1" +1'" +0. +1% +#5436010 +b101001000001000010011101101001 H" +#5436500 +b10110111011001000011110011000 2 +b10110111011001000011110011000 I +b10110111011001000011110011000 Z +b10000 3 +b10000 J +b10000 Y +b10110111011001000011110011000 , +b10110111011001000011110011000 H +b10000 + +b10000 G +1. +0% +#5437000 +0_ +1] +1[ +0>" +b1111 3" +1<" +1` +0^ +0a +b10110111011001000011110011000 &" +b10110111011001000011110011000 ?" +b10000 %" +b10000 *" +b10000 1" +0. +1% +#5437010 +b10110111011001000011110011000 S" +#5437500 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5438000 +0s +b1111001010100010001101100100100 | +b1111001010100010001101100100100 B" +0@" +0r +0q +15" +16" +17" +b11111 3" +0<" +0` +b0 -" +0t +0y +1z +0u +14" +1v +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#5438500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +1. +0% +#5439000 +b11101101001101000111111010111111 { +b11101101001101000111111010111111 A" +1s +0h +1;" +1r +1q +05" +06" +07" +b1101 0" +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0w +0," +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1111001010100010001101100100100 0 +b1111001010100010001101100100100 M +b1111001010100010001101100100100 f +b1111001010100010001101100100100 !" +0. +1% +#5439500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5440000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b11101101001101000111111010111111 / +b11101101001101000111111010111111 K +b11101101001101000111111010111111 e +b11101101001101000111111010111111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5440500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#5441000 +b11101101001101000111111010111111 { +b11101101001101000111111010111111 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5441500 +b10110 5 +b10110 L +b10110 W +b10110 ' +b10110 D +1. +0% +#5442000 +0i +b1111101010000111001011100000011 { +b1111101010000111001011100000011 A" +1:" +b1001 0" +1j +b11101101001101000111111010111111 / +b11101101001101000111111010111111 K +b11101101001101000111111010111111 e +b11101101001101000111111010111111 "" +b10110 ~ +b10110 )" +b10110 ." +0. +1% +#5442500 +b101 5 +b101 L +b101 W +b10110 7 +b10110 N +b10110 V +16 +b101 ' +b101 D +b10110 & +b10110 C +1( +1. +0% +#5443000 +1k +0s +b1111101010000111001011100000011 | +b1111101010000111001011100000011 B" +1h +0g +1;" +0r +16" +17" +b11010 0" +08" +0l +b101001000001000010011101101001 { +b101001000001000010011101101001 A" +b1001 -" +1t +0u +14" +1v +0n +1m +1x +1," +b101 ~ +b101 )" +b101 ." +b10110 } +b10110 (" +b10110 +" +1#" +b1111101010000111001011100000011 / +b1111101010000111001011100000011 K +b1111101010000111001011100000011 e +b1111101010000111001011100000011 "" +0. +1% +#5443500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b11101000001010000110101010001010 2 +b11101000001010000110101010001010 I +b11101000001010000110101010001010 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b11110 & +b11110 C +b11101000001010000110101010001010 , +b11101000001010000110101010001010 H +b1110 + +b1110 G +1- +1. +0% +#5444000 +0] +1i +0t +b10100100100101001111110001100010 | +b10100100100101001111110001100010 B" +0\ +1=" +1>" +1@" +1g +0:" +0;" +15" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +1z +1b +12" +0m +0/" +b101001000001000010011101101001 / +b101001000001000010011101101001 K +b101001000001000010011101101001 e +b101001000001000010011101101001 "" +b1111101010000111001011100000011 0 +b1111101010000111001011100000011 M +b1111101010000111001011100000011 f +b1111101010000111001011100000011 !" +b11101000001010000110101010001010 &" +b11101000001010000110101010001010 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#5444010 +b11101000001010000110101010001010 Q" +#5444500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5445000 +1] +1s +1\ +0=" +0>" +0@" +1r +05" +06" +07" +b11111 3" +0d +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100100100101001111110001100010 0 +b10100100100101001111110001100010 M +b10100100100101001111110001100010 f +b10100100100101001111110001100010 !" +0. +1% +#5445500 +b1 7 +b1 N +b1 V +16 +b111100001111000001001011011010 2 +b111100001111000001001011011010 I +b111100001111000001001011011010 Z +b11111 3 +b11111 J +b11111 Y +11 +b1 & +b1 C +1( +b111100001111000001001011011010 , +b111100001111000001001011011010 H +b11111 + +b11111 G +1- +1. +0% +#5446000 +0] +b10101001111011100010010100101111 | +b10101001111011100010010100101111 B" +0\ +0[ +1=" +1>" +1@" +0q +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11110 -" +1u +1b +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111100001111000001001011011010 &" +b111100001111000001001011011010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#5446500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5447000 +1] +1\ +1[ +0=" +0>" +0@" +1q +07" +b11111 3" +0d +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10101001111011100010010100101111 0 +b10101001111011100010010100101111 M +b10101001111011100010010100101111 f +b10101001111011100010010100101111 !" +0. +1% +#5447500 +b1100110001000110111010011101011 2 +b1100110001000110111010011101011 I +b1100110001000110111010011101011 Z +b1011 3 +b1011 J +b1011 Y +11 +b1100110001000110111010011101011 , +b1100110001000110111010011101011 H +b1011 + +b1011 G +1- +1. +0% +#5448000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100110001000110111010011101011 &" +b1100110001000110111010011101011 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +0. +1% +#5448010 +b1100110001000110111010011101011 N" +#5448500 +b11001101011000001111011001001001 2 +b11001101011000001111011001001001 I +b11001101011000001111011001001001 Z +b10110 3 +b10110 J +b10110 Y +b11001101011000001111011001001001 , +b11001101011000001111011001001001 H +b10110 + +b10110 G +1. +0% +#5449000 +1>" +0_ +1^ +1[ +0=" +b1001 3" +1<" +1` +0c +0a +b11001101011000001111011001001001 &" +b11001101011000001111011001001001 ?" +b10110 %" +b10110 *" +b10110 1" +0. +1% +#5449010 +b11001101011000001111011001001001 Y" +#5449500 +b1001 5 +b1001 L +b1001 W +14 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5450000 +1] +0i +b11000011111101011010111100100001 { +b11000011111101011010111100100001 A" +0s +b11000011111101011010111100100001 | +b11000011111101011010111100100001 B" +1\ +0>" +0@" +0g +19" +1;" +0q +15" +17" +b11111 3" +0^ +0<" +0` +b10110 0" +1o +1k +b10110 -" +1y +1u +0b +02" +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#5450500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b111010111001111000001011011111 2 +b111010111001111000001011011111 I +b111010111001111000001011011111 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b10101 & +b10101 C +b111010111001111000001011011111 , +b111010111001111000001011011111 H +b11001 + +b11001 G +1- +1. +0% +#5451000 +16" +0] +1i +0u +1t +0[ +1=" +1@" +1g +09" +0;" +b1010010111101100001111011011101 | +b1010010111101100001111011011101 B" +05" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1010 -" +14" +1v +0y +1a +12" +0m +0/" +b111010111001111000001011011111 &" +b111010111001111000001011011111 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +b11000011111101011010111100100001 / +b11000011111101011010111100100001 K +b11000011111101011010111100100001 e +b11000011111101011010111100100001 "" +b11000011111101011010111100100001 0 +b11000011111101011010111100100001 M +b11000011111101011010111100100001 f +b11000011111101011010111100100001 !" +0. +1% +#5451010 +b111010111001111000001011011111 \" +#5451500 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5452000 +1] +1u +0t +1[ +0=" +0@" +0r +1q +17" +15" +b11111 3" +0c +0<" +0` +b10001 -" +04" +0v +1z +b11101000001010000110101010001010 | +b11101000001010000110101010001010 B" +0a +02" +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010010111101100001111011011101 0 +b1010010111101100001111011011101 M +b1010010111101100001111011011101 f +b1010010111101100001111011011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +0. +1% +#5452500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +1. +0% +#5453000 +0i +b11001101011100101110000011111011 { +b11001101011100101110000011111011 A" +1s +19" +1;" +1r +05" +06" +07" +b111 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11101000001010000110101010001010 0 +b11101000001010000110101010001010 M +b11101000001010000110101010001010 f +b11101000001010000110101010001010 !" +0. +1% +#5453500 +b0 5 +b0 L +b0 W +04 +b1110001101010001000001100 2 +b1110001101010001000001100 I +b1110001101010001000001100 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b1110001101010001000001100 , +b1110001101010001000001100 H +b10 + +b10 G +1- +1. +0% +#5454000 +1i +0\ +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11101 3" +1_ +b11111 0" +0o +08" +0l +1b +12" +0/" +b11001101011100101110000011111011 / +b11001101011100101110000011111011 K +b11001101011100101110000011111011 e +b11001101011100101110000011111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110001101010001000001100 &" +b1110001101010001000001100 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5454010 +b1110001101010001000001100 E" +#5454500 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5455000 +0s +b10011010100011100010101010001001 | +b10011010100011100010101010001001 B" +1\ +0@" +16" +17" +b11111 3" +0_ +b11011 -" +1t +1u +0b +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5455500 +b1011 7 +b1011 N +b1011 V +b11111000110010000110001111100010 2 +b11111000110010000110001111100010 I +b11111000110010000110001111100010 Z +b11110 3 +b11110 J +b11110 Y +11 +b1011 & +b1011 C +b11111000110010000110001111100010 , +b11111000110010000110001111100010 H +b11110 + +b11110 G +1- +1. +0% +#5456000 +15" +0] +1y +0\ +1=" +1>" +1@" +0r +0q +06" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b10100 -" +0t +b1100110001000110111010011101011 | +b1100110001000110111010011101011 B" +1b +12" +1x +1w +b10011010100011100010101010001001 0 +b10011010100011100010101010001001 M +b10011010100011100010101010001001 f +b10011010100011100010101010001001 !" +b11111000110010000110001111100010 &" +b11111000110010000110001111100010 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b1011 } +b1011 (" +b1011 +" +0. +1% +#5456010 +b11111000110010000110001111100010 a" +#5456500 +b11 5 +b11 L +b11 W +14 +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5457000 +16" +1] +b1011100000010001110110110010 { +b1011100000010001110110110010 A" +0u +1t +1\ +0=" +0>" +0@" +0h +0g +1;" +1r +1q +05" +b11111 3" +0d +0<" +0` +b11100 0" +1k +b1011 -" +14" +1v +0y +b10101111100110011001010001110 | +b10101111100110011001010001110 B" +0b +02" +1n +1m +1/" +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +b10100 } +b10100 (" +b10100 +" +b1100110001000110111010011101011 0 +b1100110001000110111010011101011 M +b1100110001000110111010011101011 f +b1100110001000110111010011101011 !" +0. +1% +#5457500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5458000 +1s +1h +1g +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +04" +0v +0n +0m +0/" +0," +b1011100000010001110110110010 / +b1011100000010001110110110010 K +b1011100000010001110110110010 e +b1011100000010001110110110010 "" +b10101111100110011001010001110 0 +b10101111100110011001010001110 M +b10101111100110011001010001110 f +b10101111100110011001010001110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5458500 +b10 5 +b10 L +b10 W +14 +b10 ' +b10 D +1) +1. +0% +#5459000 +b1110001101010001000001100 { +b1110001101010001000001100 A" +0h +1;" +b11101 0" +1k +1n +1/" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5459500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b11101100111010110001100101000100 2 +b11101100111010110001100101000100 I +b11101100111010110001100101000100 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b11101100111010110001100101000100 , +b11101100111010110001100101000100 H +b1100 + +b1100 G +1- +1. +0% +#5460000 +0] +0s +b11111000110010000110001111100010 | +b11111000110010000110001111100010 B" +1=" +1>" +1@" +1h +0;" +0r +15" +16" +17" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +12" +0n +0/" +1x +1," +b1110001101010001000001100 / +b1110001101010001000001100 K +b1110001101010001000001100 e +b1110001101010001000001100 "" +b11101100111010110001100101000100 &" +b11101100111010110001100101000100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#5460010 +b11101100111010110001100101000100 O" +#5460500 +b1010 7 +b1010 N +b1010 V +b1011100111011010110011100111101 2 +b1011100111011010110011100111101 I +b1011100111011010110011100111101 Z +b10100 3 +b10100 J +b10100 Y +b1010 & +b1010 C +b1011100111011010110011100111101 , +b1011100111011010110011100111101 H +b10100 + +b10100 G +1. +0% +#5461000 +0_ +1^ +1u +1y +0=" +1>" +17" +b100100111001011100111100100 | +b100100111001011100111100100 B" +15" +06" +b1011 3" +1<" +1` +0d +b10101 -" +04" +0v +0z +b1011100111011010110011100111101 &" +b1011100111011010110011100111101 ?" +b10100 %" +b10100 *" +b10100 1" +b1010 } +b1010 (" +b1010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111000110010000110001111100010 0 +b11111000110010000110001111100010 M +b11111000110010000110001111100010 f +b11111000110010000110001111100010 !" +0. +1% +#5461010 +b1011100111011010110011100111101 W" +#5461500 +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5462000 +1] +0>" +0@" +0q +b11111 3" +0^ +0<" +0` +b10100 -" +b1100110001000110111010011101011 | +b1100110001000110111010011101011 B" +02" +1w +b100100111001011100111100100 0 +b100100111001011100111100100 M +b100100111001011100111100100 f +b100100111001011100111100100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 } +b1011 (" +b1011 +" +0. +1% +#5462500 +b10010 7 +b10010 N +b10010 V +b10010 & +b10010 C +1. +0% +#5463000 +0u +1s +1q +05" +b1101 -" +14" +1v +0y +b11101101001101000111111010111111 | +b11101101001101000111111010111111 B" +0w +b10010 } +b10010 (" +b10010 +" +b1100110001000110111010011101011 0 +b1100110001000110111010011101011 M +b1100110001000110111010011101011 f +b1100110001000110111010011101011 !" +0. +1% +#5463500 +b1 7 +b1 N +b1 V +b11111000010001000100011101101010 2 +b11111000010001000100011101101010 I +b11111000010001000100011101101010 Z +b1110 3 +b1110 J +b1110 Y +11 +b1 & +b1 C +b11111000010001000100011101101010 , +b11111000010001000100011101101010 H +b1110 + +b1110 G +1- +1. +0% +#5464000 +0] +1u +0\ +1=" +1>" +1@" +1r +0q +17" +b10001 3" +0^ +0c +1d +1_ +b11110 -" +04" +0v +b10101001111011100010010100101111 | +b10101001111011100010010100101111 B" +1b +12" +0x +1w +b11101101001101000111111010111111 0 +b11101101001101000111111010111111 M +b11101101001101000111111010111111 f +b11101101001101000111111010111111 !" +b11111000010001000100011101101010 &" +b11111000010001000100011101101010 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1 } +b1 (" +b1 +" +0. +1% +#5464010 +b11111000010001000100011101101010 Q" +#5464500 +b0 7 +b0 N +b0 V +06 +b1110000100101001001001100001100 2 +b1110000100101001001001100001100 I +b1110000100101001001001100001100 Z +b11111 3 +b11111 J +b11111 Y +b0 & +b0 C +0( +b1110000100101001001001100001100 , +b1110000100101001001001100001100 H +b11111 + +b11111 G +1. +0% +#5465000 +0_ +0[ +1q +07" +b0 3" +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0w +0," +b1110000100101001001001100001100 &" +b1110000100101001001001100001100 ?" +b11111 %" +b11111 *" +b11111 1" +b0 } +b0 (" +b0 +" +0#" +b10101001111011100010010100101111 0 +b10101001111011100010010100101111 M +b10101001111011100010010100101111 f +b10101001111011100010010100101111 !" +0. +1% +#5465500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5466000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5466500 +1. +0% +#5467000 +0. +1% +#5467500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#5468000 +b10101001111011100010010100101111 | +b10101001111011100010010100101111 B" +0q +17" +b11110 -" +1u +1w +1," +b1 } +b1 (" +b1 +" +1#" +0. +1% +#5468500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b1010100111001011011001000011100 2 +b1010100111001011011001000011100 I +b1010100111001011011001000011100 Z +b11001 3 +b11001 J +b11001 Y +11 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b1010100111001011011001000011100 , +b1010100111001011011001000011100 H +b11001 + +b11001 G +1- +1. +0% +#5469000 +0] +0i +b11011110111011011011110110110011 { +b11011110111011011011110110110011 A" +0[ +1=" +1@" +19" +1:" +1;" +1q +07" +b110 3" +1c +0_ +1<" +1` +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1/" +0w +0," +b1010100111001011011001000011100 &" +b1010100111001011011001000011100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10101001111011100010010100101111 0 +b10101001111011100010010100101111 M +b10101001111011100010010100101111 f +b10101001111011100010010100101111 !" +0. +1% +#5469010 +b1010100111001011011001000011100 \" +#5469500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5470000 +1] +1i +1[ +0=" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0c +0<" +0` +b11111 0" +0p +08" +0l +0a +02" +0/" +b11011110111011011011110110110011 / +b11011110111011011011110110110011 K +b11011110111011011011110110110011 e +b11011110111011011011110110110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5470500 +b11100101010110100011100100011 2 +b11100101010110100011100100011 I +b11100101010110100011100100011 Z +b110 3 +b110 J +b110 Y +11 +b11100101010110100011100100011 , +b11100101010110100011100100011 H +b110 + +b110 G +1- +1. +0% +#5471000 +0] +0\ +1>" +1@" +b11001 3" +1^ +1_ +1b +12" +b11100101010110100011100100011 &" +b11100101010110100011100100011 ?" +b110 %" +b110 *" +b110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5471010 +b11100101010110100011100100011 I" +#5471500 +b11100 7 +b11100 N +b11100 V +16 +b11101110001110110001110010100111 2 +b11101110001110110001110010100111 I +b11101110001110110001110010100111 Z +b1000 3 +b1000 J +b1000 Y +b11100 & +b11100 C +1( +b11101110001110110001110010100111 , +b11101110001110110001110010100111 H +b1000 + +b1000 G +1. +0% +#5472000 +1=" +1c +0s +b11011110111011011011110110110011 | +b11011110111011011011110110110011 B" +1\ +0>" +15" +16" +17" +b10111 3" +0^ +b11 -" +0t +0y +1z +0u +14" +1v +0b +1," +b11101110001110110001110010100111 &" +b11101110001110110001110010100111 ?" +b1000 %" +b1000 *" +b1000 1" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#5472010 +b11101110001110110001110010100111 K" +#5472500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b11110010000101100111001100110110 2 +b11110010000101100111001100110110 I +b11110010000101100111001100110110 Z +b10011 3 +b10011 J +b10011 Y +b110 ' +b110 D +1) +b0 & +b0 C +0( +b11110010000101100111001100110110 , +b11110010000101100111001100110110 H +b10011 + +b10011 G +1. +0% +#5473000 +0_ +1] +0i +b11100101010110100011100100011 { +b11100101010110100011100100011 A" +1s +0\ +0[ +0=" +0h +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1100 3" +1<" +1` +0c +b11001 0" +1j +1k +b11111 -" +0z +04" +0v +1b +1a +1n +1/" +0," +b11110010000101100111001100110110 &" +b11110010000101100111001100110110 ?" +b10011 %" +b10011 *" +b10011 1" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11011110111011011011110110110011 0 +b11011110111011011011110110110011 M +b11011110111011011011110110110011 f +b11011110111011011011110110110011 !" +0. +1% +#5473010 +b11110010000101100111001100110110 V" +#5473500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5474000 +1i +1\ +1[ +0@" +1h +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0/" +b11100101010110100011100100011 / +b11100101010110100011100100011 K +b11100101010110100011100100011 e +b11100101010110100011100100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5474500 +b10101 7 +b10101 N +b10101 V +16 +b10101 & +b10101 C +1( +1. +0% +#5475000 +0s +b1010010111101100001111011011101 | +b1010010111101100001111011011101 B" +0q +16" +17" +b1010 -" +1t +0u +14" +1v +1w +1," +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5475500 +b11100 7 +b11100 N +b11100 V +b11010111000000000000010111100110 2 +b11010111000000000000010111100110 I +b11010111000000000000010111100110 Z +11 +b11100 & +b11100 C +b11010111000000000000010111100110 , +b11010111000000000000010111100110 H +1- +1. +0% +#5476000 +0t +1@" +1q +15" +1_ +b11 -" +1z +b11011110111011011011110110110011 | +b11011110111011011011110110110011 B" +12" +0w +b1010010111101100001111011011101 0 +b1010010111101100001111011011101 M +b1010010111101100001111011011101 f +b1010010111101100001111011011101 !" +b11010111000000000000010111100110 &" +b11010111000000000000010111100110 ?" +1'" +b11100 } +b11100 (" +b11100 +" +0. +1% +#5476010 +b11010111000000000000010111100110 C" +#5476500 +b101 7 +b101 N +b101 V +b11101111001111011001110001001101 2 +b11101111001111011001110001001101 I +b11101111001111011001110001001101 Z +b1101 3 +b1101 J +b1101 Y +b101 & +b101 C +b11101111001111011001110001001101 , +b11101111001111011001110001001101 H +b1101 + +b1101 G +1. +0% +#5477000 +0] +1u +1t +0[ +1=" +1>" +0q +17" +05" +16" +b10010 3" +0^ +0c +1d +b11010 -" +04" +0v +0z +b101001000001000010011101101001 | +b101001000001000010011101101001 B" +1a +1w +b11101111001111011001110001001101 &" +b11101111001111011001110001001101 ?" +b1101 %" +b1101 *" +b1101 1" +b101 } +b101 (" +b101 +" +b11011110111011011011110110110011 0 +b11011110111011011011110110110011 M +b11011110111011011011110110110011 f +b11011110111011011011110110110011 !" +0. +1% +#5477010 +b11101111001111011001110001001101 P" +#5477500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5478000 +1] +1s +1[ +0=" +0>" +0@" +1q +06" +07" +b11111 3" +0d +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0w +0," +b101001000001000010011101101001 0 +b101001000001000010011101101001 M +b101001000001000010011101101001 f +b101001000001000010011101101001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5478500 +1. +0% +#5479000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5479500 +b111 5 +b111 L +b111 W +14 +b100111001011100010011010000011 2 +b100111001011100010011010000011 I +b100111001011100010011010000011 Z +b10110 3 +b10110 J +b10110 Y +11 +b111 ' +b111 D +1) +b100111001011100010011010000011 , +b100111001011100010011010000011 H +b10110 + +b10110 G +1- +1. +0% +#5480000 +0] +0i +b1100010111101010001001000010010 { +b1100010111101010001001000010010 A" +0\ +1>" +1@" +0h +0g +1:" +1;" +b1001 3" +1^ +0_ +1<" +1` +b11000 0" +1j +1k +1b +12" +1n +1m +1/" +b100111001011100010011010000011 &" +b100111001011100010011010000011 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#5480010 +b100111001011100010011010000011 Y" +#5480500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5481000 +1] +1i +1\ +0>" +0@" +1h +1g +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100010111101010001001000010010 / +b1100010111101010001001000010010 K +b1100010111101010001001000010010 e +b1100010111101010001001000010010 "" +0. +1% +#5481500 +1. +0% +#5482000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5482500 +1. +0% +#5483000 +0. +1% +#5483500 +1. +0% +#5484000 +0. +1% +#5484500 +b11011000101010111011110010010100 2 +b11011000101010111011110010010100 I +b11011000101010111011110010010100 Z +b1011 3 +b1011 J +b1011 Y +11 +b11011000101010111011110010010100 , +b11011000101010111011110010010100 H +b1011 + +b1011 G +1- +1. +0% +#5485000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b11011000101010111011110010010100 &" +b11011000101010111011110010010100 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +0. +1% +#5485010 +b11011000101010111011110010010100 N" +#5485500 +b10111 5 +b10111 L +b10111 W +14 +b111 7 +b111 N +b111 V +16 +b1100101100011000110101100000100 2 +b1100101100011000110101100000100 I +b1100101100011000110101100000100 Z +b110 3 +b110 J +b110 Y +b10111 ' +b10111 D +1) +b111 & +b111 C +1( +b1100101100011000110101100000100 , +b1100101100011000110101100000100 H +b110 + +b110 G +1. +0% +#5486000 +1>" +1^ +0i +b1100101001010010100101101000010 { +b1100101001010010100101101000010 A" +0s +b1100010111101010001001000010010 | +b1100010111101010001001000010010 B" +1[ +0=" +0h +0g +1:" +1;" +0r +0q +16" +17" +b11001 3" +0c +b1000 0" +1j +0k +18" +1l +b11000 -" +1t +1u +0a +1n +1m +1/" +1x +1w +1," +b1100101100011000110101100000100 &" +b1100101100011000110101100000100 ?" +b110 %" +b110 *" +b110 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#5486010 +b1100101100011000110101100000100 I" +#5486500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5487000 +1] +1k +1i +1s +1\ +0>" +0@" +1g +1;" +0:" +1r +1q +06" +07" +b11111 3" +0^ +0_ +b11101 0" +08" +0l +0j +b1110001101010001000001100 { +b1110001101010001000001100 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0m +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +b1100101001010010100101101000010 / +b1100101001010010100101101000010 K +b1100101001010010100101101000010 e +b1100101001010010100101101000010 "" +b1100010111101010001001000010010 0 +b1100010111101010001001000010010 M +b1100010111101010001001000010010 f +b1100010111101010001001000010010 !" +0. +1% +#5487500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +1. +0% +#5488000 +0s +b11010111100100101010010110010101 | +b11010111100100101010010110010101 B" +1h +0;" +0q +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +0t +0y +1z +0u +14" +1v +0n +0/" +1w +1," +b1110001101010001000001100 / +b1110001101010001000001100 K +b1110001101010001000001100 e +b1110001101010001000001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#5488500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5489000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010111100100101010010110010101 0 +b11010111100100101010010110010101 M +b11010111100100101010010110010101 f +b11010111100100101010010110010101 !" +0. +1% +#5489500 +b10100 7 +b10100 N +b10100 V +16 +b1101001111100001111110001000 2 +b1101001111100001111110001000 I +b1101001111100001111110001000 Z +b1110 3 +b1110 J +b1110 Y +11 +b10100 & +b10100 C +1( +b1101001111100001111110001000 , +b1101001111100001111110001000 H +b1110 + +b1110 G +1- +1. +0% +#5490000 +0] +0s +b1011100111011010110011100111101 | +b1011100111011010110011100111101 B" +0\ +1=" +1>" +1@" +16" +17" +b10001 3" +0^ +0c +1d +1_ +b1011 -" +1t +0u +14" +1v +1b +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101001111100001111110001000 &" +b1101001111100001111110001000 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#5490010 +b1101001111100001111110001000 Q" +#5490500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5491000 +1] +1s +1\ +0=" +0>" +0@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b11111 -" +0t +04" +0v +0b +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1011100111011010110011100111101 0 +b1011100111011010110011100111101 M +b1011100111011010110011100111101 f +b1011100111011010110011100111101 !" +0. +1% +#5491500 +1. +0% +#5492000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5492500 +b1011 7 +b1011 N +b1011 V +16 +b1100100011110010001100001101110 2 +b1100100011110010001100001101110 I +b1100100011110010001100001101110 Z +b1111 3 +b1111 J +b1111 Y +11 +b1011 & +b1011 C +1( +b1100100011110010001100001101110 , +b1100100011110010001100001101110 H +b1111 + +b1111 G +1- +1. +0% +#5493000 +0] +0s +b11011000101010111011110010010100 | +b11011000101010111011110010010100 B" +0\ +0[ +1=" +1>" +1@" +0r +0q +15" +17" +b10000 3" +0^ +0c +1d +1_ +b10100 -" +1y +1u +1b +1a +12" +1x +1w +1," +b1100100011110010001100001101110 &" +b1100100011110010001100001101110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#5493010 +b1100100011110010001100001101110 R" +#5493500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5494000 +1] +1s +1\ +1[ +0=" +0>" +0@" +1r +1q +05" +07" +b11111 3" +0d +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0w +0," +b11011000101010111011110010010100 0 +b11011000101010111011110010010100 M +b11011000101010111011110010010100 f +b11011000101010111011110010010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5494500 +b11 5 +b11 L +b11 W +14 +b11 ' +b11 D +1) +1. +0% +#5495000 +b1011100000010001110110110010 { +b1011100000010001110110110010 A" +0h +0g +1;" +b11100 0" +1k +1n +1m +1/" +b11 ~ +b11 )" +b11 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5495500 +b0 5 +b0 L +b0 W +04 +b10010101111001110101000011101010 2 +b10010101111001110101000011101010 I +b10010101111001110101000011101010 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b10010101111001110101000011101010 , +b10010101111001110101000011101010 H +b11111 + +b11111 G +1- +1. +0% +#5496000 +0] +0\ +0[ +1=" +1>" +1@" +1h +1g +0;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0m +0/" +b1011100000010001110110110010 / +b1011100000010001110110110010 K +b1011100000010001110110110010 e +b1011100000010001110110110010 "" +b10010101111001110101000011101010 &" +b10010101111001110101000011101010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5496500 +b111110110101111111110000101111 2 +b111110110101111111110000101111 I +b111110110101111111110000101111 Z +b10001 3 +b10001 J +b10001 Y +b111110110101111111110000101111 , +b111110110101111111110000101111 H +b10001 + +b10001 G +1. +0% +#5497000 +1] +1\ +0=" +0>" +b1110 3" +0d +0b +b111110110101111111110000101111 &" +b111110110101111111110000101111 ?" +b10001 %" +b10001 *" +b10001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5497010 +b111110110101111111110000101111 T" +#5497500 +b10 7 +b10 N +b10 V +16 +b101111000000010100101100001101 2 +b101111000000010100101100001101 I +b101111000000010100101100001101 Z +b11 3 +b11 J +b11 Y +b10 & +b10 C +1( +b101111000000010100101100001101 , +b101111000000010100101100001101 H +b11 + +b11 G +1. +0% +#5498000 +1_ +b1110001101010001000001100 | +b1110001101010001000001100 B" +0\ +1@" +0r +17" +b11100 3" +0<" +0` +b11101 -" +1u +1b +1x +1," +b101111000000010100101100001101 &" +b101111000000010100101100001101 ?" +b11 %" +b11 *" +b11 1" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#5498010 +b101111000000010100101100001101 F" +#5498500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b110110001110111100011011010 2 +b110110001110111100011011010 I +b110110001110111100011011010 Z +b11010 3 +b11010 J +b11010 Y +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b110110001110111100011011010 , +b110110001110111100011011010 H +b11010 + +b11010 G +1. +0% +#5499000 +0_ +0] +0i +b11000011111101011010111100100001 { +b11000011111101011010111100100001 A" +1[ +1=" +0g +19" +1;" +1r +07" +b101 3" +1<" +1` +1c +b10110 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +1m +1/" +0x +0," +b110110001110111100011011010 &" +b110110001110111100011011010 ?" +b11010 %" +b11010 *" +b11010 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1110001101010001000001100 0 +b1110001101010001000001100 M +b1110001101010001000001100 f +b1110001101010001000001100 !" +0. +1% +#5499010 +b110110001110111100011011010 ]" +#5499500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5500000 +1] +1i +1\ +0=" +0@" +1g +09" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0m +0/" +b11000011111101011010111100100001 / +b11000011111101011010111100100001 K +b11000011111101011010111100100001 e +b11000011111101011010111100100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5500500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#5501000 +0s +b1100010111101010001001000010010 | +b1100010111101010001001000010010 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5501500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5502000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b1100010111101010001001000010010 0 +b1100010111101010001001000010010 M +b1100010111101010001001000010010 f +b1100010111101010001001000010010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5502500 +b11111 7 +b11111 N +b11111 V +16 +b11111 & +b11111 C +1( +1. +0% +#5503000 +0s +b10010101111001110101000011101010 | +b10010101111001110101000011101010 B" +0r +0q +15" +16" +17" +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5503500 +b11110 7 +b11110 N +b11110 V +b11110 & +b11110 C +1. +0% +#5504000 +1q +b1 -" +b11111000110010000110001111100010 | +b11111000110010000110001111100010 B" +0w +b10010101111001110101000011101010 0 +b10010101111001110101000011101010 M +b10010101111001110101000011101010 f +b10010101111001110101000011101010 !" +b11110 } +b11110 (" +b11110 +" +0. +1% +#5504500 +b0 7 +b0 N +b0 V +06 +b1010101101101111010111100110111 2 +b1010101101101111010111100110111 I +b1010101101101111010111100110111 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 & +b0 C +0( +b1010101101101111010111100110111 , +b1010101101101111010111100110111 H +b10011 + +b10011 G +1- +1. +0% +#5505000 +1s +0\ +0[ +1@" +1r +05" +06" +07" +b1100 3" +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0," +b1010101101101111010111100110111 &" +b1010101101101111010111100110111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11111000110010000110001111100010 0 +b11111000110010000110001111100010 M +b11111000110010000110001111100010 f +b11111000110010000110001111100010 !" +0. +1% +#5505010 +b1010101101101111010111100110111 V" +#5505500 +b10100 5 +b10100 L +b10100 W +14 +b1010000000110111000000011000111 2 +b1010000000110111000000011000111 I +b1010000000110111000000011000111 Z +b10010 3 +b10010 J +b10010 Y +b10100 ' +b10100 D +1) +b1010000000110111000000011000111 , +b1010000000110111000000011000111 H +b10010 + +b10010 G +1. +0% +#5506000 +0i +b1011100111011010110011100111101 { +b1011100111011010110011100111101 A" +1[ +1:" +1;" +b1101 3" +b1011 0" +1j +0k +18" +1l +0a +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010000000110111000000011000111 &" +b1010000000110111000000011000111 ?" +b10010 %" +b10010 *" +b10010 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#5506010 +b1010000000110111000000011000111 U" +#5506500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b10110011111111001011011000000010 2 +b10110011111111001011011000000010 I +b10110011111111001011011000000010 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b10110011111111001011011000000010 , +b10110011111111001011011000000010 H +b11001 + +b11001 G +1. +0% +#5507000 +0] +1i +0s +b11100011010010001111011011110011 | +b11100011010010001111011011110011 B" +1\ +0[ +1=" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +17" +b110 3" +1c +b11111 0" +0j +08" +0l +b100 -" +1y +0u +14" +1v +0b +1a +0/" +1x +1w +1," +b10110011111111001011011000000010 &" +b10110011111111001011011000000010 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +b1011100111011010110011100111101 / +b1011100111011010110011100111101 K +b1011100111011010110011100111101 e +b1011100111011010110011100111101 "" +0. +1% +#5507010 +b10110011111111001011011000000010 \" +#5507500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5508000 +1] +1s +1[ +0=" +0@" +1r +1q +05" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100011010010001111011011110011 0 +b11100011010010001111011011110011 M +b11100011010010001111011011110011 f +b11100011010010001111011011110011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5508500 +b1000110001110000010101101010111 2 +b1000110001110000010101101010111 I +b1000110001110000010101101010111 Z +b11111 3 +b11111 J +b11111 Y +11 +b1000110001110000010101101010111 , +b1000110001110000010101101010111 H +b11111 + +b11111 G +1- +1. +0% +#5509000 +0] +0\ +0[ +1=" +1>" +1@" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1b +1a +12" +b1000110001110000010101101010111 &" +b1000110001110000010101101010111 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5509500 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5510000 +1] +0s +b1100101100011000110101100000100 | +b1100101100011000110101100000100 B" +1\ +1[ +0=" +0>" +0@" +0r +16" +17" +b11111 3" +0d +0<" +0` +b11001 -" +1t +1u +0b +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#5510500 +b1011 7 +b1011 N +b1011 V +b1011 & +b1011 C +1. +0% +#5511000 +15" +1y +0q +06" +b10100 -" +0t +b11011000101010111011110010010100 | +b11011000101010111011110010010100 B" +1w +b1011 } +b1011 (" +b1011 +" +b1100101100011000110101100000100 0 +b1100101100011000110101100000100 M +b1100101100011000110101100000100 f +b1100101100011000110101100000100 !" +0. +1% +#5511500 +b0 7 +b0 N +b0 V +06 +b1011111100101011101110001111010 2 +b1011111100101011101110001111010 I +b1011111100101011101110001111010 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 & +b0 C +0( +b1011111100101011101110001111010 , +b1011111100101011101110001111010 H +b11010 + +b11010 G +1- +1. +0% +#5512000 +0] +1s +0\ +1=" +1@" +1r +1q +05" +07" +b101 3" +1c +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0w +0," +b11011000101010111011110010010100 0 +b11011000101010111011110010010100 M +b11011000101010111011110010010100 f +b11011000101010111011110010010100 !" +b1011111100101011101110001111010 &" +b1011111100101011101110001111010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5512010 +b1011111100101011101110001111010 ]" +#5512500 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5513000 +1] +0s +b11011000101010111011110010010100 | +b11011000101010111011110010010100 B" +1\ +0=" +0@" +0r +0q +15" +17" +b11111 3" +0c +0<" +0` +b10100 -" +1y +1u +0b +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5513500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +1) +b0 & +b0 C +0( +1. +0% +#5514000 +b10101001111011100010010100101111 { +b10101001111011100010010100101111 A" +1s +0g +1;" +1r +1q +05" +07" +b11110 0" +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0w +0," +b11011000101010111011110010010100 0 +b11011000101010111011110010010100 M +b11011000101010111011110010010100 f +b11011000101010111011110010010100 !" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5514500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +1. +0% +#5515000 +0s +b1011111100101011101110001111010 | +b1011111100101011101110001111010 B" +1g +0;" +0r +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b101 -" +1y +0u +14" +1v +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b10101001111011100010010100101111 / +b10101001111011100010010100101111 K +b10101001111011100010010100101111 e +b10101001111011100010010100101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5515500 +b0 7 +b0 N +b0 V +06 +b10110101100111110011111110100100 2 +b10110101100111110011111110100100 I +b10110101100111110011111110100100 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 & +b0 C +0( +b10110101100111110011111110100100 , +b10110101100111110011111110100100 H +b11101 + +b11101 G +1- +1. +0% +#5516000 +0] +1s +0[ +1=" +1>" +1@" +1r +05" +07" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011111100101011101110001111010 0 +b1011111100101011101110001111010 M +b1011111100101011101110001111010 f +b1011111100101011101110001111010 !" +b10110101100111110011111110100100 &" +b10110101100111110011111110100100 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5516010 +b10110101100111110011111110100100 `" +#5516500 +b101100000011011101000001110110 2 +b101100000011011101000001110110 I +b101100000011011101000001110110 Z +b1010 3 +b1010 J +b1010 Y +b101100000011011101000001110110 , +b101100000011011101000001110110 H +b1010 + +b1010 G +1. +0% +#5517000 +1_ +1c +0\ +1[ +1@" +1=" +0>" +b10101 3" +0<" +0` +0d +1b +0a +b101100000011011101000001110110 &" +b101100000011011101000001110110 ?" +b1010 %" +b1010 *" +b1010 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5517010 +b101100000011011101000001110110 M" +#5517500 +b10101 5 +b10101 L +b10101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5518000 +1] +0i +b1010010111101100001111011011101 { +b1010010111101100001111011011101 A" +1\ +0=" +0@" +0g +1:" +1;" +b11111 3" +0c +0_ +b1010 0" +1j +0k +18" +1l +0b +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#5518500 +b10111 5 +b10111 L +b10111 W +b10111 ' +b10111 D +1. +0% +#5519000 +0h +b1000 0" +b1100101001010010100101101000010 { +b1100101001010010100101101000010 A" +1n +b10111 ~ +b10111 )" +b10111 ." +b1010010111101100001111011011101 / +b1010010111101100001111011011101 K +b1010010111101100001111011011101 e +b1010010111101100001111011011101 "" +0. +1% +#5519500 +b1100 5 +b1100 L +b1100 W +b10111100010101111100011011110100 2 +b10111100010101111100011011110100 I +b10111100010101111100011011110100 Z +b1011 3 +b1011 J +b1011 Y +11 +b1100 ' +b1100 D +b10111100010101111100011011110100 , +b10111100010101111100011011110100 H +b1011 + +b1011 G +1- +1. +0% +#5520000 +0] +1k +0j +0\ +0[ +1=" +1@" +1h +1g +1;" +19" +b10100 3" +1c +1_ +b10011 0" +08" +0l +1p +b11101100111010110001100101000100 { +b11101100111010110001100101000100 A" +1b +1a +12" +0n +0m +b1100101001010010100101101000010 / +b1100101001010010100101101000010 K +b1100101001010010100101101000010 e +b1100101001010010100101101000010 "" +b10111100010101111100011011110100 &" +b10111100010101111100011011110100 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +0. +1% +#5520010 +b10111100010101111100011011110100 N" +#5520500 +b11000 5 +b11000 L +b11000 W +b1000111001001011001001101110110 2 +b1000111001001011001001101110110 I +b1000111001001011001001101110110 Z +b11111 3 +b11111 J +b11111 Y +b11000 ' +b11000 D +b1000111001001011001001101110110 , +b1000111001001011001001101110110 H +b11111 + +b11111 G +1. +0% +#5521000 +0_ +0c +0k +1o +1>" +b11001101011100101110000011111011 { +b11001101011100101110000011111011 A" +19" +0:" +b0 3" +1<" +1` +1d +b111 0" +18" +1l +0p +b1000111001001011001001101110110 &" +b1000111001001011001001101110110 ?" +b11111 %" +b11111 *" +b11111 1" +b11000 ~ +b11000 )" +b11000 ." +b11101100111010110001100101000100 / +b11101100111010110001100101000100 K +b11101100111010110001100101000100 e +b11101100111010110001100101000100 "" +0. +1% +#5521500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b11011100110011100101110000011101 2 +b11011100110011100101110000011101 I +b11011100110011100101110000011101 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +b11011100110011100101110000011101 , +b11011100110011100101110000011101 H +b10110 + +b10110 G +1. +0% +#5522000 +1^ +1i +0s +b1101001111100001111110001000 | +b1101001111100001111110001000 B" +1[ +0=" +1>" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +15" +16" +17" +b1001 3" +0d +b11111 0" +0o +08" +0l +b10001 -" +0t +0y +1z +1u +0a +0/" +1x +1," +b11001101011100101110000011111011 / +b11001101011100101110000011111011 K +b11001101011100101110000011111011 e +b11001101011100101110000011111011 "" +b11011100110011100101110000011101 &" +b11011100110011100101110000011101 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#5522010 +b11011100110011100101110000011101 Y" +#5522500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5523000 +1] +1s +1\ +0>" +0@" +1r +05" +06" +07" +b11111 3" +0^ +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101001111100001111110001000 0 +b1101001111100001111110001000 M +b1101001111100001111110001000 f +b1101001111100001111110001000 !" +0. +1% +#5523500 +b1110 5 +b1110 L +b1110 W +14 +b100010100110110001010100100111 2 +b100010100110110001010100100111 I +b100010100110110001010100100111 Z +b10111 3 +b10111 J +b10111 Y +11 +b1110 ' +b1110 D +1) +b100010100110110001010100100111 , +b100010100110110001010100100111 H +b10111 + +b10111 G +1- +1. +0% +#5524000 +0] +0i +b1101001111100001111110001000 { +b1101001111100001111110001000 A" +0\ +0[ +1>" +1@" +0h +19" +1:" +1;" +b1000 3" +1^ +0_ +1<" +1` +b10001 0" +0j +0o +1p +1k +1b +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100010100110110001010100100111 &" +b100010100110110001010100100111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#5524010 +b100010100110110001010100100111 Z" +#5524500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b1111001110101001010110001 2 +b1111001110101001010110001 I +b1111001110101001010110001 Z +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b1111001110101001010110001 , +b1111001110101001010110001 H +1. +0% +#5525000 +1i +b1010101101101111010111100110111 | +b1010101101101111010111100110111 B" +1h +09" +0:" +0;" +0r +0q +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +0n +0/" +1x +1w +1," +b1111001110101001010110001 &" +b1111001110101001010110001 ?" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b1101001111100001111110001000 / +b1101001111100001111110001000 K +b1101001111100001111110001000 e +b1101001111100001111110001000 "" +0. +1% +#5525010 +b1111001110101001010110001 Z" +#5525500 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5526000 +1] +0s +b1000111001001011001001101110110 | +b1000111001001011001001101110110 B" +1\ +1[ +0>" +0@" +15" +16" +b11111 3" +0^ +0<" +0` +b0 -" +0t +0y +1z +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010101101101111010111100110111 0 +b1010101101101111010111100110111 M +b1010101101101111010111100110111 f +b1010101101101111010111100110111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +0. +1% +#5526500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5527000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1000111001001011001001101110110 0 +b1000111001001011001001101110110 M +b1000111001001011001001101110110 f +b1000111001001011001001101110110 !" +0. +1% +#5527500 +1. +0% +#5528000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5528500 +b10101 5 +b10101 L +b10101 W +14 +b1111 7 +b1111 N +b1111 V +16 +b10101 ' +b10101 D +1) +b1111 & +b1111 C +1( +1. +0% +#5529000 +0i +b1010010111101100001111011011101 { +b1010010111101100001111011011101 A" +0s +b1100100011110010001100001101110 | +b1100100011110010001100001101110 B" +0g +1:" +1;" +0r +0q +15" +16" +17" +b1010 0" +1j +0k +18" +1l +b10000 -" +0t +0y +1z +1u +1m +1/" +1x +1w +1," +b10101 ~ +b10101 )" +b10101 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#5529500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 ' +b0 D +0) +b10010 & +b10010 C +1. +0% +#5530000 +1i +0u +1s +1g +0:" +0;" +1q +05" +06" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +14" +1v +0z +b1010000000110111000000011000111 | +b1010000000110111000000011000111 B" +0m +0/" +0w +b1010010111101100001111011011101 / +b1010010111101100001111011011101 K +b1010010111101100001111011011101 e +b1010010111101100001111011011101 "" +b1100100011110010001100001101110 0 +b1100100011110010001100001101110 M +b1100100011110010001100001101110 f +b1100100011110010001100001101110 !" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#5530500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5531000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010000000110111000000011000111 0 +b1010000000110111000000011000111 M +b1010000000110111000000011000111 f +b1010000000110111000000011000111 !" +0. +1% +#5531500 +b110 5 +b110 L +b110 W +14 +b11100110011010011000100110000100 2 +b11100110011010011000100110000100 I +b11100110011010011000100110000100 Z +b11001 3 +b11001 J +b11001 Y +11 +b110 ' +b110 D +1) +b11100110011010011000100110000100 , +b11100110011010011000100110000100 H +b11001 + +b11001 G +1- +1. +0% +#5532000 +0] +0i +b1100101100011000110101100000100 { +b1100101100011000110101100000100 A" +0[ +1=" +1@" +0h +1:" +1;" +b110 3" +1c +0_ +1<" +1` +b11001 0" +1j +1k +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100110011010011000100110000100 &" +b11100110011010011000100110000100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#5532010 +b11100110011010011000100110000100 \" +#5532500 +b100 5 +b100 L +b100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5533000 +1] +1[ +0=" +0@" +1h +b11111 3" +0c +0<" +0` +b11011 0" +b10011010100011100010101010001001 { +b10011010100011100010101010001001 A" +0a +02" +0n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +b1100101100011000110101100000100 / +b1100101100011000110101100000100 K +b1100101100011000110101100000100 e +b1100101100011000110101100000100 "" +0. +1% +#5533500 +b1101 5 +b1101 L +b1101 W +b11011 7 +b11011 N +b11011 V +16 +b101110010111110110001101011010 2 +b101110010111110110001101011010 I +b101110010111110110001101011010 Z +11 +b1101 ' +b1101 D +b11011 & +b11011 C +1( +b101110010111110110001101011010 , +b101110010111110110001101011010 H +1- +1. +0% +#5534000 +0j +0s +b11100011010010001111011011110011 | +b11100011010010001111011011110011 B" +1@" +0g +19" +0r +0q +15" +17" +1_ +b10010 0" +1p +b11101111001111011001110001001101 { +b11101111001111011001110001001101 A" +b100 -" +1y +0u +14" +1v +12" +1m +1x +1w +1," +b10011010100011100010101010001001 / +b10011010100011100010101010001001 K +b10011010100011100010101010001001 e +b10011010100011100010101010001001 "" +b101110010111110110001101011010 &" +b101110010111110110001101011010 ?" +1'" +b1101 ~ +b1101 )" +b1101 ." +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#5534010 +b101110010111110110001101011010 C" +#5534500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#5535000 +1i +1s +0@" +1g +09" +0:" +0;" +1r +1q +05" +07" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101111001111011001110001001101 / +b11101111001111011001110001001101 K +b11101111001111011001110001001101 e +b11101111001111011001110001001101 "" +b11100011010010001111011011110011 0 +b11100011010010001111011011110011 M +b11100011010010001111011011110011 f +b11100011010010001111011011110011 !" +0. +1% +#5535500 +b10011 5 +b10011 L +b10011 W +14 +b10001 7 +b10001 N +b10001 V +16 +b10011 ' +b10011 D +1) +b10001 & +b10001 C +1( +1. +0% +#5536000 +b1010101101101111010111100110111 { +b1010101101101111010111100110111 A" +b111110110101111111110000101111 | +b111110110101111111110000101111 B" +0h +0g +1;" +0q +17" +b1100 0" +0k +18" +1l +b1110 -" +0u +14" +1v +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 ~ +b10011 )" +b10011 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#5536500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +b0 ' +b0 D +0) +b10100 & +b10100 C +1. +0% +#5537000 +0s +1h +1g +0;" +1q +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +1t +b1011100111011010110011100111101 | +b1011100111011010110011100111101 B" +0n +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +b1010101101101111010111100110111 / +b1010101101101111010111100110111 K +b1010101101101111010111100110111 e +b1010101101101111010111100110111 "" +b111110110101111111110000101111 0 +b111110110101111111110000101111 M +b111110110101111111110000101111 f +b111110110101111111110000101111 !" +0. +1% +#5537500 +b11001 7 +b11001 N +b11001 V +b1111110100111010001110111110101 2 +b1111110100111010001110111110101 I +b1111110100111010001110111110101 Z +b11101 3 +b11101 J +b11101 Y +11 +b11001 & +b11001 C +b1111110100111010001110111110101 , +b1111110100111010001110111110101 H +b11101 + +b11101 G +1- +1. +0% +#5538000 +15" +0] +1y +0[ +1=" +1>" +1@" +0q +06" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b110 -" +0t +b11100110011010011000100110000100 | +b11100110011010011000100110000100 B" +1a +12" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011100111011010110011100111101 0 +b1011100111011010110011100111101 M +b1011100111011010110011100111101 f +b1011100111011010110011100111101 !" +b1111110100111010001110111110101 &" +b1111110100111010001110111110101 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b11001 } +b11001 (" +b11001 +" +0. +1% +#5538010 +b1111110100111010001110111110101 `" +#5538500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5539000 +1] +1s +1[ +0=" +0>" +0@" +1q +05" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11100110011010011000100110000100 0 +b11100110011010011000100110000100 M +b11100110011010011000100110000100 f +b11100110011010011000100110000100 !" +0. +1% +#5539500 +b10010001010101010100110101011001 2 +b10010001010101010100110101011001 I +b10010001010101010100110101011001 Z +b10011 3 +b10011 J +b10011 Y +11 +b10010001010101010100110101011001 , +b10010001010101010100110101011001 H +b10011 + +b10011 G +1- +1. +0% +#5540000 +0\ +0[ +1@" +b1100 3" +0_ +1<" +1` +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010001010101010100110101011001 &" +b10010001010101010100110101011001 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +0. +1% +#5540010 +b10010001010101010100110101011001 V" +#5540500 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5541000 +0s +b1111001110101001010110001 | +b1111001110101001010110001 B" +1\ +1[ +0@" +0r +0q +16" +17" +b11111 3" +0<" +0` +b1000 -" +1t +0u +14" +1v +0b +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#5541500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5542000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b1111001110101001010110001 0 +b1111001110101001010110001 M +b1111001110101001010110001 f +b1111001110101001010110001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5542500 +b11 5 +b11 L +b11 W +14 +b11 ' +b11 D +1) +1. +0% +#5543000 +b101111000000010100101100001101 { +b101111000000010100101100001101 A" +0h +0g +1;" +b11100 0" +1k +1n +1m +1/" +b11 ~ +b11 )" +b11 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5543500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5544000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b101111000000010100101100001101 / +b101111000000010100101100001101 K +b101111000000010100101100001101 e +b101111000000010100101100001101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5544500 +b1110 7 +b1110 N +b1110 V +16 +b1000101100101110111000100100000 2 +b1000101100101110111000100100000 I +b1000101100101110111000100100000 Z +b110 3 +b110 J +b110 Y +11 +b1110 & +b1110 C +1( +b1000101100101110111000100100000 , +b1000101100101110111000100100000 H +b110 + +b110 G +1- +1. +0% +#5545000 +0] +0s +b1101001111100001111110001000 | +b1101001111100001111110001000 B" +0\ +1>" +1@" +0r +15" +16" +17" +b11001 3" +1^ +1_ +b10001 -" +0t +0y +1z +1u +1b +12" +1x +1," +b1000101100101110111000100100000 &" +b1000101100101110111000100100000 ?" +b110 %" +b110 *" +b110 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5545010 +b1000101100101110111000100100000 I" +#5545500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101100001010100011100010001000 2 +b11101100001010100011100010001000 I +b11101100001010100011100010001000 Z +b10010 3 +b10010 J +b10010 Y +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b11101100001010100011100010001000 , +b11101100001010100011100010001000 H +b10010 + +b10010 G +1. +0% +#5546000 +0_ +1] +0i +b1111110100111010001110111110101 { +b1111110100111010001110111110101 A" +1s +0>" +0g +19" +1:" +1;" +1r +05" +06" +07" +b1101 3" +1<" +1` +0^ +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0," +b1101001111100001111110001000 0 +b1101001111100001111110001000 M +b1101001111100001111110001000 f +b1101001111100001111110001000 !" +b11101100001010100011100010001000 &" +b11101100001010100011100010001000 ?" +b10010 %" +b10010 *" +b10010 1" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5546010 +b11101100001010100011100010001000 U" +#5546500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5547000 +1i +1\ +0@" +1g +09" +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111110100111010001110111110101 / +b1111110100111010001110111110101 K +b1111110100111010001110111110101 e +b1111110100111010001110111110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5547500 +b110 7 +b110 N +b110 V +16 +b1100111110001100011111000011010 2 +b1100111110001100011111000011010 I +b1100111110001100011111000011010 Z +b10010 3 +b10010 J +b10010 Y +11 +b110 & +b110 C +1( +b1100111110001100011111000011010 , +b1100111110001100011111000011010 H +b10010 + +b10010 G +1- +1. +0% +#5548000 +0s +b1000101100101110111000100100000 | +b1000101100101110111000100100000 B" +0\ +1@" +0r +16" +17" +b1101 3" +0_ +1<" +1` +b11001 -" +1t +1u +1b +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100111110001100011111000011010 &" +b1100111110001100011111000011010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#5548010 +b1100111110001100011111000011010 U" +#5548500 +b0 7 +b0 N +b0 V +06 +b11000000001010101010000010111011 2 +b11000000001010101010000010111011 I +b11000000001010101010000010111011 Z +b1000 3 +b1000 J +b1000 Y +b0 & +b0 C +0( +b11000000001010101010000010111011 , +b11000000001010101010000010111011 H +b1000 + +b1000 G +1. +0% +#5549000 +1_ +0] +1s +1\ +1@" +1=" +1r +06" +07" +b10111 3" +0<" +0` +1c +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0x +0," +b11000000001010101010000010111011 &" +b11000000001010101010000010111011 ?" +b1000 %" +b1000 *" +b1000 1" +b0 } +b0 (" +b0 +" +0#" +b1000101100101110111000100100000 0 +b1000101100101110111000100100000 M +b1000101100101110111000100100000 f +b1000101100101110111000100100000 !" +0. +1% +#5549010 +b11000000001010101010000010111011 K" +#5549500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5550000 +1] +0=" +0@" +b11111 3" +0c +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5550500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#5551000 +0i +b1100100011110010001100001101110 { +b1100100011110010001100001101110 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#5551500 +b10010 7 +b10010 N +b10010 V +16 +b10010 & +b10010 C +1( +1. +0% +#5552000 +b1100111110001100011111000011010 | +b1100111110001100011111000011010 B" +0r +17" +b1101 -" +0u +14" +1v +1x +1," +b1100100011110010001100001101110 / +b1100100011110010001100001101110 K +b1100100011110010001100001101110 e +b1100100011110010001100001101110 "" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#5552500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b0 ' +b0 D +0) +b110 & +b110 C +1. +0% +#5553000 +1i +1u +0s +1h +1g +09" +0:" +0;" +17" +b1000101100101110111000100100000 | +b1000101100101110111000100100000 B" +16" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11001 -" +04" +0v +1t +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +b1100111110001100011111000011010 0 +b1100111110001100011111000011010 M +b1100111110001100011111000011010 f +b1100111110001100011111000011010 !" +0. +1% +#5553500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5554000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000101100101110111000100100000 0 +b1000101100101110111000100100000 M +b1000101100101110111000100100000 f +b1000101100101110111000100100000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5554500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#5555000 +0s +b1011100111011010110011100111101 | +b1011100111011010110011100111101 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5555500 +14 +b0 7 +b0 N +b0 V +06 +1) +b0 & +b0 C +0( +1. +0% +#5556000 +b101110010111110110001101011010 { +b101110010111110110001101011010 A" +1s +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1k +b11111 -" +0t +04" +0v +1/" +0," +b1011100111011010110011100111101 0 +b1011100111011010110011100111101 M +b1011100111011010110011100111101 f +b1011100111011010110011100111101 !" +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5556500 +b1011 5 +b1011 L +b1011 W +b11110 7 +b11110 N +b11110 V +16 +b1011000111100111110101010011 2 +b1011000111100111110101010011 I +b1011000111100111110101010011 Z +b11100 3 +b11100 J +b11100 Y +11 +b1011 ' +b1011 D +b11110 & +b11110 C +1( +b1011000111100111110101010011 , +b1011000111100111110101010011 H +b11100 + +b11100 G +1- +1. +0% +#5557000 +0] +0i +0s +b11111000110010000110001111100010 | +b11111000110010000110001111100010 B" +1=" +1>" +1@" +0h +0g +19" +0r +15" +16" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b10100 0" +1o +b10111100010101111100011011110100 { +b10111100010101111100011011110100 A" +b1 -" +0t +0y +1z +0u +14" +1v +12" +1n +1m +1x +1," +b1011000111100111110101010011 &" +b1011000111100111110101010011 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +b11110 } +b11110 (" +b11110 +" +1#" +b101110010111110110001101011010 / +b101110010111110110001101011010 K +b101110010111110110001101011010 e +b101110010111110110001101011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5557010 +b1011000111100111110101010011 _" +#5557500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5558000 +1] +1s +0=" +0>" +0@" +1h +1r +05" +06" +07" +b11111 3" +0d +0<" +0` +b10110 0" +b11000011111101011010111100100001 { +b11000011111101011010111100100001 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0n +0x +0," +b10111100010101111100011011110100 / +b10111100010101111100011011110100 K +b10111100010101111100011011110100 e +b10111100010101111100011011110100 "" +b11111000110010000110001111100010 0 +b11111000110010000110001111100010 M +b11111000110010000110001111100010 f +b11111000110010000110001111100010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5558500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5559000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11000011111101011010111100100001 / +b11000011111101011010111100100001 K +b11000011111101011010111100100001 e +b11000011111101011010111100100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5559500 +b110 5 +b110 L +b110 W +14 +b11111101000100001111100101011011 2 +b11111101000100001111100101011011 I +b11111101000100001111100101011011 Z +b111 3 +b111 J +b111 Y +11 +b110 ' +b110 D +1) +b11111101000100001111100101011011 , +b11111101000100001111100101011011 H +b111 + +b111 G +1- +1. +0% +#5560000 +0] +0i +b1000101100101110111000100100000 { +b1000101100101110111000100100000 A" +0\ +0[ +1>" +1@" +0h +1:" +1;" +b11000 3" +1^ +1_ +b11001 0" +1j +1k +1b +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101000100001111100101011011 &" +b11111101000100001111100101011011 ?" +b111 %" +b111 *" +b111 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#5560010 +b11111101000100001111100101011011 J" +#5560500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b111101111010101101111111111010 2 +b111101111010101101111111111010 I +b111101111010101101111111111010 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b111101111010101101111111111010 , +b111101111010101101111111111010 H +b11001 + +b11001 G +1. +0% +#5561000 +1=" +0_ +1c +1i +0s +b1100100011110010001100001101110 | +b1100100011110010001100001101110 B" +1\ +0>" +1h +0:" +0;" +0r +0q +15" +16" +17" +b110 3" +1<" +1` +0^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +0t +0y +1z +1u +0b +0n +0/" +1x +1w +1," +b111101111010101101111111111010 &" +b111101111010101101111111111010 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +b1000101100101110111000100100000 / +b1000101100101110111000100100000 K +b1000101100101110111000100100000 e +b1000101100101110111000100100000 "" +0. +1% +#5561010 +b111101111010101101111111111010 \" +#5561500 +b110 7 +b110 N +b110 V +b11100010111010000110001111000111 2 +b11100010111010000110001111000111 I +b11100010111010000110001111000111 Z +b11100 3 +b11100 J +b11100 Y +b110 & +b110 C +b11100010111010000110001111000111 , +b11100010111010000110001111000111 H +b11100 + +b11100 G +1. +0% +#5562000 +0c +1t +1[ +1>" +1q +05" +16" +b11 3" +1d +b11001 -" +0z +b1000101100101110111000100100000 | +b1000101100101110111000100100000 B" +0a +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100100011110010001100001101110 0 +b1100100011110010001100001101110 M +b1100100011110010001100001101110 f +b1100100011110010001100001101110 !" +b11100010111010000110001111000111 &" +b11100010111010000110001111000111 ?" +b11100 %" +b11100 *" +b11100 1" +b110 } +b110 (" +b110 +" +0. +1% +#5562010 +b11100010111010000110001111000111 _" +#5562500 +b10 7 +b10 N +b10 V +b1101111101010110011100010110011 2 +b1101111101010110011100010110011 I +b1101111101010110011100010110011 Z +b11001 3 +b11001 J +b11001 Y +b10 & +b10 C +b1101111101010110011100010110011 , +b1101111101010110011100010110011 H +b11001 + +b11001 G +1. +0% +#5563000 +1c +1s +b1110001101010001000001100 | +b1110001101010001000001100 B" +0[ +1=" +0>" +06" +b110 3" +0d +b11101 -" +0t +1a +b1101111101010110011100010110011 &" +b1101111101010110011100010110011 ?" +b11001 %" +b11001 *" +b11001 1" +b10 } +b10 (" +b10 +" +b1000101100101110111000100100000 0 +b1000101100101110111000100100000 M +b1000101100101110111000100100000 f +b1000101100101110111000100100000 !" +0. +1% +#5563010 +b1101111101010110011100010110011 \" +#5563500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5564000 +1] +b1100111110001100011111000011010 { +b1100111110001100011111000011010 A" +1[ +0=" +0@" +0h +1;" +1r +07" +b11111 3" +0c +0<" +0` +b1101 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +1n +1/" +0x +0," +b1110001101010001000001100 0 +b1110001101010001000001100 M +b1110001101010001000001100 f +b1110001101010001000001100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5564500 +b11001 5 +b11001 L +b11001 W +b1110 7 +b1110 N +b1110 V +16 +b11001 ' +b11001 D +b1110 & +b1110 C +1( +1. +0% +#5565000 +0i +0s +b1101001111100001111110001000 | +b1101001111100001111110001000 B" +1h +0g +19" +0r +15" +16" +17" +b110 0" +1o +b1101111101010110011100010110011 { +b1101111101010110011100010110011 A" +b10001 -" +0t +0y +1z +1u +0n +1m +1x +1," +b11001 ~ +b11001 )" +b11001 ." +b1110 } +b1110 (" +b1110 +" +1#" +b1100111110001100011111000011010 / +b1100111110001100011111000011010 K +b1100111110001100011111000011010 e +b1100111110001100011111000011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5565500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +b0 ' +b0 D +0) +b1010 & +b1010 C +1. +0% +#5566000 +1i +1y +b101100000011011101000001110110 | +b101100000011011101000001110110 B" +1g +09" +0;" +15" +06" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10101 -" +0z +0m +0/" +b1101111101010110011100010110011 / +b1101111101010110011100010110011 K +b1101111101010110011100010110011 e +b1101111101010110011100010110011 "" +b1101001111100001111110001000 0 +b1101001111100001111110001000 M +b1101001111100001111110001000 f +b1101001111100001111110001000 !" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +0. +1% +#5566500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5567000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101100000011011101000001110110 0 +b101100000011011101000001110110 M +b101100000011011101000001110110 f +b101100000011011101000001110110 !" +0. +1% +#5567500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#5568000 +0i +b11000000001010101010000010111011 { +b11000000001010101010000010111011 A" +19" +1;" +b10111 0" +1o +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#5568500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5569000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11000000001010101010000010111011 / +b11000000001010101010000010111011 K +b11000000001010101010000010111011 e +b11000000001010101010000010111011 "" +0. +1% +#5569500 +b1100 5 +b1100 L +b1100 W +14 +b10111 7 +b10111 N +b10111 V +16 +b1100 ' +b1100 D +1) +b10111 & +b10111 C +1( +1. +0% +#5570000 +0i +b11101100111010110001100101000100 { +b11101100111010110001100101000100 A" +0s +b1111001110101001010110001 | +b1111001110101001010110001 B" +19" +1:" +1;" +0r +0q +16" +17" +b10011 0" +0j +0o +1p +1k +b1000 -" +1t +0u +14" +1v +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100 ~ +b1100 )" +b1100 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#5570500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b0 ' +b0 D +0) +b11111 & +b11111 C +1. +0% +#5571000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0t +b1000111001001011001001101110110 | +b1000111001001011001001101110110 B" +09" +0:" +0;" +15" +b11111 0" +0p +0k +b0 -" +1z +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +b11101100111010110001100101000100 / +b11101100111010110001100101000100 K +b11101100111010110001100101000100 e +b11101100111010110001100101000100 "" +b1111001110101001010110001 0 +b1111001110101001010110001 M +b1111001110101001010110001 f +b1111001110101001010110001 !" +0. +1% +#5571500 +b10011 5 +b10011 L +b10011 W +14 +b10111 7 +b10111 N +b10111 V +b10011 ' +b10011 D +1) +b10111 & +b10111 C +1. +0% +#5572000 +b10010001010101010100110101011001 { +b10010001010101010100110101011001 A" +1t +b1111001110101001010110001 | +b1111001110101001010110001 B" +0h +0g +1;" +05" +16" +b1100 0" +0k +18" +1l +b1000 -" +0z +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000111001001011001001101110110 0 +b1000111001001011001001101110110 M +b1000111001001011001001101110110 f +b1000111001001011001001101110110 !" +b10011 ~ +b10011 )" +b10011 ." +1$" +b10111 } +b10111 (" +b10111 +" +0. +1% +#5572500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +b0 ' +b0 D +0) +b10 & +b10 C +1. +0% +#5573000 +1u +1s +1h +1g +0;" +1q +17" +06" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +04" +0v +0t +b1110001101010001000001100 | +b1110001101010001000001100 B" +0n +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +b10010001010101010100110101011001 / +b10010001010101010100110101011001 K +b10010001010101010100110101011001 e +b10010001010101010100110101011001 "" +b1111001110101001010110001 0 +b1111001110101001010110001 M +b1111001110101001010110001 f +b1111001110101001010110001 !" +0. +1% +#5573500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5574000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110001101010001000001100 0 +b1110001101010001000001100 M +b1110001101010001000001100 f +b1110001101010001000001100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5574500 +b11110010101010010010101010011101 2 +b11110010101010010010101010011101 I +b11110010101010010010101010011101 Z +b101 3 +b101 J +b101 Y +11 +b11110010101010010010101010011101 , +b11110010101010010010101010011101 H +b101 + +b101 G +1- +1. +0% +#5575000 +0] +0[ +1>" +1@" +b11010 3" +1^ +1_ +1a +12" +b11110010101010010010101010011101 &" +b11110010101010010010101010011101 ?" +b101 %" +b101 *" +b101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5575010 +b11110010101010010010101010011101 H" +#5575500 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5576000 +1] +0s +b11000000001010101010000010111011 | +b11000000001010101010000010111011 B" +1[ +0>" +0@" +15" +17" +b11111 3" +0^ +0_ +b10111 -" +1y +1u +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#5576500 +b11001 5 +b11001 L +b11001 W +14 +b1010 7 +b1010 N +b1010 V +b11001 ' +b11001 D +1) +b1010 & +b1010 C +1. +0% +#5577000 +0i +b1101111101010110011100010110011 { +b1101111101010110011100010110011 A" +0g +19" +1;" +0r +b110 0" +1o +0k +18" +1l +b10101 -" +b101100000011011101000001110110 | +b101100000011011101000001110110 B" +1m +1/" +1x +b11001 ~ +b11001 )" +b11001 ." +1$" +b1010 } +b1010 (" +b1010 +" +b11000000001010101010000010111011 0 +b11000000001010101010000010111011 M +b11000000001010101010000010111011 f +b11000000001010101010000010111011 !" +0. +1% +#5577500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5578000 +1i +1s +1g +09" +0;" +1r +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0," +b1101111101010110011100010110011 / +b1101111101010110011100010110011 K +b1101111101010110011100010110011 e +b1101111101010110011100010110011 "" +b101100000011011101000001110110 0 +b101100000011011101000001110110 M +b101100000011011101000001110110 f +b101100000011011101000001110110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5578500 +b1110011111111010000110110011 2 +b1110011111111010000110110011 I +b1110011111111010000110110011 Z +b11010 3 +b11010 J +b11010 Y +11 +b1110011111111010000110110011 , +b1110011111111010000110110011 H +b11010 + +b11010 G +1- +1. +0% +#5579000 +0] +0\ +1=" +1@" +b101 3" +1c +0_ +1<" +1` +1b +12" +b1110011111111010000110110011 &" +b1110011111111010000110110011 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5579010 +b1110011111111010000110110011 ]" +#5579500 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5580000 +1] +b111110110101111111110000101111 | +b111110110101111111110000101111 B" +1\ +0=" +0@" +0q +17" +b11111 3" +0c +0<" +0` +b1110 -" +0u +14" +1v +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#5580500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b111110110001010001011011011010 2 +b111110110001010001011011011010 I +b111110110001010001011011011010 Z +b101 3 +b101 J +b101 Y +11 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b111110110001010001011011011010 , +b111110110001010001011011011010 H +b101 + +b101 G +1- +1. +0% +#5581000 +0] +0i +b1100100011110010001100001101110 { +b1100100011110010001100001101110 A" +0[ +1>" +1@" +0h +0g +19" +1:" +1;" +1q +07" +b11010 3" +1^ +1_ +b10000 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1n +1m +1/" +0w +0," +b111110110001010001011011011010 &" +b111110110001010001011011011010 ?" +b101 %" +b101 *" +b101 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b111110110101111111110000101111 0 +b111110110101111111110000101111 M +b111110110101111111110000101111 f +b111110110101111111110000101111 !" +0. +1% +#5581010 +b111110110001010001011011011010 H" +#5581500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5582000 +1] +1i +0s +b11111000110010000110001111100010 | +b11111000110010000110001111100010 B" +1[ +0>" +0@" +1h +1g +09" +0:" +0;" +0r +15" +16" +17" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0a +02" +0n +0m +0/" +1x +1," +b1100100011110010001100001101110 / +b1100100011110010001100001101110 K +b1100100011110010001100001101110 e +b1100100011110010001100001101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#5582500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b110001000010001110011000100001 2 +b110001000010001110011000100001 I +b110001000010001110011000100001 Z +b1110 3 +b1110 J +b1110 Y +11 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b110001000010001110011000100001 , +b110001000010001110011000100001 H +b1110 + +b1110 G +1- +1. +0% +#5583000 +0] +0i +b1111001110101001010110001 { +b1111001110101001010110001 A" +1s +0\ +1=" +1>" +1@" +0h +0g +1:" +1;" +1r +05" +06" +07" +b10001 3" +0^ +0c +1d +1_ +b1000 0" +1j +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1n +1m +1/" +0x +0," +b110001000010001110011000100001 &" +b110001000010001110011000100001 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111000110010000110001111100010 0 +b11111000110010000110001111100010 M +b11111000110010000110001111100010 f +b11111000110010000110001111100010 !" +0. +1% +#5583010 +b110001000010001110011000100001 Q" +#5583500 +b0 5 +b0 L +b0 W +04 +b11101011011101000110111100011100 2 +b11101011011101000110111100011100 I +b11101011011101000110111100011100 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b11101011011101000110111100011100 , +b11101011011101000110111100011100 H +b1011 + +b1011 G +1. +0% +#5584000 +1c +1i +0[ +1=" +0>" +1h +1g +0:" +0;" +b10100 3" +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +0n +0m +0/" +b1111001110101001010110001 / +b1111001110101001010110001 K +b1111001110101001010110001 e +b1111001110101001010110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101011011101000110111100011100 &" +b11101011011101000110111100011100 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5584010 +b11101011011101000110111100011100 N" +#5584500 +b11101 5 +b11101 L +b11101 W +14 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5585000 +1] +0i +b1111110100111010001110111110101 { +b1111110100111010001110111110101 A" +0s +b11101011011101000110111100011100 | +b11101011011101000110111100011100 B" +1\ +1[ +0=" +0@" +0g +19" +1:" +1;" +0r +0q +15" +17" +b11111 3" +0c +0_ +b10 0" +0j +0o +1p +0k +18" +1l +b10100 -" +1y +1u +0b +0a +02" +1m +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5585500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b11110101100010010011011100100 2 +b11110101100010010011011100100 I +b11110101100010010011011100100 Z +b1000 3 +b1000 J +b1000 Y +11 +b110 ' +b110 D +b0 & +b0 C +0( +b11110101100010010011011100100 , +b11110101100010010011011100100 H +b1000 + +b1000 G +1- +1. +0% +#5586000 +0] +1k +1j +1s +1=" +1@" +0h +1g +1;" +09" +1:" +1r +1q +05" +07" +b10111 3" +1c +1_ +b11001 0" +08" +0l +0p +b1000101100101110111000100100000 { +b1000101100101110111000100100000 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +0m +0x +0w +0," +b1111110100111010001110111110101 / +b1111110100111010001110111110101 K +b1111110100111010001110111110101 e +b1111110100111010001110111110101 "" +b11101011011101000110111100011100 0 +b11101011011101000110111100011100 M +b11101011011101000110111100011100 f +b11101011011101000110111100011100 !" +b11110101100010010011011100100 &" +b11110101100010010011011100100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5586010 +b11110101100010010011011100100 K" +#5586500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5587000 +1] +1i +0=" +0@" +1h +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000101100101110111000100100000 / +b1000101100101110111000100100000 K +b1000101100101110111000100100000 e +b1000101100101110111000100100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5587500 +b1100 7 +b1100 N +b1100 V +16 +b1100 & +b1100 C +1( +1. +0% +#5588000 +0s +b11101100111010110001100101000100 | +b11101100111010110001100101000100 B" +15" +16" +17" +b10011 -" +0t +0y +1z +1u +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#5588500 +b0 7 +b0 N +b0 V +06 +b101000100110001000001100011111 2 +b101000100110001000001100011111 I +b101000100110001000001100011111 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 & +b0 C +0( +b101000100110001000001100011111 , +b101000100110001000001100011111 H +b1001 + +b1001 G +1- +1. +0% +#5589000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1=" +1@" +05" +06" +07" +b10110 3" +1c +1_ +b11111 -" +0z +0u +1a +12" +0," +b101000100110001000001100011111 &" +b101000100110001000001100011111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11101100111010110001100101000100 0 +b11101100111010110001100101000100 M +b11101100111010110001100101000100 f +b11101100111010110001100101000100 !" +0. +1% +#5589010 +b101000100110001000001100011111 L" +#5589500 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5590000 +1] +0s +b111110110001010001011011011010 | +b111110110001010001011011011010 B" +1[ +0=" +0@" +0q +16" +17" +b11111 3" +0c +0_ +b11010 -" +1t +1u +0a +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#5590500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5591000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b111110110001010001011011011010 0 +b111110110001010001011011011010 M +b111110110001010001011011011010 f +b111110110001010001011011011010 !" +0. +1% +#5591500 +16 +b10101111001010101011000101100011 2 +b10101111001010101011000101100011 I +b10101111001010101011000101100011 Z +b10101 3 +b10101 J +b10101 Y +11 +1( +b10101111001010101011000101100011 , +b10101111001010101011000101100011 H +b10101 + +b10101 G +1- +1. +0% +#5592000 +0] +b101110010111110110001101011010 | +b101110010111110110001101011010 B" +0[ +1>" +1@" +17" +b1010 3" +1^ +0_ +1<" +1` +1u +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101111001010101011000101100011 &" +b10101111001010101011000101100011 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +1#" +0. +1% +#5592010 +b10101111001010101011000101100011 X" +#5592500 +b10111 5 +b10111 L +b10111 W +14 +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5593000 +1] +0i +b1111001110101001010110001 { +b1111001110101001010110001 A" +0s +1[ +0>" +0@" +0h +0g +1:" +1;" +0r +0q +15" +16" +b11111 3" +0^ +0<" +0` +b1000 0" +1j +0k +18" +1l +b10000 -" +0t +0y +1z +b1100100011110010001100001101110 | +b1100100011110010001100001101110 B" +0a +02" +1n +1m +1/" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b1111 } +b1111 (" +b1111 +" +b101110010111110110001101011010 0 +b101110010111110110001101011010 M +b101110010111110110001101011010 f +b101110010111110110001101011010 !" +0. +1% +#5593500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +b0 ' +b0 D +0) +b1011 & +b1011 C +1. +0% +#5594000 +1i +1y +b11101011011101000110111100011100 | +b11101011011101000110111100011100 B" +1h +1g +0:" +0;" +15" +06" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +0z +0n +0m +0/" +b1111001110101001010110001 / +b1111001110101001010110001 K +b1111001110101001010110001 e +b1111001110101001010110001 "" +b1100100011110010001100001101110 0 +b1100100011110010001100001101110 M +b1100100011110010001100001101110 f +b1100100011110010001100001101110 !" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#5594500 +b10 7 +b10 N +b10 V +b1000001010001110101111111010010 2 +b1000001010001110101111111010010 I +b1000001010001110101111111010010 Z +b10110 3 +b10110 J +b10110 Y +11 +b10 & +b10 C +b1000001010001110101111111010010 , +b1000001010001110101111111010010 H +b10110 + +b10110 G +1- +1. +0% +#5595000 +0] +1s +0\ +1>" +1@" +1q +05" +b1001 3" +1^ +0_ +1<" +1` +b11101 -" +0y +b1110001101010001000001100 | +b1110001101010001000001100 B" +1b +12" +0w +b1000001010001110101111111010010 &" +b1000001010001110101111111010010 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b10 } +b10 (" +b10 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011011101000110111100011100 0 +b11101011011101000110111100011100 M +b11101011011101000110111100011100 f +b11101011011101000110111100011100 !" +0. +1% +#5595010 +b1000001010001110101111111010010 Y" +#5595500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5596000 +1] +0i +b111110110001010001011011011010 { +b111110110001010001011011011010 A" +1\ +0>" +0@" +0g +1:" +1;" +1r +07" +b11111 3" +0^ +0<" +0` +b11010 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1m +1/" +0x +0," +b1110001101010001000001100 0 +b1110001101010001000001100 M +b1110001101010001000001100 f +b1110001101010001000001100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5596500 +b10 5 +b10 L +b10 W +b10 ' +b10 D +1. +0% +#5597000 +1i +0h +1g +0:" +b11101 0" +0j +b1110001101010001000001100 { +b1110001101010001000001100 A" +1n +0m +b10 ~ +b10 )" +b10 ." +b111110110001010001011011011010 / +b111110110001010001011011011010 K +b111110110001010001011011011010 e +b111110110001010001011011011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5597500 +b1111 5 +b1111 L +b1111 W +b1111 ' +b1111 D +1. +0% +#5598000 +0i +0g +19" +1:" +b10000 0" +0j +0o +1p +b1100100011110010001100001101110 { +b1100100011110010001100001101110 A" +1m +b1110001101010001000001100 / +b1110001101010001000001100 K +b1110001101010001000001100 e +b1110001101010001000001100 "" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#5598500 +b0 5 +b0 L +b0 W +04 +b11110101100011100011011100111101 2 +b11110101100011100011011100111101 I +b11110101100011100011011100111101 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b11110101100011100011011100111101 , +b11110101100011100011011100111101 H +b11000 + +b11000 G +1- +1. +0% +#5599000 +0] +1i +1=" +1@" +1h +1g +09" +0:" +0;" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0n +0m +0/" +b11110101100011100011011100111101 &" +b11110101100011100011011100111101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100100011110010001100001101110 / +b1100100011110010001100001101110 K +b1100100011110010001100001101110 e +b1100100011110010001100001101110 "" +0. +1% +#5599010 +b11110101100011100011011100111101 [" +#5599500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5600000 +1] +0=" +0@" +b11111 3" +0c +0<" +0` +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5600500 +1. +0% +#5601000 +0. +1% +#5601500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#5602000 +b10110111011001000011110011000 | +b10110111011001000011110011000 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#5602500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +1. +0% +#5603000 +0i +b1100100011110010001100001101110 { +b1100100011110010001100001101110 A" +0h +0g +19" +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10000 0" +0j +0o +1p +1k +b11111 -" +04" +0v +1n +1m +1/" +0," +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10110111011001000011110011000 0 +b10110111011001000011110011000 M +b10110111011001000011110011000 f +b10110111011001000011110011000 !" +0. +1% +#5603500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +1. +0% +#5604000 +1i +0s +b11101011011101000110111100011100 | +b11101011011101000110111100011100 B" +1h +1g +09" +0:" +0;" +0r +0q +15" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +1y +1u +0n +0m +0/" +1x +1w +1," +b1100100011110010001100001101110 / +b1100100011110010001100001101110 K +b1100100011110010001100001101110 e +b1100100011110010001100001101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#5604500 +b10011 5 +b10011 L +b10011 W +14 +b0 7 +b0 N +b0 V +06 +b10011 ' +b10011 D +1) +b0 & +b0 C +0( +1. +0% +#5605000 +b10010001010101010100110101011001 { +b10010001010101010100110101011001 A" +1s +0h +0g +1;" +1r +1q +05" +07" +b1100 0" +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0x +0w +0," +b10011 ~ +b10011 )" +b10011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011011101000110111100011100 0 +b11101011011101000110111100011100 M +b11101011011101000110111100011100 f +b11101011011101000110111100011100 !" +0. +1% +#5605500 +b10 5 +b10 L +b10 W +b10 ' +b10 D +1. +0% +#5606000 +1k +1g +1;" +b11101 0" +08" +0l +b1110001101010001000001100 { +b1110001101010001000001100 A" +0m +b10010001010101010100110101011001 / +b10010001010101010100110101011001 K +b10010001010101010100110101011001 e +b10010001010101010100110101011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10 ~ +b10 )" +b10 ." +0. +1% +#5606500 +b11010 5 +b11010 L +b11010 W +b11111 7 +b11111 N +b11111 V +16 +b10111100000100101010001011100 2 +b10111100000100101010001011100 I +b10111100000100101010001011100 Z +b1000 3 +b1000 J +b1000 Y +11 +b11010 ' +b11010 D +b11111 & +b11111 C +1( +b10111100000100101010001011100 , +b10111100000100101010001011100 H +b1000 + +b1000 G +1- +1. +0% +#5607000 +0] +0k +0i +0s +b1000111001001011001001101110110 | +b1000111001001011001001101110110 B" +1=" +1@" +b1110011111111010000110110011 { +b1110011111111010000110110011 A" +19" +0r +0q +15" +16" +17" +b10111 3" +1c +1_ +b101 0" +18" +1l +1o +b0 -" +0t +0y +1z +0u +14" +1v +12" +1x +1w +1," +b10111100000100101010001011100 &" +b10111100000100101010001011100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +b11111 } +b11111 (" +b11111 +" +1#" +b1110001101010001000001100 / +b1110001101010001000001100 K +b1110001101010001000001100 e +b1110001101010001000001100 "" +0. +1% +#5607010 +b10111100000100101010001011100 K" +#5607500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5608000 +1] +1i +1s +0=" +0@" +1h +09" +0;" +1r +1q +05" +06" +07" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0n +0/" +0x +0w +0," +b1110011111111010000110110011 / +b1110011111111010000110110011 K +b1110011111111010000110110011 e +b1110011111111010000110110011 "" +b1000111001001011001001101110110 0 +b1000111001001011001001101110110 M +b1000111001001011001001101110110 f +b1000111001001011001001101110110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5608500 +b10000 5 +b10000 L +b10000 W +14 +b10000 ' +b10000 D +1) +1. +0% +#5609000 +b10110111011001000011110011000 { +b10110111011001000011110011000 A" +1;" +b1111 0" +0k +18" +1l +1/" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5609500 +b0 5 +b0 L +b0 W +04 +b10011111111110101110100111000000 2 +b10011111111110101110100111000000 I +b10011111111110101110100111000000 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b10011111111110101110100111000000 , +b10011111111110101110100111000000 H +b11011 + +b11011 G +1- +1. +0% +#5610000 +0] +0\ +0[ +1=" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b100 3" +1c +0_ +1<" +1` +b11111 0" +08" +0l +1b +1a +12" +0/" +b10110111011001000011110011000 / +b10110111011001000011110011000 K +b10110111011001000011110011000 e +b10110111011001000011110011000 "" +b10011111111110101110100111000000 &" +b10011111111110101110100111000000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5610010 +b10011111111110101110100111000000 ^" +#5610500 +b110110100110010101010000001111 2 +b110110100110010101010000001111 I +b110110100110010101010000001111 Z +b0 3 +b0 J +b0 Y +b110110100110010101010000001111 , +b110110100110010101010000001111 H +b0 + +b0 G +1. +0% +#5611000 +1_ +1] +1\ +1[ +1@" +0=" +b11111 3" +0<" +0` +0c +0b +0a +b110110100110010101010000001111 &" +b110110100110010101010000001111 ?" +b0 %" +b0 *" +b0 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5611010 +b110110100110010101010000001111 C" +#5611500 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +01 +b1101 & +b1101 C +1( +b0 , +b0 H +0- +1. +0% +#5612000 +0s +b11101111001111011001110001001101 | +b11101111001111011001110001001101 B" +0@" +0q +15" +16" +17" +0_ +b10010 -" +0t +0y +1z +1u +02" +1w +1," +b0 &" +b0 ?" +0'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#5612500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5613000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11101111001111011001110001001101 0 +b11101111001111011001110001001101 M +b11101111001111011001110001001101 f +b11101111001111011001110001001101 !" +0. +1% +#5613500 +1. +0% +#5614000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5614500 +b101 5 +b101 L +b101 W +14 +b101 ' +b101 D +1) +1. +0% +#5615000 +0i +b111110110001010001011011011010 { +b111110110001010001011011011010 A" +0g +1:" +1;" +b11010 0" +1j +1k +1m +1/" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#5615500 +b1101 5 +b1101 L +b1101 W +b11000 7 +b11000 N +b11000 V +16 +b111111000011111000100101101111 2 +b111111000011111000100101101111 I +b111111000011111000100101101111 Z +11 +b1101 ' +b1101 D +b11000 & +b11000 C +1( +b111111000011111000100101101111 , +b111111000011111000100101101111 H +1- +1. +0% +#5616000 +0j +b11101111001111011001110001001101 { +b11101111001111011001110001001101 A" +0s +b11110101100011100011011100111101 | +b11110101100011100011011100111101 B" +1@" +19" +15" +17" +1_ +b10010 0" +1p +b111 -" +1y +0u +14" +1v +12" +1," +b111110110001010001011011011010 / +b111110110001010001011011011010 K +b111110110001010001011011011010 e +b111110110001010001011011011010 "" +b111111000011111000100101101111 &" +b111111000011111000100101101111 ?" +1'" +b1101 ~ +b1101 )" +b1101 ." +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#5616010 +b111111000011111000100101101111 C" +#5616500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b1 & +b1 C +b0 , +b0 H +0- +1. +0% +#5617000 +1i +1u +1s +0@" +1g +09" +0:" +0;" +0q +17" +05" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11110 -" +04" +0v +0y +b10101001111011100010010100101111 | +b10101001111011100010010100101111 B" +02" +0m +0/" +1w +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +b11101111001111011001110001001101 / +b11101111001111011001110001001101 K +b11101111001111011001110001001101 e +b11101111001111011001110001001101 "" +b11110101100011100011011100111101 0 +b11110101100011100011011100111101 M +b11110101100011100011011100111101 f +b11110101100011100011011100111101 !" +0. +1% +#5617500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b10110101110010110001110011100101 2 +b10110101110010110001110011100101 I +b10110101110010110001110011100101 Z +b1011 3 +b1011 J +b1011 Y +11 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b10110101110010110001110011100101 , +b10110101110010110001110011100101 H +b1011 + +b1011 G +1- +1. +0% +#5618000 +0] +0i +b111110110001010001011011011010 { +b111110110001010001011011011010 A" +0\ +0[ +1=" +1@" +0g +1:" +1;" +1q +07" +b10100 3" +1c +1_ +b11010 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101001111011100010010100101111 0 +b10101001111011100010010100101111 M +b10101001111011100010010100101111 f +b10101001111011100010010100101111 !" +b10110101110010110001110011100101 &" +b10110101110010110001110011100101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5618010 +b10110101110010110001110011100101 N" +#5618500 +b11001 5 +b11001 L +b11001 W +b10 7 +b10 N +b10 V +16 +b110101110101001011011000001 2 +b110101110101001011011000001 I +b110101110101001011011000001 Z +b11011 3 +b11011 J +b11011 Y +b11001 ' +b11001 D +b10 & +b10 C +1( +b110101110101001011011000001 , +b110101110101001011011000001 H +b11011 + +b11011 G +1. +0% +#5619000 +19" +0_ +0k +1o +b1110001101010001000001100 | +b1110001101010001000001100 B" +b1101111101010110011100010110011 { +b1101111101010110011100010110011 A" +0:" +0r +17" +b100 3" +1<" +1` +b110 0" +18" +1l +0j +b11101 -" +1u +1x +1," +b110101110101001011011000001 &" +b110101110101001011011000001 ?" +b11011 %" +b11011 *" +b11011 1" +b11001 ~ +b11001 )" +b11001 ." +b10 } +b10 (" +b10 +" +1#" +b111110110001010001011011011010 / +b111110110001010001011011011010 K +b111110110001010001011011011010 e +b111110110001010001011011011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5619010 +b110101110101001011011000001 ^" +#5619500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5620000 +1] +1i +1\ +1[ +0=" +0@" +1g +09" +0;" +1r +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b111111000011111000100101101111 | +b111111000011111000100101101111 B" +0b +0a +02" +0m +0/" +0x +b1101111101010110011100010110011 / +b1101111101010110011100010110011 K +b1101111101010110011100010110011 e +b1101111101010110011100010110011 "" +b1110001101010001000001100 0 +b1110001101010001000001100 M +b1110001101010001000001100 f +b1110001101010001000001100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0. +1% +#5620500 +b1100 5 +b1100 L +b1100 W +14 +b1000 7 +b1000 N +b1000 V +b110001111011001010100101101101 2 +b110001111011001010100101101101 I +b110001111011001010100101101101 Z +b10110 3 +b10110 J +b10110 Y +11 +b1100 ' +b1100 D +1) +b1000 & +b1000 C +b110001111011001010100101101101 , +b110001111011001010100101101101 H +b10110 + +b10110 G +1- +1. +0% +#5621000 +0] +0i +b11101100111010110001100101000100 { +b11101100111010110001100101000100 A" +0s +b10111100000100101010001011100 | +b10111100000100101010001011100 B" +0\ +1>" +1@" +19" +1:" +1;" +15" +b1001 3" +1^ +0_ +1<" +1` +b10011 0" +0j +0o +1p +1k +b10111 -" +1y +1b +12" +1/" +b110001111011001010100101101101 &" +b110001111011001010100101101101 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b1000 } +b1000 (" +b1000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111111000011111000100101101111 0 +b111111000011111000100101101111 M +b111111000011111000100101101111 f +b111111000011111000100101101111 !" +0. +1% +#5621010 +b110001111011001010100101101101 Y" +#5621500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10001100110111011100010011101 2 +b10001100110111011100010011101 I +b10001100110111011100010011101 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10001100110111011100010011101 , +b10001100110111011100010011101 H +b1111 + +b1111 G +1. +0% +#5622000 +1_ +0^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1@" +1=" +09" +0:" +0;" +05" +07" +b10000 3" +0<" +0` +1d +b11111 0" +0p +0k +b11111 -" +0y +0u +1a +0/" +0," +b11101100111010110001100101000100 / +b11101100111010110001100101000100 K +b11101100111010110001100101000100 e +b11101100111010110001100101000100 "" +b10111100000100101010001011100 0 +b10111100000100101010001011100 M +b10111100000100101010001011100 f +b10111100000100101010001011100 !" +b10001100110111011100010011101 &" +b10001100110111011100010011101 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5622010 +b10001100110111011100010011101 R" +#5622500 +b10001 5 +b10001 L +b10001 W +14 +b10001 7 +b10001 N +b10001 V +16 +b11100110000100111100100001000110 2 +b11100110000100111100100001000110 I +b11100110000100111100100001000110 Z +b10000 3 +b10000 J +b10000 Y +b10001 ' +b10001 D +1) +b10001 & +b10001 C +1( +b11100110000100111100100001000110 , +b11100110000100111100100001000110 H +b10000 + +b10000 G +1. +0% +#5623000 +0_ +1] +b111110110101111111110000101111 { +b111110110101111111110000101111 A" +b111110110101111111110000101111 | +b111110110101111111110000101111 B" +1\ +1[ +0=" +0>" +0g +1;" +0q +17" +b1111 3" +1<" +1` +0d +b1110 0" +0k +18" +1l +b1110 -" +0u +14" +1v +0b +0a +1m +1/" +1w +1," +b11100110000100111100100001000110 &" +b11100110000100111100100001000110 ?" +b10000 %" +b10000 *" +b10000 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5623010 +b11100110000100111100100001000110 S" +#5623500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11001010100110011111111110000101 2 +b11001010100110011111111110000101 I +b11001010100110011111111110000101 Z +b1000 3 +b1000 J +b1000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11001010100110011111111110000101 , +b11001010100110011111111110000101 H +b1000 + +b1000 G +1. +0% +#5624000 +1_ +0] +1@" +1=" +1g +0;" +1q +07" +b10111 3" +0<" +0` +1c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0w +0," +b111110110101111111110000101111 / +b111110110101111111110000101111 K +b111110110101111111110000101111 e +b111110110101111111110000101111 "" +b111110110101111111110000101111 0 +b111110110101111111110000101111 M +b111110110101111111110000101111 f +b111110110101111111110000101111 !" +b11001010100110011111111110000101 &" +b11001010100110011111111110000101 ?" +b1000 %" +b1000 *" +b1000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5624010 +b11001010100110011111111110000101 K" +#5624500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5625000 +1] +0=" +0@" +b11111 3" +0c +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5625500 +b11011 5 +b11011 L +b11011 W +14 +b11011 ' +b11011 D +1) +1. +0% +#5626000 +0i +b110101110101001011011000001 { +b110101110101001011011000001 A" +0h +0g +19" +1;" +b100 0" +1o +0k +18" +1l +1n +1m +1/" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#5626500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b10000011101000110111100111110111 2 +b10000011101000110111100111110111 I +b10000011101000110111100111110111 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b10000011101000110111100111110111 , +b10000011101000110111100111110111 H +b10010 + +b10010 G +1- +1. +0% +#5627000 +1i +0s +b11101100111010110001100101000100 | +b11101100111010110001100101000100 B" +0\ +1@" +1h +1g +09" +0;" +15" +16" +17" +b1101 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +1b +12" +0n +0m +0/" +1," +b10000011101000110111100111110111 &" +b10000011101000110111100111110111 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +b110101110101001011011000001 / +b110101110101001011011000001 K +b110101110101001011011000001 e +b110101110101001011011000001 "" +0. +1% +#5627010 +b10000011101000110111100111110111 U" +#5627500 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5628000 +0u +1\ +0@" +b11100010111010000110001111000111 | +b11100010111010000110001111000111 B" +b11111 3" +0<" +0` +b11 -" +14" +1v +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101100111010110001100101000100 0 +b11101100111010110001100101000100 M +b11101100111010110001100101000100 f +b11101100111010110001100101000100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +0. +1% +#5628500 +b0 7 +b0 N +b0 V +06 +b11010100010111110110101111011101 2 +b11010100010111110110101111011101 I +b11010100010111110110101111011101 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 & +b0 C +0( +b11010100010111110110101111011101 , +b11010100010111110110101111011101 H +b10100 + +b10100 G +1- +1. +0% +#5629000 +0] +1s +1>" +1@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1011 3" +1^ +0_ +1<" +1` +b11111 -" +0z +04" +0v +12" +0," +b11010100010111110110101111011101 &" +b11010100010111110110101111011101 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11100010111010000110001111000111 0 +b11100010111010000110001111000111 M +b11100010111010000110001111000111 f +b11100010111010000110001111000111 !" +0. +1% +#5629010 +b11010100010111110110101111011101 W" +#5629500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5630000 +1] +0>" +0@" +b11111 3" +0^ +0<" +0` +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5630500 +b1 7 +b1 N +b1 V +16 +b11001100110101100101001100100010 2 +b11001100110101100101001100100010 I +b11001100110101100101001100100010 Z +b10000 3 +b10000 J +b10000 Y +11 +b1 & +b1 C +1( +b11001100110101100101001100100010 , +b11001100110101100101001100100010 H +b10000 + +b10000 G +1- +1. +0% +#5631000 +b10101001111011100010010100101111 | +b10101001111011100010010100101111 B" +1@" +0q +17" +b1111 3" +0_ +1<" +1` +b11110 -" +1u +12" +1w +1," +b11001100110101100101001100100010 &" +b11001100110101100101001100100010 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#5631010 +b11001100110101100101001100100010 S" +#5631500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5632000 +0@" +1q +07" +b11111 3" +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0w +0," +b10101001111011100010010100101111 0 +b10101001111011100010010100101111 M +b10101001111011100010010100101111 f +b10101001111011100010010100101111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5632500 +b1100 5 +b1100 L +b1100 W +14 +b101000101100001100000110001011 2 +b101000101100001100000110001011 I +b101000101100001100000110001011 Z +b10111 3 +b10111 J +b10111 Y +11 +b1100 ' +b1100 D +1) +b101000101100001100000110001011 , +b101000101100001100000110001011 H +b10111 + +b10111 G +1- +1. +0% +#5633000 +0] +0i +b11101100111010110001100101000100 { +b11101100111010110001100101000100 A" +0\ +0[ +1>" +1@" +19" +1:" +1;" +b1000 3" +1^ +0_ +1<" +1` +b10011 0" +0j +0o +1p +1k +1b +1a +12" +1/" +b101000101100001100000110001011 &" +b101000101100001100000110001011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5633010 +b101000101100001100000110001011 Z" +#5633500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5634000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10101111001010101011000101100011 | +b10101111001010101011000101100011 B" +1\ +1[ +0>" +0@" +09" +0:" +0;" +0q +16" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +0k +b1010 -" +1t +0u +14" +1v +0b +0a +02" +0/" +1w +1," +b11101100111010110001100101000100 / +b11101100111010110001100101000100 K +b11101100111010110001100101000100 e +b11101100111010110001100101000100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#5634500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5635000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101111001010101011000101100011 0 +b10101111001010101011000101100011 M +b10101111001010101011000101100011 f +b10101111001010101011000101100011 !" +0. +1% +#5635500 +b1000100010110110110110111000000 2 +b1000100010110110110110111000000 I +b1000100010110110110110111000000 Z +b11011 3 +b11011 J +b11011 Y +11 +b1000100010110110110110111000000 , +b1000100010110110110110111000000 H +b11011 + +b11011 G +1- +1. +0% +#5636000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000100010110110110110111000000 &" +b1000100010110110110110111000000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0. +1% +#5636010 +b1000100010110110110110111000000 ^" +#5636500 +b1111 5 +b1111 L +b1111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5637000 +1] +0i +b10001100110111011100010011101 { +b10001100110111011100010011101 A" +1\ +1[ +0=" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0c +0<" +0` +b10000 0" +0j +0o +1p +1k +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#5637500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b10110010101101111101111010110111 2 +b10110010101101111101111010110111 I +b10110010101101111101111010110111 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b10110010101101111101111010110111 , +b10110010101101111101111010110111 H +b11100 + +b11100 G +1- +1. +0% +#5638000 +0] +1i +0s +b110001111011001010100101101101 | +b110001111011001010100101101101 B" +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +0r +16" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +12" +0n +0m +0/" +1x +1," +b10001100110111011100010011101 / +b10001100110111011100010011101 K +b10001100110111011100010011101 e +b10001100110111011100010011101 "" +b10110010101101111101111010110111 &" +b10110010101101111101111010110111 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#5638010 +b10110010101101111101111010110111 _" +#5638500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5639000 +1] +0i +b10101111001010101011000101100011 { +b10101111001010101011000101100011 A" +1s +0=" +0>" +0@" +0g +1:" +1;" +1r +06" +07" +b11111 3" +0d +0<" +0` +b1010 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +1m +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110001111011001010100101101101 0 +b110001111011001010100101101101 M +b110001111011001010100101101101 f +b110001111011001010100101101101 !" +0. +1% +#5639500 +b0 5 +b0 L +b0 W +04 +b101000010001000110001010010000 2 +b101000010001000110001010010000 I +b101000010001000110001010010000 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b101000010001000110001010010000 , +b101000010001000110001010010000 H +b10100 + +b10100 G +1- +1. +0% +#5640000 +0] +1i +1>" +1@" +1g +0:" +0;" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0m +0/" +b10101111001010101011000101100011 / +b10101111001010101011000101100011 K +b10101111001010101011000101100011 e +b10101111001010101011000101100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101000010001000110001010010000 &" +b101000010001000110001010010000 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5640010 +b101000010001000110001010010000 W" +#5640500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5641000 +1] +0>" +0@" +b11111 3" +0^ +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5641500 +b11000 5 +b11000 L +b11000 W +14 +b11000 ' +b11000 D +1) +1. +0% +#5642000 +0i +b11110101100011100011011100111101 { +b11110101100011100011011100111101 A" +19" +1;" +b111 0" +1o +0k +18" +1l +1/" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#5642500 +b1011 5 +b1011 L +b1011 W +b100111010100110111110011010000 2 +b100111010100110111110011010000 I +b100111010100110111110011010000 Z +b11010 3 +b11010 J +b11010 Y +11 +b1011 ' +b1011 D +b100111010100110111110011010000 , +b100111010100110111110011010000 H +b11010 + +b11010 G +1- +1. +0% +#5643000 +0] +1k +0\ +1=" +1@" +0h +0g +1;" +b101 3" +1c +0_ +1<" +1` +b10100 0" +08" +0l +b10110101110010110001110011100101 { +b10110101110010110001110011100101 A" +1b +12" +1n +1m +b100111010100110111110011010000 &" +b100111010100110111110011010000 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +b11110101100011100011011100111101 / +b11110101100011100011011100111101 K +b11110101100011100011011100111101 e +b11110101100011100011011100111101 "" +0. +1% +#5643010 +b100111010100110111110011010000 ]" +#5643500 +b101 5 +b101 L +b101 W +b11100100011010010011010100110000 2 +b11100100011010010011010100110000 I +b11100100011010010011010100110000 Z +b1001 3 +b1001 J +b1001 Y +b101 ' +b101 D +b11100100011010010011010100110000 , +b11100100011010010011010100110000 H +b1001 + +b1001 G +1. +0% +#5644000 +1:" +1_ +1j +1\ +0[ +1@" +1h +09" +b10110 3" +0<" +0` +b11010 0" +0o +b111110110001010001011011011010 { +b111110110001010001011011011010 A" +0b +1a +0n +b10110101110010110001110011100101 / +b10110101110010110001110011100101 K +b10110101110010110001110011100101 e +b10110101110010110001110011100101 "" +b11100100011010010011010100110000 &" +b11100100011010010011010100110000 ?" +b1001 %" +b1001 *" +b1001 1" +b101 ~ +b101 )" +b101 ." +0. +1% +#5644010 +b11100100011010010011010100110000 L" +#5644500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5645000 +1] +1i +1[ +0=" +0@" +1g +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111110110001010001011011011010 / +b111110110001010001011011011010 K +b111110110001010001011011011010 e +b111110110001010001011011011010 "" +0. +1% +#5645500 +1. +0% +#5646000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5646500 +b1011 7 +b1011 N +b1011 V +16 +b1011 & +b1011 C +1( +1. +0% +#5647000 +0s +b10110101110010110001110011100101 | +b10110101110010110001110011100101 B" +0r +0q +15" +17" +b10100 -" +1y +1u +1x +1w +1," +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#5647500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +b1110 ' +b1110 D +1) +b0 & +b0 C +1. +0% +#5648000 +0i +b110001000010001110011000100001 { +b110001000010001110011000100001 A" +1s +0h +19" +1:" +1;" +1r +1q +05" +b10001 0" +0j +0o +1p +1k +b11111 -" +0y +b111111000011111000100101101111 | +b111111000011111000100101101111 B" +1n +1/" +0x +0w +b10110101110010110001110011100101 0 +b10110101110010110001110011100101 M +b10110101110010110001110011100101 f +b10110101110010110001110011100101 !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0. +1% +#5648500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#5649000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +09" +0:" +0;" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0u +0n +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +0#" +b110001000010001110011000100001 / +b110001000010001110011000100001 K +b110001000010001110011000100001 e +b110001000010001110011000100001 "" +b111111000011111000100101101111 0 +b111111000011111000100101101111 M +b111111000011111000100101101111 f +b111111000011111000100101101111 !" +0. +1% +#5649500 +b1101 7 +b1101 N +b1101 V +16 +b1101 & +b1101 C +1( +1. +0% +#5650000 +0s +b11101111001111011001110001001101 | +b11101111001111011001110001001101 B" +0q +15" +16" +17" +b10010 -" +0t +0y +1z +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#5650500 +b1100 5 +b1100 L +b1100 W +14 +b10011 7 +b10011 N +b10011 V +b1100 ' +b1100 D +1) +b10011 & +b10011 C +1. +0% +#5651000 +0i +b11101100111010110001100101000100 { +b11101100111010110001100101000100 A" +0u +1s +19" +1:" +1;" +0r +05" +06" +b10011 0" +0j +0o +1p +1k +b1100 -" +14" +1v +0z +b10010001010101010100110101011001 | +b10010001010101010100110101011001 B" +1/" +1x +b1100 ~ +b1100 )" +b1100 ." +1$" +b10011 } +b10011 (" +b10011 +" +b11101111001111011001110001001101 0 +b11101111001111011001110001001101 M +b11101111001111011001110001001101 f +b11101111001111011001110001001101 !" +0. +1% +#5651500 +b1010 5 +b1010 L +b1010 W +b10110 7 +b10110 N +b10110 V +b1010 ' +b1010 D +b10110 & +b10110 C +1. +0% +#5652000 +1o +0s +0h +19" +0:" +1q +16" +b10101 0" +0p +b101100000011011101000001110110 { +b101100000011011101000001110110 A" +b1001 -" +1t +b110001111011001010100101101101 | +b110001111011001010100101101101 B" +1n +0w +b11101100111010110001100101000100 / +b11101100111010110001100101000100 K +b11101100111010110001100101000100 e +b11101100111010110001100101000100 "" +b10010001010101010100110101011001 0 +b10010001010101010100110101011001 M +b10010001010101010100110101011001 f +b10010001010101010100110101011001 !" +b1010 ~ +b1010 )" +b1010 ." +b10110 } +b10110 (" +b10110 +" +0. +1% +#5652500 +b11011 5 +b11011 L +b11011 W +b100 7 +b100 N +b100 V +b11011 ' +b11011 D +b100 & +b100 C +1. +0% +#5653000 +0k +1u +0g +1r +17" +b100 0" +18" +1l +b1000100010110110110110111000000 { +b1000100010110110110110111000000 A" +b11011 -" +04" +0v +b10011010100011100010101010001001 | +b10011010100011100010101010001001 B" +1m +0x +b11011 ~ +b11011 )" +b11011 ." +b100 } +b100 (" +b100 +" +b101100000011011101000001110110 / +b101100000011011101000001110110 K +b101100000011011101000001110110 e +b101100000011011101000001110110 "" +b110001111011001010100101101101 0 +b110001111011001010100101101101 M +b110001111011001010100101101101 f +b110001111011001010100101101101 !" +0. +1% +#5653500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5654000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +1g +09" +0;" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +0n +0m +0/" +0," +b1000100010110110110110111000000 / +b1000100010110110110110111000000 K +b1000100010110110110110111000000 e +b1000100010110110110110111000000 "" +b10011010100011100010101010001001 0 +b10011010100011100010101010001001 M +b10011010100011100010101010001001 f +b10011010100011100010101010001001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5654500 +1. +0% +#5655000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5655500 +1. +0% +#5656000 +0. +1% +#5656500 +b10010011001001110110101001101111 2 +b10010011001001110110101001101111 I +b10010011001001110110101001101111 Z +b1101 3 +b1101 J +b1101 Y +11 +b10010011001001110110101001101111 , +b10010011001001110110101001101111 H +b1101 + +b1101 G +1- +1. +0% +#5657000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b10010011001001110110101001101111 &" +b10010011001001110110101001101111 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +0. +1% +#5657010 +b10010011001001110110101001101111 P" +#5657500 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5658000 +1] +0s +b11111101000100001111100101011011 | +b11111101000100001111100101011011 B" +1[ +0=" +0>" +0@" +0r +0q +16" +17" +b11111 3" +0d +0_ +b11000 -" +1t +1u +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#5658500 +b1 5 +b1 L +b1 W +14 +b11111 7 +b11111 N +b11111 V +b1 ' +b1 D +1) +b11111 & +b11111 C +1. +0% +#5659000 +b10101001111011100010010100101111 { +b10101001111011100010010100101111 A" +0u +0t +0g +1;" +b1000111001001011001001101110110 | +b1000111001001011001001101110110 B" +15" +b11110 0" +1k +b0 -" +14" +1v +1z +1m +1/" +b1 ~ +b1 )" +b1 ." +1$" +b11111 } +b11111 (" +b11111 +" +b11111101000100001111100101011011 0 +b11111101000100001111100101011011 M +b11111101000100001111100101011011 f +b11111101000100001111100101011011 !" +0. +1% +#5659500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b10101 ' +b10101 D +b0 & +b0 C +0( +1. +0% +#5660000 +0k +0i +1s +b10101111001010101011000101100011 { +b10101111001010101011000101100011 A" +1:" +1r +1q +05" +06" +07" +b1010 0" +18" +1l +1j +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b10101001111011100010010100101111 / +b10101001111011100010010100101111 K +b10101001111011100010010100101111 e +b10101001111011100010010100101111 "" +b1000111001001011001001101110110 0 +b1000111001001011001001101110110 M +b1000111001001011001001101110110 f +b1000111001001011001001101110110 !" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5660500 +b0 5 +b0 L +b0 W +04 +b110001011101010111100010000011 2 +b110001011101010111100010000011 I +b110001011101010111100010000011 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b110001011101010111100010000011 , +b110001011101010111100010000011 H +b11111 + +b11111 G +1- +1. +0% +#5661000 +0] +1i +0\ +0[ +1=" +1>" +1@" +1g +0:" +0;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0m +0/" +b110001011101010111100010000011 &" +b110001011101010111100010000011 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101111001010101011000101100011 / +b10101111001010101011000101100011 K +b10101111001010101011000101100011 e +b10101111001010101011000101100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5661500 +b101110110101101001001101 2 +b101110110101101001001101 I +b101110110101101001001101 Z +b10100 3 +b10100 J +b10100 Y +b101110110101101001001101 , +b101110110101101001001101 H +b10100 + +b10100 G +1. +0% +#5662000 +1^ +1\ +1[ +0=" +1>" +b1011 3" +0d +0b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101110110101101001001101 &" +b101110110101101001001101 ?" +b10100 %" +b10100 *" +b10100 1" +0. +1% +#5662010 +b101110110101101001001101 W" +#5662500 +b111 7 +b111 N +b111 V +16 +b11010110000000010100011000001011 2 +b11010110000000010100011000001011 I +b11010110000000010100011000001011 Z +b10 3 +b10 J +b10 Y +b111 & +b111 C +1( +b11010110000000010100011000001011 , +b11010110000000010100011000001011 H +b10 + +b10 G +1. +0% +#5663000 +1_ +1] +0s +b11111101000100001111100101011011 | +b11111101000100001111100101011011 B" +0\ +1@" +0>" +0r +0q +16" +17" +b11101 3" +0<" +0` +0^ +b11000 -" +1t +1u +1b +1x +1w +1," +b11010110000000010100011000001011 &" +b11010110000000010100011000001011 ?" +b10 %" +b10 *" +b10 1" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#5663010 +b11010110000000010100011000001011 E" +#5663500 +b0 7 +b0 N +b0 V +06 +b1101001011100111001011100100011 2 +b1101001011100111001011100100011 I +b1101001011100111001011100100011 Z +b1001 3 +b1001 J +b1001 Y +b0 & +b0 C +0( +b1101001011100111001011100100011 , +b1101001011100111001011100100011 H +b1001 + +b1001 G +1. +0% +#5664000 +0] +1s +1\ +0[ +1=" +1r +1q +06" +07" +b10110 3" +1c +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1a +0x +0w +0," +b11111101000100001111100101011011 0 +b11111101000100001111100101011011 M +b11111101000100001111100101011011 f +b11111101000100001111100101011011 !" +b1101001011100111001011100100011 &" +b1101001011100111001011100100011 ?" +b1001 %" +b1001 *" +b1001 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5664010 +b1101001011100111001011100100011 L" +#5664500 +b11111 5 +b11111 L +b11111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5665000 +1] +0i +b110001011101010111100010000011 { +b110001011101010111100010000011 A" +1[ +0=" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0c +0_ +b0 0" +0j +0o +1p +0k +18" +1l +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5665500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b1010000000010010111001010100011 2 +b1010000000010010111001010100011 I +b1010000000010010111001010100011 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +b1010000000010010111001010100011 , +b1010000000010010111001010100011 H +b10010 + +b10010 G +1- +1. +0% +#5666000 +1i +0s +b101000101100001100000110001011 | +b101000101100001100000110001011 B" +0\ +1@" +1h +1g +09" +0:" +0;" +0r +0q +16" +17" +b1101 3" +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +1t +0u +14" +1v +1b +12" +0n +0m +0/" +1x +1w +1," +b110001011101010111100010000011 / +b110001011101010111100010000011 K +b110001011101010111100010000011 e +b110001011101010111100010000011 "" +b1010000000010010111001010100011 &" +b1010000000010010111001010100011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#5666010 +b1010000000010010111001010100011 U" +#5666500 +b11000 5 +b11000 L +b11000 W +14 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5667000 +0i +b11110101100011100011011100111101 { +b11110101100011100011011100111101 A" +0t +1\ +0@" +19" +1;" +1r +15" +b11111 3" +0<" +0` +b111 0" +1o +0k +18" +1l +b10 -" +1z +b1111110100111010001110111110101 | +b1111110100111010001110111110101 B" +0b +02" +1/" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b11101 } +b11101 (" +b11101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101000101100001100000110001011 0 +b101000101100001100000110001011 M +b101000101100001100000110001011 f +b101000101100001100000110001011 !" +0. +1% +#5667500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5668000 +1i +1s +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +06" +07" +b11111 0" +0o +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0w +0," +b11110101100011100011011100111101 / +b11110101100011100011011100111101 K +b11110101100011100011011100111101 e +b11110101100011100011011100111101 "" +b1111110100111010001110111110101 0 +b1111110100111010001110111110101 M +b1111110100111010001110111110101 f +b1111110100111010001110111110101 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5668500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#5669000 +0i +b100111010100110111110011010000 { +b100111010100110111110011010000 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5669500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b1010110100100000000000111101 2 +b1010110100100000000000111101 I +b1010110100100000000000111101 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b101 & +b101 C +1( +b1010110100100000000000111101 , +b1010110100100000000000111101 H +b11111 + +b11111 G +1- +1. +0% +#5670000 +0] +1i +0s +b111110110001010001011011011010 | +b111110110001010001011011011010 B" +0\ +0[ +1=" +1>" +1@" +1h +09" +0;" +0q +16" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +1t +1u +1b +1a +12" +0n +0/" +1w +1," +b100111010100110111110011010000 / +b100111010100110111110011010000 K +b100111010100110111110011010000 e +b100111010100110111110011010000 "" +b1010110100100000000000111101 &" +b1010110100100000000000111101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#5670500 +b0 7 +b0 N +b0 V +06 +b10011101100011101110000110011 2 +b10011101100011101110000110011 I +b10011101100011101110000110011 Z +b11110 3 +b11110 J +b11110 Y +b0 & +b0 C +0( +b10011101100011101110000110011 , +b10011101100011101110000110011 H +b11110 + +b11110 G +1. +0% +#5671000 +1s +1[ +1q +06" +07" +b1 3" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0w +0," +b10011101100011101110000110011 &" +b10011101100011101110000110011 ?" +b11110 %" +b11110 *" +b11110 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111110110001010001011011011010 0 +b111110110001010001011011011010 M +b111110110001010001011011011010 f +b111110110001010001011011011010 !" +0. +1% +#5671010 +b10011101100011101110000110011 a" +#5671500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5672000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5672500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#5673000 +b101111000000010100101100001101 | +b101111000000010100101100001101 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b11 } +b11 (" +b11 +" +1#" +0. +1% +#5673500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5674000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b101111000000010100101100001101 0 +b101111000000010100101100001101 M +b101111000000010100101100001101 f +b101111000000010100101100001101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5674500 +1. +0% +#5675000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5675500 +b11101 7 +b11101 N +b11101 V +16 +b11101 & +b11101 C +1( +1. +0% +#5676000 +0s +b1111110100111010001110111110101 | +b1111110100111010001110111110101 B" +0q +15" +16" +17" +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#5676500 +b1100101010001001111000100101001 2 +b1100101010001001111000100101001 I +b1100101010001001111000100101001 Z +b111 3 +b111 J +b111 Y +11 +b1100101010001001111000100101001 , +b1100101010001001111000100101001 H +b111 + +b111 G +1- +1. +0% +#5677000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b1100101010001001111000100101001 &" +b1100101010001001111000100101001 ?" +b111 %" +b111 *" +b111 1" +1'" +b1111110100111010001110111110101 0 +b1111110100111010001110111110101 M +b1111110100111010001110111110101 f +b1111110100111010001110111110101 !" +0. +1% +#5677010 +b1100101010001001111000100101001 J" +#5677500 +b0 7 +b0 N +b0 V +06 +b1110000000010000110101011001001 2 +b1110000000010000110101011001001 I +b1110000000010000110101011001001 Z +b11001 3 +b11001 J +b11001 Y +b0 & +b0 C +0( +b1110000000010000110101011001001 , +b1110000000010000110101011001001 H +b11001 + +b11001 G +1. +0% +#5678000 +1=" +0_ +1c +1s +1\ +0>" +1q +05" +06" +07" +b110 3" +1<" +1` +0^ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0w +0," +b1110000000010000110101011001001 &" +b1110000000010000110101011001001 ?" +b11001 %" +b11001 *" +b11001 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5678010 +b1110000000010000110101011001001 \" +#5678500 +b1000 5 +b1000 L +b1000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5679000 +1] +0i +b11001010100110011111111110000101 { +b11001010100110011111111110000101 A" +1[ +0=" +0@" +19" +1;" +b11111 3" +0c +0<" +0` +b10111 0" +1o +1k +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5679500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5680000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b11001010100110011111111110000101 / +b11001010100110011111111110000101 K +b11001010100110011111111110000101 e +b11001010100110011111111110000101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5680500 +b11111 5 +b11111 L +b11111 W +14 +b1000111110101101001110101111010 2 +b1000111110101101001110101111010 I +b1000111110101101001110101111010 Z +b10000 3 +b10000 J +b10000 Y +11 +b11111 ' +b11111 D +1) +b1000111110101101001110101111010 , +b1000111110101101001110101111010 H +b10000 + +b10000 G +1- +1. +0% +#5681000 +0i +b1010110100100000000000111101 { +b1010110100100000000000111101 A" +1@" +0h +0g +19" +1:" +1;" +b1111 3" +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +12" +1n +1m +1/" +b1000111110101101001110101111010 &" +b1000111110101101001110101111010 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5681010 +b1000111110101101001110101111010 S" +#5681500 +b1111 5 +b1111 L +b1111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5682000 +1k +0@" +1;" +b10001100110111011100010011101 { +b10001100110111011100010011101 A" +b11111 3" +0<" +0` +b10000 0" +08" +0l +02" +b1010110100100000000000111101 / +b1010110100100000000000111101 K +b1010110100100000000000111101 e +b1010110100100000000000111101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#5682500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5683000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10001100110111011100010011101 / +b10001100110111011100010011101 K +b10001100110111011100010011101 e +b10001100110111011100010011101 "" +0. +1% +#5683500 +b11110 5 +b11110 L +b11110 W +14 +b11110 ' +b11110 D +1) +1. +0% +#5684000 +0i +b10011101100011101110000110011 { +b10011101100011101110000110011 A" +0h +19" +1:" +1;" +b1 0" +0j +0o +1p +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#5684500 +b10 7 +b10 N +b10 V +16 +b11010010100111110101101011110 2 +b11010010100111110101101011110 I +b11010010100111110101101011110 Z +b11000 3 +b11000 J +b11000 Y +11 +b10 & +b10 C +1( +b11010010100111110101101011110 , +b11010010100111110101101011110 H +b11000 + +b11000 G +1- +1. +0% +#5685000 +0] +b11010110000000010100011000001011 | +b11010110000000010100011000001011 B" +1=" +1@" +0r +17" +b111 3" +1c +0_ +1<" +1` +b11101 -" +1u +12" +1x +1," +b11010010100111110101101011110 &" +b11010010100111110101101011110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10 } +b10 (" +b10 +" +1#" +b10011101100011101110000110011 / +b10011101100011101110000110011 K +b10011101100011101110000110011 e +b10011101100011101110000110011 "" +0. +1% +#5685010 +b11010010100111110101101011110 [" +#5685500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5686000 +1] +1i +0=" +0@" +1h +09" +0:" +0;" +1r +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b111111000011111000100101101111 | +b111111000011111000100101101111 B" +02" +0n +0/" +0x +b11010110000000010100011000001011 0 +b11010110000000010100011000001011 M +b11010110000000010100011000001011 f +b11010110000000010100011000001011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0. +1% +#5686500 +b100 5 +b100 L +b100 W +14 +06 +b100 ' +b100 D +1) +0( +1. +0% +#5687000 +0i +b10011010100011100010101010001001 { +b10011010100011100010101010001001 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1:" +1;" +07" +b11011 0" +1j +1k +0u +1/" +0," +b100 ~ +b100 )" +b100 ." +1$" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111111000011111000100101101111 0 +b111111000011111000100101101111 M +b111111000011111000100101101111 f +b111111000011111000100101101111 !" +0. +1% +#5687500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5688000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b10011010100011100010101010001001 / +b10011010100011100010101010001001 K +b10011010100011100010101010001001 e +b10011010100011100010101010001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5688500 +b10 5 +b10 L +b10 W +14 +b10001 7 +b10001 N +b10001 V +16 +b1101111000100110000110100111110 2 +b1101111000100110000110100111110 I +b1101111000100110000110100111110 Z +b11101 3 +b11101 J +b11101 Y +11 +b10 ' +b10 D +1) +b10001 & +b10001 C +1( +b1101111000100110000110100111110 , +b1101111000100110000110100111110 H +b11101 + +b11101 G +1- +1. +0% +#5689000 +0] +b11010110000000010100011000001011 { +b11010110000000010100011000001011 A" +b111110110101111111110000101111 | +b111110110101111111110000101111 B" +0[ +1=" +1>" +1@" +0h +1;" +0q +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11101 0" +1k +b1110 -" +0u +14" +1v +1a +12" +1n +1/" +1w +1," +b1101111000100110000110100111110 &" +b1101111000100110000110100111110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5689010 +b1101111000100110000110100111110 `" +#5689500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +06 +b10011111010101110110111100111110 2 +b10011111010101110110111100111110 I +b10011111010101110110111100111110 Z +b10010 3 +b10010 J +b10010 Y +b11011 ' +b11011 D +b0 & +b0 C +0( +b10011111010101110110111100111110 , +b10011111010101110110111100111110 H +b10010 + +b10010 G +1. +0% +#5690000 +1] +0k +0i +0\ +1[ +0=" +0>" +0g +19" +1q +07" +b1101 3" +0d +b100 0" +18" +1l +1o +b1000100010110110110110111000000 { +b1000100010110110110110111000000 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +1m +0w +0," +b11010110000000010100011000001011 / +b11010110000000010100011000001011 K +b11010110000000010100011000001011 e +b11010110000000010100011000001011 "" +b111110110101111111110000101111 0 +b111110110101111111110000101111 M +b111110110101111111110000101111 f +b111110110101111111110000101111 !" +b10011111010101110110111100111110 &" +b10011111010101110110111100111110 ?" +b10010 %" +b10010 *" +b10010 1" +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5690010 +b10011111010101110110111100111110 U" +#5690500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5691000 +1i +1\ +0@" +1h +1g +09" +0;" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000100010110110110110111000000 / +b1000100010110110110110111000000 K +b1000100010110110110110111000000 e +b1000100010110110110110111000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5691500 +b1100 7 +b1100 N +b1100 V +16 +b1100 & +b1100 C +1( +1. +0% +#5692000 +0s +b11101100111010110001100101000100 | +b11101100111010110001100101000100 B" +15" +16" +17" +b10011 -" +0t +0y +1z +1u +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#5692500 +b1011 5 +b1011 L +b1011 W +14 +b11001 7 +b11001 N +b11001 V +b1011 ' +b1011 D +1) +b11001 & +b11001 C +1. +0% +#5693000 +0i +b10110101110010110001110011100101 { +b10110101110010110001110011100101 A" +0u +1y +0h +0g +19" +1;" +0q +15" +06" +b10100 0" +1o +1k +b110 -" +14" +1v +0z +b1110000000010000110101011001001 | +b1110000000010000110101011001001 B" +1n +1m +1/" +1w +b1011 ~ +b1011 )" +b1011 ." +1$" +b11001 } +b11001 (" +b11001 +" +b11101100111010110001100101000100 0 +b11101100111010110001100101000100 M +b11101100111010110001100101000100 f +b11101100111010110001100101000100 !" +0. +1% +#5693500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b0 ' +b0 D +0) +b1 & +b1 C +1. +0% +#5694000 +1i +1u +1s +1h +1g +09" +0;" +17" +b10101001111011100010010100101111 | +b10101001111011100010010100101111 B" +05" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11110 -" +04" +0v +0y +0n +0m +0/" +b10110101110010110001110011100101 / +b10110101110010110001110011100101 K +b10110101110010110001110011100101 e +b10110101110010110001110011100101 "" +b1110000000010000110101011001001 0 +b1110000000010000110101011001001 M +b1110000000010000110101011001001 f +b1110000000010000110101011001001 !" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +0. +1% +#5694500 +b0 7 +b0 N +b0 V +06 +b10011001110011111100010001111101 2 +b10011001110011111100010001111101 I +b10011001110011111100010001111101 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 & +b0 C +0( +b10011001110011111100010001111101 , +b10011001110011111100010001111101 H +b10111 + +b10111 G +1- +1. +0% +#5695000 +0] +0\ +0[ +1>" +1@" +1q +07" +b1000 3" +1^ +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b10011001110011111100010001111101 &" +b10011001110011111100010001111101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101001111011100010010100101111 0 +b10101001111011100010010100101111 M +b10101001111011100010010100101111 f +b10101001111011100010010100101111 !" +0. +1% +#5695010 +b10011001110011111100010001111101 Z" +#5695500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5696000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5696500 +1. +0% +#5697000 +0. +1% +#5697500 +b1000 7 +b1000 N +b1000 V +16 +b10101110001011111010100011000000 2 +b10101110001011111010100011000000 I +b10101110001011111010100011000000 Z +b10001 3 +b10001 J +b10001 Y +11 +b1000 & +b1000 C +1( +b10101110001011111010100011000000 , +b10101110001011111010100011000000 H +b10001 + +b10001 G +1- +1. +0% +#5698000 +0s +b11001010100110011111111110000101 | +b11001010100110011111111110000101 B" +0[ +1@" +15" +17" +b1110 3" +0_ +1<" +1` +b10111 -" +1y +1u +1a +12" +1," +b10101110001011111010100011000000 &" +b10101110001011111010100011000000 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#5698010 +b10101110001011111010100011000000 T" +#5698500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5699000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0@" +05" +07" +b11111 3" +0<" +0` +b11111 -" +0y +0u +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11001010100110011111111110000101 0 +b11001010100110011111111110000101 M +b11001010100110011111111110000101 f +b11001010100110011111111110000101 !" +0. +1% +#5699500 +b11111 5 +b11111 L +b11111 W +14 +b11111 ' +b11111 D +1) +1. +0% +#5700000 +0i +b1010110100100000000000111101 { +b1010110100100000000000111101 A" +0h +0g +19" +1:" +1;" +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#5700500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +1. +0% +#5701000 +1i +0s +b10001100110111011100010011101 | +b10001100110111011100010011101 B" +1h +1g +09" +0:" +0;" +0r +0q +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +0n +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +b1010110100100000000000111101 / +b1010110100100000000000111101 K +b1010110100100000000000111101 e +b1010110100100000000000111101 "" +0. +1% +#5701500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +1. +0% +#5702000 +0i +b11001010100110011111111110000101 { +b11001010100110011111111110000101 A" +1s +19" +1;" +1r +1q +05" +06" +07" +b10111 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001100110111011100010011101 0 +b10001100110111011100010011101 M +b10001100110111011100010011101 f +b10001100110111011100010011101 !" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5702500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5703000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11001010100110011111111110000101 / +b11001010100110011111111110000101 K +b11001010100110011111111110000101 e +b11001010100110011111111110000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5703500 +b10010 5 +b10010 L +b10010 W +14 +b10111 7 +b10111 N +b10111 V +16 +b1010011101001101100011101101101 2 +b1010011101001101100011101101101 I +b1010011101001101100011101101101 Z +b1010 3 +b1010 J +b1010 Y +11 +b10010 ' +b10010 D +1) +b10111 & +b10111 C +1( +b1010011101001101100011101101101 , +b1010011101001101100011101101101 H +b1010 + +b1010 G +1- +1. +0% +#5704000 +0] +b10011111010101110110111100111110 { +b10011111010101110110111100111110 A" +0s +b10011001110011111100010001111101 | +b10011001110011111100010001111101 B" +0\ +1=" +1@" +0h +1;" +0r +0q +16" +17" +b10101 3" +1c +1_ +b1101 0" +0k +18" +1l +b1000 -" +1t +0u +14" +1v +1b +12" +1n +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010011101001101100011101101101 &" +b1010011101001101100011101101101 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#5704010 +b1010011101001101100011101101101 M" +#5704500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5705000 +1] +1\ +0=" +0@" +1h +0;" +1r +1q +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +b101110110101101001001101 | +b101110110101101001001101 B" +0b +02" +0n +0/" +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +b10011111010101110110111100111110 / +b10011111010101110110111100111110 K +b10011111010101110110111100111110 e +b10011111010101110110111100111110 "" +b10011001110011111100010001111101 0 +b10011001110011111100010001111101 M +b10011001110011111100010001111101 f +b10011001110011111100010001111101 !" +0. +1% +#5705500 +b11001 7 +b11001 N +b11001 V +b11001 & +b11001 C +1. +0% +#5706000 +15" +1y +0q +06" +b110 -" +0t +b1110000000010000110101011001001 | +b1110000000010000110101011001001 B" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101110110101101001001101 0 +b101110110101101001001101 M +b101110110101101001001101 f +b101110110101101001001101 !" +b11001 } +b11001 (" +b11001 +" +0. +1% +#5706500 +b1001 7 +b1001 N +b1001 V +b10111110000101101011100001000 2 +b10111110000101101011100001000 I +b10111110000101101011100001000 Z +b1010 3 +b1010 J +b1010 Y +11 +b1001 & +b1001 C +b10111110000101101011100001000 , +b10111110000101101011100001000 H +b1010 + +b1010 G +1- +1. +0% +#5707000 +0] +1u +0\ +1=" +1@" +17" +b1101001011100111001011100100011 | +b1101001011100111001011100100011 B" +b10101 3" +1c +1_ +b10110 -" +04" +0v +1b +12" +b10111110000101101011100001000 &" +b10111110000101101011100001000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1001 } +b1001 (" +b1001 +" +b1110000000010000110101011001001 0 +b1110000000010000110101011001001 M +b1110000000010000110101011001001 f +b1110000000010000110101011001001 !" +0. +1% +#5707010 +b10111110000101101011100001000 M" +#5707500 +b11100 7 +b11100 N +b11100 V +b10000010011111110000101001101000 2 +b10000010011111110000101001101000 I +b10000010011111110000101001101000 Z +b1100 3 +b1100 J +b1100 Y +b11100 & +b11100 C +b10000010011111110000101001101000 , +b10000010011111110000101001101000 H +b1100 + +b1100 G +1. +0% +#5708000 +0c +0u +0y +1\ +1>" +1q +16" +b10011 3" +1d +b11 -" +14" +1v +1z +b10110010101101111101111010110111 | +b10110010101101111101111010110111 B" +0b +0w +b1101001011100111001011100100011 0 +b1101001011100111001011100100011 M +b1101001011100111001011100100011 f +b1101001011100111001011100100011 !" +b10000010011111110000101001101000 &" +b10000010011111110000101001101000 ?" +b1100 %" +b1100 *" +b1100 1" +b11100 } +b11100 (" +b11100 +" +0. +1% +#5708010 +b10000010011111110000101001101000 O" +#5708500 +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 & +b1000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5709000 +1] +1u +1y +0=" +0>" +0@" +17" +b11001010100110011111111110000101 | +b11001010100110011111111110000101 B" +15" +06" +b11111 3" +0d +0_ +b10111 -" +04" +0v +0z +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 } +b1000 (" +b1000 +" +b10110010101101111101111010110111 0 +b10110010101101111101111010110111 M +b10110010101101111101111010110111 f +b10110010101101111101111010110111 !" +0. +1% +#5709500 +b10101 7 +b10101 N +b10101 V +b10101 & +b10101 C +1. +0% +#5710000 +16" +0u +1t +0q +05" +b1010 -" +14" +1v +0y +b10101111001010101011000101100011 | +b10101111001010101011000101100011 B" +1w +b11001010100110011111111110000101 0 +b11001010100110011111111110000101 M +b11001010100110011111111110000101 f +b11001010100110011111111110000101 !" +b10101 } +b10101 (" +b10101 +" +0. +1% +#5710500 +b1100 5 +b1100 L +b1100 W +14 +b10111 7 +b10111 N +b10111 V +b1100 ' +b1100 D +1) +b10111 & +b10111 C +1. +0% +#5711000 +0i +b10000010011111110000101001101000 { +b10000010011111110000101001101000 A" +19" +1:" +1;" +0r +b10011 0" +0j +0o +1p +1k +b1000 -" +b10011001110011111100010001111101 | +b10011001110011111100010001111101 B" +1/" +1x +b1100 ~ +b1100 )" +b1100 ." +1$" +b10111 } +b10111 (" +b10111 +" +b10101111001010101011000101100011 0 +b10101111001010101011000101100011 M +b10101111001010101011000101100011 f +b10101111001010101011000101100011 !" +0. +1% +#5711500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5712000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b10000010011111110000101001101000 / +b10000010011111110000101001101000 K +b10000010011111110000101001101000 e +b10000010011111110000101001101000 "" +b10011001110011111100010001111101 0 +b10011001110011111100010001111101 M +b10011001110011111100010001111101 f +b10011001110011111100010001111101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5712500 +b1111 5 +b1111 L +b1111 W +b10011110011111100100001110101111 2 +b10011110011111100100001110101111 I +b10011110011111100100001110101111 Z +b11010 3 +b11010 J +b11010 Y +11 +b1111 ' +b1111 D +b10011110011111100100001110101111 , +b10011110011111100100001110101111 H +b11010 + +b11010 G +1- +1. +0% +#5713000 +0] +0\ +1=" +1@" +0h +0g +b101 3" +1c +0_ +1<" +1` +b10000 0" +b10001100110111011100010011101 { +b10001100110111011100010011101 A" +1b +12" +1n +1m +b10011110011111100100001110101111 &" +b10011110011111100100001110101111 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5713010 +b10011110011111100100001110101111 ]" +#5713500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5714000 +1] +1i +1\ +0=" +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b10001100110111011100010011101 / +b10001100110111011100010011101 K +b10001100110111011100010011101 e +b10001100110111011100010011101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5714500 +1. +0% +#5715000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5715500 +b1000 7 +b1000 N +b1000 V +16 +b1000 & +b1000 C +1( +1. +0% +#5716000 +0s +b11001010100110011111111110000101 | +b11001010100110011111111110000101 B" +15" +17" +b10111 -" +1y +1u +1," +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#5716500 +b1000 5 +b1000 L +b1000 W +14 +b11110 7 +b11110 N +b11110 V +b1000 ' +b1000 D +1) +b11110 & +b11110 C +1. +0% +#5717000 +0i +b11001010100110011111111110000101 { +b11001010100110011111111110000101 A" +0u +0y +19" +1;" +0r +16" +b10111 0" +1o +1k +b1 -" +14" +1v +1z +b10011101100011101110000110011 | +b10011101100011101110000110011 B" +1/" +1x +b1000 ~ +b1000 )" +b1000 ." +1$" +b11110 } +b11110 (" +b11110 +" +b11001010100110011111111110000101 0 +b11001010100110011111111110000101 M +b11001010100110011111111110000101 f +b11001010100110011111111110000101 !" +0. +1% +#5717500 +b11100 5 +b11100 L +b11100 W +b10 7 +b10 N +b10 V +b11100 ' +b11100 D +b10 & +b10 C +1. +0% +#5718000 +0k +0o +1u +1s +b10110010101101111101111010110111 { +b10110010101101111101111010110111 A" +1:" +17" +b11010110000000010100011000001011 | +b11010110000000010100011000001011 B" +05" +06" +b11 0" +18" +1l +1p +b11101 -" +04" +0v +0z +b11001010100110011111111110000101 / +b11001010100110011111111110000101 K +b11001010100110011111111110000101 e +b11001010100110011111111110000101 "" +b10011101100011101110000110011 0 +b10011101100011101110000110011 M +b10011101100011101110000110011 f +b10011101100011101110000110011 !" +b11100 ~ +b11100 )" +b11100 ." +b10 } +b10 (" +b10 +" +0. +1% +#5718500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b11101100100010111001000101100100 2 +b11101100100010111001000101100100 I +b11101100100010111001000101100100 Z +b10101 3 +b10101 J +b10101 Y +11 +b110 ' +b110 D +b0 & +b0 C +0( +b11101100100010111001000101100100 , +b11101100100010111001000101100100 H +b10101 + +b10101 G +1- +1. +0% +#5719000 +0] +1k +1j +0[ +1>" +1@" +0h +1;" +09" +1:" +1r +07" +b1010 3" +1^ +0_ +1<" +1` +b11001 0" +08" +0l +0p +b1000101100101110111000100100000 { +b1000101100101110111000100100000 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1n +0x +0," +b11101100100010111001000101100100 &" +b11101100100010111001000101100100 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +b10110010101101111101111010110111 / +b10110010101101111101111010110111 K +b10110010101101111101111010110111 e +b10110010101101111101111010110111 "" +b11010110000000010100011000001011 0 +b11010110000000010100011000001011 M +b11010110000000010100011000001011 f +b11010110000000010100011000001011 !" +0. +1% +#5719010 +b11101100100010111001000101100100 X" +#5719500 +b11100 5 +b11100 L +b11100 W +b11111100000010011000111000110001 2 +b11111100000010011000111000110001 I +b11111100000010011000111000110001 Z +b10011 3 +b10011 J +b10011 Y +b11100 ' +b11100 D +b11111100000010011000111000110001 , +b11111100000010011000111000110001 H +b10011 + +b10011 G +1. +0% +#5720000 +1] +0k +0j +0\ +0>" +1h +19" +b1100 3" +0^ +b11 0" +18" +1l +1p +b10110010101101111101111010110111 { +b10110010101101111101111010110111 A" +1b +0n +b1000101100101110111000100100000 / +b1000101100101110111000100100000 K +b1000101100101110111000100100000 e +b1000101100101110111000100100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111100000010011000111000110001 &" +b11111100000010011000111000110001 ?" +b10011 %" +b10011 *" +b10011 1" +b11100 ~ +b11100 )" +b11100 ." +0. +1% +#5720010 +b11111100000010011000111000110001 V" +#5720500 +b11010 5 +b11010 L +b11010 W +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5721000 +1o +0s +b1101111000100110000110100111110 | +b1101111000100110000110100111110 B" +1\ +1[ +0@" +0h +19" +0:" +0q +15" +16" +17" +b11111 3" +0<" +0` +b101 0" +0p +b10011110011111100100001110101111 { +b10011110011111100100001110101111 A" +b10 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1n +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +b11101 } +b11101 (" +b11101 +" +1#" +b10110010101101111101111010110111 / +b10110010101101111101111010110111 K +b10110010101101111101111010110111 e +b10110010101101111101111010110111 "" +0. +1% +#5721500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5722000 +1i +1s +1h +09" +0;" +1q +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0w +0," +b10011110011111100100001110101111 / +b10011110011111100100001110101111 K +b10011110011111100100001110101111 e +b10011110011111100100001110101111 "" +b1101111000100110000110100111110 0 +b1101111000100110000110100111110 M +b1101111000100110000110100111110 f +b1101111000100110000110100111110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5722500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#5723000 +b11111100000010011000111000110001 | +b11111100000010011000111000110001 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5723500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5724000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b11111100000010011000111000110001 0 +b11111100000010011000111000110001 M +b11111100000010011000111000110001 f +b11111100000010011000111000110001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5724500 +b11001 5 +b11001 L +b11001 W +14 +b11000 7 +b11000 N +b11000 V +16 +b11001 ' +b11001 D +1) +b11000 & +b11000 C +1( +1. +0% +#5725000 +0i +b1110000000010000110101011001001 { +b1110000000010000110101011001001 A" +0s +b11010010100111110101101011110 | +b11010010100111110101101011110 B" +0g +19" +1;" +15" +17" +b110 0" +1o +0k +18" +1l +b111 -" +1y +0u +14" +1v +1m +1/" +1," +b11001 ~ +b11001 )" +b11001 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5725500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +b10110011110001011011100011011110 2 +b10110011110001011011100011011110 I +b10110011110001011011100011011110 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b10 & +b10 C +b10110011110001011011100011011110 , +b10110011110001011011100011011110 H +b110 + +b110 G +1- +1. +0% +#5726000 +0] +1i +1u +1s +0\ +1>" +1@" +1g +09" +0;" +0r +17" +05" +b11001 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +04" +0v +0y +b11010110000000010100011000001011 | +b11010110000000010100011000001011 B" +1b +12" +0m +0/" +1x +b1110000000010000110101011001001 / +b1110000000010000110101011001001 K +b1110000000010000110101011001001 e +b1110000000010000110101011001001 "" +b11010010100111110101101011110 0 +b11010010100111110101101011110 M +b11010010100111110101101011110 f +b11010010100111110101101011110 !" +b10110011110001011011100011011110 &" +b10110011110001011011100011011110 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +0. +1% +#5726010 +b10110011110001011011100011011110 I" +#5726500 +b101 5 +b101 L +b101 W +14 +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5727000 +1] +0i +b111110110001010001011011011010 { +b111110110001010001011011011010 A" +0s +1\ +0>" +0@" +0g +1:" +1;" +0q +15" +16" +b11111 3" +0^ +0_ +b11010 0" +1j +1k +b10000 -" +0t +0y +1z +b10001100110111011100010011101 | +b10001100110111011100010011101 B" +0b +02" +1m +1/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b1111 } +b1111 (" +b1111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010110000000010100011000001011 0 +b11010110000000010100011000001011 M +b11010110000000010100011000001011 f +b11010110000000010100011000001011 !" +0. +1% +#5727500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5728000 +1i +1s +1g +0:" +0;" +1r +1q +05" +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0w +0," +b111110110001010001011011011010 / +b111110110001010001011011011010 K +b111110110001010001011011011010 e +b111110110001010001011011011010 "" +b10001100110111011100010011101 0 +b10001100110111011100010011101 M +b10001100110111011100010011101 f +b10001100110111011100010011101 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5728500 +1. +0% +#5729000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5729500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#5730000 +0i +b1101001011100111001011100100011 { +b1101001011100111001011100100011 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#5730500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5731000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1101001011100111001011100100011 / +b1101001011100111001011100100011 K +b1101001011100111001011100100011 e +b1101001011100111001011100100011 "" +0. +1% +#5731500 +b1000 5 +b1000 L +b1000 W +14 +b11110 7 +b11110 N +b11110 V +16 +b100010110001111000110011011001 2 +b100010110001111000110011011001 I +b100010110001111000110011011001 Z +b111 3 +b111 J +b111 Y +11 +b1000 ' +b1000 D +1) +b11110 & +b11110 C +1( +b100010110001111000110011011001 , +b100010110001111000110011011001 H +b111 + +b111 G +1- +1. +0% +#5732000 +0] +0i +b11001010100110011111111110000101 { +b11001010100110011111111110000101 A" +0s +b10011101100011101110000110011 | +b10011101100011101110000110011 B" +0\ +0[ +1>" +1@" +19" +1;" +0r +15" +16" +17" +b11000 3" +1^ +1_ +b10111 0" +1o +1k +b1 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010110001111000110011011001 &" +b100010110001111000110011011001 ?" +b111 %" +b111 *" +b111 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#5732010 +b100010110001111000110011011001 J" +#5732500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5733000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +1[ +0>" +0@" +09" +0;" +1r +05" +06" +07" +b11111 3" +0^ +0_ +b11111 0" +0o +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001010100110011111111110000101 / +b11001010100110011111111110000101 K +b11001010100110011111111110000101 e +b11001010100110011111111110000101 "" +b10011101100011101110000110011 0 +b10011101100011101110000110011 M +b10011101100011101110000110011 f +b10011101100011101110000110011 !" +0. +1% +#5733500 +1. +0% +#5734000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5734500 +b11110 5 +b11110 L +b11110 W +14 +b10101 7 +b10101 N +b10101 V +16 +b1010010111101010101010101010101 2 +b1010010111101010101010101010101 I +b1010010111101010101010101010101 Z +b11100 3 +b11100 J +b11100 Y +11 +b11110 ' +b11110 D +1) +b10101 & +b10101 C +1( +b1010010111101010101010101010101 , +b1010010111101010101010101010101 H +b11100 + +b11100 G +1- +1. +0% +#5735000 +0] +0i +b10011101100011101110000110011 { +b10011101100011101110000110011 A" +0s +b11101100100010111001000101100100 | +b11101100100010111001000101100100 B" +1=" +1>" +1@" +0h +19" +1:" +1;" +0q +16" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +b1010 -" +1t +0u +14" +1v +12" +1n +1/" +1w +1," +b1010010111101010101010101010101 &" +b1010010111101010101010101010101 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#5735010 +b1010010111101010101010101010101 _" +#5735500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5736000 +1] +1i +1s +0=" +0>" +0@" +1h +09" +0:" +0;" +1q +06" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0n +0/" +0w +0," +b10011101100011101110000110011 / +b10011101100011101110000110011 K +b10011101100011101110000110011 e +b10011101100011101110000110011 "" +b11101100100010111001000101100100 0 +b11101100100010111001000101100100 M +b11101100100010111001000101100100 f +b11101100100010111001000101100100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5736500 +1. +0% +#5737000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5737500 +1. +0% +#5738000 +0. +1% +#5738500 +1. +0% +#5739000 +0. +1% +#5739500 +b111 7 +b111 N +b111 V +16 +b1001010000010110101010001011111 2 +b1001010000010110101010001011111 I +b1001010000010110101010001011111 Z +b1 3 +b1 J +b1 Y +11 +b111 & +b111 C +1( +b1001010000010110101010001011111 , +b1001010000010110101010001011111 H +b1 + +b1 G +1- +1. +0% +#5740000 +0s +b100010110001111000110011011001 | +b100010110001111000110011011001 B" +0[ +1@" +0r +0q +16" +17" +b11110 3" +1_ +b11000 -" +1t +1u +1a +12" +1x +1w +1," +b1001010000010110101010001011111 &" +b1001010000010110101010001011111 ?" +b1 %" +b1 *" +b1 1" +1'" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#5740010 +b1001010000010110101010001011111 D" +#5740500 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5741000 +15" +1y +1[ +0@" +1r +06" +b11111 3" +0_ +b10110 -" +0t +b1101001011100111001011100100011 | +b1101001011100111001011100100011 B" +0a +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +b100010110001111000110011011001 0 +b100010110001111000110011011001 M +b100010110001111000110011011001 f +b100010110001111000110011011001 !" +0. +1% +#5741500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5742000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b1101001011100111001011100100011 0 +b1101001011100111001011100100011 M +b1101001011100111001011100100011 f +b1101001011100111001011100100011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5742500 +b1100000111010001110100001100101 2 +b1100000111010001110100001100101 I +b1100000111010001110100001100101 Z +b100 3 +b100 J +b100 Y +11 +b1100000111010001110100001100101 , +b1100000111010001110100001100101 H +b100 + +b100 G +1- +1. +0% +#5743000 +0] +1>" +1@" +b11011 3" +1^ +1_ +12" +b1100000111010001110100001100101 &" +b1100000111010001110100001100101 ?" +b100 %" +b100 *" +b100 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5743010 +b1100000111010001110100001100101 G" +#5743500 +b100 5 +b100 L +b100 W +14 +b1001101010000101101111011110010 2 +b1001101010000101101111011110010 I +b1001101010000101101111011110010 Z +b0 3 +b0 J +b0 Y +b100 ' +b100 D +1) +b1001101010000101101111011110010 , +b1001101010000101101111011110010 H +b0 + +b0 G +1. +0% +#5744000 +1] +0i +b1100000111010001110100001100101 { +b1100000111010001110100001100101 A" +0>" +1:" +1;" +b11111 3" +0^ +b11011 0" +1j +1k +1/" +b1001101010000101101111011110010 &" +b1001101010000101101111011110010 ?" +b0 %" +b0 *" +b0 1" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#5744010 +b1001101010000101101111011110010 C" +#5744500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b0 , +b0 H +0- +1. +0% +#5745000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0@" +0:" +0;" +0_ +b11111 0" +0j +0k +02" +0/" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100000111010001110100001100101 / +b1100000111010001110100001100101 K +b1100000111010001110100001100101 e +b1100000111010001110100001100101 "" +0. +1% +#5745500 +1. +0% +#5746000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5746500 +b10001 5 +b10001 L +b10001 W +14 +b1001 7 +b1001 N +b1001 V +16 +b10110101100011001010001100101111 2 +b10110101100011001010001100101111 I +b10110101100011001010001100101111 Z +b10111 3 +b10111 J +b10111 Y +11 +b10001 ' +b10001 D +1) +b1001 & +b1001 C +1( +b10110101100011001010001100101111 , +b10110101100011001010001100101111 H +b10111 + +b10111 G +1- +1. +0% +#5747000 +0] +b10101110001011111010100011000000 { +b10101110001011111010100011000000 A" +0s +b1101001011100111001011100100011 | +b1101001011100111001011100100011 B" +0\ +0[ +1>" +1@" +0g +1;" +0q +15" +17" +b1000 3" +1^ +0_ +1<" +1` +b1110 0" +0k +18" +1l +b10110 -" +1y +1u +1b +1a +12" +1m +1/" +1w +1," +b10110101100011001010001100101111 &" +b10110101100011001010001100101111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#5747010 +b10110101100011001010001100101111 Z" +#5747500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +b11101001110101100001110011111111 2 +b11101001110101100001110011111111 I +b11101001110101100001110011111111 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b1010 & +b1010 C +b11101001110101100001110011111111 , +b11101001110101100001110011111111 H +b0 + +b0 G +1. +0% +#5748000 +1_ +1] +1\ +1[ +1@" +0>" +1g +0;" +0r +1q +b11111 3" +0<" +0` +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10101 -" +b10111110000101101011100001000 | +b10111110000101101011100001000 B" +0b +0a +0m +0/" +1x +0w +b10101110001011111010100011000000 / +b10101110001011111010100011000000 K +b10101110001011111010100011000000 e +b10101110001011111010100011000000 "" +b1101001011100111001011100100011 0 +b1101001011100111001011100100011 M +b1101001011100111001011100100011 f +b1101001011100111001011100100011 !" +b11101001110101100001110011111111 &" +b11101001110101100001110011111111 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +0. +1% +#5748010 +b11101001110101100001110011111111 C" +#5748500 +b0 7 +b0 N +b0 V +06 +b11000000000000001001000001011011 2 +b11000000000000001001000001011011 I +b11000000000000001001000001011011 Z +b10110 3 +b10110 J +b10110 Y +b0 & +b0 C +0( +b11000000000000001001000001011011 , +b11000000000000001001000001011011 H +b10110 + +b10110 G +1. +0% +#5749000 +0_ +0] +1s +0\ +1>" +1r +05" +07" +b1001 3" +1<" +1` +1^ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0x +0," +b11000000000000001001000001011011 &" +b11000000000000001001000001011011 ?" +b10110 %" +b10110 *" +b10110 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111110000101101011100001000 0 +b10111110000101101011100001000 M +b10111110000101101011100001000 f +b10111110000101101011100001000 !" +0. +1% +#5749010 +b11000000000000001001000001011011 Y" +#5749500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5750000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5750500 +b100111100010001100011111101100 2 +b100111100010001100011111101100 I +b100111100010001100011111101100 Z +b101 3 +b101 J +b101 Y +11 +b100111100010001100011111101100 , +b100111100010001100011111101100 H +b101 + +b101 G +1- +1. +0% +#5751000 +0] +0[ +1>" +1@" +b11010 3" +1^ +1_ +1a +12" +b100111100010001100011111101100 &" +b100111100010001100011111101100 ?" +b101 %" +b101 *" +b101 1" +1'" +0. +1% +#5751010 +b100111100010001100011111101100 H" +#5751500 +b11001 5 +b11001 L +b11001 W +14 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5752000 +1] +0i +b1110000000010000110101011001001 { +b1110000000010000110101011001001 A" +0s +b1010110100100000000000111101 | +b1010110100100000000000111101 B" +1[ +0>" +0@" +0g +19" +1;" +0r +0q +15" +16" +17" +b11111 3" +0^ +0_ +b110 0" +1o +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +0a +02" +1m +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#5752500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5753000 +1i +1s +1g +09" +0;" +1r +1q +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110000000010000110101011001001 / +b1110000000010000110101011001001 K +b1110000000010000110101011001001 e +b1110000000010000110101011001001 "" +b1010110100100000000000111101 0 +b1010110100100000000000111101 M +b1010110100100000000000111101 f +b1010110100100000000000111101 !" +0. +1% +#5753500 +b10100 5 +b10100 L +b10100 W +14 +b11001 7 +b11001 N +b11001 V +16 +b11000011000100111101100011000110 2 +b11000011000100111101100011000110 I +b11000011000100111101100011000110 Z +b10000 3 +b10000 J +b10000 Y +11 +b10100 ' +b10100 D +1) +b11001 & +b11001 C +1( +b11000011000100111101100011000110 , +b11000011000100111101100011000110 H +b10000 + +b10000 G +1- +1. +0% +#5754000 +0i +b101110110101101001001101 { +b101110110101101001001101 A" +0s +b1110000000010000110101011001001 | +b1110000000010000110101011001001 B" +1@" +1:" +1;" +0q +15" +17" +b1111 3" +0_ +1<" +1` +b1011 0" +1j +0k +18" +1l +b110 -" +1y +0u +14" +1v +12" +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000011000100111101100011000110 &" +b11000011000100111101100011000110 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#5754010 +b11000011000100111101100011000110 S" +#5754500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110000000110101110110100101010 2 +b110000000110101110110100101010 I +b110000000110101110110100101010 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110000000110101110110100101010 , +b110000000110101110110100101010 H +b11001 + +b11001 G +1. +0% +#5755000 +0] +1i +1s +0[ +1=" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +07" +b110 3" +1c +b11111 0" +0j +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0/" +0w +0," +b110000000110101110110100101010 &" +b110000000110101110110100101010 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101110110101101001001101 / +b101110110101101001001101 K +b101110110101101001001101 e +b101110110101101001001101 "" +b1110000000010000110101011001001 0 +b1110000000010000110101011001001 M +b1110000000010000110101011001001 f +b1110000000010000110101011001001 !" +0. +1% +#5755010 +b110000000110101110110100101010 \" +#5755500 +b10100 5 +b10100 L +b10100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5756000 +1] +0i +b101110110101101001001101 { +b101110110101101001001101 A" +1[ +0=" +0@" +1:" +1;" +b11111 3" +0c +0<" +0` +b1011 0" +1j +0k +18" +1l +0a +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#5756500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b0 ' +b0 D +0) +b11 & +b11 C +1( +1. +0% +#5757000 +1i +b101111000000010100101100001101 | +b101111000000010100101100001101 B" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +17" +b11111 0" +0j +08" +0l +b11100 -" +1u +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +b101110110101101001001101 / +b101110110101101001001101 K +b101110110101101001001101 e +b101110110101101001001101 "" +0. +1% +#5757500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b10000000011110100010000110111 2 +b10000000011110100010000110111 I +b10000000011110100010000110111 Z +b101 3 +b101 J +b101 Y +11 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b10000000011110100010000110111 , +b10000000011110100010000110111 H +b101 + +b101 G +1- +1. +0% +#5758000 +0] +0i +b11101100100010111001000101100100 { +b11101100100010111001000101100100 A" +0[ +1>" +1@" +0g +1:" +1;" +1r +1q +07" +b11010 3" +1^ +1_ +b1010 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101111000000010100101100001101 0 +b101111000000010100101100001101 M +b101111000000010100101100001101 f +b101111000000010100101100001101 !" +b10000000011110100010000110111 &" +b10000000011110100010000110111 ?" +b101 %" +b101 *" +b101 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5758010 +b10000000011110100010000110111 H" +#5758500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5759000 +1] +1i +1[ +0>" +0@" +1g +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101100100010111001000101100100 / +b11101100100010111001000101100100 K +b11101100100010111001000101100100 e +b11101100100010111001000101100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5759500 +1. +0% +#5760000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5760500 +1. +0% +#5761000 +0. +1% +#5761500 +b11001 5 +b11001 L +b11001 W +14 +b11001 ' +b11001 D +1) +1. +0% +#5762000 +0i +b110000000110101110110100101010 { +b110000000110101110110100101010 A" +0g +19" +1;" +b110 0" +1o +0k +18" +1l +1m +1/" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#5762500 +b10000 5 +b10000 L +b10000 W +b10100010100011011111110010000 2 +b10100010100011011111110010000 I +b10100010100011011111110010000 Z +b1010 3 +b1010 J +b1010 Y +11 +b10000 ' +b10000 D +b10100010100011011111110010000 , +b10100010100011011111110010000 H +b1010 + +b1010 G +1- +1. +0% +#5763000 +0] +1i +0\ +1=" +1@" +1g +09" +b10101 3" +1c +1_ +b1111 0" +0o +b11000011000100111101100011000110 { +b11000011000100111101100011000110 A" +1b +12" +0m +b10100010100011011111110010000 &" +b10100010100011011111110010000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +b110000000110101110110100101010 / +b110000000110101110110100101010 K +b110000000110101110110100101010 e +b110000000110101110110100101010 "" +0. +1% +#5763010 +b10100010100011011111110010000 M" +#5763500 +b0 5 +b0 L +b0 W +04 +b10101111111101011111010001000001 2 +b10101111111101011111010001000001 I +b10101111111101011111010001000001 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b10101111111101011111010001000001 , +b10101111111101011111010001000001 H +b0 + +b0 G +1. +0% +#5764000 +1] +1\ +0=" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0c +b11111 0" +08" +0l +0b +0/" +b11000011000100111101100011000110 / +b11000011000100111101100011000110 K +b11000011000100111101100011000110 e +b11000011000100111101100011000110 "" +b10101111111101011111010001000001 &" +b10101111111101011111010001000001 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5764010 +b10101111111101011111010001000001 C" +#5764500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#5765000 +0@" +0_ +02" +b0 &" +b0 ?" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5765500 +1. +0% +#5766000 +0. +1% +#5766500 +b11 5 +b11 L +b11 W +14 +b11 ' +b11 D +1) +1. +0% +#5767000 +b101111000000010100101100001101 { +b101111000000010100101100001101 A" +0h +0g +1;" +b11100 0" +1k +1n +1m +1/" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#5767500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b0 ' +b0 D +0) +b100 & +b100 C +1( +1. +0% +#5768000 +0s +b1100000111010001110100001100101 | +b1100000111010001110100001100101 B" +1h +1g +0;" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +1t +1u +0n +0m +0/" +1," +b101111000000010100101100001101 / +b101111000000010100101100001101 K +b101111000000010100101100001101 e +b101111000000010100101100001101 "" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#5768500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5769000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +06" +07" +b11111 -" +0t +0u +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100000111010001110100001100101 0 +b1100000111010001110100001100101 M +b1100000111010001110100001100101 f +b1100000111010001110100001100101 !" +0. +1% +#5769500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#5770000 +b11111100000010011000111000110001 | +b11111100000010011000111000110001 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#5770500 +1. +0% +#5771000 +b11111100000010011000111000110001 0 +b11111100000010011000111000110001 M +b11111100000010011000111000110001 f +b11111100000010011000111000110001 !" +0. +1% +#5771500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5772000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5772500 +b10011000110011010101010100000000 2 +b10011000110011010101010100000000 I +b10011000110011010101010100000000 Z +b10010 3 +b10010 J +b10010 Y +11 +b10011000110011010101010100000000 , +b10011000110011010101010100000000 H +b10010 + +b10010 G +1- +1. +0% +#5773000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b10011000110011010101010100000000 &" +b10011000110011010101010100000000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5773010 +b10011000110011010101010100000000 U" +#5773500 +b11000 5 +b11000 L +b11000 W +14 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5774000 +0i +b11010010100111110101101011110 { +b11010010100111110101101011110 A" +0s +b10000000011110100010000110111 | +b10000000011110100010000110111 B" +1\ +0@" +19" +1;" +0q +16" +17" +b11111 3" +0<" +0` +b111 0" +1o +0k +18" +1l +b11010 -" +1t +1u +0b +02" +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#5774500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +b0 & +b0 C +0( +1. +0% +#5775000 +1k +1s +0g +1;" +1q +06" +07" +b10110 0" +08" +0l +b1101001011100111001011100100011 { +b1101001011100111001011100100011 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +0w +0," +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +b11010010100111110101101011110 / +b11010010100111110101101011110 K +b11010010100111110101101011110 e +b11010010100111110101101011110 "" +b10000000011110100010000110111 0 +b10000000011110100010000110111 M +b10000000011110100010000110111 f +b10000000011110100010000110111 !" +0. +1% +#5775500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +1. +0% +#5776000 +1i +0s +b101110110101101001001101 | +b101110110101101001001101 B" +1g +09" +0;" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1011 -" +1t +0u +14" +1v +0m +0/" +1," +b1101001011100111001011100100011 / +b1101001011100111001011100100011 K +b1101001011100111001011100100011 e +b1101001011100111001011100100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#5776500 +b0 7 +b0 N +b0 V +06 +b10011101101000111111100110001001 2 +b10011101101000111111100110001001 I +b10011101101000111111100110001001 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 & +b0 C +0( +b10011101101000111111100110001001 , +b10011101101000111111100110001001 H +b11101 + +b11101 G +1- +1. +0% +#5777000 +0] +1s +0[ +1=" +1>" +1@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0t +04" +0v +1a +12" +0," +b10011101101000111111100110001001 &" +b10011101101000111111100110001001 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101110110101101001001101 0 +b101110110101101001001101 M +b101110110101101001001101 f +b101110110101101001001101 !" +0. +1% +#5777010 +b10011101101000111111100110001001 `" +#5777500 +b11010 7 +b11010 N +b11010 V +16 +b110101000110001100011100010001 2 +b110101000110001100011100010001 I +b110101000110001100011100010001 Z +b11111 3 +b11111 J +b11111 Y +b11010 & +b11010 C +1( +b110101000110001100011100010001 , +b110101000110001100011100010001 H +b11111 + +b11111 G +1. +0% +#5778000 +0s +b10011110011111100100001110101111 | +b10011110011111100100001110101111 B" +0\ +0r +15" +17" +b0 3" +b101 -" +1y +0u +14" +1v +1b +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110101000110001100011100010001 &" +b110101000110001100011100010001 ?" +b11111 %" +b11111 *" +b11111 1" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#5778500 +b0 7 +b0 N +b0 V +06 +b11000010010100011101110011111100 2 +b11000010010100011101110011111100 I +b11000010010100011101110011111100 Z +b10011 3 +b10011 J +b10011 Y +b0 & +b0 C +0( +b11000010010100011101110011111100 , +b11000010010100011101110011111100 H +b10011 + +b10011 G +1. +0% +#5779000 +1] +1s +0=" +0>" +1r +05" +07" +b1100 3" +0d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b11000010010100011101110011111100 &" +b11000010010100011101110011111100 ?" +b10011 %" +b10011 *" +b10011 1" +b0 } +b0 (" +b0 +" +0#" +b10011110011111100100001110101111 0 +b10011110011111100100001110101111 M +b10011110011111100100001110101111 f +b10011110011111100100001110101111 !" +0. +1% +#5779010 +b11000010010100011101110011111100 V" +#5779500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5780000 +1\ +1[ +0@" +b11111 3" +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5780500 +b10011 5 +b10011 L +b10011 W +14 +b1 7 +b1 N +b1 V +16 +b101000000001011001010100000000 2 +b101000000001011001010100000000 I +b101000000001011001010100000000 Z +11 +b10011 ' +b10011 D +1) +b1 & +b1 C +1( +b101000000001011001010100000000 , +b101000000001011001010100000000 H +1- +1. +0% +#5781000 +b11000010010100011101110011111100 { +b11000010010100011101110011111100 A" +b1001010000010110101010001011111 | +b1001010000010110101010001011111 B" +1@" +0h +0g +1;" +0q +17" +1_ +b1100 0" +0k +18" +1l +b11110 -" +1u +12" +1n +1m +1/" +1w +1," +b101000000001011001010100000000 &" +b101000000001011001010100000000 ?" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#5781010 +b101000000001011001010100000000 C" +#5781500 +b10111 5 +b10111 L +b10111 W +b1001 7 +b1001 N +b1001 V +b111000110010101011011010101111 2 +b111000110010101011011010101111 I +b111000110010101011011010101111 Z +b111 3 +b111 J +b111 Y +b10111 ' +b10111 D +b1001 & +b1001 C +b111000110010101011011010101111 , +b111000110010101011011010101111 H +b111 + +b111 G +1. +0% +#5782000 +0] +0i +b10110101100011001010001100101111 { +b10110101100011001010001100101111 A" +0s +b1101001011100111001011100100011 | +b1101001011100111001011100100011 B" +0\ +0[ +1>" +1:" +15" +b11000 3" +1^ +b1000 0" +1j +b10110 -" +1y +1b +1a +b11000010010100011101110011111100 / +b11000010010100011101110011111100 K +b11000010010100011101110011111100 e +b11000010010100011101110011111100 "" +b1001010000010110101010001011111 0 +b1001010000010110101010001011111 M +b1001010000010110101010001011111 f +b1001010000010110101010001011111 !" +b111000110010101011011010101111 &" +b111000110010101011011010101111 ?" +b111 %" +b111 *" +b111 1" +b10111 ~ +b10111 )" +b10111 ." +b1001 } +b1001 (" +b1001 +" +0. +1% +#5782010 +b111000110010101011011010101111 J" +#5782500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10010 & +b10010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5783000 +1] +1i +0u +1s +1\ +1[ +0>" +0@" +1h +1g +0:" +0;" +0r +1q +05" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +14" +1v +0y +b10011000110011010101010100000000 | +b10011000110011010101010100000000 B" +0b +0a +02" +0n +0m +0/" +1x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +b10110101100011001010001100101111 / +b10110101100011001010001100101111 K +b10110101100011001010001100101111 e +b10110101100011001010001100101111 "" +b1101001011100111001011100100011 0 +b1101001011100111001011100100011 M +b1101001011100111001011100100011 f +b1101001011100111001011100100011 !" +0. +1% +#5783500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5784000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011000110011010101010100000000 0 +b10011000110011010101010100000000 M +b10011000110011010101010100000000 f +b10011000110011010101010100000000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5784500 +b1100 5 +b1100 L +b1100 W +14 +b1100 7 +b1100 N +b1100 V +16 +b1100 ' +b1100 D +1) +b1100 & +b1100 C +1( +1. +0% +#5785000 +0i +b10000010011111110000101001101000 { +b10000010011111110000101001101000 A" +0s +b10000010011111110000101001101000 | +b10000010011111110000101001101000 B" +19" +1:" +1;" +15" +16" +17" +b10011 0" +0j +0o +1p +1k +b10011 -" +0t +0y +1z +1u +1/" +1," +b1100 ~ +b1100 )" +b1100 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5785500 +b11010 5 +b11010 L +b11010 W +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +b0 & +b0 C +0( +1. +0% +#5786000 +0k +1o +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +19" +0:" +05" +06" +07" +b101 0" +18" +1l +0p +b10011110011111100100001110101111 { +b10011110011111100100001110101111 A" +b11111 -" +0z +0u +1n +0," +b10000010011111110000101001101000 / +b10000010011111110000101001101000 K +b10000010011111110000101001101000 e +b10000010011111110000101001101000 "" +b10000010011111110000101001101000 0 +b10000010011111110000101001101000 M +b10000010011111110000101001101000 f +b10000010011111110000101001101000 !" +b11010 ~ +b11010 )" +b11010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5786500 +b0 5 +b0 L +b0 W +04 +b11011010011000011001000000011 2 +b11011010011000011001000000011 I +b11011010011000011001000000011 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b11011010011000011001000000011 , +b11011010011000011001000000011 H +b110 + +b110 G +1- +1. +0% +#5787000 +0] +1i +0\ +1>" +1@" +1h +09" +0;" +b11001 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0/" +b11011010011000011001000000011 &" +b11011010011000011001000000011 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011110011111100100001110101111 / +b10011110011111100100001110101111 K +b10011110011111100100001110101111 e +b10011110011111100100001110101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5787010 +b11011010011000011001000000011 I" +#5787500 +b10000100011011001001010011110001 2 +b10000100011011001001010011110001 I +b10000100011011001001010011110001 Z +b11000 3 +b11000 J +b11000 Y +b10000100011011001001010011110001 , +b10000100011011001001010011110001 H +b11000 + +b11000 G +1. +0% +#5788000 +1=" +0_ +1c +1\ +0>" +b111 3" +1<" +1` +0^ +0b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000100011011001001010011110001 &" +b10000100011011001001010011110001 ?" +b11000 %" +b11000 *" +b11000 1" +0. +1% +#5788010 +b10000100011011001001010011110001 [" +#5788500 +b10011 5 +b10011 L +b10011 W +14 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5789000 +1] +b11000010010100011101110011111100 { +b11000010010100011101110011111100 A" +0s +b11001010100110011111111110000101 | +b11001010100110011111111110000101 B" +0=" +0@" +0h +0g +1;" +15" +17" +b11111 3" +0c +0<" +0` +b1100 0" +0k +18" +1l +b10111 -" +1y +1u +02" +1n +1m +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#5789500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +b1001011111000000001110101011100 2 +b1001011111000000001110101011100 I +b1001011111000000001110101011100 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +b10 & +b10 C +b1001011111000000001110101011100 , +b1001011111000000001110101011100 H +b1011 + +b1011 G +1- +1. +0% +#5790000 +0] +1s +0\ +0[ +1=" +1@" +1h +1g +0;" +0r +05" +b10100 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +0y +b11010110000000010100011000001011 | +b11010110000000010100011000001011 B" +1b +1a +12" +0n +0m +0/" +1x +b11000010010100011101110011111100 / +b11000010010100011101110011111100 K +b11000010010100011101110011111100 e +b11000010010100011101110011111100 "" +b11001010100110011111111110000101 0 +b11001010100110011111111110000101 M +b11001010100110011111111110000101 f +b11001010100110011111111110000101 !" +b1001011111000000001110101011100 &" +b1001011111000000001110101011100 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +0. +1% +#5790010 +b1001011111000000001110101011100 N" +#5790500 +b0 7 +b0 N +b0 V +06 +b11100111000000011011100011100001 2 +b11100111000000011011100011100001 I +b11100111000000011011100011100001 Z +b1 3 +b1 J +b1 Y +b0 & +b0 C +0( +b11100111000000011011100011100001 , +b11100111000000011011100011100001 H +b1 + +b1 G +1. +0% +#5791000 +1] +1\ +0=" +1r +07" +b11110 3" +0c +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0x +0," +b11100111000000011011100011100001 &" +b11100111000000011011100011100001 ?" +b1 %" +b1 *" +b1 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010110000000010100011000001011 0 +b11010110000000010100011000001011 M +b11010110000000010100011000001011 f +b11010110000000010100011000001011 !" +0. +1% +#5791010 +b11100111000000011011100011100001 D" +#5791500 +b1001 5 +b1001 L +b1001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5792000 +0i +b1101001011100111001011100100011 { +b1101001011100111001011100100011 A" +1[ +0@" +0g +19" +1;" +b11111 3" +0_ +b10110 0" +1o +1k +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#5792500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b10101110111101111001010000100100 2 +b10101110111101111001010000100100 I +b10101110111101111001010000100100 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b10 & +b10 C +1( +b10101110111101111001010000100100 , +b10101110111101111001010000100100 H +b11110 + +b11110 G +1- +1. +0% +#5793000 +0] +1i +b11010110000000010100011000001011 | +b11010110000000010100011000001011 B" +0\ +1=" +1>" +1@" +1g +09" +0;" +0r +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11101 -" +1u +1b +12" +0m +0/" +1x +1," +b10101110111101111001010000100100 &" +b10101110111101111001010000100100 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +b1101001011100111001011100100011 / +b1101001011100111001011100100011 K +b1101001011100111001011100100011 e +b1101001011100111001011100100011 "" +0. +1% +#5793010 +b10101110111101111001010000100100 a" +#5793500 +b1110 5 +b1110 L +b1110 W +14 +b11000 7 +b11000 N +b11000 V +b10110000110110101111001011111011 2 +b10110000110110101111001011111011 I +b10110000110110101111001011111011 Z +b100 3 +b100 J +b100 Y +b1110 ' +b1110 D +1) +b11000 & +b11000 C +b10110000110110101111001011111011 , +b10110000110110101111001011111011 H +b100 + +b100 G +1. +0% +#5794000 +1_ +1^ +0i +b110001000010001110011000100001 { +b110001000010001110011000100001 A" +0u +0s +1\ +1@" +0=" +1>" +0h +19" +1:" +1;" +1r +15" +b11011 3" +0<" +0` +0d +b10001 0" +0j +0o +1p +1k +b111 -" +14" +1v +1y +b10000100011011001001010011110001 | +b10000100011011001001010011110001 B" +0b +1n +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010110000000010100011000001011 0 +b11010110000000010100011000001011 M +b11010110000000010100011000001011 f +b11010110000000010100011000001011 !" +b10110000110110101111001011111011 &" +b10110000110110101111001011111011 ?" +b100 %" +b100 *" +b100 1" +b1110 ~ +b1110 )" +b1110 ." +1$" +b11000 } +b11000 (" +b11000 +" +0. +1% +#5794010 +b10110000110110101111001011111011 G" +#5794500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11111101111100000111110101101001 2 +b11111101111100000111110101101001 I +b11111101111100000111110101101001 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11111101111100000111110101101001 , +b11111101111100000111110101101001 H +b101 + +b101 G +1. +0% +#5795000 +1i +1s +0[ +1h +09" +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11010 3" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +1a +0n +0/" +0," +b11111101111100000111110101101001 &" +b11111101111100000111110101101001 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110001000010001110011000100001 / +b110001000010001110011000100001 K +b110001000010001110011000100001 e +b110001000010001110011000100001 "" +b10000100011011001001010011110001 0 +b10000100011011001001010011110001 M +b10000100011011001001010011110001 f +b10000100011011001001010011110001 !" +0. +1% +#5795010 +b11111101111100000111110101101001 H" +#5795500 +b100 5 +b100 L +b100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5796000 +1] +0i +b10110000110110101111001011111011 { +b10110000110110101111001011111011 A" +1[ +0>" +0@" +1:" +1;" +b11111 3" +0^ +0_ +b11011 0" +1j +1k +0a +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#5796500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +1. +0% +#5797000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10100010100011011111110010000 | +b10100010100011011111110010000 B" +0:" +0;" +0r +15" +17" +b11111 0" +0j +0k +b10101 -" +1y +1u +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +b10110000110110101111001011111011 / +b10110000110110101111001011111011 K +b10110000110110101111001011111011 e +b10110000110110101111001011111011 "" +0. +1% +#5797500 +b10110 5 +b10110 L +b10110 W +14 +b1111 7 +b1111 N +b1111 V +b10110 ' +b10110 D +1) +b1111 & +b1111 C +1. +0% +#5798000 +0i +b11000000000000001001000001011011 { +b11000000000000001001000001011011 A" +0y +0h +1:" +1;" +0q +16" +b1001 0" +1j +0k +18" +1l +b10000 -" +1z +b10001100110111011100010011101 | +b10001100110111011100010011101 B" +1n +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100010100011011111110010000 0 +b10100010100011011111110010000 M +b10100010100011011111110010000 f +b10100010100011011111110010000 !" +b10110 ~ +b10110 )" +b10110 ." +1$" +b1111 } +b1111 (" +b1111 +" +0. +1% +#5798500 +b11011 5 +b11011 L +b11011 W +b10001 7 +b10001 N +b10001 V +b11011 ' +b11011 D +b10001 & +b10001 C +1. +0% +#5799000 +19" +1o +0u +1s +0g +0:" +1r +05" +06" +b100 0" +0j +b1000100010110110110110111000000 { +b1000100010110110110110111000000 A" +b1110 -" +14" +1v +0z +b10101110001011111010100011000000 | +b10101110001011111010100011000000 B" +1m +0x +b11011 ~ +b11011 )" +b11011 ." +b10001 } +b10001 (" +b10001 +" +b11000000000000001001000001011011 / +b11000000000000001001000001011011 K +b11000000000000001001000001011011 e +b11000000000000001001000001011011 "" +b10001100110111011100010011101 0 +b10001100110111011100010011101 M +b10001100110111011100010011101 f +b10001100110111011100010011101 !" +0. +1% +#5799500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b1001111110111111101000001110011 2 +b1001111110111111101000001110011 I +b1001111110111111101000001110011 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b111 & +b111 C +b1001111110111111101000001110011 , +b1001111110111111101000001110011 H +b10 + +b10 G +1- +1. +0% +#5800000 +1i +1u +0s +0\ +1@" +1h +1g +09" +0;" +0r +17" +16" +b11101 3" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +04" +0v +1t +b111000110010101011011010101111 | +b111000110010101011011010101111 B" +1b +12" +0n +0m +0/" +1x +b1000100010110110110110111000000 / +b1000100010110110110110111000000 K +b1000100010110110110110111000000 e +b1000100010110110110110111000000 "" +b10101110001011111010100011000000 0 +b10101110001011111010100011000000 M +b10101110001011111010100011000000 f +b10101110001011111010100011000000 !" +b1001111110111111101000001110011 &" +b1001111110111111101000001110011 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +0. +1% +#5800010 +b1001111110111111101000001110011 E" +#5800500 +b11110 5 +b11110 L +b11110 W +14 +b11000 7 +b11000 N +b11000 V +b10111111110101000111101100101 2 +b10111111110101000111101100101 I +b10111111110101000111101100101 Z +b0 3 +b0 J +b0 Y +b11110 ' +b11110 D +1) +b11000 & +b11000 C +b10111111110101000111101100101 , +b10111111110101000111101100101 H +b0 + +b0 G +1. +0% +#5801000 +15" +0i +b10101110111101111001010000100100 { +b10101110111101111001010000100100 A" +0u +1y +1\ +0h +19" +1:" +1;" +1r +1q +06" +b11111 3" +b1 0" +0j +0o +1p +0k +18" +1l +b111 -" +14" +1v +0t +b10000100011011001001010011110001 | +b10000100011011001001010011110001 B" +0b +1n +1/" +0x +0w +b10111111110101000111101100101 &" +b10111111110101000111101100101 ?" +b0 %" +b0 *" +b0 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b11000 } +b11000 (" +b11000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111000110010101011011010101111 0 +b111000110010101011011010101111 M +b111000110010101011011010101111 f +b111000110010101011011010101111 !" +0. +1% +#5801010 +b10111111110101000111101100101 C" +#5801500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#5802000 +1i +1s +0@" +1h +09" +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +04" +0v +02" +0n +0/" +0," +b10101110111101111001010000100100 / +b10101110111101111001010000100100 K +b10101110111101111001010000100100 e +b10101110111101111001010000100100 "" +b10000100011011001001010011110001 0 +b10000100011011001001010011110001 M +b10000100011011001001010011110001 f +b10000100011011001001010011110001 !" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5802500 +b110 5 +b110 L +b110 W +14 +b110 ' +b110 D +1) +1. +0% +#5803000 +0i +b11011010011000011001000000011 { +b11011010011000011001000000011 A" +0h +1:" +1;" +b11001 0" +1j +1k +1n +1/" +b110 ~ +b110 )" +b110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5803500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b100110001010100001000001110000 2 +b100110001010100001000001110000 I +b100110001010100001000001110000 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b100110001010100001000001110000 , +b100110001010100001000001110000 H +b10 + +b10 G +1- +1. +0% +#5804000 +1i +b10101110001011111010100011000000 | +b10101110001011111010100011000000 B" +0\ +1@" +1h +0:" +0;" +0q +17" +b11101 3" +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +0u +14" +1v +1b +12" +0n +0/" +1w +1," +b11011010011000011001000000011 / +b11011010011000011001000000011 K +b11011010011000011001000000011 e +b11011010011000011001000000011 "" +b100110001010100001000001110000 &" +b100110001010100001000001110000 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#5804010 +b100110001010100001000001110000 E" +#5804500 +b10011 7 +b10011 N +b10011 V +b11111110010000010001000000000 2 +b11111110010000010001000000000 I +b11111110010000010001000000000 Z +b11110 3 +b11110 J +b11110 Y +b10011 & +b10011 C +b11111110010000010001000000000 , +b11111110010000010001000000000 H +b11110 + +b11110 G +1. +0% +#5805000 +0_ +0] +1=" +1>" +0r +b1 3" +1<" +1` +0^ +0c +1d +b1100 -" +b11000010010100011101110011111100 | +b11000010010100011101110011111100 B" +1x +b11111110010000010001000000000 &" +b11111110010000010001000000000 ?" +b11110 %" +b11110 *" +b11110 1" +b10011 } +b10011 (" +b10011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101110001011111010100011000000 0 +b10101110001011111010100011000000 M +b10101110001011111010100011000000 f +b10101110001011111010100011000000 !" +0. +1% +#5805010 +b11111110010000010001000000000 a" +#5805500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5806000 +1] +1\ +0=" +0>" +0@" +1r +1q +07" +b11111 3" +0d +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0w +0," +b11000010010100011101110011111100 0 +b11000010010100011101110011111100 M +b11000010010100011101110011111100 f +b11000010010100011101110011111100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5806500 +b11111 5 +b11111 L +b11111 W +14 +b11111 ' +b11111 D +1) +1. +0% +#5807000 +0i +b110101000110001100011100010001 { +b110101000110001100011100010001 A" +0h +0g +19" +1:" +1;" +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5807500 +b1011 5 +b1011 L +b1011 W +b1001011110111011110111011010100 2 +b1001011110111011110111011010100 I +b1001011110111011110111011010100 Z +b10101 3 +b10101 J +b10101 Y +11 +b1011 ' +b1011 D +b1001011110111011110111011010100 , +b1001011110111011110111011010100 H +b10101 + +b10101 G +1- +1. +0% +#5808000 +0] +1k +1o +0[ +1>" +1@" +1;" +b1001011111000000001110101011100 { +b1001011111000000001110101011100 A" +19" +0:" +b1010 3" +1^ +0_ +1<" +1` +b10100 0" +08" +0l +0p +1a +12" +b110101000110001100011100010001 / +b110101000110001100011100010001 K +b110101000110001100011100010001 e +b110101000110001100011100010001 "" +b1001011110111011110111011010100 &" +b1001011110111011110111011010100 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#5808010 +b1001011110111011110111011010100 X" +#5808500 +b1110 5 +b1110 L +b1110 W +b11000100110001011010011010101100 2 +b11000100110001011010011010101100 I +b11000100110001011010011010101100 Z +b11000 3 +b11000 J +b11000 Y +b1110 ' +b1110 D +b11000100110001011010011010101100 , +b11000100110001011010011010101100 H +b11000 + +b11000 G +1. +0% +#5809000 +1=" +1c +0o +1[ +0>" +1g +1:" +b111 3" +0^ +b10001 0" +1p +b110001000010001110011000100001 { +b110001000010001110011000100001 A" +0a +0m +b11000100110001011010011010101100 &" +b11000100110001011010011010101100 ?" +b11000 %" +b11000 *" +b11000 1" +b1110 ~ +b1110 )" +b1110 ." +b1001011111000000001110101011100 / +b1001011111000000001110101011100 K +b1001011111000000001110101011100 e +b1001011111000000001110101011100 "" +0. +1% +#5809010 +b11000100110001011010011010101100 [" +#5809500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5810000 +1] +1i +0=" +0@" +1h +09" +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0/" +b110001000010001110011000100001 / +b110001000010001110011000100001 K +b110001000010001110011000100001 e +b110001000010001110011000100001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5810500 +b10010011010011001111110010011010 2 +b10010011010011001111110010011010 I +b10010011010011001111110010011010 Z +b11111 3 +b11111 J +b11111 Y +11 +b10010011010011001111110010011010 , +b10010011010011001111110010011010 H +b11111 + +b11111 G +1- +1. +0% +#5811000 +0] +0\ +0[ +1=" +1>" +1@" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1b +1a +12" +b10010011010011001111110010011010 &" +b10010011010011001111110010011010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5811500 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5812000 +1] +b10101110001011111010100011000000 | +b10101110001011111010100011000000 B" +1\ +1[ +0=" +0>" +0@" +0q +17" +b11111 3" +0d +0<" +0` +b1110 -" +0u +14" +1v +0b +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#5812500 +b1110 7 +b1110 N +b1110 V +b1110 & +b1110 C +1. +0% +#5813000 +1u +0s +0r +1q +17" +15" +16" +b10001 -" +04" +0v +0t +0y +1z +b110001000010001110011000100001 | +b110001000010001110011000100001 B" +1x +0w +b1110 } +b1110 (" +b1110 +" +b10101110001011111010100011000000 0 +b10101110001011111010100011000000 M +b10101110001011111010100011000000 f +b10101110001011111010100011000000 !" +0. +1% +#5813500 +b0 7 +b0 N +b0 V +06 +b1111110111010111011000101010001 2 +b1111110111010111011000101010001 I +b1111110111010111011000101010001 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 & +b0 C +0( +b1111110111010111011000101010001 , +b1111110111010111011000101010001 H +b10011 + +b10011 G +1- +1. +0% +#5814000 +1s +0\ +0[ +1@" +1r +05" +06" +07" +b1100 3" +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0," +b110001000010001110011000100001 0 +b110001000010001110011000100001 M +b110001000010001110011000100001 f +b110001000010001110011000100001 !" +b1111110111010111011000101010001 &" +b1111110111010111011000101010001 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5814010 +b1111110111010111011000101010001 V" +#5814500 +b11101 5 +b11101 L +b11101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5815000 +0i +b10011101101000111111100110001001 { +b10011101101000111111100110001001 A" +1\ +1[ +0@" +0g +19" +1:" +1;" +b11111 3" +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5815500 +b10010 5 +b10010 L +b10010 W +b10100 7 +b10100 N +b10100 V +16 +b10011111011001111010111010001000 2 +b10011111011001111010111010001000 I +b10011111011001111010111010001000 Z +b10111 3 +b10111 J +b10111 Y +11 +b10010 ' +b10010 D +b10100 & +b10100 C +1( +b10011111011001111010111010001000 , +b10011111011001111010111010001000 H +b10111 + +b10111 G +1- +1. +0% +#5816000 +0] +1i +0s +b101110110101101001001101 | +b101110110101101001001101 B" +0\ +0[ +1>" +1@" +0h +1g +09" +0:" +16" +17" +b1000 3" +1^ +0_ +1<" +1` +b1101 0" +0p +b10011000110011010101010100000000 { +b10011000110011010101010100000000 A" +b1011 -" +1t +0u +14" +1v +1b +1a +12" +1n +0m +1," +b10011101101000111111100110001001 / +b10011101101000111111100110001001 K +b10011101101000111111100110001001 e +b10011101101000111111100110001001 "" +b10011111011001111010111010001000 &" +b10011111011001111010111010001000 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#5816010 +b10011111011001111010111010001000 Z" +#5816500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b10110000111000100011001100011111 2 +b10110000111000100011001100011111 I +b10110000111000100011001100011111 Z +b10011 3 +b10011 J +b10011 Y +b0 ' +b0 D +0) +b101 & +b101 C +b10110000111000100011001100011111 , +b10110000111000100011001100011111 H +b10011 + +b10011 G +1. +0% +#5817000 +1] +1u +0>" +1h +0;" +0q +17" +b1100 3" +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +04" +0v +b11111101111100000111110101101001 | +b11111101111100000111110101101001 B" +0n +0/" +1w +b10110000111000100011001100011111 &" +b10110000111000100011001100011111 ?" +b10011 %" +b10011 *" +b10011 1" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b10011000110011010101010100000000 / +b10011000110011010101010100000000 K +b10011000110011010101010100000000 e +b10011000110011010101010100000000 "" +b101110110101101001001101 0 +b101110110101101001001101 M +b101110110101101001001101 f +b101110110101101001001101 !" +0. +1% +#5817010 +b10110000111000100011001100011111 V" +#5817500 +b11010111000100101100111111000010 2 +b11010111000100101100111111000010 I +b11010111000100101100111111000010 Z +b111 3 +b111 J +b111 Y +b11010111000100101100111111000010 , +b11010111000100101100111111000010 H +b111 + +b111 G +1. +0% +#5818000 +1_ +0] +1@" +1>" +b11000 3" +0<" +0` +1^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101111100000111110101101001 0 +b11111101111100000111110101101001 M +b11111101111100000111110101101001 f +b11111101111100000111110101101001 !" +b11010111000100101100111111000010 &" +b11010111000100101100111111000010 ?" +b111 %" +b111 *" +b111 1" +0. +1% +#5818010 +b11010111000100101100111111000010 J" +#5818500 +b1 5 +b1 L +b1 W +14 +b1101 7 +b1101 N +b1101 V +b1100000010110001001101100100111 2 +b1100000010110001001101100100111 I +b1100000010110001001101100100111 Z +b1110 3 +b1110 J +b1110 Y +b1 ' +b1 D +1) +b1101 & +b1101 C +b1100000010110001001101100100111 , +b1100000010110001001101100100111 H +b1110 + +b1110 G +1. +0% +#5819000 +0^ +b11100111000000011011100011100001 { +b11100111000000011011100011100001 A" +0t +b10010011001001110110101001101111 | +b10010011001001110110101001101111 B" +1[ +1=" +0g +1;" +15" +b10001 3" +1d +b11110 0" +1k +b10010 -" +1z +0a +1m +1/" +b1100000010110001001101100100111 &" +b1100000010110001001101100100111 ?" +b1110 %" +b1110 *" +b1110 1" +b1 ~ +b1 )" +b1 ." +1$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#5819010 +b1100000010110001001101100100111 Q" +#5819500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5820000 +1] +1s +1\ +0=" +0>" +0@" +1g +0;" +1q +05" +06" +07" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0m +0/" +0w +0," +b11100111000000011011100011100001 / +b11100111000000011011100011100001 K +b11100111000000011011100011100001 e +b11100111000000011011100011100001 "" +b10010011001001110110101001101111 0 +b10010011001001110110101001101111 M +b10010011001001110110101001101111 f +b10010011001001110110101001101111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5820500 +1. +0% +#5821000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5821500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#5822000 +0i +b1101001011100111001011100100011 { +b1101001011100111001011100100011 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#5822500 +b11101 5 +b11101 L +b11101 W +b11101 ' +b11101 D +1. +0% +#5823000 +0k +0o +b10011101101000111111100110001001 { +b10011101101000111111100110001001 A" +1:" +b10 0" +18" +1l +1p +b11101 ~ +b11101 )" +b11101 ." +b1101001011100111001011100100011 / +b1101001011100111001011100100011 K +b1101001011100111001011100100011 e +b1101001011100111001011100100011 "" +0. +1% +#5823500 +b11011 5 +b11011 L +b11011 W +b10011 7 +b10011 N +b10011 V +16 +b10001101000111000010011000101110 2 +b10001101000111000010011000101110 I +b10001101000111000010011000101110 Z +b11100 3 +b11100 J +b11100 Y +11 +b11011 ' +b11011 D +b10011 & +b10011 C +1( +b10001101000111000010011000101110 , +b10001101000111000010011000101110 H +b11100 + +b11100 G +1- +1. +0% +#5824000 +0] +1o +b10110000111000100011001100011111 | +b10110000111000100011001100011111 B" +1=" +1>" +1@" +0h +19" +0:" +0r +0q +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b100 0" +0p +b1000100010110110110110111000000 { +b1000100010110110110110111000000 A" +b1100 -" +0u +14" +1v +12" +1n +1x +1w +1," +b10011101101000111111100110001001 / +b10011101101000111111100110001001 K +b10011101101000111111100110001001 e +b10011101101000111111100110001001 "" +b10001101000111000010011000101110 &" +b10001101000111000010011000101110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#5824010 +b10001101000111000010011000101110 _" +#5824500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b100001100110100101001110001011 2 +b100001100110100101001110001011 I +b100001100110100101001110001011 Z +b1000 3 +b1000 J +b1000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b100001100110100101001110001011 , +b100001100110100101001110001011 H +b1000 + +b1000 G +1. +0% +#5825000 +1_ +1c +1i +1@" +1=" +0>" +1h +1g +09" +0;" +1r +1q +07" +b10111 3" +0<" +0` +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0w +0," +b100001100110100101001110001011 &" +b100001100110100101001110001011 ?" +b1000 %" +b1000 *" +b1000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000100010110110110110111000000 / +b1000100010110110110110111000000 K +b1000100010110110110110111000000 e +b1000100010110110110110111000000 "" +b10110000111000100011001100011111 0 +b10110000111000100011001100011111 M +b10110000111000100011001100011111 f +b10110000111000100011001100011111 !" +0. +1% +#5825010 +b100001100110100101001110001011 K" +#5825500 +b10001 7 +b10001 N +b10001 V +16 +b10111000111001101101110001111100 2 +b10111000111001101101110001111100 I +b10111000111001101101110001111100 Z +b10100 3 +b10100 J +b10100 Y +b10001 & +b10001 C +1( +b10111000111001101101110001111100 , +b10111000111001101101110001111100 H +b10100 + +b10100 G +1. +0% +#5826000 +1>" +0_ +1^ +b10101110001011111010100011000000 | +b10101110001011111010100011000000 B" +0=" +0q +17" +b1011 3" +1<" +1` +0c +b1110 -" +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111000111001101101110001111100 &" +b10111000111001101101110001111100 ?" +b10100 %" +b10100 *" +b10100 1" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#5826010 +b10111000111001101101110001111100 W" +#5826500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b11100100100000010010001110000000 2 +b11100100100000010010001110000000 I +b11100100100000010010001110000000 Z +b10111 3 +b10111 J +b10111 Y +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b11100100100000010010001110000000 , +b11100100100000010010001110000000 H +b10111 + +b10111 G +1. +0% +#5827000 +0i +b10001100110111011100010011101 { +b10001100110111011100010011101 A" +0\ +0[ +0h +0g +19" +1:" +1;" +1q +07" +b1000 3" +b10000 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +1n +1m +1/" +0w +0," +b11100100100000010010001110000000 &" +b11100100100000010010001110000000 ?" +b10111 %" +b10111 *" +b10111 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10101110001011111010100011000000 0 +b10101110001011111010100011000000 M +b10101110001011111010100011000000 f +b10101110001011111010100011000000 !" +0. +1% +#5827010 +b11100100100000010010001110000000 Z" +#5827500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5828000 +1] +1i +1\ +1[ +0>" +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0m +0/" +b10001100110111011100010011101 / +b10001100110111011100010011101 K +b10001100110111011100010011101 e +b10001100110111011100010011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5828500 +b11011 5 +b11011 L +b11011 W +14 +b100 7 +b100 N +b100 V +16 +b11011 ' +b11011 D +1) +b100 & +b100 C +1( +1. +0% +#5829000 +0i +b1000100010110110110110111000000 { +b1000100010110110110110111000000 A" +0s +b10110000110110101111001011111011 | +b10110000110110101111001011111011 B" +0h +0g +19" +1;" +16" +17" +b100 0" +1o +0k +18" +1l +b11011 -" +1t +1u +1n +1m +1/" +1," +b11011 ~ +b11011 )" +b11011 ." +1$" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5829500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +b0 & +b0 C +0( +1. +0% +#5830000 +1k +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1;" +b101111000000010100101100001101 { +b101111000000010100101100001101 A" +09" +06" +07" +b11100 0" +08" +0l +0o +b11111 -" +0t +0u +0," +b1000100010110110110110111000000 / +b1000100010110110110110111000000 K +b1000100010110110110110111000000 e +b1000100010110110110110111000000 "" +b10110000110110101111001011111011 0 +b10110000110110101111001011111011 M +b10110000110110101111001011111011 f +b10110000110110101111001011111011 !" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5830500 +b1111 5 +b1111 L +b1111 W +b10011 7 +b10011 N +b10011 V +16 +b1111 ' +b1111 D +b10011 & +b10011 C +1( +1. +0% +#5831000 +0i +b10001100110111011100010011101 { +b10001100110111011100010011101 A" +b10110000111000100011001100011111 | +b10110000111000100011001100011111 B" +19" +1:" +0r +0q +17" +b10000 0" +0j +0o +1p +b1100 -" +0u +14" +1v +1x +1w +1," +b1111 ~ +b1111 )" +b1111 ." +b10011 } +b10011 (" +b10011 +" +1#" +b101111000000010100101100001101 / +b101111000000010100101100001101 K +b101111000000010100101100001101 e +b101111000000010100101100001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5831500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101101111100011001111111111011 2 +b10101101111100011001111111111011 I +b10101101111100011001111111111011 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101101111100011001111111111011 , +b10101101111100011001111111111011 H +b1010 + +b1010 G +1- +1. +0% +#5832000 +0] +1i +0\ +1=" +1@" +1h +1g +09" +0:" +0;" +1r +1q +07" +b10101 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0n +0m +0/" +0x +0w +0," +b10001100110111011100010011101 / +b10001100110111011100010011101 K +b10001100110111011100010011101 e +b10001100110111011100010011101 "" +b10110000111000100011001100011111 0 +b10110000111000100011001100011111 M +b10110000111000100011001100011111 f +b10110000111000100011001100011111 !" +b10101101111100011001111111111011 &" +b10101101111100011001111111111011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5832010 +b10101101111100011001111111111011 M" +#5832500 +14 +b11 7 +b11 N +b11 V +16 +b11010110101100011100111001111010 2 +b11010110101100011100111001111010 I +b11010110101100011100111001111010 Z +b1110 3 +b1110 J +b1110 Y +1) +b11 & +b11 C +1( +b11010110101100011100111001111010 , +b11010110101100011100111001111010 H +b1110 + +b1110 G +1. +0% +#5833000 +0c +b10111111110101000111101100101 { +b10111111110101000111101100101 A" +b101111000000010100101100001101 | +b101111000000010100101100001101 B" +1>" +1;" +0r +0q +17" +b10001 3" +1d +1k +b11100 -" +1u +1/" +1x +1w +1," +b11010110101100011100111001111010 &" +b11010110101100011100111001111010 ?" +b1110 %" +b1110 *" +b1110 1" +1$" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5833010 +b11010110101100011100111001111010 Q" +#5833500 +04 +b0 7 +b0 N +b0 V +06 +b1110011111110110111011011110110 2 +b1110011111110110111011011110110 I +b1110011111110110111011011110110 Z +b111 3 +b111 J +b111 Y +0) +b0 & +b0 C +0( +b1110011111110110111011011110110 , +b1110011111110110111011011110110 H +b111 + +b111 G +1. +0% +#5834000 +1^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +0=" +1>" +0;" +1r +1q +07" +b11000 3" +0d +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0/" +0x +0w +0," +b10111111110101000111101100101 / +b10111111110101000111101100101 K +b10111111110101000111101100101 e +b10111111110101000111101100101 "" +b101111000000010100101100001101 0 +b101111000000010100101100001101 M +b101111000000010100101100001101 f +b101111000000010100101100001101 !" +b1110011111110110111011011110110 &" +b1110011111110110111011011110110 ?" +b111 %" +b111 *" +b111 1" +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5834010 +b1110011111110110111011011110110 J" +#5834500 +b1111001000110111100101011100111 2 +b1111001000110111100101011100111 I +b1111001000110111100101011100111 Z +b11101 3 +b11101 J +b11101 Y +b1111001000110111100101011100111 , +b1111001000110111100101011100111 H +b11101 + +b11101 G +1. +0% +#5835000 +0_ +0^ +1\ +1=" +b10 3" +1<" +1` +1d +0b +b1111001000110111100101011100111 &" +b1111001000110111100101011100111 ?" +b11101 %" +b11101 *" +b11101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5835010 +b1111001000110111100101011100111 `" +#5835500 +b10000 5 +b10000 L +b10000 W +14 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5836000 +1] +b11000011000100111101100011000110 { +b11000011000100111101100011000110 A" +0s +b11000100110001011010011010101100 | +b11000100110001011010011010101100 B" +1[ +0=" +0>" +0@" +1;" +15" +17" +b11111 3" +0d +0<" +0` +b1111 0" +0k +18" +1l +b111 -" +1y +0u +14" +1v +0a +02" +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#5836500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5837000 +1s +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +08" +0l +b11111 -" +0y +04" +0v +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000011000100111101100011000110 / +b11000011000100111101100011000110 K +b11000011000100111101100011000110 e +b11000011000100111101100011000110 "" +b11000100110001011010011010101100 0 +b11000100110001011010011010101100 M +b11000100110001011010011010101100 f +b11000100110001011010011010101100 !" +0. +1% +#5837500 +b1111100110100011011100000101101 2 +b1111100110100011011100000101101 I +b1111100110100011011100000101101 Z +b11100 3 +b11100 J +b11100 Y +11 +b1111100110100011011100000101101 , +b1111100110100011011100000101101 H +b11100 + +b11100 G +1- +1. +0% +#5838000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111100110100011011100000101101 &" +b1111100110100011011100000101101 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#5838010 +b1111100110100011011100000101101 _" +#5838500 +b10101 5 +b10101 L +b10101 W +14 +b11011 7 +b11011 N +b11011 V +16 +b10001100111100001110000101110001 2 +b10001100111100001110000101110001 I +b10001100111100001110000101110001 Z +b1100 3 +b1100 J +b1100 Y +b10101 ' +b10101 D +1) +b11011 & +b11011 C +1( +b10001100111100001110000101110001 , +b10001100111100001110000101110001 H +b1100 + +b1100 G +1. +0% +#5839000 +1_ +0i +b1001011110111011110111011010100 { +b1001011110111011110111011010100 A" +0s +b1000100010110110110110111000000 | +b1000100010110110110110111000000 B" +1@" +0g +1:" +1;" +0r +0q +15" +17" +b10011 3" +0<" +0` +b1010 0" +1j +0k +18" +1l +b100 -" +1y +0u +14" +1v +1m +1/" +1x +1w +1," +b10001100111100001110000101110001 &" +b10001100111100001110000101110001 ?" +b1100 %" +b1100 *" +b1100 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#5839010 +b10001100111100001110000101110001 O" +#5839500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1110111000011011100111110100101 2 +b1110111000011011100111110100101 I +b1110111000011011100111110100101 Z +b1101 3 +b1101 J +b1101 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1110111000011011100111110100101 , +b1110111000011011100111110100101 H +b1101 + +b1101 G +1. +0% +#5840000 +1i +1s +0[ +1g +0:" +0;" +1r +1q +05" +07" +b10010 3" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0m +0/" +0x +0w +0," +b1001011110111011110111011010100 / +b1001011110111011110111011010100 K +b1001011110111011110111011010100 e +b1001011110111011110111011010100 "" +b1000100010110110110110111000000 0 +b1000100010110110110110111000000 M +b1000100010110110110110111000000 f +b1000100010110110110110111000000 !" +b1110111000011011100111110100101 &" +b1110111000011011100111110100101 ?" +b1101 %" +b1101 *" +b1101 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5840010 +b1110111000011011100111110100101 P" +#5840500 +b1110 5 +b1110 L +b1110 W +14 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5841000 +1] +0i +b11010110101100011100111001111010 { +b11010110101100011100111001111010 A" +b10101110001011111010100011000000 | +b10101110001011111010100011000000 B" +1[ +0=" +0>" +0@" +0h +19" +1:" +1;" +0q +17" +b11111 3" +0d +0_ +b10001 0" +0j +0o +1p +1k +b1110 -" +0u +14" +1v +0a +02" +1n +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5841500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +b0 & +b0 C +0( +1. +0% +#5842000 +1o +1h +0g +19" +0:" +1q +07" +b10110 0" +0p +b1101001011100111001011100100011 { +b1101001011100111001011100100011 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +1m +0w +0," +b11010110101100011100111001111010 / +b11010110101100011100111001111010 K +b11010110101100011100111001111010 e +b11010110101100011100111001111010 "" +b10101110001011111010100011000000 0 +b10101110001011111010100011000000 M +b10101110001011111010100011000000 f +b10101110001011111010100011000000 !" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5842500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +1. +0% +#5843000 +1i +0s +b1101001011100111001011100100011 | +b1101001011100111001011100100011 B" +1g +09" +0;" +0q +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +1y +1u +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b1101001011100111001011100100011 / +b1101001011100111001011100100011 K +b1101001011100111001011100100011 e +b1101001011100111001011100100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5843500 +b10000 5 +b10000 L +b10000 W +14 +b11001 7 +b11001 N +b11001 V +b10000 ' +b10000 D +1) +b11001 & +b11001 C +1. +0% +#5844000 +b11000011000100111101100011000110 { +b11000011000100111101100011000110 A" +0u +1;" +b110000000110101110110100101010 | +b110000000110101110110100101010 B" +b1111 0" +0k +18" +1l +b110 -" +14" +1v +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101001011100111001011100100011 0 +b1101001011100111001011100100011 M +b1101001011100111001011100100011 f +b1101001011100111001011100100011 !" +b10000 ~ +b10000 )" +b10000 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#5844500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10011101110011100000011101 2 +b10011101110011100000011101 I +b10011101110011100000011101 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10011101110011100000011101 , +b10011101110011100000011101 H +b10110 + +b10110 G +1- +1. +0% +#5845000 +0] +1s +0\ +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0/" +0w +0," +b10011101110011100000011101 &" +b10011101110011100000011101 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000011000100111101100011000110 / +b11000011000100111101100011000110 K +b11000011000100111101100011000110 e +b11000011000100111101100011000110 "" +b110000000110101110110100101010 0 +b110000000110101110110100101010 M +b110000000110101110110100101010 f +b110000000110101110110100101010 !" +0. +1% +#5845010 +b10011101110011100000011101 Y" +#5845500 +b10000 5 +b10000 L +b10000 W +14 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5846000 +1] +b11000011000100111101100011000110 { +b11000011000100111101100011000110 A" +0s +b1111001000110111100101011100111 | +b1111001000110111100101011100111 B" +1\ +0>" +0@" +1;" +0q +15" +16" +17" +b11111 3" +0^ +0<" +0` +b1111 0" +0k +18" +1l +b10 -" +0t +0y +1z +0u +14" +1v +0b +02" +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#5846500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b0 ' +b0 D +0) +b11111 & +b11111 C +1. +0% +#5847000 +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +b11111 0" +08" +0l +b0 -" +b10010011010011001111110010011010 | +b10010011010011001111110010011010 B" +0/" +1x +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +b11000011000100111101100011000110 / +b11000011000100111101100011000110 K +b11000011000100111101100011000110 e +b11000011000100111101100011000110 "" +b1111001000110111100101011100111 0 +b1111001000110111100101011100111 M +b1111001000110111100101011100111 f +b1111001000110111100101011100111 !" +0. +1% +#5847500 +b0 7 +b0 N +b0 V +06 +b10101110001011101001101011011000 2 +b10101110001011101001101011011000 I +b10101110001011101001101011011000 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 & +b0 C +0( +b10101110001011101001101011011000 , +b10101110001011101001101011011000 H +b10101 + +b10101 G +1- +1. +0% +#5848000 +0] +1s +0[ +1>" +1@" +1r +1q +05" +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010011010011001111110010011010 0 +b10010011010011001111110010011010 M +b10010011010011001111110010011010 f +b10010011010011001111110010011010 !" +b10101110001011101001101011011000 &" +b10101110001011101001101011011000 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5848010 +b10101110001011101001101011011000 X" +#5848500 +b11100 5 +b11100 L +b11100 W +14 +b110000110011010010111111101100 2 +b110000110011010010111111101100 I +b110000110011010010111111101100 Z +b11001 3 +b11001 J +b11001 Y +b11100 ' +b11100 D +1) +b110000110011010010111111101100 , +b110000110011010010111111101100 H +b11001 + +b11001 G +1. +0% +#5849000 +1=" +1c +0i +b1111100110100011011100000101101 { +b1111100110100011011100000101101 A" +0>" +19" +1:" +1;" +b110 3" +0^ +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b110000110011010010111111101100 &" +b110000110011010010111111101100 ?" +b11001 %" +b11001 *" +b11001 1" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5849010 +b110000110011010010111111101100 \" +#5849500 +b0 5 +b0 L +b0 W +04 +b11100000010010010111100110111001 2 +b11100000010010010111100110111001 I +b11100000010010010111100110111001 Z +b1001 3 +b1001 J +b1001 Y +b0 ' +b0 D +0) +b11100000010010010111100110111001 , +b11100000010010010111100110111001 H +b1001 + +b1001 G +1. +0% +#5850000 +1_ +1i +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10110 3" +0<" +0` +b11111 0" +0p +08" +0l +0/" +b1111100110100011011100000101101 / +b1111100110100011011100000101101 K +b1111100110100011011100000101101 e +b1111100110100011011100000101101 "" +b11100000010010010111100110111001 &" +b11100000010010010111100110111001 ?" +b1001 %" +b1001 *" +b1001 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5850010 +b11100000010010010111100110111001 L" +#5850500 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5851000 +1] +0s +b10001100110111011100010011101 | +b10001100110111011100010011101 B" +1[ +0=" +0@" +0r +0q +15" +16" +17" +b11111 3" +0c +0_ +b10000 -" +0t +0y +1z +1u +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5851500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5852000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b10001100110111011100010011101 0 +b10001100110111011100010011101 M +b10001100110111011100010011101 f +b10001100110111011100010011101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5852500 +b1101100011011110000101111101111 2 +b1101100011011110000101111101111 I +b1101100011011110000101111101111 Z +b100 3 +b100 J +b100 Y +11 +b1101100011011110000101111101111 , +b1101100011011110000101111101111 H +b100 + +b100 G +1- +1. +0% +#5853000 +0] +1>" +1@" +b11011 3" +1^ +1_ +12" +b1101100011011110000101111101111 &" +b1101100011011110000101111101111 ?" +b100 %" +b100 *" +b100 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5853010 +b1101100011011110000101111101111 G" +#5853500 +b11110 7 +b11110 N +b11110 V +16 +b1110101111001010010011011101 2 +b1110101111001010010011011101 I +b1110101111001010010011011101 Z +b10 3 +b10 J +b10 Y +b11110 & +b11110 C +1( +b1110101111001010010011011101 , +b1110101111001010010011011101 H +b10 + +b10 G +1. +0% +#5854000 +1] +0s +b11111110010000010001000000000 | +b11111110010000010001000000000 B" +0\ +0>" +0r +15" +16" +17" +b11101 3" +0^ +b1 -" +0t +0y +1z +0u +14" +1v +1b +1x +1," +b1110101111001010010011011101 &" +b1110101111001010010011011101 ?" +b10 %" +b10 *" +b10 1" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#5854010 +b1110101111001010010011011101 E" +#5854500 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5855000 +1u +1s +1\ +0@" +1r +0q +17" +05" +06" +b11111 3" +0_ +b11110 -" +04" +0v +0z +b11100111000000011011100011100001 | +b11100111000000011011100011100001 B" +0b +02" +0x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +b11111110010000010001000000000 0 +b11111110010000010001000000000 M +b11111110010000010001000000000 f +b11111110010000010001000000000 !" +0. +1% +#5855500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5856000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b11100111000000011011100011100001 0 +b11100111000000011011100011100001 M +b11100111000000011011100011100001 f +b11100111000000011011100011100001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5856500 +b1011 5 +b1011 L +b1011 W +14 +b10110 7 +b10110 N +b10110 V +16 +b1011 ' +b1011 D +1) +b10110 & +b10110 C +1( +1. +0% +#5857000 +0i +b1001011111000000001110101011100 { +b1001011111000000001110101011100 A" +0s +b10011101110011100000011101 | +b10011101110011100000011101 B" +0h +0g +19" +1;" +0r +16" +17" +b10100 0" +1o +1k +b1001 -" +1t +0u +14" +1v +1n +1m +1/" +1x +1," +b1011 ~ +b1011 )" +b1011 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5857500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b10011001011011110011100111110011 2 +b10011001011011110011100111110011 I +b10011001011011110011100111110011 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b10010 & +b10010 C +b10011001011011110011100111110011 , +b10011001011011110011100111110011 H +b100 + +b100 G +1- +1. +0% +#5858000 +0] +1i +1s +b10011000110011010101010100000000 | +b10011000110011010101010100000000 B" +1>" +1@" +1h +1g +09" +0;" +06" +b11011 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +0t +12" +0n +0m +0/" +b1001011111000000001110101011100 / +b1001011111000000001110101011100 K +b1001011111000000001110101011100 e +b1001011111000000001110101011100 "" +b10011101110011100000011101 0 +b10011101110011100000011101 M +b10011101110011100000011101 f +b10011101110011100000011101 !" +b10011001011011110011100111110011 &" +b10011001011011110011100111110011 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#5858010 +b10011001011011110011100111110011 G" +#5858500 +b0 7 +b0 N +b0 V +06 +b11100011000001101100100000011111 2 +b11100011000001101100100000011111 I +b11100011000001101100100000011111 Z +b10101 3 +b10101 J +b10101 Y +b0 & +b0 C +0( +b11100011000001101100100000011111 , +b11100011000001101100100000011111 H +b10101 + +b10101 G +1. +0% +#5859000 +0_ +0[ +1r +07" +b1010 3" +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0x +0," +b11100011000001101100100000011111 &" +b11100011000001101100100000011111 ?" +b10101 %" +b10101 *" +b10101 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011000110011010101010100000000 0 +b10011000110011010101010100000000 M +b10011000110011010101010100000000 f +b10011000110011010101010100000000 !" +0. +1% +#5859010 +b11100011000001101100100000011111 X" +#5859500 +b1110001001110100100011110101100 2 +b1110001001110100100011110101100 I +b1110001001110100100011110101100 Z +b11111 3 +b11111 J +b11111 Y +b1110001001110100100011110101100 , +b1110001001110100100011110101100 H +b11111 + +b11111 G +1. +0% +#5860000 +0^ +0\ +1=" +b0 3" +1d +1b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110001001110100100011110101100 &" +b1110001001110100100011110101100 ?" +b11111 %" +b11111 *" +b11111 1" +0. +1% +#5860500 +b11010 5 +b11010 L +b11010 W +14 +b1010000111110011010011101001010 2 +b1010000111110011010011101001010 I +b1010000111110011010011101001010 Z +b1000 3 +b1000 J +b1000 Y +b11010 ' +b11010 D +1) +b1010000111110011010011101001010 , +b1010000111110011010011101001010 H +b1000 + +b1000 G +1. +0% +#5861000 +1_ +1c +0i +b10011110011111100100001110101111 { +b10011110011111100100001110101111 A" +1\ +1[ +1@" +1=" +0>" +0h +19" +1;" +b10111 3" +0<" +0` +0d +b101 0" +1o +0k +18" +1l +0b +0a +1n +1/" +b1010000111110011010011101001010 &" +b1010000111110011010011101001010 ?" +b1000 %" +b1000 *" +b1000 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#5861010 +b1010000111110011010011101001010 K" +#5861500 +b1011 5 +b1011 L +b1011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5862000 +1] +1k +0=" +0@" +0g +1;" +b11111 3" +0c +0_ +b10100 0" +08" +0l +b1001011111000000001110101011100 { +b1001011111000000001110101011100 A" +02" +1m +b10011110011111100100001110101111 / +b10011110011111100100001110101111 K +b10011110011111100100001110101111 e +b10011110011111100100001110101111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#5862500 +b1001 7 +b1001 N +b1001 V +16 +b11111101100111011111011110101000 2 +b11111101100111011111011110101000 I +b11111101100111011111011110101000 Z +b1 3 +b1 J +b1 Y +11 +b1001 & +b1001 C +1( +b11111101100111011111011110101000 , +b11111101100111011111011110101000 H +b1 + +b1 G +1- +1. +0% +#5863000 +0s +b11100000010010010111100110111001 | +b11100000010010010111100110111001 B" +0[ +1@" +0q +15" +17" +b11110 3" +1_ +b10110 -" +1y +1u +1a +12" +1w +1," +b11111101100111011111011110101000 &" +b11111101100111011111011110101000 ?" +b1 %" +b1 *" +b1 1" +1'" +b1001 } +b1001 (" +b1001 +" +1#" +b1001011111000000001110101011100 / +b1001011111000000001110101011100 K +b1001011111000000001110101011100 e +b1001011111000000001110101011100 "" +0. +1% +#5863010 +b11111101100111011111011110101000 D" +#5863500 +b10100 5 +b10100 L +b10100 W +b0 7 +b0 N +b0 V +06 +b10110100111111001110111101101101 2 +b10110100111111001110111101101101 I +b10110100111111001110111101101101 Z +b1110 3 +b1110 J +b1110 Y +b10100 ' +b10100 D +b0 & +b0 C +0( +b10110100111111001110111101101101 , +b10110100111111001110111101101101 H +b1110 + +b1110 G +1. +0% +#5864000 +1:" +0] +0k +1j +1s +0\ +1[ +1=" +1>" +1h +1g +09" +1q +05" +07" +b10001 3" +0^ +0c +1d +b1011 0" +18" +1l +0o +b10111000111001101101110001111100 { +b10111000111001101101110001111100 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0a +0n +0m +0w +0," +b11100000010010010111100110111001 0 +b11100000010010010111100110111001 M +b11100000010010010111100110111001 f +b11100000010010010111100110111001 !" +b10110100111111001110111101101101 &" +b10110100111111001110111101101101 ?" +b1110 %" +b1110 *" +b1110 1" +b10100 ~ +b10100 )" +b10100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5864010 +b10110100111111001110111101101101 Q" +#5864500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b10111011000011011110010001110011 2 +b10111011000011011110010001110011 I +b10111011000011011110010001110011 Z +b10010 3 +b10010 J +b10010 Y +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b10111011000011011110010001110011 , +b10111011000011011110010001110011 H +b10010 + +b10010 G +1. +0% +#5865000 +0_ +1] +1i +0s +b110000110011010010111111101100 | +b110000110011010010111111101100 B" +0=" +0>" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +15" +17" +b1101 3" +1<" +1` +0d +b11111 0" +0j +08" +0l +b110 -" +1y +0u +14" +1v +0/" +1w +1," +b10111011000011011110010001110011 &" +b10111011000011011110010001110011 ?" +b10010 %" +b10010 *" +b10010 1" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +b10111000111001101101110001111100 / +b10111000111001101101110001111100 K +b10111000111001101101110001111100 e +b10111000111001101101110001111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5865010 +b10111011000011011110010001110011 U" +#5865500 +b0 7 +b0 N +b0 V +06 +b11010101010010101001011101011100 2 +b11010101010010101001011101011100 I +b11010101010010101001011101011100 Z +b11111 3 +b11111 J +b11111 Y +b0 & +b0 C +0( +b11010101010010101001011101011100 , +b11010101010010101001011101011100 H +b11111 + +b11111 G +1. +0% +#5866000 +0] +1s +0[ +1=" +1>" +1q +05" +07" +b0 3" +0^ +0c +1d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110000110011010010111111101100 0 +b110000110011010010111111101100 M +b110000110011010010111111101100 f +b110000110011010010111111101100 !" +b11010101010010101001011101011100 &" +b11010101010010101001011101011100 ?" +b11111 %" +b11111 *" +b11111 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5866500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5867000 +1] +b11000011000100111101100011000110 | +b11000011000100111101100011000110 B" +1\ +1[ +0=" +0>" +0@" +17" +b11111 3" +0d +0<" +0` +b1111 -" +0u +14" +1v +0b +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5867500 +b10000 5 +b10000 L +b10000 W +14 +b10111 7 +b10111 N +b10111 V +b100101100100110000010001001 2 +b100101100100110000010001001 I +b100101100100110000010001001 Z +b11011 3 +b11011 J +b11011 Y +11 +b10000 ' +b10000 D +1) +b10111 & +b10111 C +b100101100100110000010001001 , +b100101100100110000010001001 H +b11011 + +b11011 G +1- +1. +0% +#5868000 +0] +b11000011000100111101100011000110 { +b11000011000100111101100011000110 A" +0s +0\ +0[ +1=" +1@" +1;" +0r +0q +16" +b100 3" +1c +0_ +1<" +1` +b1111 0" +0k +18" +1l +b1000 -" +1t +b11100100100000010010001110000000 | +b11100100100000010010001110000000 B" +1b +1a +12" +1/" +1x +1w +b11000011000100111101100011000110 0 +b11000011000100111101100011000110 M +b11000011000100111101100011000110 f +b11000011000100111101100011000110 !" +b100101100100110000010001001 &" +b100101100100110000010001001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b10111 } +b10111 (" +b10111 +" +0. +1% +#5868010 +b100101100100110000010001001 ^" +#5868500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101100111010010101100100110011 2 +b10101100111010010101100100110011 I +b10101100111010010101100100110011 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101100111010010101100100110011 , +b10101100111010010101100100110011 H +b1 + +b1 G +1. +0% +#5869000 +1_ +1] +1s +1\ +1@" +0=" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +06" +07" +b11110 3" +0<" +0` +0c +b11111 0" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0/" +0x +0w +0," +b10101100111010010101100100110011 &" +b10101100111010010101100100110011 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000011000100111101100011000110 / +b11000011000100111101100011000110 K +b11000011000100111101100011000110 e +b11000011000100111101100011000110 "" +b11100100100000010010001110000000 0 +b11100100100000010010001110000000 M +b11100100100000010010001110000000 f +b11100100100000010010001110000000 !" +0. +1% +#5869010 +b10101100111010010101100100110011 D" +#5869500 +b1 5 +b1 L +b1 W +14 +b10101111110011101100000011001100 2 +b10101111110011101100000011001100 I +b10101111110011101100000011001100 Z +b1110 3 +b1110 J +b1110 Y +b1 ' +b1 D +1) +b10101111110011101100000011001100 , +b10101111110011101100000011001100 H +b1110 + +b1110 G +1. +0% +#5870000 +0] +b10101100111010010101100100110011 { +b10101100111010010101100100110011 A" +0\ +1[ +1=" +1>" +0g +1;" +b10001 3" +0^ +0c +1d +b11110 0" +1k +1b +0a +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101111110011101100000011001100 &" +b10101111110011101100000011001100 ?" +b1110 %" +b1110 *" +b1110 1" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#5870010 +b10101111110011101100000011001100 Q" +#5870500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5871000 +1] +1\ +0=" +0>" +0@" +1g +0;" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101100111010010101100100110011 / +b10101100111010010101100100110011 K +b10101100111010010101100100110011 e +b10101100111010010101100100110011 "" +0. +1% +#5871500 +b1 5 +b1 L +b1 W +14 +b10011101110010110111110100010010 2 +b10011101110010110111110100010010 I +b10011101110010110111110100010010 Z +b11111 3 +b11111 J +b11111 Y +11 +b1 ' +b1 D +1) +b10011101110010110111110100010010 , +b10011101110010110111110100010010 H +b11111 + +b11111 G +1- +1. +0% +#5872000 +0] +b10101100111010010101100100110011 { +b10101100111010010101100100110011 A" +0\ +0[ +1=" +1>" +1@" +0g +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11110 0" +1k +1b +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011101110010110111110100010010 &" +b10011101110010110111110100010010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#5872500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5873000 +1] +1\ +1[ +0=" +0>" +0@" +1g +0;" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101100111010010101100100110011 / +b10101100111010010101100100110011 K +b10101100111010010101100100110011 e +b10101100111010010101100100110011 "" +0. +1% +#5873500 +b1100 7 +b1100 N +b1100 V +16 +b1101001111111101100111110110110 2 +b1101001111111101100111110110110 I +b1101001111111101100111110110110 Z +b10011 3 +b10011 J +b10011 Y +11 +b1100 & +b1100 C +1( +b1101001111111101100111110110110 , +b1101001111111101100111110110110 H +b10011 + +b10011 G +1- +1. +0% +#5874000 +0s +b10001100111100001110000101110001 | +b10001100111100001110000101110001 B" +0\ +0[ +1@" +15" +16" +17" +b1100 3" +0_ +1<" +1` +b10011 -" +0t +0y +1z +1u +1b +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101001111111101100111110110110 &" +b1101001111111101100111110110110 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#5874010 +b1101001111111101100111110110110 V" +#5874500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b11111000011111011000101000111101 2 +b11111000011111011000101000111101 I +b11111000011111011000101000111101 Z +b1111 3 +b1111 J +b1111 Y +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b11111000011111011000101000111101 , +b11111000011111011000101000111101 H +b1111 + +b1111 G +1. +0% +#5875000 +1_ +0] +b10111011000011011110010001110011 { +b10111011000011011110010001110011 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +1=" +1>" +0h +1;" +05" +06" +07" +b10000 3" +0<" +0` +0^ +0c +1d +b1101 0" +0k +18" +1l +b11111 -" +0z +0u +1n +1/" +0," +b11111000011111011000101000111101 &" +b11111000011111011000101000111101 ?" +b1111 %" +b1111 *" +b1111 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10001100111100001110000101110001 0 +b10001100111100001110000101110001 M +b10001100111100001110000101110001 f +b10001100111100001110000101110001 !" +0. +1% +#5875010 +b11111000011111011000101000111101 R" +#5875500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b10011011001010010010011111000111 2 +b10011011001010010010011111000111 I +b10011011001010010010011111000111 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b10011011001010010010011111000111 , +b10011011001010010010011111000111 H +b11111 + +b11111 G +1. +0% +#5876000 +0_ +0s +b10011101110011100000011101 | +b10011101110011100000011101 B" +1h +0;" +0r +16" +17" +b0 3" +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1001 -" +1t +0u +14" +1v +0n +0/" +1x +1," +b10111011000011011110010001110011 / +b10111011000011011110010001110011 K +b10111011000011011110010001110011 e +b10111011000011011110010001110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011011001010010010011111000111 &" +b10011011001010010010011111000111 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#5876500 +b10 5 +b10 L +b10 W +14 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b11100 & +b11100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5877000 +1] +b1110101111001010010011011101 { +b1110101111001010010011011101 A" +0t +1\ +1[ +0=" +0>" +0@" +0h +1;" +1r +15" +b11111 3" +0d +0<" +0` +b11101 0" +1k +b11 -" +1z +b1111100110100011011100000101101 | +b1111100110100011011100000101101 B" +0b +0a +02" +1n +1/" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b11100 } +b11100 (" +b11100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011101110011100000011101 0 +b10011101110011100000011101 M +b10011101110011100000011101 f +b10011101110011100000011101 !" +0. +1% +#5877500 +b11100 5 +b11100 L +b11100 W +b0 7 +b0 N +b0 V +06 +b11101000101011001111110010101111 2 +b11101000101011001111110010101111 I +b11101000101011001111110010101111 Z +b10011 3 +b10011 J +b10011 Y +11 +b11100 ' +b11100 D +b0 & +b0 C +0( +b11101000101011001111110010101111 , +b11101000101011001111110010101111 H +b10011 + +b10011 G +1- +1. +0% +#5878000 +0k +0i +1s +0\ +0[ +1@" +1h +19" +1:" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1100 3" +0_ +1<" +1` +b11 0" +18" +1l +0j +0o +1p +b1111100110100011011100000101101 { +b1111100110100011011100000101101 A" +b11111 -" +0z +04" +0v +1b +1a +12" +0n +0," +b1110101111001010010011011101 / +b1110101111001010010011011101 K +b1110101111001010010011011101 e +b1110101111001010010011011101 "" +b1111100110100011011100000101101 0 +b1111100110100011011100000101101 M +b1111100110100011011100000101101 f +b1111100110100011011100000101101 !" +b11101000101011001111110010101111 &" +b11101000101011001111110010101111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5878010 +b11101000101011001111110010101111 V" +#5878500 +b1 5 +b1 L +b1 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5879000 +1k +1i +1\ +1[ +0@" +0g +1;" +09" +0:" +b11111 3" +0<" +0` +b11110 0" +08" +0l +0p +b10101100111010010101100100110011 { +b10101100111010010101100100110011 A" +0b +0a +02" +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +b1111100110100011011100000101101 / +b1111100110100011011100000101101 K +b1111100110100011011100000101101 e +b1111100110100011011100000101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5879500 +b111 5 +b111 L +b111 W +b111 ' +b111 D +1. +0% +#5880000 +0i +0h +1:" +b11000 0" +1j +b1110011111110110111011011110110 { +b1110011111110110111011011110110 A" +1n +b10101100111010010101100100110011 / +b10101100111010010101100100110011 K +b10101100111010010101100100110011 e +b10101100111010010101100100110011 "" +b111 ~ +b111 )" +b111 ." +0. +1% +#5880500 +b10011 5 +b10011 L +b10011 W +b1000101101110111100001111110101 2 +b1000101101110111100001111110101 I +b1000101101110111100001111110101 Z +b110 3 +b110 J +b110 Y +11 +b10011 ' +b10011 D +b1000101101110111100001111110101 , +b1000101101110111100001111110101 H +b110 + +b110 G +1- +1. +0% +#5881000 +0] +0k +1i +0\ +1>" +1@" +b11101000101011001111110010101111 { +b11101000101011001111110010101111 A" +0:" +b11001 3" +1^ +1_ +b1100 0" +18" +1l +0j +1b +12" +b1000101101110111100001111110101 &" +b1000101101110111100001111110101 ?" +b110 %" +b110 *" +b110 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +b1110011111110110111011011110110 / +b1110011111110110111011011110110 K +b1110011111110110111011011110110 e +b1110011111110110111011011110110 "" +0. +1% +#5881010 +b1000101101110111100001111110101 I" +#5881500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5882000 +1] +0s +b1111100110100011011100000101101 | +b1111100110100011011100000101101 B" +1\ +0>" +0@" +1h +1g +0;" +15" +16" +17" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +0t +0y +1z +0u +14" +1v +0b +02" +0n +0m +0/" +1," +b11101000101011001111110010101111 / +b11101000101011001111110010101111 K +b11101000101011001111110010101111 e +b11101000101011001111110010101111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#5882500 +b1000 5 +b1000 L +b1000 W +14 +b10111 7 +b10111 N +b10111 V +b1000 ' +b1000 D +1) +b10111 & +b10111 C +1. +0% +#5883000 +0i +b1010000111110011010011101001010 { +b1010000111110011010011101001010 A" +1t +19" +1;" +0r +0q +05" +16" +b10111 0" +1o +1k +b1000 -" +0z +b11100100100000010010001110000000 | +b11100100100000010010001110000000 B" +1/" +1x +1w +b1000 ~ +b1000 )" +b1000 ." +1$" +b10111 } +b10111 (" +b10111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111100110100011011100000101101 0 +b1111100110100011011100000101101 M +b1111100110100011011100000101101 f +b1111100110100011011100000101101 !" +0. +1% +#5883500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5884000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0;" +1r +1q +06" +07" +b11111 0" +0o +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0w +0," +b1010000111110011010011101001010 / +b1010000111110011010011101001010 K +b1010000111110011010011101001010 e +b1010000111110011010011101001010 "" +b11100100100000010010001110000000 0 +b11100100100000010010001110000000 M +b11100100100000010010001110000000 f +b11100100100000010010001110000000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5884500 +1. +0% +#5885000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5885500 +b10011 5 +b10011 L +b10011 W +14 +b11011 7 +b11011 N +b11011 V +16 +b10011 ' +b10011 D +1) +b11011 & +b11011 C +1( +1. +0% +#5886000 +b11101000101011001111110010101111 { +b11101000101011001111110010101111 A" +0s +b100101100100110000010001001 | +b100101100100110000010001001 B" +0h +0g +1;" +0r +0q +15" +17" +b1100 0" +0k +18" +1l +b100 -" +1y +0u +14" +1v +1n +1m +1/" +1x +1w +1," +b10011 ~ +b10011 )" +b10011 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#5886500 +b10001 5 +b10001 L +b10001 W +b10 7 +b10 N +b10 V +b10001 ' +b10001 D +b10 & +b10 C +1. +0% +#5887000 +1u +1s +1h +1q +17" +05" +b1110 0" +b10101110001011111010100011000000 { +b10101110001011111010100011000000 A" +b11101 -" +04" +0v +0y +b1110101111001010010011011101 | +b1110101111001010010011011101 B" +0n +0w +b10001 ~ +b10001 )" +b10001 ." +b10 } +b10 (" +b10 +" +b11101000101011001111110010101111 / +b11101000101011001111110010101111 K +b11101000101011001111110010101111 e +b11101000101011001111110010101111 "" +b100101100100110000010001001 0 +b100101100100110000010001001 M +b100101100100110000010001001 f +b100101100100110000010001001 !" +0. +1% +#5887500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001100101000011010100010000011 2 +b1001100101000011010100010000011 I +b1001100101000011010100010000011 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001100101000011010100010000011 , +b1001100101000011010100010000011 H +b10010 + +b10010 G +1- +1. +0% +#5888000 +0\ +1@" +1g +0;" +1r +07" +b1101 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0m +0/" +0x +0," +b10101110001011111010100011000000 / +b10101110001011111010100011000000 K +b10101110001011111010100011000000 e +b10101110001011111010100011000000 "" +b1110101111001010010011011101 0 +b1110101111001010010011011101 M +b1110101111001010010011011101 f +b1110101111001010010011011101 !" +b1001100101000011010100010000011 &" +b1001100101000011010100010000011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5888010 +b1001100101000011010100010000011 U" +#5888500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5889000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5889500 +b11101 5 +b11101 L +b11101 W +14 +b110011000110001100010011011110 2 +b110011000110001100010011011110 I +b110011000110001100010011011110 Z +b1000 3 +b1000 J +b1000 Y +11 +b11101 ' +b11101 D +1) +b110011000110001100010011011110 , +b110011000110001100010011011110 H +b1000 + +b1000 G +1- +1. +0% +#5890000 +0] +0i +b1111001000110111100101011100111 { +b1111001000110111100101011100111 A" +1=" +1@" +0g +19" +1:" +1;" +b10111 3" +1c +1_ +b10 0" +0j +0o +1p +0k +18" +1l +12" +1m +1/" +b110011000110001100010011011110 &" +b110011000110001100010011011110 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#5890010 +b110011000110001100010011011110 K" +#5890500 +b1101 5 +b1101 L +b1101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5891000 +1] +1k +0=" +0@" +1;" +b1110111000011011100111110100101 { +b1110111000011011100111110100101 A" +b11111 3" +0c +0_ +b10010 0" +08" +0l +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +b1111001000110111100101011100111 / +b1111001000110111100101011100111 K +b1111001000110111100101011100111 e +b1111001000110111100101011100111 "" +0. +1% +#5891500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5892000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b1110111000011011100111110100101 / +b1110111000011011100111110100101 K +b1110111000011011100111110100101 e +b1110111000011011100111110100101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5892500 +1. +0% +#5893000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5893500 +b1 5 +b1 L +b1 W +14 +b1 ' +b1 D +1) +1. +0% +#5894000 +b10101100111010010101100100110011 { +b10101100111010010101100100110011 A" +0g +1;" +b11110 0" +1k +1m +1/" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#5894500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#5895000 +0s +b110011000110001100010011011110 | +b110011000110001100010011011110 B" +1g +0;" +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +0m +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b10101100111010010101100100110011 / +b10101100111010010101100100110011 K +b10101100111010010101100100110011 e +b10101100111010010101100100110011 "" +0. +1% +#5895500 +b10100 5 +b10100 L +b10100 W +14 +b1101 7 +b1101 N +b1101 V +b10100 ' +b10100 D +1) +b1101 & +b1101 C +1. +0% +#5896000 +0i +b10111000111001101101110001111100 { +b10111000111001101101110001111100 A" +0y +1:" +1;" +0q +16" +b1011 0" +1j +0k +18" +1l +b10010 -" +1z +b1110111000011011100111110100101 | +b1110111000011011100111110100101 B" +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110011000110001100010011011110 0 +b110011000110001100010011011110 M +b110011000110001100010011011110 f +b110011000110001100010011011110 !" +b10100 ~ +b10100 )" +b10100 ." +1$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#5896500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b11011000001110100000011011001011 2 +b11011000001110100000011011001011 I +b11011000001110100000011011001011 Z +b1110 3 +b1110 J +b1110 Y +11 +b1000 ' +b1000 D +b0 & +b0 C +0( +b11011000001110100000011011001011 , +b11011000001110100000011011001011 H +b1110 + +b1110 G +1- +1. +0% +#5897000 +19" +0] +1k +1o +1s +0\ +1=" +1>" +1@" +1;" +b110011000110001100010011011110 { +b110011000110001100010011011110 A" +0:" +1q +05" +06" +07" +b10001 3" +0^ +0c +1d +1_ +b10111 0" +08" +0l +0j +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0w +0," +b11011000001110100000011011001011 &" +b11011000001110100000011011001011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +b10111000111001101101110001111100 / +b10111000111001101101110001111100 K +b10111000111001101101110001111100 e +b10111000111001101101110001111100 "" +b1110111000011011100111110100101 0 +b1110111000011011100111110100101 M +b1110111000011011100111110100101 f +b1110111000011011100111110100101 !" +0. +1% +#5897010 +b11011000001110100000011011001011 Q" +#5897500 +b10010 5 +b10010 L +b10010 W +b10100 7 +b10100 N +b10100 V +16 +b10011111000110110001100000111110 2 +b10011111000110110001100000111110 I +b10011111000110110001100000111110 Z +b11100 3 +b11100 J +b11100 Y +b10010 ' +b10010 D +b10100 & +b10100 C +1( +b10011111000110110001100000111110 , +b10011111000110110001100000111110 H +b11100 + +b11100 G +1. +0% +#5898000 +0_ +0k +1i +0s +b10111000111001101101110001111100 | +b10111000111001101101110001111100 B" +1\ +0h +09" +16" +17" +b11 3" +1<" +1` +b1101 0" +18" +1l +0o +b1001100101000011010100010000011 { +b1001100101000011010100010000011 A" +b1011 -" +1t +0u +14" +1v +0b +1n +1," +b110011000110001100010011011110 / +b110011000110001100010011011110 K +b110011000110001100010011011110 e +b110011000110001100010011011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011111000110110001100000111110 &" +b10011111000110110001100000111110 ?" +b11100 %" +b11100 *" +b11100 1" +b10010 ~ +b10010 )" +b10010 ." +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#5898010 +b10011111000110110001100000111110 _" +#5898500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5899000 +1] +0=" +0>" +0@" +1h +0;" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001100101000011010100010000011 / +b1001100101000011010100010000011 K +b1001100101000011010100010000011 e +b1001100101000011010100010000011 "" +b10111000111001101101110001111100 0 +b10111000111001101101110001111100 M +b10111000111001101101110001111100 f +b10111000111001101101110001111100 !" +0. +1% +#5899500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b1101011111111000110110010011010 2 +b1101011111111000110110010011010 I +b1101011111111000110110010011010 Z +b10011 3 +b10011 J +b10011 Y +11 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b1101011111111000110110010011010 , +b1101011111111000110110010011010 H +b10011 + +b10011 G +1- +1. +0% +#5900000 +0i +b10111000111001101101110001111100 { +b10111000111001101101110001111100 A" +1s +0\ +0[ +1@" +1:" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1100 3" +0_ +1<" +1` +b1011 0" +1j +0k +18" +1l +b11111 -" +0t +04" +0v +1b +1a +12" +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101011111111000110110010011010 &" +b1101011111111000110110010011010 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5900010 +b1101011111111000110110010011010 V" +#5900500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5901000 +1i +1\ +1[ +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0<" +0` +b11111 0" +0j +08" +0l +0b +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10111000111001101101110001111100 / +b10111000111001101101110001111100 K +b10111000111001101101110001111100 e +b10111000111001101101110001111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5901500 +b10011 5 +b10011 L +b10011 W +14 +b100100000101010111111111111 2 +b100100000101010111111111111 I +b100100000101010111111111111 Z +b1100 3 +b1100 J +b1100 Y +11 +b10011 ' +b10011 D +1) +b100100000101010111111111111 , +b100100000101010111111111111 H +b1100 + +b1100 G +1- +1. +0% +#5902000 +0] +b1101011111111000110110010011010 { +b1101011111111000110110010011010 A" +1=" +1>" +1@" +0h +0g +1;" +b10011 3" +0^ +0c +1d +1_ +b1100 0" +0k +18" +1l +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100100000101010111111111111 &" +b100100000101010111111111111 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#5902010 +b100100000101010111111111111 O" +#5902500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b11011010100110000111101000110101 2 +b11011010100110000111101000110101 I +b11011010100110000111101000110101 Z +b1101 3 +b1101 J +b1101 Y +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b11011010100110000111101000110101 , +b11011010100110000111101000110101 H +b1101 + +b1101 G +1. +0% +#5903000 +0s +b10101101111100011001111111111011 | +b10101101111100011001111111111011 B" +0[ +1h +1g +0;" +0r +15" +17" +b10010 3" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10101 -" +1y +1u +1a +0n +0m +0/" +1x +1," +b11011010100110000111101000110101 &" +b11011010100110000111101000110101 ?" +b1101 %" +b1101 *" +b1101 1" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +b1101011111111000110110010011010 / +b1101011111111000110110010011010 K +b1101011111111000110110010011010 e +b1101011111111000110110010011010 "" +0. +1% +#5903010 +b11011010100110000111101000110101 P" +#5903500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5904000 +1] +1s +1[ +0=" +0>" +0@" +1r +05" +07" +b11111 3" +0d +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101101111100011001111111111011 0 +b10101101111100011001111111111011 M +b10101101111100011001111111111011 f +b10101101111100011001111111111011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5904500 +1. +0% +#5905000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5905500 +b10010 5 +b10010 L +b10010 W +14 +b11011 7 +b11011 N +b11011 V +16 +b1111110010100001000111111011111 2 +b1111110010100001000111111011111 I +b1111110010100001000111111011111 Z +b11000 3 +b11000 J +b11000 Y +11 +b10010 ' +b10010 D +1) +b11011 & +b11011 C +1( +b1111110010100001000111111011111 , +b1111110010100001000111111011111 H +b11000 + +b11000 G +1- +1. +0% +#5906000 +0] +b1001100101000011010100010000011 { +b1001100101000011010100010000011 A" +0s +b100101100100110000010001001 | +b100101100100110000010001001 B" +1=" +1@" +0h +1;" +0r +0q +15" +17" +b111 3" +1c +0_ +1<" +1` +b1101 0" +0k +18" +1l +b100 -" +1y +0u +14" +1v +12" +1n +1/" +1x +1w +1," +b1111110010100001000111111011111 &" +b1111110010100001000111111011111 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#5906010 +b1111110010100001000111111011111 [" +#5906500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1111110111101101101110000001 2 +b1111110111101101101110000001 I +b1111110111101101101110000001 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1111110111101101101110000001 , +b1111110111101101101110000001 H +b0 + +b0 G +1. +0% +#5907000 +1_ +1] +1s +1@" +0=" +1h +0;" +1r +1q +05" +07" +b11111 3" +0<" +0` +0c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0x +0w +0," +b1111110111101101101110000001 &" +b1111110111101101101110000001 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001100101000011010100010000011 / +b1001100101000011010100010000011 K +b1001100101000011010100010000011 e +b1001100101000011010100010000011 "" +b100101100100110000010001001 0 +b100101100100110000010001001 M +b100101100100110000010001001 f +b100101100100110000010001001 !" +0. +1% +#5907010 +b1111110111101101101110000001 C" +#5907500 +b111000101000001001010111110110 2 +b111000101000001001010111110110 I +b111000101000001001010111110110 Z +b10101 3 +b10101 J +b10101 Y +b111000101000001001010111110110 , +b111000101000001001010111110110 H +b10101 + +b10101 G +1. +0% +#5908000 +0_ +0] +0[ +1>" +b1010 3" +1<" +1` +1^ +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111000101000001001010111110110 &" +b111000101000001001010111110110 ?" +b10101 %" +b10101 *" +b10101 1" +0. +1% +#5908010 +b111000101000001001010111110110 X" +#5908500 +b10010 5 +b10010 L +b10010 W +14 +b10101 7 +b10101 N +b10101 V +16 +b1100110101011101010000100111011 2 +b1100110101011101010000100111011 I +b1100110101011101010000100111011 Z +b1011 3 +b1011 J +b1011 Y +b10010 ' +b10010 D +1) +b10101 & +b10101 C +1( +b1100110101011101010000100111011 , +b1100110101011101010000100111011 H +b1011 + +b1011 G +1. +0% +#5909000 +1=" +1_ +1c +b1001100101000011010100010000011 { +b1001100101000011010100010000011 A" +0s +b111000101000001001010111110110 | +b111000101000001001010111110110 B" +0\ +1@" +0>" +0h +1;" +0q +16" +17" +b10100 3" +0<" +0` +0^ +b1101 0" +0k +18" +1l +b1010 -" +1t +0u +14" +1v +1b +1n +1/" +1w +1," +b1100110101011101010000100111011 &" +b1100110101011101010000100111011 ?" +b1011 %" +b1011 *" +b1011 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#5909010 +b1100110101011101010000100111011 N" +#5909500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5910000 +1] +1u +0t +1\ +1[ +0=" +0@" +1h +0;" +0r +17" +15" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +04" +0v +1z +b11111000011111011000101000111101 | +b11111000011111011000101000111101 B" +0b +0a +02" +0n +0/" +1x +b1001100101000011010100010000011 / +b1001100101000011010100010000011 K +b1001100101000011010100010000011 e +b1001100101000011010100010000011 "" +b111000101000001001010111110110 0 +b111000101000001001010111110110 M +b111000101000001001010111110110 f +b111000101000001001010111110110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +0. +1% +#5910500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b1010010011001111011101010011110 2 +b1010010011001111011101010011110 I +b1010010011001111011101010011110 Z +11 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b1010010011001111011101010011110 , +b1010010011001111011101010011110 H +1- +1. +0% +#5911000 +0i +b11111101111100000111110101101001 { +b11111101111100000111110101101001 A" +1s +1@" +0g +1:" +1;" +1r +1q +05" +06" +07" +1_ +b11010 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1m +1/" +0x +0w +0," +b1010010011001111011101010011110 &" +b1010010011001111011101010011110 ?" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111000011111011000101000111101 0 +b11111000011111011000101000111101 M +b11111000011111011000101000111101 f +b11111000011111011000101000111101 !" +0. +1% +#5911010 +b1010010011001111011101010011110 C" +#5911500 +b1011 5 +b1011 L +b1011 W +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +01 +b1011 ' +b1011 D +b110 & +b110 C +1( +b0 , +b0 H +0- +1. +0% +#5912000 +19" +1o +0s +b1000101101110111100001111110101 | +b1000101101110111100001111110101 B" +0@" +0h +0:" +0r +16" +17" +0_ +b10100 0" +0j +b1100110101011101010000100111011 { +b1100110101011101010000100111011 A" +b11001 -" +1t +1u +02" +1n +1x +1," +b11111101111100000111110101101001 / +b11111101111100000111110101101001 K +b11111101111100000111110101101001 e +b11111101111100000111110101101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +0'" +b1011 ~ +b1011 )" +b1011 ." +b110 } +b110 (" +b110 +" +1#" +0. +1% +#5912500 +b10100 5 +b10100 L +b10100 W +b10101 7 +b10101 N +b10101 V +b1101011001011000001110000101100 2 +b1101011001011000001110000101100 I +b1101011001011000001110000101100 Z +b110 3 +b110 J +b110 Y +11 +b10100 ' +b10100 D +b10101 & +b10101 C +b1101011001011000001110000101100 , +b1101011001011000001110000101100 H +b110 + +b110 G +1- +1. +0% +#5913000 +1:" +0] +0k +1j +0u +0\ +1>" +1@" +1h +1g +09" +1r +0q +b11001 3" +1^ +1_ +b1011 0" +18" +1l +0o +b10111000111001101101110001111100 { +b10111000111001101101110001111100 A" +b1010 -" +14" +1v +b111000101000001001010111110110 | +b111000101000001001010111110110 B" +1b +12" +0n +0m +0x +1w +b1101011001011000001110000101100 &" +b1101011001011000001110000101100 ?" +b110 %" +b110 *" +b110 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b10101 } +b10101 (" +b10101 +" +b1100110101011101010000100111011 / +b1100110101011101010000100111011 K +b1100110101011101010000100111011 e +b1100110101011101010000100111011 "" +b1000101101110111100001111110101 0 +b1000101101110111100001111110101 M +b1000101101110111100001111110101 f +b1000101101110111100001111110101 !" +0. +1% +#5913010 +b1101011001011000001110000101100 I" +#5913500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5914000 +1] +1i +1\ +0>" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0^ +0_ +b11111 0" +0j +08" +0l +0b +02" +0/" +b10111000111001101101110001111100 / +b10111000111001101101110001111100 K +b10111000111001101101110001111100 e +b10111000111001101101110001111100 "" +b111000101000001001010111110110 0 +b111000101000001001010111110110 M +b111000101000001001010111110110 f +b111000101000001001010111110110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5914500 +b0 7 +b0 N +b0 V +06 +b11000001101111101011011110110011 2 +b11000001101111101011011110110011 I +b11000001101111101011011110110011 Z +b101 3 +b101 J +b101 Y +11 +b0 & +b0 C +0( +b11000001101111101011011110110011 , +b11000001101111101011011110110011 H +b101 + +b101 G +1- +1. +0% +#5915000 +0] +1s +0[ +1>" +1@" +1q +06" +07" +b11010 3" +1^ +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0w +0," +b11000001101111101011011110110011 &" +b11000001101111101011011110110011 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5915010 +b11000001101111101011011110110011 H" +#5915500 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5916000 +1] +0s +b1100110101011101010000100111011 | +b1100110101011101010000100111011 B" +1[ +0>" +0@" +0r +0q +15" +17" +b11111 3" +0^ +0_ +b10100 -" +1y +1u +0a +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#5916500 +b101 5 +b101 L +b101 W +14 +b1001 7 +b1001 N +b1001 V +b101 ' +b101 D +1) +b1001 & +b1001 C +1. +0% +#5917000 +0i +b11000001101111101011011110110011 { +b11000001101111101011011110110011 A" +0g +1:" +1;" +1r +b11010 0" +1j +1k +b10110 -" +b11100000010010010111100110111001 | +b11100000010010010111100110111001 B" +1m +1/" +0x +b101 ~ +b101 )" +b101 ." +1$" +b1001 } +b1001 (" +b1001 +" +b1100110101011101010000100111011 0 +b1100110101011101010000100111011 M +b1100110101011101010000100111011 f +b1100110101011101010000100111011 !" +0. +1% +#5917500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11101010000101001001101110000110 2 +b11101010000101001001101110000110 I +b11101010000101001001101110000110 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11101010000101001001101110000110 , +b11101010000101001001101110000110 H +b1110 + +b1110 G +1- +1. +0% +#5918000 +0] +1i +1s +0\ +1=" +1>" +1@" +1g +0:" +0;" +1q +05" +07" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0m +0/" +0w +0," +b11000001101111101011011110110011 / +b11000001101111101011011110110011 K +b11000001101111101011011110110011 e +b11000001101111101011011110110011 "" +b11100000010010010111100110111001 0 +b11100000010010010111100110111001 M +b11100000010010010111100110111001 f +b11100000010010010111100110111001 !" +b11101010000101001001101110000110 &" +b11101010000101001001101110000110 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5918010 +b11101010000101001001101110000110 Q" +#5918500 +b110 5 +b110 L +b110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5919000 +1] +0i +b1101011001011000001110000101100 { +b1101011001011000001110000101100 A" +1\ +0=" +0>" +0@" +0h +1:" +1;" +b11111 3" +0d +0_ +b11001 0" +1j +1k +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5919500 +b10 5 +b10 L +b10 W +b10 ' +b10 D +1. +0% +#5920000 +1i +b1110101111001010010011011101 { +b1110101111001010010011011101 A" +0:" +b11101 0" +0j +b1101011001011000001110000101100 / +b1101011001011000001110000101100 K +b1101011001011000001110000101100 e +b1101011001011000001110000101100 "" +b10 ~ +b10 )" +b10 ." +0. +1% +#5920500 +b0 5 +b0 L +b0 W +04 +b10010100101000000110011001000000 2 +b10010100101000000110011001000000 I +b10010100101000000110011001000000 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b10010100101000000110011001000000 , +b10010100101000000110011001000000 H +b1001 + +b1001 G +1- +1. +0% +#5921000 +0] +0[ +1=" +1@" +1h +0;" +b10110 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0/" +b10010100101000000110011001000000 &" +b10010100101000000110011001000000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110101111001010010011011101 / +b1110101111001010010011011101 K +b1110101111001010010011011101 e +b1110101111001010010011011101 "" +0. +1% +#5921010 +b10010100101000000110011001000000 L" +#5921500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5922000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5922500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#5923000 +0s +b110000110011010010111111101100 | +b110000110011010010111111101100 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#5923500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +1) +b0 & +b0 C +0( +1. +0% +#5924000 +0i +b1101011001011000001110000101100 { +b1101011001011000001110000101100 A" +1s +0h +1:" +1;" +1q +05" +07" +b11001 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0w +0," +b110000110011010010111111101100 0 +b110000110011010010111111101100 M +b110000110011010010111111101100 f +b110000110011010010111111101100 !" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5924500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b0 ' +b0 D +0) +b111 & +b111 C +1( +1. +0% +#5925000 +1i +0s +b1110011111110110111011011110110 | +b1110011111110110111011011110110 B" +1h +0:" +0;" +0r +0q +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11000 -" +1t +1u +0n +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +b1101011001011000001110000101100 / +b1101011001011000001110000101100 K +b1101011001011000001110000101100 e +b1101011001011000001110000101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5925500 +b111 5 +b111 L +b111 W +14 +b11111 7 +b11111 N +b11111 V +b111 ' +b111 D +1) +b11111 & +b11111 C +1. +0% +#5926000 +0i +b1110011111110110111011011110110 { +b1110011111110110111011011110110 A" +0u +0t +0h +0g +1:" +1;" +b10011011001010010010011111000111 | +b10011011001010010010011111000111 B" +15" +b11000 0" +1j +1k +b0 -" +14" +1v +1z +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110011111110110111011011110110 0 +b1110011111110110111011011110110 M +b1110011111110110111011011110110 f +b1110011111110110111011011110110 !" +b111 ~ +b111 )" +b111 ." +1$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#5926500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10001001101100011101001111110000 2 +b10001001101100011101001111110000 I +b10001001101100011101001111110000 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10001001101100011101001111110000 , +b10001001101100011101001111110000 H +b10000 + +b10000 G +1- +1. +0% +#5927000 +1i +1s +1@" +1h +1g +0:" +0;" +1r +1q +05" +06" +07" +b1111 3" +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0m +0/" +0x +0w +0," +b10001001101100011101001111110000 &" +b10001001101100011101001111110000 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110011111110110111011011110110 / +b1110011111110110111011011110110 K +b1110011111110110111011011110110 e +b1110011111110110111011011110110 "" +b10011011001010010010011111000111 0 +b10011011001010010010011111000111 M +b10011011001010010010011111000111 f +b10011011001010010010011111000111 !" +0. +1% +#5927010 +b10001001101100011101001111110000 S" +#5927500 +b1101 5 +b1101 L +b1101 W +14 +b11001 7 +b11001 N +b11001 V +16 +b1001101111010110011000100001000 2 +b1001101111010110011000100001000 I +b1001101111010110011000100001000 Z +b11111 3 +b11111 J +b11111 Y +b1101 ' +b1101 D +1) +b11001 & +b11001 C +1( +b1001101111010110011000100001000 , +b1001101111010110011000100001000 H +b11111 + +b11111 G +1. +0% +#5928000 +0] +0i +b11011010100110000111101000110101 { +b11011010100110000111101000110101 A" +0s +b110000110011010010111111101100 | +b110000110011010010111111101100 B" +0\ +0[ +1=" +1>" +0g +19" +1:" +1;" +0q +15" +17" +b0 3" +0^ +0c +1d +b10010 0" +0j +0o +1p +1k +b110 -" +1y +0u +14" +1v +1b +1a +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001101111010110011000100001000 &" +b1001101111010110011000100001000 ?" +b11111 %" +b11111 *" +b11111 1" +b1101 ~ +b1101 )" +b1101 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#5928500 +b10000 5 +b10000 L +b10000 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5929000 +1] +0k +1i +1s +1\ +1[ +0=" +0>" +0@" +1g +09" +0:" +1q +05" +07" +b11111 3" +0d +0<" +0` +b1111 0" +18" +1l +0p +b10001001101100011101001111110000 { +b10001001101100011101001111110000 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0m +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +b0 } +b0 (" +b0 +" +0#" +b11011010100110000111101000110101 / +b11011010100110000111101000110101 K +b11011010100110000111101000110101 e +b11011010100110000111101000110101 "" +b110000110011010010111111101100 0 +b110000110011010010111111101100 M +b110000110011010010111111101100 f +b110000110011010010111111101100 !" +0. +1% +#5929500 +b10011 5 +b10011 L +b10011 W +b10001110010111010101010101000011 2 +b10001110010111010101010101000011 I +b10001110010111010101010101000011 Z +b1111 3 +b1111 J +b1111 Y +11 +b10011 ' +b10011 D +b10001110010111010101010101000011 , +b10001110010111010101010101000011 H +b1111 + +b1111 G +1- +1. +0% +#5930000 +0] +0\ +0[ +1=" +1>" +1@" +0h +0g +b10000 3" +0^ +0c +1d +1_ +b1100 0" +b1101011111111000110110010011010 { +b1101011111111000110110010011010 A" +1b +1a +12" +1n +1m +b10001001101100011101001111110000 / +b10001001101100011101001111110000 K +b10001001101100011101001111110000 e +b10001001101100011101001111110000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001110010111010101010101000011 &" +b10001110010111010101010101000011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#5930010 +b10001110010111010101010101000011 R" +#5930500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5931000 +1] +0s +b111000101000001001010111110110 | +b111000101000001001010111110110 B" +1\ +1[ +0=" +0>" +0@" +1h +1g +0;" +0q +16" +17" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +1t +0u +14" +1v +0b +0a +02" +0n +0m +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +b1101011111111000110110010011010 / +b1101011111111000110110010011010 K +b1101011111111000110110010011010 e +b1101011111111000110110010011010 "" +0. +1% +#5931500 +b0 7 +b0 N +b0 V +06 +b10000101101001100000000001110100 2 +b10000101101001100000000001110100 I +b10000101101001100000000001110100 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 & +b0 C +0( +b10000101101001100000000001110100 , +b10000101101001100000000001110100 H +b1100 + +b1100 G +1- +1. +0% +#5932000 +0] +1s +1=" +1>" +1@" +1q +06" +07" +b10011 3" +0^ +0c +1d +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111000101000001001010111110110 0 +b111000101000001001010111110110 M +b111000101000001001010111110110 f +b111000101000001001010111110110 !" +b10000101101001100000000001110100 &" +b10000101101001100000000001110100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5932010 +b10000101101001100000000001110100 O" +#5932500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5933000 +1] +0=" +0>" +0@" +b11111 3" +0d +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5933500 +b10100 7 +b10100 N +b10100 V +16 +b11111110111010111010100010011 2 +b11111110111010111010100010011 I +b11111110111010111010100010011 Z +b10001 3 +b10001 J +b10001 Y +11 +b10100 & +b10100 C +1( +b11111110111010111010100010011 , +b11111110111010111010100010011 H +b10001 + +b10001 G +1- +1. +0% +#5934000 +0s +b10111000111001101101110001111100 | +b10111000111001101101110001111100 B" +0[ +1@" +16" +17" +b1110 3" +0_ +1<" +1` +b1011 -" +1t +0u +14" +1v +1a +12" +1," +b11111110111010111010100010011 &" +b11111110111010111010100010011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#5934010 +b11111110111010111010100010011 T" +#5934500 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5935000 +15" +1y +1[ +0@" +0q +06" +b11111 3" +0<" +0` +b110 -" +0t +b110000110011010010111111101100 | +b110000110011010010111111101100 B" +0a +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +b10111000111001101101110001111100 0 +b10111000111001101101110001111100 M +b10111000111001101101110001111100 f +b10111000111001101101110001111100 !" +0. +1% +#5935500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b11100001110111111000011000001010 2 +b11100001110111111000011000001010 I +b11100001110111111000011000001010 Z +b10010 3 +b10010 J +b10010 Y +11 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +b11100001110111111000011000001010 , +b11100001110111111000011000001010 H +b10010 + +b10010 G +1- +1. +0% +#5936000 +0i +b110000110011010010111111101100 { +b110000110011010010111111101100 A" +1s +0\ +1@" +0g +19" +1;" +1q +05" +07" +b1101 3" +0_ +1<" +1` +b110 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1m +1/" +0w +0," +b110000110011010010111111101100 0 +b110000110011010010111111101100 M +b110000110011010010111111101100 f +b110000110011010010111111101100 !" +b11100001110111111000011000001010 &" +b11100001110111111000011000001010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5936010 +b11100001110111111000011000001010 U" +#5936500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5937000 +1i +b11100001110111111000011000001010 | +b11100001110111111000011000001010 B" +1\ +0@" +1g +09" +0;" +0r +17" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +0u +14" +1v +0b +02" +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +b110000110011010010111111101100 / +b110000110011010010111111101100 K +b110000110011010010111111101100 e +b110000110011010010111111101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5937500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +1) +b0 & +b0 C +0( +1. +0% +#5938000 +b101111000000010100101100001101 { +b101111000000010100101100001101 A" +0h +0g +1;" +1r +07" +b11100 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100001110111111000011000001010 0 +b11100001110111111000011000001010 M +b11100001110111111000011000001010 f +b11100001110111111000011000001010 !" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5938500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#5939000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b101111000000010100101100001101 / +b101111000000010100101100001101 K +b101111000000010100101100001101 e +b101111000000010100101100001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5939500 +1. +0% +#5940000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5940500 +b1000001000110010000001101110010 2 +b1000001000110010000001101110010 I +b1000001000110010000001101110010 Z +b1001 3 +b1001 J +b1001 Y +11 +b1000001000110010000001101110010 , +b1000001000110010000001101110010 H +b1001 + +b1001 G +1- +1. +0% +#5941000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b1000001000110010000001101110010 &" +b1000001000110010000001101110010 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +0. +1% +#5941010 +b1000001000110010000001101110010 L" +#5941500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5942000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5942500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#5943000 +0i +b10011111000110110001100000111110 { +b10011111000110110001100000111110 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#5943500 +b1100 5 +b1100 L +b1100 W +b1100 ' +b1100 D +1. +0% +#5944000 +1k +1;" +b10000101101001100000000001110100 { +b10000101101001100000000001110100 A" +b10011 0" +08" +0l +b10011111000110110001100000111110 / +b10011111000110110001100000111110 K +b10011111000110110001100000111110 e +b10011111000110110001100000111110 "" +b1100 ~ +b1100 )" +b1100 ." +0. +1% +#5944500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +1. +0% +#5945000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1111001000110111100101011100111 | +b1111001000110111100101011100111 B" +09" +0:" +0;" +0q +15" +16" +17" +b11111 0" +0p +0k +b10 -" +0t +0y +1z +0u +14" +1v +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +b10000101101001100000000001110100 / +b10000101101001100000000001110100 K +b10000101101001100000000001110100 e +b10000101101001100000000001110100 "" +0. +1% +#5945500 +b0 7 +b0 N +b0 V +06 +b11011011111011011101111010001101 2 +b11011011111011011101111010001101 I +b11011011111011011101111010001101 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 & +b0 C +0( +b11011011111011011101111010001101 , +b11011011111011011101111010001101 H +b1010 + +b1010 G +1- +1. +0% +#5946000 +0] +1s +0\ +1=" +1@" +1q +05" +06" +07" +b10101 3" +1c +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111001000110111100101011100111 0 +b1111001000110111100101011100111 M +b1111001000110111100101011100111 f +b1111001000110111100101011100111 !" +b11011011111011011101111010001101 &" +b11011011111011011101111010001101 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5946010 +b11011011111011011101111010001101 M" +#5946500 +b10000 5 +b10000 L +b10000 W +14 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5947000 +1] +b10001001101100011101001111110000 { +b10001001101100011101001111110000 A" +b10001001101100011101001111110000 | +b10001001101100011101001111110000 B" +1\ +0=" +0@" +1;" +17" +b11111 3" +0c +0_ +b1111 0" +0k +18" +1l +b1111 -" +0u +14" +1v +0b +02" +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5947500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5948000 +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +08" +0l +b11111 -" +04" +0v +0/" +0," +b10001001101100011101001111110000 / +b10001001101100011101001111110000 K +b10001001101100011101001111110000 e +b10001001101100011101001111110000 "" +b10001001101100011101001111110000 0 +b10001001101100011101001111110000 M +b10001001101100011101001111110000 f +b10001001101100011101001111110000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5948500 +b1001 5 +b1001 L +b1001 W +14 +b1100110010001100000101010111101 2 +b1100110010001100000101010111101 I +b1100110010001100000101010111101 Z +b10000 3 +b10000 J +b10000 Y +11 +b1001 ' +b1001 D +1) +b1100110010001100000101010111101 , +b1100110010001100000101010111101 H +b10000 + +b10000 G +1- +1. +0% +#5949000 +0i +b1000001000110010000001101110010 { +b1000001000110010000001101110010 A" +1@" +0g +19" +1;" +b1111 3" +0_ +1<" +1` +b10110 0" +1o +1k +12" +1m +1/" +b1100110010001100000101010111101 &" +b1100110010001100000101010111101 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5949010 +b1100110010001100000101010111101 S" +#5949500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5950000 +1i +0@" +1g +09" +0;" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0m +0/" +b1000001000110010000001101110010 / +b1000001000110010000001101110010 K +b1000001000110010000001101110010 e +b1000001000110010000001101110010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5950500 +b11000 7 +b11000 N +b11000 V +16 +b11110100101111100100101010101101 2 +b11110100101111100100101010101101 I +b11110100101111100100101010101101 Z +b10110 3 +b10110 J +b10110 Y +11 +b11000 & +b11000 C +1( +b11110100101111100100101010101101 , +b11110100101111100100101010101101 H +b10110 + +b10110 G +1- +1. +0% +#5951000 +0] +0s +b1111110010100001000111111011111 | +b1111110010100001000111111011111 B" +0\ +1>" +1@" +15" +17" +b1001 3" +1^ +0_ +1<" +1` +b111 -" +1y +0u +14" +1v +1b +12" +1," +b11110100101111100100101010101101 &" +b11110100101111100100101010101101 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5951010 +b11110100101111100100101010101101 Y" +#5951500 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5952000 +1] +1s +1\ +0>" +0@" +0r +0q +05" +b11111 3" +0^ +0<" +0` +b1100 -" +0y +b1101011111111000110110010011010 | +b1101011111111000110110010011010 B" +0b +02" +1x +1w +b1111110010100001000111111011111 0 +b1111110010100001000111111011111 M +b1111110010100001000111111011111 f +b1111110010100001000111111011111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +0. +1% +#5952500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5953000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1101011111111000110110010011010 0 +b1101011111111000110110010011010 M +b1101011111111000110110010011010 f +b1101011111111000110110010011010 !" +0. +1% +#5953500 +1. +0% +#5954000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5954500 +1. +0% +#5955000 +0. +1% +#5955500 +14 +b1000110110011011011111001010011 2 +b1000110110011011011111001010011 I +b1000110110011011011111001010011 Z +b10111 3 +b10111 J +b10111 Y +11 +1) +b1000110110011011011111001010011 , +b1000110110011011011111001010011 H +b10111 + +b10111 G +1- +1. +0% +#5956000 +0] +b1010010011001111011101010011110 { +b1010010011001111011101010011110 A" +0\ +0[ +1>" +1@" +1;" +b1000 3" +1^ +0_ +1<" +1` +1k +1b +1a +12" +1/" +b1000110110011011011111001010011 &" +b1000110110011011011111001010011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +1$" +0. +1% +#5956010 +b1000110110011011011111001010011 Z" +#5956500 +04 +b1001000011110111011000001100111 2 +b1001000011110111011000001100111 I +b1001000011110111011000001100111 Z +0) +b1001000011110111011000001100111 , +b1001000011110111011000001100111 H +1. +0% +#5957000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +b1001000011110111011000001100111 &" +b1001000011110111011000001100111 ?" +0$" +b1010010011001111011101010011110 / +b1010010011001111011101010011110 K +b1010010011001111011101010011110 e +b1010010011001111011101010011110 "" +0. +1% +#5957010 +b1001000011110111011000001100111 Z" +#5957500 +b11000011100110111110011100010000 2 +b11000011100110111110011100010000 I +b11000011100110111110011100010000 Z +b1010 3 +b1010 J +b1010 Y +b11000011100110111110011100010000 , +b11000011100110111110011100010000 H +b1010 + +b1010 G +1. +0% +#5958000 +1=" +1_ +1c +1[ +1@" +0>" +b10101 3" +0<" +0` +0^ +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000011100110111110011100010000 &" +b11000011100110111110011100010000 ?" +b1010 %" +b1010 *" +b1010 1" +0. +1% +#5958010 +b11000011100110111110011100010000 M" +#5958500 +b1000 5 +b1000 L +b1000 W +14 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5959000 +1] +0i +b110011000110001100010011011110 { +b110011000110001100010011011110 A" +0s +b10001110010111010101010101000011 | +b10001110010111010101010101000011 B" +1\ +0=" +0@" +19" +1;" +0r +0q +15" +16" +17" +b11111 3" +0c +0_ +b10111 0" +1o +1k +b10000 -" +0t +0y +1z +1u +0b +02" +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#5959500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +b0 ' +b0 D +0) +b10000 & +b10000 C +1. +0% +#5960000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +1s +09" +0;" +1r +1q +05" +06" +b11111 0" +0o +0k +b1111 -" +14" +1v +0z +b1100110010001100000101010111101 | +b1100110010001100000101010111101 B" +0/" +0x +0w +b110011000110001100010011011110 / +b110011000110001100010011011110 K +b110011000110001100010011011110 e +b110011000110001100010011011110 "" +b10001110010111010101010101000011 0 +b10001110010111010101010101000011 M +b10001110010111010101010101000011 f +b10001110010111010101010101000011 !" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +0. +1% +#5960500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5961000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100110010001100000101010111101 0 +b1100110010001100000101010111101 M +b1100110010001100000101010111101 f +b1100110010001100000101010111101 !" +0. +1% +#5961500 +b110 5 +b110 L +b110 W +14 +b110 ' +b110 D +1) +1. +0% +#5962000 +0i +b1101011001011000001110000101100 { +b1101011001011000001110000101100 A" +0h +1:" +1;" +b11001 0" +1j +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#5962500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#5963000 +1i +0s +b110011000110001100010011011110 | +b110011000110001100010011011110 B" +1h +0:" +0;" +15" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +0n +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b1101011001011000001110000101100 / +b1101011001011000001110000101100 K +b1101011001011000001110000101100 e +b1101011001011000001110000101100 "" +0. +1% +#5963500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +1) +b0 & +b0 C +0( +1. +0% +#5964000 +b101111000000010100101100001101 { +b101111000000010100101100001101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +0g +1;" +05" +07" +b11100 0" +1k +b11111 -" +0y +0u +1n +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110011000110001100010011011110 0 +b110011000110001100010011011110 M +b110011000110001100010011011110 f +b110011000110001100010011011110 !" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5964500 +b0 5 +b0 L +b0 W +04 +b1011100011011011111001001000001 2 +b1011100011011011111001001000001 I +b1011100011011011111001001000001 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b1011100011011011111001001000001 , +b1011100011011011111001001000001 H +b1111 + +b1111 G +1- +1. +0% +#5965000 +0] +0\ +0[ +1=" +1>" +1@" +1h +1g +0;" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0m +0/" +b1011100011011011111001001000001 &" +b1011100011011011111001001000001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101111000000010100101100001101 / +b101111000000010100101100001101 K +b101111000000010100101100001101 e +b101111000000010100101100001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5965010 +b1011100011011011111001001000001 R" +#5965500 +b1000 7 +b1000 N +b1000 V +16 +b1000011111011100001101000110001 2 +b1000011111011100001101000110001 I +b1000011111011100001101000110001 Z +b1110 3 +b1110 J +b1110 Y +b1000 & +b1000 C +1( +b1000011111011100001101000110001 , +b1000011111011100001101000110001 H +b1110 + +b1110 G +1. +0% +#5966000 +0s +b110011000110001100010011011110 | +b110011000110001100010011011110 B" +1[ +15" +17" +b10001 3" +b10111 -" +1y +1u +0a +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000011111011100001101000110001 &" +b1000011111011100001101000110001 ?" +b1110 %" +b1110 *" +b1110 1" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#5966010 +b1000011111011100001101000110001 Q" +#5966500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b110010001010110101001000100111 2 +b110010001010110101001000100111 I +b110010001010110101001000100111 Z +b1010 3 +b1010 J +b1010 Y +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b110010001010110101001000100111 , +b110010001010110101001000100111 H +b1010 + +b1010 G +1. +0% +#5967000 +1c +b11100001110111111000011000001010 { +b11100001110111111000011000001010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +0>" +0h +1;" +05" +07" +b10101 3" +0d +b1101 0" +0k +18" +1l +b11111 -" +0y +0u +1n +1/" +0," +b110010001010110101001000100111 &" +b110010001010110101001000100111 ?" +b1010 %" +b1010 *" +b1010 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110011000110001100010011011110 0 +b110011000110001100010011011110 M +b110011000110001100010011011110 f +b110011000110001100010011011110 !" +0. +1% +#5967010 +b110010001010110101001000100111 M" +#5967500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5968000 +1] +0s +b1111110010100001000111111011111 | +b1111110010100001000111111011111 B" +1\ +0=" +0@" +1h +0;" +15" +17" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +1y +0u +14" +1v +0b +02" +0n +0/" +1," +b11100001110111111000011000001010 / +b11100001110111111000011000001010 K +b11100001110111111000011000001010 e +b11100001110111111000011000001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#5968500 +b0 7 +b0 N +b0 V +06 +b11110100100011011000101001001110 2 +b11110100100011011000101001001110 I +b11110100100011011000101001001110 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 & +b0 C +0( +b11110100100011011000101001001110 , +b11110100100011011000101001001110 H +b10000 + +b10000 G +1- +1. +0% +#5969000 +1s +1@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1111 3" +0_ +1<" +1` +b11111 -" +0y +04" +0v +12" +0," +b11110100100011011000101001001110 &" +b11110100100011011000101001001110 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111110010100001000111111011111 0 +b1111110010100001000111111011111 M +b1111110010100001000111111011111 f +b1111110010100001000111111011111 !" +0. +1% +#5969010 +b11110100100011011000101001001110 S" +#5969500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5970000 +0@" +b11111 3" +0<" +0` +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5970500 +1. +0% +#5971000 +0. +1% +#5971500 +b10001 5 +b10001 L +b10001 W +14 +b1110 7 +b1110 N +b1110 V +16 +b10001 ' +b10001 D +1) +b1110 & +b1110 C +1( +1. +0% +#5972000 +b11111110111010111010100010011 { +b11111110111010111010100010011 A" +0s +b1000011111011100001101000110001 | +b1000011111011100001101000110001 B" +0g +1;" +0r +15" +16" +17" +b1110 0" +0k +18" +1l +b10001 -" +0t +0y +1z +1u +1m +1/" +1x +1," +b10001 ~ +b10001 )" +b10001 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#5972500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5973000 +1s +1g +0;" +1r +05" +06" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111110111010111010100010011 / +b11111110111010111010100010011 K +b11111110111010111010100010011 e +b11111110111010111010100010011 "" +b1000011111011100001101000110001 0 +b1000011111011100001101000110001 M +b1000011111011100001101000110001 f +b1000011111011100001101000110001 !" +0. +1% +#5973500 +b1 5 +b1 L +b1 W +14 +b11110 7 +b11110 N +b11110 V +16 +b1 ' +b1 D +1) +b11110 & +b11110 C +1( +1. +0% +#5974000 +b10101100111010010101100100110011 { +b10101100111010010101100100110011 A" +0s +b11111110010000010001000000000 | +b11111110010000010001000000000 B" +0g +1;" +0r +15" +16" +17" +b11110 0" +1k +b1 -" +0t +0y +1z +0u +14" +1v +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 ~ +b1 )" +b1 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#5974500 +b1001 5 +b1001 L +b1001 W +b11000 7 +b11000 N +b11000 V +b11011101000101111100010000110011 2 +b11011101000101111100010000110011 I +b11011101000101111100010000110011 Z +b101 3 +b101 J +b101 Y +11 +b1001 ' +b1001 D +b11000 & +b11000 C +b11011101000101111100010000110011 , +b11011101000101111100010000110011 H +b101 + +b101 G +1- +1. +0% +#5975000 +0] +0i +b1000001000110010000001101110010 { +b1000001000110010000001101110010 A" +1y +0[ +1>" +1@" +19" +1r +15" +06" +b11010 3" +1^ +1_ +b10110 0" +1o +b111 -" +0z +b1111110010100001000111111011111 | +b1111110010100001000111111011111 B" +1a +12" +0x +b11011101000101111100010000110011 &" +b11011101000101111100010000110011 ?" +b101 %" +b101 *" +b101 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +b11000 } +b11000 (" +b11000 +" +b10101100111010010101100100110011 / +b10101100111010010101100100110011 K +b10101100111010010101100100110011 e +b10101100111010010101100100110011 "" +b11111110010000010001000000000 0 +b11111110010000010001000000000 M +b11111110010000010001000000000 f +b11111110010000010001000000000 !" +0. +1% +#5975010 +b11011101000101111100010000110011 H" +#5975500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5976000 +1] +1s +1[ +0>" +0@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0_ +b11111 -" +0y +04" +0v +0a +02" +0," +b1000001000110010000001101110010 / +b1000001000110010000001101110010 K +b1000001000110010000001101110010 e +b1000001000110010000001101110010 "" +b1111110010100001000111111011111 0 +b1111110010100001000111111011111 M +b1111110010100001000111111011111 f +b1111110010100001000111111011111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5976500 +b0 5 +b0 L +b0 W +04 +b100100111000101101011110001101 2 +b100100111000101101011110001101 I +b100100111000101101011110001101 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b100100111000101101011110001101 , +b100100111000101101011110001101 H +b10010 + +b10010 G +1- +1. +0% +#5977000 +1i +0\ +1@" +1g +09" +0;" +b1101 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0m +0/" +b100100111000101101011110001101 &" +b100100111000101101011110001101 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5977010 +b100100111000101101011110001101 U" +#5977500 +b101 5 +b101 L +b101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5978000 +0i +b11011101000101111100010000110011 { +b11011101000101111100010000110011 A" +1\ +0@" +0g +1:" +1;" +b11111 3" +0<" +0` +b11010 0" +1j +1k +0b +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#5978500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +1. +0% +#5979000 +1i +0s +b11111110010000010001000000000 | +b11111110010000010001000000000 B" +1g +0:" +0;" +0r +15" +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b11011101000101111100010000110011 / +b11011101000101111100010000110011 K +b11011101000101111100010000110011 e +b11011101000101111100010000110011 "" +0. +1% +#5979500 +b0 7 +b0 N +b0 V +06 +b10011101101000110111100100110010 2 +b10011101101000110111100100110010 I +b10011101101000110111100100110010 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 & +b0 C +0( +b10011101101000110111100100110010 , +b10011101101000110111100100110010 H +b1000 + +b1000 G +1- +1. +0% +#5980000 +0] +1s +1=" +1@" +1r +05" +06" +07" +b10111 3" +1c +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111110010000010001000000000 0 +b11111110010000010001000000000 M +b11111110010000010001000000000 f +b11111110010000010001000000000 !" +b10011101101000110111100100110010 &" +b10011101101000110111100100110010 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5980010 +b10011101101000110111100100110010 K" +#5980500 +b10010 5 +b10010 L +b10010 W +14 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5981000 +1] +b100100111000101101011110001101 { +b100100111000101101011110001101 A" +0s +b1101011001011000001110000101100 | +b1101011001011000001110000101100 B" +0=" +0@" +0h +1;" +0r +16" +17" +b11111 3" +0c +0_ +b1101 0" +0k +18" +1l +b11001 -" +1t +1u +02" +1n +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5981500 +b1101 5 +b1101 L +b1101 W +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +b0 & +b0 C +0( +1. +0% +#5982000 +1k +0i +1s +1h +0g +1;" +19" +1:" +1r +06" +07" +b10010 0" +08" +0l +0j +0o +1p +b11011010100110000111101000110101 { +b11011010100110000111101000110101 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +1m +0x +0," +b100100111000101101011110001101 / +b100100111000101101011110001101 K +b100100111000101101011110001101 e +b100100111000101101011110001101 "" +b1101011001011000001110000101100 0 +b1101011001011000001110000101100 M +b1101011001011000001110000101100 f +b1101011001011000001110000101100 !" +b1101 ~ +b1101 )" +b1101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#5982500 +b10011 5 +b10011 L +b10011 W +b10011 ' +b10011 D +1. +0% +#5983000 +0k +1i +0h +09" +0:" +b1100 0" +18" +1l +0p +b1101011111111000110110010011010 { +b1101011111111000110110010011010 A" +1n +b10011 ~ +b10011 )" +b10011 ." +b11011010100110000111101000110101 / +b11011010100110000111101000110101 K +b11011010100110000111101000110101 e +b11011010100110000111101000110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#5983500 +b11010 5 +b11010 L +b11010 W +b11010 ' +b11010 D +1. +0% +#5984000 +0i +1g +19" +b101 0" +1o +b10011110011111100100001110101111 { +b10011110011111100100001110101111 A" +0m +b1101011111111000110110010011010 / +b1101011111111000110110010011010 K +b1101011111111000110110010011010 e +b1101011111111000110110010011010 "" +b11010 ~ +b11010 )" +b11010 ." +0. +1% +#5984500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +1. +0% +#5985000 +1i +0s +b1001000011110111011000001100111 | +b1001000011110111011000001100111 B" +1h +09" +0;" +0r +0q +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +1t +0u +14" +1v +0n +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +b10011110011111100100001110101111 / +b10011110011111100100001110101111 K +b10011110011111100100001110101111 e +b10011110011111100100001110101111 "" +0. +1% +#5985500 +b1001 5 +b1001 L +b1001 W +14 +b1011 7 +b1011 N +b1011 V +b10001000100110011011000000000 2 +b10001000100110011011000000000 I +b10001000100110011011000000000 Z +b1110 3 +b1110 J +b1110 Y +11 +b1001 ' +b1001 D +1) +b1011 & +b1011 C +b10001000100110011011000000000 , +b10001000100110011011000000000 H +b1110 + +b1110 G +1- +1. +0% +#5986000 +15" +0] +0i +b1000001000110010000001101110010 { +b1000001000110010000001101110010 A" +1u +1y +0\ +1=" +1>" +1@" +0g +19" +1;" +17" +b1100110101011101010000100111011 | +b1100110101011101010000100111011 B" +06" +b10001 3" +0^ +0c +1d +1_ +b10110 0" +1o +1k +b10100 -" +04" +0v +0t +1b +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001000011110111011000001100111 0 +b1001000011110111011000001100111 M +b1001000011110111011000001100111 f +b1001000011110111011000001100111 !" +b10001000100110011011000000000 &" +b10001000100110011011000000000 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#5986010 +b10001000100110011011000000000 Q" +#5986500 +b10001 5 +b10001 L +b10001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5987000 +1] +0k +1i +1s +1\ +0=" +0>" +0@" +b11111110111010111010100010011 { +b11111110111010111010100010011 A" +09" +1r +1q +05" +07" +b11111 3" +0d +0_ +b1110 0" +18" +1l +0o +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b0 } +b0 (" +b0 +" +0#" +b1000001000110010000001101110010 / +b1000001000110010000001101110010 K +b1000001000110010000001101110010 e +b1000001000110010000001101110010 "" +b1100110101011101010000100111011 0 +b1100110101011101010000100111011 M +b1100110101011101010000100111011 f +b1100110101011101010000100111011 !" +0. +1% +#5987500 +b0 5 +b0 L +b0 W +04 +b10001110011100100101011100001000 2 +b10001110011100100101011100001000 I +b10001110011100100101011100001000 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b10001110011100100101011100001000 , +b10001110011100100101011100001000 H +b10110 + +b10110 G +1- +1. +0% +#5988000 +0] +0\ +1>" +1@" +1g +0;" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0m +0/" +b11111110111010111010100010011 / +b11111110111010111010100010011 K +b11111110111010111010100010011 e +b11111110111010111010100010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001110011100100101011100001000 &" +b10001110011100100101011100001000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#5988010 +b10001110011100100101011100001000 Y" +#5988500 +b1100010111010111110111111010100 2 +b1100010111010111110111111010100 I +b1100010111010111110111111010100 Z +b11100 3 +b11100 J +b11100 Y +b1100010111010111110111111010100 , +b1100010111010111110111111010100 H +b11100 + +b11100 G +1. +0% +#5989000 +0^ +1\ +1=" +b11 3" +1d +0b +b1100010111010111110111111010100 &" +b1100010111010111110111111010100 ?" +b11100 %" +b11100 *" +b11100 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#5989010 +b1100010111010111110111111010100 _" +#5989500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5990000 +1] +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#5990500 +b111 5 +b111 L +b111 W +14 +b11011 7 +b11011 N +b11011 V +16 +b11101101000110100111101010110110 2 +b11101101000110100111101010110110 I +b11101101000110100111101010110110 Z +b10010 3 +b10010 J +b10010 Y +11 +b111 ' +b111 D +1) +b11011 & +b11011 C +1( +b11101101000110100111101010110110 , +b11101101000110100111101010110110 H +b10010 + +b10010 G +1- +1. +0% +#5991000 +0i +b1110011111110110111011011110110 { +b1110011111110110111011011110110 A" +0s +b100101100100110000010001001 | +b100101100100110000010001001 B" +0\ +1@" +0h +0g +1:" +1;" +0r +0q +15" +17" +b1101 3" +0_ +1<" +1` +b11000 0" +1j +1k +b100 -" +1y +0u +14" +1v +1b +12" +1n +1m +1/" +1x +1w +1," +b11101101000110100111101010110110 &" +b11101101000110100111101010110110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#5991010 +b11101101000110100111101010110110 U" +#5991500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5992000 +16" +1i +1u +1t +1\ +0@" +1h +1g +0:" +0;" +1r +17" +05" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11010 -" +04" +0v +0y +b11011101000101111100010000110011 | +b11011101000101111100010000110011 B" +0b +02" +0n +0m +0/" +0x +b1110011111110110111011011110110 / +b1110011111110110111011011110110 K +b1110011111110110111011011110110 e +b1110011111110110111011011110110 "" +b100101100100110000010001001 0 +b100101100100110000010001001 M +b100101100100110000010001001 f +b100101100100110000010001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +0. +1% +#5992500 +b0 7 +b0 N +b0 V +06 +b10101111010001101101011011110111 2 +b10101111010001101101011011110111 I +b10101111010001101101011011110111 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 & +b0 C +0( +b10101111010001101101011011110111 , +b10101111010001101101011011110111 H +b11001 + +b11001 G +1- +1. +0% +#5993000 +0] +1s +0[ +1=" +1@" +1q +06" +07" +b110 3" +1c +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0w +0," +b10101111010001101101011011110111 &" +b10101111010001101101011011110111 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011101000101111100010000110011 0 +b11011101000101111100010000110011 M +b11011101000101111100010000110011 f +b11011101000101111100010000110011 !" +0. +1% +#5993010 +b10101111010001101101011011110111 \" +#5993500 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#5994000 +1] +0s +b11011010100110000111101000110101 | +b11011010100110000111101000110101 B" +1[ +0=" +0@" +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b10010 -" +0t +0y +1z +1u +0a +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#5994500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#5995000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11011010100110000111101000110101 0 +b11011010100110000111101000110101 M +b11011010100110000111101000110101 f +b11011010100110000111101000110101 !" +0. +1% +#5995500 +b10111 5 +b10111 L +b10111 W +14 +b10 7 +b10 N +b10 V +16 +b10111 ' +b10111 D +1) +b10 & +b10 C +1( +1. +0% +#5996000 +0i +b1001000011110111011000001100111 { +b1001000011110111011000001100111 A" +b1110101111001010010011011101 | +b1110101111001010010011011101 B" +0h +0g +1:" +1;" +0r +17" +b1000 0" +1j +0k +18" +1l +b11101 -" +1u +1n +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#5996500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#5997000 +1i +1h +1g +0:" +0;" +1r +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001000011110111011000001100111 / +b1001000011110111011000001100111 K +b1001000011110111011000001100111 e +b1001000011110111011000001100111 "" +b1110101111001010010011011101 0 +b1110101111001010010011011101 M +b1110101111001010010011011101 f +b1110101111001010010011011101 !" +0. +1% +#5997500 +14 +b100110001000101111000111011011 2 +b100110001000101111000111011011 I +b100110001000101111000111011011 Z +b1110 3 +b1110 J +b1110 Y +11 +1) +b100110001000101111000111011011 , +b100110001000101111000111011011 H +b1110 + +b1110 G +1- +1. +0% +#5998000 +0] +b1010010011001111011101010011110 { +b1010010011001111011101010011110 A" +0\ +1=" +1>" +1@" +1;" +b10001 3" +0^ +0c +1d +1_ +1k +1b +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100110001000101111000111011011 &" +b100110001000101111000111011011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +1$" +0. +1% +#5998010 +b100110001000101111000111011011 Q" +#5998500 +04 +b10111000010000111101010111010100 2 +b10111000010000111101010111010100 I +b10111000010000111101010111010100 Z +b10010 3 +b10010 J +b10010 Y +0) +b10111000010000111101010111010100 , +b10111000010000111101010111010100 H +b10010 + +b10010 G +1. +0% +#5999000 +0_ +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0=" +0>" +0;" +b1101 3" +1<" +1` +0d +0k +0/" +b10111000010000111101010111010100 &" +b10111000010000111101010111010100 ?" +b10010 %" +b10010 *" +b10010 1" +0$" +b1010010011001111011101010011110 / +b1010010011001111011101010011110 K +b1010010011001111011101010011110 e +b1010010011001111011101010011110 "" +0. +1% +#5999010 +b10111000010000111101010111010100 U" +#5999500 +b1100 5 +b1100 L +b1100 W +14 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6000000 +0i +b10000101101001100000000001110100 { +b10000101101001100000000001110100 A" +0s +b1011100011011011111001001000001 | +b1011100011011011111001001000001 B" +1\ +0@" +19" +1:" +1;" +0r +0q +15" +16" +17" +b11111 3" +0<" +0` +b10011 0" +0j +0o +1p +1k +b10000 -" +0t +0y +1z +1u +0b +02" +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#6000500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +b0 ' +b0 D +0) +b10111 & +b10111 C +1. +0% +#6001000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +1t +09" +0:" +0;" +b1001000011110111011000001100111 | +b1001000011110111011000001100111 B" +05" +16" +b11111 0" +0p +0k +b1000 -" +14" +1v +0z +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +b10000101101001100000000001110100 / +b10000101101001100000000001110100 K +b10000101101001100000000001110100 e +b10000101101001100000000001110100 "" +b1011100011011011111001001000001 0 +b1011100011011011111001001000001 M +b1011100011011011111001001000001 f +b1011100011011011111001001000001 !" +0. +1% +#6001500 +b0 7 +b0 N +b0 V +06 +b11101110100101010101111000100010 2 +b11101110100101010101111000100010 I +b11101110100101010101111000100010 Z +11 +b0 & +b0 C +0( +b11101110100101010101111000100010 , +b11101110100101010101111000100010 H +1- +1. +0% +#6002000 +1s +1@" +1r +1q +06" +07" +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001000011110111011000001100111 0 +b1001000011110111011000001100111 M +b1001000011110111011000001100111 f +b1001000011110111011000001100111 !" +b11101110100101010101111000100010 &" +b11101110100101010101111000100010 ?" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6002010 +b11101110100101010101111000100010 C" +#6002500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#6003000 +0@" +0_ +02" +b0 &" +b0 ?" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6003500 +1. +0% +#6004000 +0. +1% +#6004500 +b10010010100001011000111000010110 2 +b10010010100001011000111000010110 I +b10010010100001011000111000010110 Z +b11100 3 +b11100 J +b11100 Y +11 +b10010010100001011000111000010110 , +b10010010100001011000111000010110 H +b11100 + +b11100 G +1- +1. +0% +#6005000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b10010010100001011000111000010110 &" +b10010010100001011000111000010110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#6005010 +b10010010100001011000111000010110 _" +#6005500 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6006000 +1] +0s +b1100110101011101010000100111011 | +b1100110101011101010000100111011 B" +0=" +0>" +0@" +0r +0q +15" +17" +b11111 3" +0d +0<" +0` +b10100 -" +1y +1u +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#6006500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b1111101100101011010111111111000 2 +b1111101100101011010111111111000 I +b1111101100101011010111111111000 Z +b10100 3 +b10100 J +b10100 Y +11 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +b1111101100101011010111111111000 , +b1111101100101011010111111111000 H +b10100 + +b10100 G +1- +1. +0% +#6007000 +0] +0i +b1111110010100001000111111011111 { +b1111110010100001000111111011111 A" +1s +1>" +1@" +19" +1;" +1r +1q +05" +07" +b1011 3" +1^ +0_ +1<" +1` +b111 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1/" +0x +0w +0," +b1111101100101011010111111111000 &" +b1111101100101011010111111111000 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1100110101011101010000100111011 0 +b1100110101011101010000100111011 M +b1100110101011101010000100111011 f +b1100110101011101010000100111011 !" +0. +1% +#6007010 +b1111101100101011010111111111000 W" +#6007500 +b10 5 +b10 L +b10 W +b10100 7 +b10100 N +b10100 V +16 +b10100010101001010000001110001011 2 +b10100010101001010000001110001011 I +b10100010101001010000001110001011 Z +b11000 3 +b11000 J +b11000 Y +b10 ' +b10 D +b10100 & +b10100 C +1( +b10100010101001010000001110001011 , +b10100010101001010000001110001011 H +b11000 + +b11000 G +1. +0% +#6008000 +1=" +1c +1k +1i +0s +b1111101100101011010111111111000 | +b1111101100101011010111111111000 B" +0>" +0h +1;" +09" +16" +17" +b111 3" +0^ +b11101 0" +08" +0l +0o +b1110101111001010010011011101 { +b1110101111001010010011011101 A" +b1011 -" +1t +0u +14" +1v +1n +1," +b1111110010100001000111111011111 / +b1111110010100001000111111011111 K +b1111110010100001000111111011111 e +b1111110010100001000111111011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100010101001010000001110001011 &" +b10100010101001010000001110001011 ?" +b11000 %" +b11000 *" +b11000 1" +b10 ~ +b10 )" +b10 ." +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#6008010 +b10100010101001010000001110001011 [" +#6008500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b100100100001001011000100001 2 +b100100100001001011000100001 I +b100100100001001011000100001 Z +b101 3 +b101 J +b101 Y +b10110 ' +b10110 D +b0 & +b0 C +0( +b100100100001001011000100001 , +b100100100001001011000100001 H +b101 + +b101 G +1. +0% +#6009000 +1>" +1_ +1^ +0k +0i +1s +0[ +1@" +0=" +b10001110011100100101011100001000 { +b10001110011100100101011100001000 A" +1:" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11010 3" +0<" +0` +0c +b1001 0" +18" +1l +1j +b11111 -" +0t +04" +0v +1a +0," +b100100100001001011000100001 &" +b100100100001001011000100001 ?" +b101 %" +b101 *" +b101 1" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +b1110101111001010010011011101 / +b1110101111001010010011011101 K +b1110101111001010010011011101 e +b1110101111001010010011011101 "" +b1111101100101011010111111111000 0 +b1111101100101011010111111111000 M +b1111101100101011010111111111000 f +b1111101100101011010111111111000 !" +0. +1% +#6009010 +b100100100001001011000100001 H" +#6009500 +b0 5 +b0 L +b0 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6010000 +1] +1k +1i +1[ +0>" +0@" +1h +1;" +0:" +b11111 3" +0^ +0_ +b11111 0" +08" +0l +0j +b11101110100101010101111000100010 { +b11101110100101010101111000100010 A" +0a +02" +0n +b10001110011100100101011100001000 / +b10001110011100100101011100001000 K +b10001110011100100101011100001000 e +b10001110011100100101011100001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0. +1% +#6010500 +04 +b1000010001010001111010110000000 2 +b1000010001010001111010110000000 I +b1000010001010001111010110000000 Z +b1011 3 +b1011 J +b1011 Y +11 +0) +b1000010001010001111010110000000 , +b1000010001010001111010110000000 H +b1011 + +b1011 G +1- +1. +0% +#6011000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +0[ +1=" +1@" +0;" +b10100 3" +1c +1_ +0k +1b +1a +12" +0/" +b1000010001010001111010110000000 &" +b1000010001010001111010110000000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +0$" +b11101110100101010101111000100010 / +b11101110100101010101111000100010 K +b11101110100101010101111000100010 e +b11101110100101010101111000100010 "" +0. +1% +#6011010 +b1000010001010001111010110000000 N" +#6011500 +b11101 5 +b11101 L +b11101 W +14 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6012000 +1] +0i +b1111001000110111100101011100111 { +b1111001000110111100101011100111 A" +0s +b1001000011110111011000001100111 | +b1001000011110111011000001100111 B" +1\ +1[ +0=" +0@" +0g +19" +1:" +1;" +0r +0q +16" +17" +b11111 3" +0c +0_ +b10 0" +0j +0o +1p +0k +18" +1l +b1000 -" +1t +0u +14" +1v +0b +0a +02" +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#6012500 +b10100 5 +b10100 L +b10100 W +b0 7 +b0 N +b0 V +06 +b10100 ' +b10100 D +b0 & +b0 C +0( +1. +0% +#6013000 +1j +1s +1g +09" +1:" +1r +1q +06" +07" +b1011 0" +0p +b1111101100101011010111111111000 { +b1111101100101011010111111111000 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0x +0w +0," +b10100 ~ +b10100 )" +b10100 ." +b0 } +b0 (" +b0 +" +0#" +b1111001000110111100101011100111 / +b1111001000110111100101011100111 K +b1111001000110111100101011100111 e +b1111001000110111100101011100111 "" +b1001000011110111011000001100111 0 +b1001000011110111011000001100111 M +b1001000011110111011000001100111 f +b1001000011110111011000001100111 !" +0. +1% +#6013500 +b0 5 +b0 L +b0 W +04 +b100011101110110010101010111100 2 +b100011101110110010101010111100 I +b100011101110110010101010111100 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b100011101110110010101010111100 , +b100011101110110010101010111100 H +b11000 + +b11000 G +1- +1. +0% +#6014000 +0] +1i +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0j +08" +0l +12" +0/" +b1111101100101011010111111111000 / +b1111101100101011010111111111000 K +b1111101100101011010111111111000 e +b1111101100101011010111111111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100011101110110010101010111100 &" +b100011101110110010101010111100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6014010 +b100011101110110010101010111100 [" +#6014500 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6015000 +1] +0s +b1111001000110111100101011100111 | +b1111001000110111100101011100111 B" +0=" +0@" +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b10 -" +0t +0y +1z +0u +14" +1v +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6015500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6016000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b1111001000110111100101011100111 0 +b1111001000110111100101011100111 M +b1111001000110111100101011100111 f +b1111001000110111100101011100111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6016500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#6017000 +0s +b100101100100110000010001001 | +b100101100100110000010001001 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6017500 +b10001 5 +b10001 L +b10001 W +14 +b10101 7 +b10101 N +b10101 V +b1010001111010100101000010000000 2 +b1010001111010100101000010000000 I +b1010001111010100101000010000000 Z +b10111 3 +b10111 J +b10111 Y +11 +b10001 ' +b10001 D +1) +b10101 & +b10101 C +b1010001111010100101000010000000 , +b1010001111010100101000010000000 H +b10111 + +b10111 G +1- +1. +0% +#6018000 +16" +0] +b11111110111010111010100010011 { +b11111110111010111010100010011 A" +1t +0\ +0[ +1>" +1@" +0g +1;" +1r +05" +b1000 3" +1^ +0_ +1<" +1` +b1110 0" +0k +18" +1l +b1010 -" +0y +b111000101000001001010111110110 | +b111000101000001001010111110110 B" +1b +1a +12" +1m +1/" +0x +b100101100100110000010001001 0 +b100101100100110000010001001 M +b100101100100110000010001001 f +b100101100100110000010001001 !" +b1010001111010100101000010000000 &" +b1010001111010100101000010000000 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b10101 } +b10101 (" +b10101 +" +0. +1% +#6018010 +b1010001111010100101000010000000 Z" +#6018500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6019000 +1] +1s +1\ +1[ +0>" +0@" +1g +0;" +1q +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111110111010111010100010011 / +b11111110111010111010100010011 K +b11111110111010111010100010011 e +b11111110111010111010100010011 "" +b111000101000001001010111110110 0 +b111000101000001001010111110110 M +b111000101000001001010111110110 f +b111000101000001001010111110110 !" +0. +1% +#6019500 +1. +0% +#6020000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6020500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#6021000 +0i +b10010010100001011000111000010110 { +b10010010100001011000111000010110 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#6021500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6022000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b10010010100001011000111000010110 / +b10010010100001011000111000010110 K +b10010010100001011000111000010110 e +b10010010100001011000111000010110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6022500 +b11001 5 +b11001 L +b11001 W +14 +b10011 7 +b10011 N +b10011 V +16 +b1111010001110100000000110111101 2 +b1111010001110100000000110111101 I +b1111010001110100000000110111101 Z +b10101 3 +b10101 J +b10101 Y +11 +b11001 ' +b11001 D +1) +b10011 & +b10011 C +1( +b1111010001110100000000110111101 , +b1111010001110100000000110111101 H +b10101 + +b10101 G +1- +1. +0% +#6023000 +0] +0i +b10101111010001101101011011110111 { +b10101111010001101101011011110111 A" +b1101011111111000110110010011010 | +b1101011111111000110110010011010 B" +0[ +1>" +1@" +0g +19" +1;" +0r +0q +17" +b1010 3" +1^ +0_ +1<" +1` +b110 0" +1o +0k +18" +1l +b1100 -" +0u +14" +1v +1a +12" +1m +1/" +1x +1w +1," +b1111010001110100000000110111101 &" +b1111010001110100000000110111101 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6023010 +b1111010001110100000000110111101 X" +#6023500 +b10000 5 +b10000 L +b10000 W +b0 7 +b0 N +b0 V +06 +b100101101010111011011101010010 2 +b100101101010111011011101010010 I +b100101101010111011011101010010 Z +b1111 3 +b1111 J +b1111 Y +b10000 ' +b10000 D +b0 & +b0 C +0( +b100101101010111011011101010010 , +b100101101010111011011101010010 H +b1111 + +b1111 G +1. +0% +#6024000 +1_ +0^ +1i +0\ +1@" +1=" +1g +09" +1r +1q +07" +b10000 3" +0<" +0` +1d +b1111 0" +0o +b11110100100011011000101001001110 { +b11110100100011011000101001001110 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0m +0x +0w +0," +b10101111010001101101011011110111 / +b10101111010001101101011011110111 K +b10101111010001101101011011110111 e +b10101111010001101101011011110111 "" +b1101011111111000110110010011010 0 +b1101011111111000110110010011010 M +b1101011111111000110110010011010 f +b1101011111111000110110010011010 !" +b100101101010111011011101010010 &" +b100101101010111011011101010010 ?" +b1111 %" +b1111 *" +b1111 1" +b10000 ~ +b10000 )" +b10000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6024010 +b100101101010111011011101010010 R" +#6024500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6025000 +1] +0s +b10000101101001100000000001110100 | +b10000101101001100000000001110100 B" +1\ +1[ +0=" +0>" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +16" +17" +b11111 3" +0d +0_ +b11111 0" +08" +0l +b10011 -" +0t +0y +1z +1u +0b +0a +02" +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +b11110100100011011000101001001110 / +b11110100100011011000101001001110 K +b11110100100011011000101001001110 e +b11110100100011011000101001001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6025500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6026000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +06" +07" +b11111 -" +0z +0u +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000101101001100000000001110100 0 +b10000101101001100000000001110100 M +b10000101101001100000000001110100 f +b10000101101001100000000001110100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6026500 +b10 7 +b10 N +b10 V +16 +b10110110000001000011101010000 2 +b10110110000001000011101010000 I +b10110110000001000011101010000 Z +b101 3 +b101 J +b101 Y +11 +b10 & +b10 C +1( +b10110110000001000011101010000 , +b10110110000001000011101010000 H +b101 + +b101 G +1- +1. +0% +#6027000 +0] +b1110101111001010010011011101 | +b1110101111001010010011011101 B" +0[ +1>" +1@" +0r +17" +b11010 3" +1^ +1_ +b11101 -" +1u +1a +12" +1x +1," +b10110110000001000011101010000 &" +b10110110000001000011101010000 ?" +b101 %" +b101 *" +b101 1" +1'" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6027010 +b10110110000001000011101010000 H" +#6027500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6028000 +1] +1[ +0>" +0@" +1r +07" +b11111 3" +0^ +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0," +b1110101111001010010011011101 0 +b1110101111001010010011011101 M +b1110101111001010010011011101 f +b1110101111001010010011011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6028500 +b10010 7 +b10010 N +b10010 V +16 +b10010 & +b10010 C +1( +1. +0% +#6029000 +b10111000010000111101010111010100 | +b10111000010000111101010111010100 B" +0r +17" +b1101 -" +0u +14" +1v +1x +1," +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6029500 +b0 7 +b0 N +b0 V +06 +b1101110001100110011111011000111 2 +b1101110001100110011111011000111 I +b1101110001100110011111011000111 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 & +b0 C +0( +b1101110001100110011111011000111 , +b1101110001100110011111011000111 H +b1001 + +b1001 G +1- +1. +0% +#6030000 +0] +0[ +1=" +1@" +1r +07" +b10110 3" +1c +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0," +b10111000010000111101010111010100 0 +b10111000010000111101010111010100 M +b10111000010000111101010111010100 f +b10111000010000111101010111010100 !" +b1101110001100110011111011000111 &" +b1101110001100110011111011000111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6030010 +b1101110001100110011111011000111 L" +#6030500 +b11000 7 +b11000 N +b11000 V +16 +b11110000011110100011010011011000 2 +b11110000011110100011010011011000 I +b11110000011110100011010011011000 Z +b1 3 +b1 J +b1 Y +b11000 & +b11000 C +1( +b11110000011110100011010011011000 , +b11110000011110100011010011011000 H +b1 + +b1 G +1. +0% +#6031000 +1] +0s +b100011101110110010101010111100 | +b100011101110110010101010111100 B" +0=" +15" +17" +b11110 3" +0c +b111 -" +1y +0u +14" +1v +1," +b11110000011110100011010011011000 &" +b11110000011110100011010011011000 ?" +b1 %" +b1 *" +b1 1" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6031010 +b11110000011110100011010011011000 D" +#6031500 +b11111 5 +b11111 L +b11111 W +14 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6032000 +0i +b1001101111010110011000100001000 { +b1001101111010110011000100001000 A" +1[ +0@" +0h +0g +19" +1:" +1;" +0q +b11111 3" +0_ +b0 0" +0j +0o +1p +0k +18" +1l +b110 -" +b10101111010001101101011011110111 | +b10101111010001101101011011110111 B" +0a +02" +1n +1m +1/" +1w +b100011101110110010101010111100 0 +b100011101110110010101010111100 M +b100011101110110010101010111100 f +b100011101110110010101010111100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#6032500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b0 ' +b0 D +0) +b110 & +b110 C +1. +0% +#6033000 +16" +1i +1u +1t +1h +1g +09" +0:" +0;" +0r +1q +17" +05" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +04" +0v +0y +b1101011001011000001110000101100 | +b1101011001011000001110000101100 B" +0n +0m +0/" +1x +0w +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +b1001101111010110011000100001000 / +b1001101111010110011000100001000 K +b1001101111010110011000100001000 e +b1001101111010110011000100001000 "" +b10101111010001101101011011110111 0 +b10101111010001101101011011110111 M +b10101111010001101101011011110111 f +b10101111010001101101011011110111 !" +0. +1% +#6033500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +1. +0% +#6034000 +0i +b10010010100001011000111000010110 { +b10010010100001011000111000010110 A" +1s +19" +1:" +1;" +1r +06" +07" +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101011001011000001110000101100 0 +b1101011001011000001110000101100 M +b1101011001011000001110000101100 f +b1101011001011000001110000101100 !" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6034500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6035000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10010010100001011000111000010110 / +b10010010100001011000111000010110 K +b10010010100001011000111000010110 e +b10010010100001011000111000010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6035500 +b10010111001001000111100111011010 2 +b10010111001001000111100111011010 I +b10010111001001000111100111011010 Z +b11011 3 +b11011 J +b11011 Y +11 +b10010111001001000111100111011010 , +b10010111001001000111100111011010 H +b11011 + +b11011 G +1- +1. +0% +#6036000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010111001001000111100111011010 &" +b10010111001001000111100111011010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0. +1% +#6036010 +b10010111001001000111100111011010 ^" +#6036500 +b10111 5 +b10111 L +b10111 W +14 +b10000100001100000100110001010111 2 +b10000100001100000100110001010111 I +b10000100001100000100110001010111 Z +b11001 3 +b11001 J +b11001 Y +b10111 ' +b10111 D +1) +b10000100001100000100110001010111 , +b10000100001100000100110001010111 H +b11001 + +b11001 G +1. +0% +#6037000 +0i +b1010001111010100101000010000000 { +b1010001111010100101000010000000 A" +1\ +0h +0g +1:" +1;" +b110 3" +b1000 0" +1j +0k +18" +1l +0b +1n +1m +1/" +b10000100001100000100110001010111 &" +b10000100001100000100110001010111 ?" +b11001 %" +b11001 *" +b11001 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#6037010 +b10000100001100000100110001010111 \" +#6037500 +b0 5 +b0 L +b0 W +04 +b1111100001011100001000110010001 2 +b1111100001011100001000110010001 I +b1111100001011100001000110010001 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b1111100001011100001000110010001 , +b1111100001011100001000110010001 H +b1110 + +b1110 G +1. +0% +#6038000 +1_ +0c +1i +0\ +1[ +1@" +1>" +1h +1g +0:" +0;" +b10001 3" +0<" +0` +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +0a +0n +0m +0/" +b1010001111010100101000010000000 / +b1010001111010100101000010000000 K +b1010001111010100101000010000000 e +b1010001111010100101000010000000 "" +b1111100001011100001000110010001 &" +b1111100001011100001000110010001 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6038010 +b1111100001011100001000110010001 Q" +#6038500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6039000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6039500 +1. +0% +#6040000 +0. +1% +#6040500 +b10010 5 +b10010 L +b10010 W +14 +b1011010111010111111011011011010 2 +b1011010111010111111011011011010 I +b1011010111010111111011011011010 Z +b11111 3 +b11111 J +b11111 Y +11 +b10010 ' +b10010 D +1) +b1011010111010111111011011011010 , +b1011010111010111111011011011010 H +b11111 + +b11111 G +1- +1. +0% +#6041000 +0] +b10111000010000111101010111010100 { +b10111000010000111101010111010100 A" +0\ +0[ +1=" +1>" +1@" +0h +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1101 0" +0k +18" +1l +1b +1a +12" +1n +1/" +b1011010111010111111011011011010 &" +b1011010111010111111011011011010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#6041500 +b0 5 +b0 L +b0 W +04 +b10111001010001000101001011000110 2 +b10111001010001000101001011000110 I +b10111001010001000101001011000110 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +b10111001010001000101001011000110 , +b10111001010001000101001011000110 H +b1111 + +b1111 G +1. +0% +#6042000 +1_ +1@" +1h +0;" +b10000 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b10111000010000111101010111010100 / +b10111000010000111101010111010100 K +b10111000010000111101010111010100 e +b10111000010000111101010111010100 "" +b10111001010001000101001011000110 &" +b10111001010001000101001011000110 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6042010 +b10111001010001000101001011000110 R" +#6042500 +b11100 5 +b11100 L +b11100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6043000 +1] +0i +b10010010100001011000111000010110 { +b10010010100001011000111000010110 A" +1\ +1[ +0=" +0>" +0@" +19" +1:" +1;" +b11111 3" +0d +0_ +b11 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6043500 +b0 5 +b0 L +b0 W +04 +b10011100001010010011001100100101 2 +b10011100001010010011001100100101 I +b10011100001010010011001100100101 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b10011100001010010011001100100101 , +b10011100001010010011001100100101 H +b11 + +b11 G +1- +1. +0% +#6044000 +1i +0\ +0[ +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11100 3" +1_ +b11111 0" +0p +08" +0l +1b +1a +12" +0/" +b10010010100001011000111000010110 / +b10010010100001011000111000010110 K +b10010010100001011000111000010110 e +b10010010100001011000111000010110 "" +b10011100001010010011001100100101 &" +b10011100001010010011001100100101 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6044010 +b10011100001010010011001100100101 F" +#6044500 +b101 5 +b101 L +b101 W +14 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6045000 +0i +b10110110000001000011101010000 { +b10110110000001000011101010000 A" +0s +b1111010001110100000000110111101 | +b1111010001110100000000110111101 B" +1\ +1[ +0@" +0g +1:" +1;" +0q +16" +17" +b11111 3" +0_ +b11010 0" +1j +1k +b1010 -" +1t +0u +14" +1v +0b +0a +02" +1m +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6045500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 ' +b0 D +0) +b10010 & +b10010 C +1. +0% +#6046000 +1i +1s +1g +0:" +0;" +0r +1q +06" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +0t +b10111000010000111101010111010100 | +b10111000010000111101010111010100 B" +0m +0/" +1x +0w +b10110110000001000011101010000 / +b10110110000001000011101010000 K +b10110110000001000011101010000 e +b10110110000001000011101010000 "" +b1111010001110100000000110111101 0 +b1111010001110100000000110111101 M +b1111010001110100000000110111101 f +b1111010001110100000000110111101 !" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#6046500 +b1000 7 +b1000 N +b1000 V +b1000 & +b1000 C +1. +0% +#6047000 +1u +0s +1r +17" +15" +b10111 -" +04" +0v +1y +b10011101101000110111100100110010 | +b10011101101000110111100100110010 B" +0x +b1000 } +b1000 (" +b1000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111000010000111101010111010100 0 +b10111000010000111101010111010100 M +b10111000010000111101010111010100 f +b10111000010000111101010111010100 !" +0. +1% +#6047500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b1100101101011111000011110000010 2 +b1100101101011111000011110000010 I +b1100101101011111000011110000010 Z +b10011 3 +b10011 J +b10011 Y +11 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b1100101101011111000011110000010 , +b1100101101011111000011110000010 H +b10011 + +b10011 G +1- +1. +0% +#6048000 +0i +b10010010100001011000111000010110 { +b10010010100001011000111000010110 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1@" +19" +1:" +1;" +05" +07" +b1100 3" +0_ +1<" +1` +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +0u +1b +1a +12" +1/" +0," +b10011101101000110111100100110010 0 +b10011101101000110111100100110010 M +b10011101101000110111100100110010 f +b10011101101000110111100100110010 !" +b1100101101011111000011110000010 &" +b1100101101011111000011110000010 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6048010 +b1100101101011111000011110000010 V" +#6048500 +b0 5 +b0 L +b0 W +04 +b110011011000000101110010100111 2 +b110011011000000101110010100111 I +b110011011000000101110010100111 Z +b11000 3 +b11000 J +b11000 Y +b0 ' +b0 D +0) +b110011011000000101110010100111 , +b110011011000000101110010100111 H +b11000 + +b11000 G +1. +0% +#6049000 +0] +1i +1\ +1[ +1=" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b111 3" +1c +b11111 0" +0p +08" +0l +0b +0a +0/" +b110011011000000101110010100111 &" +b110011011000000101110010100111 ?" +b11000 %" +b11000 *" +b11000 1" +b0 ~ +b0 )" +b0 ." +0$" +b10010010100001011000111000010110 / +b10010010100001011000111000010110 K +b10010010100001011000111000010110 e +b10010010100001011000111000010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6049010 +b110011011000000101110010100111 [" +#6049500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6050000 +1] +0s +b10010010100001011000111000010110 | +b10010010100001011000111000010110 B" +0=" +0@" +15" +16" +17" +b11111 3" +0c +0<" +0` +b11 -" +0t +0y +1z +0u +14" +1v +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#6050500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6051000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b10010010100001011000111000010110 0 +b10010010100001011000111000010110 M +b10010010100001011000111000010110 f +b10010010100001011000111000010110 !" +0. +1% +#6051500 +b11110 5 +b11110 L +b11110 W +14 +b10011110101011010011110001001110 2 +b10011110101011010011110001001110 I +b10011110101011010011110001001110 Z +b10101 3 +b10101 J +b10101 Y +11 +b11110 ' +b11110 D +1) +b10011110101011010011110001001110 , +b10011110101011010011110001001110 H +b10101 + +b10101 G +1- +1. +0% +#6052000 +0] +0i +b11111110010000010001000000000 { +b11111110010000010001000000000 A" +0[ +1>" +1@" +0h +19" +1:" +1;" +b1010 3" +1^ +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011110101011010011110001001110 &" +b10011110101011010011110001001110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#6052010 +b10011110101011010011110001001110 X" +#6052500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6053000 +1] +1i +1[ +0>" +0@" +1h +09" +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111110010000010001000000000 / +b11111110010000010001000000000 K +b11111110010000010001000000000 e +b11111110010000010001000000000 "" +0. +1% +#6053500 +b111 5 +b111 L +b111 W +14 +b11000 7 +b11000 N +b11000 V +16 +b111 ' +b111 D +1) +b11000 & +b11000 C +1( +1. +0% +#6054000 +0i +b1110011111110110111011011110110 { +b1110011111110110111011011110110 A" +0s +b110011011000000101110010100111 | +b110011011000000101110010100111 B" +0h +0g +1:" +1;" +15" +17" +b11000 0" +1j +1k +b111 -" +1y +0u +14" +1v +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111 ~ +b111 )" +b111 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#6054500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6055000 +1i +1s +1h +1g +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +04" +0v +0n +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110011111110110111011011110110 / +b1110011111110110111011011110110 K +b1110011111110110111011011110110 e +b1110011111110110111011011110110 "" +b110011011000000101110010100111 0 +b110011011000000101110010100111 M +b110011011000000101110010100111 f +b110011011000000101110010100111 !" +0. +1% +#6055500 +b101 7 +b101 N +b101 V +16 +b101 & +b101 C +1( +1. +0% +#6056000 +0s +b10110110000001000011101010000 | +b10110110000001000011101010000 B" +0q +16" +17" +b11010 -" +1t +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#6056500 +b11 7 +b11 N +b11 V +b10101101100111011001110111001 2 +b10101101100111011001110111001 I +b10101101100111011001110111001 Z +b1011 3 +b1011 J +b1011 Y +11 +b11 & +b11 C +b10101101100111011001110111001 , +b10101101100111011001110111001 H +b1011 + +b1011 G +1- +1. +0% +#6057000 +0] +1s +0\ +0[ +1=" +1@" +0r +06" +b10100 3" +1c +1_ +b11100 -" +0t +b10011100001010010011001100100101 | +b10011100001010010011001100100101 B" +1b +1a +12" +1x +b10101101100111011001110111001 &" +b10101101100111011001110111001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b11 } +b11 (" +b11 +" +b10110110000001000011101010000 0 +b10110110000001000011101010000 M +b10110110000001000011101010000 f +b10110110000001000011101010000 !" +0. +1% +#6057010 +b10101101100111011001110111001 N" +#6057500 +b10011 5 +b10011 L +b10011 W +14 +b11111000000110001111010111011110 2 +b11111000000110001111010111011110 I +b11111000000110001111010111011110 Z +b1100 3 +b1100 J +b1100 Y +b10011 ' +b10011 D +1) +b11111000000110001111010111011110 , +b11111000000110001111010111011110 H +b1100 + +b1100 G +1. +0% +#6058000 +0c +b1100101101011111000011110000010 { +b1100101101011111000011110000010 A" +1\ +1[ +1>" +0h +0g +1;" +b10011 3" +1d +b1100 0" +0k +18" +1l +0b +0a +1n +1m +1/" +b10011100001010010011001100100101 0 +b10011100001010010011001100100101 M +b10011100001010010011001100100101 f +b10011100001010010011001100100101 !" +b11111000000110001111010111011110 &" +b11111000000110001111010111011110 ?" +b1100 %" +b1100 *" +b1100 1" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#6058010 +b11111000000110001111010111011110 O" +#6058500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10111110111010100001010010001100 2 +b10111110111010100001010010001100 I +b10111110111010100001010010001100 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10111110111010100001010010001100 , +b10111110111010100001010010001100 H +b101 + +b101 G +1. +0% +#6059000 +1^ +0[ +0=" +1>" +1h +1g +0;" +1r +1q +07" +b11010 3" +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0n +0m +0/" +0x +0w +0," +b10111110111010100001010010001100 &" +b10111110111010100001010010001100 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100101101011111000011110000010 / +b1100101101011111000011110000010 K +b1100101101011111000011110000010 e +b1100101101011111000011110000010 "" +0. +1% +#6059010 +b10111110111010100001010010001100 H" +#6059500 +b11000111110100110100100101100 2 +b11000111110100110100100101100 I +b11000111110100110100100101100 Z +b1100 3 +b1100 J +b1100 Y +b11000111110100110100100101100 , +b11000111110100110100100101100 H +b1100 + +b1100 G +1. +0% +#6060000 +0^ +1[ +1=" +b10011 3" +1d +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000111110100110100100101100 &" +b11000111110100110100100101100 ?" +b1100 %" +b1100 *" +b1100 1" +0. +1% +#6060010 +b11000111110100110100100101100 O" +#6060500 +b10000101000000000001101010010110 2 +b10000101000000000001101010010110 I +b10000101000000000001101010010110 Z +b11110 3 +b11110 J +b11110 Y +b10000101000000000001101010010110 , +b10000101000000000001101010010110 H +b11110 + +b11110 G +1. +0% +#6061000 +0_ +0\ +b1 3" +1<" +1` +1b +b10000101000000000001101010010110 &" +b10000101000000000001101010010110 ?" +b11110 %" +b11110 *" +b11110 1" +0. +1% +#6061010 +b10000101000000000001101010010110 a" +#6061500 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6062000 +1] +0s +b1011010111010111111011011011010 | +b1011010111010111111011011011010 B" +1\ +0=" +0>" +0@" +0r +0q +15" +16" +17" +b11111 3" +0d +0<" +0` +b0 -" +0t +0y +1z +0u +14" +1v +0b +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#6062500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10110101111010101010011100000101 2 +b10110101111010101010011100000101 I +b10110101111010101010011100000101 Z +b110 3 +b110 J +b110 Y +11 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b10110101111010101010011100000101 , +b10110101111010101010011100000101 H +b110 + +b110 G +1- +1. +0% +#6063000 +0] +0i +b1010001111010100101000010000000 { +b1010001111010100101000010000000 A" +1s +0\ +1>" +1@" +0h +0g +1:" +1;" +1r +1q +05" +06" +07" +b11001 3" +1^ +1_ +b1000 0" +1j +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1n +1m +1/" +0x +0w +0," +b10110101111010101010011100000101 &" +b10110101111010101010011100000101 ?" +b110 %" +b110 *" +b110 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1011010111010111111011011011010 0 +b1011010111010111111011011011010 M +b1011010111010111111011011011010 f +b1011010111010111111011011011010 !" +0. +1% +#6063010 +b10110101111010101010011100000101 I" +#6063500 +b10101 5 +b10101 L +b10101 W +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6064000 +1] +0s +b1111001000110111100101011100111 | +b1111001000110111100101011100111 B" +1\ +0>" +0@" +1h +0q +15" +16" +17" +b11111 3" +0^ +0_ +b1010 0" +b10011110101011010011110001001110 { +b10011110101011010011110001001110 A" +b10 -" +0t +0y +1z +0u +14" +1v +0b +02" +0n +1w +1," +b1010001111010100101000010000000 / +b1010001111010100101000010000000 K +b1010001111010100101000010000000 e +b1010001111010100101000010000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#6064500 +b10100 5 +b10100 L +b10100 W +b0 7 +b0 N +b0 V +06 +b10100 ' +b10100 D +b0 & +b0 C +0( +1. +0% +#6065000 +1s +1g +1q +05" +06" +07" +b1011 0" +b1111101100101011010111111111000 { +b1111101100101011010111111111000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0w +0," +b10100 ~ +b10100 )" +b10100 ." +b0 } +b0 (" +b0 +" +0#" +b10011110101011010011110001001110 / +b10011110101011010011110001001110 K +b10011110101011010011110001001110 e +b10011110101011010011110001001110 "" +b1111001000110111100101011100111 0 +b1111001000110111100101011100111 M +b1111001000110111100101011100111 f +b1111001000110111100101011100111 !" +0. +1% +#6065500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b11101101100100000100100100001010 2 +b11101101100100000100100100001010 I +b11101101100100000100100100001010 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b11101101100100000100100100001010 , +b11101101100100000100100100001010 H +b10001 + +b10001 G +1- +1. +0% +#6066000 +1i +0s +b10011001011011110011100111110011 | +b10011001011011110011100111110011 B" +0[ +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +16" +17" +b1110 3" +0_ +1<" +1` +b11111 0" +0j +08" +0l +b11011 -" +1t +1u +1a +12" +0/" +1," +b1111101100101011010111111111000 / +b1111101100101011010111111111000 K +b1111101100101011010111111111000 e +b1111101100101011010111111111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101101100100000100100100001010 &" +b11101101100100000100100100001010 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#6066010 +b11101101100100000100100100001010 T" +#6066500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b10000000100100001000011110 2 +b10000000100100001000011110 I +b10000000100100001000011110 Z +b11 3 +b11 J +b11 Y +b110 ' +b110 D +1) +b0 & +b0 C +0( +b10000000100100001000011110 , +b10000000100100001000011110 H +b11 + +b11 G +1. +0% +#6067000 +1_ +0i +b10110101111010101010011100000101 { +b10110101111010101010011100000101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1@" +0h +1:" +1;" +06" +07" +b11100 3" +0<" +0` +b11001 0" +1j +1k +b11111 -" +0t +0u +1b +1n +1/" +0," +b10000000100100001000011110 &" +b10000000100100001000011110 ?" +b11 %" +b11 *" +b11 1" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011001011011110011100111110011 0 +b10011001011011110011100111110011 M +b10011001011011110011100111110011 f +b10011001011011110011100111110011 !" +0. +1% +#6067010 +b10000000100100001000011110 F" +#6067500 +b10100 5 +b10100 L +b10100 W +b1011011011100011001010101010111 2 +b1011011011100011001010101010111 I +b1011011011100011001010101010111 Z +b10111 3 +b10111 J +b10111 Y +b10100 ' +b10100 D +b1011011011100011001010101010111 , +b1011011011100011001010101010111 H +b10111 + +b10111 G +1. +0% +#6068000 +0_ +0] +0k +1>" +1h +b1000 3" +1<" +1` +1^ +b1011 0" +18" +1l +b1111101100101011010111111111000 { +b1111101100101011010111111111000 A" +0n +b10110101111010101010011100000101 / +b10110101111010101010011100000101 K +b10110101111010101010011100000101 e +b10110101111010101010011100000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011011011100011001010101010111 &" +b1011011011100011001010101010111 ?" +b10111 %" +b10111 *" +b10111 1" +b10100 ~ +b10100 )" +b10100 ." +0. +1% +#6068010 +b1011011011100011001010101010111 Z" +#6068500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6069000 +1] +1i +1\ +1[ +0>" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +08" +0l +0b +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111101100101011010111111111000 / +b1111101100101011010111111111000 K +b1111101100101011010111111111000 e +b1111101100101011010111111111000 "" +0. +1% +#6069500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#6070000 +0s +b10010010100001011000111000010110 | +b10010010100001011000111000010110 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#6070500 +b1010 7 +b1010 N +b1010 V +b1100011100010010010000110110011 2 +b1100011100010010010000110110011 I +b1100011100010010010000110110011 Z +b10001 3 +b10001 J +b10001 Y +11 +b1010 & +b1010 C +b1100011100010010010000110110011 , +b1100011100010010010000110110011 H +b10001 + +b10001 G +1- +1. +0% +#6071000 +1u +1y +0[ +1@" +0r +17" +15" +06" +b1110 3" +0_ +1<" +1` +b10101 -" +04" +0v +0z +b110010001010110101001000100111 | +b110010001010110101001000100111 B" +1a +12" +1x +b1100011100010010010000110110011 &" +b1100011100010010010000110110011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1010 } +b1010 (" +b1010 +" +b10010010100001011000111000010110 0 +b10010010100001011000111000010110 M +b10010010100001011000111000010110 f +b10010010100001011000111000010110 !" +0. +1% +#6071010 +b1100011100010010010000110110011 T" +#6071500 +b10 5 +b10 L +b10 W +14 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6072000 +16" +b1110101111001010010011011101 { +b1110101111001010010011011101 A" +1t +1[ +0@" +0h +1;" +1r +05" +b11111 3" +0<" +0` +b11101 0" +1k +b11011 -" +0y +b10011001011011110011100111110011 | +b10011001011011110011100111110011 B" +0a +02" +1n +1/" +0x +b110010001010110101001000100111 0 +b110010001010110101001000100111 M +b110010001010110101001000100111 f +b110010001010110101001000100111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#6072500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +b0 ' +b0 D +0) +b1001 & +b1001 C +1. +0% +#6073000 +15" +1y +1h +0;" +0q +06" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +0t +b1101110001100110011111011000111 | +b1101110001100110011111011000111 B" +0n +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +b1110101111001010010011011101 / +b1110101111001010010011011101 K +b1110101111001010010011011101 e +b1110101111001010010011011101 "" +b10011001011011110011100111110011 0 +b10011001011011110011100111110011 M +b10011001011011110011100111110011 f +b10011001011011110011100111110011 !" +0. +1% +#6073500 +b10110 7 +b10110 N +b10110 V +b10110 & +b10110 C +1. +0% +#6074000 +16" +0u +1t +0r +1q +05" +b1001 -" +14" +1v +0y +b10001110011100100101011100001000 | +b10001110011100100101011100001000 B" +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101110001100110011111011000111 0 +b1101110001100110011111011000111 M +b1101110001100110011111011000111 f +b1101110001100110011111011000111 !" +b10110 } +b10110 (" +b10110 +" +0. +1% +#6074500 +14 +b0 7 +b0 N +b0 V +06 +1) +b0 & +b0 C +0( +1. +0% +#6075000 +b11101110100101010101111000100010 { +b11101110100101010101111000100010 A" +1s +1;" +1r +06" +07" +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0," +1$" +b0 } +b0 (" +b0 +" +0#" +b10001110011100100101011100001000 0 +b10001110011100100101011100001000 M +b10001110011100100101011100001000 f +b10001110011100100101011100001000 !" +0. +1% +#6075500 +b10111 5 +b10111 L +b10111 W +b10111 ' +b10111 D +1. +0% +#6076000 +0k +0i +0h +0g +1:" +b1000 0" +18" +1l +1j +b1011011011100011001010101010111 { +b1011011011100011001010101010111 A" +1n +1m +b11101110100101010101111000100010 / +b11101110100101010101111000100010 K +b11101110100101010101111000100010 e +b11101110100101010101111000100010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111 ~ +b10111 )" +b10111 ." +0. +1% +#6076500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6077000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1011011011100011001010101010111 / +b1011011011100011001010101010111 K +b1011011011100011001010101010111 e +b1011011011100011001010101010111 "" +0. +1% +#6077500 +b11 5 +b11 L +b11 W +14 +b10101 7 +b10101 N +b10101 V +16 +b10011100110101111001111100110 2 +b10011100110101111001111100110 I +b10011100110101111001111100110 Z +11 +b11 ' +b11 D +1) +b10101 & +b10101 C +1( +b10011100110101111001111100110 , +b10011100110101111001111100110 H +1- +1. +0% +#6078000 +b10000000100100001000011110 { +b10000000100100001000011110 A" +0s +b10011110101011010011110001001110 | +b10011110101011010011110001001110 B" +1@" +0h +0g +1;" +0q +16" +17" +1_ +b11100 0" +1k +b1010 -" +1t +0u +14" +1v +12" +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011100110101111001111100110 &" +b10011100110101111001111100110 ?" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#6078010 +b10011100110101111001111100110 C" +#6078500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#6079000 +1s +0@" +1h +1g +0;" +1q +06" +07" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0n +0m +0/" +0w +0," +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10000000100100001000011110 / +b10000000100100001000011110 K +b10000000100100001000011110 e +b10000000100100001000011110 "" +b10011110101011010011110001001110 0 +b10011110101011010011110001001110 M +b10011110101011010011110001001110 f +b10011110101011010011110001001110 !" +0. +1% +#6079500 +b11011110001000010101000100110100 2 +b11011110001000010101000100110100 I +b11011110001000010101000100110100 Z +b10101 3 +b10101 J +b10101 Y +11 +b11011110001000010101000100110100 , +b11011110001000010101000100110100 H +b10101 + +b10101 G +1- +1. +0% +#6080000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011110001000010101000100110100 &" +b11011110001000010101000100110100 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +0. +1% +#6080010 +b11011110001000010101000100110100 X" +#6080500 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6081000 +1] +0s +b10111110111010100001010010001100 | +b10111110111010100001010010001100 B" +1[ +0>" +0@" +0q +16" +17" +b11111 3" +0^ +0<" +0` +b11010 -" +1t +1u +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#6081500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6082000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10111110111010100001010010001100 0 +b10111110111010100001010010001100 M +b10111110111010100001010010001100 f +b10111110111010100001010010001100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6082500 +b1010100001001101111111011000010 2 +b1010100001001101111111011000010 I +b1010100001001101111111011000010 Z +b10001 3 +b10001 J +b10001 Y +11 +b1010100001001101111111011000010 , +b1010100001001101111111011000010 H +b10001 + +b10001 G +1- +1. +0% +#6083000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b1010100001001101111111011000010 &" +b1010100001001101111111011000010 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6083010 +b1010100001001101111111011000010 T" +#6083500 +b11110110100011010010000100001100 2 +b11110110100011010010000100001100 I +b11110110100011010010000100001100 Z +b10011 3 +b10011 J +b10011 Y +b11110110100011010010000100001100 , +b11110110100011010010000100001100 H +b10011 + +b10011 G +1. +0% +#6084000 +0\ +b1100 3" +1b +b11110110100011010010000100001100 &" +b11110110100011010010000100001100 ?" +b10011 %" +b10011 *" +b10011 1" +0. +1% +#6084010 +b11110110100011010010000100001100 V" +#6084500 +b11110 7 +b11110 N +b11110 V +16 +b11100010100101010111101111010100 2 +b11100010100101010111101111010100 I +b11100010100101010111101111010100 Z +b110 3 +b110 J +b110 Y +b11110 & +b11110 C +1( +b11100010100101010111101111010100 , +b11100010100101010111101111010100 H +b110 + +b110 G +1. +0% +#6085000 +1_ +0] +0s +b10000101000000000001101010010110 | +b10000101000000000001101010010110 B" +1[ +1@" +1>" +0r +15" +16" +17" +b11001 3" +0<" +0` +1^ +b1 -" +0t +0y +1z +0u +14" +1v +0a +1x +1," +b11100010100101010111101111010100 &" +b11100010100101010111101111010100 ?" +b110 %" +b110 *" +b110 1" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#6085010 +b11100010100101010111101111010100 I" +#6085500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6086000 +1] +1s +1\ +0>" +0@" +1r +05" +06" +07" +b11111 3" +0^ +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0," +b10000101000000000001101010010110 0 +b10000101000000000001101010010110 M +b10000101000000000001101010010110 f +b10000101000000000001101010010110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6086500 +b1111 7 +b1111 N +b1111 V +16 +b1111 & +b1111 C +1( +1. +0% +#6087000 +0s +b10111001010001000101001011000110 | +b10111001010001000101001011000110 B" +0r +0q +15" +16" +17" +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6087500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b1011010000011101011010010010 2 +b1011010000011101011010010010 I +b1011010000011101011010010010 Z +11 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b1011010000011101011010010010 , +b1011010000011101011010010010 H +1- +1. +0% +#6088000 +0i +b10111001010001000101001011000110 { +b10111001010001000101001011000110 A" +1s +1@" +0h +0g +19" +1:" +1;" +1r +1q +05" +06" +07" +1_ +b10000 0" +0j +0o +1p +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +1m +1/" +0x +0w +0," +b10111001010001000101001011000110 0 +b10111001010001000101001011000110 M +b10111001010001000101001011000110 f +b10111001010001000101001011000110 !" +b1011010000011101011010010010 &" +b1011010000011101011010010010 ?" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6088010 +b1011010000011101011010010010 C" +#6088500 +b11 5 +b11 L +b11 W +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +01 +b11 ' +b11 D +b11001 & +b11001 C +1( +b0 , +b0 H +0- +1. +0% +#6089000 +1i +b10000000100100001000011110 { +b10000000100100001000011110 A" +0s +b10000100001100000100110001010111 | +b10000100001100000100110001010111 B" +0@" +09" +0:" +0q +15" +17" +0_ +b11100 0" +0p +b110 -" +1y +0u +14" +1v +02" +1w +1," +b0 &" +b0 ?" +0'" +b11 ~ +b11 )" +b11 ." +b11001 } +b11001 (" +b11001 +" +1#" +b10111001010001000101001011000110 / +b10111001010001000101001011000110 K +b10111001010001000101001011000110 e +b10111001010001000101001011000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6089500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b0 ' +b0 D +0) +b1 & +b1 C +1. +0% +#6090000 +1u +1s +1h +1g +0;" +17" +b11110000011110100011010011011000 | +b11110000011110100011010011011000 B" +05" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11110 -" +04" +0v +0y +0n +0m +0/" +b10000000100100001000011110 / +b10000000100100001000011110 K +b10000000100100001000011110 e +b10000000100100001000011110 "" +b10000100001100000100110001010111 0 +b10000100001100000100110001010111 M +b10000100001100000100110001010111 f +b10000100001100000100110001010111 !" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +0. +1% +#6090500 +b10101 7 +b10101 N +b10101 V +b10101 & +b10101 C +1. +0% +#6091000 +0u +0s +b11011110001000010101000100110100 | +b11011110001000010101000100110100 B" +16" +b1010 -" +14" +1v +1t +b10101 } +b10101 (" +b10101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110000011110100011010011011000 0 +b11110000011110100011010011011000 M +b11110000011110100011010011011000 f +b11110000011110100011010011011000 !" +0. +1% +#6091500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6092000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b11011110001000010101000100110100 0 +b11011110001000010101000100110100 M +b11011110001000010101000100110100 f +b11011110001000010101000100110100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6092500 +b1101111101001010110101 2 +b1101111101001010110101 I +b1101111101001010110101 Z +b1 3 +b1 J +b1 Y +11 +b1101111101001010110101 , +b1101111101001010110101 H +b1 + +b1 G +1- +1. +0% +#6093000 +0[ +1@" +b11110 3" +1_ +1a +12" +b1101111101001010110101 &" +b1101111101001010110101 ?" +b1 %" +b1 *" +b1 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6093010 +b1101111101001010110101 D" +#6093500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6094000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6094500 +14 +1) +1. +0% +#6095000 +b1011010000011101011010010010 { +b1011010000011101011010010010 A" +1;" +1k +1/" +1$" +0. +1% +#6095500 +04 +b11101110101000101110111100000 2 +b11101110101000101110111100000 I +b11101110101000101110111100000 Z +b1110 3 +b1110 J +b1110 Y +11 +0) +b11101110101000101110111100000 , +b11101110101000101110111100000 H +b1110 + +b1110 G +1- +1. +0% +#6096000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +1=" +1>" +1@" +0;" +b10001 3" +0^ +0c +1d +1_ +0k +1b +12" +0/" +b1011010000011101011010010010 / +b1011010000011101011010010010 K +b1011010000011101011010010010 e +b1011010000011101011010010010 "" +b11101110101000101110111100000 &" +b11101110101000101110111100000 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +0$" +0. +1% +#6096010 +b11101110101000101110111100000 Q" +#6096500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6097000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6097500 +1. +0% +#6098000 +0. +1% +#6098500 +b1111 7 +b1111 N +b1111 V +16 +b1111 & +b1111 C +1( +1. +0% +#6099000 +0s +b10111001010001000101001011000110 | +b10111001010001000101001011000110 B" +0r +0q +15" +16" +17" +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#6099500 +b0 7 +b0 N +b0 V +06 +b1000110010100101110100101111101 2 +b1000110010100101110100101111101 I +b1000110010100101110100101111101 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 & +b0 C +0( +b1000110010100101110100101111101 , +b1000110010100101110100101111101 H +b11111 + +b11111 G +1- +1. +0% +#6100000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1r +1q +05" +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0w +0," +b10111001010001000101001011000110 0 +b10111001010001000101001011000110 M +b10111001010001000101001011000110 f +b10111001010001000101001011000110 !" +b1000110010100101110100101111101 &" +b1000110010100101110100101111101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6100500 +b10011 7 +b10011 N +b10011 V +16 +b1101100111001110001011100111010 2 +b1101100111001110001011100111010 I +b1101100111001110001011100111010 Z +b0 3 +b0 J +b0 Y +b10011 & +b10011 C +1( +b1101100111001110001011100111010 , +b1101100111001110001011100111010 H +b0 + +b0 G +1. +0% +#6101000 +1_ +1] +b11110110100011010010000100001100 | +b11110110100011010010000100001100 B" +1\ +1[ +1@" +0=" +0>" +0r +0q +17" +b11111 3" +0<" +0` +0d +b1100 -" +0u +14" +1v +0b +0a +1x +1w +1," +b1101100111001110001011100111010 &" +b1101100111001110001011100111010 ?" +b0 %" +b0 *" +b0 1" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6101010 +b1101100111001110001011100111010 C" +#6101500 +b11110 7 +b11110 N +b11110 V +b0 2 +b0 I +b0 Z +01 +b11110 & +b11110 C +b0 , +b0 H +0- +1. +0% +#6102000 +0s +0@" +1q +15" +16" +0_ +b1 -" +0t +0y +1z +b10000101000000000001101010010110 | +b10000101000000000001101010010110 B" +02" +0w +b11110110100011010010000100001100 0 +b11110110100011010010000100001100 M +b11110110100011010010000100001100 f +b11110110100011010010000100001100 !" +b0 &" +b0 ?" +0'" +b11110 } +b11110 (" +b11110 +" +0. +1% +#6102500 +b11111 7 +b11111 N +b11111 V +b10010000111101000101001000110111 2 +b10010000111101000101001000110111 I +b10010000111101000101001000110111 Z +b11101 3 +b11101 J +b11101 Y +11 +b11111 & +b11111 C +b10010000111101000101001000110111 , +b10010000111101000101001000110111 H +b11101 + +b11101 G +1- +1. +0% +#6103000 +0] +0[ +1=" +1>" +1@" +0q +b10 3" +0^ +0c +1d +0_ +1<" +1` +b0 -" +b1000110010100101110100101111101 | +b1000110010100101110100101111101 B" +1a +12" +1w +b10010000111101000101001000110111 &" +b10010000111101000101001000110111 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b11111 } +b11111 (" +b11111 +" +b10000101000000000001101010010110 0 +b10000101000000000001101010010110 M +b10000101000000000001101010010110 f +b10000101000000000001101010010110 !" +0. +1% +#6103010 +b10010000111101000101001000110111 `" +#6103500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6104000 +1] +1s +1[ +0=" +0>" +0@" +1r +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0w +0," +b1000110010100101110100101111101 0 +b1000110010100101110100101111101 M +b1000110010100101110100101111101 f +b1000110010100101110100101111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6104500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#6105000 +0s +b10000100001100000100110001010111 | +b10000100001100000100110001010111 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6105500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +1. +0% +#6106000 +0i +b10011110011111100100001110101111 { +b10011110011111100100001110101111 A" +1s +0h +19" +1;" +1q +05" +07" +b101 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0w +0," +b10000100001100000100110001010111 0 +b10000100001100000100110001010111 M +b10000100001100000100110001010111 f +b10000100001100000100110001010111 !" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6106500 +b10011 5 +b10011 L +b10011 W +b110 7 +b110 N +b110 V +16 +b1011110101011001000010100011011 2 +b1011110101011001000010100011011 I +b1011110101011001000010100011011 Z +b10000 3 +b10000 J +b10000 Y +11 +b10011 ' +b10011 D +b110 & +b110 C +1( +b1011110101011001000010100011011 , +b1011110101011001000010100011011 H +b10000 + +b10000 G +1- +1. +0% +#6107000 +1i +0s +b11100010100101010111101111010100 | +b11100010100101010111101111010100 B" +1@" +0g +09" +0r +16" +17" +b1111 3" +0_ +1<" +1` +b1100 0" +0o +b11110110100011010010000100001100 { +b11110110100011010010000100001100 A" +b11001 -" +1t +1u +12" +1m +1x +1," +b1011110101011001000010100011011 &" +b1011110101011001000010100011011 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +b110 } +b110 (" +b110 +" +1#" +b10011110011111100100001110101111 / +b10011110011111100100001110101111 K +b10011110011111100100001110101111 e +b10011110011111100100001110101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6107010 +b1011110101011001000010100011011 S" +#6107500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6108000 +1s +0@" +1h +1g +0;" +1r +06" +07" +b11111 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0m +0/" +0x +0," +b11110110100011010010000100001100 / +b11110110100011010010000100001100 K +b11110110100011010010000100001100 e +b11110110100011010010000100001100 "" +b11100010100101010111101111010100 0 +b11100010100101010111101111010100 M +b11100010100101010111101111010100 f +b11100010100101010111101111010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6108500 +b10100 5 +b10100 L +b10100 W +14 +b11100 7 +b11100 N +b11100 V +16 +b11011010110000110001111011001 2 +b11011010110000110001111011001 I +b11011010110000110001111011001 Z +b11011 3 +b11011 J +b11011 Y +11 +b10100 ' +b10100 D +1) +b11100 & +b11100 C +1( +b11011010110000110001111011001 , +b11011010110000110001111011001 H +b11011 + +b11011 G +1- +1. +0% +#6109000 +0] +0i +b1111101100101011010111111111000 { +b1111101100101011010111111111000 A" +0s +b10010010100001011000111000010110 | +b10010010100001011000111000010110 B" +0\ +0[ +1=" +1@" +1:" +1;" +15" +16" +17" +b100 3" +1c +0_ +1<" +1` +b1011 0" +1j +0k +18" +1l +b11 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +1/" +1," +b11011010110000110001111011001 &" +b11011010110000110001111011001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6109010 +b11011010110000110001111011001 ^" +#6109500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6110000 +1] +1i +1s +1\ +1[ +0=" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0<" +0` +b11111 0" +0j +08" +0l +b11111 -" +0z +04" +0v +0b +0a +02" +0/" +0," +b1111101100101011010111111111000 / +b1111101100101011010111111111000 K +b1111101100101011010111111111000 e +b1111101100101011010111111111000 "" +b10010010100001011000111000010110 0 +b10010010100001011000111000010110 M +b10010010100001011000111000010110 f +b10010010100001011000111000010110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6110500 +b100 7 +b100 N +b100 V +16 +b100 & +b100 C +1( +1. +0% +#6111000 +0s +b10011001011011110011100111110011 | +b10011001011011110011100111110011 B" +16" +17" +b11011 -" +1t +1u +1," +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6111500 +b1100 7 +b1100 N +b1100 V +b11000011001111001000110011110011 2 +b11000011001111001000110011110011 I +b11000011001111001000110011110011 Z +b11 3 +b11 J +b11 Y +11 +b1100 & +b1100 C +b11000011001111001000110011110011 , +b11000011001111001000110011110011 H +b11 + +b11 G +1- +1. +0% +#6112000 +0t +b11000111110100110100100101100 | +b11000111110100110100100101100 B" +0\ +0[ +1@" +15" +b11100 3" +1_ +b10011 -" +1z +1b +1a +12" +b10011001011011110011100111110011 0 +b10011001011011110011100111110011 M +b10011001011011110011100111110011 f +b10011001011011110011100111110011 !" +b11000011001111001000110011110011 &" +b11000011001111001000110011110011 ?" +b11 %" +b11 *" +b11 1" +1'" +b1100 } +b1100 (" +b1100 +" +0. +1% +#6112010 +b11000011001111001000110011110011 F" +#6112500 +b101 7 +b101 N +b101 V +b10000010101010011101000000011010 2 +b10000010101010011101000000011010 I +b10000010101010011101000000011010 Z +b1111 3 +b1111 J +b1111 Y +b101 & +b101 C +b10000010101010011101000000011010 , +b10000010101010011101000000011010 H +b1111 + +b1111 G +1. +0% +#6113000 +0] +1t +1=" +1>" +0q +05" +16" +b10000 3" +0^ +0c +1d +b11010 -" +0z +b10111110111010100001010010001100 | +b10111110111010100001010010001100 B" +1w +b10000010101010011101000000011010 &" +b10000010101010011101000000011010 ?" +b1111 %" +b1111 *" +b1111 1" +b101 } +b101 (" +b101 +" +b11000111110100110100100101100 0 +b11000111110100110100100101100 M +b11000111110100110100100101100 f +b11000111110100110100100101100 !" +0. +1% +#6113010 +b10000010101010011101000000011010 R" +#6113500 +b0 7 +b0 N +b0 V +06 +b11110110000011110110001101000010 2 +b11110110000011110110001101000010 I +b11110110000011110110001101000010 Z +b111 3 +b111 J +b111 Y +b0 & +b0 C +0( +b11110110000011110110001101000010 , +b11110110000011110110001101000010 H +b111 + +b111 G +1. +0% +#6114000 +1^ +1s +0=" +1>" +1q +06" +07" +b11000 3" +0d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10111110111010100001010010001100 0 +b10111110111010100001010010001100 M +b10111110111010100001010010001100 f +b10111110111010100001010010001100 !" +b11110110000011110110001101000010 &" +b11110110000011110110001101000010 ?" +b111 %" +b111 *" +b111 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6114010 +b11110110000011110110001101000010 J" +#6114500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6115000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6115500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#6116000 +b1101111101001010110101 | +b1101111101001010110101 B" +0q +17" +b11110 -" +1u +1w +1," +b1 } +b1 (" +b1 +" +1#" +0. +1% +#6116500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +1. +0% +#6117000 +0i +b10000101000000000001101010010110 { +b10000101000000000001101010010110 A" +0h +19" +1:" +1;" +1q +07" +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0w +0," +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1101111101001010110101 0 +b1101111101001010110101 M +b1101111101001010110101 f +b1101111101001010110101 !" +0. +1% +#6117500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +1. +0% +#6118000 +1i +0s +b1000110010100101110100101111101 | +b1000110010100101110100101111101 B" +1h +09" +0:" +0;" +0r +0q +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +0t +0y +1z +0u +14" +1v +0n +0/" +1x +1w +1," +b10000101000000000001101010010110 / +b10000101000000000001101010010110 K +b10000101000000000001101010010110 e +b10000101000000000001101010010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#6118500 +b0 7 +b0 N +b0 V +06 +b1100010010101001111101100010001 2 +b1100010010101001111101100010001 I +b1100010010101001111101100010001 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b1100010010101001111101100010001 , +b1100010010101001111101100010001 H +b111 + +b111 G +1- +1. +0% +#6119000 +0] +1s +0\ +0[ +1>" +1@" +1r +1q +05" +06" +07" +b11000 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0w +0," +b1100010010101001111101100010001 &" +b1100010010101001111101100010001 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000110010100101110100101111101 0 +b1000110010100101110100101111101 M +b1000110010100101110100101111101 f +b1000110010100101110100101111101 !" +0. +1% +#6119010 +b1100010010101001111101100010001 J" +#6119500 +b11101001011000000100001100000 2 +b11101001011000000100001100000 I +b11101001011000000100001100000 Z +b11010 3 +b11010 J +b11010 Y +b11101001011000000100001100000 , +b11101001011000000100001100000 H +b11010 + +b11010 G +1. +0% +#6120000 +1=" +0_ +1c +1[ +0>" +b101 3" +1<" +1` +0^ +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101001011000000100001100000 &" +b11101001011000000100001100000 ?" +b11010 %" +b11010 *" +b11010 1" +0. +1% +#6120010 +b11101001011000000100001100000 ]" +#6120500 +b1010 5 +b1010 L +b1010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6121000 +1] +0i +b110010001010110101001000100111 { +b110010001010110101001000100111 A" +1\ +0=" +0@" +0h +19" +1;" +b11111 3" +0c +0<" +0` +b10101 0" +1o +1k +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#6121500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b11110110001101000100101100001010 2 +b11110110001101000100101100001010 I +b11110110001101000100101100001010 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b11110110001101000100101100001010 , +b11110110001101000100101100001010 H +b1001 + +b1001 G +1- +1. +0% +#6122000 +0] +1i +0s +b10011001011011110011100111110011 | +b10011001011011110011100111110011 B" +0[ +1=" +1@" +1h +09" +0;" +16" +17" +b10110 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +1t +1u +1a +12" +0n +0/" +1," +b110010001010110101001000100111 / +b110010001010110101001000100111 K +b110010001010110101001000100111 e +b110010001010110101001000100111 "" +b11110110001101000100101100001010 &" +b11110110001101000100101100001010 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#6122010 +b11110110001101000100101100001010 L" +#6122500 +b10011 7 +b10011 N +b10011 V +b111011111111100101001010101010 2 +b111011111111100101001010101010 I +b111011111111100101001010101010 Z +b110 3 +b110 J +b110 Y +b10011 & +b10011 C +b111011111111100101001010101010 , +b111011111111100101001010101010 H +b110 + +b110 G +1. +0% +#6123000 +1>" +1^ +0u +1s +0\ +1[ +0=" +0r +0q +06" +b11001 3" +0c +b1100 -" +14" +1v +0t +b11110110100011010010000100001100 | +b11110110100011010010000100001100 B" +1b +0a +1x +1w +b111011111111100101001010101010 &" +b111011111111100101001010101010 ?" +b110 %" +b110 *" +b110 1" +b10011 } +b10011 (" +b10011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011001011011110011100111110011 0 +b10011001011011110011100111110011 M +b10011001011011110011100111110011 f +b10011001011011110011100111110011 !" +0. +1% +#6123010 +b111011111111100101001010101010 I" +#6123500 +b0 7 +b0 N +b0 V +06 +b1111011101110101000010000010 2 +b1111011101110101000010000010 I +b1111011101110101000010000010 Z +b11000 3 +b11000 J +b11000 Y +b0 & +b0 C +0( +b1111011101110101000010000010 , +b1111011101110101000010000010 H +b11000 + +b11000 G +1. +0% +#6124000 +1=" +0_ +1c +1\ +0>" +1r +1q +07" +b111 3" +1<" +1` +0^ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0x +0w +0," +b11110110100011010010000100001100 0 +b11110110100011010010000100001100 M +b11110110100011010010000100001100 f +b11110110100011010010000100001100 !" +b1111011101110101000010000010 &" +b1111011101110101000010000010 ?" +b11000 %" +b11000 *" +b11000 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6124010 +b1111011101110101000010000010 [" +#6124500 +b11011 5 +b11011 L +b11011 W +14 +b10 7 +b10 N +b10 V +16 +b100110011101011001101000100011 2 +b100110011101011001101000100011 I +b100110011101011001101000100011 Z +b11011 ' +b11011 D +1) +b10 & +b10 C +1( +b100110011101011001101000100011 , +b100110011101011001101000100011 H +1. +0% +#6125000 +0i +b11011010110000110001111011001 { +b11011010110000110001111011001 A" +b1110101111001010010011011101 | +b1110101111001010010011011101 B" +0h +0g +19" +1;" +0r +17" +b100 0" +1o +0k +18" +1l +b11101 -" +1u +1n +1m +1/" +1x +1," +b100110011101011001101000100011 &" +b100110011101011001101000100011 ?" +b11011 ~ +b11011 )" +b11011 ." +1$" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6125010 +b100110011101011001101000100011 [" +#6125500 +b10101 5 +b10101 L +b10101 W +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6126000 +1:" +1] +1j +0s +0=" +0@" +1h +09" +1r +16" +b11111 3" +0c +0<" +0` +b1010 0" +0o +b11011110001000010101000100110100 { +b11011110001000010101000100110100 A" +b11011 -" +1t +b10011001011011110011100111110011 | +b10011001011011110011100111110011 B" +02" +0n +0x +b11011010110000110001111011001 / +b11011010110000110001111011001 K +b11011010110000110001111011001 e +b11011010110000110001111011001 "" +b1110101111001010010011011101 0 +b1110101111001010010011011101 M +b1110101111001010010011011101 f +b1110101111001010010011011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +b100 } +b100 (" +b100 +" +0. +1% +#6126500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b11010011101101011110101001011101 2 +b11010011101101011110101001011101 I +b11010011101101011110101001011101 Z +b10011 3 +b10011 J +b10011 Y +11 +b11110 ' +b11110 D +b0 & +b0 C +0( +b11010011101101011110101001011101 , +b11010011101101011110101001011101 H +b10011 + +b10011 G +1- +1. +0% +#6127000 +0j +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1@" +0h +1g +19" +06" +07" +b1100 3" +0_ +1<" +1` +b1 0" +1p +b10000101000000000001101010010110 { +b10000101000000000001101010010110 A" +b11111 -" +0t +0u +1b +1a +12" +1n +0m +0," +b11010011101101011110101001011101 &" +b11010011101101011110101001011101 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +b11011110001000010101000100110100 / +b11011110001000010101000100110100 K +b11011110001000010101000100110100 e +b11011110001000010101000100110100 "" +b10011001011011110011100111110011 0 +b10011001011011110011100111110011 M +b10011001011011110011100111110011 f +b10011001011011110011100111110011 !" +0. +1% +#6127010 +b11010011101101011110101001011101 V" +#6127500 +b10101 5 +b10101 L +b10101 W +b111111100010110111100001010000 2 +b111111100010110111100001010000 I +b111111100010110111100001010000 Z +b101 3 +b101 J +b101 Y +b10101 ' +b10101 D +b111111100010110111100001010000 , +b111111100010110111100001010000 H +b101 + +b101 G +1. +0% +#6128000 +1_ +0] +1j +1\ +1@" +1>" +1h +0g +09" +1:" +b11010 3" +0<" +0` +1^ +b1010 0" +0p +b11011110001000010101000100110100 { +b11011110001000010101000100110100 A" +0b +0n +1m +b10000101000000000001101010010110 / +b10000101000000000001101010010110 K +b10000101000000000001101010010110 e +b10000101000000000001101010010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111111100010110111100001010000 &" +b111111100010110111100001010000 ?" +b101 %" +b101 *" +b101 1" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#6128010 +b111111100010110111100001010000 H" +#6128500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6129000 +1] +1i +1[ +0>" +0@" +1g +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11011110001000010101000100110100 / +b11011110001000010101000100110100 K +b11011110001000010101000100110100 e +b11011110001000010101000100110100 "" +0. +1% +#6129500 +1. +0% +#6130000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6130500 +b110 5 +b110 L +b110 W +14 +b1011 7 +b1011 N +b1011 V +16 +b110 ' +b110 D +1) +b1011 & +b1011 C +1( +1. +0% +#6131000 +0i +b111011111111100101001010101010 { +b111011111111100101001010101010 A" +0s +b10101101100111011001110111001 | +b10101101100111011001110111001 B" +0h +1:" +1;" +0r +0q +15" +17" +b11001 0" +1j +1k +b10100 -" +1y +1u +1n +1/" +1x +1w +1," +b110 ~ +b110 )" +b110 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#6131500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6132000 +1i +1s +1h +0:" +0;" +1r +1q +05" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0w +0," +b111011111111100101001010101010 / +b111011111111100101001010101010 K +b111011111111100101001010101010 e +b111011111111100101001010101010 "" +b10101101100111011001110111001 0 +b10101101100111011001110111001 M +b10101101100111011001110111001 f +b10101101100111011001110111001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6132500 +b101 7 +b101 N +b101 V +16 +b101 & +b101 C +1( +1. +0% +#6133000 +0s +b111111100010110111100001010000 | +b111111100010110111100001010000 B" +0q +16" +17" +b11010 -" +1t +1u +1w +1," +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6133500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b10000100100100100111001100001001 2 +b10000100100100100111001100001001 I +b10000100100100100111001100001001 Z +b10 3 +b10 J +b10 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b10000100100100100111001100001001 , +b10000100100100100111001100001001 H +b10 + +b10 G +1- +1. +0% +#6134000 +0i +b11110110001101000100101100001010 { +b11110110001101000100101100001010 A" +1s +0\ +1@" +0g +19" +1;" +1q +06" +07" +b11101 3" +1_ +b10110 0" +1o +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +1/" +0w +0," +b111111100010110111100001010000 0 +b111111100010110111100001010000 M +b111111100010110111100001010000 f +b111111100010110111100001010000 !" +b10000100100100100111001100001001 &" +b10000100100100100111001100001001 ?" +b10 %" +b10 *" +b10 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6134010 +b10000100100100100111001100001001 E" +#6134500 +b11000 5 +b11000 L +b11000 W +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6135000 +0k +b1011110101011001000010100011011 | +b1011110101011001000010100011011 B" +1\ +0@" +1g +17" +b11111 3" +0_ +b111 0" +18" +1l +b100110011101011001101000100011 { +b100110011101011001101000100011 A" +b1111 -" +0u +14" +1v +0b +02" +0m +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +b10000 } +b10000 (" +b10000 +" +1#" +b11110110001101000100101100001010 / +b11110110001101000100101100001010 K +b11110110001101000100101100001010 e +b11110110001101000100101100001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6135500 +b1100 5 +b1100 L +b1100 W +b10100 7 +b10100 N +b10100 V +b1011001111000000101010010010010 2 +b1011001111000000101010010010010 I +b1011001111000000101010010010010 Z +11 +b1100 ' +b1100 D +b10100 & +b10100 C +b1011001111000000101010010010010 , +b1011001111000000101010010010010 H +1- +1. +0% +#6136000 +1k +0o +0s +b1111101100101011010111111111000 | +b1111101100101011010111111111000 B" +1@" +1;" +b11000111110100110100100101100 { +b11000111110100110100100101100 A" +1:" +16" +1_ +b10011 0" +08" +0l +1p +b1011 -" +1t +12" +b100110011101011001101000100011 / +b100110011101011001101000100011 K +b100110011101011001101000100011 e +b100110011101011001101000100011 "" +b1011110101011001000010100011011 0 +b1011110101011001000010100011011 M +b1011110101011001000010100011011 f +b1011110101011001000010100011011 !" +b1011001111000000101010010010010 &" +b1011001111000000101010010010010 ?" +1'" +b1100 ~ +b1100 )" +b1100 ." +b10100 } +b10100 (" +b10100 +" +0. +1% +#6136010 +b1011001111000000101010010010010 C" +#6136500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b1000 ' +b1000 D +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#6137000 +1o +b10011101101000110111100100110010 { +b10011101101000110111100100110010 A" +1s +0@" +19" +0:" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0_ +b10111 0" +0p +b11111 -" +0t +04" +0v +02" +0," +b0 &" +b0 ?" +0'" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +b11000111110100110100100101100 / +b11000111110100110100100101100 K +b11000111110100110100100101100 e +b11000111110100110100100101100 "" +b1111101100101011010111111111000 0 +b1111101100101011010111111111000 M +b1111101100101011010111111111000 f +b1111101100101011010111111111000 !" +0. +1% +#6137500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6138000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b10011101101000110111100100110010 / +b10011101101000110111100100110010 K +b10011101101000110111100100110010 e +b10011101101000110111100100110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6138500 +b10110 5 +b10110 L +b10110 W +14 +b11001010100011010011010101001 2 +b11001010100011010011010101001 I +b11001010100011010011010101001 Z +b11 3 +b11 J +b11 Y +11 +b10110 ' +b10110 D +1) +b11001010100011010011010101001 , +b11001010100011010011010101001 H +b11 + +b11 G +1- +1. +0% +#6139000 +0i +b10001110011100100101011100001000 { +b10001110011100100101011100001000 A" +0\ +0[ +1@" +0h +1:" +1;" +b11100 3" +1_ +b1001 0" +1j +0k +18" +1l +1b +1a +12" +1n +1/" +b11001010100011010011010101001 &" +b11001010100011010011010101001 ?" +b11 %" +b11 *" +b11 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6139010 +b11001010100011010011010101001 F" +#6139500 +b0 5 +b0 L +b0 W +04 +b100011111110001111111101101100 2 +b100011111110001111111101101100 I +b100011111110001111111101101100 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b100011111110001111111101101100 , +b100011111110001111111101101100 H +b10 + +b10 G +1. +0% +#6140000 +1i +1[ +1h +0:" +0;" +b11101 3" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +0n +0/" +b10001110011100100101011100001000 / +b10001110011100100101011100001000 K +b10001110011100100101011100001000 e +b10001110011100100101011100001000 "" +b100011111110001111111101101100 &" +b100011111110001111111101101100 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6140010 +b100011111110001111111101101100 E" +#6140500 +b11010 5 +b11010 L +b11010 W +14 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6141000 +0i +b11101001011000000100001100000 { +b11101001011000000100001100000 A" +0s +b10000010101010011101000000011010 | +b10000010101010011101000000011010 B" +1\ +0@" +0h +19" +1;" +0r +0q +15" +16" +17" +b11111 3" +0_ +b101 0" +1o +0k +18" +1l +b10000 -" +0t +0y +1z +1u +0b +02" +1n +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6141500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b0 ' +b0 D +0) +b111 & +b111 C +1. +0% +#6142000 +1i +1t +b1100010010101001111101100010001 | +b1100010010101001111101100010001 B" +1h +09" +0;" +05" +16" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +0z +0n +0/" +b11101001011000000100001100000 / +b11101001011000000100001100000 K +b11101001011000000100001100000 e +b11101001011000000100001100000 "" +b10000010101010011101000000011010 0 +b10000010101010011101000000011010 M +b10000010101010011101000000011010 f +b10000010101010011101000000011010 !" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +0. +1% +#6142500 +b10101 7 +b10101 N +b10101 V +b10101 & +b10101 C +1. +0% +#6143000 +0u +1r +b1010 -" +14" +1v +b11011110001000010101000100110100 | +b11011110001000010101000100110100 B" +0x +b10101 } +b10101 (" +b10101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100010010101001111101100010001 0 +b1100010010101001111101100010001 M +b1100010010101001111101100010001 f +b1100010010101001111101100010001 !" +0. +1% +#6143500 +b1 7 +b1 N +b1 V +b1 & +b1 C +1. +0% +#6144000 +1u +1s +17" +b1101111101001010110101 | +b1101111101001010110101 B" +06" +b11110 -" +04" +0v +0t +b11011110001000010101000100110100 0 +b11011110001000010101000100110100 M +b11011110001000010101000100110100 f +b11011110001000010101000100110100 !" +b1 } +b1 (" +b1 +" +0. +1% +#6144500 +b10011 7 +b10011 N +b10011 V +b10101010011001010000000101100 2 +b10101010011001010000000101100 I +b10101010011001010000000101100 Z +b10111 3 +b10111 J +b10111 Y +11 +b10011 & +b10011 C +b10101010011001010000000101100 , +b10101010011001010000000101100 H +b10111 + +b10111 G +1- +1. +0% +#6145000 +0] +0u +0\ +0[ +1>" +1@" +0r +b1000 3" +1^ +0_ +1<" +1` +b1100 -" +14" +1v +b11010011101101011110101001011101 | +b11010011101101011110101001011101 B" +1b +1a +12" +1x +b10101010011001010000000101100 &" +b10101010011001010000000101100 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10011 } +b10011 (" +b10011 +" +b1101111101001010110101 0 +b1101111101001010110101 M +b1101111101001010110101 f +b1101111101001010110101 !" +0. +1% +#6145010 +b10101010011001010000000101100 Z" +#6145500 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6146000 +1] +0s +1\ +1[ +0>" +0@" +1r +15" +b11111 3" +0^ +0<" +0` +b110 -" +1y +b10000100001100000100110001010111 | +b10000100001100000100110001010111 B" +0b +0a +02" +0x +b11010011101101011110101001011101 0 +b11010011101101011110101001011101 M +b11010011101101011110101001011101 f +b11010011101101011110101001011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +0. +1% +#6146500 +b0 7 +b0 N +b0 V +06 +b11110100101110100011000011000111 2 +b11110100101110100011000011000111 I +b11110100101110100011000011000111 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 & +b0 C +0( +b11110100101110100011000011000111 , +b11110100101110100011000011000111 H +b11111 + +b11111 G +1- +1. +0% +#6147000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1q +05" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b11110100101110100011000011000111 &" +b11110100101110100011000011000111 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10000100001100000100110001010111 0 +b10000100001100000100110001010111 M +b10000100001100000100110001010111 f +b10000100001100000100110001010111 !" +0. +1% +#6147500 +b100 5 +b100 L +b100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6148000 +1] +0i +b10011001011011110011100111110011 { +b10011001011011110011100111110011 A" +1\ +1[ +0=" +0>" +0@" +1:" +1;" +b11111 3" +0d +0<" +0` +b11011 0" +1j +1k +0b +0a +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#6148500 +b10111 5 +b10111 L +b10111 W +b11111110101010011110001010 2 +b11111110101010011110001010 I +b11111110101010011110001010 Z +b11110 3 +b11110 J +b11110 Y +11 +b10111 ' +b10111 D +b11111110101010011110001010 , +b11111110101010011110001010 H +b11110 + +b11110 G +1- +1. +0% +#6149000 +0] +0k +0\ +1=" +1>" +1@" +0h +0g +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1000 0" +18" +1l +b10101010011001010000000101100 { +b10101010011001010000000101100 A" +1b +12" +1n +1m +b11111110101010011110001010 &" +b11111110101010011110001010 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +b10011001011011110011100111110011 / +b10011001011011110011100111110011 K +b10011001011011110011100111110011 e +b10011001011011110011100111110011 "" +0. +1% +#6149010 +b11111110101010011110001010 a" +#6149500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6150000 +1] +1i +0s +b11101001011000000100001100000 | +b11101001011000000100001100000 B" +1\ +0=" +0>" +0@" +1h +1g +0:" +0;" +0r +15" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b101 -" +1y +0u +14" +1v +0b +02" +0n +0m +0/" +1x +1," +b10101010011001010000000101100 / +b10101010011001010000000101100 K +b10101010011001010000000101100 e +b10101010011001010000000101100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#6150500 +b1010 5 +b1010 L +b1010 W +14 +b10001 7 +b10001 N +b10001 V +b1100011010011001101111000011100 2 +b1100011010011001101111000011100 I +b1100011010011001101111000011100 Z +b10011 3 +b10011 J +b10011 Y +11 +b1010 ' +b1010 D +1) +b10001 & +b10001 C +b1100011010011001101111000011100 , +b1100011010011001101111000011100 H +b10011 + +b10011 G +1- +1. +0% +#6151000 +0i +b110010001010110101001000100111 { +b110010001010110101001000100111 A" +1s +0\ +0[ +1@" +0h +19" +1;" +1r +0q +05" +b1100 3" +0_ +1<" +1` +b10101 0" +1o +1k +b1110 -" +0y +b1010100001001101111111011000010 | +b1010100001001101111111011000010 B" +1b +1a +12" +1n +1/" +0x +1w +b1100011010011001101111000011100 &" +b1100011010011001101111000011100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b10001 } +b10001 (" +b10001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101001011000000100001100000 0 +b11101001011000000100001100000 M +b11101001011000000100001100000 f +b11101001011000000100001100000 !" +0. +1% +#6151010 +b1100011010011001101111000011100 V" +#6151500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6152000 +1i +1u +0s +1\ +1[ +0@" +1h +09" +0;" +17" +b11011010100110000111101000110101 | +b11011010100110000111101000110101 B" +15" +16" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +04" +0v +0t +0y +1z +0b +0a +02" +0n +0/" +b110010001010110101001000100111 / +b110010001010110101001000100111 K +b110010001010110101001000100111 e +b110010001010110101001000100111 "" +b1010100001001101111111011000010 0 +b1010100001001101111111011000010 M +b1010100001001101111111011000010 f +b1010100001001101111111011000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#6152500 +b10000 5 +b10000 L +b10000 W +14 +b1000 7 +b1000 N +b1000 V +b1001100100100100011000010010011 2 +b1001100100100100011000010010011 I +b1001100100100100011000010010011 Z +b101 3 +b101 J +b101 Y +11 +b10000 ' +b10000 D +1) +b1000 & +b1000 C +b1001100100100100011000010010011 , +b1001100100100100011000010010011 H +b101 + +b101 G +1- +1. +0% +#6153000 +0] +b1011110101011001000010100011011 { +b1011110101011001000010100011011 A" +1y +0[ +1>" +1@" +1;" +1q +15" +06" +b11010 3" +1^ +1_ +b1111 0" +0k +18" +1l +b10111 -" +0z +b10011101101000110111100100110010 | +b10011101101000110111100100110010 B" +1a +12" +1/" +0w +b1001100100100100011000010010011 &" +b1001100100100100011000010010011 ?" +b101 %" +b101 *" +b101 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b1000 } +b1000 (" +b1000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011010100110000111101000110101 0 +b11011010100110000111101000110101 M +b11011010100110000111101000110101 f +b11011010100110000111101000110101 !" +0. +1% +#6153010 +b1001100100100100011000010010011 H" +#6153500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b1011110100111101010000011100010 2 +b1011110100111101010000011100010 I +b1011110100111101010000011100010 Z +b0 3 +b0 J +b0 Y +b100 ' +b100 D +b0 & +b0 C +0( +b1011110100111101010000011100010 , +b1011110100111101010000011100010 H +b0 + +b0 G +1. +0% +#6154000 +1] +1k +0i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0>" +1;" +b10011001011011110011100111110011 { +b10011001011011110011100111110011 A" +1:" +05" +07" +b11111 3" +0^ +b11011 0" +08" +0l +1j +b11111 -" +0y +0u +0a +0," +b1011110101011001000010100011011 / +b1011110101011001000010100011011 K +b1011110101011001000010100011011 e +b1011110101011001000010100011011 "" +b10011101101000110111100100110010 0 +b10011101101000110111100100110010 M +b10011101101000110111100100110010 f +b10011101101000110111100100110010 !" +b1011110100111101010000011100010 &" +b1011110100111101010000011100010 ?" +b0 %" +b0 *" +b0 1" +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6154010 +b1011110100111101010000011100010 C" +#6154500 +b10010 5 +b10010 L +b10010 W +b11101110101001010101000010100010 2 +b11101110101001010101000010100010 I +b11101110101001010101000010100010 Z +b10101 3 +b10101 J +b10101 Y +b10010 ' +b10010 D +b11101110101001010101000010100010 , +b11101110101001010101000010100010 H +b10101 + +b10101 G +1. +0% +#6155000 +0_ +0] +0k +1i +0[ +1>" +0h +0:" +b1010 3" +1<" +1` +1^ +b1101 0" +18" +1l +0j +b10111000010000111101010111010100 { +b10111000010000111101010111010100 A" +1a +1n +b11101110101001010101000010100010 &" +b11101110101001010101000010100010 ?" +b10101 %" +b10101 *" +b10101 1" +b10010 ~ +b10010 )" +b10010 ." +b10011001011011110011100111110011 / +b10011001011011110011100111110011 K +b10011001011011110011100111110011 e +b10011001011011110011100111110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6155010 +b11101110101001010101000010100010 X" +#6155500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6156000 +1] +1[ +0>" +0@" +1h +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b10111000010000111101010111010100 / +b10111000010000111101010111010100 K +b10111000010000111101010111010100 e +b10111000010000111101010111010100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6156500 +1. +0% +#6157000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6157500 +1. +0% +#6158000 +0. +1% +#6158500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#6159000 +0i +b11101001011000000100001100000 { +b11101001011000000100001100000 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#6159500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#6160000 +1i +b1100011010011001101111000011100 | +b1100011010011001101111000011100 B" +1h +09" +0;" +0r +0q +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0u +14" +1v +0n +0/" +1x +1w +1," +b11101001011000000100001100000 / +b11101001011000000100001100000 K +b11101001011000000100001100000 e +b11101001011000000100001100000 "" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#6160500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6161000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100011010011001101111000011100 0 +b1100011010011001101111000011100 M +b1100011010011001101111000011100 f +b1100011010011001101111000011100 !" +0. +1% +#6161500 +1. +0% +#6162000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6162500 +1. +0% +#6163000 +0. +1% +#6163500 +b10001 5 +b10001 L +b10001 W +14 +b10001 ' +b10001 D +1) +1. +0% +#6164000 +b1010100001001101111111011000010 { +b1010100001001101111111011000010 A" +0g +1;" +b1110 0" +0k +18" +1l +1m +1/" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#6164500 +b1110 5 +b1110 L +b1110 W +b11101 7 +b11101 N +b11101 V +16 +b1110 ' +b1110 D +b11101 & +b11101 C +1( +1. +0% +#6165000 +1k +0i +0s +b10010000111101000101001000110111 | +b10010000111101000101001000110111 B" +0h +1g +1;" +19" +1:" +0q +15" +16" +17" +b10001 0" +08" +0l +0j +0o +1p +b11101110101000101110111100000 { +b11101110101000101110111100000 A" +b10 -" +0t +0y +1z +0u +14" +1v +1n +0m +1w +1," +b1110 ~ +b1110 )" +b1110 ." +b11101 } +b11101 (" +b11101 +" +1#" +b1010100001001101111111011000010 / +b1010100001001101111111011000010 K +b1010100001001101111111011000010 e +b1010100001001101111111011000010 "" +0. +1% +#6165500 +b11101 5 +b11101 L +b11101 W +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +b0 & +b0 C +0( +1. +0% +#6166000 +0k +1s +1h +0g +1q +05" +06" +07" +b10 0" +18" +1l +b10010000111101000101001000110111 { +b10010000111101000101001000110111 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +1m +0w +0," +b11101110101000101110111100000 / +b11101110101000101110111100000 K +b11101110101000101110111100000 e +b11101110101000101110111100000 "" +b10010000111101000101001000110111 0 +b10010000111101000101001000110111 M +b10010000111101000101001000110111 f +b10010000111101000101001000110111 !" +b11101 ~ +b11101 )" +b11101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6166500 +b11010 5 +b11010 L +b11010 W +b10 7 +b10 N +b10 V +16 +b1001001100101110111001010111111 2 +b1001001100101110111001010111111 I +b1001001100101110111001010111111 Z +b10011 3 +b10011 J +b10011 Y +11 +b11010 ' +b11010 D +b10 & +b10 C +1( +b1001001100101110111001010111111 , +b1001001100101110111001010111111 H +b10011 + +b10011 G +1- +1. +0% +#6167000 +1o +b100011111110001111111101101100 | +b100011111110001111111101101100 B" +0\ +0[ +1@" +0h +1g +19" +0:" +0r +17" +b1100 3" +0_ +1<" +1` +b101 0" +0p +b11101001011000000100001100000 { +b11101001011000000100001100000 A" +b11101 -" +1u +1b +1a +12" +1n +0m +1x +1," +b1001001100101110111001010111111 &" +b1001001100101110111001010111111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +b10 } +b10 (" +b10 +" +1#" +b10010000111101000101001000110111 / +b10010000111101000101001000110111 K +b10010000111101000101001000110111 e +b10010000111101000101001000110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6167010 +b1001001100101110111001010111111 V" +#6167500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011010000110110010010010000100 2 +b11011010000110110010010010000100 I +b11011010000110110010010010000100 Z +b11011 3 +b11011 J +b11011 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011010000110110010010010000100 , +b11011010000110110010010010000100 H +b11011 + +b11011 G +1. +0% +#6168000 +0] +1i +1=" +1h +09" +0;" +1r +07" +b100 3" +1c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0," +b11101001011000000100001100000 / +b11101001011000000100001100000 K +b11101001011000000100001100000 e +b11101001011000000100001100000 "" +b100011111110001111111101101100 0 +b100011111110001111111101101100 M +b100011111110001111111101101100 f +b100011111110001111111101101100 !" +b11011010000110110010010010000100 &" +b11011010000110110010010010000100 ?" +b11011 %" +b11011 *" +b11011 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6168010 +b11011010000110110010010010000100 ^" +#6168500 +b1101 5 +b1101 L +b1101 W +14 +b1 7 +b1 N +b1 V +16 +b11010001100010010101001101101011 2 +b11010001100010010101001101101011 I +b11010001100010010101001101101011 Z +b1100 3 +b1100 J +b1100 Y +b1101 ' +b1101 D +1) +b1 & +b1 C +1( +b11010001100010010101001101101011 , +b11010001100010010101001101101011 H +b1100 + +b1100 G +1. +0% +#6169000 +1_ +0c +0i +b11011010100110000111101000110101 { +b11011010100110000111101000110101 A" +b1101111101001010110101 | +b1101111101001010110101 B" +1\ +1[ +1@" +1>" +0g +19" +1:" +1;" +0q +17" +b10011 3" +0<" +0` +1d +b10010 0" +0j +0o +1p +1k +b11110 -" +1u +0b +0a +1m +1/" +1w +1," +b11010001100010010101001101101011 &" +b11010001100010010101001101101011 ?" +b1100 %" +b1100 *" +b1100 1" +b1101 ~ +b1101 )" +b1101 ." +1$" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6169010 +b11010001100010010101001101101011 O" +#6169500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10000111111000011001101011010010 2 +b10000111111000011001101011010010 I +b10000111111000011001101011010010 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10000111111000011001101011010010 , +b10000111111000011001101011010010 H +b11001 + +b11001 G +1. +0% +#6170000 +0_ +1c +1i +0[ +1=" +0>" +1g +09" +0:" +0;" +1q +07" +b110 3" +1<" +1` +0d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0m +0/" +0w +0," +b11011010100110000111101000110101 / +b11011010100110000111101000110101 K +b11011010100110000111101000110101 e +b11011010100110000111101000110101 "" +b1101111101001010110101 0 +b1101111101001010110101 M +b1101111101001010110101 f +b1101111101001010110101 !" +b10000111111000011001101011010010 &" +b10000111111000011001101011010010 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6170010 +b10000111111000011001101011010010 \" +#6170500 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6171000 +1] +0s +b11101110101001010101000010100010 | +b11101110101001010101000010100010 B" +1[ +0=" +0@" +0q +16" +17" +b11111 3" +0c +0<" +0` +b1010 -" +1t +0u +14" +1v +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6171500 +b11 7 +b11 N +b11 V +b11 & +b11 C +1. +0% +#6172000 +1u +1s +0r +17" +06" +b11100 -" +04" +0v +0t +b11001010100011010011010101001 | +b11001010100011010011010101001 B" +1x +b11101110101001010101000010100010 0 +b11101110101001010101000010100010 M +b11101110101001010101000010100010 f +b11101110101001010101000010100010 !" +b11 } +b11 (" +b11 +" +0. +1% +#6172500 +b10001 7 +b10001 N +b10001 V +b10001 & +b10001 C +1. +0% +#6173000 +0u +1r +b1110 -" +14" +1v +b1010100001001101111111011000010 | +b1010100001001101111111011000010 B" +0x +b10001 } +b10001 (" +b10001 +" +b11001010100011010011010101001 0 +b11001010100011010011010101001 M +b11001010100011010011010101001 f +b11001010100011010011010101001 !" +0. +1% +#6173500 +b0 7 +b0 N +b0 V +06 +b11011010111001001001001100101 2 +b11011010111001001001001100101 I +b11011010111001001001001100101 Z +b1 3 +b1 J +b1 Y +11 +b0 & +b0 C +0( +b11011010111001001001001100101 , +b11011010111001001001001100101 H +b1 + +b1 G +1- +1. +0% +#6174000 +0[ +1@" +1q +07" +b11110 3" +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0w +0," +b1010100001001101111111011000010 0 +b1010100001001101111111011000010 M +b1010100001001101111111011000010 f +b1010100001001101111111011000010 !" +b11011010111001001001001100101 &" +b11011010111001001001001100101 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6174010 +b11011010111001001001001100101 D" +#6174500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6175000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6175500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#6176000 +b10111000010000111101010111010100 { +b10111000010000111101010111010100 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#6176500 +b0 5 +b0 L +b0 W +04 +b1000101110101110101101010101011 2 +b1000101110101110101101010101011 I +b1000101110101110101101010101011 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b1000101110101110101101010101011 , +b1000101110101110101101010101011 H +b10001 + +b10001 G +1- +1. +0% +#6177000 +0[ +1@" +1h +0;" +b1110 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0/" +b1000101110101110101101010101011 &" +b1000101110101110101101010101011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111000010000111101010111010100 / +b10111000010000111101010111010100 K +b10111000010000111101010111010100 e +b10111000010000111101010111010100 "" +0. +1% +#6177010 +b1000101110101110101101010101011 T" +#6177500 +b1110110110010101110100011111100 2 +b1110110110010101110100011111100 I +b1110110110010101110100011111100 Z +b10110 3 +b10110 J +b10110 Y +b1110110110010101110100011111100 , +b1110110110010101110100011111100 H +b10110 + +b10110 G +1. +0% +#6178000 +0] +0\ +1[ +1>" +b1001 3" +1^ +1b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110110110010101110100011111100 &" +b1110110110010101110100011111100 ?" +b10110 %" +b10110 *" +b10110 1" +0. +1% +#6178010 +b1110110110010101110100011111100 Y" +#6178500 +b1100 7 +b1100 N +b1100 V +16 +b100001111011110111101000110001 2 +b100001111011110111101000110001 I +b100001111011110111101000110001 Z +b1010 3 +b1010 J +b1010 Y +b1100 & +b1100 C +1( +b100001111011110111101000110001 , +b100001111011110111101000110001 H +b1010 + +b1010 G +1. +0% +#6179000 +1=" +1_ +1c +0s +b11010001100010010101001101101011 | +b11010001100010010101001101101011 B" +1@" +0>" +15" +16" +17" +b10101 3" +0<" +0` +0^ +b10011 -" +0t +0y +1z +1u +1," +b100001111011110111101000110001 &" +b100001111011110111101000110001 ?" +b1010 %" +b1010 *" +b1010 1" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#6179010 +b100001111011110111101000110001 M" +#6179500 +b10000 5 +b10000 L +b10000 W +14 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6180000 +1] +b1011110101011001000010100011011 { +b1011110101011001000010100011011 A" +0u +1y +1\ +0=" +0@" +1;" +0q +15" +06" +b11111 3" +0c +0_ +b1111 0" +0k +18" +1l +b110 -" +14" +1v +0z +b10000111111000011001101011010010 | +b10000111111000011001101011010010 B" +0b +02" +1/" +1w +b11010001100010010101001101101011 0 +b11010001100010010101001101101011 M +b11010001100010010101001101101011 f +b11010001100010010101001101101011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#6180500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6181000 +1s +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +07" +b11111 0" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1011110101011001000010100011011 / +b1011110101011001000010100011011 K +b1011110101011001000010100011011 e +b1011110101011001000010100011011 "" +b10000111111000011001101011010010 0 +b10000111111000011001101011010010 M +b10000111111000011001101011010010 f +b10000111111000011001101011010010 !" +0. +1% +#6181500 +b10111 5 +b10111 L +b10111 W +14 +b101 7 +b101 N +b101 V +16 +b101101000101111111101011100010 2 +b101101000101111111101011100010 I +b101101000101111111101011100010 Z +b11010 3 +b11010 J +b11010 Y +11 +b10111 ' +b10111 D +1) +b101 & +b101 C +1( +b101101000101111111101011100010 , +b101101000101111111101011100010 H +b11010 + +b11010 G +1- +1. +0% +#6182000 +0] +0i +b10101010011001010000000101100 { +b10101010011001010000000101100 A" +0s +b1001100100100100011000010010011 | +b1001100100100100011000010010011 B" +0\ +1=" +1@" +0h +0g +1:" +1;" +0q +16" +17" +b101 3" +1c +0_ +1<" +1` +b1000 0" +1j +0k +18" +1l +b11010 -" +1t +1u +1b +12" +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101101000101111111101011100010 &" +b101101000101111111101011100010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#6182010 +b101101000101111111101011100010 ]" +#6182500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6183000 +1] +1i +1s +1\ +0=" +0@" +1h +1g +0:" +0;" +1q +06" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0n +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10101010011001010000000101100 / +b10101010011001010000000101100 K +b10101010011001010000000101100 e +b10101010011001010000000101100 "" +b1001100100100100011000010010011 0 +b1001100100100100011000010010011 M +b1001100100100100011000010010011 f +b1001100100100100011000010010011 !" +0. +1% +#6183500 +16 +1( +1. +0% +#6184000 +b1011110100111101010000011100010 | +b1011110100111101010000011100010 B" +17" +1u +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +1#" +0. +1% +#6184500 +b11011 5 +b11011 L +b11011 W +14 +06 +b101010111111010001100111000001 2 +b101010111111010001100111000001 I +b101010111111010001100111000001 Z +b1101 3 +b1101 J +b1101 Y +11 +b11011 ' +b11011 D +1) +0( +b101010111111010001100111000001 , +b101010111111010001100111000001 H +b1101 + +b1101 G +1- +1. +0% +#6185000 +0] +0i +b11011010000110110010010010000100 { +b11011010000110110010010010000100 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1=" +1>" +1@" +0h +0g +19" +1;" +07" +b10010 3" +0^ +0c +1d +1_ +b100 0" +1o +0k +18" +1l +0u +1a +12" +1n +1m +1/" +0," +b101010111111010001100111000001 &" +b101010111111010001100111000001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +0#" +b1011110100111101010000011100010 0 +b1011110100111101010000011100010 M +b1011110100111101010000011100010 f +b1011110100111101010000011100010 !" +0. +1% +#6185010 +b101010111111010001100111000001 P" +#6185500 +b1 5 +b1 L +b1 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6186000 +1] +1k +1i +1[ +0=" +0>" +0@" +1h +1;" +09" +b11111 3" +0d +0_ +b11110 0" +08" +0l +0o +b11011010111001001001001100101 { +b11011010111001001001001100101 A" +0a +02" +0n +b11011010000110110010010010000100 / +b11011010000110110010010010000100 K +b11011010000110110010010010000100 e +b11011010000110110010010010000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +0. +1% +#6186500 +b11 5 +b11 L +b11 W +b11000110010000000010010011010000 2 +b11000110010000000010010011010000 I +b11000110010000000010010011010000 Z +b1100 3 +b1100 J +b1100 Y +11 +b11 ' +b11 D +b11000110010000000010010011010000 , +b11000110010000000010010011010000 H +b1100 + +b1100 G +1- +1. +0% +#6187000 +0] +1=" +1>" +1@" +0h +b10011 3" +0^ +0c +1d +1_ +b11100 0" +b11001010100011010011010101001 { +b11001010100011010011010101001 A" +12" +1n +b11000110010000000010010011010000 &" +b11000110010000000010010011010000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11 ~ +b11 )" +b11 ." +b11011010111001001001001100101 / +b11011010111001001001001100101 K +b11011010111001001001001100101 e +b11011010111001001001001100101 "" +0. +1% +#6187010 +b11000110010000000010010011010000 O" +#6187500 +b1111 5 +b1111 L +b1111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6188000 +1] +0i +b10000010101010011101000000011010 { +b10000010101010011101000000011010 A" +0=" +0>" +0@" +19" +1:" +b11111 3" +0d +0_ +b10000 0" +0j +0o +1p +02" +b11001010100011010011010101001 / +b11001010100011010011010101001 K +b11001010100011010011010101001 e +b11001010100011010011010101001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#6188500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6189000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10000010101010011101000000011010 / +b10000010101010011101000000011010 K +b10000010101010011101000000011010 e +b10000010101010011101000000011010 "" +0. +1% +#6189500 +1. +0% +#6190000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6190500 +1. +0% +#6191000 +0. +1% +#6191500 +b100000000111110101011111111110 2 +b100000000111110101011111111110 I +b100000000111110101011111111110 Z +b1111 3 +b1111 J +b1111 Y +11 +b100000000111110101011111111110 , +b100000000111110101011111111110 H +b1111 + +b1111 G +1- +1. +0% +#6192000 +0] +0\ +0[ +1=" +1>" +1@" +b10000 3" +0^ +0c +1d +1_ +1b +1a +12" +b100000000111110101011111111110 &" +b100000000111110101011111111110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +0. +1% +#6192010 +b100000000111110101011111111110 R" +#6192500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6193000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6193500 +b10010011000011110000000001010 2 +b10010011000011110000000001010 I +b10010011000011110000000001010 Z +11 +b10010011000011110000000001010 , +b10010011000011110000000001010 H +1- +1. +0% +#6194000 +1@" +1_ +12" +b10010011000011110000000001010 &" +b10010011000011110000000001010 ?" +1'" +0. +1% +#6194010 +b10010011000011110000000001010 C" +#6194500 +b10011 5 +b10011 L +b10011 W +14 +b0 2 +b0 I +b0 Z +01 +b10011 ' +b10011 D +1) +b0 , +b0 H +0- +1. +0% +#6195000 +b1001001100101110111001010111111 { +b1001001100101110111001010111111 A" +0@" +0h +0g +1;" +0_ +b1100 0" +0k +18" +1l +02" +1n +1m +1/" +b0 &" +b0 ?" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#6195500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6196000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b1001001100101110111001010111111 / +b1001001100101110111001010111111 K +b1001001100101110111001010111111 e +b1001001100101110111001010111111 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6196500 +b10 5 +b10 L +b10 W +14 +b1000 7 +b1000 N +b1000 V +16 +b10000011111001000111100000010110 2 +b10000011111001000111100000010110 I +b10000011111001000111100000010110 Z +b10100 3 +b10100 J +b10100 Y +11 +b10 ' +b10 D +1) +b1000 & +b1000 C +1( +b10000011111001000111100000010110 , +b10000011111001000111100000010110 H +b10100 + +b10100 G +1- +1. +0% +#6197000 +0] +b100011111110001111111101101100 { +b100011111110001111111101101100 A" +0s +b10011101101000110111100100110010 | +b10011101101000110111100100110010 B" +1>" +1@" +0h +1;" +15" +17" +b1011 3" +1^ +0_ +1<" +1` +b11101 0" +1k +b10111 -" +1y +1u +12" +1n +1/" +1," +b10000011111001000111100000010110 &" +b10000011111001000111100000010110 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6197010 +b10000011111001000111100000010110 W" +#6197500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6198000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0>" +0@" +1h +0;" +05" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +0u +02" +0n +0/" +0," +b100011111110001111111101101100 / +b100011111110001111111101101100 K +b100011111110001111111101101100 e +b100011111110001111111101101100 "" +b10011101101000110111100100110010 0 +b10011101101000110111100100110010 M +b10011101101000110111100100110010 f +b10011101101000110111100100110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6198500 +b11110 5 +b11110 L +b11110 W +14 +b101 7 +b101 N +b101 V +16 +b1011100110001010010010010110 2 +b1011100110001010010010010110 I +b1011100110001010010010010110 Z +b10100 3 +b10100 J +b10100 Y +11 +b11110 ' +b11110 D +1) +b101 & +b101 C +1( +b1011100110001010010010010110 , +b1011100110001010010010010110 H +b10100 + +b10100 G +1- +1. +0% +#6199000 +0] +0i +b11111110101010011110001010 { +b11111110101010011110001010 A" +0s +b1001100100100100011000010010011 | +b1001100100100100011000010010011 B" +1>" +1@" +0h +19" +1:" +1;" +0q +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +b11010 -" +1t +1u +12" +1n +1/" +1w +1," +b1011100110001010010010010110 &" +b1011100110001010010010010110 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6199010 +b1011100110001010010010010110 W" +#6199500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1111001011110100011100001110111 2 +b1111001011110100011100001110111 I +b1111001011110100011100001110111 Z +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1111001011110100011100001110111 , +b1111001011110100011100001110111 H +1. +0% +#6200000 +1i +1s +1h +09" +0:" +0;" +1q +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0w +0," +b11111110101010011110001010 / +b11111110101010011110001010 K +b11111110101010011110001010 e +b11111110101010011110001010 "" +b1001100100100100011000010010011 0 +b1001100100100100011000010010011 M +b1001100100100100011000010010011 f +b1001100100100100011000010010011 !" +b1111001011110100011100001110111 &" +b1111001011110100011100001110111 ?" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6200010 +b1111001011110100011100001110111 W" +#6200500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6201000 +1] +0>" +0@" +b11111 3" +0^ +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6201500 +b11011 7 +b11011 N +b11011 V +16 +b10110000001011101000011101110 2 +b10110000001011101000011101110 I +b10110000001011101000011101110 Z +b1010 3 +b1010 J +b1010 Y +11 +b11011 & +b11011 C +1( +b10110000001011101000011101110 , +b10110000001011101000011101110 H +b1010 + +b1010 G +1- +1. +0% +#6202000 +0] +0s +b11011010000110110010010010000100 | +b11011010000110110010010010000100 B" +0\ +1=" +1@" +0r +0q +15" +17" +b10101 3" +1c +1_ +b100 -" +1y +0u +14" +1v +1b +12" +1x +1w +1," +b10110000001011101000011101110 &" +b10110000001011101000011101110 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#6202010 +b10110000001011101000011101110 M" +#6202500 +b0 7 +b0 N +b0 V +06 +b10101000101011101010100100100 2 +b10101000101011101010100100100 I +b10101000101011101010100100100 Z +b11011 3 +b11011 J +b11011 Y +b0 & +b0 C +0( +b10101000101011101010100100100 , +b10101000101011101010100100100 H +b11011 + +b11011 G +1. +0% +#6203000 +0_ +1s +0[ +1r +1q +05" +07" +b100 3" +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0x +0w +0," +b10101000101011101010100100100 &" +b10101000101011101010100100100 ?" +b11011 %" +b11011 *" +b11011 1" +b0 } +b0 (" +b0 +" +0#" +b11011010000110110010010010000100 0 +b11011010000110110010010010000100 M +b11011010000110110010010010000100 f +b11011010000110110010010010000100 !" +0. +1% +#6203010 +b10101000101011101010100100100 ^" +#6203500 +b11111 7 +b11111 N +b11111 V +16 +b11011110010011101011010110011100 2 +b11011110010011101011010110011100 I +b11011110010011101011010110011100 Z +b11110 3 +b11110 J +b11110 Y +b11111 & +b11111 C +1( +b11011110010011101011010110011100 , +b11011110010011101011010110011100 H +b11110 + +b11110 G +1. +0% +#6204000 +0c +0s +b11110100101110100011000011000111 | +b11110100101110100011000011000111 B" +1[ +1>" +0r +0q +15" +16" +17" +b1 3" +1d +b0 -" +0t +0y +1z +0u +14" +1v +0a +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011110010011101011010110011100 &" +b11011110010011101011010110011100 ?" +b11110 %" +b11110 *" +b11110 1" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#6204010 +b11011110010011101011010110011100 a" +#6204500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6205000 +1] +1s +1\ +0=" +0>" +0@" +1r +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11110100101110100011000011000111 0 +b11110100101110100011000011000111 M +b11110100101110100011000011000111 f +b11110100101110100011000011000111 !" +0. +1% +#6205500 +b10100000101010011001101010111010 2 +b10100000101010011001101010111010 I +b10100000101010011001101010111010 Z +b11100 3 +b11100 J +b11100 Y +11 +b10100000101010011001101010111010 , +b10100000101010011001101010111010 H +b11100 + +b11100 G +1- +1. +0% +#6206000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100000101010011001101010111010 &" +b10100000101010011001101010111010 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#6206010 +b10100000101010011001101010111010 _" +#6206500 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6207000 +1] +0s +b10110000001011101000011101110 | +b10110000001011101000011101110 B" +0=" +0>" +0@" +0r +15" +17" +b11111 3" +0d +0<" +0` +b10101 -" +1y +1u +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#6207500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6208000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b10110000001011101000011101110 0 +b10110000001011101000011101110 M +b10110000001011101000011101110 f +b10110000001011101000011101110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6208500 +b10111 7 +b10111 N +b10111 V +16 +b10111 & +b10111 C +1( +1. +0% +#6209000 +0s +b10101010011001010000000101100 | +b10101010011001010000000101100 B" +0r +0q +16" +17" +b1000 -" +1t +0u +14" +1v +1x +1w +1," +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6209500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#6210000 +0i +b1110110110010101110100011111100 { +b1110110110010101110100011111100 A" +1s +0h +1:" +1;" +1r +1q +06" +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0w +0," +b10101010011001010000000101100 0 +b10101010011001010000000101100 M +b10101010011001010000000101100 f +b10101010011001010000000101100 !" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6210500 +b1001 5 +b1001 L +b1001 W +b110 7 +b110 N +b110 V +16 +b1110011000100100010010101100110 2 +b1110011000100100010010101100110 I +b1110011000100100010010101100110 Z +b10111 3 +b10111 J +b10111 Y +11 +b1001 ' +b1001 D +b110 & +b110 C +1( +b1110011000100100010010101100110 , +b1110011000100100010010101100110 H +b10111 + +b10111 G +1- +1. +0% +#6211000 +19" +0] +1k +1o +0s +b111011111111100101001010101010 | +b111011111111100101001010101010 B" +0\ +0[ +1>" +1@" +1h +0g +1;" +0:" +0r +16" +17" +b1000 3" +1^ +0_ +1<" +1` +b10110 0" +08" +0l +0j +b11110110001101000100101100001010 { +b11110110001101000100101100001010 A" +b11001 -" +1t +1u +1b +1a +12" +0n +1m +1x +1," +b1110011000100100010010101100110 &" +b1110011000100100010010101100110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +b110 } +b110 (" +b110 +" +1#" +b1110110110010101110100011111100 / +b1110110110010101110100011111100 K +b1110110110010101110100011111100 e +b1110110110010101110100011111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6211010 +b1110011000100100010010101100110 Z" +#6211500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b100000100101101000100011001111 2 +b100000100101101000100011001111 I +b100000100101101000100011001111 Z +b10000 3 +b10000 J +b10000 Y +b11 ' +b11 D +b0 & +b0 C +0( +b100000100101101000100011001111 , +b100000100101101000100011001111 H +b10000 + +b10000 G +1. +0% +#6212000 +1] +1i +1s +1\ +1[ +0>" +0h +09" +1r +06" +07" +b1111 3" +0^ +b11100 0" +0o +b11001010100011010011010101001 { +b11001010100011010011010101001 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +1n +0x +0," +b11110110001101000100101100001010 / +b11110110001101000100101100001010 K +b11110110001101000100101100001010 e +b11110110001101000100101100001010 "" +b111011111111100101001010101010 0 +b111011111111100101001010101010 M +b111011111111100101001010101010 f +b111011111111100101001010101010 !" +b100000100101101000100011001111 &" +b100000100101101000100011001111 ?" +b10000 %" +b10000 *" +b10000 1" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6212010 +b100000100101101000100011001111 S" +#6212500 +b111 5 +b111 L +b111 W +b11011 7 +b11011 N +b11011 V +16 +b11011000100111001001110100100011 2 +b11011000100111001001110100100011 I +b11011000100111001001110100100011 Z +b11 3 +b11 J +b11 Y +b111 ' +b111 D +b11011 & +b11011 C +1( +b11011000100111001001110100100011 , +b11011000100111001001110100100011 H +b11 + +b11 G +1. +0% +#6213000 +1_ +0i +b1100010010101001111101100010001 { +b1100010010101001111101100010001 A" +0s +b10101000101011101010100100100 | +b10101000101011101010100100100 B" +0\ +0[ +1@" +1:" +0r +0q +15" +17" +b11100 3" +0<" +0` +b11000 0" +1j +b100 -" +1y +0u +14" +1v +1b +1a +1x +1w +1," +b11011000100111001001110100100011 &" +b11011000100111001001110100100011 ?" +b11 %" +b11 *" +b11 1" +b111 ~ +b111 )" +b111 ." +b11011 } +b11011 (" +b11011 +" +1#" +b11001010100011010011010101001 / +b11001010100011010011010101001 K +b11001010100011010011010101001 e +b11001010100011010011010101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6213010 +b11011000100111001001110100100011 F" +#6213500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b101001011010011001001000111111 2 +b101001011010011001001000111111 I +b101001011010011001001000111111 Z +b11001 3 +b11001 J +b11001 Y +b1011 ' +b1011 D +b0 & +b0 C +0( +b101001011010011001001000111111 , +b101001011010011001001000111111 H +b11001 + +b11001 G +1. +0% +#6214000 +19" +0_ +0] +1o +b10101101100111011001110111001 { +b10101101100111011001110111001 A" +1s +1\ +1=" +0:" +1r +1q +05" +07" +b110 3" +1<" +1` +1c +b10100 0" +0j +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0x +0w +0," +b1100010010101001111101100010001 / +b1100010010101001111101100010001 K +b1100010010101001111101100010001 e +b1100010010101001111101100010001 "" +b10101000101011101010100100100 0 +b10101000101011101010100100100 M +b10101000101011101010100100100 f +b10101000101011101010100100100 !" +b101001011010011001001000111111 &" +b101001011010011001001000111111 ?" +b11001 %" +b11001 *" +b11001 1" +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6214010 +b101001011010011001001000111111 \" +#6214500 +b0 5 +b0 L +b0 W +04 +b1010110101100000001100111111000 2 +b1010110101100000001100111111000 I +b1010110101100000001100111111000 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b1010110101100000001100111111000 , +b1010110101100000001100111111000 H +b11111 + +b11111 G +1. +0% +#6215000 +0c +1i +0\ +1>" +1h +1g +09" +0;" +b0 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0n +0m +0/" +b1010110101100000001100111111000 &" +b1010110101100000001100111111000 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101101100111011001110111001 / +b10101101100111011001110111001 K +b10101101100111011001110111001 e +b10101101100111011001110111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6215500 +b10010000010111000010000101100010 2 +b10010000010111000010000101100010 I +b10010000010111000010000101100010 Z +b101 3 +b101 J +b101 Y +b10010000010111000010000101100010 , +b10010000010111000010000101100010 H +b101 + +b101 G +1. +0% +#6216000 +1_ +1^ +1\ +1@" +0=" +1>" +b11010 3" +0<" +0` +0d +0b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010000010111000010000101100010 &" +b10010000010111000010000101100010 ?" +b101 %" +b101 *" +b101 1" +0. +1% +#6216010 +b10010000010111000010000101100010 H" +#6216500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6217000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6217500 +b1110111001000100011111001011001 2 +b1110111001000100011111001011001 I +b1110111001000100011111001011001 Z +b111 3 +b111 J +b111 Y +11 +b1110111001000100011111001011001 , +b1110111001000100011111001011001 H +b111 + +b111 G +1- +1. +0% +#6218000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b1110111001000100011111001011001 &" +b1110111001000100011111001011001 ?" +b111 %" +b111 *" +b111 1" +1'" +0. +1% +#6218010 +b1110111001000100011111001011001 J" +#6218500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6219000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6219500 +b100 5 +b100 L +b100 W +14 +b10101 7 +b10101 N +b10101 V +16 +b10101101010101100000010001100000 2 +b10101101010101100000010001100000 I +b10101101010101100000010001100000 Z +b1011 3 +b1011 J +b1011 Y +11 +b100 ' +b100 D +1) +b10101 & +b10101 C +1( +b10101101010101100000010001100000 , +b10101101010101100000010001100000 H +b1011 + +b1011 G +1- +1. +0% +#6220000 +0] +0i +b10011001011011110011100111110011 { +b10011001011011110011100111110011 A" +0s +b11101110101001010101000010100010 | +b11101110101001010101000010100010 B" +0\ +0[ +1=" +1@" +1:" +1;" +0q +16" +17" +b10100 3" +1c +1_ +b11011 0" +1j +1k +b1010 -" +1t +0u +14" +1v +1b +1a +12" +1/" +1w +1," +b10101101010101100000010001100000 &" +b10101101010101100000010001100000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#6220010 +b10101101010101100000010001100000 N" +#6220500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6221000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +1[ +0=" +0@" +0:" +0;" +1q +06" +07" +b11111 3" +0c +0_ +b11111 0" +0j +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011001011011110011100111110011 / +b10011001011011110011100111110011 K +b10011001011011110011100111110011 e +b10011001011011110011100111110011 "" +b11101110101001010101000010100010 0 +b11101110101001010101000010100010 M +b11101110101001010101000010100010 f +b11101110101001010101000010100010 !" +0. +1% +#6221500 +1. +0% +#6222000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6222500 +1. +0% +#6223000 +0. +1% +#6223500 +b11101 5 +b11101 L +b11101 W +14 +b101010111100101001001110001111 2 +b101010111100101001001110001111 I +b101010111100101001001110001111 Z +b1110 3 +b1110 J +b1110 Y +11 +b11101 ' +b11101 D +1) +b101010111100101001001110001111 , +b101010111100101001001110001111 H +b1110 + +b1110 G +1- +1. +0% +#6224000 +0] +0i +b10010000111101000101001000110111 { +b10010000111101000101001000110111 A" +0\ +1=" +1>" +1@" +0g +19" +1:" +1;" +b10001 3" +0^ +0c +1d +1_ +b10 0" +0j +0o +1p +0k +18" +1l +1b +12" +1m +1/" +b101010111100101001001110001111 &" +b101010111100101001001110001111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#6224010 +b101010111100101001001110001111 Q" +#6224500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6225000 +1] +1i +1\ +0=" +0>" +0@" +1g +09" +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010000111101000101001000110111 / +b10010000111101000101001000110111 K +b10010000111101000101001000110111 e +b10010000111101000101001000110111 "" +0. +1% +#6225500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#6226000 +0s +b10100000101010011001101010111010 | +b10100000101010011001101010111010 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#6226500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b111 ' +b111 D +1) +b0 & +b0 C +0( +1. +0% +#6227000 +0i +b1110111001000100011111001011001 { +b1110111001000100011111001011001 A" +1s +0h +0g +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11000 0" +1j +1k +b11111 -" +0z +04" +0v +1n +1m +1/" +0," +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10100000101010011001101010111010 0 +b10100000101010011001101010111010 M +b10100000101010011001101010111010 f +b10100000101010011001101010111010 !" +0. +1% +#6227500 +b1001 5 +b1001 L +b1001 W +b11100 7 +b11100 N +b11100 V +16 +b1001 ' +b1001 D +b11100 & +b11100 C +1( +1. +0% +#6228000 +19" +1o +0s +b10100000101010011001101010111010 | +b10100000101010011001101010111010 B" +1h +0:" +15" +16" +17" +b10110 0" +0j +b11110110001101000100101100001010 { +b11110110001101000100101100001010 A" +b11 -" +0t +0y +1z +0u +14" +1v +0n +1," +b1110111001000100011111001011001 / +b1110111001000100011111001011001 K +b1110111001000100011111001011001 e +b1110111001000100011111001011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001 ~ +b1001 )" +b1001 ." +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#6228500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b0 ' +b0 D +0) +b11111 & +b11111 C +1. +0% +#6229000 +1i +1g +09" +0;" +0r +0q +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b0 -" +b1010110101100000001100111111000 | +b1010110101100000001100111111000 B" +0m +0/" +1x +1w +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +b11110110001101000100101100001010 / +b11110110001101000100101100001010 K +b11110110001101000100101100001010 e +b11110110001101000100101100001010 "" +b10100000101010011001101010111010 0 +b10100000101010011001101010111010 M +b10100000101010011001101010111010 f +b10100000101010011001101010111010 !" +0. +1% +#6229500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +1) +b0 & +b0 C +0( +1. +0% +#6230000 +0i +b111011111111100101001010101010 { +b111011111111100101001010101010 A" +1s +0h +1:" +1;" +1r +1q +05" +06" +07" +b11001 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010110101100000001100111111000 0 +b1010110101100000001100111111000 M +b1010110101100000001100111111000 f +b1010110101100000001100111111000 !" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6230500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6231000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b111011111111100101001010101010 / +b111011111111100101001010101010 K +b111011111111100101001010101010 e +b111011111111100101001010101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6231500 +b1 5 +b1 L +b1 W +14 +b1011 7 +b1011 N +b1011 V +16 +b10010111110100110001111000001111 2 +b10010111110100110001111000001111 I +b10010111110100110001111000001111 Z +b10011 3 +b10011 J +b10011 Y +11 +b1 ' +b1 D +1) +b1011 & +b1011 C +1( +b10010111110100110001111000001111 , +b10010111110100110001111000001111 H +b10011 + +b10011 G +1- +1. +0% +#6232000 +b11011010111001001001001100101 { +b11011010111001001001001100101 A" +0s +b10101101010101100000010001100000 | +b10101101010101100000010001100000 B" +0\ +0[ +1@" +0g +1;" +0r +0q +15" +17" +b1100 3" +0_ +1<" +1` +b11110 0" +1k +b10100 -" +1y +1u +1b +1a +12" +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010111110100110001111000001111 &" +b10010111110100110001111000001111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#6232010 +b10010111110100110001111000001111 V" +#6232500 +b11111 5 +b11111 L +b11111 W +b0 7 +b0 N +b0 V +06 +b1111001101111101011100100110 2 +b1111001101111101011100100110 I +b1111001101111101011100100110 Z +b11 3 +b11 J +b11 Y +b11111 ' +b11111 D +b0 & +b0 C +0( +b1111001101111101011100100110 , +b1111001101111101011100100110 H +b11 + +b11 G +1. +0% +#6233000 +1_ +0k +0i +1s +1@" +0h +19" +1:" +1r +1q +05" +07" +b11100 3" +0<" +0` +b0 0" +18" +1l +0j +0o +1p +b1010110101100000001100111111000 { +b1010110101100000001100111111000 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0x +0w +0," +b1111001101111101011100100110 &" +b1111001101111101011100100110 ?" +b11 %" +b11 *" +b11 1" +b11111 ~ +b11111 )" +b11111 ." +b0 } +b0 (" +b0 +" +0#" +b11011010111001001001001100101 / +b11011010111001001001001100101 K +b11011010111001001001001100101 e +b11011010111001001001001100101 "" +b10101101010101100000010001100000 0 +b10101101010101100000010001100000 M +b10101101010101100000010001100000 f +b10101101010101100000010001100000 !" +0. +1% +#6233010 +b1111001101111101011100100110 F" +#6233500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b1100011011010010011011110011 2 +b1100011011010010011011110011 I +b1100011011010010011011110011 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +b111 & +b111 C +1( +b1100011011010010011011110011 , +b1100011011010010011011110011 H +b10111 + +b10111 G +1. +0% +#6234000 +0_ +0] +1i +0s +b1110111001000100011111001011001 | +b1110111001000100011111001011001 B" +1>" +1h +1g +09" +0:" +0;" +0r +0q +16" +17" +b1000 3" +1<" +1` +1^ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +1t +1u +0n +0m +0/" +1x +1w +1," +b1010110101100000001100111111000 / +b1010110101100000001100111111000 K +b1010110101100000001100111111000 e +b1010110101100000001100111111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100011011010010011011110011 &" +b1100011011010010011011110011 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#6234010 +b1100011011010010011011110011 Z" +#6234500 +b1000 7 +b1000 N +b1000 V +b10011111110011011000011100011000 2 +b10011111110011011000011100011000 I +b10011111110011011000011100011000 Z +b11 3 +b11 J +b11 Y +b1000 & +b1000 C +b10011111110011011000011100011000 , +b10011111110011011000011100011000 H +b11 + +b11 G +1. +0% +#6235000 +15" +1_ +1] +1y +1@" +0>" +1r +1q +06" +b11100 3" +0<" +0` +0^ +b10111 -" +0t +b10011101101000110111100100110010 | +b10011101101000110111100100110010 B" +0x +0w +b10011111110011011000011100011000 &" +b10011111110011011000011100011000 ?" +b11 %" +b11 *" +b11 1" +b1000 } +b1000 (" +b1000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110111001000100011111001011001 0 +b1110111001000100011111001011001 M +b1110111001000100011111001011001 f +b1110111001000100011111001011001 !" +0. +1% +#6235010 +b10011111110011011000011100011000 F" +#6235500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6236000 +0i +b11110110001101000100101100001010 { +b11110110001101000100101100001010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0@" +0g +19" +1;" +05" +07" +b11111 3" +0_ +b10110 0" +1o +1k +b11111 -" +0y +0u +0b +0a +02" +1m +1/" +0," +b10011101101000110111100100110010 0 +b10011101101000110111100100110010 M +b10011101101000110111100100110010 f +b10011101101000110111100100110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6236500 +b110 5 +b110 L +b110 W +b10010 7 +b10010 N +b10010 V +16 +b110 ' +b110 D +b10010 & +b10010 C +1( +1. +0% +#6237000 +1:" +1j +b10111000010000111101010111010100 | +b10111000010000111101010111010100 B" +0h +1g +09" +0r +17" +b11001 0" +0o +b111011111111100101001010101010 { +b111011111111100101001010101010 A" +b1101 -" +0u +14" +1v +1n +0m +1x +1," +b110 ~ +b110 )" +b110 ." +b10010 } +b10010 (" +b10010 +" +1#" +b11110110001101000100101100001010 / +b11110110001101000100101100001010 K +b11110110001101000100101100001010 e +b11110110001101000100101100001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6237500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b1011100100101111101110100100101 2 +b1011100100101111101110100100101 I +b1011100100101111101110100100101 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +b1011100100101111101110100100101 , +b1011100100101111101110100100101 H +b1011 + +b1011 G +1- +1. +0% +#6238000 +0] +1i +0\ +0[ +1=" +1@" +1h +0:" +0;" +0q +b10100 3" +1c +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +b10010111110100110001111000001111 | +b10010111110100110001111000001111 B" +1b +1a +12" +0n +0/" +1w +b111011111111100101001010101010 / +b111011111111100101001010101010 K +b111011111111100101001010101010 e +b111011111111100101001010101010 "" +b10111000010000111101010111010100 0 +b10111000010000111101010111010100 M +b10111000010000111101010111010100 f +b10111000010000111101010111010100 !" +b1011100100101111101110100100101 &" +b1011100100101111101110100100101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +0. +1% +#6238010 +b1011100100101111101110100100101 N" +#6238500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6239000 +1] +1\ +1[ +0=" +0@" +1r +1q +07" +b11111 3" +0c +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010111110100110001111000001111 0 +b10010111110100110001111000001111 M +b10010111110100110001111000001111 f +b10010111110100110001111000001111 !" +0. +1% +#6239500 +b10010 5 +b10010 L +b10010 W +14 +b10110 7 +b10110 N +b10110 V +16 +b10110100111111101011111100100111 2 +b10110100111111101011111100100111 I +b10110100111111101011111100100111 Z +b1011 3 +b1011 J +b1011 Y +11 +b10010 ' +b10010 D +1) +b10110 & +b10110 C +1( +b10110100111111101011111100100111 , +b10110100111111101011111100100111 H +b1011 + +b1011 G +1- +1. +0% +#6240000 +0] +b10111000010000111101010111010100 { +b10111000010000111101010111010100 A" +0s +b1110110110010101110100011111100 | +b1110110110010101110100011111100 B" +0\ +0[ +1=" +1@" +0h +1;" +0r +16" +17" +b10100 3" +1c +1_ +b1101 0" +0k +18" +1l +b1001 -" +1t +0u +14" +1v +1b +1a +12" +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110100111111101011111100100111 &" +b10110100111111101011111100100111 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#6240010 +b10110100111111101011111100100111 N" +#6240500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6241000 +1] +0i +1s +1\ +1[ +0=" +0@" +0g +19" +1r +06" +07" +b11111 3" +0c +0_ +b100 0" +1o +b10101000101011101010100100100 { +b10101000101011101010100100100 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1m +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0#" +b10111000010000111101010111010100 / +b10111000010000111101010111010100 K +b10111000010000111101010111010100 e +b10111000010000111101010111010100 "" +b1110110110010101110100011111100 0 +b1110110110010101110100011111100 M +b1110110110010101110100011111100 f +b1110110110010101110100011111100 !" +0. +1% +#6241500 +b0 5 +b0 L +b0 W +04 +b111001010100000010011011010000 2 +b111001010100000010011011010000 I +b111001010100000010011011010000 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b111001010100000010011011010000 , +b111001010100000010011011010000 H +b1001 + +b1001 G +1- +1. +0% +#6242000 +0] +1i +0[ +1=" +1@" +1h +1g +09" +0;" +b10110 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0m +0/" +b10101000101011101010100100100 / +b10101000101011101010100100100 K +b10101000101011101010100100100 e +b10101000101011101010100100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111001010100000010011011010000 &" +b111001010100000010011011010000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6242010 +b111001010100000010011011010000 L" +#6242500 +b1100110010001111000001100101110 2 +b1100110010001111000001100101110 I +b1100110010001111000001100101110 Z +b1101 3 +b1101 J +b1101 Y +b1100110010001111000001100101110 , +b1100110010001111000001100101110 H +b1101 + +b1101 G +1. +0% +#6243000 +0c +1>" +b10010 3" +1d +b1100110010001111000001100101110 &" +b1100110010001111000001100101110 ?" +b1101 %" +b1101 *" +b1101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6243010 +b1100110010001111000001100101110 P" +#6243500 +b10111 5 +b10111 L +b10111 W +14 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6244000 +1] +0i +b1100011011010010011011110011 { +b1100011011010010011011110011 A" +0s +b1100011011010010011011110011 | +b1100011011010010011011110011 B" +1[ +0=" +0>" +0@" +0h +0g +1:" +1;" +0r +0q +16" +17" +b11111 3" +0d +0_ +b1000 0" +1j +0k +18" +1l +b1000 -" +1t +0u +14" +1v +0a +02" +1n +1m +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#6244500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11101100010000001011101100000100 2 +b11101100010000001011101100000100 I +b11101100010000001011101100000100 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11101100010000001011101100000100 , +b11101100010000001011101100000100 H +b11100 + +b11100 G +1- +1. +0% +#6245000 +0] +1i +1s +1=" +1>" +1@" +1h +1g +0:" +0;" +1r +1q +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0m +0/" +0x +0w +0," +b11101100010000001011101100000100 &" +b11101100010000001011101100000100 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100011011010010011011110011 / +b1100011011010010011011110011 K +b1100011011010010011011110011 e +b1100011011010010011011110011 "" +b1100011011010010011011110011 0 +b1100011011010010011011110011 M +b1100011011010010011011110011 f +b1100011011010010011011110011 !" +0. +1% +#6245010 +b11101100010000001011101100000100 _" +#6245500 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6246000 +1] +0s +b1100110010001111000001100101110 | +b1100110010001111000001100101110 B" +0=" +0>" +0@" +0q +15" +16" +17" +b11111 3" +0d +0<" +0` +b10010 -" +0t +0y +1z +1u +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#6246500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6247000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1100110010001111000001100101110 0 +b1100110010001111000001100101110 M +b1100110010001111000001100101110 f +b1100110010001111000001100101110 !" +0. +1% +#6247500 +b1000 5 +b1000 L +b1000 W +14 +b10010100111111011000110101001 2 +b10010100111111011000110101001 I +b10010100111111011000110101001 Z +b1100 3 +b1100 J +b1100 Y +11 +b1000 ' +b1000 D +1) +b10010100111111011000110101001 , +b10010100111111011000110101001 H +b1100 + +b1100 G +1- +1. +0% +#6248000 +0] +0i +b10011101101000110111100100110010 { +b10011101101000110111100100110010 A" +1=" +1>" +1@" +19" +1;" +b10011 3" +0^ +0c +1d +1_ +b10111 0" +1o +1k +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010100111111011000110101001 &" +b10010100111111011000110101001 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#6248010 +b10010100111111011000110101001 O" +#6248500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6249000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10101000101011101010100100100 | +b10101000101011101010100100100 B" +0=" +0>" +0@" +09" +0;" +0r +0q +15" +17" +b11111 3" +0d +0_ +b11111 0" +0o +0k +b100 -" +1y +0u +14" +1v +02" +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +b10011101101000110111100100110010 / +b10011101101000110111100100110010 K +b10011101101000110111100100110010 e +b10011101101000110111100100110010 "" +0. +1% +#6249500 +b110 7 +b110 N +b110 V +b11000011110000011011101011110100 2 +b11000011110000011011101011110100 I +b11000011110000011011101011110100 Z +b11011 3 +b11011 J +b11011 Y +11 +b110 & +b110 C +b11000011110000011011101011110100 , +b11000011110000011011101011110100 H +b11011 + +b11011 G +1- +1. +0% +#6250000 +16" +0] +1u +1t +0\ +0[ +1=" +1@" +1q +17" +05" +b100 3" +1c +0_ +1<" +1` +b11001 -" +04" +0v +0y +b111011111111100101001010101010 | +b111011111111100101001010101010 B" +1b +1a +12" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000101011101010100100100 0 +b10101000101011101010100100100 M +b10101000101011101010100100100 f +b10101000101011101010100100100 !" +b11000011110000011011101011110100 &" +b11000011110000011011101011110100 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b110 } +b110 (" +b110 +" +0. +1% +#6250010 +b11000011110000011011101011110100 ^" +#6250500 +b11010 7 +b11010 N +b11010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6251000 +15" +1] +0u +1y +1\ +1[ +0=" +0@" +b101101000101111111101011100010 | +b101101000101111111101011100010 B" +06" +b11111 3" +0c +0<" +0` +b101 -" +14" +1v +0t +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +b111011111111100101001010101010 0 +b111011111111100101001010101010 M +b111011111111100101001010101010 f +b111011111111100101001010101010 !" +0. +1% +#6251500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6252000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b101101000101111111101011100010 0 +b101101000101111111101011100010 M +b101101000101111111101011100010 f +b101101000101111111101011100010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6252500 +b10111 5 +b10111 L +b10111 W +14 +b1100 7 +b1100 N +b1100 V +16 +b10111 ' +b10111 D +1) +b1100 & +b1100 C +1( +1. +0% +#6253000 +0i +b1100011011010010011011110011 { +b1100011011010010011011110011 A" +0s +b10010100111111011000110101001 | +b10010100111111011000110101001 B" +0h +0g +1:" +1;" +15" +16" +17" +b1000 0" +1j +0k +18" +1l +b10011 -" +0t +0y +1z +1u +1n +1m +1/" +1," +b10111 ~ +b10111 )" +b10111 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6253500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1011111000010001011001011111 2 +b1011111000010001011001011111 I +b1011111000010001011001011111 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1011111000010001011001011111 , +b1011111000010001011001011111 H +b1111 + +b1111 G +1- +1. +0% +#6254000 +0] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1>" +1@" +1h +1g +0:" +0;" +05" +06" +07" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +0u +1b +1a +12" +0n +0m +0/" +0," +b1100011011010010011011110011 / +b1100011011010010011011110011 K +b1100011011010010011011110011 e +b1100011011010010011011110011 "" +b10010100111111011000110101001 0 +b10010100111111011000110101001 M +b10010100111111011000110101001 f +b10010100111111011000110101001 !" +b1011111000010001011001011111 &" +b1011111000010001011001011111 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6254010 +b1011111000010001011001011111 R" +#6254500 +b1000 5 +b1000 L +b1000 W +14 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6255000 +1] +0i +b10011101101000110111100100110010 { +b10011101101000110111100100110010 A" +0s +b101010111100101001001110001111 | +b101010111100101001001110001111 B" +1\ +1[ +0=" +0>" +0@" +19" +1;" +0r +15" +16" +17" +b11111 3" +0d +0_ +b10111 0" +1o +1k +b10001 -" +0t +0y +1z +1u +0b +0a +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6255500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b11100111101010111000100011011000 2 +b11100111101010111000100011011000 I +b11100111101010111000100011011000 Z +b110 3 +b110 J +b110 Y +11 +b10101 ' +b10101 D +b0 & +b0 C +0( +b11100111101010111000100011011000 , +b11100111101010111000100011011000 H +b110 + +b110 G +1- +1. +0% +#6256000 +1:" +0] +0k +1j +1s +0\ +1>" +1@" +0g +09" +1r +05" +06" +07" +b11001 3" +1^ +1_ +b1010 0" +18" +1l +0o +b11101110101001010101000010100010 { +b11101110101001010101000010100010 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +0x +0," +b10011101101000110111100100110010 / +b10011101101000110111100100110010 K +b10011101101000110111100100110010 e +b10011101101000110111100100110010 "" +b101010111100101001001110001111 0 +b101010111100101001001110001111 M +b101010111100101001001110001111 f +b101010111100101001001110001111 !" +b11100111101010111000100011011000 &" +b11100111101010111000100011011000 ?" +b110 %" +b110 *" +b110 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6256010 +b11100111101010111000100011011000 I" +#6256500 +b1100 5 +b1100 L +b1100 W +b10110100010110100001011000101100 2 +b10110100010110100001011000101100 I +b10110100010110100001011000101100 Z +b10111 3 +b10111 J +b10111 Y +b1100 ' +b1100 D +b10110100010110100001011000101100 , +b10110100010110100001011000101100 H +b10111 + +b10111 G +1. +0% +#6257000 +0_ +1k +0j +0[ +1g +1;" +19" +b1000 3" +1<" +1` +b10011 0" +08" +0l +1p +b10010100111111011000110101001 { +b10010100111111011000110101001 A" +1a +0m +b10110100010110100001011000101100 &" +b10110100010110100001011000101100 ?" +b10111 %" +b10111 *" +b10111 1" +b1100 ~ +b1100 )" +b1100 ." +b11101110101001010101000010100010 / +b11101110101001010101000010100010 K +b11101110101001010101000010100010 e +b11101110101001010101000010100010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6257010 +b10110100010110100001011000101100 Z" +#6257500 +b11100 5 +b11100 L +b11100 W +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6258000 +1] +0k +0s +b101001011010011001001000111111 | +b101001011010011001001000111111 B" +1\ +1[ +0>" +0@" +b11101100010000001011101100000100 { +b11101100010000001011101100000100 A" +0q +15" +17" +b11111 3" +0^ +0<" +0` +b11 0" +18" +1l +b110 -" +1y +0u +14" +1v +0b +0a +02" +1w +1," +b10010100111111011000110101001 / +b10010100111111011000110101001 K +b10010100111111011000110101001 e +b10010100111111011000110101001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#6258500 +b1 5 +b1 L +b1 W +b111 7 +b111 N +b111 V +b11001010001111011011111011110010 2 +b11001010001111011011111011110010 I +b11001010001111011011111011110010 Z +b11101 3 +b11101 J +b11101 Y +11 +b1 ' +b1 D +b111 & +b111 C +b11001010001111011011111011110010 , +b11001010001111011011111011110010 H +b11101 + +b11101 G +1- +1. +0% +#6259000 +16" +0] +1k +1i +1u +1t +0[ +1=" +1>" +1@" +0g +1;" +09" +0:" +0r +17" +05" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11110 0" +08" +0l +0p +b11011010111001001001001100101 { +b11011010111001001001001100101 A" +b11000 -" +04" +0v +0y +b1110111001000100011111001011001 | +b1110111001000100011111001011001 B" +1a +12" +1m +1x +b11001010001111011011111011110010 &" +b11001010001111011011111011110010 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b1 ~ +b1 )" +b1 ." +b111 } +b111 (" +b111 +" +b11101100010000001011101100000100 / +b11101100010000001011101100000100 K +b11101100010000001011101100000100 e +b11101100010000001011101100000100 "" +b101001011010011001001000111111 0 +b101001011010011001001000111111 M +b101001011010011001001000111111 f +b101001011010011001001000111111 !" +0. +1% +#6259010 +b11001010001111011011111011110010 `" +#6259500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b11111011011011010001111011001101 2 +b11111011011011010001111011001101 I +b11111011011011010001111011001101 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b0 & +b0 C +b11111011011011010001111011001101 , +b11111011011011010001111011001101 H +b1100 + +b1100 G +1. +0% +#6260000 +1_ +1s +1[ +1@" +1g +0;" +1r +1q +06" +b10011 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b10010011000011110000000001010 | +b10010011000011110000000001010 B" +0a +0m +0/" +0x +0w +b11011010111001001001001100101 / +b11011010111001001001001100101 K +b11011010111001001001001100101 e +b11011010111001001001001100101 "" +b1110111001000100011111001011001 0 +b1110111001000100011111001011001 M +b1110111001000100011111001011001 f +b1110111001000100011111001011001 !" +b11111011011011010001111011001101 &" +b11111011011011010001111011001101 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0. +1% +#6260010 +b11111011011011010001111011001101 O" +#6260500 +b10101 5 +b10101 L +b10101 W +14 +06 +b10011111110011110011001100000001 2 +b10011111110011110011001100000001 I +b10011111110011110011001100000001 Z +b11110 3 +b11110 J +b11110 Y +b10101 ' +b10101 D +1) +0( +b10011111110011110011001100000001 , +b10011111110011110011001100000001 H +b11110 + +b11110 G +1. +0% +#6261000 +0_ +0i +b11101110101001010101000010100010 { +b11101110101001010101000010100010 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0g +1:" +1;" +07" +b1 3" +1<" +1` +b1010 0" +1j +0k +18" +1l +0u +1b +1m +1/" +0," +b10011111110011110011001100000001 &" +b10011111110011110011001100000001 ?" +b11110 %" +b11110 *" +b11110 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010011000011110000000001010 0 +b10010011000011110000000001010 M +b10010011000011110000000001010 f +b10010011000011110000000001010 !" +0. +1% +#6261010 +b10011111110011110011001100000001 a" +#6261500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b10101110010011111101100001111000 2 +b10101110010011111101100001111000 I +b10101110010011111101100001111000 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +b10101110010011111101100001111000 , +b10101110010011111101100001111000 H +b0 + +b0 G +1. +0% +#6262000 +1_ +1] +1i +0s +b10110100111111101011111100100111 | +b10110100111111101011111100100111 B" +1\ +1@" +0=" +0>" +1g +0:" +0;" +0r +0q +15" +17" +b11111 3" +0<" +0` +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +1y +1u +0b +0m +0/" +1x +1w +1," +b11101110101001010101000010100010 / +b11101110101001010101000010100010 K +b11101110101001010101000010100010 e +b11101110101001010101000010100010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101110010011111101100001111000 &" +b10101110010011111101100001111000 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#6262010 +b10101110010011111101100001111000 C" +#6262500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#6263000 +0i +b10011111110011110011001100000001 { +b10011111110011110011001100000001 A" +1s +0@" +0h +19" +1:" +1;" +1r +1q +05" +07" +0_ +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1n +1/" +0x +0w +0," +b0 &" +b0 ?" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110100111111101011111100100111 0 +b10110100111111101011111100100111 M +b10110100111111101011111100100111 f +b10110100111111101011111100100111 !" +0. +1% +#6263500 +b1110 5 +b1110 L +b1110 W +b11110111110110111010001001110100 2 +b11110111110110111010001001110100 I +b11110111110110111010001001110100 Z +b11110 3 +b11110 J +b11110 Y +11 +b1110 ' +b1110 D +b11110111110110111010001001110100 , +b11110111110110111010001001110100 H +b11110 + +b11110 G +1- +1. +0% +#6264000 +0] +1k +0\ +1=" +1>" +1@" +1;" +b101010111100101001001110001111 { +b101010111100101001001110001111 A" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b10001 0" +08" +0l +1b +12" +b10011111110011110011001100000001 / +b10011111110011110011001100000001 K +b10011111110011110011001100000001 e +b10011111110011110011001100000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110111110110111010001001110100 &" +b11110111110110111010001001110100 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +0. +1% +#6264010 +b11110111110110111010001001110100 a" +#6264500 +b10 5 +b10 L +b10 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6265000 +1] +1i +b100011111110001111111101101100 { +b100011111110001111111101101100 A" +1\ +0=" +0>" +0@" +09" +0:" +b11111 3" +0d +0<" +0` +b11101 0" +0p +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b101010111100101001001110001111 / +b101010111100101001001110001111 K +b101010111100101001001110001111 e +b101010111100101001001110001111 "" +0. +1% +#6265500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b110100011101101001010001100010 2 +b110100011101101001010001100010 I +b110100011101101001010001100010 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +b110100011101101001010001100010 , +b110100011101101001010001100010 H +b10010 + +b10010 G +1- +1. +0% +#6266000 +0s +b10110100010110100001011000101100 | +b10110100010110100001011000101100 B" +0\ +1@" +1h +0;" +0r +0q +16" +17" +b1101 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1000 -" +1t +0u +14" +1v +1b +12" +0n +0/" +1x +1w +1," +b100011111110001111111101101100 / +b100011111110001111111101101100 K +b100011111110001111111101101100 e +b100011111110001111111101101100 "" +b110100011101101001010001100010 &" +b110100011101101001010001100010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#6266010 +b110100011101101001010001100010 U" +#6266500 +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6267000 +15" +1y +1\ +0@" +1r +1q +06" +b11111 3" +0<" +0` +b111 -" +0t +b100110011101011001101000100011 | +b100110011101011001101000100011 B" +0b +02" +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 } +b11000 (" +b11000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110100010110100001011000101100 0 +b10110100010110100001011000101100 M +b10110100010110100001011000101100 f +b10110100010110100001011000101100 !" +0. +1% +#6267500 +b1111 5 +b1111 L +b1111 W +14 +b10010 7 +b10010 N +b10010 V +b11111110011001100000001111000100 2 +b11111110011001100000001111000100 I +b11111110011001100000001111000100 Z +b1000 3 +b1000 J +b1000 Y +11 +b1111 ' +b1111 D +1) +b10010 & +b10010 C +b11111110011001100000001111000100 , +b11111110011001100000001111000100 H +b1000 + +b1000 G +1- +1. +0% +#6268000 +0] +0i +b1011111000010001011001011111 { +b1011111000010001011001011111 A" +1s +1=" +1@" +0h +0g +19" +1:" +1;" +0r +05" +b10111 3" +1c +1_ +b10000 0" +0j +0o +1p +1k +b1101 -" +0y +b110100011101101001010001100010 | +b110100011101101001010001100010 B" +12" +1n +1m +1/" +1x +b100110011101011001101000100011 0 +b100110011101011001101000100011 M +b100110011101011001101000100011 f +b100110011101011001101000100011 !" +b11111110011001100000001111000100 &" +b11111110011001100000001111000100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#6268010 +b11111110011001100000001111000100 K" +#6268500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6269000 +1] +1i +0=" +0@" +1h +1g +09" +0:" +0;" +1r +07" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0n +0m +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1011111000010001011001011111 / +b1011111000010001011001011111 K +b1011111000010001011001011111 e +b1011111000010001011001011111 "" +b110100011101101001010001100010 0 +b110100011101101001010001100010 M +b110100011101101001010001100010 f +b110100011101101001010001100010 !" +0. +1% +#6269500 +b1101 5 +b1101 L +b1101 W +14 +b11011 7 +b11011 N +b11011 V +16 +b1101 ' +b1101 D +1) +b11011 & +b11011 C +1( +1. +0% +#6270000 +0i +b1100110010001111000001100101110 { +b1100110010001111000001100101110 A" +0s +b11000011110000011011101011110100 | +b11000011110000011011101011110100 B" +0g +19" +1:" +1;" +0r +0q +15" +17" +b10010 0" +0j +0o +1p +1k +b100 -" +1y +0u +14" +1v +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101 ~ +b1101 )" +b1101 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#6270500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6271000 +1i +1s +1g +09" +0:" +0;" +1r +1q +05" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100110010001111000001100101110 / +b1100110010001111000001100101110 K +b1100110010001111000001100101110 e +b1100110010001111000001100101110 "" +b11000011110000011011101011110100 0 +b11000011110000011011101011110100 M +b11000011110000011011101011110100 f +b11000011110000011011101011110100 !" +0. +1% +#6271500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#6272000 +0i +b11111110011001100000001111000100 { +b11111110011001100000001111000100 A" +19" +1;" +b10111 0" +1o +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#6272500 +b0 5 +b0 L +b0 W +04 +b1110000101111001101010110001101 2 +b1110000101111001101010110001101 I +b1110000101111001101010110001101 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b1110000101111001101010110001101 , +b1110000101111001101010110001101 H +b1000 + +b1000 G +1- +1. +0% +#6273000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1=" +1@" +09" +0;" +b10111 3" +1c +1_ +b11111 0" +0o +0k +12" +0/" +b1110000101111001101010110001101 &" +b1110000101111001101010110001101 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111110011001100000001111000100 / +b11111110011001100000001111000100 K +b11111110011001100000001111000100 e +b11111110011001100000001111000100 "" +0. +1% +#6273010 +b1110000101111001101010110001101 K" +#6273500 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6274000 +1] +b1000101110101110101101010101011 | +b1000101110101110101101010101011 B" +0=" +0@" +0q +17" +b11111 3" +0c +0_ +b1110 -" +0u +14" +1v +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#6274500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6275000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1000101110101110101101010101011 0 +b1000101110101110101101010101011 M +b1000101110101110101101010101011 f +b1000101110101110101101010101011 !" +0. +1% +#6275500 +b1101 5 +b1101 L +b1101 W +14 +b1 7 +b1 N +b1 V +16 +b1101 ' +b1101 D +1) +b1 & +b1 C +1( +1. +0% +#6276000 +0i +b1100110010001111000001100101110 { +b1100110010001111000001100101110 A" +b11011010111001001001001100101 | +b11011010111001001001001100101 B" +0g +19" +1:" +1;" +0q +17" +b10010 0" +0j +0o +1p +1k +b11110 -" +1u +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101 ~ +b1101 )" +b1101 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#6276500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101010101101101000000111000 2 +b10101010101101101000000111000 I +b10101010101101101000000111000 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101010101101101000000111000 , +b10101010101101101000000111000 H +b10000 + +b10000 G +1- +1. +0% +#6277000 +1i +1@" +1g +09" +0:" +0;" +1q +07" +b1111 3" +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0m +0/" +0w +0," +b10101010101101101000000111000 &" +b10101010101101101000000111000 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100110010001111000001100101110 / +b1100110010001111000001100101110 K +b1100110010001111000001100101110 e +b1100110010001111000001100101110 "" +b11011010111001001001001100101 0 +b11011010111001001001001100101 M +b11011010111001001001001100101 f +b11011010111001001001001100101 !" +0. +1% +#6277010 +b10101010101101101000000111000 S" +#6277500 +b1100 5 +b1100 L +b1100 W +14 +b11111100100000111111000110100010 2 +b11111100100000111111000110100010 I +b11111100100000111111000110100010 Z +b100 3 +b100 J +b100 Y +b1100 ' +b1100 D +1) +b11111100100000111111000110100010 , +b11111100100000111111000110100010 H +b100 + +b100 G +1. +0% +#6278000 +1_ +0] +0i +b11111011011011010001111011001101 { +b11111011011011010001111011001101 A" +1@" +1>" +19" +1:" +1;" +b11011 3" +0<" +0` +1^ +b10011 0" +0j +0o +1p +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111100100000111111000110100010 &" +b11111100100000111111000110100010 ?" +b100 %" +b100 *" +b100 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#6278010 +b11111100100000111111000110100010 G" +#6278500 +b11111 5 +b11111 L +b11111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6279000 +1] +0k +0>" +0@" +0h +0g +b11111 3" +0^ +0_ +b0 0" +18" +1l +b1010110101100000001100111111000 { +b1010110101100000001100111111000 A" +02" +1n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +b11111011011011010001111011001101 / +b11111011011011010001111011001101 K +b11111011011011010001111011001101 e +b11111011011011010001111011001101 "" +0. +1% +#6279500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +1. +0% +#6280000 +1i +0s +b1100110010001111000001100101110 | +b1100110010001111000001100101110 B" +1h +1g +09" +0:" +0;" +0q +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +0t +0y +1z +1u +0n +0m +0/" +1w +1," +b1010110101100000001100111111000 / +b1010110101100000001100111111000 K +b1010110101100000001100111111000 e +b1010110101100000001100111111000 "" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#6280500 +b0 7 +b0 N +b0 V +06 +b11110001111101000000001110001 2 +b11110001111101000000001110001 I +b11110001111101000000001110001 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 & +b0 C +0( +b11110001111101000000001110001 , +b11110001111101000000001110001 H +b11001 + +b11001 G +1- +1. +0% +#6281000 +0] +1s +0[ +1=" +1@" +1q +05" +06" +07" +b110 3" +1c +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0w +0," +b11110001111101000000001110001 &" +b11110001111101000000001110001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100110010001111000001100101110 0 +b1100110010001111000001100101110 M +b1100110010001111000001100101110 f +b1100110010001111000001100101110 !" +0. +1% +#6281010 +b11110001111101000000001110001 \" +#6281500 +16 +b1011110110100000011100111001110 2 +b1011110110100000011100111001110 I +b1011110110100000011100111001110 Z +b10100 3 +b10100 J +b10100 Y +1( +b1011110110100000011100111001110 , +b1011110110100000011100111001110 H +b10100 + +b10100 G +1. +0% +#6282000 +1>" +1^ +b10101110010011111101100001111000 | +b10101110010011111101100001111000 B" +1[ +0=" +17" +b1011 3" +0c +1u +0a +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011110110100000011100111001110 &" +b1011110110100000011100111001110 ?" +b10100 %" +b10100 *" +b10100 1" +1#" +0. +1% +#6282010 +b1011110110100000011100111001110 W" +#6282500 +b1 5 +b1 L +b1 W +14 +b1 7 +b1 N +b1 V +b1000110000100001000010010011011 2 +b1000110000100001000010010011011 I +b1000110000100001000010010011011 Z +b1 ' +b1 D +1) +b1 & +b1 C +b1000110000100001000010010011011 , +b1000110000100001000010010011011 H +1. +0% +#6283000 +b11011010111001001001001100101 { +b11011010111001001001001100101 A" +0g +1;" +0q +b11110 0" +1k +b11110 -" +b11011010111001001001001100101 | +b11011010111001001001001100101 B" +1m +1/" +1w +b1000110000100001000010010011011 &" +b1000110000100001000010010011011 ?" +b1 ~ +b1 )" +b1 ." +1$" +b1 } +b1 (" +b1 +" +b10101110010011111101100001111000 0 +b10101110010011111101100001111000 M +b10101110010011111101100001111000 f +b10101110010011111101100001111000 !" +0. +1% +#6283010 +b1000110000100001000010010011011 W" +#6283500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10001 & +b10001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6284000 +1] +0u +0>" +0@" +1g +0;" +b1000101110101110101101010101011 | +b1000101110101110101101010101011 B" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +14" +1v +02" +0m +0/" +b11011010111001001001001100101 / +b11011010111001001001001100101 K +b11011010111001001001001100101 e +b11011010111001001001001100101 "" +b11011010111001001001001100101 0 +b11011010111001001001001100101 M +b11011010111001001001001100101 f +b11011010111001001001001100101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#6284500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b1101000100001110110111010100011 2 +b1101000100001110110111010100011 I +b1101000100001110110111010100011 Z +b11001 3 +b11001 J +b11001 Y +11 +b11 ' +b11 D +1) +b0 & +b0 C +0( +b1101000100001110110111010100011 , +b1101000100001110110111010100011 H +b11001 + +b11001 G +1- +1. +0% +#6285000 +0] +b10011111110011011000011100011000 { +b10011111110011011000011100011000 A" +0[ +1=" +1@" +0h +0g +1;" +1q +07" +b110 3" +1c +0_ +1<" +1` +b11100 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1n +1m +1/" +0w +0," +b1101000100001110110111010100011 &" +b1101000100001110110111010100011 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000101110101110101101010101011 0 +b1000101110101110101101010101011 M +b1000101110101110101101010101011 f +b1000101110101110101101010101011 !" +0. +1% +#6285010 +b1101000100001110110111010100011 \" +#6285500 +b11001 5 +b11001 L +b11001 W +b11011 7 +b11011 N +b11011 V +16 +b11100100100111000000010100100 2 +b11100100100111000000010100100 I +b11100100100111000000010100100 Z +b1011 3 +b1011 J +b1011 Y +b11001 ' +b11001 D +b11011 & +b11011 C +1( +b11100100100111000000010100100 , +b11100100100111000000010100100 H +b1011 + +b1011 G +1. +0% +#6286000 +1_ +0k +0i +0s +b11000011110000011011101011110100 | +b11000011110000011011101011110100 B" +0\ +1@" +1h +19" +0r +0q +15" +17" +b10100 3" +0<" +0` +b110 0" +18" +1l +1o +b1101000100001110110111010100011 { +b1101000100001110110111010100011 A" +b100 -" +1y +0u +14" +1v +1b +0n +1x +1w +1," +b10011111110011011000011100011000 / +b10011111110011011000011100011000 K +b10011111110011011000011100011000 e +b10011111110011011000011100011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100100100111000000010100100 &" +b11100100100111000000010100100 ?" +b1011 %" +b1011 *" +b1011 1" +b11001 ~ +b11001 )" +b11001 ." +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#6286010 +b11100100100111000000010100100 N" +#6286500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6287000 +1] +1i +1s +1\ +1[ +0=" +0@" +1g +09" +0;" +1r +1q +05" +07" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1101000100001110110111010100011 / +b1101000100001110110111010100011 K +b1101000100001110110111010100011 e +b1101000100001110110111010100011 "" +b11000011110000011011101011110100 0 +b11000011110000011011101011110100 M +b11000011110000011011101011110100 f +b11000011110000011011101011110100 !" +0. +1% +#6287500 +1. +0% +#6288000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6288500 +b10001 7 +b10001 N +b10001 V +16 +b10001 & +b10001 C +1( +1. +0% +#6289000 +b1000101110101110101101010101011 | +b1000101110101110101101010101011 B" +0q +17" +b1110 -" +0u +14" +1v +1w +1," +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#6289500 +b110 5 +b110 L +b110 W +14 +b1101 7 +b1101 N +b1101 V +b10110000011001001011100101111011 2 +b10110000011001001011100101111011 I +b10110000011001001011100101111011 Z +b101 3 +b101 J +b101 Y +11 +b110 ' +b110 D +1) +b1101 & +b1101 C +b10110000011001001011100101111011 , +b10110000011001001011100101111011 H +b101 + +b101 G +1- +1. +0% +#6290000 +0] +0i +b11100111101010111000100011011000 { +b11100111101010111000100011011000 A" +1u +0s +0[ +1>" +1@" +0h +1:" +1;" +17" +b1100110010001111000001100101110 | +b1100110010001111000001100101110 B" +15" +16" +b11010 3" +1^ +1_ +b11001 0" +1j +1k +b10010 -" +04" +0v +0t +0y +1z +1a +12" +1n +1/" +b1000101110101110101101010101011 0 +b1000101110101110101101010101011 M +b1000101110101110101101010101011 f +b1000101110101110101101010101011 !" +b10110000011001001011100101111011 &" +b10110000011001001011100101111011 ?" +b101 %" +b101 *" +b101 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#6290010 +b10110000011001001011100101111011 H" +#6290500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6291000 +1] +1i +1[ +0>" +0@" +1h +0:" +0;" +0r +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +b1011111000010001011001011111 | +b1011111000010001011001011111 B" +0a +02" +0n +0/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +b11100111101010111000100011011000 / +b11100111101010111000100011011000 K +b11100111101010111000100011011000 e +b11100111101010111000100011011000 "" +b1100110010001111000001100101110 0 +b1100110010001111000001100101110 M +b1100110010001111000001100101110 f +b1100110010001111000001100101110 !" +0. +1% +#6291500 +b10110 7 +b10110 N +b10110 V +b11101001100000101010100000001000 2 +b11101001100000101010100000001000 I +b11101001100000101010100000001000 Z +b10001 3 +b10001 J +b10001 Y +11 +b10110 & +b10110 C +b11101001100000101010100000001000 , +b11101001100000101010100000001000 H +b10001 + +b10001 G +1- +1. +0% +#6292000 +0u +1t +0[ +1@" +1q +05" +16" +b1110 3" +0_ +1<" +1` +b1001 -" +14" +1v +0z +b1110110110010101110100011111100 | +b1110110110010101110100011111100 B" +1a +12" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011111000010001011001011111 0 +b1011111000010001011001011111 M +b1011111000010001011001011111 f +b1011111000010001011001011111 !" +b11101001100000101010100000001000 &" +b11101001100000101010100000001000 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10110 } +b10110 (" +b10110 +" +0. +1% +#6292010 +b11101001100000101010100000001000 T" +#6292500 +14 +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6293000 +15" +b10101110010011111101100001111000 { +b10101110010011111101100001111000 A" +1u +1y +1[ +0@" +1;" +17" +b10110000001011101000011101110 | +b10110000001011101000011101110 B" +06" +b11111 3" +0<" +0` +1k +b10101 -" +04" +0v +0t +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b1010 } +b1010 (" +b1010 +" +b1110110110010101110100011111100 0 +b1110110110010101110100011111100 M +b1110110110010101110100011111100 f +b1110110110010101110100011111100 !" +0. +1% +#6293500 +04 +b10110 7 +b10110 N +b10110 V +0) +b10110 & +b10110 C +1. +0% +#6294000 +16" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +1t +0;" +b1110110110010101110100011111100 | +b1110110110010101110100011111100 B" +05" +0k +b1001 -" +14" +1v +0y +0/" +b10101110010011111101100001111000 / +b10101110010011111101100001111000 K +b10101110010011111101100001111000 e +b10101110010011111101100001111000 "" +b10110000001011101000011101110 0 +b10110000001011101000011101110 M +b10110000001011101000011101110 f +b10110000001011101000011101110 !" +0$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#6294500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +1) +b0 & +b0 C +0( +1. +0% +#6295000 +b10011111110011011000011100011000 { +b10011111110011011000011100011000 A" +1s +0h +0g +1;" +1r +06" +07" +b11100 0" +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110110110010101110100011111100 0 +b1110110110010101110100011111100 M +b1110110110010101110100011111100 f +b1110110110010101110100011111100 !" +0. +1% +#6295500 +b10110 5 +b10110 L +b10110 W +b10110 7 +b10110 N +b10110 V +16 +b10110 ' +b10110 D +b10110 & +b10110 C +1( +1. +0% +#6296000 +0k +0i +0s +b1110110110010101110100011111100 | +b1110110110010101110100011111100 B" +1g +1:" +0r +16" +17" +b1001 0" +18" +1l +1j +b1110110110010101110100011111100 { +b1110110110010101110100011111100 A" +b1001 -" +1t +0u +14" +1v +0m +1x +1," +b10011111110011011000011100011000 / +b10011111110011011000011100011000 K +b10011111110011011000011100011000 e +b10011111110011011000011100011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110 ~ +b10110 )" +b10110 ." +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#6296500 +b111 5 +b111 L +b111 W +b1101 7 +b1101 N +b1101 V +b111 ' +b111 D +b1101 & +b1101 C +1. +0% +#6297000 +1k +1u +0t +0g +1;" +1r +0q +17" +15" +b11000 0" +08" +0l +b1110111001000100011111001011001 { +b1110111001000100011111001011001 A" +b10010 -" +04" +0v +1z +b1100110010001111000001100101110 | +b1100110010001111000001100101110 B" +1m +0x +1w +b111 ~ +b111 )" +b111 ." +b1101 } +b1101 (" +b1101 +" +b1110110110010101110100011111100 / +b1110110110010101110100011111100 K +b1110110110010101110100011111100 e +b1110110110010101110100011111100 "" +b1110110110010101110100011111100 0 +b1110110110010101110100011111100 M +b1110110110010101110100011111100 f +b1110110110010101110100011111100 !" +0. +1% +#6297500 +b10011 5 +b10011 L +b10011 W +b0 7 +b0 N +b0 V +06 +b10011 ' +b10011 D +b0 & +b0 C +0( +1. +0% +#6298000 +0k +1i +1s +b10010111110100110001111000001111 { +b10010111110100110001111000001111 A" +0:" +1q +05" +06" +07" +b1100 0" +18" +1l +0j +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b1110111001000100011111001011001 / +b1110111001000100011111001011001 K +b1110111001000100011111001011001 e +b1110111001000100011111001011001 "" +b1100110010001111000001100101110 0 +b1100110010001111000001100101110 M +b1100110010001111000001100101110 f +b1100110010001111000001100101110 !" +b10011 ~ +b10011 )" +b10011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6298500 +b10010 5 +b10010 L +b10010 W +b10010 ' +b10010 D +1. +0% +#6299000 +1g +b1101 0" +b110100011101101001010001100010 { +b110100011101101001010001100010 A" +0m +b10010 ~ +b10010 )" +b10010 ." +b10010111110100110001111000001111 / +b10010111110100110001111000001111 K +b10010111110100110001111000001111 e +b10010111110100110001111000001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6299500 +b11 5 +b11 L +b11 W +b1011 7 +b1011 N +b1011 V +16 +b11 ' +b11 D +b1011 & +b1011 C +1( +1. +0% +#6300000 +1k +0s +b11100100100111000000010100100 | +b11100100100111000000010100100 B" +0g +1;" +0r +0q +15" +17" +b11100 0" +08" +0l +b10011111110011011000011100011000 { +b10011111110011011000011100011000 A" +b10100 -" +1y +1u +1m +1x +1w +1," +b110100011101101001010001100010 / +b110100011101101001010001100010 K +b110100011101101001010001100010 e +b110100011101101001010001100010 "" +b11 ~ +b11 )" +b11 ." +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#6300500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 ' +b0 D +0) +b10010 & +b10010 C +1. +0% +#6301000 +0u +1s +1h +1g +0;" +1q +05" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +14" +1v +0y +b110100011101101001010001100010 | +b110100011101101001010001100010 B" +0n +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +b10011111110011011000011100011000 / +b10011111110011011000011100011000 K +b10011111110011011000011100011000 e +b10011111110011011000011100011000 "" +b11100100100111000000010100100 0 +b11100100100111000000010100100 M +b11100100100111000000010100100 f +b11100100100111000000010100100 !" +0. +1% +#6301500 +b1001 7 +b1001 N +b1001 V +b1100101011110111001110100000011 2 +b1100101011110111001110100000011 I +b1100101011110111001110100000011 Z +b11 3 +b11 J +b11 Y +11 +b1001 & +b1001 C +b1100101011110111001110100000011 , +b1100101011110111001110100000011 H +b11 + +b11 G +1- +1. +0% +#6302000 +1u +0s +0\ +0[ +1@" +1r +0q +17" +15" +b11100 3" +1_ +b10110 -" +04" +0v +1y +b111001010100000010011011010000 | +b111001010100000010011011010000 B" +1b +1a +12" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110100011101101001010001100010 0 +b110100011101101001010001100010 M +b110100011101101001010001100010 f +b110100011101101001010001100010 !" +b1100101011110111001110100000011 &" +b1100101011110111001110100000011 ?" +b11 %" +b11 *" +b11 1" +1'" +b1001 } +b1001 (" +b1001 +" +0. +1% +#6302010 +b1100101011110111001110100000011 F" +#6302500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6303000 +0i +b11001010001111011011111011110010 { +b11001010001111011011111011110010 A" +1s +1\ +1[ +0@" +0g +19" +1:" +1;" +1q +05" +07" +b11111 3" +0_ +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1m +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b111001010100000010011011010000 0 +b111001010100000010011011010000 M +b111001010100000010011011010000 f +b111001010100000010011011010000 !" +0. +1% +#6303500 +b11001 5 +b11001 L +b11001 W +b11001 ' +b11001 D +1. +0% +#6304000 +1o +b1101000100001110110111010100011 { +b1101000100001110110111010100011 A" +19" +0:" +b110 0" +0p +b11001010001111011011111011110010 / +b11001010001111011011111011110010 K +b11001010001111011011111011110010 e +b11001010001111011011111011110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 ~ +b11001 )" +b11001 ." +0. +1% +#6304500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +1. +0% +#6305000 +1i +0s +b111001010100000010011011010000 | +b111001010100000010011011010000 B" +1g +09" +0;" +0q +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10110 -" +1y +1u +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b1101000100001110110111010100011 / +b1101000100001110110111010100011 K +b1101000100001110110111010100011 e +b1101000100001110110111010100011 "" +0. +1% +#6305500 +b1101 7 +b1101 N +b1101 V +b110100100000011010110011110001 2 +b110100100000011010110011110001 I +b110100100000011010110011110001 Z +b111 3 +b111 J +b111 Y +11 +b1101 & +b1101 C +b110100100000011010110011110001 , +b110100100000011010110011110001 H +b111 + +b111 G +1- +1. +0% +#6306000 +0] +0y +b1100110010001111000001100101110 | +b1100110010001111000001100101110 B" +0\ +0[ +1>" +1@" +16" +b11000 3" +1^ +1_ +b10010 -" +1z +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111001010100000010011011010000 0 +b111001010100000010011011010000 M +b111001010100000010011011010000 f +b111001010100000010011011010000 !" +b110100100000011010110011110001 &" +b110100100000011010110011110001 ?" +b111 %" +b111 *" +b111 1" +1'" +b1101 } +b1101 (" +b1101 +" +0. +1% +#6306010 +b110100100000011010110011110001 J" +#6306500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6307000 +1] +1s +1\ +1[ +0>" +0@" +1q +05" +06" +07" +b11111 3" +0^ +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1100110010001111000001100101110 0 +b1100110010001111000001100101110 M +b1100110010001111000001100101110 f +b1100110010001111000001100101110 !" +0. +1% +#6307500 +b1110 7 +b1110 N +b1110 V +16 +b1110 & +b1110 C +1( +1. +0% +#6308000 +0s +b101010111100101001001110001111 | +b101010111100101001001110001111 B" +0r +15" +16" +17" +b10001 -" +0t +0y +1z +1u +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#6308500 +b0 7 +b0 N +b0 V +06 +b1101100010111101000101010100111 2 +b1101100010111101000101010100111 I +b1101100010111101000101010100111 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 & +b0 C +0( +b1101100010111101000101010100111 , +b1101100010111101000101010100111 H +b1101 + +b1101 G +1- +1. +0% +#6309000 +0] +1s +0[ +1=" +1>" +1@" +1r +05" +06" +07" +b10010 3" +0^ +0c +1d +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0," +b1101100010111101000101010100111 &" +b1101100010111101000101010100111 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b101010111100101001001110001111 0 +b101010111100101001001110001111 M +b101010111100101001001110001111 f +b101010111100101001001110001111 !" +0. +1% +#6309010 +b1101100010111101000101010100111 P" +#6309500 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6310000 +1] +0s +b1101000100001110110111010100011 | +b1101000100001110110111010100011 B" +1[ +0=" +0>" +0@" +0q +15" +17" +b11111 3" +0d +0_ +b110 -" +1y +0u +14" +1v +0a +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#6310500 +b0 7 +b0 N +b0 V +06 +b10101001000111111111111000100000 2 +b10101001000111111111111000100000 I +b10101001000111111111111000100000 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 & +b0 C +0( +b10101001000111111111111000100000 , +b10101001000111111111111000100000 H +b1100 + +b1100 G +1- +1. +0% +#6311000 +0] +1s +1=" +1>" +1@" +1q +05" +07" +b10011 3" +0^ +0c +1d +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0w +0," +b10101001000111111111111000100000 &" +b10101001000111111111111000100000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1101000100001110110111010100011 0 +b1101000100001110110111010100011 M +b1101000100001110110111010100011 f +b1101000100001110110111010100011 !" +0. +1% +#6311010 +b10101001000111111111111000100000 O" +#6311500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6312000 +1] +0=" +0>" +0@" +b11111 3" +0d +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6312500 +1. +0% +#6313000 +0. +1% +#6313500 +b111 7 +b111 N +b111 V +16 +b11011011000011001100100111001001 2 +b11011011000011001100100111001001 I +b11011011000011001100100111001001 Z +b1 3 +b1 J +b1 Y +11 +b111 & +b111 C +1( +b11011011000011001100100111001001 , +b11011011000011001100100111001001 H +b1 + +b1 G +1- +1. +0% +#6314000 +0s +b110100100000011010110011110001 | +b110100100000011010110011110001 B" +0[ +1@" +0r +0q +16" +17" +b11110 3" +1_ +b11000 -" +1t +1u +1a +12" +1x +1w +1," +b11011011000011001100100111001001 &" +b11011011000011001100100111001001 ?" +b1 %" +b1 *" +b1 1" +1'" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#6314010 +b11011011000011001100100111001001 D" +#6314500 +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6315000 +b10101110010011111101100001111000 { +b10101110010011111101100001111000 A" +1s +1[ +0@" +1;" +1r +1q +06" +07" +b11111 3" +0_ +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b0 } +b0 (" +b0 +" +0#" +b110100100000011010110011110001 0 +b110100100000011010110011110001 M +b110100100000011010110011110001 f +b110100100000011010110011110001 !" +0. +1% +#6315500 +04 +0) +1. +0% +#6316000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +b10101110010011111101100001111000 / +b10101110010011111101100001111000 K +b10101110010011111101100001111000 e +b10101110010011111101100001111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0$" +0. +1% +#6316500 +b100 5 +b100 L +b100 W +14 +b10011010010110011010010100110110 2 +b10011010010110011010010100110110 I +b10011010010110011010010100110110 Z +b1011 3 +b1011 J +b1011 Y +11 +b100 ' +b100 D +1) +b10011010010110011010010100110110 , +b10011010010110011010010100110110 H +b1011 + +b1011 G +1- +1. +0% +#6317000 +0] +0i +b11111100100000111111000110100010 { +b11111100100000111111000110100010 A" +0\ +0[ +1=" +1@" +1:" +1;" +b10100 3" +1c +1_ +b11011 0" +1j +1k +1b +1a +12" +1/" +b10011010010110011010010100110110 &" +b10011010010110011010010100110110 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6317010 +b10011010010110011010010100110110 N" +#6317500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6318000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +1[ +0=" +0@" +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0j +0k +0b +0a +02" +0/" +b11111100100000111111000110100010 / +b11111100100000111111000110100010 K +b11111100100000111111000110100010 e +b11111100100000111111000110100010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6318500 +b10111 7 +b10111 N +b10111 V +16 +b10111 & +b10111 C +1( +1. +0% +#6319000 +0s +b10110100010110100001011000101100 | +b10110100010110100001011000101100 B" +0r +0q +16" +17" +b1000 -" +1t +0u +14" +1v +1x +1w +1," +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6319500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6320000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b10110100010110100001011000101100 0 +b10110100010110100001011000101100 M +b10110100010110100001011000101100 f +b10110100010110100001011000101100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6320500 +b11010 7 +b11010 N +b11010 V +16 +b11010 & +b11010 C +1( +1. +0% +#6321000 +0s +b101101000101111111101011100010 | +b101101000101111111101011100010 B" +0r +15" +17" +b101 -" +1y +0u +14" +1v +1x +1," +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6321500 +b10000 5 +b10000 L +b10000 W +14 +b1110 7 +b1110 N +b1110 V +b10000 ' +b10000 D +1) +b1110 & +b1110 C +1. +0% +#6322000 +b10101010101101101000000111000 { +b10101010101101101000000111000 A" +1u +0y +1;" +17" +b101010111100101001001110001111 | +b101010111100101001001110001111 B" +16" +b1111 0" +0k +18" +1l +b10001 -" +04" +0v +1z +1/" +b101101000101111111101011100010 0 +b101101000101111111101011100010 M +b101101000101111111101011100010 f +b101101000101111111101011100010 !" +b10000 ~ +b10000 )" +b10000 ." +1$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#6322500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b101011011011000100110111000 2 +b101011011011000100110111000 I +b101011011011000100110111000 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b101 & +b101 C +b101011011011000100110111000 , +b101011011011000100110111000 H +b1101 + +b1101 G +1- +1. +0% +#6323000 +0] +1t +0[ +1=" +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +0q +05" +16" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +08" +0l +b11010 -" +0z +b10110000011001001011100101111011 | +b10110000011001001011100101111011 B" +1a +12" +0/" +0x +1w +b101011011011000100110111000 &" +b101011011011000100110111000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b10101010101101101000000111000 / +b10101010101101101000000111000 K +b10101010101101101000000111000 e +b10101010101101101000000111000 "" +b101010111100101001001110001111 0 +b101010111100101001001110001111 M +b101010111100101001001110001111 f +b101010111100101001001110001111 !" +0. +1% +#6323010 +b101011011011000100110111000 P" +#6323500 +b11001 5 +b11001 L +b11001 W +14 +b10000 7 +b10000 N +b10000 V +b11010000101101110111000100110011 2 +b11010000101101110111000100110011 I +b11010000101101110111000100110011 Z +b10110 3 +b10110 J +b10110 Y +b11001 ' +b11001 D +1) +b10000 & +b10000 C +b11010000101101110111000100110011 , +b11010000101101110111000100110011 H +b10110 + +b10110 G +1. +0% +#6324000 +0_ +1^ +0i +b1101000100001110110111010100011 { +b1101000100001110110111010100011 A" +0u +1s +0\ +1[ +0=" +1>" +0g +19" +1;" +1q +06" +b1001 3" +1<" +1` +0d +b110 0" +1o +0k +18" +1l +b1111 -" +14" +1v +0t +b10101010101101101000000111000 | +b10101010101101101000000111000 B" +1b +0a +1m +1/" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110000011001001011100101111011 0 +b10110000011001001011100101111011 M +b10110000011001001011100101111011 f +b10110000011001001011100101111011 !" +b11010000101101110111000100110011 &" +b11010000101101110111000100110011 ?" +b10110 %" +b10110 *" +b10110 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b10000 } +b10000 (" +b10000 +" +0. +1% +#6324010 +b11010000101101110111000100110011 Y" +#6324500 +b1100 5 +b1100 L +b1100 W +b111 7 +b111 N +b111 V +b10110101010110000110001100101110 2 +b10110101010110000110001100101110 I +b10110101010110000110001100101110 Z +b1110 3 +b1110 J +b1110 Y +b1100 ' +b1100 D +b111 & +b111 C +b10110101010110000110001100101110 , +b10110101010110000110001100101110 H +b1110 + +b1110 G +1. +0% +#6325000 +1_ +0^ +1k +0o +1u +0s +1@" +1=" +1g +1;" +1:" +0r +0q +17" +16" +b10001 3" +0<" +0` +1d +b10011 0" +08" +0l +1p +b10101001000111111111111000100000 { +b10101001000111111111111000100000 A" +b11000 -" +04" +0v +1t +b110100100000011010110011110001 | +b110100100000011010110011110001 B" +0m +1x +1w +b10110101010110000110001100101110 &" +b10110101010110000110001100101110 ?" +b1110 %" +b1110 *" +b1110 1" +b1100 ~ +b1100 )" +b1100 ." +b111 } +b111 (" +b111 +" +b1101000100001110110111010100011 / +b1101000100001110110111010100011 K +b1101000100001110110111010100011 e +b1101000100001110110111010100011 "" +b10101010101101101000000111000 0 +b10101010101101101000000111000 M +b10101010101101101000000111000 f +b10101010101101101000000111000 !" +0. +1% +#6325010 +b10110101010110000110001100101110 Q" +#6325500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6326000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +0t +1\ +0=" +0>" +0@" +09" +0:" +0;" +b1010110101100000001100111111000 | +b1010110101100000001100111111000 B" +15" +b11111 3" +0d +0_ +b11111 0" +0p +0k +b0 -" +14" +1v +1z +0b +02" +0/" +b10101001000111111111111000100000 / +b10101001000111111111111000100000 K +b10101001000111111111111000100000 e +b10101001000111111111111000100000 "" +b110100100000011010110011110001 0 +b110100100000011010110011110001 M +b110100100000011010110011110001 f +b110100100000011010110011110001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#6326500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#6327000 +1r +b10 -" +b11001010001111011011111011110010 | +b11001010001111011011111011110010 B" +0x +b11101 } +b11101 (" +b11101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010110101100000001100111111000 0 +b1010110101100000001100111111000 M +b1010110101100000001100111111000 f +b1010110101100000001100111111000 !" +0. +1% +#6327500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b10011110011010110001110000110000 2 +b10011110011010110001110000110000 I +b10011110011010110001110000110000 Z +b11011 3 +b11011 J +b11011 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b10011110011010110001110000110000 , +b10011110011010110001110000110000 H +b11011 + +b11011 G +1- +1. +0% +#6328000 +0] +0i +b111001010100000010011011010000 { +b111001010100000010011011010000 A" +1s +0\ +0[ +1=" +1@" +0g +19" +1;" +1q +05" +06" +07" +b100 3" +1c +0_ +1<" +1` +b10110 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1m +1/" +0w +0," +b11001010001111011011111011110010 0 +b11001010001111011011111011110010 M +b11001010001111011011111011110010 f +b11001010001111011011111011110010 !" +b10011110011010110001110000110000 &" +b10011110011010110001110000110000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6328010 +b10011110011010110001110000110000 ^" +#6328500 +b0 5 +b0 L +b0 W +04 +16 +b11001111001000000010100101101001 2 +b11001111001000000010100101101001 I +b11001111001000000010100101101001 Z +b0 ' +b0 D +0) +1( +b11001111001000000010100101101001 , +b11001111001000000010100101101001 H +1. +0% +#6329000 +1i +b10101110010011111101100001111000 | +b10101110010011111101100001111000 B" +1g +09" +0;" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1u +0m +0/" +1," +b11001111001000000010100101101001 &" +b11001111001000000010100101101001 ?" +b0 ~ +b0 )" +b0 ." +0$" +1#" +b111001010100000010011011010000 / +b111001010100000010011011010000 K +b111001010100000010011011010000 e +b111001010100000010011011010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6329010 +b11001111001000000010100101101001 ^" +#6329500 +b1011 7 +b1011 N +b1011 V +b10111000110111111001000110011001 2 +b10111000110111111001000110011001 I +b10111000110111111001000110011001 Z +b1001 3 +b1001 J +b1001 Y +b1011 & +b1011 C +b10111000110111111001000110011001 , +b10111000110111111001000110011001 H +b1001 + +b1001 G +1. +0% +#6330000 +1_ +0s +1\ +1@" +0r +0q +15" +b10110 3" +0<" +0` +b10100 -" +1y +b10011010010110011010010100110110 | +b10011010010110011010010100110110 B" +0b +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101110010011111101100001111000 0 +b10101110010011111101100001111000 M +b10101110010011111101100001111000 f +b10101110010011111101100001111000 !" +b10111000110111111001000110011001 &" +b10111000110111111001000110011001 ?" +b1001 %" +b1001 *" +b1001 1" +b1011 } +b1011 (" +b1011 +" +0. +1% +#6330010 +b10111000110111111001000110011001 L" +#6330500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6331000 +1] +1s +1[ +0=" +0@" +1r +1q +05" +07" +b11111 3" +0c +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10011010010110011010010100110110 0 +b10011010010110011010010100110110 M +b10011010010110011010010100110110 f +b10011010010110011010010100110110 !" +0. +1% +#6331500 +1. +0% +#6332000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6332500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#6333000 +b10010111110100110001111000001111 | +b10010111110100110001111000001111 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#6333500 +b111 7 +b111 N +b111 V +b111 & +b111 C +1. +0% +#6334000 +1u +0s +17" +b110100100000011010110011110001 | +b110100100000011010110011110001 B" +16" +b11000 -" +04" +0v +1t +b10010111110100110001111000001111 0 +b10010111110100110001111000001111 M +b10010111110100110001111000001111 f +b10010111110100110001111000001111 !" +b111 } +b111 (" +b111 +" +0. +1% +#6334500 +b11 7 +b11 N +b11 V +b11 & +b11 C +1. +0% +#6335000 +1s +b1100101011110111001110100000011 | +b1100101011110111001110100000011 B" +06" +b11100 -" +0t +b11 } +b11 (" +b11 +" +b110100100000011010110011110001 0 +b110100100000011010110011110001 M +b110100100000011010110011110001 f +b110100100000011010110011110001 !" +0. +1% +#6335500 +b1111 5 +b1111 L +b1111 W +14 +b11100 7 +b11100 N +b11100 V +b1011101011010111101101110000101 2 +b1011101011010111101101110000101 I +b1011101011010111101101110000101 Z +b11110 3 +b11110 J +b11110 Y +11 +b1111 ' +b1111 D +1) +b11100 & +b11100 C +b1011101011010111101101110000101 , +b1011101011010111101101110000101 H +b11110 + +b11110 G +1- +1. +0% +#6336000 +0] +0i +b1011111000010001011001011111 { +b1011111000010001011001011111 A" +0u +0s +0\ +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +1r +1q +15" +16" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b10000 0" +0j +0o +1p +1k +b11 -" +14" +1v +0t +0y +1z +b11101100010000001011101100000100 | +b11101100010000001011101100000100 B" +1b +12" +1n +1m +1/" +0x +0w +b1100101011110111001110100000011 0 +b1100101011110111001110100000011 M +b1100101011110111001110100000011 f +b1100101011110111001110100000011 !" +b1011101011010111101101110000101 &" +b1011101011010111101101110000101 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#6336010 +b1011101011010111101101110000101 a" +#6336500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6337000 +1] +0k +1s +1\ +0=" +0>" +0@" +1g +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0<" +0` +b1 0" +18" +1l +b1011101011010111101101110000101 { +b1011101011010111101101110000101 A" +b11111 -" +0z +04" +0v +0b +02" +0m +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +b1011111000010001011001011111 / +b1011111000010001011001011111 K +b1011111000010001011001011111 e +b1011111000010001011001011111 "" +b11101100010000001011101100000100 0 +b11101100010000001011101100000100 M +b11101100010000001011101100000100 f +b11101100010000001011101100000100 !" +0. +1% +#6337500 +b11100 5 +b11100 L +b11100 W +b11100 ' +b11100 D +1. +0% +#6338000 +1h +b11 0" +b11101100010000001011101100000100 { +b11101100010000001011101100000100 A" +0n +b1011101011010111101101110000101 / +b1011101011010111101101110000101 K +b1011101011010111101101110000101 e +b1011101011010111101101110000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100 ~ +b11100 )" +b11100 ." +0. +1% +#6338500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6339000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11101100010000001011101100000100 / +b11101100010000001011101100000100 K +b11101100010000001011101100000100 e +b11101100010000001011101100000100 "" +0. +1% +#6339500 +b10011 5 +b10011 L +b10011 W +14 +b11100 7 +b11100 N +b11100 V +16 +b1000111100000100000000011001101 2 +b1000111100000100000000011001101 I +b1000111100000100000000011001101 Z +b10101 3 +b10101 J +b10101 Y +11 +b10011 ' +b10011 D +1) +b11100 & +b11100 C +1( +b1000111100000100000000011001101 , +b1000111100000100000000011001101 H +b10101 + +b10101 G +1- +1. +0% +#6340000 +0] +b10010111110100110001111000001111 { +b10010111110100110001111000001111 A" +0s +b11101100010000001011101100000100 | +b11101100010000001011101100000100 B" +0[ +1>" +1@" +0h +0g +1;" +15" +16" +17" +b1010 3" +1^ +0_ +1<" +1` +b1100 0" +0k +18" +1l +b11 -" +0t +0y +1z +0u +14" +1v +1a +12" +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000111100000100000000011001101 &" +b1000111100000100000000011001101 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#6340010 +b1000111100000100000000011001101 X" +#6340500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6341000 +1] +1s +1[ +0>" +0@" +1h +1g +0;" +0r +0q +05" +06" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0z +b10010111110100110001111000001111 | +b10010111110100110001111000001111 B" +0a +02" +0n +0m +0/" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b10010111110100110001111000001111 / +b10010111110100110001111000001111 K +b10010111110100110001111000001111 e +b10010111110100110001111000001111 "" +b11101100010000001011101100000100 0 +b11101100010000001011101100000100 M +b11101100010000001011101100000100 f +b11101100010000001011101100000100 !" +0. +1% +#6341500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6342000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010111110100110001111000001111 0 +b10010111110100110001111000001111 M +b10010111110100110001111000001111 f +b10010111110100110001111000001111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6342500 +b11011110111111000110101101100000 2 +b11011110111111000110101101100000 I +b11011110111111000110101101100000 Z +b10100 3 +b10100 J +b10100 Y +11 +b11011110111111000110101101100000 , +b11011110111111000110101101100000 H +b10100 + +b10100 G +1- +1. +0% +#6343000 +0] +1>" +1@" +b1011 3" +1^ +0_ +1<" +1` +12" +b11011110111111000110101101100000 &" +b11011110111111000110101101100000 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6343010 +b11011110111111000110101101100000 W" +#6343500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6344000 +1] +0>" +0@" +b11111 3" +0^ +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6344500 +1. +0% +#6345000 +0. +1% +#6345500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#6346000 +0s +b1011101011010111101101110000101 | +b1011101011010111101101110000101 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#6346500 +b0 7 +b0 N +b0 V +06 +b10001010111100100110101011011000 2 +b10001010111100100110101011011000 I +b10001010111100100110101011011000 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b10001010111100100110101011011000 , +b10001010111100100110101011011000 H +b111 + +b111 G +1- +1. +0% +#6347000 +0] +1s +0\ +0[ +1>" +1@" +1r +05" +06" +07" +b11000 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0," +b10001010111100100110101011011000 &" +b10001010111100100110101011011000 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1011101011010111101101110000101 0 +b1011101011010111101101110000101 M +b1011101011010111101101110000101 f +b1011101011010111101101110000101 !" +0. +1% +#6347010 +b10001010111100100110101011011000 J" +#6347500 +b101110111011101100111000011 2 +b101110111011101100111000011 I +b101110111011101100111000011 Z +b101110111011101100111000011 , +b101110111011101100111000011 H +1. +0% +#6348000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101110111011101100111000011 &" +b101110111011101100111000011 ?" +0. +1% +#6348010 +b101110111011101100111000011 J" +#6348500 +b10111 5 +b10111 L +b10111 W +14 +b1001010011000110001011100001100 2 +b1001010011000110001011100001100 I +b1001010011000110001011100001100 Z +b11111 3 +b11111 J +b11111 Y +b10111 ' +b10111 D +1) +b1001010011000110001011100001100 , +b1001010011000110001011100001100 H +b11111 + +b11111 G +1. +0% +#6349000 +0_ +0^ +0i +b10110100010110100001011000101100 { +b10110100010110100001011000101100 A" +1=" +0h +0g +1:" +1;" +b0 3" +1<" +1` +1d +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b1001010011000110001011100001100 &" +b1001010011000110001011100001100 ?" +b11111 %" +b11111 *" +b11111 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#6349500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6350000 +1] +1i +0s +b11111100100000111111000110100010 | +b11111100100000111111000110100010 B" +1\ +1[ +0=" +0>" +0@" +1h +1g +0:" +0;" +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +1t +1u +0b +0a +02" +0n +0m +0/" +1," +b10110100010110100001011000101100 / +b10110100010110100001011000101100 K +b10110100010110100001011000101100 e +b10110100010110100001011000101100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#6350500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6351000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +06" +07" +b11111 -" +0t +0u +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111100100000111111000110100010 0 +b11111100100000111111000110100010 M +b11111100100000111111000110100010 f +b11111100100000111111000110100010 !" +0. +1% +#6351500 +b11111010001101011110110000111001 2 +b11111010001101011110110000111001 I +b11111010001101011110110000111001 Z +b11 3 +b11 J +b11 Y +11 +b11111010001101011110110000111001 , +b11111010001101011110110000111001 H +b11 + +b11 G +1- +1. +0% +#6352000 +0\ +0[ +1@" +b11100 3" +1_ +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111010001101011110110000111001 &" +b11111010001101011110110000111001 ?" +b11 %" +b11 *" +b11 1" +1'" +0. +1% +#6352010 +b11111010001101011110110000111001 F" +#6352500 +14 +b11000011000011011101101110001110 2 +b11000011000011011101101110001110 I +b11000011000011011101101110001110 Z +b1110 3 +b1110 J +b1110 Y +1) +b11000011000011011101101110001110 , +b11000011000011011101101110001110 H +b1110 + +b1110 G +1. +0% +#6353000 +0] +b10101110010011111101100001111000 { +b10101110010011111101100001111000 A" +1[ +1=" +1>" +1;" +b10001 3" +0^ +0c +1d +1k +0a +1/" +b11000011000011011101101110001110 &" +b11000011000011011101101110001110 ?" +b1110 %" +b1110 *" +b1110 1" +1$" +0. +1% +#6353010 +b11000011000011011101101110001110 Q" +#6353500 +b10000 5 +b10000 L +b10000 W +b11000010110011011101111001000000 2 +b11000010110011011101111001000000 I +b11000010110011011101111001000000 Z +b10 3 +b10 J +b10 Y +b10000 ' +b10000 D +b11000010110011011101111001000000 , +b11000010110011011101111001000000 H +b10 + +b10 G +1. +0% +#6354000 +1] +0k +0=" +0>" +b10101010101101101000000111000 { +b10101010101101101000000111000 A" +b11101 3" +0d +b1111 0" +18" +1l +b10101110010011111101100001111000 / +b10101110010011111101100001111000 K +b10101110010011111101100001111000 e +b10101110010011111101100001111000 "" +b11000010110011011101111001000000 &" +b11000010110011011101111001000000 ?" +b10 %" +b10 *" +b10 1" +b10000 ~ +b10000 )" +b10000 ." +0. +1% +#6354010 +b11000010110011011101111001000000 E" +#6354500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6355000 +1\ +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0_ +b11111 0" +08" +0l +0b +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101010101101101000000111000 / +b10101010101101101000000111000 K +b10101010101101101000000111000 e +b10101010101101101000000111000 "" +0. +1% +#6355500 +b110 7 +b110 N +b110 V +16 +b10101010001000010111100001000000 2 +b10101010001000010111100001000000 I +b10101010001000010111100001000000 Z +b1000 3 +b1000 J +b1000 Y +11 +b110 & +b110 C +1( +b10101010001000010111100001000000 , +b10101010001000010111100001000000 H +b1000 + +b1000 G +1- +1. +0% +#6356000 +0] +0s +b11100111101010111000100011011000 | +b11100111101010111000100011011000 B" +1=" +1@" +0r +16" +17" +b10111 3" +1c +1_ +b11001 -" +1t +1u +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101010001000010111100001000000 &" +b10101010001000010111100001000000 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#6356010 +b10101010001000010111100001000000 K" +#6356500 +b0 7 +b0 N +b0 V +06 +b10110110101101001010011110110101 2 +b10110110101101001010011110110101 I +b10110110101101001010011110110101 Z +b101 3 +b101 J +b101 Y +b0 & +b0 C +0( +b10110110101101001010011110110101 , +b10110110101101001010011110110101 H +b101 + +b101 G +1. +0% +#6357000 +1>" +1^ +1s +0[ +0=" +1r +06" +07" +b11010 3" +0c +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0x +0," +b10110110101101001010011110110101 &" +b10110110101101001010011110110101 ?" +b101 %" +b101 *" +b101 1" +b0 } +b0 (" +b0 +" +0#" +b11100111101010111000100011011000 0 +b11100111101010111000100011011000 M +b11100111101010111000100011011000 f +b11100111101010111000100011011000 !" +0. +1% +#6357010 +b10110110101101001010011110110101 H" +#6357500 +b11001 7 +b11001 N +b11001 V +16 +b11000110000100011101111101100001 2 +b11000110000100011101111101100001 I +b11000110000100011101111101100001 Z +b10111 3 +b10111 J +b10111 Y +b11001 & +b11001 C +1( +b11000110000100011101111101100001 , +b11000110000100011101111101100001 H +b10111 + +b10111 G +1. +0% +#6358000 +0_ +0s +b1101000100001110110111010100011 | +b1101000100001110110111010100011 B" +0\ +0q +15" +17" +b1000 3" +1<" +1` +b110 -" +1y +0u +14" +1v +1b +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000110000100011101111101100001 &" +b11000110000100011101111101100001 ?" +b10111 %" +b10111 *" +b10111 1" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#6358010 +b11000110000100011101111101100001 Z" +#6358500 +b10110 7 +b10110 N +b10110 V +b11011010100101010111110100101000 2 +b11011010100101010111110100101000 I +b11011010100101010111110100101000 Z +b11001 3 +b11001 J +b11001 Y +b10110 & +b10110 C +b11011010100101010111110100101000 , +b11011010100101010111110100101000 H +b11001 + +b11001 G +1. +0% +#6359000 +1=" +16" +1c +1t +1\ +0>" +0r +1q +05" +b110 3" +0^ +b1001 -" +0y +b11010000101101110111000100110011 | +b11010000101101110111000100110011 B" +0b +1x +0w +b11011010100101010111110100101000 &" +b11011010100101010111110100101000 ?" +b11001 %" +b11001 *" +b11001 1" +b10110 } +b10110 (" +b10110 +" +b1101000100001110110111010100011 0 +b1101000100001110110111010100011 M +b1101000100001110110111010100011 f +b1101000100001110110111010100011 !" +0. +1% +#6359010 +b11011010100101010111110100101000 \" +#6359500 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6360000 +1] +1u +0t +1[ +0=" +0@" +1r +17" +15" +b11111 3" +0c +0<" +0` +b10011 -" +04" +0v +1z +b10101001000111111111111000100000 | +b10101001000111111111111000100000 B" +0a +02" +0x +b11010000101101110111000100110011 0 +b11010000101101110111000100110011 M +b11010000101101110111000100110011 f +b11010000101101110111000100110011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +0. +1% +#6360500 +b101 7 +b101 N +b101 V +b10001011000011100100000010011011 2 +b10001011000011100100000010011011 I +b10001011000011100100000010011011 Z +b11001 3 +b11001 J +b11001 Y +11 +b101 & +b101 C +b10001011000011100100000010011011 , +b10001011000011100100000010011011 H +b11001 + +b11001 G +1- +1. +0% +#6361000 +0] +1t +0[ +1=" +1@" +0q +05" +16" +b110 3" +1c +0_ +1<" +1` +b11010 -" +0z +b10110110101101001010011110110101 | +b10110110101101001010011110110101 B" +1a +12" +1w +b10001011000011100100000010011011 &" +b10001011000011100100000010011011 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b101 } +b101 (" +b101 +" +b10101001000111111111111000100000 0 +b10101001000111111111111000100000 M +b10101001000111111111111000100000 f +b10101001000111111111111000100000 !" +0. +1% +#6361010 +b10001011000011100100000010011011 \" +#6361500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6362000 +1] +1s +1[ +0=" +0@" +1q +06" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0w +0," +b10110110101101001010011110110101 0 +b10110110101101001010011110110101 M +b10110110101101001010011110110101 f +b10110110101101001010011110110101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6362500 +b11101 7 +b11101 N +b11101 V +16 +b101111101011000001011001111111 2 +b101111101011000001011001111111 I +b101111101011000001011001111111 Z +b110 3 +b110 J +b110 Y +11 +b11101 & +b11101 C +1( +b101111101011000001011001111111 , +b101111101011000001011001111111 H +b110 + +b110 G +1- +1. +0% +#6363000 +0] +0s +b11001010001111011011111011110010 | +b11001010001111011011111011110010 B" +0\ +1>" +1@" +0q +15" +16" +17" +b11001 3" +1^ +1_ +b10 -" +0t +0y +1z +0u +14" +1v +1b +12" +1w +1," +b101111101011000001011001111111 &" +b101111101011000001011001111111 ?" +b110 %" +b110 *" +b110 1" +1'" +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6363010 +b101111101011000001011001111111 I" +#6363500 +b0 7 +b0 N +b0 V +06 +b111010110101010100001111000101 2 +b111010110101010100001111000101 I +b111010110101010100001111000101 Z +b1100 3 +b1100 J +b1100 Y +b0 & +b0 C +0( +b111010110101010100001111000101 , +b111010110101010100001111000101 H +b1100 + +b1100 G +1. +0% +#6364000 +0^ +1s +1\ +1=" +1q +05" +06" +07" +b10011 3" +1d +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0w +0," +b11001010001111011011111011110010 0 +b11001010001111011011111011110010 M +b11001010001111011011111011110010 f +b11001010001111011011111011110010 !" +b111010110101010100001111000101 &" +b111010110101010100001111000101 ?" +b1100 %" +b1100 *" +b1100 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6364010 +b111010110101010100001111000101 O" +#6364500 +b10001 7 +b10001 N +b10001 V +16 +b11100000001011100101101000111110 2 +b11100000001011100101101000111110 I +b11100000001011100101101000111110 Z +b10011 3 +b10011 J +b10011 Y +b10001 & +b10001 C +1( +b11100000001011100101101000111110 , +b11100000001011100101101000111110 H +b10011 + +b10011 G +1. +0% +#6365000 +0_ +1] +b11101001100000101010100000001000 | +b11101001100000101010100000001000 B" +0\ +0[ +0=" +0>" +0q +17" +b1100 3" +1<" +1` +0d +b1110 -" +0u +14" +1v +1b +1a +1w +1," +b11100000001011100101101000111110 &" +b11100000001011100101101000111110 ?" +b10011 %" +b10011 *" +b10011 1" +b10001 } +b10001 (" +b10001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6365010 +b11100000001011100101101000111110 V" +#6365500 +14 +b111 7 +b111 N +b111 V +b11000010001001110001110010101110 2 +b11000010001001110001110010101110 I +b11000010001001110001110010101110 Z +b11001 3 +b11001 J +b11001 Y +1) +b111 & +b111 C +b11000010001001110001110010101110 , +b11000010001001110001110010101110 H +b11001 + +b11001 G +1. +0% +#6366000 +0] +b10101110010011111101100001111000 { +b10101110010011111101100001111000 A" +1u +0s +1\ +1=" +1;" +0r +17" +16" +b110 3" +1c +1k +b11000 -" +04" +0v +1t +b101110111011101100111000011 | +b101110111011101100111000011 B" +0b +1/" +1x +b11101001100000101010100000001000 0 +b11101001100000101010100000001000 M +b11101001100000101010100000001000 f +b11101001100000101010100000001000 !" +b11000010001001110001110010101110 &" +b11000010001001110001110010101110 ?" +b11001 %" +b11001 *" +b11001 1" +1$" +b111 } +b111 (" +b111 +" +0. +1% +#6366010 +b11000010001001110001110010101110 \" +#6366500 +b10000 5 +b10000 L +b10000 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6367000 +1] +0k +1s +1[ +0=" +0@" +b10101010101101101000000111000 { +b10101010101101101000000111000 A" +1r +1q +06" +07" +b11111 3" +0c +0<" +0` +b1111 0" +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +b0 } +b0 (" +b0 +" +0#" +b10101110010011111101100001111000 / +b10101110010011111101100001111000 K +b10101110010011111101100001111000 e +b10101110010011111101100001111000 "" +b101110111011101100111000011 0 +b101110111011101100111000011 M +b101110111011101100111000011 f +b101110111011101100111000011 !" +0. +1% +#6367500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6368000 +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +08" +0l +0/" +b10101010101101101000000111000 / +b10101010101101101000000111000 K +b10101010101101101000000111000 e +b10101010101101101000000111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6368500 +b1111 5 +b1111 L +b1111 W +14 +b1011 7 +b1011 N +b1011 V +16 +b10101101100111000101011111001110 2 +b10101101100111000101011111001110 I +b10101101100111000101011111001110 Z +b11011 3 +b11011 J +b11011 Y +11 +b1111 ' +b1111 D +1) +b1011 & +b1011 C +1( +b10101101100111000101011111001110 , +b10101101100111000101011111001110 H +b11011 + +b11011 G +1- +1. +0% +#6369000 +0] +0i +b1011111000010001011001011111 { +b1011111000010001011001011111 A" +0s +b10011010010110011010010100110110 | +b10011010010110011010010100110110 B" +0\ +0[ +1=" +1@" +0h +0g +19" +1:" +1;" +0r +0q +15" +17" +b100 3" +1c +0_ +1<" +1` +b10000 0" +0j +0o +1p +1k +b10100 -" +1y +1u +1b +1a +12" +1n +1m +1/" +1x +1w +1," +b10101101100111000101011111001110 &" +b10101101100111000101011111001110 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6369010 +b10101101100111000101011111001110 ^" +#6369500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6370000 +1] +1i +1s +1\ +1[ +0=" +0@" +1h +1g +09" +0:" +0;" +1r +1q +05" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +0m +0/" +0x +0w +0," +b1011111000010001011001011111 / +b1011111000010001011001011111 K +b1011111000010001011001011111 e +b1011111000010001011001011111 "" +b10011010010110011010010100110110 0 +b10011010010110011010010100110110 M +b10011010010110011010010100110110 f +b10011010010110011010010100110110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6370500 +b11011111011110101111001101101110 2 +b11011111011110101111001101101110 I +b11011111011110101111001101101110 Z +b101 3 +b101 J +b101 Y +11 +b11011111011110101111001101101110 , +b11011111011110101111001101101110 H +b101 + +b101 G +1- +1. +0% +#6371000 +0] +0[ +1>" +1@" +b11010 3" +1^ +1_ +1a +12" +b11011111011110101111001101101110 &" +b11011111011110101111001101101110 ?" +b101 %" +b101 *" +b101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6371010 +b11011111011110101111001101101110 H" +#6371500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6372000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6372500 +b11101 5 +b11101 L +b11101 W +14 +b1101100010011110111100010110011 2 +b1101100010011110111100010110011 I +b1101100010011110111100010110011 Z +b1000 3 +b1000 J +b1000 Y +11 +b11101 ' +b11101 D +1) +b1101100010011110111100010110011 , +b1101100010011110111100010110011 H +b1000 + +b1000 G +1- +1. +0% +#6373000 +0] +0i +b11001010001111011011111011110010 { +b11001010001111011011111011110010 A" +1=" +1@" +0g +19" +1:" +1;" +b10111 3" +1c +1_ +b10 0" +0j +0o +1p +0k +18" +1l +12" +1m +1/" +b1101100010011110111100010110011 &" +b1101100010011110111100010110011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#6373010 +b1101100010011110111100010110011 K" +#6373500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6374000 +1] +1i +0=" +0@" +1g +09" +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0m +0/" +b11001010001111011011111011110010 / +b11001010001111011011111011110010 K +b11001010001111011011111011110010 e +b11001010001111011011111011110010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6374500 +1. +0% +#6375000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6375500 +b1110 5 +b1110 L +b1110 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1110 ' +b1110 D +1) +b1000 & +b1000 C +1( +1. +0% +#6376000 +0i +b11000011000011011101101110001110 { +b11000011000011011101101110001110 A" +0s +b1101100010011110111100010110011 | +b1101100010011110111100010110011 B" +0h +19" +1:" +1;" +15" +17" +b10001 0" +0j +0o +1p +1k +b10111 -" +1y +1u +1n +1/" +1," +b1110 ~ +b1110 )" +b1110 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#6376500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6377000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +09" +0:" +0;" +05" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +0u +0n +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000011000011011101101110001110 / +b11000011000011011101101110001110 K +b11000011000011011101101110001110 e +b11000011000011011101101110001110 "" +b1101100010011110111100010110011 0 +b1101100010011110111100010110011 M +b1101100010011110111100010110011 f +b1101100010011110111100010110011 !" +0. +1% +#6377500 +b100 5 +b100 L +b100 W +14 +b10001 7 +b10001 N +b10001 V +16 +b1100101000010010000110000101100 2 +b1100101000010010000110000101100 I +b1100101000010010000110000101100 Z +b11011 3 +b11011 J +b11011 Y +11 +b100 ' +b100 D +1) +b10001 & +b10001 C +1( +b1100101000010010000110000101100 , +b1100101000010010000110000101100 H +b11011 + +b11011 G +1- +1. +0% +#6378000 +0] +0i +b11111100100000111111000110100010 { +b11111100100000111111000110100010 A" +b11101001100000101010100000001000 | +b11101001100000101010100000001000 B" +0\ +0[ +1=" +1@" +1:" +1;" +0q +17" +b100 3" +1c +0_ +1<" +1` +b11011 0" +1j +1k +b1110 -" +0u +14" +1v +1b +1a +12" +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100101000010010000110000101100 &" +b1100101000010010000110000101100 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#6378010 +b1100101000010010000110000101100 ^" +#6378500 +b11100 5 +b11100 L +b11100 W +b10000 7 +b10000 N +b10000 V +b101011100101000111101010011111 2 +b101011100101000111101010011111 I +b101011100101000111101010011111 Z +b1111 3 +b1111 J +b1111 Y +b11100 ' +b11100 D +b10000 & +b10000 C +b101011100101000111101010011111 , +b101011100101000111101010011111 H +b1111 + +b1111 G +1. +0% +#6379000 +1_ +0c +0k +0j +1@" +1>" +b11101100010000001011101100000100 { +b11101100010000001011101100000100 A" +19" +1q +b10000 3" +0<" +0` +1d +b11 0" +18" +1l +1p +b1111 -" +b10101010101101101000000111000 | +b10101010101101101000000111000 B" +0w +b101011100101000111101010011111 &" +b101011100101000111101010011111 ?" +b1111 %" +b1111 *" +b1111 1" +b11100 ~ +b11100 )" +b11100 ." +b10000 } +b10000 (" +b10000 +" +b11111100100000111111000110100010 / +b11111100100000111111000110100010 K +b11111100100000111111000110100010 e +b11111100100000111111000110100010 "" +b11101001100000101010100000001000 0 +b11101001100000101010100000001000 M +b11101001100000101010100000001000 f +b11101001100000101010100000001000 !" +0. +1% +#6379010 +b101011100101000111101010011111 R" +#6379500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6380000 +1] +1i +1\ +1[ +0=" +0>" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b11111 0" +0p +08" +0l +b11111 -" +04" +0v +0b +0a +02" +0/" +0," +b11101100010000001011101100000100 / +b11101100010000001011101100000100 K +b11101100010000001011101100000100 e +b11101100010000001011101100000100 "" +b10101010101101101000000111000 0 +b10101010101101101000000111000 M +b10101010101101101000000111000 f +b10101010101101101000000111000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6380500 +b10010000110000101001101001111010 2 +b10010000110000101001101001111010 I +b10010000110000101001101001111010 Z +b1011 3 +b1011 J +b1011 Y +11 +b10010000110000101001101001111010 , +b10010000110000101001101001111010 H +b1011 + +b1011 G +1- +1. +0% +#6381000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b10010000110000101001101001111010 &" +b10010000110000101001101001111010 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6381010 +b10010000110000101001101001111010 N" +#6381500 +b1 5 +b1 L +b1 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6382000 +1] +b11011011000011001100100111001001 { +b11011011000011001100100111001001 A" +1\ +1[ +0=" +0@" +0g +1;" +b11111 3" +0c +0_ +b11110 0" +1k +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#6382500 +b11 5 +b11 L +b11 W +b11 ' +b11 D +1. +0% +#6383000 +0h +b11100 0" +b11111010001101011110110000111001 { +b11111010001101011110110000111001 A" +1n +b11 ~ +b11 )" +b11 ." +b11011011000011001100100111001001 / +b11011011000011001100100111001001 K +b11011011000011001100100111001001 e +b11011011000011001100100111001001 "" +0. +1% +#6383500 +b1101 5 +b1101 L +b1101 W +b101 7 +b101 N +b101 V +16 +b10010011101111101000111011101010 2 +b10010011101111101000111011101010 I +b10010011101111101000111011101010 Z +b1001 3 +b1001 J +b1001 Y +11 +b1101 ' +b1101 D +b101 & +b101 C +1( +b10010011101111101000111011101010 , +b10010011101111101000111011101010 H +b1001 + +b1001 G +1- +1. +0% +#6384000 +0] +0i +0s +b11011111011110101111001101101110 | +b11011111011110101111001101101110 B" +0[ +1=" +1@" +1h +19" +1:" +0q +16" +17" +b10110 3" +1c +1_ +b10010 0" +0j +0o +1p +b101011011011000100110111000 { +b101011011011000100110111000 A" +b11010 -" +1t +1u +1a +12" +0n +1w +1," +b11111010001101011110110000111001 / +b11111010001101011110110000111001 K +b11111010001101011110110000111001 e +b11111010001101011110110000111001 "" +b10010011101111101000111011101010 &" +b10010011101111101000111011101010 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +b101 } +b101 (" +b101 +" +1#" +0. +1% +#6384010 +b10010011101111101000111011101010 L" +#6384500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b100101000111011110001011011111 2 +b100101000111011110001011011111 I +b100101000111011110001011011111 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b100101000111011110001011011111 , +b100101000111011110001011011111 H +b1110 + +b1110 G +1. +0% +#6385000 +0c +1i +1s +0\ +1[ +1>" +1g +09" +0:" +0;" +1q +06" +07" +b10001 3" +1d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0a +0m +0/" +0w +0," +b100101000111011110001011011111 &" +b100101000111011110001011011111 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101011011011000100110111000 / +b101011011011000100110111000 K +b101011011011000100110111000 e +b101011011011000100110111000 "" +b11011111011110101111001101101110 0 +b11011111011110101111001101101110 M +b11011111011110101111001101101110 f +b11011111011110101111001101101110 !" +0. +1% +#6385010 +b100101000111011110001011011111 Q" +#6385500 +14 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6386000 +1] +b10101110010011111101100001111000 { +b10101110010011111101100001111000 A" +b11100000001011100101101000111110 | +b11100000001011100101101000111110 B" +1\ +0=" +0>" +0@" +1;" +0r +0q +17" +b11111 3" +0d +0_ +1k +b1100 -" +0u +14" +1v +0b +02" +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#6386500 +04 +b0 7 +b0 N +b0 V +06 +0) +b0 & +b0 C +0( +1. +0% +#6387000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +1r +1q +07" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0w +0," +0$" +b0 } +b0 (" +b0 +" +0#" +b10101110010011111101100001111000 / +b10101110010011111101100001111000 K +b10101110010011111101100001111000 e +b10101110010011111101100001111000 "" +b11100000001011100101101000111110 0 +b11100000001011100101101000111110 M +b11100000001011100101101000111110 f +b11100000001011100101101000111110 !" +0. +1% +#6387500 +1. +0% +#6388000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6388500 +b11110 5 +b11110 L +b11110 W +14 +b11111 7 +b11111 N +b11111 V +16 +b11110 ' +b11110 D +1) +b11111 & +b11111 C +1( +1. +0% +#6389000 +0i +b1011101011010111101101110000101 { +b1011101011010111101101110000101 A" +0s +b1001010011000110001011100001100 | +b1001010011000110001011100001100 B" +0h +19" +1:" +1;" +0r +0q +15" +16" +17" +b1 0" +0j +0o +1p +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +1n +1/" +1x +1w +1," +b11110 ~ +b11110 )" +b11110 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#6389500 +b10101 5 +b10101 L +b10101 W +b11001 7 +b11001 N +b11001 V +b10101 ' +b10101 D +b11001 & +b11001 C +1. +0% +#6390000 +1j +1y +1h +0g +09" +1:" +1r +15" +06" +b1010 0" +0p +b1000111100000100000000011001101 { +b1000111100000100000000011001101 A" +b110 -" +0z +b11000010001001110001110010101110 | +b11000010001001110001110010101110 B" +0n +1m +0x +b1011101011010111101101110000101 / +b1011101011010111101101110000101 K +b1011101011010111101101110000101 e +b1011101011010111101101110000101 "" +b1001010011000110001011100001100 0 +b1001010011000110001011100001100 M +b1001010011000110001011100001100 f +b1001010011000110001011100001100 !" +b10101 ~ +b10101 )" +b10101 ." +b11001 } +b11001 (" +b11001 +" +0. +1% +#6390500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +b0 ' +b0 D +0) +b10100 & +b10100 C +1. +0% +#6391000 +16" +1i +1t +1g +0:" +0;" +1q +05" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +0y +b11011110111111000110101101100000 | +b11011110111111000110101101100000 B" +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +b1000111100000100000000011001101 / +b1000111100000100000000011001101 K +b1000111100000100000000011001101 e +b1000111100000100000000011001101 "" +b11000010001001110001110010101110 0 +b11000010001001110001110010101110 M +b11000010001001110001110010101110 f +b11000010001001110001110010101110 !" +0. +1% +#6391500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6392000 +1s +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0t +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011110111111000110101101100000 0 +b11011110111111000110101101100000 M +b11011110111111000110101101100000 f +b11011110111111000110101101100000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6392500 +b1010010100111101110001111101 2 +b1010010100111101110001111101 I +b1010010100111101110001111101 Z +b111 3 +b111 J +b111 Y +11 +b1010010100111101110001111101 , +b1010010100111101110001111101 H +b111 + +b111 G +1- +1. +0% +#6393000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b1010010100111101110001111101 &" +b1010010100111101110001111101 ?" +b111 %" +b111 *" +b111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6393010 +b1010010100111101110001111101 J" +#6393500 +14 +b111010001101000100100000110001 2 +b111010001101000100100000110001 I +b111010001101000100100000110001 Z +b101 3 +b101 J +b101 Y +1) +b111010001101000100100000110001 , +b111010001101000100100000110001 H +b101 + +b101 G +1. +0% +#6394000 +b10101110010011111101100001111000 { +b10101110010011111101100001111000 A" +1\ +1;" +b11010 3" +1k +0b +1/" +b111010001101000100100000110001 &" +b111010001101000100100000110001 ?" +b101 %" +b101 *" +b101 1" +1$" +0. +1% +#6394010 +b111010001101000100100000110001 H" +#6394500 +b11 5 +b11 L +b11 W +b1001100110001000111110111111010 2 +b1001100110001000111110111111010 I +b1001100110001000111110111111010 Z +b1110 3 +b1110 J +b1110 Y +b11 ' +b11 D +b1001100110001000111110111111010 , +b1001100110001000111110111111010 H +b1110 + +b1110 G +1. +0% +#6395000 +0^ +0\ +1[ +1=" +0h +0g +b10001 3" +1d +b11100 0" +b11111010001101011110110000111001 { +b11111010001101011110110000111001 A" +1b +0a +1n +1m +b1001100110001000111110111111010 &" +b1001100110001000111110111111010 ?" +b1110 %" +b1110 *" +b1110 1" +b11 ~ +b11 )" +b11 ." +b10101110010011111101100001111000 / +b10101110010011111101100001111000 K +b10101110010011111101100001111000 e +b10101110010011111101100001111000 "" +0. +1% +#6395010 +b1001100110001000111110111111010 Q" +#6395500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6396000 +1] +1\ +0=" +0>" +0@" +1h +1g +0;" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b11111010001101011110110000111001 / +b11111010001101011110110000111001 K +b11111010001101011110110000111001 e +b11111010001101011110110000111001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6396500 +1. +0% +#6397000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6397500 +b11111010101101111111110111100000 2 +b11111010101101111111110111100000 I +b11111010101101111111110111100000 Z +b10111 3 +b10111 J +b10111 Y +11 +b11111010101101111111110111100000 , +b11111010101101111111110111100000 H +b10111 + +b10111 G +1- +1. +0% +#6398000 +0] +0\ +0[ +1>" +1@" +b1000 3" +1^ +0_ +1<" +1` +1b +1a +12" +b11111010101101111111110111100000 &" +b11111010101101111111110111100000 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +0. +1% +#6398010 +b11111010101101111111110111100000 Z" +#6398500 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6399000 +1] +0s +b101011011011000100110111000 | +b101011011011000100110111000 B" +1\ +1[ +0>" +0@" +0q +15" +16" +17" +b11111 3" +0^ +0<" +0` +b10010 -" +0t +0y +1z +1u +0b +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#6399500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b11111011000101110010001010011001 2 +b11111011000101110010001010011001 I +b11111011000101110010001010011001 Z +b10101 3 +b10101 J +b10101 Y +11 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +b11111011000101110010001010011001 , +b11111011000101110010001010011001 H +b10101 + +b10101 G +1- +1. +0% +#6400000 +0] +0i +b1101100010011110111100010110011 { +b1101100010011110111100010110011 A" +1s +0[ +1>" +1@" +19" +1;" +1q +05" +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b10111 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1/" +0w +0," +b101011011011000100110111000 0 +b101011011011000100110111000 M +b101011011011000100110111000 f +b101011011011000100110111000 !" +b11111011000101110010001010011001 &" +b11111011000101110010001010011001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6400010 +b11111011000101110010001010011001 X" +#6400500 +b11010 5 +b11010 L +b11010 W +b11110110000010000001010110110010 2 +b11110110000010000001010110110010 I +b11110110000010000001010110110010 Z +b10 3 +b10 J +b10 Y +b11010 ' +b11010 D +b11110110000010000001010110110010 , +b11110110000010000001010110110010 H +b10 + +b10 G +1. +0% +#6401000 +1_ +1] +0k +0\ +1[ +1@" +0>" +0h +b11101 3" +0<" +0` +0^ +b101 0" +18" +1l +b101101000101111111101011100010 { +b101101000101111111101011100010 A" +1b +0a +1n +b11110110000010000001010110110010 &" +b11110110000010000001010110110010 ?" +b10 %" +b10 *" +b10 1" +b11010 ~ +b11010 )" +b11010 ." +b1101100010011110111100010110011 / +b1101100010011110111100010110011 K +b1101100010011110111100010110011 e +b1101100010011110111100010110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6401010 +b11110110000010000001010110110010 E" +#6401500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b10001001110100011010010100100011 2 +b10001001110100011010010100100011 I +b10001001110100011010010100100011 Z +b10101 3 +b10101 J +b10101 Y +b0 ' +b0 D +0) +b100 & +b100 C +1( +b10001001110100011010010100100011 , +b10001001110100011010010100100011 H +b10101 + +b10101 G +1. +0% +#6402000 +0_ +0] +1i +0s +b11111100100000111111000110100010 | +b11111100100000111111000110100010 B" +1\ +0[ +1>" +1h +09" +0;" +16" +17" +b1010 3" +1<" +1` +1^ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +1t +1u +0b +1a +0n +0/" +1," +b101101000101111111101011100010 / +b101101000101111111101011100010 K +b101101000101111111101011100010 e +b101101000101111111101011100010 "" +b10001001110100011010010100100011 &" +b10001001110100011010010100100011 ?" +b10101 %" +b10101 *" +b10101 1" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#6402010 +b10001001110100011010010100100011 X" +#6402500 +b11101 7 +b11101 N +b11101 V +b11000001001010010010011011010101 2 +b11000001001010010010011011010101 I +b11000001001010010010011011010101 Z +b110 3 +b110 J +b110 Y +b11101 & +b11101 C +b11000001001010010010011011010101 , +b11000001001010010010011011010101 H +b110 + +b110 G +1. +0% +#6403000 +1_ +0u +0t +0\ +1[ +1@" +0q +15" +b11001 3" +0<" +0` +b10 -" +14" +1v +1z +b11001010001111011011111011110010 | +b11001010001111011011111011110010 B" +1b +0a +1w +b11000001001010010010011011010101 &" +b11000001001010010010011011010101 ?" +b110 %" +b110 *" +b110 1" +b11101 } +b11101 (" +b11101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111100100000111111000110100010 0 +b11111100100000111111000110100010 M +b11111100100000111111000110100010 f +b11111100100000111111000110100010 !" +0. +1% +#6403010 +b11000001001010010010011011010101 I" +#6403500 +b11110 7 +b11110 N +b11110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6404000 +1] +1\ +0>" +0@" +0r +1q +b11111 3" +0^ +0_ +b1 -" +b1011101011010111101101110000101 | +b1011101011010111101101110000101 B" +0b +02" +1x +0w +b11001010001111011011111011110010 0 +b11001010001111011011111011110010 M +b11001010001111011011111011110010 f +b11001010001111011011111011110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +0. +1% +#6404500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +1. +0% +#6405000 +0i +b1100101000010010000110000101100 { +b1100101000010010000110000101100 A" +1s +0h +0g +19" +1;" +1r +05" +06" +07" +b100 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1011101011010111101101110000101 0 +b1011101011010111101101110000101 M +b1011101011010111101101110000101 f +b1011101011010111101101110000101 !" +0. +1% +#6405500 +b0 5 +b0 L +b0 W +04 +b10101001111100110110111100100100 2 +b10101001111100110110111100100100 I +b10101001111100110110111100100100 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b10101001111100110110111100100100 , +b10101001111100110110111100100100 H +b10010 + +b10010 G +1- +1. +0% +#6406000 +1i +0\ +1@" +1h +1g +09" +0;" +b1101 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0m +0/" +b1100101000010010000110000101100 / +b1100101000010010000110000101100 K +b1100101000010010000110000101100 e +b1100101000010010000110000101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101001111100110110111100100100 &" +b10101001111100110110111100100100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6406010 +b10101001111100110110111100100100 U" +#6406500 +b10000 5 +b10000 L +b10000 W +14 +b1010101000000001101011101001011 2 +b1010101000000001101011101001011 I +b1010101000000001101011101001011 Z +b10001 3 +b10001 J +b10001 Y +b10000 ' +b10000 D +1) +b1010101000000001101011101001011 , +b1010101000000001101011101001011 H +b10001 + +b10001 G +1. +0% +#6407000 +b10101010101101101000000111000 { +b10101010101101101000000111000 A" +1\ +0[ +1;" +b1110 3" +b1111 0" +0k +18" +1l +0b +1a +1/" +b1010101000000001101011101001011 &" +b1010101000000001101011101001011 ?" +b10001 %" +b10001 *" +b10001 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6407010 +b1010101000000001101011101001011 T" +#6407500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6408000 +1[ +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0<" +0` +b11111 0" +08" +0l +0a +02" +0/" +b10101010101101101000000111000 / +b10101010101101101000000111000 K +b10101010101101101000000111000 e +b10101010101101101000000111000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6408500 +b10101 5 +b10101 L +b10101 W +14 +b10000 7 +b10000 N +b10000 V +16 +b11000011100011100111010000 2 +b11000011100011100111010000 I +b11000011100011100111010000 Z +b1001 3 +b1001 J +b1001 Y +11 +b10101 ' +b10101 D +1) +b10000 & +b10000 C +1( +b11000011100011100111010000 , +b11000011100011100111010000 H +b1001 + +b1001 G +1- +1. +0% +#6409000 +0] +0i +b10001001110100011010010100100011 { +b10001001110100011010010100100011 A" +b10101010101101101000000111000 | +b10101010101101101000000111000 B" +0[ +1=" +1@" +0g +1:" +1;" +17" +b10110 3" +1c +1_ +b1010 0" +1j +0k +18" +1l +b1111 -" +0u +14" +1v +1a +12" +1m +1/" +1," +b11000011100011100111010000 &" +b11000011100011100111010000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6409010 +b11000011100011100111010000 L" +#6409500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6410000 +1] +1i +1u +1[ +0=" +0@" +1g +0:" +0;" +0r +0q +17" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11100 -" +04" +0v +b11111010001101011110110000111001 | +b11111010001101011110110000111001 B" +0a +02" +0m +0/" +1x +1w +b10001001110100011010010100100011 / +b10001001110100011010010100100011 K +b10001001110100011010010100100011 e +b10001001110100011010010100100011 "" +b10101010101101101000000111000 0 +b10101010101101101000000111000 M +b10101010101101101000000111000 f +b10101010101101101000000111000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +0. +1% +#6410500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6411000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111010001101011110110000111001 0 +b11111010001101011110110000111001 M +b11111010001101011110110000111001 f +b11111010001101011110110000111001 !" +0. +1% +#6411500 +b101 5 +b101 L +b101 W +14 +b1100 7 +b1100 N +b1100 V +16 +b11100101010110110110010100010110 2 +b11100101010110110110010100010110 I +b11100101010110110110010100010110 Z +b11000 3 +b11000 J +b11000 Y +11 +b101 ' +b101 D +1) +b1100 & +b1100 C +1( +b11100101010110110110010100010110 , +b11100101010110110110010100010110 H +b11000 + +b11000 G +1- +1. +0% +#6412000 +0] +0i +b111010001101000100100000110001 { +b111010001101000100100000110001 A" +0s +b111010110101010100001111000101 | +b111010110101010100001111000101 B" +1=" +1@" +0g +1:" +1;" +15" +16" +17" +b111 3" +1c +0_ +1<" +1` +b11010 0" +1j +1k +b10011 -" +0t +0y +1z +1u +12" +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100101010110110110010100010110 &" +b11100101010110110110010100010110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#6412010 +b11100101010110110110010100010110 [" +#6412500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10001100110011111110101101011001 2 +b10001100110011111110101101011001 I +b10001100110011111110101101011001 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10001100110011111110101101011001 , +b10001100110011111110101101011001 H +b11 + +b11 G +1. +0% +#6413000 +1_ +1] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1@" +0=" +1g +0:" +0;" +05" +06" +07" +b11100 3" +0<" +0` +0c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +0u +1b +1a +0m +0/" +0," +b10001100110011111110101101011001 &" +b10001100110011111110101101011001 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b111010001101000100100000110001 / +b111010001101000100100000110001 K +b111010001101000100100000110001 e +b111010001101000100100000110001 "" +b111010110101010100001111000101 0 +b111010110101010100001111000101 M +b111010110101010100001111000101 f +b111010110101010100001111000101 !" +0. +1% +#6413010 +b10001100110011111110101101011001 F" +#6413500 +b10100 5 +b10100 L +b10100 W +14 +b10100 7 +b10100 N +b10100 V +16 +b10100100101100001011101110001111 2 +b10100100101100001011101110001111 I +b10100100101100001011101110001111 Z +b10100 ' +b10100 D +1) +b10100 & +b10100 C +1( +b10100100101100001011101110001111 , +b10100100101100001011101110001111 H +1. +0% +#6414000 +0i +b11011110111111000110101101100000 { +b11011110111111000110101101100000 A" +0s +b11011110111111000110101101100000 | +b11011110111111000110101101100000 B" +1:" +1;" +16" +17" +b1011 0" +1j +0k +18" +1l +b1011 -" +1t +0u +14" +1v +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100100101100001011101110001111 &" +b10100100101100001011101110001111 ?" +b10100 ~ +b10100 )" +b10100 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#6414010 +b10100100101100001011101110001111 F" +#6414500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6415000 +15" +1i +1y +b11100101010110110110010100010110 | +b11100101010110110110010100010110 B" +1\ +1[ +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +06" +b11111 3" +0_ +b11111 0" +0j +08" +0l +b111 -" +0t +0b +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +b11011110111111000110101101100000 / +b11011110111111000110101101100000 K +b11011110111111000110101101100000 e +b11011110111111000110101101100000 "" +b11011110111111000110101101100000 0 +b11011110111111000110101101100000 M +b11011110111111000110101101100000 f +b11011110111111000110101101100000 !" +0. +1% +#6415500 +b101 7 +b101 N +b101 V +b1111001000111011110101100011110 2 +b1111001000111011110101100011110 I +b1111001000111011110101100011110 Z +b11010 3 +b11010 J +b11010 Y +11 +b101 & +b101 C +b1111001000111011110101100011110 , +b1111001000111011110101100011110 H +b11010 + +b11010 G +1- +1. +0% +#6416000 +16" +0] +1u +1t +0\ +1=" +1@" +0q +17" +05" +b101 3" +1c +0_ +1<" +1` +b11010 -" +04" +0v +0y +b111010001101000100100000110001 | +b111010001101000100100000110001 B" +1b +12" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100101010110110110010100010110 0 +b11100101010110110110010100010110 M +b11100101010110110110010100010110 f +b11100101010110110110010100010110 !" +b1111001000111011110101100011110 &" +b1111001000111011110101100011110 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b101 } +b101 (" +b101 +" +0. +1% +#6416010 +b1111001000111011110101100011110 ]" +#6416500 +b1010 7 +b1010 N +b1010 V +b10101101110001010111000001100101 2 +b10101101110001010111000001100101 I +b10101101110001010111000001100101 Z +b11111 3 +b11111 J +b11111 Y +b1010 & +b1010 C +b10101101110001010111000001100101 , +b10101101110001010111000001100101 H +b11111 + +b11111 G +1. +0% +#6417000 +15" +0c +1y +0[ +1>" +0r +1q +06" +b0 3" +1d +b10101 -" +0t +b10110000001011101000011101110 | +b10110000001011101000011101110 B" +1a +1x +0w +b10101101110001010111000001100101 &" +b10101101110001010111000001100101 ?" +b11111 %" +b11111 *" +b11111 1" +b1010 } +b1010 (" +b1010 +" +b111010001101000100100000110001 0 +b111010001101000100100000110001 M +b111010001101000100100000110001 f +b111010001101000100100000110001 !" +0. +1% +#6417500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6418000 +1] +1s +1\ +1[ +0=" +0>" +0@" +1r +05" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0," +b10110000001011101000011101110 0 +b10110000001011101000011101110 M +b10110000001011101000011101110 f +b10110000001011101000011101110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6418500 +b11110 5 +b11110 L +b11110 W +14 +b10100100110100111101101110111000 2 +b10100100110100111101101110111000 I +b10100100110100111101101110111000 Z +b101 3 +b101 J +b101 Y +11 +b11110 ' +b11110 D +1) +b10100100110100111101101110111000 , +b10100100110100111101101110111000 H +b101 + +b101 G +1- +1. +0% +#6419000 +0] +0i +b1011101011010111101101110000101 { +b1011101011010111101101110000101 A" +0[ +1>" +1@" +0h +19" +1:" +1;" +b11010 3" +1^ +1_ +b1 0" +0j +0o +1p +0k +18" +1l +1a +12" +1n +1/" +b10100100110100111101101110111000 &" +b10100100110100111101101110111000 ?" +b101 %" +b101 *" +b101 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6419010 +b10100100110100111101101110111000 H" +#6419500 +b10100 5 +b10100 L +b10100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6420000 +1] +1j +1[ +0>" +0@" +1h +09" +1:" +b11111 3" +0^ +0_ +b1011 0" +0p +b11011110111111000110101101100000 { +b11011110111111000110101101100000 A" +0a +02" +0n +b1011101011010111101101110000101 / +b1011101011010111101101110000101 K +b1011101011010111101101110000101 e +b1011101011010111101101110000101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +0. +1% +#6420500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#6421000 +1k +1i +1;" +b10101110010011111101100001111000 { +b10101110010011111101100001111000 A" +0:" +b11111 0" +08" +0l +0j +b0 ~ +b0 )" +b0 ." +b11011110111111000110101101100000 / +b11011110111111000110101101100000 K +b11011110111111000110101101100000 e +b11011110111111000110101101100000 "" +0. +1% +#6421500 +b10000 5 +b10000 L +b10000 W +b10000 ' +b10000 D +1. +0% +#6422000 +0k +b10101010101101101000000111000 { +b10101010101101101000000111000 A" +b1111 0" +18" +1l +b10101110010011111101100001111000 / +b10101110010011111101100001111000 K +b10101110010011111101100001111000 e +b10101110010011111101100001111000 "" +b10000 ~ +b10000 )" +b10000 ." +0. +1% +#6422500 +b1 5 +b1 L +b1 W +b11000 7 +b11000 N +b11000 V +16 +b1 ' +b1 D +b11000 & +b11000 C +1( +1. +0% +#6423000 +1k +0s +b11100101010110110110010100010110 | +b11100101010110110110010100010110 B" +0g +1;" +15" +17" +b11110 0" +08" +0l +b11011011000011001100100111001001 { +b11011011000011001100100111001001 A" +b111 -" +1y +0u +14" +1v +1m +1," +b1 ~ +b1 )" +b1 ." +b11000 } +b11000 (" +b11000 +" +1#" +b10101010101101101000000111000 / +b10101010101101101000000111000 K +b10101010101101101000000111000 e +b10101010101101101000000111000 "" +0. +1% +#6423500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b10101110000011001000111010111110 2 +b10101110000011001000111010111110 I +b10101110000011001000111010111110 Z +b11001 3 +b11001 J +b11001 Y +11 +b1001 ' +b1001 D +b0 & +b0 C +0( +b10101110000011001000111010111110 , +b10101110000011001000111010111110 H +b11001 + +b11001 G +1- +1. +0% +#6424000 +0] +0i +b11000011100011100111010000 { +b11000011100011100111010000 A" +1s +0[ +1=" +1@" +19" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b110 3" +1c +0_ +1<" +1` +b10110 0" +1o +b11111 -" +0y +04" +0v +1a +12" +0," +b11011011000011001100100111001001 / +b11011011000011001100100111001001 K +b11011011000011001100100111001001 e +b11011011000011001100100111001001 "" +b11100101010110110110010100010110 0 +b11100101010110110110010100010110 M +b11100101010110110110010100010110 f +b11100101010110110110010100010110 !" +b10101110000011001000111010111110 &" +b10101110000011001000111010111110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6424010 +b10101110000011001000111010111110 \" +#6424500 +b11101 5 +b11101 L +b11101 W +b1001 7 +b1001 N +b1001 V +16 +b11111111101110111000101100010100 2 +b11111111101110111000101100010100 I +b11111111101110111000101100010100 Z +b10001 3 +b10001 J +b10001 Y +b11101 ' +b11101 D +b1001 & +b1001 C +1( +b11111111101110111000101100010100 , +b11111111101110111000101100010100 H +b10001 + +b10001 G +1. +0% +#6425000 +1] +0k +0o +0s +b11000011100011100111010000 | +b11000011100011100111010000 B" +0=" +b11001010001111011011111011110010 { +b11001010001111011011111011110010 A" +1:" +0q +15" +17" +b1110 3" +0c +b10 0" +18" +1l +1p +b10110 -" +1y +1u +1w +1," +b11111111101110111000101100010100 &" +b11111111101110111000101100010100 ?" +b10001 %" +b10001 *" +b10001 1" +b11101 ~ +b11101 )" +b11101 ." +b1001 } +b1001 (" +b1001 +" +1#" +b11000011100011100111010000 / +b11000011100011100111010000 K +b11000011100011100111010000 e +b11000011100011100111010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6425010 +b11111111101110111000101100010100 T" +#6425500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +06 +b110100100111000110100001010110 2 +b110100100111000110100001010110 I +b110100100111000110100001010110 Z +b10000 3 +b10000 J +b10000 Y +b1111 ' +b1111 D +b0 & +b0 C +0( +b110100100111000110100001010110 , +b110100100111000110100001010110 H +b10000 + +b10000 G +1. +0% +#6426000 +1k +1s +1[ +0h +1;" +1q +05" +07" +b1111 3" +b10000 0" +08" +0l +b101011100101000111101010011111 { +b101011100101000111101010011111 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +1n +0w +0," +b11001010001111011011111011110010 / +b11001010001111011011111011110010 K +b11001010001111011011111011110010 e +b11001010001111011011111011110010 "" +b11000011100011100111010000 0 +b11000011100011100111010000 M +b11000011100011100111010000 f +b11000011100011100111010000 !" +b110100100111000110100001010110 &" +b110100100111000110100001010110 ?" +b10000 %" +b10000 *" +b10000 1" +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6426010 +b110100100111000110100001010110 S" +#6426500 +b1001 5 +b1001 L +b1001 W +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6427000 +1o +b10101001111100110110111100100100 | +b10101001111100110110111100100100 B" +0@" +1h +19" +0:" +0r +17" +b11111 3" +0<" +0` +b10110 0" +0p +b11000011100011100111010000 { +b11000011100011100111010000 A" +b1101 -" +0u +14" +1v +02" +0n +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b10010 } +b10010 (" +b10010 +" +1#" +b101011100101000111101010011111 / +b101011100101000111101010011111 K +b101011100101000111101010011111 e +b101011100101000111101010011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6427500 +b1110 5 +b1110 L +b1110 W +b11110 7 +b11110 N +b11110 V +b1110 ' +b1110 D +b11110 & +b11110 C +1. +0% +#6428000 +0o +0s +b1011101011010111101101110000101 | +b1011101011010111101101110000101 B" +0h +1g +1:" +15" +16" +b10001 0" +1p +b1001100110001000111110111111010 { +b1001100110001000111110111111010 A" +b1 -" +0t +0y +1z +1n +0m +b11000011100011100111010000 / +b11000011100011100111010000 K +b11000011100011100111010000 e +b11000011100011100111010000 "" +b10101001111100110110111100100100 0 +b10101001111100110110111100100100 M +b10101001111100110110111100100100 f +b10101001111100110110111100100100 !" +b1110 ~ +b1110 )" +b1110 ." +b11110 } +b11110 (" +b11110 +" +0. +1% +#6428500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110101101111001011111110110111 2 +b110101101111001011111110110111 I +b110101101111001011111110110111 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110101101111001011111110110111 , +b110101101111001011111110110111 H +b1 + +b1 G +1- +1. +0% +#6429000 +1i +1s +0[ +1@" +1h +09" +0:" +0;" +1r +05" +06" +07" +b11110 3" +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0n +0/" +0x +0," +b110101101111001011111110110111 &" +b110101101111001011111110110111 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001100110001000111110111111010 / +b1001100110001000111110111111010 K +b1001100110001000111110111111010 e +b1001100110001000111110111111010 "" +b1011101011010111101101110000101 0 +b1011101011010111101101110000101 M +b1011101011010111101101110000101 f +b1011101011010111101101110000101 !" +0. +1% +#6429010 +b110101101111001011111110110111 D" +#6429500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6430000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6430500 +1. +0% +#6431000 +0. +1% +#6431500 +b10011111101101011101111110110110 2 +b10011111101101011101111110110110 I +b10011111101101011101111110110110 Z +b10111 3 +b10111 J +b10111 Y +11 +b10011111101101011101111110110110 , +b10011111101101011101111110110110 H +b10111 + +b10111 G +1- +1. +0% +#6432000 +0] +0\ +0[ +1>" +1@" +b1000 3" +1^ +0_ +1<" +1` +1b +1a +12" +b10011111101101011101111110110110 &" +b10011111101101011101111110110110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +0. +1% +#6432010 +b10011111101101011101111110110110 Z" +#6432500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6433000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6433500 +b1111 5 +b1111 L +b1111 W +14 +b101 7 +b101 N +b101 V +16 +b1111 ' +b1111 D +1) +b101 & +b101 C +1( +1. +0% +#6434000 +0i +b101011100101000111101010011111 { +b101011100101000111101010011111 A" +0s +b10100100110100111101101110111000 | +b10100100110100111101101110111000 B" +0h +0g +19" +1:" +1;" +0q +16" +17" +b10000 0" +0j +0o +1p +1k +b11010 -" +1t +1u +1n +1m +1/" +1w +1," +b1111 ~ +b1111 )" +b1111 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#6434500 +b0 5 +b0 L +b0 W +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +b0 & +b0 C +0( +1. +0% +#6435000 +1i +1s +1h +1g +09" +0:" +1q +06" +07" +b11111 0" +0p +b10101110010011111101100001111000 { +b10101110010011111101100001111000 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0w +0," +b0 ~ +b0 )" +b0 ." +b0 } +b0 (" +b0 +" +0#" +b101011100101000111101010011111 / +b101011100101000111101010011111 K +b101011100101000111101010011111 e +b101011100101000111101010011111 "" +b10100100110100111101101110111000 0 +b10100100110100111101101110111000 M +b10100100110100111101101110111000 f +b10100100110100111101101110111000 !" +0. +1% +#6435500 +04 +b10011111010101001001001010000100 2 +b10011111010101001001001010000100 I +b10011111010101001001001010000100 Z +b1101 3 +b1101 J +b1101 Y +11 +0) +b10011111010101001001001010000100 , +b10011111010101001001001010000100 H +b1101 + +b1101 G +1- +1. +0% +#6436000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +1=" +1>" +1@" +0;" +b10010 3" +0^ +0c +1d +1_ +0k +1a +12" +0/" +b10101110010011111101100001111000 / +b10101110010011111101100001111000 K +b10101110010011111101100001111000 e +b10101110010011111101100001111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011111010101001001001010000100 &" +b10011111010101001001001010000100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +0$" +0. +1% +#6436010 +b10011111010101001001001010000100 P" +#6436500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6437000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6437500 +b1100 5 +b1100 L +b1100 W +14 +b100010100101000100001111100 2 +b100010100101000100001111100 I +b100010100101000100001111100 Z +b10010 3 +b10010 J +b10010 Y +11 +b1100 ' +b1100 D +1) +b100010100101000100001111100 , +b100010100101000100001111100 H +b10010 + +b10010 G +1- +1. +0% +#6438000 +0i +b111010110101010100001111000101 { +b111010110101010100001111000101 A" +0\ +1@" +19" +1:" +1;" +b1101 3" +0_ +1<" +1` +b10011 0" +0j +0o +1p +1k +1b +12" +1/" +b100010100101000100001111100 &" +b100010100101000100001111100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#6438010 +b100010100101000100001111100 U" +#6438500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b1100010111010011100100111000111 2 +b1100010111010011100100111000111 I +b1100010111010011100100111000111 Z +b11011 3 +b11011 J +b11011 Y +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b1100010111010011100100111000111 , +b1100010111010011100100111000111 H +b11011 + +b11011 G +1. +0% +#6439000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111111101110111000101100010100 | +b11111111101110111000101100010100 B" +0[ +1=" +09" +0:" +0;" +0q +17" +b100 3" +1c +b11111 0" +0p +0k +b1110 -" +0u +14" +1v +1a +0/" +1w +1," +b1100010111010011100100111000111 &" +b1100010111010011100100111000111 ?" +b11011 %" +b11011 *" +b11011 1" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b111010110101010100001111000101 / +b111010110101010100001111000101 K +b111010110101010100001111000101 e +b111010110101010100001111000101 "" +0. +1% +#6439010 +b1100010111010011100100111000111 ^" +#6439500 +b0 7 +b0 N +b0 V +06 +b1101111000101110110010111000101 2 +b1101111000101110110010111000101 I +b1101111000101110110010111000101 Z +b11001 3 +b11001 J +b11001 Y +b0 & +b0 C +0( +b1101111000101110110010111000101 , +b1101111000101110110010111000101 H +b11001 + +b11001 G +1. +0% +#6440000 +1\ +1q +07" +b110 3" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111111101110111000101100010100 0 +b11111111101110111000101100010100 M +b11111111101110111000101100010100 f +b11111111101110111000101100010100 !" +b1101111000101110110010111000101 &" +b1101111000101110110010111000101 ?" +b11001 %" +b11001 *" +b11001 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6440010 +b1101111000101110110010111000101 \" +#6440500 +b1011 5 +b1011 L +b1011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6441000 +1] +0i +b10010000110000101001101001111010 { +b10010000110000101001101001111010 A" +1[ +0=" +0@" +0h +0g +19" +1;" +b11111 3" +0c +0<" +0` +b10100 0" +1o +1k +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6441500 +b0 5 +b0 L +b0 W +04 +b11111110011000100010001110111001 2 +b11111110011000100010001110111001 I +b11111110011000100010001110111001 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b11111110011000100010001110111001 , +b11111110011000100010001110111001 H +b1101 + +b1101 G +1- +1. +0% +#6442000 +0] +1i +0[ +1=" +1>" +1@" +1h +1g +09" +0;" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0m +0/" +b10010000110000101001101001111010 / +b10010000110000101001101001111010 K +b10010000110000101001101001111010 e +b10010000110000101001101001111010 "" +b11111110011000100010001110111001 &" +b11111110011000100010001110111001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6442010 +b11111110011000100010001110111001 P" +#6442500 +b11111 5 +b11111 L +b11111 W +14 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6443000 +1] +0i +b10101101110001010111000001100101 { +b10101101110001010111000001100101 A" +b100010100101000100001111100 | +b100010100101000100001111100 B" +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +0r +17" +b11111 3" +0d +0_ +b0 0" +0j +0o +1p +0k +18" +1l +b1101 -" +0u +14" +1v +0a +02" +1n +1m +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6443500 +b10100 5 +b10100 L +b10100 W +b11100 7 +b11100 N +b11100 V +b1100101000001100101001000001100 2 +b1100101000001100101001000001100 I +b1100101000001100101001000001100 Z +b11111 3 +b11111 J +b11111 Y +11 +b10100 ' +b10100 D +b11100 & +b11100 C +b1100101000001100101001000001100 , +b1100101000001100101001000001100 H +b11111 + +b11111 G +1- +1. +0% +#6444000 +0] +1j +0s +0\ +0[ +1=" +1>" +1@" +1h +1g +09" +1:" +1r +15" +16" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1011 0" +0p +b11011110111111000110101101100000 { +b11011110111111000110101101100000 A" +b11 -" +0t +0y +1z +b11101100010000001011101100000100 | +b11101100010000001011101100000100 B" +1b +1a +12" +0n +0m +0x +b10101101110001010111000001100101 / +b10101101110001010111000001100101 K +b10101101110001010111000001100101 e +b10101101110001010111000001100101 "" +b100010100101000100001111100 0 +b100010100101000100001111100 M +b100010100101000100001111100 f +b100010100101000100001111100 !" +b1100101000001100101001000001100 &" +b1100101000001100101001000001100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b11100 } +b11100 (" +b11100 +" +0. +1% +#6444500 +b10001 5 +b10001 L +b10001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6445000 +1] +1i +1s +1\ +1[ +0=" +0>" +0@" +0g +0:" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0<" +0` +b1110 0" +0j +b11111111101110111000101100010100 { +b11111111101110111000101100010100 A" +b11111 -" +0z +04" +0v +0b +0a +02" +1m +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b0 } +b0 (" +b0 +" +0#" +b11011110111111000110101101100000 / +b11011110111111000110101101100000 K +b11011110111111000110101101100000 e +b11011110111111000110101101100000 "" +b11101100010000001011101100000100 0 +b11101100010000001011101100000100 M +b11101100010000001011101100000100 f +b11101100010000001011101100000100 !" +0. +1% +#6445500 +b1110 5 +b1110 L +b1110 W +b1010 7 +b1010 N +b1010 V +16 +b1100011010010000110011111011 2 +b1100011010010000110011111011 I +b1100011010010000110011111011 Z +b11 3 +b11 J +b11 Y +11 +b1110 ' +b1110 D +b1010 & +b1010 C +1( +b1100011010010000110011111011 , +b1100011010010000110011111011 H +b11 + +b11 G +1- +1. +0% +#6446000 +1k +0i +0s +b10110000001011101000011101110 | +b10110000001011101000011101110 B" +0\ +0[ +1@" +0h +1g +1;" +19" +1:" +0r +15" +17" +b11100 3" +1_ +b10001 0" +08" +0l +0j +0o +1p +b1001100110001000111110111111010 { +b1001100110001000111110111111010 A" +b10101 -" +1y +1u +1b +1a +12" +1n +0m +1x +1," +b11111111101110111000101100010100 / +b11111111101110111000101100010100 K +b11111111101110111000101100010100 e +b11111111101110111000101100010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100011010010000110011111011 &" +b1100011010010000110011111011 ?" +b11 %" +b11 *" +b11 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#6446010 +b1100011010010000110011111011 F" +#6446500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6447000 +1i +1s +1\ +1[ +0@" +1h +09" +0:" +0;" +1r +05" +07" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001100110001000111110111111010 / +b1001100110001000111110111111010 K +b1001100110001000111110111111010 e +b1001100110001000111110111111010 "" +b10110000001011101000011101110 0 +b10110000001011101000011101110 M +b10110000001011101000011101110 f +b10110000001011101000011101110 !" +0. +1% +#6447500 +b100101011110000111101101110100 2 +b100101011110000111101101110100 I +b100101011110000111101101110100 Z +b11110 3 +b11110 J +b11110 Y +11 +b100101011110000111101101110100 , +b100101011110000111101101110100 H +b11110 + +b11110 G +1- +1. +0% +#6448000 +0] +0\ +1=" +1>" +1@" +b1 3" +0^ +0c +1d +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100101011110000111101101110100 &" +b100101011110000111101101110100 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +0. +1% +#6448010 +b100101011110000111101101110100 a" +#6448500 +b100110011010001011000000010111 2 +b100110011010001011000000010111 I +b100110011010001011000000010111 Z +b100 3 +b100 J +b100 Y +b100110011010001011000000010111 , +b100110011010001011000000010111 H +b100 + +b100 G +1. +0% +#6449000 +1_ +1^ +1\ +1@" +0=" +1>" +b11011 3" +0<" +0` +0d +0b +b100110011010001011000000010111 &" +b100110011010001011000000010111 ?" +b100 %" +b100 *" +b100 1" +0. +1% +#6449010 +b100110011010001011000000010111 G" +#6449500 +b1111 5 +b1111 L +b1111 W +14 +b1101 7 +b1101 N +b1101 V +16 +b10001100101100101010101000111001 2 +b10001100101100101010101000111001 I +b10001100101100101010101000111001 Z +b0 3 +b0 J +b0 Y +b1111 ' +b1111 D +1) +b1101 & +b1101 C +1( +b10001100101100101010101000111001 , +b10001100101100101010101000111001 H +b0 + +b0 G +1. +0% +#6450000 +1] +0i +b101011100101000111101010011111 { +b101011100101000111101010011111 A" +0s +b11111110011000100010001110111001 | +b11111110011000100010001110111001 B" +0>" +0h +0g +19" +1:" +1;" +0q +15" +16" +17" +b11111 3" +0^ +b10000 0" +0j +0o +1p +1k +b10010 -" +0t +0y +1z +1u +1n +1m +1/" +1w +1," +b10001100101100101010101000111001 &" +b10001100101100101010101000111001 ?" +b0 %" +b0 *" +b0 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#6450010 +b10001100101100101010101000111001 C" +#6450500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b11001 & +b11001 C +b0 , +b0 H +0- +1. +0% +#6451000 +1i +0u +1y +0@" +1h +1g +09" +0:" +0;" +b1101111000101110110010111000101 | +b1101111000101110110010111000101 B" +15" +06" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +14" +1v +0z +02" +0n +0m +0/" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +b101011100101000111101010011111 / +b101011100101000111101010011111 K +b101011100101000111101010011111 e +b101011100101000111101010011111 "" +b11111110011000100010001110111001 0 +b11111110011000100010001110111001 M +b11111110011000100010001110111001 f +b11111110011000100010001110111001 !" +0. +1% +#6451500 +b10011 7 +b10011 N +b10011 V +b10011 & +b10011 C +1. +0% +#6452000 +1s +0r +05" +b1100 -" +0y +b11100000001011100101101000111110 | +b11100000001011100101101000111110 B" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101111000101110110010111000101 0 +b1101111000101110110010111000101 M +b1101111000101110110010111000101 f +b1101111000101110110010111000101 !" +b10011 } +b10011 (" +b10011 +" +0. +1% +#6452500 +b0 7 +b0 N +b0 V +06 +b11111100111010110100111111001 2 +b11111100111010110100111111001 I +b11111100111010110100111111001 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 & +b0 C +0( +b11111100111010110100111111001 , +b11111100111010110100111111001 H +b1101 + +b1101 G +1- +1. +0% +#6453000 +0] +0[ +1=" +1>" +1@" +1r +1q +07" +b10010 3" +0^ +0c +1d +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0x +0w +0," +b11111100111010110100111111001 &" +b11111100111010110100111111001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11100000001011100101101000111110 0 +b11100000001011100101101000111110 M +b11100000001011100101101000111110 f +b11100000001011100101101000111110 !" +0. +1% +#6453010 +b11111100111010110100111111001 P" +#6453500 +b1000011110110100000101111000100 2 +b1000011110110100000101111000100 I +b1000011110110100000101111000100 Z +b0 3 +b0 J +b0 Y +b1000011110110100000101111000100 , +b1000011110110100000101111000100 H +b0 + +b0 G +1. +0% +#6454000 +1] +1[ +0=" +0>" +b11111 3" +0d +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000011110110100000101111000100 &" +b1000011110110100000101111000100 ?" +b0 %" +b0 *" +b0 1" +0. +1% +#6454010 +b1000011110110100000101111000100 C" +#6454500 +b11101110111101101111100111011111 2 +b11101110111101101111100111011111 I +b11101110111101101111100111011111 Z +b11010 3 +b11010 J +b11010 Y +b11101110111101101111100111011111 , +b11101110111101101111100111011111 H +b11010 + +b11010 G +1. +0% +#6455000 +0_ +0] +0\ +1=" +b101 3" +1<" +1` +1c +1b +b11101110111101101111100111011111 &" +b11101110111101101111100111011111 ?" +b11010 %" +b11010 *" +b11010 1" +0. +1% +#6455010 +b11101110111101101111100111011111 ]" +#6455500 +b1110 5 +b1110 L +b1110 W +14 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6456000 +1] +0i +b1001100110001000111110111111010 { +b1001100110001000111110111111010 A" +0s +b1010010100111101110001111101 | +b1010010100111101110001111101 B" +1\ +0=" +0@" +0h +19" +1:" +1;" +0r +0q +16" +17" +b11111 3" +0c +0<" +0` +b10001 0" +0j +0o +1p +1k +b11000 -" +1t +1u +0b +02" +1n +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#6456500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +b0 ' +b0 D +0) +b11101 & +b11101 C +1. +0% +#6457000 +1i +0u +0t +1h +09" +0:" +0;" +1r +15" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +14" +1v +1z +b11001010001111011011111011110010 | +b11001010001111011011111011110010 B" +0n +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +b1001100110001000111110111111010 / +b1001100110001000111110111111010 K +b1001100110001000111110111111010 e +b1001100110001000111110111111010 "" +b1010010100111101110001111101 0 +b1010010100111101110001111101 M +b1010010100111101110001111101 f +b1010010100111101110001111101 !" +0. +1% +#6457500 +b0 7 +b0 N +b0 V +06 +b1000001111010010110010010 2 +b1000001111010010110010010 I +b1000001111010010110010010 Z +11 +b0 & +b0 C +0( +b1000001111010010110010010 , +b1000001111010010110010010 H +1- +1. +0% +#6458000 +1s +1@" +1q +05" +06" +07" +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001010001111011011111011110010 0 +b11001010001111011011111011110010 M +b11001010001111011011111011110010 f +b11001010001111011011111011110010 !" +b1000001111010010110010010 &" +b1000001111010010110010010 ?" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6458010 +b1000001111010010110010010 C" +#6458500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +01 +b10000 & +b10000 C +1( +b0 , +b0 H +0- +1. +0% +#6459000 +b110100100111000110100001010110 | +b110100100111000110100001010110 B" +0@" +17" +0_ +b1111 -" +0u +14" +1v +02" +1," +b0 &" +b0 ?" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6459500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6460000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b110100100111000110100001010110 0 +b110100100111000110100001010110 M +b110100100111000110100001010110 f +b110100100111000110100001010110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6460500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#6461000 +0i +b11000011100011100111010000 { +b11000011100011100111010000 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6461500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +1. +0% +#6462000 +1i +0s +b10110000001011101000011101110 | +b10110000001011101000011101110 B" +1g +09" +0;" +0r +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10101 -" +1y +1u +0m +0/" +1x +1," +b11000011100011100111010000 / +b11000011100011100111010000 K +b11000011100011100111010000 e +b11000011100011100111010000 "" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#6462500 +b10010 5 +b10010 L +b10010 W +14 +b1101 7 +b1101 N +b1101 V +b10010 ' +b10010 D +1) +b1101 & +b1101 C +1. +0% +#6463000 +b100010100101000100001111100 { +b100010100101000100001111100 A" +0y +0h +1;" +1r +0q +16" +b1101 0" +0k +18" +1l +b10010 -" +1z +b11111100111010110100111111001 | +b11111100111010110100111111001 B" +1n +1/" +0x +1w +b10010 ~ +b10010 )" +b10010 ." +1$" +b1101 } +b1101 (" +b1101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110000001011101000011101110 0 +b10110000001011101000011101110 M +b10110000001011101000011101110 f +b10110000001011101000011101110 !" +0. +1% +#6463500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101101110001000111111000010011 2 +b101101110001000111111000010011 I +b101101110001000111111000010011 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101101110001000111111000010011 , +b101101110001000111111000010011 H +b10111 + +b10111 G +1- +1. +0% +#6464000 +0] +1s +0\ +0[ +1>" +1@" +1h +0;" +1q +05" +06" +07" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0n +0/" +0w +0," +b100010100101000100001111100 / +b100010100101000100001111100 K +b100010100101000100001111100 e +b100010100101000100001111100 "" +b11111100111010110100111111001 0 +b11111100111010110100111111001 M +b11111100111010110100111111001 f +b11111100111010110100111111001 !" +b101101110001000111111000010011 &" +b101101110001000111111000010011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6464010 +b101101110001000111111000010011 Z" +#6464500 +b10001011111001010100011011000101 2 +b10001011111001010100011011000101 I +b10001011111001010100011011000101 Z +b0 3 +b0 J +b0 Y +b10001011111001010100011011000101 , +b10001011111001010100011011000101 H +b0 + +b0 G +1. +0% +#6465000 +1_ +1] +1\ +1[ +1@" +0>" +b11111 3" +0<" +0` +0^ +0b +0a +b10001011111001010100011011000101 &" +b10001011111001010100011011000101 ?" +b0 %" +b0 *" +b0 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6465010 +b10001011111001010100011011000101 C" +#6465500 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +01 +b1 & +b1 C +1( +b0 , +b0 H +0- +1. +0% +#6466000 +b110101101111001011111110110111 | +b110101101111001011111110110111 B" +0@" +0q +17" +0_ +b11110 -" +1u +02" +1w +1," +b0 &" +b0 ?" +0'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#6466500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1111001111011010001111111110100 2 +b1111001111011010001111111110100 I +b1111001111011010001111111110100 Z +b111 3 +b111 J +b111 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b1111001111011010001111111110100 , +b1111001111011010001111111110100 H +b111 + +b111 G +1- +1. +0% +#6467000 +0] +0i +b11000011100011100111010000 { +b11000011100011100111010000 A" +0\ +0[ +1>" +1@" +0g +19" +1;" +1q +07" +b11000 3" +1^ +1_ +b10110 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1m +1/" +0w +0," +b1111001111011010001111111110100 &" +b1111001111011010001111111110100 ?" +b111 %" +b111 *" +b111 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110101101111001011111110110111 0 +b110101101111001011111110110111 M +b110101101111001011111110110111 f +b110101101111001011111110110111 !" +0. +1% +#6467010 +b1111001111011010001111111110100 J" +#6467500 +b1 5 +b1 L +b1 W +b11001000001000010100110101111000 2 +b11001000001000010100110101111000 I +b11001000001000010100110101111000 Z +b101 3 +b101 J +b101 Y +b1 ' +b1 D +b11001000001000010100110101111000 , +b11001000001000010100110101111000 H +b101 + +b101 G +1. +0% +#6468000 +1i +b110101101111001011111110110111 { +b110101101111001011111110110111 A" +1\ +09" +b11010 3" +b11110 0" +0o +0b +b11000011100011100111010000 / +b11000011100011100111010000 K +b11000011100011100111010000 e +b11000011100011100111010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001000001000010100110101111000 &" +b11001000001000010100110101111000 ?" +b101 %" +b101 *" +b101 1" +b1 ~ +b1 )" +b1 ." +0. +1% +#6468010 +b11001000001000010100110101111000 H" +#6468500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6469000 +1] +1[ +0>" +0@" +1g +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b110101101111001011111110110111 / +b110101101111001011111110110111 K +b110101101111001011111110110111 e +b110101101111001011111110110111 "" +0. +1% +#6469500 +b11011 5 +b11011 L +b11011 W +14 +b11011 ' +b11011 D +1) +1. +0% +#6470000 +0i +b1100010111010011100100111000111 { +b1100010111010011100100111000111 A" +0h +0g +19" +1;" +b100 0" +1o +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#6470500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 ' +b0 D +0) +b10 & +b10 C +1( +1. +0% +#6471000 +1i +b11110110000010000001010110110010 | +b11110110000010000001010110110010 B" +1h +1g +09" +0;" +0r +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +0n +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +b1100010111010011100100111000111 / +b1100010111010011100100111000111 K +b1100010111010011100100111000111 e +b1100010111010011100100111000111 "" +0. +1% +#6471500 +b111 7 +b111 N +b111 V +b101000001110010011111100000010 2 +b101000001110010011111100000010 I +b101000001110010011111100000010 Z +b1011 3 +b1011 J +b1011 Y +11 +b111 & +b111 C +b101000001110010011111100000010 , +b101000001110010011111100000010 H +b1011 + +b1011 G +1- +1. +0% +#6472000 +0] +0s +0\ +0[ +1=" +1@" +0q +16" +b10100 3" +1c +1_ +b11000 -" +1t +b1111001111011010001111111110100 | +b1111001111011010001111111110100 B" +1b +1a +12" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110000010000001010110110010 0 +b11110110000010000001010110110010 M +b11110110000010000001010110110010 f +b11110110000010000001010110110010 !" +b101000001110010011111100000010 &" +b101000001110010011111100000010 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b111 } +b111 (" +b111 +" +0. +1% +#6472010 +b101000001110010011111100000010 N" +#6472500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6473000 +1] +1s +1\ +1[ +0=" +0@" +1r +1q +06" +07" +b11111 3" +0c +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1111001111011010001111111110100 0 +b1111001111011010001111111110100 M +b1111001111011010001111111110100 f +b1111001111011010001111111110100 !" +0. +1% +#6473500 +1. +0% +#6474000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6474500 +1. +0% +#6475000 +0. +1% +#6475500 +b11110 5 +b11110 L +b11110 W +14 +b11110010111100111010110111001001 2 +b11110010111100111010110111001001 I +b11110010111100111010110111001001 Z +b101 3 +b101 J +b101 Y +11 +b11110 ' +b11110 D +1) +b11110010111100111010110111001001 , +b11110010111100111010110111001001 H +b101 + +b101 G +1- +1. +0% +#6476000 +0] +0i +b100101011110000111101101110100 { +b100101011110000111101101110100 A" +0[ +1>" +1@" +0h +19" +1:" +1;" +b11010 3" +1^ +1_ +b1 0" +0j +0o +1p +0k +18" +1l +1a +12" +1n +1/" +b11110010111100111010110111001001 &" +b11110010111100111010110111001001 ?" +b101 %" +b101 *" +b101 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#6476010 +b11110010111100111010110111001001 H" +#6476500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b1101100000000000100100011100011 2 +b1101100000000000100100011100011 I +b1101100000000000100100011100011 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b1101100000000000100100011100011 , +b1101100000000000100100011100011 H +b1100 + +b1100 G +1. +0% +#6477000 +0^ +1i +0s +b101011100101000111101010011111 | +b101011100101000111101010011111 B" +1[ +1=" +1h +09" +0:" +0;" +0r +0q +15" +16" +17" +b10011 3" +1d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +0a +0n +0/" +1x +1w +1," +b1101100000000000100100011100011 &" +b1101100000000000100100011100011 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +b100101011110000111101101110100 / +b100101011110000111101101110100 K +b100101011110000111101101110100 e +b100101011110000111101101110100 "" +0. +1% +#6477010 +b1101100000000000100100011100011 O" +#6477500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6478000 +1] +1s +0=" +0>" +0@" +1r +1q +05" +06" +07" +b11111 3" +0d +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101011100101000111101010011111 0 +b101011100101000111101010011111 M +b101011100101000111101010011111 f +b101011100101000111101010011111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6478500 +b100 7 +b100 N +b100 V +16 +b100 & +b100 C +1( +1. +0% +#6479000 +0s +b100110011010001011000000010111 | +b100110011010001011000000010111 B" +16" +17" +b11011 -" +1t +1u +1," +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6479500 +b0 7 +b0 N +b0 V +06 +b1101110100111110001110101010111 2 +b1101110100111110001110101010111 I +b1101110100111110001110101010111 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 & +b0 C +0( +b1101110100111110001110101010111 , +b1101110100111110001110101010111 H +b10110 + +b10110 G +1- +1. +0% +#6480000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1>" +1@" +06" +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 -" +0t +0u +1b +12" +0," +b100110011010001011000000010111 0 +b100110011010001011000000010111 M +b100110011010001011000000010111 f +b100110011010001011000000010111 !" +b1101110100111110001110101010111 &" +b1101110100111110001110101010111 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6480010 +b1101110100111110001110101010111 Y" +#6480500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6481000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6481500 +1. +0% +#6482000 +0. +1% +#6482500 +b1000 5 +b1000 L +b1000 W +14 +b10110 7 +b10110 N +b10110 V +16 +b10010101100001101101010111010001 2 +b10010101100001101101010111010001 I +b10010101100001101101010111010001 Z +b10111 3 +b10111 J +b10111 Y +11 +b1000 ' +b1000 D +1) +b10110 & +b10110 C +1( +b10010101100001101101010111010001 , +b10010101100001101101010111010001 H +b10111 + +b10111 G +1- +1. +0% +#6483000 +0] +0i +b1101100010011110111100010110011 { +b1101100010011110111100010110011 A" +0s +b1101110100111110001110101010111 | +b1101110100111110001110101010111 B" +0\ +0[ +1>" +1@" +19" +1;" +0r +16" +17" +b1000 3" +1^ +0_ +1<" +1` +b10111 0" +1o +1k +b1001 -" +1t +0u +14" +1v +1b +1a +12" +1/" +1x +1," +b10010101100001101101010111010001 &" +b10010101100001101101010111010001 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#6483010 +b10010101100001101101010111010001 Z" +#6483500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b11111110110110011111000100101010 2 +b11111110110110011111000100101010 I +b11111110110110011111000100101010 Z +b10001 3 +b10001 J +b10001 Y +b0 ' +b0 D +0) +b0 & +b0 C +b11111110110110011111000100101010 , +b11111110110110011111000100101010 H +b10001 + +b10001 G +1. +0% +#6484000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +1s +1\ +0>" +09" +0;" +1r +17" +06" +b1110 3" +0^ +b11111 0" +0o +0k +b11111 -" +04" +0v +0t +b10001011111001010100011011000101 | +b10001011111001010100011011000101 B" +0b +0/" +0x +b1101100010011110111100010110011 / +b1101100010011110111100010110011 K +b1101100010011110111100010110011 e +b1101100010011110111100010110011 "" +b1101110100111110001110101010111 0 +b1101110100111110001110101010111 M +b1101110100111110001110101010111 f +b1101110100111110001110101010111 !" +b11111110110110011111000100101010 &" +b11111110110110011111000100101010 ?" +b10001 %" +b10001 *" +b10001 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0. +1% +#6484010 +b11111110110110011111000100101010 T" +#6484500 +06 +b10000001110011000000110110011110 2 +b10000001110011000000110110011110 I +b10000001110011000000110110011110 Z +b101 3 +b101 J +b101 Y +0( +b10000001110011000000110110011110 , +b10000001110011000000110110011110 H +b101 + +b101 G +1. +0% +#6485000 +1_ +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +1>" +07" +b11010 3" +0<" +0` +1^ +0u +0," +b10000001110011000000110110011110 &" +b10000001110011000000110110011110 ?" +b101 %" +b101 *" +b101 1" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001011111001010100011011000101 0 +b10001011111001010100011011000101 M +b10001011111001010100011011000101 f +b10001011111001010100011011000101 !" +0. +1% +#6485010 +b10000001110011000000110110011110 H" +#6485500 +b101 5 +b101 L +b101 W +14 +b11101010100000001110011010100000 2 +b11101010100000001110011010100000 I +b11101010100000001110011010100000 Z +b10100 3 +b10100 J +b10100 Y +b101 ' +b101 D +1) +b11101010100000001110011010100000 , +b11101010100000001110011010100000 H +b10100 + +b10100 G +1. +0% +#6486000 +0_ +0i +b10000001110011000000110110011110 { +b10000001110011000000110110011110 A" +1[ +0g +1:" +1;" +b1011 3" +1<" +1` +b11010 0" +1j +1k +0a +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101010100000001110011010100000 &" +b11101010100000001110011010100000 ?" +b10100 %" +b10100 *" +b10100 1" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#6486010 +b11101010100000001110011010100000 W" +#6486500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6487000 +1] +1i +0>" +0@" +1g +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10000001110011000000110110011110 / +b10000001110011000000110110011110 K +b10000001110011000000110110011110 e +b10000001110011000000110110011110 "" +0. +1% +#6487500 +b1101 7 +b1101 N +b1101 V +16 +b11001001101011010110011011100100 2 +b11001001101011010110011011100100 I +b11001001101011010110011011100100 Z +b10101 3 +b10101 J +b10101 Y +11 +b1101 & +b1101 C +1( +b11001001101011010110011011100100 , +b11001001101011010110011011100100 H +b10101 + +b10101 G +1- +1. +0% +#6488000 +0] +0s +b11111100111010110100111111001 | +b11111100111010110100111111001 B" +0[ +1>" +1@" +0q +15" +16" +17" +b1010 3" +1^ +0_ +1<" +1` +b10010 -" +0t +0y +1z +1u +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001001101011010110011011100100 &" +b11001001101011010110011011100100 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#6488010 +b11001001101011010110011011100100 X" +#6488500 +b111 7 +b111 N +b111 V +b11000011110000010110011101011101 2 +b11000011110000010110011101011101 I +b11000011110000010110011101011101 Z +b111 & +b111 C +b11000011110000010110011101011101 , +b11000011110000010110011101011101 H +1. +0% +#6489000 +1t +0r +05" +16" +b11000 -" +0z +b1111001111011010001111111110100 | +b1111001111011010001111111110100 B" +1x +b11000011110000010110011101011101 &" +b11000011110000010110011101011101 ?" +b111 } +b111 (" +b111 +" +b11111100111010110100111111001 0 +b11111100111010110100111111001 M +b11111100111010110100111111001 f +b11111100111010110100111111001 !" +0. +1% +#6489010 +b11000011110000010110011101011101 X" +#6489500 +b10110 5 +b10110 L +b10110 W +14 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6490000 +1] +0i +b1101110100111110001110101010111 { +b1101110100111110001110101010111 A" +1s +1[ +0>" +0@" +0h +1:" +1;" +1q +06" +b11111 3" +0^ +0<" +0` +b1001 0" +1j +0k +18" +1l +b11101 -" +0t +b11110110000010000001010110110010 | +b11110110000010000001010110110010 B" +0a +02" +1n +1/" +0w +b1111001111011010001111111110100 0 +b1111001111011010001111111110100 M +b1111001111011010001111111110100 f +b1111001111011010001111111110100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b10 } +b10 (" +b10 +" +0. +1% +#6490500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +b0 ' +b0 D +0) +b1000 & +b1000 C +1. +0% +#6491000 +1i +0s +1h +0:" +0;" +1r +15" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +b1101100010011110111100010110011 | +b1101100010011110111100010110011 B" +0n +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +b1101110100111110001110101010111 / +b1101110100111110001110101010111 K +b1101110100111110001110101010111 e +b1101110100111110001110101010111 "" +b11110110000010000001010110110010 0 +b11110110000010000001010110110010 M +b11110110000010000001010110110010 f +b11110110000010000001010110110010 !" +0. +1% +#6491500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +1. +0% +#6492000 +b11111110110110011111000100101010 { +b11111110110110011111000100101010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +1;" +05" +07" +b1110 0" +0k +18" +1l +b11111 -" +0y +0u +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101100010011110111100010110011 0 +b1101100010011110111100010110011 M +b1101100010011110111100010110011 f +b1101100010011110111100010110011 !" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6492500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6493000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11111110110110011111000100101010 / +b11111110110110011111000100101010 K +b11111110110110011111000100101010 e +b11111110110110011111000100101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6493500 +b10 5 +b10 L +b10 W +14 +b10 ' +b10 D +1) +1. +0% +#6494000 +b11110110000010000001010110110010 { +b11110110000010000001010110110010 A" +0h +1;" +b11101 0" +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#6494500 +b111 5 +b111 L +b111 W +b11001 7 +b11001 N +b11001 V +16 +b111 ' +b111 D +b11001 & +b11001 C +1( +1. +0% +#6495000 +0i +0s +b1101111000101110110010111000101 | +b1101111000101110110010111000101 B" +0g +1:" +0q +15" +17" +b11000 0" +1j +b1111001111011010001111111110100 { +b1111001111011010001111111110100 A" +b110 -" +1y +0u +14" +1v +1m +1w +1," +b111 ~ +b111 )" +b111 ." +b11001 } +b11001 (" +b11001 +" +1#" +b11110110000010000001010110110010 / +b11110110000010000001010110110010 K +b11110110000010000001010110110010 e +b11110110000010000001010110110010 "" +0. +1% +#6495500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b100011010010010101110000000011 2 +b100011010010010101110000000011 I +b100011010010010101110000000011 Z +b1111 3 +b1111 J +b1111 Y +11 +b1001 ' +b1001 D +b0 & +b0 C +0( +b100011010010010101110000000011 , +b100011010010010101110000000011 H +b1111 + +b1111 G +1- +1. +0% +#6496000 +19" +0] +1o +1s +0\ +0[ +1=" +1>" +1@" +1h +0:" +1q +05" +07" +b10000 3" +0^ +0c +1d +1_ +b10110 0" +0j +b11000011100011100111010000 { +b11000011100011100111010000 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0n +0w +0," +b1111001111011010001111111110100 / +b1111001111011010001111111110100 K +b1111001111011010001111111110100 e +b1111001111011010001111111110100 "" +b1101111000101110110010111000101 0 +b1101111000101110110010111000101 M +b1101111000101110110010111000101 f +b1101111000101110110010111000101 !" +b100011010010010101110000000011 &" +b100011010010010101110000000011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6496010 +b100011010010010101110000000011 R" +#6496500 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6497000 +1] +0s +b11101010100000001110011010100000 | +b11101010100000001110011010100000 B" +1\ +1[ +0=" +0>" +0@" +16" +17" +b11111 3" +0d +0_ +b1011 -" +1t +0u +14" +1v +0b +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 } +b10100 (" +b10100 +" +1#" +b11000011100011100111010000 / +b11000011100011100111010000 K +b11000011100011100111010000 e +b11000011100011100111010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6497500 +b1110 5 +b1110 L +b1110 W +b10101 7 +b10101 N +b10101 V +b11100010101101011110110011111000 2 +b11100010101101011110110011111000 I +b11100010101101011110110011111000 Z +b1010 3 +b1010 J +b1010 Y +11 +b1110 ' +b1110 D +b10101 & +b10101 C +b11100010101101011110110011111000 , +b11100010101101011110110011111000 H +b1010 + +b1010 G +1- +1. +0% +#6498000 +0] +0o +0\ +1=" +1@" +0h +1g +1:" +0q +b10101 3" +1c +1_ +b10001 0" +1p +b1001100110001000111110111111010 { +b1001100110001000111110111111010 A" +b1010 -" +b11000011110000010110011101011101 | +b11000011110000010110011101011101 B" +1b +12" +1n +0m +1w +b11101010100000001110011010100000 0 +b11101010100000001110011010100000 M +b11101010100000001110011010100000 f +b11101010100000001110011010100000 !" +b11100010101101011110110011111000 &" +b11100010101101011110110011111000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b10101 } +b10101 (" +b10101 +" +0. +1% +#6498010 +b11100010101101011110110011111000 M" +#6498500 +b11010 5 +b11010 L +b11010 W +b1010 7 +b1010 N +b1010 V +b1111000100010110101100111111010 2 +b1111000100010110101100111111010 I +b1111000100010110101100111111010 Z +b10100 3 +b10100 J +b10100 Y +b11010 ' +b11010 D +b1010 & +b1010 C +b1111000100010110101100111111010 , +b1111000100010110101100111111010 H +b10100 + +b10100 G +1. +0% +#6499000 +1>" +15" +0_ +1^ +0k +1o +1u +1y +1\ +0=" +b11101110111101101111100111011111 { +b11101110111101101111100111011111 A" +19" +0:" +0r +1q +17" +06" +b1011 3" +1<" +1` +0c +b101 0" +18" +1l +0p +b10101 -" +04" +0v +0t +b11100010101101011110110011111000 | +b11100010101101011110110011111000 B" +0b +1x +0w +b1111000100010110101100111111010 &" +b1111000100010110101100111111010 ?" +b10100 %" +b10100 *" +b10100 1" +b11010 ~ +b11010 )" +b11010 ." +b1010 } +b1010 (" +b1010 +" +b1001100110001000111110111111010 / +b1001100110001000111110111111010 K +b1001100110001000111110111111010 e +b1001100110001000111110111111010 "" +b11000011110000010110011101011101 0 +b11000011110000010110011101011101 M +b11000011110000010110011101011101 f +b11000011110000010110011101011101 !" +0. +1% +#6499010 +b1111000100010110101100111111010 W" +#6499500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b110111001000111111011001000000 2 +b110111001000111111011001000000 I +b110111001000111111011001000000 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +b11110 & +b11110 C +b110111001000111111011001000000 , +b110111001000111111011001000000 H +b1111 + +b1111 G +1. +0% +#6500000 +1_ +0^ +1i +0u +0y +0\ +0[ +1@" +1=" +1h +09" +0;" +b100101011110000111101101110100 | +b100101011110000111101101110100 B" +16" +b10000 3" +0<" +0` +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +14" +1v +1z +1b +1a +0n +0/" +b11101110111101101111100111011111 / +b11101110111101101111100111011111 K +b11101110111101101111100111011111 e +b11101110111101101111100111011111 "" +b11100010101101011110110011111000 0 +b11100010101101011110110011111000 M +b11100010101101011110110011111000 f +b11100010101101011110110011111000 !" +b110111001000111111011001000000 &" +b110111001000111111011001000000 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#6500010 +b110111001000111111011001000000 R" +#6500500 +b1100 7 +b1100 N +b1100 V +b1001010100000100100100111000011 2 +b1001010100000100100100111000011 I +b1001010100000100100100111000011 Z +b10100 3 +b10100 J +b10100 Y +b1100 & +b1100 C +b1001010100000100100100111000011 , +b1001010100000100100100111000011 H +b10100 + +b10100 G +1. +0% +#6501000 +0_ +1^ +1u +1\ +1[ +0=" +1>" +1r +17" +b1011 3" +1<" +1` +0d +b10011 -" +04" +0v +b1101100000000000100100011100011 | +b1101100000000000100100011100011 B" +0b +0a +0x +b1001010100000100100100111000011 &" +b1001010100000100100100111000011 ?" +b10100 %" +b10100 *" +b10100 1" +b1100 } +b1100 (" +b1100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101011110000111101101110100 0 +b100101011110000111101101110100 M +b100101011110000111101101110100 f +b100101011110000111101101110100 !" +0. +1% +#6501010 +b1001010100000100100100111000011 W" +#6501500 +b0 7 +b0 N +b0 V +06 +b100010000101100100011010110111 2 +b100010000101100100011010110111 I +b100010000101100100011010110111 Z +b11101 3 +b11101 J +b11101 Y +b0 & +b0 C +0( +b100010000101100100011010110111 , +b100010000101100100011010110111 H +b11101 + +b11101 G +1. +0% +#6502000 +0^ +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1=" +05" +06" +07" +b10 3" +1d +b11111 -" +0z +0u +1a +0," +b1101100000000000100100011100011 0 +b1101100000000000100100011100011 M +b1101100000000000100100011100011 f +b1101100000000000100100011100011 !" +b100010000101100100011010110111 &" +b100010000101100100011010110111 ?" +b11101 %" +b11101 *" +b11101 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6502010 +b100010000101100100011010110111 `" +#6502500 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6503000 +1] +b1100011010010000110011111011 | +b1100011010010000110011111011 B" +1[ +0=" +0>" +0@" +0r +0q +17" +b11111 3" +0d +0<" +0` +b11100 -" +1u +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6503500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6504000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b1100011010010000110011111011 0 +b1100011010010000110011111011 M +b1100011010010000110011111011 f +b1100011010010000110011111011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6504500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#6505000 +0i +b1101100010011110111100010110011 { +b1101100010011110111100010110011 A" +19" +1;" +b10111 0" +1o +1k +1/" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6505500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b10000111001100001000110000101 2 +b10000111001100001000110000101 I +b10000111001100001000110000101 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b10 & +b10 C +1( +b10000111001100001000110000101 , +b10000111001100001000110000101 H +b1110 + +b1110 G +1- +1. +0% +#6506000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11110110000010000001010110110010 | +b11110110000010000001010110110010 B" +0\ +1=" +1>" +1@" +09" +0;" +0r +17" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0o +0k +b11101 -" +1u +1b +12" +0/" +1x +1," +b1101100010011110111100010110011 / +b1101100010011110111100010110011 K +b1101100010011110111100010110011 e +b1101100010011110111100010110011 "" +b10000111001100001000110000101 &" +b10000111001100001000110000101 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#6506010 +b10000111001100001000110000101 Q" +#6506500 +b0 7 +b0 N +b0 V +06 +b11010111000010111101001110000110 2 +b11010111000010111101001110000110 I +b11010111000010111101001110000110 Z +b10101 3 +b10101 J +b10101 Y +b0 & +b0 C +0( +b11010111000010111101001110000110 , +b11010111000010111101001110000110 H +b10101 + +b10101 G +1. +0% +#6507000 +0_ +1^ +1\ +0[ +0=" +1>" +1r +07" +b1010 3" +1<" +1` +0d +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1a +0x +0," +b11010111000010111101001110000110 &" +b11010111000010111101001110000110 ?" +b10101 %" +b10101 *" +b10101 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110000010000001010110110010 0 +b11110110000010000001010110110010 M +b11110110000010000001010110110010 f +b11110110000010000001010110110010 !" +0. +1% +#6507010 +b11010111000010111101001110000110 X" +#6507500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6508000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6508500 +b1101 7 +b1101 N +b1101 V +16 +b1101 & +b1101 C +1( +1. +0% +#6509000 +0s +b11111100111010110100111111001 | +b11111100111010110100111111001 B" +0q +15" +16" +17" +b10010 -" +0t +0y +1z +1u +1w +1," +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#6509500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6510000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b11111100111010110100111111001 0 +b11111100111010110100111111001 M +b11111100111010110100111111001 f +b11111100111010110100111111001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6510500 +1. +0% +#6511000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6511500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#6512000 +0i +b11101110111101101111100111011111 { +b11101110111101101111100111011111 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#6512500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b0 ' +b0 D +0) +b100 & +b100 C +1( +1. +0% +#6513000 +1i +0s +b100110011010001011000000010111 | +b100110011010001011000000010111 B" +1h +09" +0;" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +1t +1u +0n +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +b11101110111101101111100111011111 / +b11101110111101101111100111011111 K +b11101110111101101111100111011111 e +b11101110111101101111100111011111 "" +0. +1% +#6513500 +b0 7 +b0 N +b0 V +06 +b11101100101001100110011100000111 2 +b11101100101001100110011100000111 I +b11101100101001100110011100000111 Z +b11 3 +b11 J +b11 Y +11 +b0 & +b0 C +0( +b11101100101001100110011100000111 , +b11101100101001100110011100000111 H +b11 + +b11 G +1- +1. +0% +#6514000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1@" +06" +07" +b11100 3" +1_ +b11111 -" +0t +0u +1b +1a +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100110011010001011000000010111 0 +b100110011010001011000000010111 M +b100110011010001011000000010111 f +b100110011010001011000000010111 !" +b11101100101001100110011100000111 &" +b11101100101001100110011100000111 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6514010 +b11101100101001100110011100000111 F" +#6514500 +b1101 5 +b1101 L +b1101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6515000 +0i +b11111100111010110100111111001 { +b11111100111010110100111111001 A" +1\ +1[ +0@" +0g +19" +1:" +1;" +b11111 3" +0_ +b10010 0" +0j +0o +1p +1k +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6515500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +1. +0% +#6516000 +1i +0s +b10000111001100001000110000101 | +b10000111001100001000110000101 B" +1g +09" +0:" +0;" +0r +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +0t +0y +1z +1u +0m +0/" +1x +1," +b11111100111010110100111111001 / +b11111100111010110100111111001 K +b11111100111010110100111111001 e +b11111100111010110100111111001 "" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#6516500 +b11010 5 +b11010 L +b11010 W +14 +b11111 7 +b11111 N +b11111 V +b11010 ' +b11010 D +1) +b11111 & +b11111 C +1. +0% +#6517000 +0i +b11101110111101101111100111011111 { +b11101110111101101111100111011111 A" +0u +0h +19" +1;" +0q +b101 0" +1o +0k +18" +1l +b0 -" +14" +1v +b1100101000001100101001000001100 | +b1100101000001100101001000001100 B" +1n +1/" +1w +b11010 ~ +b11010 )" +b11010 ." +1$" +b11111 } +b11111 (" +b11111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000111001100001000110000101 0 +b10000111001100001000110000101 M +b10000111001100001000110000101 f +b10000111001100001000110000101 !" +0. +1% +#6517500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b10100101100010010101100101011100 2 +b10100101100010010101100101011100 I +b10100101100010010101100101011100 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +0) +b1110 & +b1110 C +b10100101100010010101100101011100 , +b10100101100010010101100101011100 H +b11010 + +b11010 G +1- +1. +0% +#6518000 +0] +1i +1u +0\ +1=" +1@" +1h +09" +0;" +1q +17" +b101 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10001 -" +04" +0v +b10000111001100001000110000101 | +b10000111001100001000110000101 B" +1b +12" +0n +0/" +0w +b11101110111101101111100111011111 / +b11101110111101101111100111011111 K +b11101110111101101111100111011111 e +b11101110111101101111100111011111 "" +b1100101000001100101001000001100 0 +b1100101000001100101001000001100 M +b1100101000001100101001000001100 f +b1100101000001100101001000001100 !" +b10100101100010010101100101011100 &" +b10100101100010010101100101011100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#6518010 +b10100101100010010101100101011100 ]" +#6518500 +b11010 7 +b11010 N +b11010 V +b10101101111110010101001010010101 2 +b10101101111110010101001010010101 I +b10101101111110010101001010010101 Z +b1010 3 +b1010 J +b1010 Y +b11010 & +b11010 C +b10101101111110010101001010010101 , +b10101101111110010101001010010101 H +b1010 + +b1010 G +1. +0% +#6519000 +1_ +0u +1y +1@" +b10100101100010010101100101011100 | +b10100101100010010101100101011100 B" +15" +06" +b10101 3" +0<" +0` +b101 -" +14" +1v +0z +b10101101111110010101001010010101 &" +b10101101111110010101001010010101 ?" +b1010 %" +b1010 *" +b1010 1" +b11010 } +b11010 (" +b11010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000111001100001000110000101 0 +b10000111001100001000110000101 M +b10000111001100001000110000101 f +b10000111001100001000110000101 !" +0. +1% +#6519010 +b10101101111110010101001010010101 M" +#6519500 +b11100 5 +b11100 L +b11100 W +14 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6520000 +16" +1] +0i +b11101100010000001011101100000100 { +b11101100010000001011101100000100 A" +1t +1\ +0=" +0@" +19" +1:" +1;" +0q +05" +b11111 3" +0c +0_ +b11 0" +0j +0o +1p +0k +18" +1l +b1000 -" +0y +b10010101100001101101010111010001 | +b10010101100001101101010111010001 B" +0b +02" +1/" +1w +b10100101100010010101100101011100 0 +b10100101100010010101100101011100 M +b10100101100010010101100101011100 f +b10100101100010010101100101011100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b10111 } +b10111 (" +b10111 +" +0. +1% +#6520500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6521000 +1i +1s +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +06" +07" +b11111 0" +0p +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101100010000001011101100000100 / +b11101100010000001011101100000100 K +b11101100010000001011101100000100 e +b11101100010000001011101100000100 "" +b10010101100001101101010111010001 0 +b10010101100001101101010111010001 M +b10010101100001101101010111010001 f +b10010101100001101101010111010001 !" +0. +1% +#6521500 +b1000 5 +b1000 L +b1000 W +14 +b10100001000000111000100101000101 2 +b10100001000000111000100101000101 I +b10100001000000111000100101000101 Z +b10001 3 +b10001 J +b10001 Y +11 +b1000 ' +b1000 D +1) +b10100001000000111000100101000101 , +b10100001000000111000100101000101 H +b10001 + +b10001 G +1- +1. +0% +#6522000 +0i +b1101100010011110111100010110011 { +b1101100010011110111100010110011 A" +0[ +1@" +19" +1;" +b1110 3" +0_ +1<" +1` +b10111 0" +1o +1k +1a +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100001000000111000100101000101 &" +b10100001000000111000100101000101 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#6522010 +b10100001000000111000100101000101 T" +#6522500 +b0 5 +b0 L +b0 W +04 +b101011001110110010111001001101 2 +b101011001110110010111001001101 I +b101011001110110010111001001101 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b101011001110110010111001001101 , +b101011001110110010111001001101 H +b10110 + +b10110 G +1. +0% +#6523000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +1[ +1>" +09" +0;" +b1001 3" +1^ +b11111 0" +0o +0k +1b +0a +0/" +b101011001110110010111001001101 &" +b101011001110110010111001001101 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1101100010011110111100010110011 / +b1101100010011110111100010110011 K +b1101100010011110111100010110011 e +b1101100010011110111100010110011 "" +0. +1% +#6523010 +b101011001110110010111001001101 Y" +#6523500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6524000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6524500 +b10000 7 +b10000 N +b10000 V +16 +b11000110101001011011000010101010 2 +b11000110101001011011000010101010 I +b11000110101001011011000010101010 Z +b11000 3 +b11000 J +b11000 Y +11 +b10000 & +b10000 C +1( +b11000110101001011011000010101010 , +b11000110101001011011000010101010 H +b11000 + +b11000 G +1- +1. +0% +#6525000 +0] +b110100100111000110100001010110 | +b110100100111000110100001010110 B" +1=" +1@" +17" +b111 3" +1c +0_ +1<" +1` +b1111 -" +0u +14" +1v +12" +1," +b11000110101001011011000010101010 &" +b11000110101001011011000010101010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#6525010 +b11000110101001011011000010101010 [" +#6525500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6526000 +1] +b110101101111001011111110110111 { +b110101101111001011111110110111 A" +0=" +0@" +0g +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0<" +0` +b11110 0" +1k +b11111 -" +04" +0v +02" +1m +1/" +0," +b110100100111000110100001010110 0 +b110100100111000110100001010110 M +b110100100111000110100001010110 f +b110100100111000110100001010110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6526500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#6527000 +1g +b11111 0" +b10001011111001010100011011000101 { +b10001011111001010100011011000101 A" +0m +b0 ~ +b0 )" +b0 ." +b110101101111001011111110110111 / +b110101101111001011111110110111 K +b110101101111001011111110110111 e +b110101101111001011111110110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6527500 +04 +b1011 7 +b1011 N +b1011 V +16 +b1010110110110100001000111111111 2 +b1010110110110100001000111111111 I +b1010110110110100001000111111111 Z +b10001 3 +b10001 J +b10001 Y +11 +0) +b1011 & +b1011 C +1( +b1010110110110100001000111111111 , +b1010110110110100001000111111111 H +b10001 + +b10001 G +1- +1. +0% +#6528000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b101000001110010011111100000010 | +b101000001110010011111100000010 B" +0[ +1@" +0;" +0r +0q +15" +17" +b1110 3" +0_ +1<" +1` +0k +b10100 -" +1y +1u +1a +12" +0/" +1x +1w +1," +b10001011111001010100011011000101 / +b10001011111001010100011011000101 K +b10001011111001010100011011000101 e +b10001011111001010100011011000101 "" +b1010110110110100001000111111111 &" +b1010110110110100001000111111111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#6528010 +b1010110110110100001000111111111 T" +#6528500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6529000 +1s +1[ +0@" +1r +1q +05" +07" +b11111 3" +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101000001110010011111100000010 0 +b101000001110010011111100000010 M +b101000001110010011111100000010 f +b101000001110010011111100000010 !" +0. +1% +#6529500 +b10110010001101111001100100011001 2 +b10110010001101111001100100011001 I +b10110010001101111001100100011001 Z +b11000 3 +b11000 J +b11000 Y +11 +b10110010001101111001100100011001 , +b10110010001101111001100100011001 H +b11000 + +b11000 G +1- +1. +0% +#6530000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110010001101111001100100011001 &" +b10110010001101111001100100011001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0. +1% +#6530010 +b10110010001101111001100100011001 [" +#6530500 +b1111 5 +b1111 L +b1111 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1011100010111100110010000110000 2 +b1011100010111100110010000110000 I +b1011100010111100110010000110000 Z +b11100 3 +b11100 J +b11100 Y +b1111 ' +b1111 D +1) +b1000 & +b1000 C +1( +b1011100010111100110010000110000 , +b1011100010111100110010000110000 H +b11100 + +b11100 G +1. +0% +#6531000 +0c +0i +b110111001000111111011001000000 { +b110111001000111111011001000000 A" +0s +b1101100010011110111100010110011 | +b1101100010011110111100010110011 B" +1>" +0h +0g +19" +1:" +1;" +15" +17" +b11 3" +1d +b10000 0" +0j +0o +1p +1k +b10111 -" +1y +1u +1n +1m +1/" +1," +b1011100010111100110010000110000 &" +b1011100010111100110010000110000 ?" +b11100 %" +b11100 *" +b11100 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#6531010 +b1011100010111100110010000110000 _" +#6531500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +b10101011111100110101110011111000 2 +b10101011111100110101110011111000 I +b10101011111100110101110011111000 Z +b11101 3 +b11101 J +b11101 Y +b0 ' +b0 D +0) +b10 & +b10 C +b10101011111100110101110011111000 , +b10101011111100110101110011111000 H +b11101 + +b11101 G +1. +0% +#6532000 +1i +1s +0[ +1h +1g +09" +0:" +0;" +0r +05" +b10 3" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11101 -" +0y +b11110110000010000001010110110010 | +b11110110000010000001010110110010 B" +1a +0n +0m +0/" +1x +b110111001000111111011001000000 / +b110111001000111111011001000000 K +b110111001000111111011001000000 e +b110111001000111111011001000000 "" +b1101100010011110111100010110011 0 +b1101100010011110111100010110011 M +b1101100010011110111100010110011 f +b1101100010011110111100010110011 !" +b10101011111100110101110011111000 &" +b10101011111100110101110011111000 ?" +b11101 %" +b11101 *" +b11101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +0. +1% +#6532010 +b10101011111100110101110011111000 `" +#6532500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6533000 +1] +1[ +0=" +0>" +0@" +1r +07" +b11111 3" +0d +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110000010000001010110110010 0 +b11110110000010000001010110110010 M +b11110110000010000001010110110010 f +b11110110000010000001010110110010 !" +0. +1% +#6533500 +b10010001011001010001010110011010 2 +b10010001011001010001010110011010 I +b10010001011001010001010110011010 Z +b1011 3 +b1011 J +b1011 Y +11 +b10010001011001010001010110011010 , +b10010001011001010001010110011010 H +b1011 + +b1011 G +1- +1. +0% +#6534000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010001011001010001010110011010 &" +b10010001011001010001010110011010 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +0. +1% +#6534010 +b10010001011001010001010110011010 N" +#6534500 +b11110 5 +b11110 L +b11110 W +14 +b1001 7 +b1001 N +b1001 V +16 +b10001010001110101001111101010101 2 +b10001010001110101001111101010101 I +b10001010001110101001111101010101 Z +b1000 3 +b1000 J +b1000 Y +b11110 ' +b11110 D +1) +b1001 & +b1001 C +1( +b10001010001110101001111101010101 , +b10001010001110101001111101010101 H +b1000 + +b1000 G +1. +0% +#6535000 +0i +b100101011110000111101101110100 { +b100101011110000111101101110100 A" +0s +b11000011100011100111010000 | +b11000011100011100111010000 B" +1\ +1[ +0h +19" +1:" +1;" +0q +15" +17" +b10111 3" +b1 0" +0j +0o +1p +0k +18" +1l +b10110 -" +1y +1u +0b +0a +1n +1/" +1w +1," +b10001010001110101001111101010101 &" +b10001010001110101001111101010101 ?" +b1000 %" +b1000 *" +b1000 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#6535010 +b10001010001110101001111101010101 K" +#6535500 +b11000 5 +b11000 L +b11000 W +b11010 7 +b11010 N +b11010 V +b11101111110111001110000100010111 2 +b11101111110111001110000100010111 I +b11101111110111001110000100010111 Z +b111 3 +b111 J +b111 Y +b11000 ' +b11000 D +b11010 & +b11010 C +b11101111110111001110000100010111 , +b11101111110111001110000100010111 H +b111 + +b111 G +1. +0% +#6536000 +1>" +1^ +1o +0u +0\ +0[ +0=" +1h +19" +0:" +0r +1q +b11000 3" +0c +b111 0" +0p +b10110010001101111001100100011001 { +b10110010001101111001100100011001 A" +b101 -" +14" +1v +b10100101100010010101100101011100 | +b10100101100010010101100101011100 B" +1b +1a +0n +1x +0w +b100101011110000111101101110100 / +b100101011110000111101101110100 K +b100101011110000111101101110100 e +b100101011110000111101101110100 "" +b11000011100011100111010000 0 +b11000011100011100111010000 M +b11000011100011100111010000 f +b11000011100011100111010000 !" +b11101111110111001110000100010111 &" +b11101111110111001110000100010111 ?" +b111 %" +b111 *" +b111 1" +b11000 ~ +b11000 )" +b11000 ." +b11010 } +b11010 (" +b11010 +" +0. +1% +#6536010 +b11101111110111001110000100010111 J" +#6536500 +b1 5 +b1 L +b1 W +b0 7 +b0 N +b0 V +06 +b1110111010010000100111101010111 2 +b1110111010010000100111101010111 I +b1110111010010000100111101010111 Z +b11011 3 +b11011 J +b11011 Y +b1 ' +b1 D +b0 & +b0 C +0( +b1110111010010000100111101010111 , +b1110111010010000100111101010111 H +b11011 + +b11011 G +1. +0% +#6537000 +1=" +0_ +1c +1k +1i +1s +0>" +0g +1;" +09" +1r +05" +07" +b100 3" +1<" +1` +0^ +b11110 0" +08" +0l +0o +b110101101111001011111110110111 { +b110101101111001011111110110111 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +0x +0," +b1110111010010000100111101010111 &" +b1110111010010000100111101010111 ?" +b11011 %" +b11011 *" +b11011 1" +b1 ~ +b1 )" +b1 ." +b0 } +b0 (" +b0 +" +0#" +b10110010001101111001100100011001 / +b10110010001101111001100100011001 K +b10110010001101111001100100011001 e +b10110010001101111001100100011001 "" +b10100101100010010101100101011100 0 +b10100101100010010101100101011100 M +b10100101100010010101100101011100 f +b10100101100010010101100101011100 !" +0. +1% +#6537010 +b1110111010010000100111101010111 ^" +#6537500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6538000 +1] +1\ +1[ +0=" +0@" +1g +0;" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0m +0/" +b110101101111001011111110110111 / +b110101101111001011111110110111 K +b110101101111001011111110110111 e +b110101101111001011111110110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6538500 +b110 5 +b110 L +b110 W +14 +b110 ' +b110 D +1) +1. +0% +#6539000 +0i +b11000001001010010010011011010101 { +b11000001001010010010011011010101 A" +0h +1:" +1;" +b11001 0" +1j +1k +1n +1/" +b110 ~ +b110 )" +b110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6539500 +b111 5 +b111 L +b111 W +b111 ' +b111 D +1. +0% +#6540000 +0g +b11000 0" +b11101111110111001110000100010111 { +b11101111110111001110000100010111 A" +1m +b11000001001010010010011011010101 / +b11000001001010010010011011010101 K +b11000001001010010010011011010101 e +b11000001001010010010011011010101 "" +b111 ~ +b111 )" +b111 ." +0. +1% +#6540500 +b11110 5 +b11110 L +b11110 W +b11110 ' +b11110 D +1. +0% +#6541000 +0k +0j +1g +19" +b1 0" +18" +1l +1p +b100101011110000111101101110100 { +b100101011110000111101101110100 A" +0m +b11110 ~ +b11110 )" +b11110 ." +b11101111110111001110000100010111 / +b11101111110111001110000100010111 K +b11101111110111001110000100010111 e +b11101111110111001110000100010111 "" +0. +1% +#6541500 +b10 5 +b10 L +b10 W +b10111 7 +b10111 N +b10111 V +16 +b10 ' +b10 D +b10111 & +b10111 C +1( +1. +0% +#6542000 +1k +1i +0s +b10010101100001101101010111010001 | +b10010101100001101101010111010001 B" +1;" +b11110110000010000001010110110010 { +b11110110000010000001010110110010 A" +09" +0:" +0r +0q +16" +17" +b11101 0" +08" +0l +0p +b1000 -" +1t +0u +14" +1v +1x +1w +1," +b100101011110000111101101110100 / +b100101011110000111101101110100 K +b100101011110000111101101110100 e +b100101011110000111101101110100 "" +b10 ~ +b10 )" +b10 ." +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#6542500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b10101 ' +b10101 D +b0 & +b0 C +0( +1. +0% +#6543000 +0k +0i +1s +1h +0g +1:" +1r +1q +06" +07" +b1010 0" +18" +1l +1j +b11010111000010111101001110000110 { +b11010111000010111101001110000110 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +1m +0x +0w +0," +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +b11110110000010000001010110110010 / +b11110110000010000001010110110010 K +b11110110000010000001010110110010 e +b11110110000010000001010110110010 "" +b10010101100001101101010111010001 0 +b10010101100001101101010111010001 M +b10010101100001101101010111010001 f +b10010101100001101101010111010001 !" +0. +1% +#6543500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6544000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11010111000010111101001110000110 / +b11010111000010111101001110000110 K +b11010111000010111101001110000110 e +b11010111000010111101001110000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6544500 +b10101 7 +b10101 N +b10101 V +16 +b10101 & +b10101 C +1( +1. +0% +#6545000 +0s +b11010111000010111101001110000110 | +b11010111000010111101001110000110 B" +0q +16" +17" +b1010 -" +1t +0u +14" +1v +1w +1," +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6545500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +1. +0% +#6546000 +0i +b1101111000101110110010111000101 { +b1101111000101110110010111000101 A" +1s +0g +19" +1;" +1q +06" +07" +b110 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0w +0," +b11010111000010111101001110000110 0 +b11010111000010111101001110000110 M +b11010111000010111101001110000110 f +b11010111000010111101001110000110 !" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6546500 +b0 5 +b0 L +b0 W +04 +b1110111010000100010100110101001 2 +b1110111010000100010100110101001 I +b1110111010000100010100110101001 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b1110111010000100010100110101001 , +b1110111010000100010100110101001 H +b10001 + +b10001 G +1- +1. +0% +#6547000 +1i +0[ +1@" +1g +09" +0;" +b1110 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0m +0/" +b1110111010000100010100110101001 &" +b1110111010000100010100110101001 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101111000101110110010111000101 / +b1101111000101110110010111000101 K +b1101111000101110110010111000101 e +b1101111000101110110010111000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6547010 +b1110111010000100010100110101001 T" +#6547500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6548000 +b11110110000010000001010110110010 | +b11110110000010000001010110110010 B" +1[ +0@" +0r +17" +b11111 3" +0<" +0` +b11101 -" +1u +0a +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#6548500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6549000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b11110110000010000001010110110010 0 +b11110110000010000001010110110010 M +b11110110000010000001010110110010 f +b11110110000010000001010110110010 !" +0. +1% +#6549500 +b101100001000100111101101101101 2 +b101100001000100111101101101101 I +b101100001000100111101101101101 Z +b10101 3 +b10101 J +b10101 Y +11 +b101100001000100111101101101101 , +b101100001000100111101101101101 H +b10101 + +b10101 G +1- +1. +0% +#6550000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101100001000100111101101101101 &" +b101100001000100111101101101101 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +0. +1% +#6550010 +b101100001000100111101101101101 X" +#6550500 +b11111 5 +b11111 L +b11111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6551000 +1] +0i +b1100101000001100101001000001100 { +b1100101000001100101001000001100 A" +1[ +0>" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0^ +0<" +0` +b0 0" +0j +0o +1p +0k +18" +1l +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#6551500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b10101110110100010110101011011 2 +b10101110110100010110101011011 I +b10101110110100010110101011011 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b10101110110100010110101011011 , +b10101110110100010110101011011 H +b101 + +b101 G +1- +1. +0% +#6552000 +0] +1i +0s +b1101100000000000100100011100011 | +b1101100000000000100100011100011 B" +0[ +1>" +1@" +1h +1g +09" +0:" +0;" +15" +16" +17" +b11010 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +1a +12" +0n +0m +0/" +1," +b1100101000001100101001000001100 / +b1100101000001100101001000001100 K +b1100101000001100101001000001100 e +b1100101000001100101001000001100 "" +b10101110110100010110101011011 &" +b10101110110100010110101011011 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#6552010 +b10101110110100010110101011011 H" +#6552500 +b10111 7 +b10111 N +b10111 V +b11110011101011110010010010011111 2 +b11110011101011110010010010011111 I +b11110011101011110010010010011111 Z +b10101 3 +b10101 J +b10101 Y +b10111 & +b10111 C +b11110011101011110010010010011111 , +b11110011101011110010010010011111 H +b10101 + +b10101 G +1. +0% +#6553000 +0_ +0u +1t +0r +0q +05" +16" +b1010 3" +1<" +1` +b1000 -" +14" +1v +0z +b10010101100001101101010111010001 | +b10010101100001101101010111010001 B" +1x +1w +b11110011101011110010010010011111 &" +b11110011101011110010010010011111 ?" +b10101 %" +b10101 *" +b10101 1" +b10111 } +b10111 (" +b10111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101100000000000100100011100011 0 +b1101100000000000100100011100011 M +b1101100000000000100100011100011 f +b1101100000000000100100011100011 !" +0. +1% +#6553010 +b11110011101011110010010010011111 X" +#6553500 +b1111 5 +b1111 L +b1111 W +14 +b10101 7 +b10101 N +b10101 V +b1110010011010110101010001111011 2 +b1110010011010110101010001111011 I +b1110010011010110101010001111011 Z +b10011 3 +b10011 J +b10011 Y +b1111 ' +b1111 D +1) +b10101 & +b10101 C +b1110010011010110101010001111011 , +b1110010011010110101010001111011 H +b10011 + +b10011 G +1. +0% +#6554000 +1] +0i +b110111001000111111011001000000 { +b110111001000111111011001000000 A" +0\ +0>" +0h +0g +19" +1:" +1;" +1r +b1100 3" +0^ +b10000 0" +0j +0o +1p +1k +b1010 -" +b11110011101011110010010010011111 | +b11110011101011110010010010011111 B" +1b +1n +1m +1/" +0x +b10010101100001101101010111010001 0 +b10010101100001101101010111010001 M +b10010101100001101101010111010001 f +b10010101100001101101010111010001 !" +b1110010011010110101010001111011 &" +b1110010011010110101010001111011 ?" +b10011 %" +b10011 *" +b10011 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10101 } +b10101 (" +b10101 +" +0. +1% +#6554010 +b1110010011010110101010001111011 V" +#6554500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6555000 +1i +1s +1\ +1[ +0@" +1h +1g +09" +0:" +0;" +1q +06" +07" +b11111 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0n +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b110111001000111111011001000000 / +b110111001000111111011001000000 K +b110111001000111111011001000000 e +b110111001000111111011001000000 "" +b11110011101011110010010010011111 0 +b11110011101011110010010010011111 M +b11110011101011110010010010011111 f +b11110011101011110010010010011111 !" +0. +1% +#6555500 +b10010 7 +b10010 N +b10010 V +16 +b1001010001101110010111011101100 2 +b1001010001101110010111011101100 I +b1001010001101110010111011101100 Z +b10101 3 +b10101 J +b10101 Y +11 +b10010 & +b10010 C +1( +b1001010001101110010111011101100 , +b1001010001101110010111011101100 H +b10101 + +b10101 G +1- +1. +0% +#6556000 +0] +b100010100101000100001111100 | +b100010100101000100001111100 B" +0[ +1>" +1@" +0r +17" +b1010 3" +1^ +0_ +1<" +1` +b1101 -" +0u +14" +1v +1a +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001010001101110010111011101100 &" +b1001010001101110010111011101100 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#6556010 +b1001010001101110010111011101100 X" +#6556500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6557000 +1] +1[ +0>" +0@" +1r +07" +b11111 3" +0^ +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b100010100101000100001111100 0 +b100010100101000100001111100 M +b100010100101000100001111100 f +b100010100101000100001111100 !" +0. +1% +#6557500 +b11111 5 +b11111 L +b11111 W +14 +b11111 ' +b11111 D +1) +1. +0% +#6558000 +0i +b1100101000001100101001000001100 { +b1100101000001100101001000001100 A" +0h +0g +19" +1:" +1;" +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#6558500 +b1011 5 +b1011 L +b1011 W +b1011 ' +b1011 D +1. +0% +#6559000 +1k +1o +1;" +b10010001011001010001010110011010 { +b10010001011001010001010110011010 A" +19" +0:" +b10100 0" +08" +0l +0p +b1011 ~ +b1011 )" +b1011 ." +b1100101000001100101001000001100 / +b1100101000001100101001000001100 K +b1100101000001100101001000001100 e +b1100101000001100101001000001100 "" +0. +1% +#6559500 +b1110 5 +b1110 L +b1110 W +b11111000011011000010011010011 2 +b11111000011011000010011010011 I +b11111000011011000010011010011 Z +b10111 3 +b10111 J +b10111 Y +11 +b1110 ' +b1110 D +b11111000011011000010011010011 , +b11111000011011000010011010011 H +b10111 + +b10111 G +1- +1. +0% +#6560000 +0] +0o +0\ +0[ +1>" +1@" +1g +1:" +b1000 3" +1^ +0_ +1<" +1` +b10001 0" +1p +b10000111001100001000110000101 { +b10000111001100001000110000101 A" +1b +1a +12" +0m +b10010001011001010001010110011010 / +b10010001011001010001010110011010 K +b10010001011001010001010110011010 e +b10010001011001010001010110011010 "" +b11111000011011000010011010011 &" +b11111000011011000010011010011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +0. +1% +#6560010 +b11111000011011000010011010011 Z" +#6560500 +b1100 5 +b1100 L +b1100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6561000 +1] +1\ +1[ +0>" +0@" +1h +b11111 3" +0^ +0<" +0` +b10011 0" +b1101100000000000100100011100011 { +b1101100000000000100100011100011 A" +0b +0a +02" +0n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +b10000111001100001000110000101 / +b10000111001100001000110000101 K +b10000111001100001000110000101 e +b10000111001100001000110000101 "" +0. +1% +#6561500 +b0 5 +b0 L +b0 W +04 +b1001000110001010000110100100111 2 +b1001000110001010000110100100111 I +b1001000110001010000110100100111 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b1001000110001010000110100100111 , +b1001000110001010000110100100111 H +b110 + +b110 G +1- +1. +0% +#6562000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +1>" +1@" +09" +0:" +0;" +b11001 3" +1^ +1_ +b11111 0" +0p +0k +1b +12" +0/" +b1101100000000000100100011100011 / +b1101100000000000100100011100011 K +b1101100000000000100100011100011 e +b1101100000000000100100011100011 "" +b1001000110001010000110100100111 &" +b1001000110001010000110100100111 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6562010 +b1001000110001010000110100100111 I" +#6562500 +b11111110010000111001110110100000 2 +b11111110010000111001110110100000 I +b11111110010000111001110110100000 Z +b11001 3 +b11001 J +b11001 Y +b11111110010000111001110110100000 , +b11111110010000111001110110100000 H +b11001 + +b11001 G +1. +0% +#6563000 +1=" +0_ +1c +1\ +0[ +0>" +b110 3" +1<" +1` +0^ +0b +1a +b11111110010000111001110110100000 &" +b11111110010000111001110110100000 ?" +b11001 %" +b11001 *" +b11001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6563010 +b11111110010000111001110110100000 \" +#6563500 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6564000 +1] +0s +b11101111110111001110000100010111 | +b11101111110111001110000100010111 B" +1[ +0=" +0@" +0r +0q +16" +17" +b11111 3" +0c +0<" +0` +b11000 -" +1t +1u +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#6564500 +b10000 7 +b10000 N +b10000 V +b11110111110110011000101111111111 2 +b11110111110110011000101111111111 I +b11110111110110011000101111111111 Z +b10101 3 +b10101 J +b10101 Y +11 +b10000 & +b10000 C +b11110111110110011000101111111111 , +b11110111110110011000101111111111 H +b10101 + +b10101 G +1- +1. +0% +#6565000 +0] +0u +1s +0[ +1>" +1@" +1r +1q +06" +b1010 3" +1^ +0_ +1<" +1` +b1111 -" +14" +1v +0t +b110100100111000110100001010110 | +b110100100111000110100001010110 B" +1a +12" +0x +0w +b11110111110110011000101111111111 &" +b11110111110110011000101111111111 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10000 } +b10000 (" +b10000 +" +b11101111110111001110000100010111 0 +b11101111110111001110000100010111 M +b11101111110111001110000100010111 f +b11101111110111001110000100010111 !" +0. +1% +#6565010 +b11110111110110011000101111111111 X" +#6565500 +b10 7 +b10 N +b10 V +b1011110101100001110111001100110 2 +b1011110101100001110111001100110 I +b1011110101100001110111001100110 Z +b101 3 +b101 J +b101 Y +b10 & +b10 C +b1011110101100001110111001100110 , +b1011110101100001110111001100110 H +b101 + +b101 G +1. +0% +#6566000 +1_ +1u +1@" +0r +17" +b11010 3" +0<" +0` +b11101 -" +04" +0v +b11110110000010000001010110110010 | +b11110110000010000001010110110010 B" +1x +b110100100111000110100001010110 0 +b110100100111000110100001010110 M +b110100100111000110100001010110 f +b110100100111000110100001010110 !" +b1011110101100001110111001100110 &" +b1011110101100001110111001100110 ?" +b101 %" +b101 *" +b101 1" +b10 } +b10 (" +b10 +" +0. +1% +#6566010 +b1011110101100001110111001100110 H" +#6566500 +b100 7 +b100 N +b100 V +b11101101111011011100001001101101 2 +b11101101111011011100001001101101 I +b11101101111011011100001001101101 Z +b1100 3 +b1100 J +b1100 Y +b100 & +b100 C +b11101101111011011100001001101101 , +b11101101111011011100001001101101 H +b1100 + +b1100 G +1. +0% +#6567000 +0^ +0s +1[ +1=" +1r +16" +b10011 3" +1d +b11011 -" +1t +b100110011010001011000000010111 | +b100110011010001011000000010111 B" +0a +0x +b11101101111011011100001001101101 &" +b11101101111011011100001001101101 ?" +b1100 %" +b1100 *" +b1100 1" +b100 } +b100 (" +b100 +" +b11110110000010000001010110110010 0 +b11110110000010000001010110110010 M +b11110110000010000001010110110010 f +b11110110000010000001010110110010 !" +0. +1% +#6567010 +b11101101111011011100001001101101 O" +#6567500 +b1000 5 +b1000 L +b1000 W +14 +b10010 7 +b10010 N +b10010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b10010 & +b10010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6568000 +1] +0i +b10001010001110101001111101010101 { +b10001010001110101001111101010101 A" +0u +1s +0=" +0>" +0@" +19" +1;" +0r +06" +b11111 3" +0d +0_ +b10111 0" +1o +1k +b1101 -" +14" +1v +0t +b100010100101000100001111100 | +b100010100101000100001111100 B" +02" +1/" +1x +b100110011010001011000000010111 0 +b100110011010001011000000010111 M +b100110011010001011000000010111 f +b100110011010001011000000010111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#6568500 +b1011 5 +b1011 L +b1011 W +b11 7 +b11 N +b11 V +b1010000010101010110001100011101 2 +b1010000010101010110001100011101 I +b1010000010101010110001100011101 Z +b10111 3 +b10111 J +b10111 Y +11 +b1011 ' +b1011 D +b11 & +b11 C +b1010000010101010110001100011101 , +b1010000010101010110001100011101 H +b10111 + +b10111 G +1- +1. +0% +#6569000 +0] +1u +0\ +0[ +1>" +1@" +0h +0g +0q +17" +b1000 3" +1^ +0_ +1<" +1` +b10100 0" +b10010001011001010001010110011010 { +b10010001011001010001010110011010 A" +b11100 -" +04" +0v +b11101100101001100110011100000111 | +b11101100101001100110011100000111 B" +1b +1a +12" +1n +1m +1w +b1010000010101010110001100011101 &" +b1010000010101010110001100011101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +b11 } +b11 (" +b11 +" +b10001010001110101001111101010101 / +b10001010001110101001111101010101 K +b10001010001110101001111101010101 e +b10001010001110101001111101010101 "" +b100010100101000100001111100 0 +b100010100101000100001111100 M +b100010100101000100001111100 f +b100010100101000100001111100 !" +0. +1% +#6569010 +b1010000010101010110001100011101 Z" +#6569500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b10111011001100010110011011100101 2 +b10111011001100010110011011100101 I +b10111011001100010110011011100101 Z +b0 ' +b0 D +0) +b11010 & +b11010 C +b10111011001100010110011011100101 , +b10111011001100010110011011100101 H +1. +0% +#6570000 +1i +0u +0s +1h +1g +09" +0;" +1q +15" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b101 -" +14" +1v +1y +b10100101100010010101100101011100 | +b10100101100010010101100101011100 B" +0n +0m +0/" +0w +b10010001011001010001010110011010 / +b10010001011001010001010110011010 K +b10010001011001010001010110011010 e +b10010001011001010001010110011010 "" +b11101100101001100110011100000111 0 +b11101100101001100110011100000111 M +b11101100101001100110011100000111 f +b11101100101001100110011100000111 !" +b10111011001100010110011011100101 &" +b10111011001100010110011011100101 ?" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +0. +1% +#6570010 +b10111011001100010110011011100101 Z" +#6570500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b11001010001110100100111010011111 2 +b11001010001110100100111010011111 I +b11001010001110100100111010011111 Z +b11011 3 +b11011 J +b11011 Y +b11 ' +b11 D +1) +b0 & +b0 C +0( +b11001010001110100100111010011111 , +b11001010001110100100111010011111 H +b11011 + +b11011 G +1. +0% +#6571000 +1=" +1c +b11101100101001100110011100000111 { +b11101100101001100110011100000111 A" +1s +0>" +0h +0g +1;" +1r +05" +07" +b100 3" +0^ +b11100 0" +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b11001010001110100100111010011111 &" +b11001010001110100100111010011111 ?" +b11011 %" +b11011 *" +b11011 1" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100101100010010101100101011100 0 +b10100101100010010101100101011100 M +b10100101100010010101100101011100 f +b10100101100010010101100101011100 !" +0. +1% +#6571010 +b11001010001110100100111010011111 ^" +#6571500 +b0 5 +b0 L +b0 W +04 +b1011011010100111000110110001100 2 +b1011011010100111000110110001100 I +b1011011010100111000110110001100 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b1011011010100111000110110001100 , +b1011011010100111000110110001100 H +b1 + +b1 G +1. +0% +#6572000 +1_ +1] +1\ +1@" +0=" +1h +1g +0;" +b11110 3" +0<" +0` +0c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0n +0m +0/" +b11101100101001100110011100000111 / +b11101100101001100110011100000111 K +b11101100101001100110011100000111 e +b11101100101001100110011100000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011011010100111000110110001100 &" +b1011011010100111000110110001100 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6572010 +b1011011010100111000110110001100 D" +#6572500 +b100110101011101001101010000010 2 +b100110101011101001101010000010 I +b100110101011101001101010000010 Z +b1010 3 +b1010 J +b1010 Y +b100110101011101001101010000010 , +b100110101011101001101010000010 H +b1010 + +b1010 G +1. +0% +#6573000 +0] +0\ +1[ +1=" +b10101 3" +1c +1b +0a +b100110101011101001101010000010 &" +b100110101011101001101010000010 ?" +b1010 %" +b1010 *" +b1010 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6573010 +b100110101011101001101010000010 M" +#6573500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6574000 +1] +1\ +0=" +0@" +b11111 3" +0c +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6574500 +b1101 5 +b1101 L +b1101 W +14 +b11110 7 +b11110 N +b11110 V +16 +b1101 ' +b1101 D +1) +b11110 & +b11110 C +1( +1. +0% +#6575000 +0i +b11111100111010110100111111001 { +b11111100111010110100111111001 A" +0s +b100101011110000111101101110100 | +b100101011110000111101101110100 B" +0g +19" +1:" +1;" +0r +15" +16" +17" +b10010 0" +0j +0o +1p +1k +b1 -" +0t +0y +1z +0u +14" +1v +1m +1/" +1x +1," +b1101 ~ +b1101 )" +b1101 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#6575500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b10011110111111110111000010011001 2 +b10011110111111110111000010011001 I +b10011110111111110111000010011001 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b1111 & +b1111 C +b10011110111111110111000010011001 , +b10011110111111110111000010011001 H +b1010 + +b1010 G +1- +1. +0% +#6576000 +0] +1i +1u +0\ +1=" +1@" +1g +09" +0:" +0;" +0q +17" +b10101 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +04" +0v +b110111001000111111011001000000 | +b110111001000111111011001000000 B" +1b +12" +0m +0/" +1w +b11111100111010110100111111001 / +b11111100111010110100111111001 K +b11111100111010110100111111001 e +b11111100111010110100111111001 "" +b100101011110000111101101110100 0 +b100101011110000111101101110100 M +b100101011110000111101101110100 f +b100101011110000111101101110100 !" +b10011110111111110111000010011001 &" +b10011110111111110111000010011001 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +0. +1% +#6576010 +b10011110111111110111000010011001 M" +#6576500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6577000 +1] +1s +1\ +0=" +0@" +1r +1q +05" +06" +07" +b11111 3" +0c +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110111001000111111011001000000 0 +b110111001000111111011001000000 M +b110111001000111111011001000000 f +b110111001000111111011001000000 !" +0. +1% +#6577500 +b10000 7 +b10000 N +b10000 V +16 +b1110110000001000011110111001100 2 +b1110110000001000011110111001100 I +b1110110000001000011110111001100 Z +b11111 3 +b11111 J +b11111 Y +11 +b10000 & +b10000 C +1( +b1110110000001000011110111001100 , +b1110110000001000011110111001100 H +b11111 + +b11111 G +1- +1. +0% +#6578000 +0] +b110100100111000110100001010110 | +b110100100111000110100001010110 B" +0\ +0[ +1=" +1>" +1@" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1111 -" +0u +14" +1v +1b +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110110000001000011110111001100 &" +b1110110000001000011110111001100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#6578500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b11010111100010111110010101001 2 +b11010111100010111110010101001 I +b11010111100010111110010101001 Z +b11011 3 +b11011 J +b11011 Y +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b11010111100010111110010101001 , +b11010111100010111110010101001 H +b11011 + +b11011 G +1. +0% +#6579000 +1c +b100010100101000100001111100 { +b100010100101000100001111100 A" +1=" +0>" +0h +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b100 3" +0d +b1101 0" +0k +18" +1l +b11111 -" +04" +0v +1n +1/" +0," +b11010111100010111110010101001 &" +b11010111100010111110010101001 ?" +b11011 %" +b11011 *" +b11011 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110100100111000110100001010110 0 +b110100100111000110100001010110 M +b110100100111000110100001010110 f +b110100100111000110100001010110 !" +0. +1% +#6579010 +b11010111100010111110010101001 ^" +#6579500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6580000 +1] +1\ +1[ +0=" +0@" +1h +0;" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0n +0/" +b100010100101000100001111100 / +b100010100101000100001111100 K +b100010100101000100001111100 e +b100010100101000100001111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6580500 +b1111011111111110000101110000000 2 +b1111011111111110000101110000000 I +b1111011111111110000101110000000 Z +b1001 3 +b1001 J +b1001 Y +11 +b1111011111111110000101110000000 , +b1111011111111110000101110000000 H +b1001 + +b1001 G +1- +1. +0% +#6581000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b1111011111111110000101110000000 &" +b1111011111111110000101110000000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6581010 +b1111011111111110000101110000000 L" +#6581500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6582000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6582500 +b1001 5 +b1001 L +b1001 W +14 +b10011011010111000011100111011000 2 +b10011011010111000011100111011000 I +b10011011010111000011100111011000 Z +b1101 3 +b1101 J +b1101 Y +11 +b1001 ' +b1001 D +1) +b10011011010111000011100111011000 , +b10011011010111000011100111011000 H +b1101 + +b1101 G +1- +1. +0% +#6583000 +0] +0i +b1111011111111110000101110000000 { +b1111011111111110000101110000000 A" +0[ +1=" +1>" +1@" +0g +19" +1;" +b10010 3" +0^ +0c +1d +1_ +b10110 0" +1o +1k +1a +12" +1m +1/" +b10011011010111000011100111011000 &" +b10011011010111000011100111011000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#6583010 +b10011011010111000011100111011000 P" +#6583500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6584000 +1] +1i +1[ +0=" +0>" +0@" +1g +09" +0;" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0m +0/" +b1111011111111110000101110000000 / +b1111011111111110000101110000000 K +b1111011111111110000101110000000 e +b1111011111111110000101110000000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6584500 +b10110 5 +b10110 L +b10110 W +14 +b100 7 +b100 N +b100 V +16 +b10110 ' +b10110 D +1) +b100 & +b100 C +1( +1. +0% +#6585000 +0i +b101011001110110010111001001101 { +b101011001110110010111001001101 A" +0s +b100110011010001011000000010111 | +b100110011010001011000000010111 B" +0h +1:" +1;" +16" +17" +b1001 0" +1j +0k +18" +1l +b11011 -" +1t +1u +1n +1/" +1," +b10110 ~ +b10110 )" +b10110 ." +1$" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6585500 +b110 5 +b110 L +b110 W +b10110 7 +b10110 N +b10110 V +b110 ' +b110 D +b10110 & +b10110 C +1. +0% +#6586000 +1k +0u +1;" +b1001000110001010000110100100111 { +b1001000110001010000110100100111 A" +0r +b11001 0" +08" +0l +b1001 -" +14" +1v +b101011001110110010111001001101 | +b101011001110110010111001001101 B" +1x +b101011001110110010111001001101 / +b101011001110110010111001001101 K +b101011001110110010111001001101 e +b101011001110110010111001001101 "" +b100110011010001011000000010111 0 +b100110011010001011000000010111 M +b100110011010001011000000010111 f +b100110011010001011000000010111 !" +b110 ~ +b110 )" +b110 ." +b10110 } +b10110 (" +b10110 +" +0. +1% +#6586500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b10101011110001011110110010010010 2 +b10101011110001011110110010010010 I +b10101011110001011110110010010010 Z +b11100 3 +b11100 J +b11100 Y +11 +b11110 ' +b11110 D +b0 & +b0 C +0( +b10101011110001011110110010010010 , +b10101011110001011110110010010010 H +b11100 + +b11100 G +1- +1. +0% +#6587000 +0] +0k +0j +1s +1=" +1>" +1@" +b100101011110000111101101110100 { +b100101011110000111101101110100 A" +19" +1r +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b1 0" +18" +1l +1p +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0," +b10101011110001011110110010010010 &" +b10101011110001011110110010010010 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +b1001000110001010000110100100111 / +b1001000110001010000110100100111 K +b1001000110001010000110100100111 e +b1001000110001010000110100100111 "" +b101011001110110010111001001101 0 +b101011001110110010111001001101 M +b101011001110110010111001001101 f +b101011001110110010111001001101 !" +0. +1% +#6587010 +b10101011110001011110110010010010 _" +#6587500 +b11000 5 +b11000 L +b11000 W +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6588000 +1] +1o +0s +b110111001000111111011001000000 | +b110111001000111111011001000000 B" +0=" +0>" +0@" +1h +19" +0:" +0r +0q +15" +16" +17" +b11111 3" +0d +0<" +0` +b111 0" +0p +b10110010001101111001100100011001 { +b10110010001101111001100100011001 A" +b10000 -" +0t +0y +1z +1u +02" +0n +1x +1w +1," +b100101011110000111101101110100 / +b100101011110000111101101110100 K +b100101011110000111101101110100 e +b100101011110000111101101110100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#6588500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b11001 ' +b11001 D +b0 & +b0 C +0( +1. +0% +#6589000 +1s +0g +1r +1q +05" +06" +07" +b110 0" +b11111110010000111001110110100000 { +b11111110010000111001110110100000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +0x +0w +0," +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +b10110010001101111001100100011001 / +b10110010001101111001100100011001 K +b10110010001101111001100100011001 e +b10110010001101111001100100011001 "" +b110111001000111111011001000000 0 +b110111001000111111011001000000 M +b110111001000111111011001000000 f +b110111001000111111011001000000 !" +0. +1% +#6589500 +b0 5 +b0 L +b0 W +04 +b10000101000001000111110100001000 2 +b10000101000001000111110100001000 I +b10000101000001000111110100001000 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +b10000101000001000111110100001000 , +b10000101000001000111110100001000 H +b1011 + +b1011 G +1- +1. +0% +#6590000 +0] +1i +0\ +0[ +1=" +1@" +1g +09" +0;" +b10100 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0m +0/" +b11111110010000111001110110100000 / +b11111110010000111001110110100000 K +b11111110010000111001110110100000 e +b11111110010000111001110110100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000101000001000111110100001000 &" +b10000101000001000111110100001000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6590010 +b10000101000001000111110100001000 N" +#6590500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6591000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6591500 +b1100100011110011101110111101100 2 +b1100100011110011101110111101100 I +b1100100011110011101110111101100 Z +b10111 3 +b10111 J +b10111 Y +11 +b1100100011110011101110111101100 , +b1100100011110011101110111101100 H +b10111 + +b10111 G +1- +1. +0% +#6592000 +0] +0\ +0[ +1>" +1@" +b1000 3" +1^ +0_ +1<" +1` +1b +1a +12" +b1100100011110011101110111101100 &" +b1100100011110011101110111101100 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +0. +1% +#6592010 +b1100100011110011101110111101100 Z" +#6592500 +b101101111101001001101010101011 2 +b101101111101001001101010101011 I +b101101111101001001101010101011 Z +b10100 3 +b10100 J +b10100 Y +b101101111101001001101010101011 , +b101101111101001001101010101011 H +b10100 + +b10100 G +1. +0% +#6593000 +1\ +1[ +b1011 3" +0b +0a +b101101111101001001101010101011 &" +b101101111101001001101010101011 ?" +b10100 %" +b10100 *" +b10100 1" +0. +1% +#6593010 +b101101111101001001101010101011 W" +#6593500 +b1001110001100111001100001000010 2 +b1001110001100111001100001000010 I +b1001110001100111001100001000010 Z +b11001 3 +b11001 J +b11001 Y +b1001110001100111001100001000010 , +b1001110001100111001100001000010 H +b11001 + +b11001 G +1. +0% +#6594000 +1=" +1c +0[ +0>" +b110 3" +0^ +1a +b1001110001100111001100001000010 &" +b1001110001100111001100001000010 ?" +b11001 %" +b11001 *" +b11001 1" +0. +1% +#6594010 +b1001110001100111001100001000010 \" +#6594500 +b10001 5 +b10001 L +b10001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6595000 +1] +b1110111010000100010100110101001 { +b1110111010000100010100110101001 A" +1[ +0=" +0@" +0g +1;" +b11111 3" +0c +0<" +0` +b1110 0" +0k +18" +1l +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#6595500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +1. +0% +#6596000 +0s +b11101101111011011100001001101101 | +b11101101111011011100001001101101 B" +1g +0;" +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +0m +0/" +1," +b1110111010000100010100110101001 / +b1110111010000100010100110101001 K +b1110111010000100010100110101001 e +b1110111010000100010100110101001 "" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#6596500 +b111 7 +b111 N +b111 V +b10111010010111011101010010110100 2 +b10111010010111011101010010110100 I +b10111010010111011101010010110100 Z +b1010 3 +b1010 J +b1010 Y +11 +b111 & +b111 C +b10111010010111011101010010110100 , +b10111010010111011101010010110100 H +b1010 + +b1010 G +1- +1. +0% +#6597000 +0] +1t +0\ +1=" +1@" +0r +0q +05" +16" +b10101 3" +1c +1_ +b11000 -" +0z +b11101111110111001110000100010111 | +b11101111110111001110000100010111 B" +1b +12" +1x +1w +b10111010010111011101010010110100 &" +b10111010010111011101010010110100 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b111 } +b111 (" +b111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101101111011011100001001101101 0 +b11101101111011011100001001101101 M +b11101101111011011100001001101101 f +b11101101111011011100001001101101 !" +0. +1% +#6597010 +b10111010010111011101010010110100 M" +#6597500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b11010011000010111011001110011111 2 +b11010011000010111011001110011111 I +b11010011000010111011001110011111 Z +b1011 3 +b1011 J +b1011 Y +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b11010011000010111011001110011111 , +b11010011000010111011001110011111 H +b1011 + +b1011 G +1. +0% +#6598000 +b100010100101000100001111100 { +b100010100101000100001111100 A" +1s +0[ +0h +1;" +1r +1q +06" +07" +b10100 3" +b1101 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +1n +1/" +0x +0w +0," +b11101111110111001110000100010111 0 +b11101111110111001110000100010111 M +b11101111110111001110000100010111 f +b11101111110111001110000100010111 !" +b11010011000010111011001110011111 &" +b11010011000010111011001110011111 ?" +b1011 %" +b1011 *" +b1011 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6598010 +b11010011000010111011001110011111 N" +#6598500 +b1100 5 +b1100 L +b1100 W +b10111 7 +b10111 N +b10111 V +16 +b10010001101011101110110100110101 2 +b10010001101011101110110100110101 I +b10010001101011101110110100110101 Z +b101 3 +b101 J +b101 Y +b1100 ' +b1100 D +b10111 & +b10111 C +1( +b10010001101011101110110100110101 , +b10010001101011101110110100110101 H +b101 + +b101 G +1. +0% +#6599000 +1>" +1^ +1k +0i +0s +b1100100011110011101110111101100 | +b1100100011110011101110111101100 B" +1\ +0=" +1h +1;" +19" +1:" +0r +0q +16" +17" +b11010 3" +0c +b10011 0" +08" +0l +0j +0o +1p +b11101101111011011100001001101101 { +b11101101111011011100001001101101 A" +b1000 -" +1t +0u +14" +1v +0b +0n +1x +1w +1," +b10010001101011101110110100110101 &" +b10010001101011101110110100110101 ?" +b101 %" +b101 *" +b101 1" +b1100 ~ +b1100 )" +b1100 ." +b10111 } +b10111 (" +b10111 +" +1#" +b100010100101000100001111100 / +b100010100101000100001111100 K +b100010100101000100001111100 e +b100010100101000100001111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6599010 +b10010001101011101110110100110101 H" +#6599500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6600000 +1] +0k +1j +1s +1[ +0>" +0@" +0h +09" +1:" +1r +1q +06" +07" +b11111 3" +0^ +0_ +b1001 0" +18" +1l +0p +b101011001110110010111001001101 { +b101011001110110010111001001101 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +0x +0w +0," +b11101101111011011100001001101101 / +b11101101111011011100001001101101 K +b11101101111011011100001001101101 e +b11101101111011011100001001101101 "" +b1100100011110011101110111101100 0 +b1100100011110011101110111101100 M +b1100100011110011101110111101100 f +b1100100011110011101110111101100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6600500 +b1001 5 +b1001 L +b1001 W +b1001 ' +b1001 D +1. +0% +#6601000 +19" +1k +1o +1h +0g +1;" +0:" +b10110 0" +08" +0l +0j +b1111011111111110000101110000000 { +b1111011111111110000101110000000 A" +0n +1m +b1001 ~ +b1001 )" +b1001 ." +b101011001110110010111001001101 / +b101011001110110010111001001101 K +b101011001110110010111001001101 e +b101011001110110010111001001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6601500 +b11 5 +b11 L +b11 W +b1010 7 +b1010 N +b1010 V +16 +b11 ' +b11 D +b1010 & +b1010 C +1( +1. +0% +#6602000 +1i +0s +b10111010010111011101010010110100 | +b10111010010111011101010010110100 B" +0h +09" +0r +15" +17" +b11100 0" +0o +b11101100101001100110011100000111 { +b11101100101001100110011100000111 A" +b10101 -" +1y +1u +1n +1x +1," +b1111011111111110000101110000000 / +b1111011111111110000101110000000 K +b1111011111111110000101110000000 e +b1111011111111110000101110000000 "" +b11 ~ +b11 )" +b11 ." +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#6602500 +b1010 5 +b1010 L +b1010 W +b11011 7 +b11011 N +b11011 V +b110101101101010100000100101100 2 +b110101101101010100000100101100 I +b110101101101010100000100101100 Z +b10111 3 +b10111 J +b10111 Y +11 +b1010 ' +b1010 D +b11011 & +b11011 C +b110101101101010100000100101100 , +b110101101101010100000100101100 H +b10111 + +b10111 G +1- +1. +0% +#6603000 +0] +0i +0u +0\ +0[ +1>" +1@" +1g +19" +0q +b1000 3" +1^ +0_ +1<" +1` +b10101 0" +1o +b10111010010111011101010010110100 { +b10111010010111011101010010110100 A" +b100 -" +14" +1v +b11010111100010111110010101001 | +b11010111100010111110010101001 B" +1b +1a +12" +0m +1w +b110101101101010100000100101100 &" +b110101101101010100000100101100 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b11011 } +b11011 (" +b11011 +" +b11101100101001100110011100000111 / +b11101100101001100110011100000111 K +b11101100101001100110011100000111 e +b11101100101001100110011100000111 "" +b10111010010111011101010010110100 0 +b10111010010111011101010010110100 M +b10111010010111011101010010110100 f +b10111010010111011101010010110100 !" +0. +1% +#6603010 +b110101101101010100000100101100 Z" +#6603500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1010001110010011001010100010000 2 +b1010001110010011001010100010000 I +b1010001110010011001010100010000 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1010001110010011001010100010000 , +b1010001110010011001010100010000 H +b111 + +b111 G +1. +0% +#6604000 +1_ +1i +1s +1@" +1h +09" +0;" +1r +1q +05" +07" +b11000 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0x +0w +0," +b10111010010111011101010010110100 / +b10111010010111011101010010110100 K +b10111010010111011101010010110100 e +b10111010010111011101010010110100 "" +b11010111100010111110010101001 0 +b11010111100010111110010101001 M +b11010111100010111110010101001 f +b11010111100010111110010101001 !" +b1010001110010011001010100010000 &" +b1010001110010011001010100010000 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6604010 +b1010001110010011001010100010000 J" +#6604500 +b11100 7 +b11100 N +b11100 V +16 +b11111010111000011110101001100010 2 +b11111010111000011110101001100010 I +b11111010111000011110101001100010 Z +b10010 3 +b10010 J +b10010 Y +b11100 & +b11100 C +1( +b11111010111000011110101001100010 , +b11111010111000011110101001100010 H +b10010 + +b10010 G +1. +0% +#6605000 +0_ +1] +0s +b10101011110001011110110010010010 | +b10101011110001011110110010010010 B" +1[ +0>" +15" +16" +17" +b1101 3" +1<" +1` +0^ +b11 -" +0t +0y +1z +0u +14" +1v +0a +1," +b11111010111000011110101001100010 &" +b11111010111000011110101001100010 ?" +b10010 %" +b10010 *" +b10010 1" +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6605010 +b11111010111000011110101001100010 U" +#6605500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6606000 +1s +1\ +0@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11111 -" +0z +04" +0v +0b +02" +0," +b10101011110001011110110010010010 0 +b10101011110001011110110010010010 M +b10101011110001011110110010010010 f +b10101011110001011110110010010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6606500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#6607000 +0i +b10111010010111011101010010110100 { +b10111010010111011101010010110100 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6607500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b0 ' +b0 D +0) +b110 & +b110 C +1( +1. +0% +#6608000 +1i +0s +b1001000110001010000110100100111 | +b1001000110001010000110100100111 B" +1h +09" +0;" +0r +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11001 -" +1t +1u +0n +0/" +1x +1," +b10111010010111011101010010110100 / +b10111010010111011101010010110100 K +b10111010010111011101010010110100 e +b10111010010111011101010010110100 "" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#6608500 +b0 7 +b0 N +b0 V +06 +b1001100001110111110101011111000 2 +b1001100001110111110101011111000 I +b1001100001110111110101011111000 Z +b110 3 +b110 J +b110 Y +11 +b0 & +b0 C +0( +b1001100001110111110101011111000 , +b1001100001110111110101011111000 H +b110 + +b110 G +1- +1. +0% +#6609000 +0] +1s +0\ +1>" +1@" +1r +06" +07" +b11001 3" +1^ +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0," +b1001100001110111110101011111000 &" +b1001100001110111110101011111000 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001000110001010000110100100111 0 +b1001000110001010000110100100111 M +b1001000110001010000110100100111 f +b1001000110001010000110100100111 !" +0. +1% +#6609010 +b1001100001110111110101011111000 I" +#6609500 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6610000 +1] +b1110010011010110101010001111011 | +b1110010011010110101010001111011 B" +1\ +0>" +0@" +0r +0q +17" +b11111 3" +0^ +0_ +b1100 -" +0u +14" +1v +0b +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#6610500 +b0 7 +b0 N +b0 V +06 +b1000000110100001010110001110000 2 +b1000000110100001010110001110000 I +b1000000110100001010110001110000 Z +b10 3 +b10 J +b10 Y +11 +b0 & +b0 C +0( +b1000000110100001010110001110000 , +b1000000110100001010110001110000 H +b10 + +b10 G +1- +1. +0% +#6611000 +0\ +1@" +1r +1q +07" +b11101 3" +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0x +0w +0," +b1000000110100001010110001110000 &" +b1000000110100001010110001110000 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1110010011010110101010001111011 0 +b1110010011010110101010001111011 M +b1110010011010110101010001111011 f +b1110010011010110101010001111011 !" +0. +1% +#6611010 +b1000000110100001010110001110000 E" +#6611500 +b1100 5 +b1100 L +b1100 W +14 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6612000 +0i +b11101101111011011100001001101101 { +b11101101111011011100001001101101 A" +b1110010011010110101010001111011 | +b1110010011010110101010001111011 B" +1\ +0@" +19" +1:" +1;" +0r +0q +17" +b11111 3" +0_ +b10011 0" +0j +0o +1p +1k +b1100 -" +0u +14" +1v +0b +02" +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#6612500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +b0 ' +b0 D +0) +b1010 & +b1010 C +1. +0% +#6613000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +0s +09" +0:" +0;" +1q +17" +15" +b11111 0" +0p +0k +b10101 -" +04" +0v +1y +b10111010010111011101010010110100 | +b10111010010111011101010010110100 B" +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +b11101101111011011100001001101101 / +b11101101111011011100001001101101 K +b11101101111011011100001001101101 e +b11101101111011011100001001101101 "" +b1110010011010110101010001111011 0 +b1110010011010110101010001111011 M +b1110010011010110101010001111011 f +b1110010011010110101010001111011 !" +0. +1% +#6613500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6614000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111010010111011101010010110100 0 +b10111010010111011101010010110100 M +b10111010010111011101010010110100 f +b10111010010111011101010010110100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6614500 +b10100 7 +b10100 N +b10100 V +16 +b10111011011010111100000100010000 2 +b10111011011010111100000100010000 I +b10111011011010111100000100010000 Z +b1011 3 +b1011 J +b1011 Y +11 +b10100 & +b10100 C +1( +b10111011011010111100000100010000 , +b10111011011010111100000100010000 H +b1011 + +b1011 G +1- +1. +0% +#6615000 +0] +0s +b101101111101001001101010101011 | +b101101111101001001101010101011 B" +0\ +0[ +1=" +1@" +16" +17" +b10100 3" +1c +1_ +b1011 -" +1t +0u +14" +1v +1b +1a +12" +1," +b10111011011010111100000100010000 &" +b10111011011010111100000100010000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6615010 +b10111011011010111100000100010000 N" +#6615500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6616000 +1] +1s +1\ +1[ +0=" +0@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0_ +b11111 -" +0t +04" +0v +0b +0a +02" +0," +b101101111101001001101010101011 0 +b101101111101001001101010101011 M +b101101111101001001101010101011 f +b101101111101001001101010101011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6616500 +b11101 7 +b11101 N +b11101 V +16 +b1110101000010000001100011100000 2 +b1110101000010000001100011100000 I +b1110101000010000001100011100000 Z +b10 3 +b10 J +b10 Y +11 +b11101 & +b11101 C +1( +b1110101000010000001100011100000 , +b1110101000010000001100011100000 H +b10 + +b10 G +1- +1. +0% +#6617000 +0s +b10101011111100110101110011111000 | +b10101011111100110101110011111000 B" +0\ +1@" +0q +15" +16" +17" +b11101 3" +1_ +b10 -" +0t +0y +1z +0u +14" +1v +1b +12" +1w +1," +b1110101000010000001100011100000 &" +b1110101000010000001100011100000 ?" +b10 %" +b10 *" +b10 1" +1'" +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6617010 +b1110101000010000001100011100000 E" +#6617500 +b1010 7 +b1010 N +b1010 V +b10100010001011100111001000010100 2 +b10100010001011100111001000010100 I +b10100010001011100111001000010100 Z +b11010 3 +b11010 J +b11010 Y +b1010 & +b1010 C +b10100010001011100111001000010100 , +b10100010001011100111001000010100 H +b11010 + +b11010 G +1. +0% +#6618000 +0_ +0] +1u +1y +1=" +0r +1q +17" +15" +06" +b101 3" +1<" +1` +1c +b10101 -" +04" +0v +0z +b10111010010111011101010010110100 | +b10111010010111011101010010110100 B" +1x +0w +b10101011111100110101110011111000 0 +b10101011111100110101110011111000 M +b10101011111100110101110011111000 f +b10101011111100110101110011111000 !" +b10100010001011100111001000010100 &" +b10100010001011100111001000010100 ?" +b11010 %" +b11010 *" +b11010 1" +b1010 } +b1010 (" +b1010 +" +0. +1% +#6618010 +b10100010001011100111001000010100 ]" +#6618500 +b0 7 +b0 N +b0 V +06 +b11010110000000101000110101011111 2 +b11010110000000101000110101011111 I +b11010110000000101000110101011111 Z +b1101 3 +b1101 J +b1101 Y +b0 & +b0 C +0( +b11010110000000101000110101011111 , +b11010110000000101000110101011111 H +b1101 + +b1101 G +1. +0% +#6619000 +1_ +0c +1s +1\ +0[ +1@" +1>" +1r +05" +07" +b10010 3" +0<" +0` +1d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1a +0x +0," +b11010110000000101000110101011111 &" +b11010110000000101000110101011111 ?" +b1101 %" +b1101 *" +b1101 1" +b0 } +b0 (" +b0 +" +0#" +b10111010010111011101010010110100 0 +b10111010010111011101010010110100 M +b10111010010111011101010010110100 f +b10111010010111011101010010110100 !" +0. +1% +#6619010 +b11010110000000101000110101011111 P" +#6619500 +b11001 5 +b11001 L +b11001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6620000 +1] +0i +b1001110001100111001100001000010 { +b1001110001100111001100001000010 A" +1[ +0=" +0>" +0@" +0g +19" +1;" +b11111 3" +0d +0_ +b110 0" +1o +0k +18" +1l +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#6620500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +1. +0% +#6621000 +1i +0s +b1110110000001000011110111001100 | +b1110110000001000011110111001100 B" +1g +09" +0;" +0r +0q +15" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b1001110001100111001100001000010 / +b1001110001100111001100001000010 K +b1001110001100111001100001000010 e +b1001110001100111001100001000010 "" +0. +1% +#6621500 +b110 7 +b110 N +b110 V +b110 & +b110 C +1. +0% +#6622000 +1u +1t +1q +17" +05" +16" +b11001 -" +04" +0v +0z +b1001100001110111110101011111000 | +b1001100001110111110101011111000 B" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110110000001000011110111001100 0 +b1110110000001000011110111001100 M +b1110110000001000011110111001100 f +b1110110000001000011110111001100 !" +b110 } +b110 (" +b110 +" +0. +1% +#6622500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b10111010011100101001001001101110 2 +b10111010011100101001001001101110 I +b10111010011100101001001001101110 Z +b11010 3 +b11010 J +b11010 Y +11 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b10111010011100101001001001101110 , +b10111010011100101001001001101110 H +b11010 + +b11010 G +1- +1. +0% +#6623000 +0] +0i +b10010001101011101110110100110101 { +b10010001101011101110110100110101 A" +1s +0\ +1=" +1@" +0g +1:" +1;" +1r +06" +07" +b101 3" +1c +0_ +1<" +1` +b11010 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +1/" +0x +0," +b10111010011100101001001001101110 &" +b10111010011100101001001001101110 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1001100001110111110101011111000 0 +b1001100001110111110101011111000 M +b1001100001110111110101011111000 f +b1001100001110111110101011111000 !" +0. +1% +#6623010 +b10111010011100101001001001101110 ]" +#6623500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6624000 +1] +1i +1\ +0=" +0@" +1g +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0m +0/" +b10010001101011101110110100110101 / +b10010001101011101110110100110101 K +b10010001101011101110110100110101 e +b10010001101011101110110100110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6624500 +b1000111001010101110001000110 2 +b1000111001010101110001000110 I +b1000111001010101110001000110 Z +b100 3 +b100 J +b100 Y +11 +b1000111001010101110001000110 , +b1000111001010101110001000110 H +b100 + +b100 G +1- +1. +0% +#6625000 +0] +1>" +1@" +b11011 3" +1^ +1_ +12" +b1000111001010101110001000110 &" +b1000111001010101110001000110 ?" +b100 %" +b100 *" +b100 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6625010 +b1000111001010101110001000110 G" +#6625500 +b11000 5 +b11000 L +b11000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6626000 +1] +0i +b10110010001101111001100100011001 { +b10110010001101111001100100011001 A" +0>" +0@" +19" +1;" +b11111 3" +0^ +0_ +b111 0" +1o +0k +18" +1l +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#6626500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +1. +0% +#6627000 +1i +0s +b10111011011010111100000100010000 | +b10111011011010111100000100010000 B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +17" +b11111 0" +0o +08" +0l +b10100 -" +1y +1u +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b10110010001101111001100100011001 / +b10110010001101111001100100011001 K +b10110010001101111001100100011001 e +b10110010001101111001100100011001 "" +0. +1% +#6627500 +b11001 5 +b11001 L +b11001 W +14 +b11011 7 +b11011 N +b11011 V +b1111001001001101101010000000000 2 +b1111001001001101101010000000000 I +b1111001001001101101010000000000 Z +b1 3 +b1 J +b1 Y +11 +b11001 ' +b11001 D +1) +b11011 & +b11011 C +b1111001001001101101010000000000 , +b1111001001001101101010000000000 H +b1 + +b1 G +1- +1. +0% +#6628000 +0i +b1001110001100111001100001000010 { +b1001110001100111001100001000010 A" +0u +0[ +1@" +0g +19" +1;" +b11010111100010111110010101001 | +b11010111100010111110010101001 B" +b11110 3" +1_ +b110 0" +1o +0k +18" +1l +b100 -" +14" +1v +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111011011010111100000100010000 0 +b10111011011010111100000100010000 M +b10111011011010111100000100010000 f +b10111011011010111100000100010000 !" +b1111001001001101101010000000000 &" +b1111001001001101101010000000000 ?" +b1 %" +b1 *" +b1 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#6628010 +b1111001001001101101010000000000 D" +#6628500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6629000 +1i +1s +1[ +0@" +1g +09" +0;" +1r +1q +05" +07" +b11111 3" +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001110001100111001100001000010 / +b1001110001100111001100001000010 K +b1001110001100111001100001000010 e +b1001110001100111001100001000010 "" +b11010111100010111110010101001 0 +b11010111100010111110010101001 M +b11010111100010111110010101001 f +b11010111100010111110010101001 !" +0. +1% +#6629500 +1. +0% +#6630000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6630500 +b10001 5 +b10001 L +b10001 W +14 +b10001 7 +b10001 N +b10001 V +16 +b111010011100011101010110100000 2 +b111010011100011101010110100000 I +b111010011100011101010110100000 Z +b11 3 +b11 J +b11 Y +11 +b10001 ' +b10001 D +1) +b10001 & +b10001 C +1( +b111010011100011101010110100000 , +b111010011100011101010110100000 H +b11 + +b11 G +1- +1. +0% +#6631000 +b1110111010000100010100110101001 { +b1110111010000100010100110101001 A" +b1110111010000100010100110101001 | +b1110111010000100010100110101001 B" +0\ +0[ +1@" +0g +1;" +0q +17" +b11100 3" +1_ +b1110 0" +0k +18" +1l +b1110 -" +0u +14" +1v +1b +1a +12" +1m +1/" +1w +1," +b111010011100011101010110100000 &" +b111010011100011101010110100000 ?" +b11 %" +b11 *" +b11 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#6631010 +b111010011100011101010110100000 F" +#6631500 +b10110 5 +b10110 L +b10110 W +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6632000 +0i +0s +b10101011111100110101110011111000 | +b10101011111100110101110011111000 B" +1\ +1[ +0@" +0h +1g +1:" +15" +16" +b11111 3" +0_ +b1001 0" +1j +b101011001110110010111001001101 { +b101011001110110010111001001101 A" +b10 -" +0t +0y +1z +0b +0a +02" +1n +0m +b1110111010000100010100110101001 / +b1110111010000100010100110101001 K +b1110111010000100010100110101001 e +b1110111010000100010100110101001 "" +b1110111010000100010100110101001 0 +b1110111010000100010100110101001 M +b1110111010000100010100110101001 f +b1110111010000100010100110101001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +b11101 } +b11101 (" +b11101 +" +0. +1% +#6632500 +b11011 5 +b11011 L +b11011 W +b0 7 +b0 N +b0 V +06 +b11011101101111110010011111000011 2 +b11011101101111110010011111000011 I +b11011101101111110010011111000011 Z +b1100 3 +b1100 J +b1100 Y +11 +b11011 ' +b11011 D +b0 & +b0 C +0( +b11011101101111110010011111000011 , +b11011101101111110010011111000011 H +b1100 + +b1100 G +1- +1. +0% +#6633000 +19" +0] +1o +1s +1=" +1>" +1@" +0g +0:" +1q +05" +06" +07" +b10011 3" +0^ +0c +1d +1_ +b100 0" +0j +b11010111100010111110010101001 { +b11010111100010111110010101001 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1m +0w +0," +b11011101101111110010011111000011 &" +b11011101101111110010011111000011 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +b0 } +b0 (" +b0 +" +0#" +b101011001110110010111001001101 / +b101011001110110010111001001101 K +b101011001110110010111001001101 e +b101011001110110010111001001101 "" +b10101011111100110101110011111000 0 +b10101011111100110101110011111000 M +b10101011111100110101110011111000 f +b10101011111100110101110011111000 !" +0. +1% +#6633010 +b11011101101111110010011111000011 O" +#6633500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6634000 +1] +1i +0s +b100101011110000111101101110100 | +b100101011110000111101101110100 B" +0=" +0>" +0@" +1h +1g +09" +0;" +0r +15" +16" +17" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +0t +0y +1z +0u +14" +1v +02" +0n +0m +0/" +1x +1," +b11010111100010111110010101001 / +b11010111100010111110010101001 K +b11010111100010111110010101001 e +b11010111100010111110010101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#6634500 +b10101 7 +b10101 N +b10101 V +b11011101011100010000111110010111 2 +b11011101011100010000111110010111 I +b11011101011100010000111110010111 Z +b1011 3 +b1011 J +b1011 Y +11 +b10101 & +b10101 C +b11011101011100010000111110010111 , +b11011101011100010000111110010111 H +b1011 + +b1011 G +1- +1. +0% +#6635000 +0] +1t +0\ +0[ +1=" +1@" +1r +0q +05" +16" +b10100 3" +1c +1_ +b1010 -" +0z +b11110111110110011000101111111111 | +b11110111110110011000101111111111 B" +1b +1a +12" +0x +1w +b11011101011100010000111110010111 &" +b11011101011100010000111110010111 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10101 } +b10101 (" +b10101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101011110000111101101110100 0 +b100101011110000111101101110100 M +b100101011110000111101101110100 f +b100101011110000111101101110100 !" +0. +1% +#6635010 +b11011101011100010000111110010111 N" +#6635500 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6636000 +1] +1u +0t +1\ +1[ +0=" +0@" +0r +1q +17" +15" +b11111 3" +0c +0_ +b10001 -" +04" +0v +1z +b10000111001100001000110000101 | +b10000111001100001000110000101 B" +0b +0a +02" +1x +0w +b11110111110110011000101111111111 0 +b11110111110110011000101111111111 M +b11110111110110011000101111111111 f +b11110111110110011000101111111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +0. +1% +#6636500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +1. +0% +#6637000 +b1110111010000100010100110101001 { +b1110111010000100010100110101001 A" +1s +0g +1;" +1r +05" +06" +07" +b1110 0" +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0," +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10000111001100001000110000101 0 +b10000111001100001000110000101 M +b10000111001100001000110000101 f +b10000111001100001000110000101 !" +0. +1% +#6637500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +1. +0% +#6638000 +0s +b11011101101111110010011111000011 | +b11011101101111110010011111000011 B" +1g +0;" +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +0m +0/" +1," +b1110111010000100010100110101001 / +b1110111010000100010100110101001 K +b1110111010000100010100110101001 e +b1110111010000100010100110101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#6638500 +b0 7 +b0 N +b0 V +06 +b110111101100111010010011000010 2 +b110111101100111010010011000010 I +b110111101100111010010011000010 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 & +b0 C +0( +b110111101100111010010011000010 , +b110111101100111010010011000010 H +b11111 + +b11111 G +1- +1. +0% +#6639000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1>" +1@" +05" +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0z +0u +1b +1a +12" +0," +b110111101100111010010011000010 &" +b110111101100111010010011000010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011101101111110010011111000011 0 +b11011101101111110010011111000011 M +b11011101101111110010011111000011 f +b11011101101111110010011111000011 !" +0. +1% +#6639500 +b10001 5 +b10001 L +b10001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6640000 +1] +b1110111010000100010100110101001 { +b1110111010000100010100110101001 A" +1\ +1[ +0=" +0>" +0@" +0g +1;" +b11111 3" +0d +0<" +0` +b1110 0" +0k +18" +1l +0b +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#6640500 +b0 5 +b0 L +b0 W +04 +b10110111001001001001001001000101 2 +b10110111001001001001001001000101 I +b10110111001001001001001001000101 Z +11 +b0 ' +b0 D +0) +b10110111001001001001001001000101 , +b10110111001001001001001001000101 H +1- +1. +0% +#6641000 +1@" +1g +0;" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0m +0/" +b10110111001001001001001001000101 &" +b10110111001001001001001001000101 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110111010000100010100110101001 / +b1110111010000100010100110101001 K +b1110111010000100010100110101001 e +b1110111010000100010100110101001 "" +0. +1% +#6641010 +b10110111001001001001001001000101 C" +#6641500 +b11100010000010110100111110001101 2 +b11100010000010110100111110001101 I +b11100010000010110100111110001101 Z +b1111 3 +b1111 J +b1111 Y +b11100010000010110100111110001101 , +b11100010000010110100111110001101 H +b1111 + +b1111 G +1. +0% +#6642000 +0] +0\ +0[ +1=" +1>" +b10000 3" +0^ +0c +1d +1b +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100010000010110100111110001101 &" +b11100010000010110100111110001101 ?" +b1111 %" +b1111 *" +b1111 1" +0. +1% +#6642010 +b11100010000010110100111110001101 R" +#6642500 +b1101 5 +b1101 L +b1101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6643000 +1] +0i +b11010110000000101000110101011111 { +b11010110000000101000110101011111 A" +1\ +1[ +0=" +0>" +0@" +0g +19" +1:" +1;" +b11111 3" +0d +0_ +b10010 0" +0j +0o +1p +1k +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#6643500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b1010010011001100000011100101 2 +b1010010011001100000011100101 I +b1010010011001100000011100101 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +b1010010011001100000011100101 , +b1010010011001100000011100101 H +b101 + +b101 G +1- +1. +0% +#6644000 +0] +1i +0s +b11010110000000101000110101011111 | +b11010110000000101000110101011111 B" +0[ +1>" +1@" +1g +09" +0:" +0;" +0q +15" +16" +17" +b11010 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +0t +0y +1z +1u +1a +12" +0m +0/" +1w +1," +b11010110000000101000110101011111 / +b11010110000000101000110101011111 K +b11010110000000101000110101011111 e +b11010110000000101000110101011111 "" +b1010010011001100000011100101 &" +b1010010011001100000011100101 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#6644010 +b1010010011001100000011100101 H" +#6644500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6645000 +1] +1s +1[ +0>" +0@" +1q +05" +06" +07" +b11111 3" +0^ +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010110000000101000110101011111 0 +b11010110000000101000110101011111 M +b11010110000000101000110101011111 f +b11010110000000101000110101011111 !" +0. +1% +#6645500 +b10011010101111111011111101 2 +b10011010101111111011111101 I +b10011010101111111011111101 Z +b1001 3 +b1001 J +b1001 Y +11 +b10011010101111111011111101 , +b10011010101111111011111101 H +b1001 + +b1001 G +1- +1. +0% +#6646000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011010101111111011111101 &" +b10011010101111111011111101 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +0. +1% +#6646010 +b10011010101111111011111101 L" +#6646500 +b11101110101001010110010111010011 2 +b11101110101001010110010111010011 I +b11101110101001010110010111010011 Z +b0 3 +b0 J +b0 Y +b11101110101001010110010111010011 , +b11101110101001010110010111010011 H +b0 + +b0 G +1. +0% +#6647000 +1] +1[ +0=" +b11111 3" +0c +0a +b11101110101001010110010111010011 &" +b11101110101001010110010111010011 ?" +b0 %" +b0 *" +b0 1" +0. +1% +#6647010 +b11101110101001010110010111010011 C" +#6647500 +b100 7 +b100 N +b100 V +16 +b111010000111010110111101011000 2 +b111010000111010110111101011000 I +b111010000111010110111101011000 Z +b110 3 +b110 J +b110 Y +b100 & +b100 C +1( +b111010000111010110111101011000 , +b111010000111010110111101011000 H +b110 + +b110 G +1. +0% +#6648000 +0] +0s +b1000111001010101110001000110 | +b1000111001010101110001000110 B" +0\ +1>" +16" +17" +b11001 3" +1^ +b11011 -" +1t +1u +1b +1," +b111010000111010110111101011000 &" +b111010000111010110111101011000 ?" +b110 %" +b110 *" +b110 1" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#6648010 +b111010000111010110111101011000 I" +#6648500 +b0 7 +b0 N +b0 V +06 +b11110010101000010001001101100001 2 +b11110010101000010001001101100001 I +b11110010101000010001001101100001 Z +b10101 3 +b10101 J +b10101 Y +b0 & +b0 C +0( +b11110010101000010001001101100001 , +b11110010101000010001001101100001 H +b10101 + +b10101 G +1. +0% +#6649000 +0_ +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0[ +06" +07" +b1010 3" +1<" +1` +b11111 -" +0t +0u +0b +1a +0," +b11110010101000010001001101100001 &" +b11110010101000010001001101100001 ?" +b10101 %" +b10101 *" +b10101 1" +b0 } +b0 (" +b0 +" +0#" +b1000111001010101110001000110 0 +b1000111001010101110001000110 M +b1000111001010101110001000110 f +b1000111001010101110001000110 !" +0. +1% +#6649010 +b11110010101000010001001101100001 X" +#6649500 +b1111 7 +b1111 N +b1111 V +16 +b10101000111100000001010101000110 2 +b10101000111100000001010101000110 I +b10101000111100000001010101000110 Z +b1001 3 +b1001 J +b1001 Y +b1111 & +b1111 C +1( +b10101000111100000001010101000110 , +b10101000111100000001010101000110 H +b1001 + +b1001 G +1. +0% +#6650000 +1=" +1_ +1c +0s +b11100010000010110100111110001101 | +b11100010000010110100111110001101 B" +1@" +0>" +0r +0q +15" +16" +17" +b10110 3" +0<" +0` +0^ +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101000111100000001010101000110 &" +b10101000111100000001010101000110 ?" +b1001 %" +b1001 *" +b1001 1" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#6650010 +b10101000111100000001010101000110 L" +#6650500 +b11 5 +b11 L +b11 W +14 +b1100 7 +b1100 N +b1100 V +b10011011000110111101001100101011 2 +b10011011000110111101001100101011 I +b10011011000110111101001100101011 Z +b10111 3 +b10111 J +b10111 Y +b11 ' +b11 D +1) +b1100 & +b1100 C +b10011011000110111101001100101011 , +b10011011000110111101001100101011 H +b10111 + +b10111 G +1. +0% +#6651000 +1>" +0_ +1^ +b111010011100011101010110100000 { +b111010011100011101010110100000 A" +0\ +0=" +0h +0g +1;" +1r +1q +b1000 3" +1<" +1` +0c +b11100 0" +1k +b10011 -" +b11011101101111110010011111000011 | +b11011101101111110010011111000011 B" +1b +1n +1m +1/" +0x +0w +b10011011000110111101001100101011 &" +b10011011000110111101001100101011 ?" +b10111 %" +b10111 *" +b10111 1" +b11 ~ +b11 )" +b11 ." +1$" +b1100 } +b1100 (" +b1100 +" +b11100010000010110100111110001101 0 +b11100010000010110100111110001101 M +b11100010000010110100111110001101 f +b11100010000010110100111110001101 !" +0. +1% +#6651010 +b10011011000110111101001100101011 Z" +#6651500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1000100111100111111101111010101 2 +b1000100111100111111101111010101 I +b1000100111100111111101111010101 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1000100111100111111101111010101 , +b1000100111100111111101111010101 H +b11100 + +b11100 G +1. +0% +#6652000 +0^ +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +1=" +1h +1g +0;" +05" +06" +07" +b11 3" +1d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +0u +0b +0a +0n +0m +0/" +0," +b111010011100011101010110100000 / +b111010011100011101010110100000 K +b111010011100011101010110100000 e +b111010011100011101010110100000 "" +b11011101101111110010011111000011 0 +b11011101101111110010011111000011 M +b11011101101111110010011111000011 f +b11011101101111110010011111000011 !" +b1000100111100111111101111010101 &" +b1000100111100111111101111010101 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6652010 +b1000100111100111111101111010101 _" +#6652500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6653000 +1] +0i +b10111010011100101001001001101110 { +b10111010011100101001001001101110 A" +0=" +0>" +0@" +0h +19" +1;" +b11111 3" +0d +0<" +0` +b101 0" +1o +0k +18" +1l +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6653500 +b10011 5 +b10011 L +b10011 W +b10010 7 +b10010 N +b10010 V +16 +b10011 ' +b10011 D +b10010 & +b10010 C +1( +1. +0% +#6654000 +1i +b11111010111000011110101001100010 | +b11111010111000011110101001100010 B" +0g +09" +0r +17" +b1100 0" +0o +b1110010011010110101010001111011 { +b1110010011010110101010001111011 A" +b1101 -" +0u +14" +1v +1m +1x +1," +b10111010011100101001001001101110 / +b10111010011100101001001001101110 K +b10111010011100101001001001101110 e +b10111010011100101001001001101110 "" +b10011 ~ +b10011 )" +b10011 ." +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#6654500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b0 ' +b0 D +0) +b1110 & +b1110 C +1. +0% +#6655000 +1u +0s +1h +1g +0;" +17" +b10000111001100001000110000101 | +b10000111001100001000110000101 B" +15" +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10001 -" +04" +0v +0t +0y +1z +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +b1110010011010110101010001111011 / +b1110010011010110101010001111011 K +b1110010011010110101010001111011 e +b1110010011010110101010001111011 "" +b11111010111000011110101001100010 0 +b11111010111000011110101001100010 M +b11111010111000011110101001100010 f +b11111010111000011110101001100010 !" +0. +1% +#6655500 +b11010 5 +b11010 L +b11010 W +14 +b11000 7 +b11000 N +b11000 V +b11010 ' +b11010 D +1) +b11000 & +b11000 C +1. +0% +#6656000 +0i +b10111010011100101001001001101110 { +b10111010011100101001001001101110 A" +0u +1y +0h +19" +1;" +1r +15" +06" +b101 0" +1o +0k +18" +1l +b111 -" +14" +1v +0z +b10110010001101111001100100011001 | +b10110010001101111001100100011001 B" +1n +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000111001100001000110000101 0 +b10000111001100001000110000101 M +b10000111001100001000110000101 f +b10000111001100001000110000101 !" +b11010 ~ +b11010 )" +b11010 ." +1$" +b11000 } +b11000 (" +b11000 +" +0. +1% +#6656500 +b11111 5 +b11111 L +b11111 W +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +b0 & +b0 C +0( +1. +0% +#6657000 +0o +1s +0g +1:" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b0 0" +1p +b110111101100111010010011000010 { +b110111101100111010010011000010 A" +b11111 -" +0y +04" +0v +1m +0," +b11111 ~ +b11111 )" +b11111 ." +b0 } +b0 (" +b0 +" +0#" +b10111010011100101001001001101110 / +b10111010011100101001001001101110 K +b10111010011100101001001001101110 e +b10111010011100101001001001101110 "" +b10110010001101111001100100011001 0 +b10110010001101111001100100011001 M +b10110010001101111001100100011001 f +b10110010001101111001100100011001 !" +0. +1% +#6657500 +b0 5 +b0 L +b0 W +04 +b11111100100010111111000001110001 2 +b11111100100010111111000001110001 I +b11111100100010111111000001110001 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b11111100100010111111000001110001 , +b11111100100010111111000001110001 H +b1111 + +b1111 G +1- +1. +0% +#6658000 +0] +1i +0\ +0[ +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0n +0m +0/" +b110111101100111010010011000010 / +b110111101100111010010011000010 K +b110111101100111010010011000010 e +b110111101100111010010011000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111100100010111111000001110001 &" +b11111100100010111111000001110001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6658010 +b11111100100010111111000001110001 R" +#6658500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6659000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6659500 +b10101 5 +b10101 L +b10101 W +14 +b10110 7 +b10110 N +b10110 V +16 +b101111101101101101000011001100 2 +b101111101101101101000011001100 I +b101111101101101101000011001100 Z +b1111 3 +b1111 J +b1111 Y +11 +b10101 ' +b10101 D +1) +b10110 & +b10110 C +1( +b101111101101101101000011001100 , +b101111101101101101000011001100 H +b1111 + +b1111 G +1- +1. +0% +#6660000 +0] +0i +b11110010101000010001001101100001 { +b11110010101000010001001101100001 A" +0s +b101011001110110010111001001101 | +b101011001110110010111001001101 B" +0\ +0[ +1=" +1>" +1@" +0g +1:" +1;" +0r +16" +17" +b10000 3" +0^ +0c +1d +1_ +b1010 0" +1j +0k +18" +1l +b1001 -" +1t +0u +14" +1v +1b +1a +12" +1m +1/" +1x +1," +b101111101101101101000011001100 &" +b101111101101101101000011001100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#6660010 +b101111101101101101000011001100 R" +#6660500 +b1000 5 +b1000 L +b1000 W +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6661000 +19" +1] +1k +1o +1\ +1[ +0=" +0>" +0@" +1g +1;" +0:" +0q +b11111 3" +0d +0_ +b10111 0" +08" +0l +0j +b10001010001110101001111101010101 { +b10001010001110101001111101010101 A" +b1000 -" +b10011011000110111101001100101011 | +b10011011000110111101001100101011 B" +0b +0a +02" +0m +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +b10111 } +b10111 (" +b10111 +" +b11110010101000010001001101100001 / +b11110010101000010001001101100001 K +b11110010101000010001001101100001 e +b11110010101000010001001101100001 "" +b101011001110110010111001001101 0 +b101011001110110010111001001101 M +b101011001110110010111001001101 f +b101011001110110010111001001101 !" +0. +1% +#6661500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b111100101110110000111110010 2 +b111100101110110000111110010 I +b111100101110110000111110010 Z +b10111 3 +b10111 J +b10111 Y +11 +b1011 ' +b1011 D +b0 & +b0 C +0( +b111100101110110000111110010 , +b111100101110110000111110010 H +b10111 + +b10111 G +1- +1. +0% +#6662000 +0] +1s +0\ +0[ +1>" +1@" +0h +0g +1r +1q +06" +07" +b1000 3" +1^ +0_ +1<" +1` +b10100 0" +b11011101011100010000111110010111 { +b11011101011100010000111110010111 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1m +0x +0w +0," +b10001010001110101001111101010101 / +b10001010001110101001111101010101 K +b10001010001110101001111101010101 e +b10001010001110101001111101010101 "" +b10011011000110111101001100101011 0 +b10011011000110111101001100101011 M +b10011011000110111101001100101011 f +b10011011000110111101001100101011 !" +b111100101110110000111110010 &" +b111100101110110000111110010 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6662010 +b111100101110110000111110010 Z" +#6662500 +b0 5 +b0 L +b0 W +04 +b11111001111011010010100100101110 2 +b11111001111011010010100100101110 I +b11111001111011010010100100101110 Z +b11011 3 +b11011 J +b11011 Y +b0 ' +b0 D +0) +b11111001111011010010100100101110 , +b11111001111011010010100100101110 H +b11011 + +b11011 G +1. +0% +#6663000 +1=" +1c +1i +0>" +1h +1g +09" +0;" +b100 3" +0^ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b11111001111011010010100100101110 &" +b11111001111011010010100100101110 ?" +b11011 %" +b11011 *" +b11011 1" +b0 ~ +b0 )" +b0 ." +0$" +b11011101011100010000111110010111 / +b11011101011100010000111110010111 K +b11011101011100010000111110010111 e +b11011101011100010000111110010111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6663010 +b11111001111011010010100100101110 ^" +#6663500 +b11111011011001010000100000101101 2 +b11111011011001010000100000101101 I +b11111011011001010000100000101101 Z +b101 3 +b101 J +b101 Y +b11111011011001010000100000101101 , +b11111011011001010000100000101101 H +b101 + +b101 G +1. +0% +#6664000 +1>" +1_ +1^ +1\ +1@" +0=" +b11010 3" +0<" +0` +0c +0b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111011011001010000100000101101 &" +b11111011011001010000100000101101 ?" +b101 %" +b101 *" +b101 1" +0. +1% +#6664010 +b11111011011001010000100000101101 H" +#6664500 +b11001 5 +b11001 L +b11001 W +14 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6665000 +1] +0i +b1001110001100111001100001000010 { +b1001110001100111001100001000010 A" +b11101110101001010110010111010011 | +b11101110101001010110010111010011 B" +1[ +0>" +0@" +0g +19" +1;" +17" +b11111 3" +0^ +0_ +b110 0" +1o +0k +18" +1l +1u +0a +02" +1m +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +1#" +0. +1% +#6665500 +b10011 5 +b10011 L +b10011 W +b1001 7 +b1001 N +b1001 V +b11110010111111110001001001111010 2 +b11110010111111110001001001111010 I +b11110010111111110001001001111010 Z +b11000 3 +b11000 J +b11000 Y +11 +b10011 ' +b10011 D +b1001 & +b1001 C +b11110010111111110001001001111010 , +b11110010111111110001001001111010 H +b11000 + +b11000 G +1- +1. +0% +#6666000 +0] +1i +0s +1=" +1@" +0h +09" +0q +15" +b111 3" +1c +0_ +1<" +1` +b1100 0" +0o +b1110010011010110101010001111011 { +b1110010011010110101010001111011 A" +b10110 -" +1y +b10101000111100000001010101000110 | +b10101000111100000001010101000110 B" +12" +1n +1w +b1001110001100111001100001000010 / +b1001110001100111001100001000010 K +b1001110001100111001100001000010 e +b1001110001100111001100001000010 "" +b11101110101001010110010111010011 0 +b11101110101001010110010111010011 M +b11101110101001010110010111010011 f +b11101110101001010110010111010011 !" +b11110010111111110001001001111010 &" +b11110010111111110001001001111010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +b1001 } +b1001 (" +b1001 +" +0. +1% +#6666010 +b11110010111111110001001001111010 [" +#6666500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6667000 +1] +0=" +0@" +1h +1g +0;" +0r +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +b11011101011100010000111110010111 | +b11011101011100010000111110010111 B" +02" +0n +0m +0/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +b1110010011010110101010001111011 / +b1110010011010110101010001111011 K +b1110010011010110101010001111011 e +b1110010011010110101010001111011 "" +b10101000111100000001010101000110 0 +b10101000111100000001010101000110 M +b10101000111100000001010101000110 f +b10101000111100000001010101000110 !" +0. +1% +#6667500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#6668000 +0i +b101011001110110010111001001101 { +b101011001110110010111001001101 A" +1s +0h +1:" +1;" +1r +1q +05" +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011101011100010000111110010111 0 +b11011101011100010000111110010111 M +b11011101011100010000111110010111 f +b11011101011100010000111110010111 !" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6668500 +b1011 5 +b1011 L +b1011 W +b10100 7 +b10100 N +b10100 V +16 +b1011 ' +b1011 D +b10100 & +b10100 C +1( +1. +0% +#6669000 +19" +1k +1o +0s +b101101111101001001101010101011 | +b101101111101001001101010101011 B" +0g +1;" +0:" +16" +17" +b10100 0" +08" +0l +0j +b11011101011100010000111110010111 { +b11011101011100010000111110010111 A" +b1011 -" +1t +0u +14" +1v +1m +1," +b1011 ~ +b1011 )" +b1011 ." +b10100 } +b10100 (" +b10100 +" +1#" +b101011001110110010111001001101 / +b101011001110110010111001001101 K +b101011001110110010111001001101 e +b101011001110110010111001001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6669500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6670000 +1i +1s +1h +1g +09" +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +04" +0v +0n +0m +0/" +0," +b11011101011100010000111110010111 / +b11011101011100010000111110010111 K +b11011101011100010000111110010111 e +b11011101011100010000111110010111 "" +b101101111101001001101010101011 0 +b101101111101001001101010101011 M +b101101111101001001101010101011 f +b101101111101001001101010101011 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6670500 +b101 5 +b101 L +b101 W +14 +b101 ' +b101 D +1) +1. +0% +#6671000 +0i +b11111011011001010000100000101101 { +b11111011011001010000100000101101 A" +0g +1:" +1;" +b11010 0" +1j +1k +1m +1/" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6671500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6672000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b11111011011001010000100000101101 / +b11111011011001010000100000101101 K +b11111011011001010000100000101101 e +b11111011011001010000100000101101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6672500 +b11101 5 +b11101 L +b11101 W +14 +b1010 7 +b1010 N +b1010 V +16 +b11101 ' +b11101 D +1) +b1010 & +b1010 C +1( +1. +0% +#6673000 +0i +b10101011111100110101110011111000 { +b10101011111100110101110011111000 A" +0s +b10111010010111011101010010110100 | +b10111010010111011101010010110100 B" +0g +19" +1:" +1;" +0r +15" +17" +b10 0" +0j +0o +1p +0k +18" +1l +b10101 -" +1y +1u +1m +1/" +1x +1," +b11101 ~ +b11101 )" +b11101 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6673500 +b10 5 +b10 L +b10 W +b10101 7 +b10101 N +b10101 V +b10000111000101101100010111001001 2 +b10000111000101101100010111001001 I +b10000111000101101100010111001001 Z +b1101 3 +b1101 J +b1101 Y +11 +b10 ' +b10 D +b10101 & +b10101 C +b10000111000101101100010111001001 , +b10000111000101101100010111001001 H +b1101 + +b1101 G +1- +1. +0% +#6674000 +16" +0] +1k +1i +0u +1t +0[ +1=" +1>" +1@" +0h +1g +1;" +09" +0:" +1r +0q +05" +b10010 3" +0^ +0c +1d +1_ +b11101 0" +08" +0l +0p +b1110101000010000001100011100000 { +b1110101000010000001100011100000 A" +b1010 -" +14" +1v +0y +b11110010101000010001001101100001 | +b11110010101000010001001101100001 B" +1a +12" +1n +0m +0x +1w +b10101011111100110101110011111000 / +b10101011111100110101110011111000 K +b10101011111100110101110011111000 e +b10101011111100110101110011111000 "" +b10111010010111011101010010110100 0 +b10111010010111011101010010110100 M +b10111010010111011101010010110100 f +b10111010010111011101010010110100 !" +b10000111000101101100010111001001 &" +b10000111000101101100010111001001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10 ~ +b10 )" +b10 ." +b10101 } +b10101 (" +b10101 +" +0. +1% +#6674010 +b10000111000101101100010111001001 P" +#6674500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b100011001001101111011010111110 2 +b100011001001101111011010111110 I +b100011001001101111011010111110 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b11000 & +b11000 C +b100011001001101111011010111110 , +b100011001001101111011010111110 H +b1 + +b1 G +1. +0% +#6675000 +15" +1] +1y +0=" +0>" +1h +0;" +1q +06" +b11110 3" +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b111 -" +0t +b11110010111111110001001001111010 | +b11110010111111110001001001111010 B" +0n +0/" +0w +b100011001001101111011010111110 &" +b100011001001101111011010111110 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +b1110101000010000001100011100000 / +b1110101000010000001100011100000 K +b1110101000010000001100011100000 e +b1110101000010000001100011100000 "" +b11110010101000010001001101100001 0 +b11110010101000010001001101100001 M +b11110010101000010001001101100001 f +b11110010101000010001001101100001 !" +0. +1% +#6675010 +b100011001001101111011010111110 D" +#6675500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6676000 +1s +1[ +0@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0_ +b11111 -" +0y +04" +0v +0a +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110010111111110001001001111010 0 +b11110010111111110001001001111010 M +b11110010111111110001001001111010 f +b11110010111111110001001001111010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6676500 +b10000 7 +b10000 N +b10000 V +16 +b1100111111111110111010100110001 2 +b1100111111111110111010100110001 I +b1100111111111110111010100110001 Z +11 +b10000 & +b10000 C +1( +b1100111111111110111010100110001 , +b1100111111111110111010100110001 H +1- +1. +0% +#6677000 +b110100100111000110100001010110 | +b110100100111000110100001010110 B" +1@" +17" +1_ +b1111 -" +0u +14" +1v +12" +1," +b1100111111111110111010100110001 &" +b1100111111111110111010100110001 ?" +1'" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6677010 +b1100111111111110111010100110001 C" +#6677500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#6678000 +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0_ +b11111 -" +04" +0v +02" +0," +b110100100111000110100001010110 0 +b110100100111000110100001010110 M +b110100100111000110100001010110 f +b110100100111000110100001010110 !" +b0 &" +b0 ?" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6678500 +b1010 5 +b1010 L +b1010 W +14 +b10111110101101010110111100001110 2 +b10111110101101010110111100001110 I +b10111110101101010110111100001110 Z +b110 3 +b110 J +b110 Y +11 +b1010 ' +b1010 D +1) +b10111110101101010110111100001110 , +b10111110101101010110111100001110 H +b110 + +b110 G +1- +1. +0% +#6679000 +0] +0i +b10111010010111011101010010110100 { +b10111010010111011101010010110100 A" +0\ +1>" +1@" +0h +19" +1;" +b11001 3" +1^ +1_ +b10101 0" +1o +1k +1b +12" +1n +1/" +b10111110101101010110111100001110 &" +b10111110101101010110111100001110 ?" +b110 %" +b110 *" +b110 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6679010 +b10111110101101010110111100001110 I" +#6679500 +b0 5 +b0 L +b0 W +04 +b10011001110100101010111 2 +b10011001110100101010111 I +b10011001110100101010111 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b10011001110100101010111 , +b10011001110100101010111 H +b111 + +b111 G +1. +0% +#6680000 +1i +0[ +1h +09" +0;" +b11000 3" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +0n +0/" +b10111010010111011101010010110100 / +b10111010010111011101010010110100 K +b10111010010111011101010010110100 e +b10111010010111011101010010110100 "" +b10011001110100101010111 &" +b10011001110100101010111 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6680010 +b10011001110100101010111 J" +#6680500 +b100110001011001010100110001000 2 +b100110001011001010100110001000 I +b100110001011001010100110001000 Z +b100 3 +b100 J +b100 Y +b100110001011001010100110001000 , +b100110001011001010100110001000 H +b100 + +b100 G +1. +0% +#6681000 +1\ +1[ +b11011 3" +0b +0a +b100110001011001010100110001000 &" +b100110001011001010100110001000 ?" +b100 %" +b100 *" +b100 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6681010 +b100110001011001010100110001000 G" +#6681500 +b10011 5 +b10011 L +b10011 W +14 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6682000 +1] +b1110010011010110101010001111011 { +b1110010011010110101010001111011 A" +0s +b1000100111100111111101111010101 | +b1000100111100111111101111010101 B" +0>" +0@" +0h +0g +1;" +15" +16" +17" +b11111 3" +0^ +0_ +b1100 0" +0k +18" +1l +b11 -" +0t +0y +1z +0u +14" +1v +02" +1n +1m +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#6682500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10111111110001011100111011001001 2 +b10111111110001011100111011001001 I +b10111111110001011100111011001001 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10111111110001011100111011001001 , +b10111111110001011100111011001001 H +b11111 + +b11111 G +1- +1. +0% +#6683000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1h +1g +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +04" +0v +1b +1a +12" +0n +0m +0/" +0," +b10111111110001011100111011001001 &" +b10111111110001011100111011001001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110010011010110101010001111011 / +b1110010011010110101010001111011 K +b1110010011010110101010001111011 e +b1110010011010110101010001111011 "" +b1000100111100111111101111010101 0 +b1000100111100111111101111010101 M +b1000100111100111111101111010101 f +b1000100111100111111101111010101 !" +0. +1% +#6683500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6684000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6684500 +b10111 5 +b10111 L +b10111 W +14 +b1110 7 +b1110 N +b1110 V +16 +b10111 ' +b10111 D +1) +b1110 & +b1110 C +1( +1. +0% +#6685000 +0i +b111100101110110000111110010 { +b111100101110110000111110010 A" +0s +b10000111001100001000110000101 | +b10000111001100001000110000101 B" +0h +0g +1:" +1;" +0r +15" +16" +17" +b1000 0" +1j +0k +18" +1l +b10001 -" +0t +0y +1z +1u +1n +1m +1/" +1x +1," +b10111 ~ +b10111 )" +b10111 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#6685500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11010011111100100001000101011100 2 +b11010011111100100001000101011100 I +b11010011111100100001000101011100 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11010011111100100001000101011100 , +b11010011111100100001000101011100 H +b1000 + +b1000 G +1- +1. +0% +#6686000 +0] +1i +1s +1=" +1@" +1h +1g +0:" +0;" +1r +05" +06" +07" +b10111 3" +1c +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0n +0m +0/" +0x +0," +b111100101110110000111110010 / +b111100101110110000111110010 K +b111100101110110000111110010 e +b111100101110110000111110010 "" +b10000111001100001000110000101 0 +b10000111001100001000110000101 M +b10000111001100001000110000101 f +b10000111001100001000110000101 !" +b11010011111100100001000101011100 &" +b11010011111100100001000101011100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6686010 +b11010011111100100001000101011100 K" +#6686500 +b10 7 +b10 N +b10 V +16 +b1000110001110110100110001000011 2 +b1000110001110110100110001000011 I +b1000110001110110100110001000011 Z +b111 3 +b111 J +b111 Y +b10 & +b10 C +1( +b1000110001110110100110001000011 , +b1000110001110110100110001000011 H +b111 + +b111 G +1. +0% +#6687000 +1>" +1^ +b1110101000010000001100011100000 | +b1110101000010000001100011100000 B" +0\ +0[ +0=" +0r +17" +b11000 3" +0c +b11101 -" +1u +1b +1a +1x +1," +b1000110001110110100110001000011 &" +b1000110001110110100110001000011 ?" +b111 %" +b111 *" +b111 1" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6687010 +b1000110001110110100110001000011 J" +#6687500 +b1111 5 +b1111 L +b1111 W +14 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6688000 +1] +0i +b101111101101101101000011001100 { +b101111101101101101000011001100 A" +1\ +1[ +0>" +0@" +0h +0g +19" +1:" +1;" +1r +0q +b11111 3" +0^ +0_ +b10000 0" +0j +0o +1p +1k +b11110 -" +b100011001001101111011010111110 | +b100011001001101111011010111110 B" +0b +0a +02" +1n +1m +1/" +0x +1w +b1110101000010000001100011100000 0 +b1110101000010000001100011100000 M +b1110101000010000001100011100000 f +b1110101000010000001100011100000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1 } +b1 (" +b1 +" +0. +1% +#6688500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b11110111100100010100110011001 2 +b11110111100100010100110011001 I +b11110111100100010100110011001 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b11100 & +b11100 C +b11110111100100010100110011001 , +b11110111100100010100110011001 H +b10 + +b10 G +1- +1. +0% +#6689000 +1i +0u +0s +0\ +1@" +1h +1g +09" +0:" +0;" +1q +15" +16" +b11101 3" +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +14" +1v +0t +0y +1z +b1000100111100111111101111010101 | +b1000100111100111111101111010101 B" +1b +12" +0n +0m +0/" +0w +b11110111100100010100110011001 &" +b11110111100100010100110011001 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b101111101101101101000011001100 / +b101111101101101101000011001100 K +b101111101101101101000011001100 e +b101111101101101101000011001100 "" +b100011001001101111011010111110 0 +b100011001001101111011010111110 M +b100011001001101111011010111110 f +b100011001001101111011010111110 !" +0. +1% +#6689010 +b11110111100100010100110011001 E" +#6689500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6690000 +1s +1\ +0@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0_ +b11111 -" +0z +04" +0v +0b +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000100111100111111101111010101 0 +b1000100111100111111101111010101 M +b1000100111100111111101111010101 f +b1000100111100111111101111010101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6690500 +1. +0% +#6691000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6691500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#6692000 +0s +b100101011110000111101101110100 | +b100101011110000111101101110100 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#6692500 +b10111 5 +b10111 L +b10111 W +14 +b11001 7 +b11001 N +b11001 V +b10111 ' +b10111 D +1) +b11001 & +b11001 C +1. +0% +#6693000 +0i +b111100101110110000111110010 { +b111100101110110000111110010 A" +1y +0h +0g +1:" +1;" +1r +0q +15" +06" +b1000 0" +1j +0k +18" +1l +b110 -" +0z +b1001110001100111001100001000010 | +b1001110001100111001100001000010 B" +1n +1m +1/" +0x +1w +b10111 ~ +b10111 )" +b10111 ." +1$" +b11001 } +b11001 (" +b11001 +" +b100101011110000111101101110100 0 +b100101011110000111101101110100 M +b100101011110000111101101110100 f +b100101011110000111101101110100 !" +0. +1% +#6693500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b100100011110010000011011011111 2 +b100100011110010000011011011111 I +b100100011110010000011011011111 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b100100011110010000011011011111 , +b100100011110010000011011011111 H +b10111 + +b10111 G +1- +1. +0% +#6694000 +0] +1i +1s +0\ +0[ +1>" +1@" +1h +1g +0:" +0;" +1q +05" +07" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0n +0m +0/" +0w +0," +b111100101110110000111110010 / +b111100101110110000111110010 K +b111100101110110000111110010 e +b111100101110110000111110010 "" +b1001110001100111001100001000010 0 +b1001110001100111001100001000010 M +b1001110001100111001100001000010 f +b1001110001100111001100001000010 !" +b100100011110010000011011011111 &" +b100100011110010000011011011111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6694010 +b100100011110010000011011011111 Z" +#6694500 +b11110011000011000011011000101 2 +b11110011000011000011011000101 I +b11110011000011000011011000101 Z +b10101 3 +b10101 J +b10101 Y +b11110011000011000011011000101 , +b11110011000011000011011000101 H +b10101 + +b10101 G +1. +0% +#6695000 +1\ +b1010 3" +0b +b11110011000011000011011000101 &" +b11110011000011000011011000101 ?" +b10101 %" +b10101 *" +b10101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6695010 +b11110011000011000011011000101 X" +#6695500 +b10000010110001011111100000110111 2 +b10000010110001011111100000110111 I +b10000010110001011111100000110111 Z +b11101 3 +b11101 J +b11101 Y +b10000010110001011111100000110111 , +b10000010110001011111100000110111 H +b11101 + +b11101 G +1. +0% +#6696000 +0^ +1=" +b10 3" +1d +b10000010110001011111100000110111 &" +b10000010110001011111100000110111 ?" +b11101 %" +b11101 *" +b11101 1" +0. +1% +#6696010 +b10000010110001011111100000110111 `" +#6696500 +b101 7 +b101 N +b101 V +16 +b11000010001111101000010001001100 2 +b11000010001111101000010001001100 I +b11000010001111101000010001001100 Z +b11111 3 +b11111 J +b11111 Y +b101 & +b101 C +1( +b11000010001111101000010001001100 , +b11000010001111101000010001001100 H +b11111 + +b11111 G +1. +0% +#6697000 +0s +b11111011011001010000100000101101 | +b11111011011001010000100000101101 B" +0\ +0q +16" +17" +b0 3" +b11010 -" +1t +1u +1b +1w +1," +b11000010001111101000010001001100 &" +b11000010001111101000010001001100 ?" +b11111 %" +b11111 *" +b11111 1" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#6697500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6698000 +1] +1s +1\ +1[ +0=" +0>" +0@" +1q +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0w +0," +b11111011011001010000100000101101 0 +b11111011011001010000100000101101 M +b11111011011001010000100000101101 f +b11111011011001010000100000101101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6698500 +b11101 7 +b11101 N +b11101 V +16 +b11101 & +b11101 C +1( +1. +0% +#6699000 +0s +b10000010110001011111100000110111 | +b10000010110001011111100000110111 B" +0q +15" +16" +17" +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6699500 +b0 7 +b0 N +b0 V +06 +b1100110101000001101001110110100 2 +b1100110101000001101001110110100 I +b1100110101000001101001110110100 Z +b101 3 +b101 J +b101 Y +11 +b0 & +b0 C +0( +b1100110101000001101001110110100 , +b1100110101000001101001110110100 H +b101 + +b101 G +1- +1. +0% +#6700000 +0] +1s +0[ +1>" +1@" +1q +05" +06" +07" +b11010 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0w +0," +b10000010110001011111100000110111 0 +b10000010110001011111100000110111 M +b10000010110001011111100000110111 f +b10000010110001011111100000110111 !" +b1100110101000001101001110110100 &" +b1100110101000001101001110110100 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6700010 +b1100110101000001101001110110100 H" +#6700500 +b101100010110001101010000000010 2 +b101100010110001101010000000010 I +b101100010110001101010000000010 Z +b10101 3 +b10101 J +b10101 Y +b101100010110001101010000000010 , +b101100010110001101010000000010 H +b10101 + +b10101 G +1. +0% +#6701000 +0_ +b1010 3" +1<" +1` +b101100010110001101010000000010 &" +b101100010110001101010000000010 ?" +b10101 %" +b10101 *" +b10101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6701010 +b101100010110001101010000000010 X" +#6701500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6702000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6702500 +b11101 5 +b11101 L +b11101 W +14 +b1001111101101111101111101100110 2 +b1001111101101111101111101100110 I +b1001111101101111101111101100110 Z +b11001 3 +b11001 J +b11001 Y +11 +b11101 ' +b11101 D +1) +b1001111101101111101111101100110 , +b1001111101101111101111101100110 H +b11001 + +b11001 G +1- +1. +0% +#6703000 +0] +0i +b10000010110001011111100000110111 { +b10000010110001011111100000110111 A" +0[ +1=" +1@" +0g +19" +1:" +1;" +b110 3" +1c +0_ +1<" +1` +b10 0" +0j +0o +1p +0k +18" +1l +1a +12" +1m +1/" +b1001111101101111101111101100110 &" +b1001111101101111101111101100110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#6703010 +b1001111101101111101111101100110 \" +#6703500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6704000 +1] +1i +1[ +0=" +0@" +1g +09" +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0m +0/" +b10000010110001011111100000110111 / +b10000010110001011111100000110111 K +b10000010110001011111100000110111 e +b10000010110001011111100000110111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6704500 +b10101 5 +b10101 L +b10101 W +14 +b11110 7 +b11110 N +b11110 V +16 +b10101 ' +b10101 D +1) +b11110 & +b11110 C +1( +1. +0% +#6705000 +0i +b101100010110001101010000000010 { +b101100010110001101010000000010 A" +0s +b100101011110000111101101110100 | +b100101011110000111101101110100 B" +0g +1:" +1;" +0r +15" +16" +17" +b1010 0" +1j +0k +18" +1l +b1 -" +0t +0y +1z +0u +14" +1v +1m +1/" +1x +1," +b10101 ~ +b10101 )" +b10101 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6705500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b100011110000001010101010111110 2 +b100011110000001010101010111110 I +b100011110000001010101010111110 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +b100011110000001010101010111110 , +b100011110000001010101010111110 H +b11101 + +b11101 G +1- +1. +0% +#6706000 +0] +1i +1u +1t +0[ +1=" +1>" +1@" +1g +0:" +0;" +1r +17" +05" +16" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +04" +0v +0z +b100110001011001010100110001000 | +b100110001011001010100110001000 B" +1a +12" +0m +0/" +0x +b101100010110001101010000000010 / +b101100010110001101010000000010 K +b101100010110001101010000000010 e +b101100010110001101010000000010 "" +b100101011110000111101101110100 0 +b100101011110000111101101110100 M +b100101011110000111101101110100 f +b100101011110000111101101110100 !" +b100011110000001010101010111110 &" +b100011110000001010101010111110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +0. +1% +#6706010 +b100011110000001010101010111110 `" +#6706500 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6707000 +1] +0t +1[ +0=" +0>" +0@" +0q +15" +b11111 3" +0d +0<" +0` +b10010 -" +1z +b10000111000101101100010111001001 | +b10000111000101101100010111001001 B" +0a +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 } +b1101 (" +b1101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100110001011001010100110001000 0 +b100110001011001010100110001000 M +b100110001011001010100110001000 f +b100110001011001010100110001000 !" +0. +1% +#6707500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1100110110011100101110111100011 2 +b1100110110011100101110111100011 I +b1100110110011100101110111100011 Z +b1000 3 +b1000 J +b1000 Y +11 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b1100110110011100101110111100011 , +b1100110110011100101110111100011 H +b1000 + +b1000 G +1- +1. +0% +#6708000 +0] +b100011001001101111011010111110 { +b100011001001101111011010111110 A" +1s +1=" +1@" +0g +1;" +1q +05" +06" +07" +b10111 3" +1c +1_ +b11110 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1m +1/" +0w +0," +b10000111000101101100010111001001 0 +b10000111000101101100010111001001 M +b10000111000101101100010111001001 f +b10000111000101101100010111001001 !" +b1100110110011100101110111100011 &" +b1100110110011100101110111100011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6708010 +b1100110110011100101110111100011 K" +#6708500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6709000 +1] +0s +b11011101011100010000111110010111 | +b11011101011100010000111110010111 B" +0=" +0@" +1g +0;" +0r +0q +15" +17" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +1y +1u +02" +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b100011001001101111011010111110 / +b100011001001101111011010111110 K +b100011001001101111011010111110 e +b100011001001101111011010111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6709500 +b0 7 +b0 N +b0 V +b1111011010011101010111100001010 2 +b1111011010011101010111100001010 I +b1111011010011101010111100001010 Z +b11 3 +b11 J +b11 Y +11 +b0 & +b0 C +b1111011010011101010111100001010 , +b1111011010011101010111100001010 H +b11 + +b11 G +1- +1. +0% +#6710000 +1s +0\ +0[ +1@" +1r +1q +05" +b11100 3" +1_ +b11111 -" +0y +b1100111111111110111010100110001 | +b1100111111111110111010100110001 B" +1b +1a +12" +0x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011101011100010000111110010111 0 +b11011101011100010000111110010111 M +b11011101011100010000111110010111 f +b11011101011100010000111110010111 !" +b1111011010011101010111100001010 &" +b1111011010011101010111100001010 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 } +b0 (" +b0 +" +0. +1% +#6710010 +b1111011010011101010111100001010 F" +#6710500 +b10111 5 +b10111 L +b10111 W +14 +b1100 7 +b1100 N +b1100 V +b1110110101110010110100110010100 2 +b1110110101110010110100110010100 I +b1110110101110010110100110010100 Z +b11110 3 +b11110 J +b11110 Y +b10111 ' +b10111 D +1) +b1100 & +b1100 C +b1110110101110010110100110010100 , +b1110110101110010110100110010100 H +b11110 + +b11110 G +1. +0% +#6711000 +0_ +0] +0i +b100100011110010000011011011111 { +b100100011110010000011011011111 A" +0s +b11011101101111110010011111000011 | +b11011101101111110010011111000011 B" +1[ +1=" +1>" +0h +0g +1:" +1;" +15" +16" +b1 3" +1<" +1` +0^ +0c +1d +b1000 0" +1j +0k +18" +1l +b10011 -" +0t +0y +1z +0a +1n +1m +1/" +b1110110101110010110100110010100 &" +b1110110101110010110100110010100 ?" +b11110 %" +b11110 *" +b11110 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b1100 } +b1100 (" +b1100 +" +b1100111111111110111010100110001 0 +b1100111111111110111010100110001 M +b1100111111111110111010100110001 f +b1100111111111110111010100110001 !" +0. +1% +#6711010 +b1110110101110010110100110010100 a" +#6711500 +b111 5 +b111 L +b111 W +b11000 7 +b11000 N +b11000 V +b10001010110101001111110011111110 2 +b10001010110101001111110011111110 I +b10001010110101001111110011111110 Z +b1 3 +b1 J +b1 Y +b111 ' +b111 D +b11000 & +b11000 C +b10001010110101001111110011111110 , +b10001010110101001111110011111110 H +b1 + +b1 G +1. +0% +#6712000 +1_ +1] +1k +0u +1y +1\ +0[ +1@" +0=" +0>" +1;" +b1000110001110110100110001000011 { +b1000110001110110100110001000011 A" +b11110010111111110001001001111010 | +b11110010111111110001001001111010 B" +15" +06" +b11110 3" +0<" +0` +0d +b11000 0" +08" +0l +b111 -" +14" +1v +0z +0b +1a +b100100011110010000011011011111 / +b100100011110010000011011011111 K +b100100011110010000011011011111 e +b100100011110010000011011011111 "" +b11011101101111110010011111000011 0 +b11011101101111110010011111000011 M +b11011101101111110010011111000011 f +b11011101101111110010011111000011 !" +b10001010110101001111110011111110 &" +b10001010110101001111110011111110 ?" +b1 %" +b1 *" +b1 1" +b111 ~ +b111 )" +b111 ." +b11000 } +b11000 (" +b11000 +" +0. +1% +#6712010 +b10001010110101001111110011111110 D" +#6712500 +b10110 5 +b10110 L +b10110 W +b10100 7 +b10100 N +b10100 V +b110000011101110011100011110100 2 +b110000011101110011100011110100 I +b110000011101110011100011110100 Z +b11 3 +b11 J +b11 Y +b10110 ' +b10110 D +b10100 & +b10100 C +b110000011101110011100011110100 , +b110000011101110011100011110100 H +b11 + +b11 G +1. +0% +#6713000 +16" +0k +1t +b101101111101001001101010101011 | +b101101111101001001101010101011 B" +0\ +1g +05" +b11100 3" +b1001 0" +18" +1l +b101011001110110010111001001101 { +b101011001110110010111001001101 A" +b1011 -" +0y +1b +0m +b110000011101110011100011110100 &" +b110000011101110011100011110100 ?" +b11 %" +b11 *" +b11 1" +b10110 ~ +b10110 )" +b10110 ." +b10100 } +b10100 (" +b10100 +" +b1000110001110110100110001000011 / +b1000110001110110100110001000011 K +b1000110001110110100110001000011 e +b1000110001110110100110001000011 "" +b11110010111111110001001001111010 0 +b11110010111111110001001001111010 M +b11110010111111110001001001111010 f +b11110010111111110001001001111010 !" +0. +1% +#6713010 +b110000011101110011100011110100 F" +#6713500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +b11000100010010101101110111000010 2 +b11000100010010101101110111000010 I +b11000100010010101101110111000010 Z +b10001 3 +b10001 J +b10001 Y +b0 ' +b0 D +0) +b10 & +b10 C +b11000100010010101101110111000010 , +b11000100010010101101110111000010 H +b10001 + +b10001 G +1. +0% +#6714000 +0_ +1i +1u +1s +1\ +1h +0:" +0;" +0r +17" +06" +b1110 3" +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +04" +0v +0t +b11110111100100010100110011001 | +b11110111100100010100110011001 B" +0b +0n +0/" +1x +b101011001110110010111001001101 / +b101011001110110010111001001101 K +b101011001110110010111001001101 e +b101011001110110010111001001101 "" +b101101111101001001101010101011 0 +b101101111101001001101010101011 M +b101101111101001001101010101011 f +b101101111101001001101010101011 !" +b11000100010010101101110111000010 &" +b11000100010010101101110111000010 ?" +b10001 %" +b10001 *" +b10001 1" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +0. +1% +#6714010 +b11000100010010101101110111000010 T" +#6714500 +b1111 7 +b1111 N +b1111 V +b10010101000010111101010001000111 2 +b10010101000010111101010001000111 I +b10010101000010111101010001000111 Z +b1011 3 +b1011 J +b1011 Y +b1111 & +b1111 C +b10010101000010111101010001000111 , +b10010101000010111101010001000111 H +b1011 + +b1011 G +1. +0% +#6715000 +1_ +0] +0s +0\ +1@" +1=" +0q +15" +16" +b10100 3" +0<" +0` +1c +b10000 -" +0t +0y +1z +b101111101101101101000011001100 | +b101111101101101101000011001100 B" +1b +1w +b10010101000010111101010001000111 &" +b10010101000010111101010001000111 ?" +b1011 %" +b1011 *" +b1011 1" +b1111 } +b1111 (" +b1111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110111100100010100110011001 0 +b11110111100100010100110011001 M +b11110111100100010100110011001 f +b11110111100100010100110011001 !" +0. +1% +#6715010 +b10010101000010111101010001000111 N" +#6715500 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6716000 +1] +1y +1\ +1[ +0=" +0@" +1r +15" +06" +b11111 3" +0c +0_ +b10110 -" +0z +b10101000111100000001010101000110 | +b10101000111100000001010101000110 B" +0b +0a +02" +0x +b101111101101101101000011001100 0 +b101111101101101101000011001100 M +b101111101101101101000011001100 f +b101111101101101101000011001100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +0. +1% +#6716500 +b10111 7 +b10111 N +b10111 V +b10111 & +b10111 C +1. +0% +#6717000 +16" +0u +1t +0r +05" +b1000 -" +14" +1v +0y +b100100011110010000011011011111 | +b100100011110010000011011011111 B" +1x +b10111 } +b10111 (" +b10111 +" +b10101000111100000001010101000110 0 +b10101000111100000001010101000110 M +b10101000111100000001010101000110 f +b10101000111100000001010101000110 !" +0. +1% +#6717500 +b0 7 +b0 N +b0 V +06 +b1100111000000100000101010101110 2 +b1100111000000100000101010101110 I +b1100111000000100000101010101110 Z +b10 3 +b10 J +b10 Y +11 +b0 & +b0 C +0( +b1100111000000100000101010101110 , +b1100111000000100000101010101110 H +b10 + +b10 G +1- +1. +0% +#6718000 +1s +0\ +1@" +1r +1q +06" +07" +b11101 3" +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0x +0w +0," +b100100011110010000011011011111 0 +b100100011110010000011011011111 M +b100100011110010000011011011111 f +b100100011110010000011011011111 !" +b1100111000000100000101010101110 &" +b1100111000000100000101010101110 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6718010 +b1100111000000100000101010101110 E" +#6718500 +b1011 7 +b1011 N +b1011 V +16 +b1100011001000110000011011000011 2 +b1100011001000110000011011000011 I +b1100011001000110000011011000011 Z +b1101 3 +b1101 J +b1101 Y +b1011 & +b1011 C +1( +b1100011001000110000011011000011 , +b1100011001000110000011011000011 H +b1101 + +b1101 G +1. +0% +#6719000 +0] +0s +b10010101000010111101010001000111 | +b10010101000010111101010001000111 B" +1\ +0[ +1=" +1>" +0r +0q +15" +17" +b10010 3" +0^ +0c +1d +b10100 -" +1y +1u +0b +1a +1x +1w +1," +b1100011001000110000011011000011 &" +b1100011001000110000011011000011 ?" +b1101 %" +b1101 *" +b1101 1" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6719010 +b1100011001000110000011011000011 P" +#6719500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6720000 +1] +0i +b11000010001111101000010001001100 { +b11000010001111101000010001001100 A" +1s +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +1r +1q +05" +07" +b11111 3" +0d +0_ +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +1n +1m +1/" +0x +0w +0," +b10010101000010111101010001000111 0 +b10010101000010111101010001000111 M +b10010101000010111101010001000111 f +b10010101000010111101010001000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6720500 +b101 5 +b101 L +b101 W +b101 ' +b101 D +1. +0% +#6721000 +1k +1j +1h +1;" +09" +1:" +b11010 0" +08" +0l +0p +b1100110101000001101001110110100 { +b1100110101000001101001110110100 A" +0n +b101 ~ +b101 )" +b101 ." +b11000010001111101000010001001100 / +b11000010001111101000010001001100 K +b11000010001111101000010001001100 e +b11000010001111101000010001001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6721500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b1101101010110101010011010001001 2 +b1101101010110101010011010001001 I +b1101101010110101010011010001001 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +b1101101010110101010011010001001 , +b1101101010110101010011010001001 H +b11001 + +b11001 G +1- +1. +0% +#6722000 +0] +1i +0s +b10000111001100001000110000101 | +b10000111001100001000110000101 B" +0[ +1=" +1@" +1g +0:" +0;" +0r +15" +16" +17" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +0t +0y +1z +1u +1a +12" +0m +0/" +1x +1," +b1100110101000001101001110110100 / +b1100110101000001101001110110100 K +b1100110101000001101001110110100 e +b1100110101000001101001110110100 "" +b1101101010110101010011010001001 &" +b1101101010110101010011010001001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#6722010 +b1101101010110101010011010001001 \" +#6722500 +b10000 5 +b10000 L +b10000 W +14 +b1101 7 +b1101 N +b1101 V +b11110100100010110001111100001 2 +b11110100100010110001111100001 I +b11110100100010110001111100001 Z +b1110 3 +b1110 J +b1110 Y +b10000 ' +b10000 D +1) +b1101 & +b1101 C +b11110100100010110001111100001 , +b11110100100010110001111100001 H +b1110 + +b1110 G +1. +0% +#6723000 +1_ +0c +b110100100111000110100001010110 { +b110100100111000110100001010110 A" +0\ +1[ +1@" +1>" +1;" +1r +0q +b10001 3" +0<" +0` +1d +b1111 0" +0k +18" +1l +b10010 -" +b1100011001000110000011011000011 | +b1100011001000110000011011000011 B" +1b +0a +1/" +0x +1w +b11110100100010110001111100001 &" +b11110100100010110001111100001 ?" +b1110 %" +b1110 *" +b1110 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +b1101 } +b1101 (" +b1101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000111001100001000110000101 0 +b10000111001100001000110000101 M +b10000111001100001000110000101 f +b10000111001100001000110000101 !" +0. +1% +#6723010 +b11110100100010110001111100001 Q" +#6723500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b10111110111011001010111110010 2 +b10111110111011001010111110010 I +b10111110111011001010111110010 Z +b0 ' +b0 D +0) +b10010 & +b10010 C +b10111110111011001010111110010 , +b10111110111011001010111110010 H +1. +0% +#6724000 +0u +1s +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +1q +05" +06" +b11111 0" +08" +0l +b1101 -" +14" +1v +0z +b11111010111000011110101001100010 | +b11111010111000011110101001100010 B" +0/" +1x +0w +b110100100111000110100001010110 / +b110100100111000110100001010110 K +b110100100111000110100001010110 e +b110100100111000110100001010110 "" +b1100011001000110000011011000011 0 +b1100011001000110000011011000011 M +b1100011001000110000011011000011 f +b1100011001000110000011011000011 !" +b10111110111011001010111110010 &" +b10111110111011001010111110010 ?" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#6724010 +b10111110111011001010111110010 Q" +#6724500 +b111 5 +b111 L +b111 W +14 +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6725000 +1] +0i +b1000110001110110100110001000011 { +b1000110001110110100110001000011 A" +1u +0s +1\ +0=" +0>" +0@" +0h +0g +1:" +1;" +0q +17" +15" +16" +b11111 3" +0d +0_ +b11000 0" +1j +1k +b10000 -" +04" +0v +0t +0y +1z +b101111101101101101000011001100 | +b101111101101101101000011001100 B" +0b +02" +1n +1m +1/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +b1111 } +b1111 (" +b1111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111010111000011110101001100010 0 +b11111010111000011110101001100010 M +b11111010111000011110101001100010 f +b11111010111000011110101001100010 !" +0. +1% +#6725500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b110101000101111101111001001 2 +b110101000101111101111001001 I +b110101000101111101111001001 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b11100 & +b11100 C +b110101000101111101111001001 , +b110101000101111101111001001 H +b1101 + +b1101 G +1- +1. +0% +#6726000 +0] +1i +0u +0[ +1=" +1>" +1@" +1h +1g +0:" +0;" +1r +1q +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +14" +1v +b1000100111100111111101111010101 | +b1000100111100111111101111010101 B" +1a +12" +0n +0m +0/" +0x +0w +b1000110001110110100110001000011 / +b1000110001110110100110001000011 K +b1000110001110110100110001000011 e +b1000110001110110100110001000011 "" +b101111101101101101000011001100 0 +b101111101101101101000011001100 M +b101111101101101101000011001100 f +b101111101101101101000011001100 !" +b110101000101111101111001001 &" +b110101000101111101111001001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#6726010 +b110101000101111101111001001 P" +#6726500 +b10000 7 +b10000 N +b10000 V +b10100101111001000000100101011101 2 +b10100101111001000000100101011101 I +b10100101111001000000100101011101 Z +b10001 3 +b10001 J +b10001 Y +b10000 & +b10000 C +b10100101111001000000100101011101 , +b10100101111001000000100101011101 H +b10001 + +b10001 G +1. +0% +#6727000 +0_ +1] +1s +b110100100111000110100001010110 | +b110100100111000110100001010110 B" +0=" +0>" +05" +06" +b1110 3" +1<" +1` +0d +b1111 -" +0z +b10100101111001000000100101011101 &" +b10100101111001000000100101011101 ?" +b10001 %" +b10001 *" +b10001 1" +b10000 } +b10000 (" +b10000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000100111100111111101111010101 0 +b1000100111100111111101111010101 M +b1000100111100111111101111010101 f +b1000100111100111111101111010101 !" +0. +1% +#6727010 +b10100101111001000000100101011101 T" +#6727500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b10010110101110110100100111001011 2 +b10010110101110110100100111001011 I +b10010110101110110100100111001011 Z +b11111 3 +b11111 J +b11111 Y +b11 ' +b11 D +1) +b0 & +b0 C +0( +b10010110101110110100100111001011 , +b10010110101110110100100111001011 H +b11111 + +b11111 G +1. +0% +#6728000 +0] +b110000011101110011100011110100 { +b110000011101110011100011110100 A" +0\ +1=" +1>" +0h +0g +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b0 3" +0^ +0c +1d +b11100 0" +1k +b11111 -" +04" +0v +1b +1n +1m +1/" +0," +b110100100111000110100001010110 0 +b110100100111000110100001010110 M +b110100100111000110100001010110 f +b110100100111000110100001010110 !" +b10010110101110110100100111001011 &" +b10010110101110110100100111001011 ?" +b11111 %" +b11111 *" +b11111 1" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6728500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b11000001111100111001101011100110 2 +b11000001111100111001101011100110 I +b11000001111100111001101011100110 Z +b10001 3 +b10001 J +b10001 Y +b0 ' +b0 D +0) +b11 & +b11 C +1( +b11000001111100111001101011100110 , +b11000001111100111001101011100110 H +b10001 + +b10001 G +1. +0% +#6729000 +1] +b110000011101110011100011110100 | +b110000011101110011100011110100 B" +1\ +0=" +0>" +1h +1g +0;" +0r +0q +17" +b1110 3" +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11100 -" +1u +0b +0n +0m +0/" +1x +1w +1," +b11000001111100111001101011100110 &" +b11000001111100111001101011100110 ?" +b10001 %" +b10001 *" +b10001 1" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +b110000011101110011100011110100 / +b110000011101110011100011110100 K +b110000011101110011100011110100 e +b110000011101110011100011110100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6729010 +b11000001111100111001101011100110 T" +#6729500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6730000 +0i +b1000100111100111111101111010101 { +b1000100111100111111101111010101 A" +1[ +0@" +19" +1:" +1;" +1r +1q +07" +b11111 3" +0<" +0` +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110000011101110011100011110100 0 +b110000011101110011100011110100 M +b110000011101110011100011110100 f +b110000011101110011100011110100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6730500 +b11001 5 +b11001 L +b11001 W +b11001 ' +b11001 D +1. +0% +#6731000 +1o +0g +19" +0:" +b110 0" +0p +b1101101010110101010011010001001 { +b1101101010110101010011010001001 A" +1m +b11001 ~ +b11001 )" +b11001 ." +b1000100111100111111101111010101 / +b1000100111100111111101111010101 K +b1000100111100111111101111010101 e +b1000100111100111111101111010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6731500 +b10001 5 +b10001 L +b10001 W +b10001 ' +b10001 D +1. +0% +#6732000 +1i +b11000001111100111001101011100110 { +b11000001111100111001101011100110 A" +09" +b1110 0" +0o +b1101101010110101010011010001001 / +b1101101010110101010011010001001 K +b1101101010110101010011010001001 e +b1101101010110101010011010001001 "" +b10001 ~ +b10001 )" +b10001 ." +0. +1% +#6732500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6733000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11000001111100111001101011100110 / +b11000001111100111001101011100110 K +b11000001111100111001101011100110 e +b11000001111100111001101011100110 "" +0. +1% +#6733500 +b1010010110101010011111000111101 2 +b1010010110101010011111000111101 I +b1010010110101010011111000111101 Z +b1010 3 +b1010 J +b1010 Y +11 +b1010010110101010011111000111101 , +b1010010110101010011111000111101 H +b1010 + +b1010 G +1- +1. +0% +#6734000 +0] +0\ +1=" +1@" +b10101 3" +1c +1_ +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010010110101010011111000111101 &" +b1010010110101010011111000111101 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +0. +1% +#6734010 +b1010010110101010011111000111101 M" +#6734500 +16 +b1001100011100011111100011011111 2 +b1001100011100011111100011011111 I +b1001100011100011111100011011111 Z +b1110 3 +b1110 J +b1110 Y +1( +b1001100011100011111100011011111 , +b1001100011100011111100011011111 H +b1110 + +b1110 G +1. +0% +#6735000 +0c +b1100111111111110111010100110001 | +b1100111111111110111010100110001 B" +1>" +17" +b10001 3" +1d +1u +1," +b1001100011100011111100011011111 &" +b1001100011100011111100011011111 ?" +b1110 %" +b1110 *" +b1110 1" +1#" +0. +1% +#6735010 +b1001100011100011111100011011111 Q" +#6735500 +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6736000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +0>" +0@" +07" +b11111 3" +0d +0_ +0u +0b +02" +0," +b1100111111111110111010100110001 0 +b1100111111111110111010100110001 M +b1100111111111110111010100110001 f +b1100111111111110111010100110001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0#" +0. +1% +#6736500 +b111 5 +b111 L +b111 W +14 +b10111 7 +b10111 N +b10111 V +16 +b111 ' +b111 D +1) +b10111 & +b10111 C +1( +1. +0% +#6737000 +0i +b1000110001110110100110001000011 { +b1000110001110110100110001000011 A" +0s +b100100011110010000011011011111 | +b100100011110010000011011011111 B" +0h +0g +1:" +1;" +0r +0q +16" +17" +b11000 0" +1j +1k +b1000 -" +1t +0u +14" +1v +1n +1m +1/" +1x +1w +1," +b111 ~ +b111 )" +b111 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6737500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +b0 ' +b0 D +0) +b11001 & +b11001 C +1. +0% +#6738000 +15" +1i +1y +1h +1g +0:" +0;" +1r +06" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +0t +b1101101010110101010011010001001 | +b1101101010110101010011010001001 B" +0n +0m +0/" +0x +b1000110001110110100110001000011 / +b1000110001110110100110001000011 K +b1000110001110110100110001000011 e +b1000110001110110100110001000011 "" +b100100011110010000011011011111 0 +b100100011110010000011011011111 M +b100100011110010000011011011111 f +b100100011110010000011011011111 !" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#6738500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6739000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101101010110101010011010001001 0 +b1101101010110101010011010001001 M +b1101101010110101010011010001001 f +b1101101010110101010011010001001 !" +0. +1% +#6739500 +1. +0% +#6740000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6740500 +b11100 5 +b11100 L +b11100 W +14 +b1 7 +b1 N +b1 V +16 +b10010000101011110100001000011101 2 +b10010000101011110100001000011101 I +b10010000101011110100001000011101 Z +b1101 3 +b1101 J +b1101 Y +11 +b11100 ' +b11100 D +1) +b1 & +b1 C +1( +b10010000101011110100001000011101 , +b10010000101011110100001000011101 H +b1101 + +b1101 G +1- +1. +0% +#6741000 +0] +0i +b1000100111100111111101111010101 { +b1000100111100111111101111010101 A" +b10001010110101001111110011111110 | +b10001010110101001111110011111110 B" +0[ +1=" +1>" +1@" +19" +1:" +1;" +0q +17" +b10010 3" +0^ +0c +1d +1_ +b11 0" +0j +0o +1p +0k +18" +1l +b11110 -" +1u +1a +12" +1/" +1w +1," +b10010000101011110100001000011101 &" +b10010000101011110100001000011101 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#6741010 +b10010000101011110100001000011101 P" +#6741500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b1000100011111001100100010101 2 +b1000100011111001100100010101 I +b1000100011111001100100010101 Z +b10 3 +b10 J +b10 Y +b1000 ' +b1000 D +b0 & +b0 C +0( +b1000100011111001100100010101 , +b1000100011111001100100010101 H +b10 + +b10 G +1. +0% +#6742000 +1] +1k +1o +0\ +1[ +0=" +0>" +1;" +b1100110110011100101110111100011 { +b1100110110011100101110111100011 A" +19" +0:" +1q +07" +b11101 3" +0d +b10111 0" +08" +0l +0p +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0a +0w +0," +b1000100111100111111101111010101 / +b1000100111100111111101111010101 K +b1000100111100111111101111010101 e +b1000100111100111111101111010101 "" +b10001010110101001111110011111110 0 +b10001010110101001111110011111110 M +b10001010110101001111110011111110 f +b10001010110101001111110011111110 !" +b1000100011111001100100010101 &" +b1000100011111001100100010101 ?" +b10 %" +b10 *" +b10 1" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6742010 +b1000100011111001100100010101 E" +#6742500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b11101010101100111110100110001101 2 +b11101010101100111110100110001101 I +b11101010101100111110100110001101 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b10 & +b10 C +1( +b11101010101100111110100110001101 , +b11101010101100111110100110001101 H +b10000 + +b10000 G +1. +0% +#6743000 +0_ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b1000100011111001100100010101 | +b1000100011111001100100010101 B" +1\ +09" +0;" +0r +17" +b1111 3" +1<" +1` +b11111 0" +0o +0k +b11101 -" +1u +0b +0/" +1x +1," +b11101010101100111110100110001101 &" +b11101010101100111110100110001101 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +b1100110110011100101110111100011 / +b1100110110011100101110111100011 K +b1100110110011100101110111100011 e +b1100110110011100101110111100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6743010 +b11101010101100111110100110001101 S" +#6743500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6744000 +0@" +1r +07" +b11111 3" +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000100011111001100100010101 0 +b1000100011111001100100010101 M +b1000100011111001100100010101 f +b1000100011111001100100010101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6744500 +14 +b11000 7 +b11000 N +b11000 V +16 +b110110110111010100100110 2 +b110110110111010100100110 I +b110110110111010100100110 Z +b100 3 +b100 J +b100 Y +11 +1) +b11000 & +b11000 C +1( +b110110110111010100100110 , +b110110110111010100100110 H +b100 + +b100 G +1- +1. +0% +#6745000 +0] +b1100111111111110111010100110001 { +b1100111111111110111010100110001 A" +0s +b11110010111111110001001001111010 | +b11110010111111110001001001111010 B" +1>" +1@" +1;" +15" +17" +b11011 3" +1^ +1_ +1k +b111 -" +1y +0u +14" +1v +12" +1/" +1," +b110110110111010100100110 &" +b110110110111010100100110 ?" +b100 %" +b100 *" +b100 1" +1'" +1$" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6745010 +b110110110111010100100110 G" +#6745500 +b11111 5 +b11111 L +b11111 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6746000 +1] +0k +0i +1s +0>" +0@" +0h +0g +19" +1:" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0_ +b0 0" +18" +1l +0j +0o +1p +b10010110101110110100100111001011 { +b10010110101110110100100111001011 A" +b11111 -" +0y +04" +0v +02" +1n +1m +0," +b1100111111111110111010100110001 / +b1100111111111110111010100110001 K +b1100111111111110111010100110001 e +b1100111111111110111010100110001 "" +b11110010111111110001001001111010 0 +b11110010111111110001001001111010 M +b11110010111111110001001001111010 f +b11110010111111110001001001111010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6746500 +b0 5 +b0 L +b0 W +04 +b1001001110100110001100001001111 2 +b1001001110100110001100001001111 I +b1001001110100110001100001001111 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b1001001110100110001100001001111 , +b1001001110100110001100001001111 H +b1100 + +b1100 G +1- +1. +0% +#6747000 +0] +1i +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0m +0/" +b1001001110100110001100001001111 &" +b1001001110100110001100001001111 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010110101110110100100111001011 / +b10010110101110110100100111001011 K +b10010110101110110100100111001011 e +b10010110101110110100100111001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6747010 +b1001001110100110001100001001111 O" +#6747500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6748000 +1] +0=" +0>" +0@" +b11111 3" +0d +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6748500 +b1101 5 +b1101 L +b1101 W +14 +b1101 ' +b1101 D +1) +1. +0% +#6749000 +0i +b10010000101011110100001000011101 { +b10010000101011110100001000011101 A" +0g +19" +1:" +1;" +b10010 0" +0j +0o +1p +1k +1m +1/" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#6749500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +1. +0% +#6750000 +1i +0s +b10010000101011110100001000011101 | +b10010000101011110100001000011101 B" +1g +09" +0:" +0;" +0q +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +0t +0y +1z +1u +0m +0/" +1w +1," +b10010000101011110100001000011101 / +b10010000101011110100001000011101 K +b10010000101011110100001000011101 e +b10010000101011110100001000011101 "" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#6750500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b11001011001110000011010101110 2 +b11001011001110000011010101110 I +b11001011001110000011010101110 Z +b1 3 +b1 J +b1 Y +11 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b11001011001110000011010101110 , +b11001011001110000011010101110 H +b1 + +b1 G +1- +1. +0% +#6751000 +0i +b10111110101101010110111100001110 { +b10111110101101010110111100001110 A" +1s +0[ +1@" +0h +1:" +1;" +1q +05" +06" +07" +b11110 3" +1_ +b11001 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1n +1/" +0w +0," +b11001011001110000011010101110 &" +b11001011001110000011010101110 ?" +b1 %" +b1 *" +b1 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010000101011110100001000011101 0 +b10010000101011110100001000011101 M +b10010000101011110100001000011101 f +b10010000101011110100001000011101 !" +0. +1% +#6751010 +b11001011001110000011010101110 D" +#6751500 +b111 5 +b111 L +b111 W +b10001110110001110001111001100110 2 +b10001110110001110001111001100110 I +b10001110110001110001111001100110 Z +b1100 3 +b1100 J +b1100 Y +b111 ' +b111 D +b10001110110001110001111001100110 , +b10001110110001110001111001100110 H +b1100 + +b1100 G +1. +0% +#6752000 +0] +1[ +1=" +1>" +0g +b10011 3" +0^ +0c +1d +b11000 0" +b1000110001110110100110001000011 { +b1000110001110110100110001000011 A" +0a +1m +b10111110101101010110111100001110 / +b10111110101101010110111100001110 K +b10111110101101010110111100001110 e +b10111110101101010110111100001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001110110001110001111001100110 &" +b10001110110001110001111001100110 ?" +b1100 %" +b1100 *" +b1100 1" +b111 ~ +b111 )" +b111 ." +0. +1% +#6752010 +b10001110110001110001111001100110 O" +#6752500 +b0 5 +b0 L +b0 W +04 +b101110111111100000010011011011 2 +b101110111111100000010011011011 I +b101110111111100000010011011011 Z +b10010 3 +b10010 J +b10010 Y +b0 ' +b0 D +0) +b101110111111100000010011011011 , +b101110111111100000010011011011 H +b10010 + +b10010 G +1. +0% +#6753000 +0_ +1] +1i +0\ +0=" +0>" +1h +1g +0:" +0;" +b1101 3" +1<" +1` +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0n +0m +0/" +b101110111111100000010011011011 &" +b101110111111100000010011011011 ?" +b10010 %" +b10010 *" +b10010 1" +b0 ~ +b0 )" +b0 ." +0$" +b1000110001110110100110001000011 / +b1000110001110110100110001000011 K +b1000110001110110100110001000011 e +b1000110001110110100110001000011 "" +0. +1% +#6753010 +b101110111111100000010011011011 U" +#6753500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6754000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6754500 +b10010 7 +b10010 N +b10010 V +16 +b11111100010000010101111010 2 +b11111100010000010101111010 I +b11111100010000010101111010 Z +b1011 3 +b1011 J +b1011 Y +11 +b10010 & +b10010 C +1( +b11111100010000010101111010 , +b11111100010000010101111010 H +b1011 + +b1011 G +1- +1. +0% +#6755000 +0] +b101110111111100000010011011011 | +b101110111111100000010011011011 B" +0\ +0[ +1=" +1@" +0r +17" +b10100 3" +1c +1_ +b1101 -" +0u +14" +1v +1b +1a +12" +1x +1," +b11111100010000010101111010 &" +b11111100010000010101111010 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#6755010 +b11111100010000010101111010 N" +#6755500 +b1100 7 +b1100 N +b1100 V +b111101100011101110111111101100 2 +b111101100011101110111111101100 I +b111101100011101110111111101100 Z +b1110 3 +b1110 J +b1110 Y +b1100 & +b1100 C +b111101100011101110111111101100 , +b111101100011101110111111101100 H +b1110 + +b1110 G +1. +0% +#6756000 +0c +1u +0s +1[ +1>" +1r +17" +15" +16" +b10001 3" +1d +b10011 -" +04" +0v +0t +0y +1z +b10001110110001110001111001100110 | +b10001110110001110001111001100110 B" +0a +0x +b101110111111100000010011011011 0 +b101110111111100000010011011011 M +b101110111111100000010011011011 f +b101110111111100000010011011011 !" +b111101100011101110111111101100 &" +b111101100011101110111111101100 ?" +b1110 %" +b1110 *" +b1110 1" +b1100 } +b1100 (" +b1100 +" +0. +1% +#6756010 +b111101100011101110111111101100 Q" +#6756500 +b0 7 +b0 N +b0 V +06 +b1101111011100101001100010010001 2 +b1101111011100101001100010010001 I +b1101111011100101001100010010001 Z +b101 3 +b101 J +b101 Y +b0 & +b0 C +0( +b1101111011100101001100010010001 , +b1101111011100101001100010010001 H +b101 + +b101 G +1. +0% +#6757000 +1^ +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0[ +0=" +1>" +05" +06" +07" +b11010 3" +0d +b11111 -" +0z +0u +0b +1a +0," +b1101111011100101001100010010001 &" +b1101111011100101001100010010001 ?" +b101 %" +b101 *" +b101 1" +b0 } +b0 (" +b0 +" +0#" +b10001110110001110001111001100110 0 +b10001110110001110001111001100110 M +b10001110110001110001111001100110 f +b10001110110001110001111001100110 !" +0. +1% +#6757010 +b1101111011100101001100010010001 H" +#6757500 +b1101 5 +b1101 L +b1101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6758000 +1] +0i +b10010000101011110100001000011101 { +b10010000101011110100001000011101 A" +1[ +0>" +0@" +0g +19" +1:" +1;" +b11111 3" +0^ +0_ +b10010 0" +0j +0o +1p +1k +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#6758500 +b10 5 +b10 L +b10 W +b11010001010000010011010001001 2 +b11010001010000010011010001001 I +b11010001010000010011010001001 Z +b11100 3 +b11100 J +b11100 Y +11 +b10 ' +b10 D +b11010001010000010011010001001 , +b11010001010000010011010001001 H +b11100 + +b11100 G +1- +1. +0% +#6759000 +0] +1i +1=" +1>" +1@" +0h +1g +09" +0:" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11101 0" +0p +b1000100011111001100100010101 { +b1000100011111001100100010101 A" +12" +1n +0m +b11010001010000010011010001001 &" +b11010001010000010011010001001 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b10 ~ +b10 )" +b10 ." +b10010000101011110100001000011101 / +b10010000101011110100001000011101 K +b10010000101011110100001000011101 e +b10010000101011110100001000011101 "" +0. +1% +#6759010 +b11010001010000010011010001001 _" +#6759500 +b1111 5 +b1111 L +b1111 W +b11011010011110100111001110010010 2 +b11011010011110100111001110010010 I +b11011010011110100111001110010010 Z +b1110 3 +b1110 J +b1110 Y +b1111 ' +b1111 D +b11011010011110100111001110010010 , +b11011010011110100111001110010010 H +b1110 + +b1110 G +1. +0% +#6760000 +1_ +0i +0\ +1@" +0g +19" +1:" +b10001 3" +0<" +0` +b10000 0" +0j +0o +1p +b101111101101101101000011001100 { +b101111101101101101000011001100 A" +1b +1m +b1000100011111001100100010101 / +b1000100011111001100100010101 K +b1000100011111001100100010101 e +b1000100011111001100100010101 "" +b11011010011110100111001110010010 &" +b11011010011110100111001110010010 ?" +b1110 %" +b1110 *" +b1110 1" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#6760010 +b11011010011110100111001110010010 Q" +#6760500 +b0 5 +b0 L +b0 W +04 +b10101011110101001000111010100011 2 +b10101011110101001000111010100011 I +b10101011110101001000111010100011 Z +b1101 3 +b1101 J +b1101 Y +b0 ' +b0 D +0) +b10101011110101001000111010100011 , +b10101011110101001000111010100011 H +b1101 + +b1101 G +1. +0% +#6761000 +1i +1\ +0[ +1h +1g +09" +0:" +0;" +b10010 3" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +1a +0n +0m +0/" +b10101011110101001000111010100011 &" +b10101011110101001000111010100011 ?" +b1101 %" +b1101 *" +b1101 1" +b0 ~ +b0 )" +b0 ." +0$" +b101111101101101101000011001100 / +b101111101101101101000011001100 K +b101111101101101101000011001100 e +b101111101101101101000011001100 "" +0. +1% +#6761010 +b10101011110101001000111010100011 P" +#6761500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6762000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6762500 +1. +0% +#6763000 +0. +1% +#6763500 +b11111 5 +b11111 L +b11111 W +14 +b1000011011111110011100011010101 2 +b1000011011111110011100011010101 I +b1000011011111110011100011010101 Z +b11 3 +b11 J +b11 Y +11 +b11111 ' +b11111 D +1) +b1000011011111110011100011010101 , +b1000011011111110011100011010101 H +b11 + +b11 G +1- +1. +0% +#6764000 +0i +b10010110101110110100100111001011 { +b10010110101110110100100111001011 A" +0\ +0[ +1@" +0h +0g +19" +1:" +1;" +b11100 3" +1_ +b0 0" +0j +0o +1p +0k +18" +1l +1b +1a +12" +1n +1m +1/" +b1000011011111110011100011010101 &" +b1000011011111110011100011010101 ?" +b11 %" +b11 *" +b11 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#6764010 +b1000011011111110011100011010101 F" +#6764500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6765000 +1i +b1110010011010110101010001111011 | +b1110010011010110101010001111011 B" +1\ +1[ +0@" +1h +1g +09" +0:" +0;" +0r +0q +17" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0u +14" +1v +0b +0a +02" +0n +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b10010110101110110100100111001011 / +b10010110101110110100100111001011 K +b10010110101110110100100111001011 e +b10010110101110110100100111001011 "" +0. +1% +#6765500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6766000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110010011010110101010001111011 0 +b1110010011010110101010001111011 M +b1110010011010110101010001111011 f +b1110010011010110101010001111011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6766500 +b10101111101110110110001100110001 2 +b10101111101110110110001100110001 I +b10101111101110110110001100110001 Z +b110 3 +b110 J +b110 Y +11 +b10101111101110110110001100110001 , +b10101111101110110110001100110001 H +b110 + +b110 G +1- +1. +0% +#6767000 +0] +0\ +1>" +1@" +b11001 3" +1^ +1_ +1b +12" +b10101111101110110110001100110001 &" +b10101111101110110110001100110001 ?" +b110 %" +b110 *" +b110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6767010 +b10101111101110110110001100110001 I" +#6767500 +b11000100101011111101101100011100 2 +b11000100101011111101101100011100 I +b11000100101011111101101100011100 Z +b1010 3 +b1010 J +b1010 Y +b11000100101011111101101100011100 , +b11000100101011111101101100011100 H +b1010 + +b1010 G +1. +0% +#6768000 +1=" +1c +0>" +b10101 3" +0^ +b11000100101011111101101100011100 &" +b11000100101011111101101100011100 ?" +b1010 %" +b1010 *" +b1010 1" +0. +1% +#6768010 +b11000100101011111101101100011100 M" +#6768500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6769000 +1] +1\ +0=" +0@" +b11111 3" +0c +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6769500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#6770000 +0i +b101111101101101101000011001100 { +b101111101101101101000011001100 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#6770500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +1. +0% +#6771000 +1i +0s +b101100010110001101010000000010 | +b101100010110001101010000000010 B" +1h +1g +09" +0:" +0;" +0q +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1010 -" +1t +0u +14" +1v +0n +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +b101111101101101101000011001100 / +b101111101101101101000011001100 K +b101111101101101101000011001100 e +b101111101101101101000011001100 "" +0. +1% +#6771500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +1. +0% +#6772000 +b101110111111100000010011011011 { +b101110111111100000010011011011 A" +1s +0h +1;" +1q +06" +07" +b1101 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101100010110001101010000000010 0 +b101100010110001101010000000010 M +b101100010110001101010000000010 f +b101100010110001101010000000010 !" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6772500 +b1101 5 +b1101 L +b1101 W +b1101 ' +b1101 D +1. +0% +#6773000 +1k +0i +1h +0g +1;" +19" +1:" +b10010 0" +08" +0l +0j +0o +1p +b10101011110101001000111010100011 { +b10101011110101001000111010100011 A" +0n +1m +b1101 ~ +b1101 )" +b1101 ." +b101110111111100000010011011011 / +b101110111111100000010011011011 K +b101110111111100000010011011011 e +b101110111111100000010011011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6773500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6774000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b10101011110101001000111010100011 / +b10101011110101001000111010100011 K +b10101011110101001000111010100011 e +b10101011110101001000111010100011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6774500 +1. +0% +#6775000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6775500 +b11001 5 +b11001 L +b11001 W +14 +b11010111011111010110111000001010 2 +b11010111011111010110111000001010 I +b11010111011111010110111000001010 Z +b11 3 +b11 J +b11 Y +11 +b11001 ' +b11001 D +1) +b11010111011111010110111000001010 , +b11010111011111010110111000001010 H +b11 + +b11 G +1- +1. +0% +#6776000 +0i +b1101101010110101010011010001001 { +b1101101010110101010011010001001 A" +0\ +0[ +1@" +0g +19" +1;" +b11100 3" +1_ +b110 0" +1o +0k +18" +1l +1b +1a +12" +1m +1/" +b11010111011111010110111000001010 &" +b11010111011111010110111000001010 ?" +b11 %" +b11 *" +b11 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#6776010 +b11010111011111010110111000001010 F" +#6776500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b10000101110011100011001001010010 2 +b10000101110011100011001001010010 I +b10000101110011100011001001010010 Z +b110 3 +b110 J +b110 Y +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b10000101110011100011001001010010 , +b10000101110011100011001001010010 H +b110 + +b110 G +1. +0% +#6777000 +0] +1i +b11000001111100111001101011100110 | +b11000001111100111001101011100110 B" +1[ +1>" +1g +09" +0;" +0q +17" +b11001 3" +1^ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +0a +0m +0/" +1w +1," +b10000101110011100011001001010010 &" +b10000101110011100011001001010010 ?" +b110 %" +b110 *" +b110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b1101101010110101010011010001001 / +b1101101010110101010011010001001 K +b1101101010110101010011010001001 e +b1101101010110101010011010001001 "" +0. +1% +#6777010 +b10000101110011100011001001010010 I" +#6777500 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6778000 +1] +0s +b1101101010110101010011010001001 | +b1101101010110101010011010001001 B" +1\ +0>" +0@" +15" +b11111 3" +0^ +0_ +b110 -" +1y +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000001111100111001101011100110 0 +b11000001111100111001101011100110 M +b11000001111100111001101011100110 f +b11000001111100111001101011100110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +0. +1% +#6778500 +b0 7 +b0 N +b0 V +06 +b10010101111001001111100100010 2 +b10010101111001001111100100010 I +b10010101111001001111100100010 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b10010101111001001111100100010 , +b10010101111001001111100100010 H +b111 + +b111 G +1- +1. +0% +#6779000 +0] +1s +0\ +0[ +1>" +1@" +1q +05" +07" +b11000 3" +1^ +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b10010101111001001111100100010 &" +b10010101111001001111100100010 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1101101010110101010011010001001 0 +b1101101010110101010011010001001 M +b1101101010110101010011010001001 f +b1101101010110101010011010001001 !" +0. +1% +#6779010 +b10010101111001001111100100010 J" +#6779500 +b10000 5 +b10000 L +b10000 W +14 +b10011110001101101100000111110010 2 +b10011110001101101100000111110010 I +b10011110001101101100000111110010 Z +b10111 3 +b10111 J +b10111 Y +b10000 ' +b10000 D +1) +b10011110001101101100000111110010 , +b10011110001101101100000111110010 H +b10111 + +b10111 G +1. +0% +#6780000 +0_ +b11101010101100111110100110001101 { +b11101010101100111110100110001101 A" +1;" +b1000 3" +1<" +1` +b1111 0" +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011110001101101100000111110010 &" +b10011110001101101100000111110010 ?" +b10111 %" +b10111 *" +b10111 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#6780010 +b10011110001101101100000111110010 Z" +#6780500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6781000 +1] +1\ +1[ +0>" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0^ +0<" +0` +b11111 0" +08" +0l +0b +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101010101100111110100110001101 / +b11101010101100111110100110001101 K +b11101010101100111110100110001101 e +b11101010101100111110100110001101 "" +0. +1% +#6781500 +b11011 5 +b11011 L +b11011 W +14 +b11011 ' +b11011 D +1) +1. +0% +#6782000 +0i +b11111001111011010010100100101110 { +b11111001111011010010100100101110 A" +0h +0g +19" +1;" +b100 0" +1o +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#6782500 +b10110 5 +b10110 L +b10110 W +b11110 7 +b11110 N +b11110 V +16 +b10110 ' +b10110 D +b11110 & +b11110 C +1( +1. +0% +#6783000 +1:" +1j +0s +b1110110101110010110100110010100 | +b1110110101110010110100110010100 B" +1g +09" +0r +15" +16" +17" +b1001 0" +0o +b101011001110110010111001001101 { +b101011001110110010111001001101 A" +b1 -" +0t +0y +1z +0u +14" +1v +0m +1x +1," +b10110 ~ +b10110 )" +b10110 ." +b11110 } +b11110 (" +b11110 +" +1#" +b11111001111011010010100100101110 / +b11111001111011010010100100101110 K +b11111001111011010010100100101110 e +b11111001111011010010100100101110 "" +0. +1% +#6783500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b10111000001010110110010100011001 2 +b10111000001010110110010100011001 I +b10111000001010110110010100011001 Z +b10001 3 +b10001 J +b10001 Y +11 +b1001 ' +b1001 D +b0 & +b0 C +0( +b10111000001010110110010100011001 , +b10111000001010110110010100011001 H +b10001 + +b10001 G +1- +1. +0% +#6784000 +19" +1k +1o +1s +0[ +1@" +1h +0g +1;" +0:" +1r +05" +06" +07" +b1110 3" +0_ +1<" +1` +b10110 0" +08" +0l +0j +b10101000111100000001010101000110 { +b10101000111100000001010101000110 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0n +1m +0x +0," +b101011001110110010111001001101 / +b101011001110110010111001001101 K +b101011001110110010111001001101 e +b101011001110110010111001001101 "" +b1110110101110010110100110010100 0 +b1110110101110010110100110010100 M +b1110110101110010110100110010100 f +b1110110101110010110100110010100 !" +b10111000001010110110010100011001 &" +b10111000001010110110010100011001 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6784010 +b10111000001010110110010100011001 T" +#6784500 +b1011 5 +b1011 L +b1011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6785000 +1[ +0@" +0h +b11111 3" +0<" +0` +b10100 0" +b11111100010000010101111010 { +b11111100010000010101111010 A" +0a +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +b10101000111100000001010101000110 / +b10101000111100000001010101000110 K +b10101000111100000001010101000110 e +b10101000111100000001010101000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6785500 +b0 5 +b0 L +b0 W +04 +b1100110110001010100111110101101 2 +b1100110110001010100111110101101 I +b1100110110001010100111110101101 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b1100110110001010100111110101101 , +b1100110110001010100111110101101 H +b1 + +b1 G +1- +1. +0% +#6786000 +1i +0[ +1@" +1h +1g +09" +0;" +b11110 3" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0m +0/" +b11111100010000010101111010 / +b11111100010000010101111010 K +b11111100010000010101111010 e +b11111100010000010101111010 "" +b1100110110001010100111110101101 &" +b1100110110001010100111110101101 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6786010 +b1100110110001010100111110101101 D" +#6786500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6787000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6787500 +b11000101010011110110001011000100 2 +b11000101010011110110001011000100 I +b11000101010011110110001011000100 Z +11 +b11000101010011110110001011000100 , +b11000101010011110110001011000100 H +1- +1. +0% +#6788000 +1@" +1_ +12" +b11000101010011110110001011000100 &" +b11000101010011110110001011000100 ?" +1'" +0. +1% +#6788010 +b11000101010011110110001011000100 C" +#6788500 +b10110 5 +b10110 L +b10110 W +14 +b1100 7 +b1100 N +b1100 V +16 +b11010111110000110011100011111010 2 +b11010111110000110011100011111010 I +b11010111110000110011100011111010 Z +b11010 3 +b11010 J +b11010 Y +b10110 ' +b10110 D +1) +b1100 & +b1100 C +1( +b11010111110000110011100011111010 , +b11010111110000110011100011111010 H +b11010 + +b11010 G +1. +0% +#6789000 +0_ +0] +0i +b101011001110110010111001001101 { +b101011001110110010111001001101 A" +0s +b10001110110001110001111001100110 | +b10001110110001110001111001100110 B" +0\ +1=" +0h +1:" +1;" +15" +16" +17" +b101 3" +1<" +1` +1c +b1001 0" +1j +0k +18" +1l +b10011 -" +0t +0y +1z +1u +1b +1n +1/" +1," +b11010111110000110011100011111010 &" +b11010111110000110011100011111010 ?" +b11010 %" +b11010 *" +b11010 1" +b10110 ~ +b10110 )" +b10110 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#6789010 +b11010111110000110011100011111010 ]" +#6789500 +b10101 5 +b10101 L +b10101 W +b10001 7 +b10001 N +b10001 V +b1000100001100001001110000100100 2 +b1000100001100001001110000100100 I +b1000100001100001001110000100100 Z +b11001 3 +b11001 J +b11001 Y +b10101 ' +b10101 D +b10001 & +b10001 C +b1000100001100001001110000100100 , +b1000100001100001001110000100100 H +b11001 + +b11001 G +1. +0% +#6790000 +0u +1s +1\ +0[ +1h +0g +0q +05" +06" +b110 3" +b1010 0" +b101100010110001101010000000010 { +b101100010110001101010000000010 A" +b1110 -" +14" +1v +0z +b10111000001010110110010100011001 | +b10111000001010110110010100011001 B" +0b +1a +0n +1m +1w +b101011001110110010111001001101 / +b101011001110110010111001001101 K +b101011001110110010111001001101 e +b101011001110110010111001001101 "" +b10001110110001110001111001100110 0 +b10001110110001110001111001100110 M +b10001110110001110001111001100110 f +b10001110110001110001111001100110 !" +b1000100001100001001110000100100 &" +b1000100001100001001110000100100 ?" +b11001 %" +b11001 *" +b11001 1" +b10101 ~ +b10101 )" +b10101 ." +b10001 } +b10001 (" +b10001 +" +0. +1% +#6790010 +b1000100001100001001110000100100 \" +#6790500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011101100101011100111110110110 2 +b11011101100101011100111110110110 I +b11011101100101011100111110110110 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011101100101011100111110110110 , +b11011101100101011100111110110110 H +b0 + +b0 G +1. +0% +#6791000 +1_ +1] +1i +1[ +1@" +0=" +1g +0:" +0;" +1q +07" +b11111 3" +0<" +0` +0c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +0m +0/" +0w +0," +b11011101100101011100111110110110 &" +b11011101100101011100111110110110 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101100010110001101010000000010 / +b101100010110001101010000000010 K +b101100010110001101010000000010 e +b101100010110001101010000000010 "" +b10111000001010110110010100011001 0 +b10111000001010110110010100011001 M +b10111000001010110110010100011001 f +b10111000001010110110010100011001 !" +0. +1% +#6791010 +b11011101100101011100111110110110 C" +#6791500 +b1101 5 +b1101 L +b1101 W +14 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +01 +b1101 ' +b1101 D +1) +b1000 & +b1000 C +1( +b0 , +b0 H +0- +1. +0% +#6792000 +0i +b10101011110101001000111010100011 { +b10101011110101001000111010100011 A" +0s +b1100110110011100101110111100011 | +b1100110110011100101110111100011 B" +0@" +0g +19" +1:" +1;" +15" +17" +0_ +b10010 0" +0j +0o +1p +1k +b10111 -" +1y +1u +02" +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#6792500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b11110011001111101100010010001101 2 +b11110011001111101100010010001101 I +b11110011001111101100010010001101 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +b11110011001111101100010010001101 , +b11110011001111101100010010001101 H +b11001 + +b11001 G +1- +1. +0% +#6793000 +0] +1i +0u +1s +0[ +1=" +1@" +1g +09" +0:" +0;" +0q +05" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +14" +1v +0y +b10111000001010110110010100011001 | +b10111000001010110110010100011001 B" +1a +12" +0m +0/" +1w +b11110011001111101100010010001101 &" +b11110011001111101100010010001101 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +b10101011110101001000111010100011 / +b10101011110101001000111010100011 K +b10101011110101001000111010100011 e +b10101011110101001000111010100011 "" +b1100110110011100101110111100011 0 +b1100110110011100101110111100011 M +b1100110110011100101110111100011 f +b1100110110011100101110111100011 !" +0. +1% +#6793010 +b11110011001111101100010010001101 \" +#6793500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6794000 +1] +1[ +0=" +0@" +1q +07" +b11111 3" +0c +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111000001010110110010100011001 0 +b10111000001010110110010100011001 M +b10111000001010110110010100011001 f +b10111000001010110110010100011001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6794500 +b10101 7 +b10101 N +b10101 V +16 +b1010010010101110111110000100000 2 +b1010010010101110111110000100000 I +b1010010010101110111110000100000 Z +b10001 3 +b10001 J +b10001 Y +11 +b10101 & +b10101 C +1( +b1010010010101110111110000100000 , +b1010010010101110111110000100000 H +b10001 + +b10001 G +1- +1. +0% +#6795000 +0s +b101100010110001101010000000010 | +b101100010110001101010000000010 B" +0[ +1@" +0q +16" +17" +b1110 3" +0_ +1<" +1` +b1010 -" +1t +0u +14" +1v +1a +12" +1w +1," +b1010010010101110111110000100000 &" +b1010010010101110111110000100000 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6795010 +b1010010010101110111110000100000 T" +#6795500 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6796000 +1u +1[ +0@" +1q +17" +b11111 3" +0<" +0` +b11011 -" +04" +0v +b110110110111010100100110 | +b110110110111010100100110 B" +0a +02" +0w +b101100010110001101010000000010 0 +b101100010110001101010000000010 M +b101100010110001101010000000010 f +b101100010110001101010000000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +0. +1% +#6796500 +b10001 5 +b10001 L +b10001 W +14 +b111 7 +b111 N +b111 V +b10001 ' +b10001 D +1) +b111 & +b111 C +1. +0% +#6797000 +b1010010010101110111110000100000 { +b1010010010101110111110000100000 A" +0g +1;" +0r +0q +b1110 0" +0k +18" +1l +b11000 -" +b10010101111001001111100100010 | +b10010101111001001111100100010 B" +1m +1/" +1x +1w +b10001 ~ +b10001 )" +b10001 ." +1$" +b111 } +b111 (" +b111 +" +b110110110111010100100110 0 +b110110110111010100100110 M +b110110110111010100100110 f +b110110110111010100100110 !" +0. +1% +#6797500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11111000100010010111010100110010 2 +b11111000100010010111010100110010 I +b11111000100010010111010100110010 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11111000100010010111010100110010 , +b11111000100010010111010100110010 H +b10 + +b10 G +1- +1. +0% +#6798000 +1s +0\ +1@" +1g +0;" +1r +1q +06" +07" +b11101 3" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0m +0/" +0x +0w +0," +b1010010010101110111110000100000 / +b1010010010101110111110000100000 K +b1010010010101110111110000100000 e +b1010010010101110111110000100000 "" +b10010101111001001111100100010 0 +b10010101111001001111100100010 M +b10010101111001001111100100010 f +b10010101111001001111100100010 !" +b11111000100010010111010100110010 &" +b11111000100010010111010100110010 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6798010 +b11111000100010010111010100110010 E" +#6798500 +b10110 7 +b10110 N +b10110 V +16 +b100010101011000101110101001101 2 +b100010101011000101110101001101 I +b100010101011000101110101001101 Z +b101 3 +b101 J +b101 Y +b10110 & +b10110 C +1( +b100010101011000101110101001101 , +b100010101011000101110101001101 H +b101 + +b101 G +1. +0% +#6799000 +0] +0s +b101011001110110010111001001101 | +b101011001110110010111001001101 B" +1\ +0[ +1>" +0r +16" +17" +b11010 3" +1^ +b1001 -" +1t +0u +14" +1v +0b +1a +1x +1," +b100010101011000101110101001101 &" +b100010101011000101110101001101 ?" +b101 %" +b101 *" +b101 1" +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6799010 +b100010101011000101110101001101 H" +#6799500 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6800000 +1] +0t +1[ +0>" +0@" +0q +15" +b11111 3" +0^ +0_ +b0 -" +1z +b10010110101110110100100111001011 | +b10010110101110110100100111001011 B" +0a +02" +1w +b101011001110110010111001001101 0 +b101011001110110010111001001101 M +b101011001110110010111001001101 f +b101011001110110010111001001101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +0. +1% +#6800500 +b0 7 +b0 N +b0 V +06 +b10111111000001110111101101110101 2 +b10111111000001110111101101110101 I +b10111111000001110111101101110101 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 & +b0 C +0( +b10111111000001110111101101110101 , +b10111111000001110111101101110101 H +b11111 + +b11111 G +1- +1. +0% +#6801000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1r +1q +05" +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0w +0," +b10111111000001110111101101110101 &" +b10111111000001110111101101110101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10010110101110110100100111001011 0 +b10010110101110110100100111001011 M +b10010110101110110100100111001011 f +b10010110101110110100100111001011 !" +0. +1% +#6801500 +b1111 5 +b1111 L +b1111 W +14 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6802000 +1] +0i +b101111101101101101000011001100 { +b101111101101101101000011001100 A" +b1110010011010110101010001111011 | +b1110010011010110101010001111011 B" +1\ +1[ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +0r +0q +17" +b11111 3" +0d +0<" +0` +b10000 0" +0j +0o +1p +1k +b1100 -" +0u +14" +1v +0b +0a +02" +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#6802500 +b0 5 +b0 L +b0 W +04 +b111000110001111010111100011101 2 +b111000110001111010111100011101 I +b111000110001111010111100011101 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b111000110001111010111100011101 , +b111000110001111010111100011101 H +b10010 + +b10010 G +1- +1. +0% +#6803000 +1i +0\ +1@" +1h +1g +09" +0:" +0;" +b1101 3" +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0m +0/" +b111000110001111010111100011101 &" +b111000110001111010111100011101 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101111101101101101000011001100 / +b101111101101101101000011001100 K +b101111101101101101000011001100 e +b101111101101101101000011001100 "" +b1110010011010110101010001111011 0 +b1110010011010110101010001111011 M +b1110010011010110101010001111011 f +b1110010011010110101010001111011 !" +0. +1% +#6803010 +b111000110001111010111100011101 U" +#6803500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6804000 +0i +b101100010110001101010000000010 { +b101100010110001101010000000010 A" +1\ +0@" +0g +1:" +1;" +1r +1q +07" +b11111 3" +0<" +0` +b1010 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6804500 +b0 5 +b0 L +b0 W +04 +b10110101011111001101111111111111 2 +b10110101011111001101111111111111 I +b10110101011111001101111111111111 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b10110101011111001101111111111111 , +b10110101011111001101111111111111 H +b1100 + +b1100 G +1- +1. +0% +#6805000 +0] +1i +1=" +1>" +1@" +1g +0:" +0;" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0m +0/" +b10110101011111001101111111111111 &" +b10110101011111001101111111111111 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101100010110001101010000000010 / +b101100010110001101010000000010 K +b101100010110001101010000000010 e +b101100010110001101010000000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6805010 +b10110101011111001101111111111111 O" +#6805500 +b1100 7 +b1100 N +b1100 V +16 +b1001101111100010000100001100100 2 +b1001101111100010000100001100100 I +b1001101111100010000100001100100 Z +b1 3 +b1 J +b1 Y +b1100 & +b1100 C +1( +b1001101111100010000100001100100 , +b1001101111100010000100001100100 H +b1 + +b1 G +1. +0% +#6806000 +1] +0s +b10110101011111001101111111111111 | +b10110101011111001101111111111111 B" +0[ +0=" +0>" +15" +16" +17" +b11110 3" +0d +b10011 -" +0t +0y +1z +1u +1a +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001101111100010000100001100100 &" +b1001101111100010000100001100100 ?" +b1 %" +b1 *" +b1 1" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#6806010 +b1001101111100010000100001100100 D" +#6806500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6807000 +0i +b101011001110110010111001001101 { +b101011001110110010111001001101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0@" +0h +1:" +1;" +05" +06" +07" +b11111 3" +0_ +b1001 0" +1j +0k +18" +1l +b11111 -" +0z +0u +0a +02" +1n +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10110101011111001101111111111111 0 +b10110101011111001101111111111111 M +b10110101011111001101111111111111 f +b10110101011111001101111111111111 !" +0. +1% +#6807500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6808000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b101011001110110010111001001101 / +b101011001110110010111001001101 K +b101011001110110010111001001101 e +b101011001110110010111001001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6808500 +b1110 7 +b1110 N +b1110 V +16 +b1110 & +b1110 C +1( +1. +0% +#6809000 +0s +b11011010011110100111001110010010 | +b11011010011110100111001110010010 B" +0r +15" +16" +17" +b10001 -" +0t +0y +1z +1u +1x +1," +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6809500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +1. +0% +#6810000 +0i +b1100110110011100101110111100011 { +b1100110110011100101110111100011 A" +1s +19" +1;" +1r +05" +06" +07" +b10111 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b11011010011110100111001110010010 0 +b11011010011110100111001110010010 M +b11011010011110100111001110010010 f +b11011010011110100111001110010010 !" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6810500 +b0 5 +b0 L +b0 W +04 +b11101100001110000011101001000 2 +b11101100001110000011101001000 I +b11101100001110000011101001000 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b11101100001110000011101001000 , +b11101100001110000011101001000 H +b11100 + +b11100 G +1- +1. +0% +#6811000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1=" +1>" +1@" +09" +0;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +0k +12" +0/" +b11101100001110000011101001000 &" +b11101100001110000011101001000 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100110110011100101110111100011 / +b1100110110011100101110111100011 K +b1100110110011100101110111100011 e +b1100110110011100101110111100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6811010 +b11101100001110000011101001000 _" +#6811500 +b10000 5 +b10000 L +b10000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6812000 +1] +b11101010101100111110100110001101 { +b11101010101100111110100110001101 A" +0=" +0>" +0@" +1;" +b11111 3" +0d +0<" +0` +b1111 0" +0k +18" +1l +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#6812500 +b0 5 +b0 L +b0 W +04 +b1010100010011111001110000111100 2 +b1010100010011111001110000111100 I +b1010100010011111001110000111100 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b1010100010011111001110000111100 , +b1010100010011111001110000111100 H +b101 + +b101 G +1- +1. +0% +#6813000 +0] +0[ +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11010 3" +1^ +1_ +b11111 0" +08" +0l +1a +12" +0/" +b1010100010011111001110000111100 &" +b1010100010011111001110000111100 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101010101100111110100110001101 / +b11101010101100111110100110001101 K +b11101010101100111110100110001101 e +b11101010101100111110100110001101 "" +0. +1% +#6813010 +b1010100010011111001110000111100 H" +#6813500 +b10100101011111100001010101101 2 +b10100101011111100001010101101 I +b10100101011111100001010101101 Z +b11100 3 +b11100 J +b11100 Y +b10100101011111100001010101101 , +b10100101011111100001010101101 H +b11100 + +b11100 G +1. +0% +#6814000 +0_ +0^ +1[ +1=" +b11 3" +1<" +1` +1d +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100101011111100001010101101 &" +b10100101011111100001010101101 ?" +b11100 %" +b11100 *" +b11100 1" +0. +1% +#6814010 +b10100101011111100001010101101 _" +#6814500 +b10001 7 +b10001 N +b10001 V +16 +b10000101000101011100000010101100 2 +b10000101000101011100000010101100 I +b10000101000101011100000010101100 Z +b1101 3 +b1101 J +b1101 Y +b10001 & +b10001 C +1( +b10000101000101011100000010101100 , +b10000101000101011100000010101100 H +b1101 + +b1101 G +1. +0% +#6815000 +1_ +b1010010010101110111110000100000 | +b1010010010101110111110000100000 B" +0[ +1@" +0q +17" +b10010 3" +0<" +0` +b1110 -" +0u +14" +1v +1a +1w +1," +b10000101000101011100000010101100 &" +b10000101000101011100000010101100 ?" +b1101 %" +b1101 *" +b1101 1" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#6815010 +b10000101000101011100000010101100 P" +#6815500 +b1010 7 +b1010 N +b1010 V +b11100101010000111101001010101101 2 +b11100101010000111101001010101101 I +b11100101010000111101001010101101 Z +b1111 3 +b1111 J +b1111 Y +b1010 & +b1010 C +b11100101010000111101001010101101 , +b11100101010000111101001010101101 H +b1111 + +b1111 G +1. +0% +#6816000 +1u +0s +0\ +0r +1q +17" +15" +b10000 3" +b10101 -" +04" +0v +1y +b11000100101011111101101100011100 | +b11000100101011111101101100011100 B" +1b +1x +0w +b1010010010101110111110000100000 0 +b1010010010101110111110000100000 M +b1010010010101110111110000100000 f +b1010010010101110111110000100000 !" +b11100101010000111101001010101101 &" +b11100101010000111101001010101101 ?" +b1111 %" +b1111 *" +b1111 1" +b1010 } +b1010 (" +b1010 +" +0. +1% +#6816010 +b11100101010000111101001010101101 R" +#6816500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b10011011110000111011110011011110 2 +b10011011110000111011110011011110 I +b10011011110000111011110011011110 Z +b101 3 +b101 J +b101 Y +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b10011011110000111011110011011110 , +b10011011110000111011110011011110 H +b101 + +b101 G +1. +0% +#6817000 +1^ +0i +b11100101010000111101001010101101 { +b11100101010000111101001010101101 A" +1s +1\ +0=" +1>" +0h +0g +19" +1:" +1;" +1r +05" +07" +b11010 3" +0d +b10000 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1n +1m +1/" +0x +0," +b10011011110000111011110011011110 &" +b10011011110000111011110011011110 ?" +b101 %" +b101 *" +b101 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11000100101011111101101100011100 0 +b11000100101011111101101100011100 M +b11000100101011111101101100011100 f +b11000100101011111101101100011100 !" +0. +1% +#6817010 +b10011011110000111011110011011110 H" +#6817500 +b101 5 +b101 L +b101 W +b1110100111000010010010001001111 2 +b1110100111000010010010001001111 I +b1110100111000010010010001001111 Z +b11110 3 +b11110 J +b11110 Y +b101 ' +b101 D +b1110100111000010010010001001111 , +b1110100111000010010010001001111 H +b11110 + +b11110 G +1. +0% +#6818000 +0_ +0^ +1j +0\ +1[ +1=" +1h +09" +1:" +b1 3" +1<" +1` +1d +b11010 0" +0p +b10011011110000111011110011011110 { +b10011011110000111011110011011110 A" +1b +0a +0n +b11100101010000111101001010101101 / +b11100101010000111101001010101101 K +b11100101010000111101001010101101 e +b11100101010000111101001010101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110100111000010010010001001111 &" +b1110100111000010010010001001111 ?" +b11110 %" +b11110 *" +b11110 1" +b101 ~ +b101 )" +b101 ." +0. +1% +#6818010 +b1110100111000010010010001001111 a" +#6818500 +b10001 5 +b10001 L +b10001 W +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6819000 +1] +0k +1i +b1010010010101110111110000100000 | +b1010010010101110111110000100000 B" +1\ +0=" +0>" +0@" +b1010010010101110111110000100000 { +b1010010010101110111110000100000 A" +0:" +0q +17" +b11111 3" +0d +0<" +0` +b1110 0" +18" +1l +0j +b1110 -" +0u +14" +1v +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b10001 } +b10001 (" +b10001 +" +1#" +b10011011110000111011110011011110 / +b10011011110000111011110011011110 K +b10011011110000111011110011011110 e +b10011011110000111011110011011110 "" +0. +1% +#6819500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6820000 +1g +0;" +1q +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0w +0," +b1010010010101110111110000100000 / +b1010010010101110111110000100000 K +b1010010010101110111110000100000 e +b1010010010101110111110000100000 "" +b1010010010101110111110000100000 0 +b1010010010101110111110000100000 M +b1010010010101110111110000100000 f +b1010010010101110111110000100000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6820500 +b10000000011011011011010000000101 2 +b10000000011011011011010000000101 I +b10000000011011011011010000000101 Z +b11000 3 +b11000 J +b11000 Y +11 +b10000000011011011011010000000101 , +b10000000011011011011010000000101 H +b11000 + +b11000 G +1- +1. +0% +#6821000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b10000000011011011011010000000101 &" +b10000000011011011011010000000101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6821010 +b10000000011011011011010000000101 [" +#6821500 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6822000 +1] +0s +b11011010011110100111001110010010 | +b11011010011110100111001110010010 B" +0=" +0@" +0r +15" +16" +17" +b11111 3" +0c +0<" +0` +b10001 -" +0t +0y +1z +1u +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#6822500 +b10100 7 +b10100 N +b10100 V +b1110100101111001101001100010010 2 +b1110100101111001101001100010010 I +b1110100101111001101001100010010 Z +b1010 3 +b1010 J +b1010 Y +11 +b10100 & +b10100 C +b1110100101111001101001100010010 , +b1110100101111001101001100010010 H +b1010 + +b1010 G +1- +1. +0% +#6823000 +0] +0u +1t +0\ +1=" +1@" +1r +05" +16" +b10101 3" +1c +1_ +b1011 -" +14" +1v +0z +b101101111101001001101010101011 | +b101101111101001001101010101011 B" +1b +12" +0x +b1110100101111001101001100010010 &" +b1110100101111001101001100010010 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10100 } +b10100 (" +b10100 +" +b11011010011110100111001110010010 0 +b11011010011110100111001110010010 M +b11011010011110100111001110010010 f +b11011010011110100111001110010010 !" +0. +1% +#6823010 +b1110100101111001101001100010010 M" +#6823500 +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6824000 +1] +1u +1s +1\ +0=" +0@" +0r +0q +17" +06" +b11111 3" +0c +0_ +b11100 -" +04" +0v +0t +b11010111011111010110111000001010 | +b11010111011111010110111000001010 B" +0b +02" +1x +1w +b101101111101001001101010101011 0 +b101101111101001001101010101011 M +b101101111101001001101010101011 f +b101101111101001001101010101011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +0. +1% +#6824500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b1110100000110000110011011011101 2 +b1110100000110000110011011011101 I +b1110100000110000110011011011101 Z +b11 3 +b11 J +b11 Y +11 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b1110100000110000110011011011101 , +b1110100000110000110011011011101 H +b11 + +b11 G +1- +1. +0% +#6825000 +0i +b1110100111000010010010001001111 { +b1110100111000010010010001001111 A" +0\ +0[ +1@" +0h +19" +1:" +1;" +1r +1q +07" +b11100 3" +1_ +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1/" +0x +0w +0," +b1110100000110000110011011011101 &" +b1110100000110000110011011011101 ?" +b11 %" +b11 *" +b11 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11010111011111010110111000001010 0 +b11010111011111010110111000001010 M +b11010111011111010110111000001010 f +b11010111011111010110111000001010 !" +0. +1% +#6825010 +b1110100000110000110011011011101 F" +#6825500 +b11111 5 +b11111 L +b11111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6826000 +1\ +1[ +0@" +0g +b11111 3" +0_ +b0 0" +b10111111000001110111101101110101 { +b10111111000001110111101101110101 A" +0b +0a +02" +1m +b1110100111000010010010001001111 / +b1110100111000010010010001001111 K +b1110100111000010010010001001111 e +b1110100111000010010010001001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +0. +1% +#6826500 +b1101 5 +b1101 L +b1101 W +b1101 ' +b1101 D +1. +0% +#6827000 +1k +1h +1;" +b10010 0" +08" +0l +b10000101000101011100000010101100 { +b10000101000101011100000010101100 A" +0n +b1101 ~ +b1101 )" +b1101 ." +b10111111000001110111101101110101 / +b10111111000001110111101101110101 K +b10111111000001110111101101110101 e +b10111111000001110111101101110101 "" +0. +1% +#6827500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +1. +0% +#6828000 +1i +0s +b1110100111000010010010001001111 | +b1110100111000010010010001001111 B" +1g +09" +0:" +0;" +0r +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0m +0/" +1x +1," +b10000101000101011100000010101100 / +b10000101000101011100000010101100 K +b10000101000101011100000010101100 e +b10000101000101011100000010101100 "" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#6828500 +b10111 7 +b10111 N +b10111 V +b10100111100110110111110010101000 2 +b10100111100110110111110010101000 I +b10100111100110110111110010101000 Z +b11 3 +b11 J +b11 Y +11 +b10111 & +b10111 C +b10100111100110110111110010101000 , +b10100111100110110111110010101000 H +b11 + +b11 G +1- +1. +0% +#6829000 +1t +0\ +0[ +1@" +0q +05" +16" +b11100 3" +1_ +b1000 -" +0z +b10011110001101101100000111110010 | +b10011110001101101100000111110010 B" +1b +1a +12" +1w +b10100111100110110111110010101000 &" +b10100111100110110111110010101000 ?" +b11 %" +b11 *" +b11 1" +1'" +b10111 } +b10111 (" +b10111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110100111000010010010001001111 0 +b1110100111000010010010001001111 M +b1110100111000010010010001001111 f +b1110100111000010010010001001111 !" +0. +1% +#6829010 +b10100111100110110111110010101000 F" +#6829500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6830000 +b1010010010101110111110000100000 { +b1010010010101110111110000100000 A" +1s +1\ +1[ +0@" +0g +1;" +1r +1q +06" +07" +b11111 3" +0_ +b1110 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1m +1/" +0x +0w +0," +b10011110001101101100000111110010 0 +b10011110001101101100000111110010 M +b10011110001101101100000111110010 f +b10011110001101101100000111110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6830500 +b0 5 +b0 L +b0 W +04 +b11011011101001000100111011110110 2 +b11011011101001000100111011110110 I +b11011011101001000100111011110110 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b11011011101001000100111011110110 , +b11011011101001000100111011110110 H +b10101 + +b10101 G +1- +1. +0% +#6831000 +0] +0[ +1>" +1@" +1g +0;" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0m +0/" +b11011011101001000100111011110110 &" +b11011011101001000100111011110110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1010010010101110111110000100000 / +b1010010010101110111110000100000 K +b1010010010101110111110000100000 e +b1010010010101110111110000100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6831010 +b11011011101001000100111011110110 X" +#6831500 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6832000 +1] +0s +b100011110000001010101010111110 | +b100011110000001010101010111110 B" +1[ +0>" +0@" +0q +15" +16" +17" +b11111 3" +0^ +0<" +0` +b10 -" +0t +0y +1z +0u +14" +1v +0a +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#6832500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +1) +b0 & +b0 C +0( +1. +0% +#6833000 +b10100111100110110111110010101000 { +b10100111100110110111110010101000 A" +1s +0h +0g +1;" +1q +05" +06" +07" +b11100 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0w +0," +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b100011110000001010101010111110 0 +b100011110000001010101010111110 M +b100011110000001010101010111110 f +b100011110000001010101010111110 !" +0. +1% +#6833500 +b0 5 +b0 L +b0 W +04 +b101101100011101010011100100110 2 +b101101100011101010011100100110 I +b101101100011101010011100100110 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b101101100011101010011100100110 , +b101101100011101010011100100110 H +b1111 + +b1111 G +1- +1. +0% +#6834000 +0] +0\ +0[ +1=" +1>" +1@" +1h +1g +0;" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0m +0/" +b10100111100110110111110010101000 / +b10100111100110110111110010101000 K +b10100111100110110111110010101000 e +b10100111100110110111110010101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101101100011101010011100100110 &" +b101101100011101010011100100110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6834010 +b101101100011101010011100100110 R" +#6834500 +b1110 5 +b1110 L +b1110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6835000 +1] +0i +b11011010011110100111001110010010 { +b11011010011110100111001110010010 A" +1\ +1[ +0=" +0>" +0@" +0h +19" +1:" +1;" +b11111 3" +0d +0_ +b10001 0" +0j +0o +1p +1k +0b +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6835500 +b0 5 +b0 L +b0 W +04 +b10010011101100100011001101001011 2 +b10010011101100100011001101001011 I +b10010011101100100011001101001011 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10010011101100100011001101001011 , +b10010011101100100011001101001011 H +b1010 + +b1010 G +1- +1. +0% +#6836000 +0] +1i +0\ +1=" +1@" +1h +09" +0:" +0;" +b10101 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0/" +b11011010011110100111001110010010 / +b11011010011110100111001110010010 K +b11011010011110100111001110010010 e +b11011010011110100111001110010010 "" +b10010011101100100011001101001011 &" +b10010011101100100011001101001011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6836010 +b10010011101100100011001101001011 M" +#6836500 +b11111 5 +b11111 L +b11111 W +14 +b10010110110000010000111001111110 2 +b10010110110000010000111001111110 I +b10010110110000010000111001111110 Z +b1110 3 +b1110 J +b1110 Y +b11111 ' +b11111 D +1) +b10010110110000010000111001111110 , +b10010110110000010000111001111110 H +b1110 + +b1110 G +1. +0% +#6837000 +0c +0i +b10111111000001110111101101110101 { +b10111111000001110111101101110101 A" +1>" +0h +0g +19" +1:" +1;" +b10001 3" +1d +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b10010110110000010000111001111110 &" +b10010110110000010000111001111110 ?" +b1110 %" +b1110 *" +b1110 1" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6837010 +b10010110110000010000111001111110 Q" +#6837500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6838000 +1] +1i +0s +b10010011101100100011001101001011 | +b10010011101100100011001101001011 B" +1\ +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +0r +15" +17" +b11111 3" +0d +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10101 -" +1y +1u +0b +02" +0n +0m +0/" +1x +1," +b10111111000001110111101101110101 / +b10111111000001110111101101110101 K +b10111111000001110111101101110101 e +b10111111000001110111101101110101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#6838500 +b1010111001111011000011001100100 2 +b1010111001111011000011001100100 I +b1010111001111011000011001100100 Z +b10011 3 +b10011 J +b10011 Y +11 +b1010111001111011000011001100100 , +b1010111001111011000011001100100 H +b10011 + +b10011 G +1- +1. +0% +#6839000 +0\ +0[ +1@" +b1100 3" +0_ +1<" +1` +1b +1a +12" +b1010111001111011000011001100100 &" +b1010111001111011000011001100100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010011101100100011001101001011 0 +b10010011101100100011001101001011 M +b10010011101100100011001101001011 f +b10010011101100100011001101001011 !" +0. +1% +#6839010 +b1010111001111011000011001100100 V" +#6839500 +b10010 5 +b10010 L +b10010 W +14 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6840000 +b111000110001111010111100011101 { +b111000110001111010111100011101 A" +0y +1\ +1[ +0@" +0h +1;" +1r +0q +16" +b11111 3" +0<" +0` +b1101 0" +0k +18" +1l +b10010 -" +1z +b10000101000101011100000010101100 | +b10000101000101011100000010101100 B" +0b +0a +02" +1n +1/" +0x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#6840500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11110101110011010011011000010000 2 +b11110101110011010011011000010000 I +b11110101110011010011011000010000 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11110101110011010011011000010000 , +b11110101110011010011011000010000 H +b1110 + +b1110 G +1- +1. +0% +#6841000 +0] +1s +0\ +1=" +1>" +1@" +1h +0;" +1q +05" +06" +07" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0n +0/" +0w +0," +b11110101110011010011011000010000 &" +b11110101110011010011011000010000 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b111000110001111010111100011101 / +b111000110001111010111100011101 K +b111000110001111010111100011101 e +b111000110001111010111100011101 "" +b10000101000101011100000010101100 0 +b10000101000101011100000010101100 M +b10000101000101011100000010101100 f +b10000101000101011100000010101100 !" +0. +1% +#6841010 +b11110101110011010011011000010000 Q" +#6841500 +b10101 5 +b10101 L +b10101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6842000 +1] +0i +b11011011101001000100111011110110 { +b11011011101001000100111011110110 A" +1\ +0=" +0>" +0@" +0g +1:" +1;" +b11111 3" +0d +0_ +b1010 0" +1j +0k +18" +1l +0b +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#6842500 +b11000 5 +b11000 L +b11000 W +b11000 ' +b11000 D +1. +0% +#6843000 +19" +1o +1g +0:" +b111 0" +0j +b10000000011011011011010000000101 { +b10000000011011011011010000000101 A" +0m +b11000 ~ +b11000 )" +b11000 ." +b11011011101001000100111011110110 / +b11011011101001000100111011110110 K +b11011011101001000100111011110110 e +b11011011101001000100111011110110 "" +0. +1% +#6843500 +b0 5 +b0 L +b0 W +b110101010101001100101001101111 2 +b110101010101001100101001101111 I +b110101010101001100101001101111 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +b110101010101001100101001101111 , +b110101010101001100101001101111 H +b10111 + +b10111 G +1- +1. +0% +#6844000 +0] +1k +1i +0\ +0[ +1>" +1@" +1;" +b11011101100101011100111110110110 { +b11011101100101011100111110110110 A" +09" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +08" +0l +0o +1b +1a +12" +b10000000011011011011010000000101 / +b10000000011011011011010000000101 K +b10000000011011011011010000000101 e +b10000000011011011011010000000101 "" +b110101010101001100101001101111 &" +b110101010101001100101001101111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0. +1% +#6844010 +b110101010101001100101001101111 Z" +#6844500 +04 +b100 7 +b100 N +b100 V +16 +b1101010001001100111110000000101 2 +b1101010001001100111110000000101 I +b1101010001001100111110000000101 Z +b11111 3 +b11111 J +b11111 Y +0) +b100 & +b100 C +1( +b1101010001001100111110000000101 , +b1101010001001100111110000000101 H +b11111 + +b11111 G +1. +0% +#6845000 +0^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b110110110111010100100110 | +b110110110111010100100110 B" +1=" +0;" +16" +17" +b0 3" +1d +0k +b11011 -" +1t +1u +0/" +1," +b1101010001001100111110000000101 &" +b1101010001001100111110000000101 ?" +b11111 %" +b11111 *" +b11111 1" +0$" +b100 } +b100 (" +b100 +" +1#" +b11011101100101011100111110110110 / +b11011101100101011100111110110110 K +b11011101100101011100111110110110 e +b11011101100101011100111110110110 "" +0. +1% +#6845500 +b0 7 +b0 N +b0 V +06 +b10010110101100111100111101111101 2 +b10010110101100111100111101111101 I +b10010110101100111100111101111101 Z +b0 & +b0 C +0( +b10010110101100111100111101111101 , +b10010110101100111100111101111101 H +1. +0% +#6846000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +06" +07" +b11111 -" +0t +0u +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110110110111010100100110 0 +b110110110111010100100110 M +b110110110111010100100110 f +b110110110111010100100110 !" +b10010110101100111100111101111101 &" +b10010110101100111100111101111101 ?" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6846500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6847000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6847500 +b1 5 +b1 L +b1 W +14 +b1 ' +b1 D +1) +1. +0% +#6848000 +b1001101111100010000100001100100 { +b1001101111100010000100001100100 A" +0g +1;" +b11110 0" +1k +1m +1/" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#6848500 +b0 5 +b0 L +b0 W +04 +b1001011110001110000010100000011 2 +b1001011110001110000010100000011 I +b1001011110001110000010100000011 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b1001011110001110000010100000011 , +b1001011110001110000010100000011 H +b101 + +b101 G +1- +1. +0% +#6849000 +0] +0[ +1>" +1@" +1g +0;" +b11010 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0m +0/" +b1001011110001110000010100000011 &" +b1001011110001110000010100000011 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1001101111100010000100001100100 / +b1001101111100010000100001100100 K +b1001101111100010000100001100100 e +b1001101111100010000100001100100 "" +0. +1% +#6849010 +b1001011110001110000010100000011 H" +#6849500 +b101 5 +b101 L +b101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6850000 +1] +0i +b1001011110001110000010100000011 { +b1001011110001110000010100000011 A" +1[ +0>" +0@" +0g +1:" +1;" +b11111 3" +0^ +0_ +b11010 0" +1j +1k +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#6850500 +b0 5 +b0 L +b0 W +04 +b10101011100001101110000011110111 2 +b10101011100001101110000011110111 I +b10101011100001101110000011110111 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b10101011100001101110000011110111 , +b10101011100001101110000011110111 H +b100 + +b100 G +1- +1. +0% +#6851000 +0] +1i +1>" +1@" +1g +0:" +0;" +b11011 3" +1^ +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b10101011100001101110000011110111 &" +b10101011100001101110000011110111 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1001011110001110000010100000011 / +b1001011110001110000010100000011 K +b1001011110001110000010100000011 e +b1001011110001110000010100000011 "" +0. +1% +#6851010 +b10101011100001101110000011110111 G" +#6851500 +b1 5 +b1 L +b1 W +14 +b11011000110100101000100110011001 2 +b11011000110100101000100110011001 I +b11011000110100101000100110011001 Z +b1100 3 +b1100 J +b1100 Y +b1 ' +b1 D +1) +b11011000110100101000100110011001 , +b11011000110100101000100110011001 H +b1100 + +b1100 G +1. +0% +#6852000 +0^ +b1001101111100010000100001100100 { +b1001101111100010000100001100100 A" +1=" +0g +1;" +b10011 3" +1d +b11110 0" +1k +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011000110100101000100110011001 &" +b11011000110100101000100110011001 ?" +b1100 %" +b1100 *" +b1100 1" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#6852010 +b11011000110100101000100110011001 O" +#6852500 +b110 5 +b110 L +b110 W +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6853000 +1] +0i +0s +b10101011100001101110000011110111 | +b10101011100001101110000011110111 B" +0=" +0>" +0@" +0h +1g +1:" +16" +17" +b11111 3" +0d +0_ +b11001 0" +1j +b10000101110011100011001001010010 { +b10000101110011100011001001010010 A" +b11011 -" +1t +1u +02" +1n +0m +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b100 } +b100 (" +b100 +" +1#" +b1001101111100010000100001100100 / +b1001101111100010000100001100100 K +b1001101111100010000100001100100 e +b1001101111100010000100001100100 "" +0. +1% +#6853500 +b11111 5 +b11111 L +b11111 W +b0 7 +b0 N +b0 V +06 +b1001000000010001101111100111 2 +b1001000000010001101111100111 I +b1001000000010001101111100111 Z +b1100 3 +b1100 J +b1100 Y +11 +b11111 ' +b11111 D +b0 & +b0 C +0( +b1001000000010001101111100111 , +b1001000000010001101111100111 H +b1100 + +b1100 G +1- +1. +0% +#6854000 +0] +0k +0j +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +1>" +1@" +0g +19" +06" +07" +b10011 3" +0^ +0c +1d +1_ +b0 0" +18" +1l +1p +b10010110101100111100111101111101 { +b10010110101100111100111101111101 A" +b11111 -" +0t +0u +12" +1m +0," +b10000101110011100011001001010010 / +b10000101110011100011001001010010 K +b10000101110011100011001001010010 e +b10000101110011100011001001010010 "" +b10101011100001101110000011110111 0 +b10101011100001101110000011110111 M +b10101011100001101110000011110111 f +b10101011100001101110000011110111 !" +b1001000000010001101111100111 &" +b1001000000010001101111100111 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6854010 +b1001000000010001101111100111 O" +#6854500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6855000 +1] +1i +b1001101111100010000100001100100 | +b1001101111100010000100001100100 B" +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +0q +17" +b11111 3" +0d +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +1u +02" +0n +0m +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b10010110101100111100111101111101 / +b10010110101100111100111101111101 K +b10010110101100111100111101111101 e +b10010110101100111100111101111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6855500 +b0 7 +b0 N +b0 V +06 +b11010011110101010011000011001101 2 +b11010011110101010011000011001101 I +b11010011110101010011000011001101 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 & +b0 C +0( +b11010011110101010011000011001101 , +b11010011110101010011000011001101 H +b1011 + +b1011 G +1- +1. +0% +#6856000 +0] +0\ +0[ +1=" +1@" +1q +07" +b10100 3" +1c +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001101111100010000100001100100 0 +b1001101111100010000100001100100 M +b1001101111100010000100001100100 f +b1001101111100010000100001100100 !" +b11010011110101010011000011001101 &" +b11010011110101010011000011001101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6856010 +b11010011110101010011000011001101 N" +#6856500 +b11001 5 +b11001 L +b11001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6857000 +1] +0i +b11110011001111101100010010001101 { +b11110011001111101100010010001101 A" +1\ +1[ +0=" +0@" +0g +19" +1;" +b11111 3" +0c +0_ +b110 0" +1o +0k +18" +1l +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6857500 +b1111 5 +b1111 L +b1111 W +b1111 ' +b1111 D +1. +0% +#6858000 +1k +0o +0h +1;" +1:" +b10000 0" +08" +0l +1p +b101101100011101010011100100110 { +b101101100011101010011100100110 A" +1n +b11110011001111101100010010001101 / +b11110011001111101100010010001101 K +b11110011001111101100010010001101 e +b11110011001111101100010010001101 "" +b1111 ~ +b1111 )" +b1111 ." +0. +1% +#6858500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b111011100100000010010111001011 2 +b111011100100000010010111001011 I +b111011100100000010010111001011 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b11 & +b11 C +1( +b111011100100000010010111001011 , +b111011100100000010010111001011 H +b10011 + +b10011 G +1- +1. +0% +#6859000 +1i +b10100111100110110111110010101000 | +b10100111100110110111110010101000 B" +0\ +0[ +1@" +1h +1g +09" +0:" +0;" +0r +0q +17" +b1100 3" +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11100 -" +1u +1b +1a +12" +0n +0m +0/" +1x +1w +1," +b111011100100000010010111001011 &" +b111011100100000010010111001011 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +b101101100011101010011100100110 / +b101101100011101010011100100110 K +b101101100011101010011100100110 e +b101101100011101010011100100110 "" +0. +1% +#6859010 +b111011100100000010010111001011 V" +#6859500 +b0 7 +b0 N +b0 V +06 +b101010101101111110010111111100 2 +b101010101101111110010111111100 I +b101010101101111110010111111100 Z +b0 & +b0 C +0( +b101010101101111110010111111100 , +b101010101101111110010111111100 H +1. +0% +#6860000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100111100110110111110010101000 0 +b10100111100110110111110010101000 M +b10100111100110110111110010101000 f +b10100111100110110111110010101000 !" +b101010101101111110010111111100 &" +b101010101101111110010111111100 ?" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6860010 +b101010101101111110010111111100 V" +#6860500 +b11101 5 +b11101 L +b11101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6861000 +0i +b100011110000001010101010111110 { +b100011110000001010101010111110 A" +1\ +1[ +0@" +0g +19" +1:" +1;" +b11111 3" +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6861500 +b10100 5 +b10100 L +b10100 W +b11010 7 +b11010 N +b11010 V +16 +b10011011101001001111101010011001 2 +b10011011101001001111101010011001 I +b10011011101001001111101010011001 Z +b110 3 +b110 J +b110 Y +11 +b10100 ' +b10100 D +b11010 & +b11010 C +1( +b10011011101001001111101010011001 , +b10011011101001001111101010011001 H +b110 + +b110 G +1- +1. +0% +#6862000 +0] +1j +0s +b11010111110000110011100011111010 | +b11010111110000110011100011111010 B" +0\ +1>" +1@" +1g +09" +1:" +0r +15" +17" +b11001 3" +1^ +1_ +b1011 0" +0p +b101101111101001001101010101011 { +b101101111101001001101010101011 A" +b101 -" +1y +0u +14" +1v +1b +12" +0m +1x +1," +b100011110000001010101010111110 / +b100011110000001010101010111110 K +b100011110000001010101010111110 e +b100011110000001010101010111110 "" +b10011011101001001111101010011001 &" +b10011011101001001111101010011001 ?" +b110 %" +b110 *" +b110 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#6862010 +b10011011101001001111101010011001 I" +#6862500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11100 & +b11100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6863000 +1] +1i +0y +1\ +0>" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +16" +b11111 3" +0^ +0_ +b11111 0" +0j +08" +0l +b11 -" +1z +b10100101011111100001010101101 | +b10100101011111100001010101101 B" +0b +02" +0/" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b101101111101001001101010101011 / +b101101111101001001101010101011 K +b101101111101001001101010101011 e +b101101111101001001101010101011 "" +b11010111110000110011100011111010 0 +b11010111110000110011100011111010 M +b11010111110000110011100011111010 f +b11010111110000110011100011111010 !" +0. +1% +#6863500 +b10100 5 +b10100 L +b10100 W +14 +b11001 7 +b11001 N +b11001 V +b10100 ' +b10100 D +1) +b11001 & +b11001 C +1. +0% +#6864000 +0i +b101101111101001001101010101011 { +b101101111101001001101010101011 A" +1y +1:" +1;" +0q +15" +06" +b1011 0" +1j +0k +18" +1l +b110 -" +0z +b11110011001111101100010010001101 | +b11110011001111101100010010001101 B" +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100101011111100001010101101 0 +b10100101011111100001010101101 M +b10100101011111100001010101101 f +b10100101011111100001010101101 !" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#6864500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b11000101010001110110111011100100 2 +b11000101010001110110111011100100 I +b11000101010001110110111011100100 Z +b1111 3 +b1111 J +b1111 Y +11 +b10101 ' +b10101 D +b0 & +b0 C +0( +b11000101010001110110111011100100 , +b11000101010001110110111011100100 H +b1111 + +b1111 G +1- +1. +0% +#6865000 +0] +1s +0\ +0[ +1=" +1>" +1@" +0g +1q +05" +07" +b10000 3" +0^ +0c +1d +1_ +b1010 0" +b11011011101001000100111011110110 { +b11011011101001000100111011110110 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1m +0w +0," +b11000101010001110110111011100100 &" +b11000101010001110110111011100100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +b101101111101001001101010101011 / +b101101111101001001101010101011 K +b101101111101001001101010101011 e +b101101111101001001101010101011 "" +b11110011001111101100010010001101 0 +b11110011001111101100010010001101 M +b11110011001111101100010010001101 f +b11110011001111101100010010001101 !" +0. +1% +#6865010 +b11000101010001110110111011100100 R" +#6865500 +b1110 5 +b1110 L +b1110 W +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6866000 +1] +1k +0j +0s +b10000000011011011011010000000101 | +b10000000011011011011010000000101 B" +1\ +1[ +0=" +0>" +0@" +0h +1g +1;" +19" +15" +17" +b11111 3" +0d +0_ +b10001 0" +08" +0l +1p +b11110101110011010011011000010000 { +b11110101110011010011011000010000 A" +b111 -" +1y +0u +14" +1v +0b +0a +02" +1n +0m +1," +b11011011101001000100111011110110 / +b11011011101001000100111011110110 K +b11011011101001000100111011110110 e +b11011011101001000100111011110110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#6866500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b0 ' +b0 D +0) +b10101 & +b10101 C +1. +0% +#6867000 +16" +1i +1t +1h +09" +0:" +0;" +0q +05" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1010 -" +0y +b11011011101001000100111011110110 | +b11011011101001000100111011110110 B" +0n +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +b11110101110011010011011000010000 / +b11110101110011010011011000010000 K +b11110101110011010011011000010000 e +b11110101110011010011011000010000 "" +b10000000011011011011010000000101 0 +b10000000011011011011010000000101 M +b10000000011011011011010000000101 f +b10000000011011011011010000000101 !" +0. +1% +#6867500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#6868000 +0i +b10101011100001101110000011110111 { +b10101011100001101110000011110111 A" +1s +1:" +1;" +1q +06" +07" +b11011 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011011101001000100111011110110 0 +b11011011101001000100111011110110 M +b11011011101001000100111011110110 f +b11011011101001000100111011110110 !" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6868500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6869000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10101011100001101110000011110111 / +b10101011100001101110000011110111 K +b10101011100001101110000011110111 e +b10101011100001101110000011110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6869500 +1. +0% +#6870000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6870500 +b1 5 +b1 L +b1 W +14 +b11110 7 +b11110 N +b11110 V +16 +b11001101100011110011101100010001 2 +b11001101100011110011101100010001 I +b11001101100011110011101100010001 Z +b10000 3 +b10000 J +b10000 Y +11 +b1 ' +b1 D +1) +b11110 & +b11110 C +1( +b11001101100011110011101100010001 , +b11001101100011110011101100010001 H +b10000 + +b10000 G +1- +1. +0% +#6871000 +b1001101111100010000100001100100 { +b1001101111100010000100001100100 A" +0s +b1110100111000010010010001001111 | +b1110100111000010010010001001111 B" +1@" +0g +1;" +0r +15" +16" +17" +b1111 3" +0_ +1<" +1` +b11110 0" +1k +b1 -" +0t +0y +1z +0u +14" +1v +12" +1m +1/" +1x +1," +b11001101100011110011101100010001 &" +b11001101100011110011101100010001 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#6871010 +b11001101100011110011101100010001 S" +#6871500 +b10001 5 +b10001 L +b10001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6872000 +0k +1s +0@" +b1010010010101110111110000100000 { +b1010010010101110111110000100000 A" +1r +05" +06" +07" +b11111 3" +0<" +0` +b1110 0" +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0," +b1001101111100010000100001100100 / +b1001101111100010000100001100100 K +b1001101111100010000100001100100 e +b1001101111100010000100001100100 "" +b1110100111000010010010001001111 0 +b1110100111000010010010001001111 M +b1110100111000010010010001001111 f +b1110100111000010010010001001111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6872500 +b11 5 +b11 L +b11 W +b10 7 +b10 N +b10 V +16 +b110011100000001101100110010101 2 +b110011100000001101100110010101 I +b110011100000001101100110010101 Z +b10111 3 +b10111 J +b10111 Y +11 +b11 ' +b11 D +b10 & +b10 C +1( +b110011100000001101100110010101 , +b110011100000001101100110010101 H +b10111 + +b10111 G +1- +1. +0% +#6873000 +0] +1k +b11111000100010010111010100110010 | +b11111000100010010111010100110010 B" +0\ +0[ +1>" +1@" +0h +1;" +0r +17" +b1000 3" +1^ +0_ +1<" +1` +b11100 0" +08" +0l +b10100111100110110111110010101000 { +b10100111100110110111110010101000 A" +b11101 -" +1u +1b +1a +12" +1n +1x +1," +b110011100000001101100110010101 &" +b110011100000001101100110010101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b11 ~ +b11 )" +b11 ." +b10 } +b10 (" +b10 +" +1#" +b1010010010101110111110000100000 / +b1010010010101110111110000100000 K +b1010010010101110111110000100000 e +b1010010010101110111110000100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6873010 +b110011100000001101100110010101 Z" +#6873500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6874000 +1] +0k +0i +1\ +1[ +0>" +0@" +1g +19" +1:" +1r +07" +b11111 3" +0^ +0<" +0` +b1 0" +18" +1l +0j +0o +1p +b1110100111000010010010001001111 { +b1110100111000010010010001001111 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0m +0x +0," +b10100111100110110111110010101000 / +b10100111100110110111110010101000 K +b10100111100110110111110010101000 e +b10100111100110110111110010101000 "" +b11111000100010010111010100110010 0 +b11111000100010010111010100110010 M +b11111000100010010111010100110010 f +b11111000100010010111010100110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6874500 +b0 5 +b0 L +b0 W +04 +b1001111011001000101011111011010 2 +b1001111011001000101011111011010 I +b1001111011001000101011111011010 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b1001111011001000101011111011010 , +b1001111011001000101011111011010 H +b11100 + +b11100 G +1- +1. +0% +#6875000 +0] +1i +1=" +1>" +1@" +1h +09" +0:" +0;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0/" +b1001111011001000101011111011010 &" +b1001111011001000101011111011010 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110100111000010010010001001111 / +b1110100111000010010010001001111 K +b1110100111000010010010001001111 e +b1110100111000010010010001001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6875010 +b1001111011001000101011111011010 _" +#6875500 +b11000 5 +b11000 L +b11000 W +14 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6876000 +1] +0i +b10000000011011011011010000000101 { +b10000000011011011011010000000101 A" +b11111000100010010111010100110010 | +b11111000100010010111010100110010 B" +0=" +0>" +0@" +19" +1;" +0r +17" +b11111 3" +0d +0<" +0` +b111 0" +1o +0k +18" +1l +b11101 -" +1u +02" +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#6876500 +b11100 5 +b11100 L +b11100 W +b0 7 +b0 N +b0 V +06 +b10100101011100010011000000101010 2 +b10100101011100010011000000101010 I +b10100101011100010011000000101010 Z +b10010 3 +b10010 J +b10010 Y +11 +b11100 ' +b11100 D +b0 & +b0 C +0( +b10100101011100010011000000101010 , +b10100101011100010011000000101010 H +b10010 + +b10010 G +1- +1. +0% +#6877000 +0o +b1001111011001000101011111011010 { +b1001111011001000101011111011010 A" +0\ +1@" +1:" +1r +07" +b1101 3" +0_ +1<" +1` +b11 0" +1p +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0," +b10100101011100010011000000101010 &" +b10100101011100010011000000101010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b0 } +b0 (" +b0 +" +0#" +b10000000011011011011010000000101 / +b10000000011011011011010000000101 K +b10000000011011011011010000000101 e +b10000000011011011011010000000101 "" +b11111000100010010111010100110010 0 +b11111000100010010111010100110010 M +b11111000100010010111010100110010 f +b11111000100010010111010100110010 !" +0. +1% +#6877010 +b10100101011100010011000000101010 U" +#6877500 +b0 5 +b0 L +b0 W +04 +b11010001111000001110101101011011 2 +b11010001111000001110101101011011 I +b11010001111000001110101101011011 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b11010001111000001110101101011011 , +b11010001111000001110101101011011 H +b111 + +b111 G +1. +0% +#6878000 +1_ +0] +1i +0[ +1@" +1>" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11000 3" +0<" +0` +1^ +b11111 0" +0p +08" +0l +1a +0/" +b1001111011001000101011111011010 / +b1001111011001000101011111011010 K +b1001111011001000101011111011010 e +b1001111011001000101011111011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010001111000001110101101011011 &" +b11010001111000001110101101011011 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6878010 +b11010001111000001110101101011011 J" +#6878500 +b11000 7 +b11000 N +b11000 V +16 +b110011000101010111111111010101 2 +b110011000101010111111111010101 I +b110011000101010111111111010101 Z +b10011 3 +b10011 J +b10011 Y +b11000 & +b11000 C +1( +b110011000101010111111111010101 , +b110011000101010111111111010101 H +b10011 + +b10011 G +1. +0% +#6879000 +0_ +1] +0s +b10000000011011011011010000000101 | +b10000000011011011011010000000101 B" +0>" +15" +17" +b1100 3" +1<" +1` +0^ +b111 -" +1y +0u +14" +1v +1," +b110011000101010111111111010101 &" +b110011000101010111111111010101 ?" +b10011 %" +b10011 *" +b10011 1" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6879010 +b110011000101010111111111010101 V" +#6879500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6880000 +0i +b10101011100001101110000011110111 { +b10101011100001101110000011110111 A" +1s +1\ +1[ +0@" +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11011 0" +1j +1k +b11111 -" +0y +04" +0v +0b +0a +02" +1/" +0," +b10000000011011011011010000000101 0 +b10000000011011011011010000000101 M +b10000000011011011011010000000101 f +b10000000011011011011010000000101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6880500 +b11011 5 +b11011 L +b11011 W +b10101100110001110101011111111010 2 +b10101100110001110101011111111010 I +b10101100110001110101011111111010 Z +b101 3 +b101 J +b101 Y +11 +b11011 ' +b11011 D +b10101100110001110101011111111010 , +b10101100110001110101011111111010 H +b101 + +b101 G +1- +1. +0% +#6881000 +19" +0] +0k +1o +0[ +1>" +1@" +0h +0g +0:" +b11010 3" +1^ +1_ +b100 0" +18" +1l +0j +b11111001111011010010100100101110 { +b11111001111011010010100100101110 A" +1a +12" +1n +1m +b10101100110001110101011111111010 &" +b10101100110001110101011111111010 ?" +b101 %" +b101 *" +b101 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +b10101011100001101110000011110111 / +b10101011100001101110000011110111 K +b10101011100001101110000011110111 e +b10101011100001101110000011110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6881010 +b10101100110001110101011111111010 H" +#6881500 +b1010 5 +b1010 L +b1010 W +b10010111111011010101001110100 2 +b10010111111011010101001110100 I +b10010111111011010101001110100 Z +b11 3 +b11 J +b11 Y +b1010 ' +b1010 D +b10010111111011010101001110100 , +b10010111111011010101001110100 H +b11 + +b11 G +1. +0% +#6882000 +1] +1k +0\ +0>" +1g +1;" +b11100 3" +0^ +b10101 0" +08" +0l +b10010011101100100011001101001011 { +b10010011101100100011001101001011 A" +1b +0m +b11111001111011010010100100101110 / +b11111001111011010010100100101110 K +b11111001111011010010100100101110 e +b11111001111011010010100100101110 "" +b10010111111011010101001110100 &" +b10010111111011010101001110100 ?" +b11 %" +b11 *" +b11 1" +b1010 ~ +b1010 )" +b1010 ." +0. +1% +#6882010 +b10010111111011010101001110100 F" +#6882500 +b11001 5 +b11001 L +b11001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6883000 +0k +1\ +1[ +0@" +1h +0g +b11111 3" +0_ +b110 0" +18" +1l +b11110011001111101100010010001101 { +b11110011001111101100010010001101 A" +0b +0a +02" +0n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +b10010011101100100011001101001011 / +b10010011101100100011001101001011 K +b10010011101100100011001101001011 e +b10010011101100100011001101001011 "" +0. +1% +#6883500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6884000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11110011001111101100010010001101 / +b11110011001111101100010010001101 K +b11110011001111101100010010001101 e +b11110011001111101100010010001101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6884500 +b10101001100011111110001011010110 2 +b10101001100011111110001011010110 I +b10101001100011111110001011010110 Z +b1101 3 +b1101 J +b1101 Y +11 +b10101001100011111110001011010110 , +b10101001100011111110001011010110 H +b1101 + +b1101 G +1- +1. +0% +#6885000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b10101001100011111110001011010110 &" +b10101001100011111110001011010110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6885010 +b10101001100011111110001011010110 P" +#6885500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6886000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6886500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#6887000 +0i +b10101000111100000001010101000110 { +b10101000111100000001010101000110 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#6887500 +b11101 5 +b11101 L +b11101 W +b1001 7 +b1001 N +b1001 V +16 +b1000000111001010001110101110101 2 +b1000000111001010001110101110101 I +b1000000111001010001110101110101 Z +b10 3 +b10 J +b10 Y +11 +b11101 ' +b11101 D +b1001 & +b1001 C +1( +b1000000111001010001110101110101 , +b1000000111001010001110101110101 H +b10 + +b10 G +1- +1. +0% +#6888000 +0k +0o +0s +b10101000111100000001010101000110 | +b10101000111100000001010101000110 B" +0\ +1@" +b100011110000001010101010111110 { +b100011110000001010101010111110 A" +1:" +0q +15" +17" +b11101 3" +1_ +b10 0" +18" +1l +1p +b10110 -" +1y +1u +1b +12" +1w +1," +b10101000111100000001010101000110 / +b10101000111100000001010101000110 K +b10101000111100000001010101000110 e +b10101000111100000001010101000110 "" +b1000000111001010001110101110101 &" +b1000000111001010001110101110101 ?" +b10 %" +b10 *" +b10 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#6888010 +b1000000111001010001110101110101 E" +#6888500 +b10111 5 +b10111 L +b10111 W +b11111 7 +b11111 N +b11111 V +b10001100010000111000111010011111 2 +b10001100010000111000111010011111 I +b10001100010000111000111010011111 Z +b111 3 +b111 J +b111 Y +b10111 ' +b10111 D +b11111 & +b11111 C +b10001100010000111000111010011111 , +b10001100010000111000111010011111 H +b111 + +b111 G +1. +0% +#6889000 +0] +1j +0u +0y +0[ +1>" +0h +09" +1:" +0r +16" +b11000 3" +1^ +b1000 0" +0p +b110011100000001101100110010101 { +b110011100000001101100110010101 A" +b0 -" +14" +1v +1z +b10010110101100111100111101111101 | +b10010110101100111100111101111101 B" +1a +1n +1x +b10001100010000111000111010011111 &" +b10001100010000111000111010011111 ?" +b111 %" +b111 *" +b111 1" +b10111 ~ +b10111 )" +b10111 ." +b11111 } +b11111 (" +b11111 +" +b100011110000001010101010111110 / +b100011110000001010101010111110 K +b100011110000001010101010111110 e +b100011110000001010101010111110 "" +b10101000111100000001010101000110 0 +b10101000111100000001010101000110 M +b10101000111100000001010101000110 f +b10101000111100000001010101000110 !" +0. +1% +#6889010 +b10001100010000111000111010011111 J" +#6889500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6890000 +1] +1i +1s +1\ +1[ +0>" +0@" +1h +1g +0:" +0;" +1r +1q +05" +06" +07" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0n +0m +0/" +0x +0w +0," +b110011100000001101100110010101 / +b110011100000001101100110010101 K +b110011100000001101100110010101 e +b110011100000001101100110010101 "" +b10010110101100111100111101111101 0 +b10010110101100111100111101111101 M +b10010110101100111100111101111101 f +b10010110101100111100111101111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6890500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#6891000 +b1001101111100010000100001100100 | +b1001101111100010000100001100100 B" +0q +17" +b11110 -" +1u +1w +1," +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6891500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#6892000 +0u +0s +b100011110000001010101010111110 | +b100011110000001010101010111110 B" +15" +16" +b10 -" +14" +1v +0t +0y +1z +b1001101111100010000100001100100 0 +b1001101111100010000100001100100 M +b1001101111100010000100001100100 f +b1001101111100010000100001100100 !" +b11101 } +b11101 (" +b11101 +" +0. +1% +#6892500 +b0 7 +b0 N +b0 V +06 +b10011011000101110010011000010100 2 +b10011011000101110010011000010100 I +b10011011000101110010011000010100 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 & +b0 C +0( +b10011011000101110010011000010100 , +b10011011000101110010011000010100 H +b10110 + +b10110 G +1- +1. +0% +#6893000 +0] +1s +0\ +1>" +1@" +1q +05" +06" +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0w +0," +b10011011000101110010011000010100 &" +b10011011000101110010011000010100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b100011110000001010101010111110 0 +b100011110000001010101010111110 M +b100011110000001010101010111110 f +b100011110000001010101010111110 !" +0. +1% +#6893010 +b10011011000101110010011000010100 Y" +#6893500 +b110011110000010010001001001101 2 +b110011110000010010001001001101 I +b110011110000010010001001001101 Z +b10011 3 +b10011 J +b10011 Y +b110011110000010010001001001101 , +b110011110000010010001001001101 H +b10011 + +b10011 G +1. +0% +#6894000 +1] +0[ +0>" +b1100 3" +0^ +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110011110000010010001001001101 &" +b110011110000010010001001001101 ?" +b10011 %" +b10011 *" +b10011 1" +0. +1% +#6894010 +b110011110000010010001001001101 V" +#6894500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6895000 +0s +b10101000111100000001010101000110 | +b10101000111100000001010101000110 B" +1\ +1[ +0@" +0q +15" +17" +b11111 3" +0<" +0` +b10110 -" +1y +1u +0b +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#6895500 +b110 5 +b110 L +b110 W +14 +b10110 7 +b10110 N +b10110 V +b110 ' +b110 D +1) +b10110 & +b10110 C +1. +0% +#6896000 +16" +0i +b10011011101001001111101010011001 { +b10011011101001001111101010011001 A" +0u +1t +0h +1:" +1;" +0r +1q +05" +b11001 0" +1j +1k +b1001 -" +14" +1v +0y +b10011011000101110010011000010100 | +b10011011000101110010011000010100 B" +1n +1/" +1x +0w +b10101000111100000001010101000110 0 +b10101000111100000001010101000110 M +b10101000111100000001010101000110 f +b10101000111100000001010101000110 !" +b110 ~ +b110 )" +b110 ." +1$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#6896500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6897000 +1i +1s +1h +0:" +0;" +1r +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011011101001001111101010011001 / +b10011011101001001111101010011001 K +b10011011101001001111101010011001 e +b10011011101001001111101010011001 "" +b10011011000101110010011000010100 0 +b10011011000101110010011000010100 M +b10011011000101110010011000010100 f +b10011011000101110010011000010100 !" +0. +1% +#6897500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#6898000 +0i +b10001100010000111000111010011111 { +b10001100010000111000111010011111 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#6898500 +b1000 5 +b1000 L +b1000 W +b100 7 +b100 N +b100 V +16 +b11101111000010010101000100001011 2 +b11101111000010010101000100001011 I +b11101111000010010101000100001011 Z +b1 3 +b1 J +b1 Y +11 +b1000 ' +b1000 D +b100 & +b100 C +1( +b11101111000010010101000100001011 , +b11101111000010010101000100001011 H +b1 + +b1 G +1- +1. +0% +#6899000 +19" +1o +0s +b10101011100001101110000011110111 | +b10101011100001101110000011110111 B" +0[ +1@" +1h +1g +0:" +16" +17" +b11110 3" +1_ +b10111 0" +0j +b1100110110011100101110111100011 { +b1100110110011100101110111100011 A" +b11011 -" +1t +1u +1a +12" +0n +0m +1," +b11101111000010010101000100001011 &" +b11101111000010010101000100001011 ?" +b1 %" +b1 *" +b1 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b100 } +b100 (" +b100 +" +1#" +b10001100010000111000111010011111 / +b10001100010000111000111010011111 K +b10001100010000111000111010011111 e +b10001100010000111000111010011111 "" +0. +1% +#6899010 +b11101111000010010101000100001011 D" +#6899500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6900000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0@" +09" +0;" +06" +07" +b11111 3" +0_ +b11111 0" +0o +0k +b11111 -" +0t +0u +0a +02" +0/" +0," +b1100110110011100101110111100011 / +b1100110110011100101110111100011 K +b1100110110011100101110111100011 e +b1100110110011100101110111100011 "" +b10101011100001101110000011110111 0 +b10101011100001101110000011110111 M +b10101011100001101110000011110111 f +b10101011100001101110000011110111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6900500 +b10010 7 +b10010 N +b10010 V +16 +b10010 & +b10010 C +1( +1. +0% +#6901000 +b10100101011100010011000000101010 | +b10100101011100010011000000101010 B" +0r +17" +b1101 -" +0u +14" +1v +1x +1," +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6901500 +b10111 5 +b10111 L +b10111 W +14 +b100 7 +b100 N +b100 V +b111110010010011001100000110 2 +b111110010010011001100000110 I +b111110010010011001100000110 Z +b1111 3 +b1111 J +b1111 Y +11 +b10111 ' +b10111 D +1) +b100 & +b100 C +b111110010010011001100000110 , +b111110010010011001100000110 H +b1111 + +b1111 G +1- +1. +0% +#6902000 +0] +0i +b110011100000001101100110010101 { +b110011100000001101100110010101 A" +1u +0s +0\ +0[ +1=" +1>" +1@" +0h +0g +1:" +1;" +1r +17" +16" +b10000 3" +0^ +0c +1d +1_ +b1000 0" +1j +0k +18" +1l +b11011 -" +04" +0v +1t +b10101011100001101110000011110111 | +b10101011100001101110000011110111 B" +1b +1a +12" +1n +1m +1/" +0x +b10100101011100010011000000101010 0 +b10100101011100010011000000101010 M +b10100101011100010011000000101010 f +b10100101011100010011000000101010 !" +b111110010010011001100000110 &" +b111110010010011001100000110 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#6902010 +b111110010010011001100000110 R" +#6902500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6903000 +1] +1i +0t +b1001000000010001101111100111 | +b1001000000010001101111100111 B" +1\ +1[ +0=" +0>" +0@" +1h +1g +0:" +0;" +15" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +1z +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +b110011100000001101100110010101 / +b110011100000001101100110010101 K +b110011100000001101100110010101 e +b110011100000001101100110010101 "" +b10101011100001101110000011110111 0 +b10101011100001101110000011110111 M +b10101011100001101110000011110111 f +b10101011100001101110000011110111 !" +0. +1% +#6903500 +b0 7 +b0 N +b0 V +06 +b10101110101101111000100110110111 2 +b10101110101101111000100110110111 I +b10101110101101111000100110110111 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 & +b0 C +0( +b10101110101101111000100110110111 , +b10101110101101111000100110110111 H +b1101 + +b1101 G +1- +1. +0% +#6904000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1=" +1>" +1@" +05" +06" +07" +b10010 3" +0^ +0c +1d +1_ +b11111 -" +0z +0u +1a +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001000000010001101111100111 0 +b1001000000010001101111100111 M +b1001000000010001101111100111 f +b1001000000010001101111100111 !" +b10101110101101111000100110110111 &" +b10101110101101111000100110110111 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6904010 +b10101110101101111000100110110111 P" +#6904500 +b10110 5 +b10110 L +b10110 W +14 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6905000 +1] +0i +b10011011000101110010011000010100 { +b10011011000101110010011000010100 A" +0s +b100011110000001010101010111110 | +b100011110000001010101010111110 B" +1[ +0=" +0>" +0@" +0h +1:" +1;" +0q +15" +16" +17" +b11111 3" +0d +0_ +b1001 0" +1j +0k +18" +1l +b10 -" +0t +0y +1z +0u +14" +1v +0a +02" +1n +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6905500 +b110 5 +b110 L +b110 W +b110 ' +b110 D +1. +0% +#6906000 +1k +1;" +b10011011101001001111101010011001 { +b10011011101001001111101010011001 A" +b11001 0" +08" +0l +b10011011000101110010011000010100 / +b10011011000101110010011000010100 K +b10011011000101110010011000010100 e +b10011011000101110010011000010100 "" +b100011110000001010101010111110 0 +b100011110000001010101010111110 M +b100011110000001010101010111110 f +b100011110000001010101010111110 !" +b110 ~ +b110 )" +b110 ." +0. +1% +#6906500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6907000 +1i +1s +1h +0:" +0;" +1q +05" +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011011101001001111101010011001 / +b10011011101001001111101010011001 K +b10011011101001001111101010011001 e +b10011011101001001111101010011001 "" +0. +1% +#6907500 +1. +0% +#6908000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6908500 +b11101 7 +b11101 N +b11101 V +16 +b11101 & +b11101 C +1( +1. +0% +#6909000 +0s +b100011110000001010101010111110 | +b100011110000001010101010111110 B" +0q +15" +16" +17" +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#6909500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b11001010011110010011111011011101 2 +b11001010011110010011111011011101 I +b11001010011110010011111011011101 Z +b10111 3 +b10111 J +b10111 Y +11 +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +b11001010011110010011111011011101 , +b11001010011110010011111011011101 H +b10111 + +b10111 G +1- +1. +0% +#6910000 +0] +b11001101100011110011101100010001 { +b11001101100011110011101100010001 A" +1s +0\ +0[ +1>" +1@" +1;" +1q +05" +06" +07" +b1000 3" +1^ +0_ +1<" +1` +b1111 0" +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1/" +0w +0," +b100011110000001010101010111110 0 +b100011110000001010101010111110 M +b100011110000001010101010111110 f +b100011110000001010101010111110 !" +b11001010011110010011111011011101 &" +b11001010011110010011111011011101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6910010 +b11001010011110010011111011011101 Z" +#6910500 +b11111 5 +b11111 L +b11111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6911000 +1] +0i +1\ +1[ +0>" +0@" +0h +0g +19" +1:" +b11111 3" +0^ +0<" +0` +b0 0" +0j +0o +1p +b10010110101100111100111101111101 { +b10010110101100111100111101111101 A" +0b +0a +02" +1n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +b11001101100011110011101100010001 / +b11001101100011110011101100010001 K +b11001101100011110011101100010001 e +b11001101100011110011101100010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6911500 +b0 5 +b0 L +b0 W +b10100110100011101000100001001100 2 +b10100110100011101000100001001100 I +b10100110100011101000100001001100 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +b10100110100011101000100001001100 , +b10100110100011101000100001001100 H +b10000 + +b10000 G +1- +1. +0% +#6912000 +1k +1i +1@" +1h +1g +1;" +09" +0:" +b1111 3" +0_ +1<" +1` +b11111 0" +08" +0l +0p +b11011101100101011100111110110110 { +b11011101100101011100111110110110 A" +12" +0n +0m +b10010110101100111100111101111101 / +b10010110101100111100111101111101 K +b10010110101100111100111101111101 e +b10010110101100111100111101111101 "" +b10100110100011101000100001001100 &" +b10100110100011101000100001001100 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0. +1% +#6912010 +b10100110100011101000100001001100 S" +#6912500 +04 +b100111010010011000111000111100 2 +b100111010010011000111000111100 I +b100111010010011000111000111100 Z +b0 3 +b0 J +b0 Y +0) +b100111010010011000111000111100 , +b100111010010011000111000111100 H +b0 + +b0 G +1. +0% +#6913000 +1_ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1@" +0;" +b11111 3" +0<" +0` +0k +0/" +b100111010010011000111000111100 &" +b100111010010011000111000111100 ?" +b0 %" +b0 *" +b0 1" +0$" +b11011101100101011100111110110110 / +b11011101100101011100111110110110 K +b11011101100101011100111110110110 e +b11011101100101011100111110110110 "" +0. +1% +#6913010 +b100111010010011000111000111100 C" +#6913500 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +01 +b110 & +b110 C +1( +b0 , +b0 H +0- +1. +0% +#6914000 +0s +b10011011101001001111101010011001 | +b10011011101001001111101010011001 B" +0@" +0r +16" +17" +0_ +b11001 -" +1t +1u +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +0'" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#6914500 +b0 7 +b0 N +b0 V +06 +b11100011111010101000010100111110 2 +b11100011111010101000010100111110 I +b11100011111010101000010100111110 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b11100011111010101000010100111110 , +b11100011111010101000010100111110 H +b111 + +b111 G +1- +1. +0% +#6915000 +0] +1s +0\ +0[ +1>" +1@" +1r +06" +07" +b11000 3" +1^ +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0," +b11100011111010101000010100111110 &" +b11100011111010101000010100111110 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10011011101001001111101010011001 0 +b10011011101001001111101010011001 M +b10011011101001001111101010011001 f +b10011011101001001111101010011001 !" +0. +1% +#6915010 +b11100011111010101000010100111110 J" +#6915500 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6916000 +1] +b10010111111011010101001110100 | +b10010111111011010101001110100 B" +1\ +1[ +0>" +0@" +0r +0q +17" +b11111 3" +0^ +0_ +b11100 -" +1u +0b +0a +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#6916500 +b11000 7 +b11000 N +b11000 V +b11000 & +b11000 C +1. +0% +#6917000 +0u +0s +1r +1q +15" +b111 -" +14" +1v +1y +b10000000011011011011010000000101 | +b10000000011011011011010000000101 B" +0x +0w +b11000 } +b11000 (" +b11000 +" +b10010111111011010101001110100 0 +b10010111111011010101001110100 M +b10010111111011010101001110100 f +b10010111111011010101001110100 !" +0. +1% +#6917500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6918000 +1s +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0y +04" +0v +0," +b10000000011011011011010000000101 0 +b10000000011011011011010000000101 M +b10000000011011011011010000000101 f +b10000000011011011011010000000101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6918500 +b11110 7 +b11110 N +b11110 V +16 +b1010001010001100100111001000110 2 +b1010001010001100100111001000110 I +b1010001010001100100111001000110 Z +b101 3 +b101 J +b101 Y +11 +b11110 & +b11110 C +1( +b1010001010001100100111001000110 , +b1010001010001100100111001000110 H +b101 + +b101 G +1- +1. +0% +#6919000 +0] +0s +b1110100111000010010010001001111 | +b1110100111000010010010001001111 B" +0[ +1>" +1@" +0r +15" +16" +17" +b11010 3" +1^ +1_ +b1 -" +0t +0y +1z +0u +14" +1v +1a +12" +1x +1," +b1010001010001100100111001000110 &" +b1010001010001100100111001000110 ?" +b101 %" +b101 *" +b101 1" +1'" +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6919010 +b1010001010001100100111001000110 H" +#6919500 +b10 5 +b10 L +b10 W +14 +b101 7 +b101 N +b101 V +b11110011001101111111011010011101 2 +b11110011001101111111011010011101 I +b11110011001101111111011010011101 Z +b10101 3 +b10101 J +b10101 Y +b10 ' +b10 D +1) +b101 & +b101 C +b11110011001101111111011010011101 , +b11110011001101111111011010011101 H +b10101 + +b10101 G +1. +0% +#6920000 +0_ +b1000000111001010001110101110101 { +b1000000111001010001110101110101 A" +1u +1t +0h +1;" +1r +0q +17" +05" +16" +b1010 3" +1<" +1` +b11101 0" +1k +b11010 -" +04" +0v +0z +b1010001010001100100111001000110 | +b1010001010001100100111001000110 B" +1n +1/" +0x +1w +b1110100111000010010010001001111 0 +b1110100111000010010010001001111 M +b1110100111000010010010001001111 f +b1110100111000010010010001001111 !" +b11110011001101111111011010011101 &" +b11110011001101111111011010011101 ?" +b10101 %" +b10101 *" +b10101 1" +b10 ~ +b10 )" +b10 ." +1$" +b101 } +b101 (" +b101 +" +0. +1% +#6920010 +b11110011001101111111011010011101 X" +#6920500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6921000 +1] +1s +1[ +0>" +0@" +1h +0;" +1q +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0n +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000000111001010001110101110101 / +b1000000111001010001110101110101 K +b1000000111001010001110101110101 e +b1000000111001010001110101110101 "" +b1010001010001100100111001000110 0 +b1010001010001100100111001000110 M +b1010001010001100100111001000110 f +b1010001010001100100111001000110 !" +0. +1% +#6921500 +b110 7 +b110 N +b110 V +16 +b110 & +b110 C +1( +1. +0% +#6922000 +0s +b10011011101001001111101010011001 | +b10011011101001001111101010011001 B" +0r +16" +17" +b11001 -" +1t +1u +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#6922500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6923000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b10011011101001001111101010011001 0 +b10011011101001001111101010011001 M +b10011011101001001111101010011001 f +b10011011101001001111101010011001 !" +0. +1% +#6923500 +b11001 5 +b11001 L +b11001 W +14 +b11001 ' +b11001 D +1) +1. +0% +#6924000 +0i +b11110011001111101100010010001101 { +b11110011001111101100010010001101 A" +0g +19" +1;" +b110 0" +1o +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#6924500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#6925000 +1i +b110011110000010010001001001101 | +b110011110000010010001001001101 B" +1g +09" +0;" +0r +0q +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0u +14" +1v +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b11110011001111101100010010001101 / +b11110011001111101100010010001101 K +b11110011001111101100010010001101 e +b11110011001111101100010010001101 "" +0. +1% +#6925500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +1. +0% +#6926000 +b1010010010101110111110000100000 { +b1010010010101110111110000100000 A" +0g +1;" +1r +1q +07" +b1110 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110011110000010010001001001101 0 +b110011110000010010001001001101 M +b110011110000010010001001001101 f +b110011110000010010001001001101 !" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6926500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +1. +0% +#6927000 +0s +b11110011001111101100010010001101 | +b11110011001111101100010010001101 B" +1g +0;" +0q +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b110 -" +1y +0u +14" +1v +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +b1010010010101110111110000100000 / +b1010010010101110111110000100000 K +b1010010010101110111110000100000 e +b1010010010101110111110000100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6927500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#6928000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110011001111101100010010001101 0 +b11110011001111101100010010001101 M +b11110011001111101100010010001101 f +b11110011001111101100010010001101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6928500 +1. +0% +#6929000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6929500 +b10001 5 +b10001 L +b10001 W +14 +b1101100001000110011010000100000 2 +b1101100001000110011010000100000 I +b1101100001000110011010000100000 Z +b11010 3 +b11010 J +b11010 Y +11 +b10001 ' +b10001 D +1) +b1101100001000110011010000100000 , +b1101100001000110011010000100000 H +b11010 + +b11010 G +1- +1. +0% +#6930000 +0] +b1010010010101110111110000100000 { +b1010010010101110111110000100000 A" +0\ +1=" +1@" +0g +1;" +b101 3" +1c +0_ +1<" +1` +b1110 0" +0k +18" +1l +1b +12" +1m +1/" +b1101100001000110011010000100000 &" +b1101100001000110011010000100000 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#6930010 +b1101100001000110011010000100000 ]" +#6930500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6931000 +1] +1\ +0=" +0@" +1g +0;" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010010010101110111110000100000 / +b1010010010101110111110000100000 K +b1010010010101110111110000100000 e +b1010010010101110111110000100000 "" +0. +1% +#6931500 +1. +0% +#6932000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6932500 +b11001 7 +b11001 N +b11001 V +16 +b11101111110010110111100110100110 2 +b11101111110010110111100110100110 I +b11101111110010110111100110100110 Z +b10000 3 +b10000 J +b10000 Y +11 +b11001 & +b11001 C +1( +b11101111110010110111100110100110 , +b11101111110010110111100110100110 H +b10000 + +b10000 G +1- +1. +0% +#6933000 +0s +b11110011001111101100010010001101 | +b11110011001111101100010010001101 B" +1@" +0q +15" +17" +b1111 3" +0_ +1<" +1` +b110 -" +1y +0u +14" +1v +12" +1w +1," +b11101111110010110111100110100110 &" +b11101111110010110111100110100110 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#6933010 +b11101111110010110111100110100110 S" +#6933500 +b0 7 +b0 N +b0 V +06 +b11011011011101001010001111100010 2 +b11011011011101001010001111100010 I +b11011011011101001010001111100010 Z +b0 3 +b0 J +b0 Y +b0 & +b0 C +0( +b11011011011101001010001111100010 , +b11011011011101001010001111100010 H +b0 + +b0 G +1. +0% +#6934000 +1_ +1s +1@" +1q +05" +07" +b11111 3" +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b11110011001111101100010010001101 0 +b11110011001111101100010010001101 M +b11110011001111101100010010001101 f +b11110011001111101100010010001101 !" +b11011011011101001010001111100010 &" +b11011011011101001010001111100010 ?" +b0 %" +b0 *" +b0 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6934010 +b11011011011101001010001111100010 C" +#6934500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#6935000 +0@" +0_ +02" +b0 &" +b0 ?" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6935500 +1. +0% +#6936000 +0. +1% +#6936500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#6937000 +0i +b1100110110011100101110111100011 { +b1100110110011100101110111100011 A" +19" +1;" +b10111 0" +1o +1k +1/" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#6937500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b11110000000100110011000101010111 2 +b11110000000100110011000101010111 I +b11110000000100110011000101010111 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b11110000000100110011000101010111 , +b11110000000100110011000101010111 H +b11011 + +b11011 G +1- +1. +0% +#6938000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11101111110010110111100110100110 | +b11101111110010110111100110100110 B" +0\ +0[ +1=" +1@" +09" +0;" +17" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0o +0k +b1111 -" +0u +14" +1v +1b +1a +12" +0/" +1," +b1100110110011100101110111100011 / +b1100110110011100101110111100011 K +b1100110110011100101110111100011 e +b1100110110011100101110111100011 "" +b11110000000100110011000101010111 &" +b11110000000100110011000101010111 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#6938010 +b11110000000100110011000101010111 ^" +#6938500 +14 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6939000 +1] +b11011011011101001010001111100010 { +b11011011011101001010001111100010 A" +1u +0s +1\ +1[ +0=" +0@" +1;" +0r +0q +17" +16" +b11111 3" +0c +0<" +0` +1k +b11000 -" +04" +0v +1t +b11100011111010101000010100111110 | +b11100011111010101000010100111110 B" +0b +0a +02" +1/" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b111 } +b111 (" +b111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101111110010110111100110100110 0 +b11101111110010110111100110100110 M +b11101111110010110111100110100110 f +b11101111110010110111100110100110 !" +0. +1% +#6939500 +04 +b101 7 +b101 N +b101 V +b100011110000000010010111110001 2 +b100011110000000010010111110001 I +b100011110000000010010111110001 Z +b11000 3 +b11000 J +b11000 Y +11 +0) +b101 & +b101 C +b100011110000000010010111110001 , +b100011110000000010010111110001 H +b11000 + +b11000 G +1- +1. +0% +#6940000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1=" +1@" +0;" +1r +b111 3" +1c +0_ +1<" +1` +0k +b11010 -" +b1010001010001100100111001000110 | +b1010001010001100100111001000110 B" +12" +0/" +0x +b11011011011101001010001111100010 / +b11011011011101001010001111100010 K +b11011011011101001010001111100010 e +b11011011011101001010001111100010 "" +b11100011111010101000010100111110 0 +b11100011111010101000010100111110 M +b11100011111010101000010100111110 f +b11100011111010101000010100111110 !" +b100011110000000010010111110001 &" +b100011110000000010010111110001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0$" +b101 } +b101 (" +b101 +" +0. +1% +#6940010 +b100011110000000010010111110001 [" +#6940500 +b0 7 +b0 N +b0 V +06 +b11101000001100101000001011011011 2 +b11101000001100101000001011011011 I +b11101000001100101000001011011011 Z +b1011 3 +b1011 J +b1011 Y +b0 & +b0 C +0( +b11101000001100101000001011011011 , +b11101000001100101000001011011011 H +b1011 + +b1011 G +1. +0% +#6941000 +1_ +1s +0\ +0[ +1@" +1q +06" +07" +b10100 3" +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +0w +0," +b11101000001100101000001011011011 &" +b11101000001100101000001011011011 ?" +b1011 %" +b1011 *" +b1011 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010001010001100100111001000110 0 +b1010001010001100100111001000110 M +b1010001010001100100111001000110 f +b1010001010001100100111001000110 !" +0. +1% +#6941010 +b11101000001100101000001011011011 N" +#6941500 +b10 5 +b10 L +b10 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6942000 +1] +b1000000111001010001110101110101 { +b1000000111001010001110101110101 A" +1\ +1[ +0=" +0@" +0h +1;" +b11111 3" +0c +0_ +b11101 0" +1k +0b +0a +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#6942500 +b10011 5 +b10011 L +b10011 W +b1001011010011001111001110001000 2 +b1001011010011001111001110001000 I +b1001011010011001111001110001000 Z +11 +b10011 ' +b10011 D +b1001011010011001111001110001000 , +b1001011010011001111001110001000 H +1- +1. +0% +#6943000 +0k +1@" +0g +1_ +b1100 0" +18" +1l +b110011110000010010001001001101 { +b110011110000010010001001001101 A" +12" +1m +b1001011010011001111001110001000 &" +b1001011010011001111001110001000 ?" +1'" +b10011 ~ +b10011 )" +b10011 ." +b1000000111001010001110101110101 / +b1000000111001010001110101110101 K +b1000000111001010001110101110101 e +b1000000111001010001110101110101 "" +0. +1% +#6943010 +b1001011010011001111001110001000 C" +#6943500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b0 , +b0 H +0- +1. +0% +#6944000 +0s +b1110100111000010010010001001111 | +b1110100111000010010010001001111 B" +0@" +1h +1g +0;" +0r +15" +16" +17" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1 -" +0t +0y +1z +0u +14" +1v +02" +0n +0m +0/" +1x +1," +b110011110000010010001001001101 / +b110011110000010010001001001101 K +b110011110000010010001001001101 e +b110011110000010010001001001101 "" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#6944500 +b1111 7 +b1111 N +b1111 V +b1111 & +b1111 C +1. +0% +#6945000 +1u +0q +17" +b10000 -" +04" +0v +b111110010010011001100000110 | +b111110010010011001100000110 B" +1w +b1111 } +b1111 (" +b1111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110100111000010010010001001111 0 +b1110100111000010010010001001111 M +b1110100111000010010010001001111 f +b1110100111000010010010001001111 !" +0. +1% +#6945500 +b11100 7 +b11100 N +b11100 V +b11100 & +b11100 C +1. +0% +#6946000 +0u +1r +1q +b11 -" +14" +1v +b1001111011001000101011111011010 | +b1001111011001000101011111011010 B" +0x +0w +b111110010010011001100000110 0 +b111110010010011001100000110 M +b111110010010011001100000110 f +b111110010010011001100000110 !" +b11100 } +b11100 (" +b11100 +" +0. +1% +#6946500 +b1 7 +b1 N +b1 V +b11101010100010000101111000110111 2 +b11101010100010000101111000110111 I +b11101010100010000101111000110111 Z +b11111 3 +b11111 J +b11111 Y +11 +b1 & +b1 C +b11101010100010000101111000110111 , +b11101010100010000101111000110111 H +b11111 + +b11111 G +1- +1. +0% +#6947000 +0] +1u +1s +0\ +0[ +1=" +1>" +1@" +0q +17" +05" +06" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11110 -" +04" +0v +0z +b11101111000010010101000100001011 | +b11101111000010010101000100001011 B" +1b +1a +12" +1w +b11101010100010000101111000110111 &" +b11101010100010000101111000110111 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1 } +b1 (" +b1 +" +b1001111011001000101011111011010 0 +b1001111011001000101011111011010 M +b1001111011001000101011111011010 f +b1001111011001000101011111011010 !" +0. +1% +#6947500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6948000 +1] +1\ +1[ +0=" +0>" +0@" +1q +07" +b11111 3" +0d +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0w +0," +b11101111000010010101000100001011 0 +b11101111000010010101000100001011 M +b11101111000010010101000100001011 f +b11101111000010010101000100001011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6948500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#6949000 +b110011110000010010001001001101 { +b110011110000010010001001001101 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6949500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6950000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b110011110000010010001001001101 / +b110011110000010010001001001101 K +b110011110000010010001001001101 e +b110011110000010010001001001101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6950500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#6951000 +b11101111000010010101000100001011 | +b11101111000010010101000100001011 B" +0q +17" +b11110 -" +1u +1w +1," +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6951500 +b1000 7 +b1000 N +b1000 V +b111011101100111010000001010 2 +b111011101100111010000001010 I +b111011101100111010000001010 Z +b1010 3 +b1010 J +b1010 Y +11 +b1000 & +b1000 C +b111011101100111010000001010 , +b111011101100111010000001010 H +b1010 + +b1010 G +1- +1. +0% +#6952000 +0] +0s +0\ +1=" +1@" +1q +15" +b10101 3" +1c +1_ +b10111 -" +1y +b1100110110011100101110111100011 | +b1100110110011100101110111100011 B" +1b +12" +0w +b11101111000010010101000100001011 0 +b11101111000010010101000100001011 M +b11101111000010010101000100001011 f +b11101111000010010101000100001011 !" +b111011101100111010000001010 &" +b111011101100111010000001010 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1000 } +b1000 (" +b1000 +" +0. +1% +#6952010 +b111011101100111010000001010 M" +#6952500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6953000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +0@" +05" +07" +b11111 3" +0c +0_ +b11111 -" +0y +0u +0b +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1100110110011100101110111100011 0 +b1100110110011100101110111100011 M +b1100110110011100101110111100011 f +b1100110110011100101110111100011 !" +0. +1% +#6953500 +14 +b100 7 +b100 N +b100 V +16 +1) +b100 & +b100 C +1( +1. +0% +#6954000 +b1001011010011001111001110001000 { +b1001011010011001111001110001000 A" +0s +b10101011100001101110000011110111 | +b10101011100001101110000011110111 B" +1;" +16" +17" +1k +b11011 -" +1t +1u +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#6954500 +b10001 5 +b10001 L +b10001 W +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +b0 & +b0 C +0( +1. +0% +#6955000 +0k +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +06" +07" +b1110 0" +18" +1l +b1010010010101110111110000100000 { +b1010010010101110111110000100000 A" +b11111 -" +0t +0u +1m +0," +b10001 ~ +b10001 )" +b10001 ." +b0 } +b0 (" +b0 +" +0#" +b1001011010011001111001110001000 / +b1001011010011001111001110001000 K +b1001011010011001111001110001000 e +b1001011010011001111001110001000 "" +b10101011100001101110000011110111 0 +b10101011100001101110000011110111 M +b10101011100001101110000011110111 f +b10101011100001101110000011110111 !" +0. +1% +#6955500 +b11111 5 +b11111 L +b11111 W +b11111 ' +b11111 D +1. +0% +#6956000 +0i +0h +19" +1:" +b0 0" +0j +0o +1p +b11101010100010000101111000110111 { +b11101010100010000101111000110111 A" +1n +b1010010010101110111110000100000 / +b1010010010101110111110000100000 K +b1010010010101110111110000100000 e +b1010010010101110111110000100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111 ~ +b11111 )" +b11111 ." +0. +1% +#6956500 +b11010 5 +b11010 L +b11010 W +b111010110110000010110111111111 2 +b111010110110000010110111111111 I +b111010110110000010110111111111 Z +b10010 3 +b10010 J +b10010 Y +11 +b11010 ' +b11010 D +b111010110110000010110111111111 , +b111010110110000010110111111111 H +b10010 + +b10010 G +1- +1. +0% +#6957000 +1o +0\ +1@" +1g +19" +0:" +b1101 3" +0_ +1<" +1` +b101 0" +0p +b1101100001000110011010000100000 { +b1101100001000110011010000100000 A" +1b +12" +0m +b111010110110000010110111111111 &" +b111010110110000010110111111111 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +b11101010100010000101111000110111 / +b11101010100010000101111000110111 K +b11101010100010000101111000110111 e +b11101010100010000101111000110111 "" +0. +1% +#6957010 +b111010110110000010110111111111 U" +#6957500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b1101010001100111110110110010000 2 +b1101010001100111110110110010000 I +b1101010001100111110110110010000 Z +b110 3 +b110 J +b110 Y +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b1101010001100111110110110010000 , +b1101010001100111110110110010000 H +b110 + +b110 G +1. +0% +#6958000 +1_ +0] +1i +0s +b11110011001111101100010010001101 | +b11110011001111101100010010001101 B" +1@" +1>" +1h +09" +0;" +0q +15" +17" +b11001 3" +0<" +0` +1^ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b110 -" +1y +0u +14" +1v +0n +0/" +1w +1," +b1101100001000110011010000100000 / +b1101100001000110011010000100000 K +b1101100001000110011010000100000 e +b1101100001000110011010000100000 "" +b1101010001100111110110110010000 &" +b1101010001100111110110110010000 ?" +b110 %" +b110 *" +b110 1" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#6958010 +b1101010001100111110110110010000 I" +#6958500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6959000 +1] +0i +b10011011000101110010011000010100 { +b10011011000101110010011000010100 A" +1u +1s +1\ +0>" +0@" +0h +1:" +1;" +1q +17" +05" +b11111 3" +0^ +0_ +b1001 0" +1j +0k +18" +1l +b11111 -" +04" +0v +0y +b1001011010011001111001110001000 | +b1001011010011001111001110001000 B" +0b +02" +1n +1/" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110011001111101100010010001101 0 +b11110011001111101100010010001101 M +b11110011001111101100010010001101 f +b11110011001111101100010010001101 !" +0. +1% +#6959500 +b1111 5 +b1111 L +b1111 W +06 +b1111 ' +b1111 D +0( +1. +0% +#6960000 +1k +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +1;" +19" +07" +b10000 0" +08" +0l +1p +b111110010010011001100000110 { +b111110010010011001100000110 A" +0u +1m +0," +b10011011000101110010011000010100 / +b10011011000101110010011000010100 K +b10011011000101110010011000010100 e +b10011011000101110010011000010100 "" +b1001011010011001111001110001000 0 +b1001011010011001111001110001000 M +b1001011010011001111001110001000 f +b1001011010011001111001110001000 !" +b1111 ~ +b1111 )" +b1111 ." +0#" +0. +1% +#6960500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +1. +0% +#6961000 +1i +0s +b10011011000101110010011000010100 | +b10011011000101110010011000010100 B" +1h +1g +09" +0:" +0;" +0r +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +1t +0u +14" +1v +0n +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +b111110010010011001100000110 / +b111110010010011001100000110 K +b111110010010011001100000110 e +b111110010010011001100000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6961500 +b0 7 +b0 N +b0 V +06 +b10110100001100111111001000111001 2 +b10110100001100111111001000111001 I +b10110100001100111111001000111001 Z +b100 3 +b100 J +b100 Y +11 +b0 & +b0 C +0( +b10110100001100111111001000111001 , +b10110100001100111111001000111001 H +b100 + +b100 G +1- +1. +0% +#6962000 +0] +1s +1>" +1@" +1r +06" +07" +b11011 3" +1^ +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011000101110010011000010100 0 +b10011011000101110010011000010100 M +b10011011000101110010011000010100 f +b10011011000101110010011000010100 !" +b10110100001100111111001000111001 &" +b10110100001100111111001000111001 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6962010 +b10110100001100111111001000111001 G" +#6962500 +b1100010110010000001000010000110 2 +b1100010110010000001000010000110 I +b1100010110010000001000010000110 Z +b11011 3 +b11011 J +b11011 Y +b1100010110010000001000010000110 , +b1100010110010000001000010000110 H +b11011 + +b11011 G +1. +0% +#6963000 +1=" +0_ +1c +0\ +0[ +0>" +b100 3" +1<" +1` +0^ +1b +1a +b1100010110010000001000010000110 &" +b1100010110010000001000010000110 ?" +b11011 %" +b11011 *" +b11011 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6963010 +b1100010110010000001000010000110 ^" +#6963500 +b10001010010010101110110000101101 2 +b10001010010010101110110000101101 I +b10001010010010101110110000101101 Z +b10011 3 +b10011 J +b10011 Y +b10001010010010101110110000101101 , +b10001010010010101110110000101101 H +b10011 + +b10011 G +1. +0% +#6964000 +1] +0=" +b1100 3" +0c +b10001010010010101110110000101101 &" +b10001010010010101110110000101101 ?" +b10011 %" +b10011 *" +b10011 1" +0. +1% +#6964010 +b10001010010010101110110000101101 V" +#6964500 +b11001 5 +b11001 L +b11001 W +14 +b11001 7 +b11001 N +b11001 V +16 +b10110100011110001011001001000100 2 +b10110100011110001011001001000100 I +b10110100011110001011001001000100 Z +b11111 3 +b11111 J +b11111 Y +b11001 ' +b11001 D +1) +b11001 & +b11001 C +1( +b10110100011110001011001001000100 , +b10110100011110001011001001000100 H +b11111 + +b11111 G +1. +0% +#6965000 +0] +0i +b11110011001111101100010010001101 { +b11110011001111101100010010001101 A" +0s +b11110011001111101100010010001101 | +b11110011001111101100010010001101 B" +1=" +1>" +0g +19" +1;" +0q +15" +17" +b0 3" +0^ +0c +1d +b110 0" +1o +0k +18" +1l +b110 -" +1y +0u +14" +1v +1m +1/" +1w +1," +b10110100011110001011001001000100 &" +b10110100011110001011001001000100 ?" +b11111 %" +b11111 *" +b11111 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#6965500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6966000 +1] +1k +1s +1\ +1[ +0=" +0>" +0@" +1;" +b10101000111100000001010101000110 { +b10101000111100000001010101000110 A" +1q +05" +07" +b11111 3" +0d +0<" +0` +b10110 0" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0w +0," +b11110011001111101100010010001101 / +b11110011001111101100010010001101 K +b11110011001111101100010010001101 e +b11110011001111101100010010001101 "" +b11110011001111101100010010001101 0 +b11110011001111101100010010001101 M +b11110011001111101100010010001101 f +b11110011001111101100010010001101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6966500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6967000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10101000111100000001010101000110 / +b10101000111100000001010101000110 K +b10101000111100000001010101000110 e +b10101000111100000001010101000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6967500 +b10101100111101000001010000100111 2 +b10101100111101000001010000100111 I +b10101100111101000001010000100111 Z +11 +b10101100111101000001010000100111 , +b10101100111101000001010000100111 H +1- +1. +0% +#6968000 +1@" +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101100111101000001010000100111 &" +b10101100111101000001010000100111 ?" +1'" +0. +1% +#6968010 +b10101100111101000001010000100111 C" +#6968500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#6969000 +0@" +0_ +02" +b0 &" +b0 ?" +0'" +0. +1% +#6969500 +b10110 5 +b10110 L +b10110 W +14 +b10110 ' +b10110 D +1) +1. +0% +#6970000 +0i +b10011011000101110010011000010100 { +b10011011000101110010011000010100 A" +0h +1:" +1;" +b1001 0" +1j +0k +18" +1l +1n +1/" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#6970500 +b10010 5 +b10010 L +b10010 W +b10010 7 +b10010 N +b10010 V +16 +b10010 ' +b10010 D +b10010 & +b10010 C +1( +1. +0% +#6971000 +1i +b111010110110000010110111111111 { +b111010110110000010110111111111 A" +b111010110110000010110111111111 | +b111010110110000010110111111111 B" +0:" +0r +17" +b1101 0" +0j +b1101 -" +0u +14" +1v +1x +1," +b10010 ~ +b10010 )" +b10010 ." +b10010 } +b10010 (" +b10010 +" +1#" +b10011011000101110010011000010100 / +b10011011000101110010011000010100 K +b10011011000101110010011000010100 e +b10011011000101110010011000010100 "" +0. +1% +#6971500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1100100101000010011001010101011 2 +b1100100101000010011001010101011 I +b1100100101000010011001010101011 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1100100101000010011001010101011 , +b1100100101000010011001010101011 H +b10101 + +b10101 G +1- +1. +0% +#6972000 +0] +0[ +1>" +1@" +1h +0;" +1r +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0n +0/" +0x +0," +b111010110110000010110111111111 / +b111010110110000010110111111111 K +b111010110110000010110111111111 e +b111010110110000010110111111111 "" +b111010110110000010110111111111 0 +b111010110110000010110111111111 M +b111010110110000010110111111111 f +b111010110110000010110111111111 !" +b1100100101000010011001010101011 &" +b1100100101000010011001010101011 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6972010 +b1100100101000010011001010101011 X" +#6972500 +b10010 5 +b10010 L +b10010 W +14 +16 +b1101111010110111000110001001100 2 +b1101111010110111000110001001100 I +b1101111010110111000110001001100 Z +b10010 ' +b10010 D +1) +1( +b1101111010110111000110001001100 , +b1101111010110111000110001001100 H +1. +0% +#6973000 +b111010110110000010110111111111 { +b111010110110000010110111111111 A" +b10101100111101000001010000100111 | +b10101100111101000001010000100111 B" +0h +1;" +17" +b1101 0" +0k +18" +1l +1u +1n +1/" +1," +b1101111010110111000110001001100 &" +b1101111010110111000110001001100 ?" +b10010 ~ +b10010 )" +b10010 ." +1$" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6973010 +b1101111010110111000110001001100 X" +#6973500 +b100 5 +b100 L +b100 W +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6974000 +1] +1k +0i +1[ +0>" +0@" +1h +1;" +1:" +0r +0q +b11111 3" +0^ +0<" +0` +b11011 0" +08" +0l +1j +b10110100001100111111001000111001 { +b10110100001100111111001000111001 A" +b11100 -" +b10010111111011010101001110100 | +b10010111111011010101001110100 B" +0a +02" +0n +1x +1w +b111010110110000010110111111111 / +b111010110110000010110111111111 K +b111010110110000010110111111111 e +b111010110110000010110111111111 "" +b10101100111101000001010000100111 0 +b10101100111101000001010000100111 M +b10101100111101000001010000100111 f +b10101100111101000001010000100111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +b11 } +b11 (" +b11 +" +0. +1% +#6974500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +b0 & +b0 C +0( +1. +0% +#6975000 +0k +0h +0g +1r +1q +07" +b1000 0" +18" +1l +b11001010011110010011111011011101 { +b11001010011110010011111011011101 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +0x +0w +0," +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +b10110100001100111111001000111001 / +b10110100001100111111001000111001 K +b10110100001100111111001000111001 e +b10110100001100111111001000111001 "" +b10010111111011010101001110100 0 +b10010111111011010101001110100 M +b10010111111011010101001110100 f +b10010111111011010101001110100 !" +0. +1% +#6975500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#6976000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b11001010011110010011111011011101 / +b11001010011110010011111011011101 K +b11001010011110010011111011011101 e +b11001010011110010011111011011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#6976500 +b11000 5 +b11000 L +b11000 W +14 +b10100 7 +b10100 N +b10100 V +16 +b11000 ' +b11000 D +1) +b10100 & +b10100 C +1( +1. +0% +#6977000 +0i +b100011110000000010010111110001 { +b100011110000000010010111110001 A" +0s +b101101111101001001101010101011 | +b101101111101001001101010101011 B" +19" +1;" +16" +17" +b111 0" +1o +0k +18" +1l +b1011 -" +1t +0u +14" +1v +1/" +1," +b11000 ~ +b11000 )" +b11000 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#6977500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#6978000 +1i +1s +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0o +08" +0l +b11111 -" +0t +04" +0v +0/" +0," +b100011110000000010010111110001 / +b100011110000000010010111110001 K +b100011110000000010010111110001 e +b100011110000000010010111110001 "" +b101101111101001001101010101011 0 +b101101111101001001101010101011 M +b101101111101001001101010101011 f +b101101111101001001101010101011 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#6978500 +b1 5 +b1 L +b1 W +14 +b11010100100100010110000011100101 2 +b11010100100100010110000011100101 I +b11010100100100010110000011100101 Z +b11111 3 +b11111 J +b11111 Y +11 +b1 ' +b1 D +1) +b11010100100100010110000011100101 , +b11010100100100010110000011100101 H +b11111 + +b11111 G +1- +1. +0% +#6979000 +0] +b11101111000010010101000100001011 { +b11101111000010010101000100001011 A" +0\ +0[ +1=" +1>" +1@" +0g +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11110 0" +1k +1b +1a +12" +1m +1/" +b11010100100100010110000011100101 &" +b11010100100100010110000011100101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#6979500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6980000 +1] +0s +b100011110000001010101010111110 | +b100011110000001010101010111110 B" +1\ +1[ +0=" +0>" +0@" +1g +0;" +0q +15" +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +0m +0/" +1w +1," +b11101111000010010101000100001011 / +b11101111000010010101000100001011 K +b11101111000010010101000100001011 e +b11101111000010010101000100001011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#6980500 +b0 7 +b0 N +b0 V +06 +b11111010011010100100101101011101 2 +b11111010011010100100101101011101 I +b11111010011010100100101101011101 Z +b11 3 +b11 J +b11 Y +11 +b0 & +b0 C +0( +b11111010011010100100101101011101 , +b11111010011010100100101101011101 H +b11 + +b11 G +1- +1. +0% +#6981000 +1s +0\ +0[ +1@" +1q +05" +06" +07" +b11100 3" +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b11111010011010100100101101011101 &" +b11111010011010100100101101011101 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100011110000001010101010111110 0 +b100011110000001010101010111110 M +b100011110000001010101010111110 f +b100011110000001010101010111110 !" +0. +1% +#6981010 +b11111010011010100100101101011101 F" +#6981500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6982000 +1\ +1[ +0@" +b11111 3" +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#6982500 +b1111 7 +b1111 N +b1111 V +16 +b1001010111111010000111100100011 2 +b1001010111111010000111100100011 I +b1001010111111010000111100100011 Z +b11 3 +b11 J +b11 Y +11 +b1111 & +b1111 C +1( +b1001010111111010000111100100011 , +b1001010111111010000111100100011 H +b11 + +b11 G +1- +1. +0% +#6983000 +0s +b111110010010011001100000110 | +b111110010010011001100000110 B" +0\ +0[ +1@" +0r +0q +15" +16" +17" +b11100 3" +1_ +b10000 -" +0t +0y +1z +1u +1b +1a +12" +1x +1w +1," +b1001010111111010000111100100011 &" +b1001010111111010000111100100011 ?" +b11 %" +b11 *" +b11 1" +1'" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#6983010 +b1001010111111010000111100100011 F" +#6983500 +b11001 5 +b11001 L +b11001 W +14 +b1110 7 +b1110 N +b1110 V +b110000000000011111110110001000 2 +b110000000000011111110110001000 I +b110000000000011111110110001000 Z +b11110 3 +b11110 J +b11110 Y +b11001 ' +b11001 D +1) +b1110 & +b1110 C +b110000000000011111110110001000 , +b110000000000011111110110001000 H +b11110 + +b11110 G +1. +0% +#6984000 +0_ +0] +0i +b11110011001111101100010010001101 { +b11110011001111101100010010001101 A" +1[ +1=" +1>" +0g +19" +1;" +1q +b1 3" +1<" +1` +0^ +0c +1d +b110 0" +1o +0k +18" +1l +b10001 -" +b11110101110011010011011000010000 | +b11110101110011010011011000010000 B" +0a +1m +1/" +0w +b111110010010011001100000110 0 +b111110010010011001100000110 M +b111110010010011001100000110 f +b111110010010011001100000110 !" +b110000000000011111110110001000 &" +b110000000000011111110110001000 ?" +b11110 %" +b11110 *" +b11110 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#6984010 +b110000000000011111110110001000 a" +#6984500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6985000 +1] +1i +1s +1\ +0=" +0>" +0@" +1g +09" +0;" +1r +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0m +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110011001111101100010010001101 / +b11110011001111101100010010001101 K +b11110011001111101100010010001101 e +b11110011001111101100010010001101 "" +b11110101110011010011011000010000 0 +b11110101110011010011011000010000 M +b11110101110011010011011000010000 f +b11110101110011010011011000010000 !" +0. +1% +#6985500 +b1001 5 +b1001 L +b1001 W +14 +b100000011101110001111100110111 2 +b100000011101110001111100110111 I +b100000011101110001111100110111 Z +b11111 3 +b11111 J +b11111 Y +11 +b1001 ' +b1001 D +1) +b100000011101110001111100110111 , +b100000011101110001111100110111 H +b11111 + +b11111 G +1- +1. +0% +#6986000 +0] +0i +b10101000111100000001010101000110 { +b10101000111100000001010101000110 A" +0\ +0[ +1=" +1>" +1@" +0g +19" +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10110 0" +1o +1k +1b +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100000011101110001111100110111 &" +b100000011101110001111100110111 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#6986500 +b0 5 +b0 L +b0 W +04 +b1111000101001111110000001000100 2 +b1111000101001111110000001000100 I +b1111000101001111110000001000100 Z +b10100 3 +b10100 J +b10100 Y +b0 ' +b0 D +0) +b1111000101001111110000001000100 , +b1111000101001111110000001000100 H +b10100 + +b10100 G +1. +0% +#6987000 +1^ +1i +1\ +1[ +0=" +1>" +1g +09" +0;" +b1011 3" +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +0m +0/" +b1111000101001111110000001000100 &" +b1111000101001111110000001000100 ?" +b10100 %" +b10100 *" +b10100 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101000111100000001010101000110 / +b10101000111100000001010101000110 K +b10101000111100000001010101000110 e +b10101000111100000001010101000110 "" +0. +1% +#6987010 +b1111000101001111110000001000100 W" +#6987500 +b1011 5 +b1011 L +b1011 W +14 +b10011011110001010111010000010011 2 +b10011011110001010111010000010011 I +b10011011110001010111010000010011 Z +b1001 3 +b1001 J +b1001 Y +b1011 ' +b1011 D +1) +b10011011110001010111010000010011 , +b10011011110001010111010000010011 H +b1001 + +b1001 G +1. +0% +#6988000 +1=" +1_ +1c +0i +b11101000001100101000001011011011 { +b11101000001100101000001011011011 A" +0[ +1@" +0>" +0h +0g +19" +1;" +b10110 3" +0<" +0` +0^ +b10100 0" +1o +1k +1a +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011110001010111010000010011 &" +b10011011110001010111010000010011 ?" +b1001 %" +b1001 *" +b1001 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#6988010 +b10011011110001010111010000010011 L" +#6988500 +b0 5 +b0 L +b0 W +04 +b11111010111010010111101001100 2 +b11111010111010010111101001100 I +b11111010111010010111101001100 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b11111010111010010111101001100 , +b11111010111010010111101001100 H +b0 + +b0 G +1. +0% +#6989000 +1] +1i +1[ +0=" +1h +1g +09" +0;" +b11111 3" +0c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +0n +0m +0/" +b11111010111010010111101001100 &" +b11111010111010010111101001100 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +b11101000001100101000001011011011 / +b11101000001100101000001011011011 K +b11101000001100101000001011011011 e +b11101000001100101000001011011011 "" +0. +1% +#6989010 +b11111010111010010111101001100 C" +#6989500 +b11110011010010111010110101001110 2 +b11110011010010111010110101001110 I +b11110011010010111010110101001110 Z +b10001 3 +b10001 J +b10001 Y +b11110011010010111010110101001110 , +b11110011010010111010110101001110 H +b10001 + +b10001 G +1. +0% +#6990000 +0_ +0[ +b1110 3" +1<" +1` +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110011010010111010110101001110 &" +b11110011010010111010110101001110 ?" +b10001 %" +b10001 *" +b10001 1" +0. +1% +#6990010 +b11110011010010111010110101001110 T" +#6990500 +b10001 5 +b10001 L +b10001 W +14 +b11001000101000100100000010011100 2 +b11001000101000100100000010011100 I +b11001000101000100100000010011100 Z +b1 3 +b1 J +b1 Y +b10001 ' +b10001 D +1) +b11001000101000100100000010011100 , +b11001000101000100100000010011100 H +b1 + +b1 G +1. +0% +#6991000 +1_ +b11110011010010111010110101001110 { +b11110011010010111010110101001110 A" +1@" +0g +1;" +b11110 3" +0<" +0` +b1110 0" +0k +18" +1l +1m +1/" +b11001000101000100100000010011100 &" +b11001000101000100100000010011100 ?" +b1 %" +b1 *" +b1 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#6991010 +b11001000101000100100000010011100 D" +#6991500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6992000 +0s +b111110010010011001100000110 | +b111110010010011001100000110 B" +1[ +0@" +1g +0;" +0r +0q +15" +16" +17" +b11111 3" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +0a +02" +0m +0/" +1x +1w +1," +b11110011010010111010110101001110 / +b11110011010010111010110101001110 K +b11110011010010111010110101001110 e +b11110011010010111010110101001110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#6992500 +b101 7 +b101 N +b101 V +b101 & +b101 C +1. +0% +#6993000 +1t +1r +05" +16" +b11010 -" +0z +b1010001010001100100111001000110 | +b1010001010001100100111001000110 B" +0x +b101 } +b101 (" +b101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111110010010011001100000110 0 +b111110010010011001100000110 M +b111110010010011001100000110 f +b111110010010011001100000110 !" +0. +1% +#6993500 +b111 7 +b111 N +b111 V +b111 & +b111 C +1. +0% +#6994000 +0r +b11000 -" +b11100011111010101000010100111110 | +b11100011111010101000010100111110 B" +1x +b1010001010001100100111001000110 0 +b1010001010001100100111001000110 M +b1010001010001100100111001000110 f +b1010001010001100100111001000110 !" +b111 } +b111 (" +b111 +" +0. +1% +#6994500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b1011010100100101111010111000110 2 +b1011010100100101111010111000110 I +b1011010100100101111010111000110 Z +b111 3 +b111 J +b111 Y +11 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b1011010100100101111010111000110 , +b1011010100100101111010111000110 H +b111 + +b111 G +1- +1. +0% +#6995000 +0] +b111010110110000010110111111111 { +b111010110110000010110111111111 A" +1s +0\ +0[ +1>" +1@" +0h +1;" +1r +1q +06" +07" +b11000 3" +1^ +1_ +b1101 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1/" +0x +0w +0," +b1011010100100101111010111000110 &" +b1011010100100101111010111000110 ?" +b111 %" +b111 *" +b111 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11100011111010101000010100111110 0 +b11100011111010101000010100111110 M +b11100011111010101000010100111110 f +b11100011111010101000010100111110 !" +0. +1% +#6995010 +b1011010100100101111010111000110 J" +#6995500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b11100010010100000011001100110 2 +b11100010010100000011001100110 I +b11100010010100000011001100110 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b111 & +b111 C +1( +b11100010010100000011001100110 , +b11100010010100000011001100110 H +b11 + +b11 G +1. +0% +#6996000 +1] +0s +b1011010100100101111010111000110 | +b1011010100100101111010111000110 B" +0>" +1h +0;" +0r +0q +16" +17" +b11100 3" +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +1t +1u +0n +0/" +1x +1w +1," +b111010110110000010110111111111 / +b111010110110000010110111111111 K +b111010110110000010110111111111 e +b111010110110000010110111111111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100010010100000011001100110 &" +b11100010010100000011001100110 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#6996010 +b11100010010100000011001100110 F" +#6996500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#6997000 +1s +1\ +1[ +0@" +1r +1q +06" +07" +b11111 3" +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011010100100101111010111000110 0 +b1011010100100101111010111000110 M +b1011010100100101111010111000110 f +b1011010100100101111010111000110 !" +0. +1% +#6997500 +14 +b10011 7 +b10011 N +b10011 V +16 +1) +b10011 & +b10011 C +1( +1. +0% +#6998000 +b11111010111010010111101001100 { +b11111010111010010111101001100 A" +b10001010010010101110110000101101 | +b10001010010010101110110000101101 B" +1;" +0r +0q +17" +1k +b1100 -" +0u +14" +1v +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#6998500 +b10001 5 +b10001 L +b10001 W +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +b0 & +b0 C +0( +1. +0% +#6999000 +0k +0g +1r +1q +07" +b1110 0" +18" +1l +b11110011010010111010110101001110 { +b11110011010010111010110101001110 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +0x +0w +0," +b10001 ~ +b10001 )" +b10001 ." +b0 } +b0 (" +b0 +" +0#" +b11111010111010010111101001100 / +b11111010111010010111101001100 K +b11111010111010010111101001100 e +b11111010111010010111101001100 "" +b10001010010010101110110000101101 0 +b10001010010010101110110000101101 M +b10001010010010101110110000101101 f +b10001010010010101110110000101101 !" +0. +1% +#6999500 +b1000 5 +b1000 L +b1000 W +b10001 7 +b10001 N +b10001 V +16 +b1000 ' +b1000 D +b10001 & +b10001 C +1( +1. +0% +#7000000 +1k +0i +b11110011010010111010110101001110 | +b11110011010010111010110101001110 B" +1g +1;" +19" +0q +17" +b10111 0" +08" +0l +1o +b1100110110011100101110111100011 { +b1100110110011100101110111100011 A" +b1110 -" +0u +14" +1v +0m +1w +1," +b11110011010010111010110101001110 / +b11110011010010111010110101001110 K +b11110011010010111010110101001110 e +b11110011010010111010110101001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000 ~ +b1000 )" +b1000 ." +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#7000500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b0 ' +b0 D +0) +b11011 & +b11011 C +1. +0% +#7001000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +09" +0;" +0r +15" +b11111 0" +0o +0k +b100 -" +1y +b1100010110010000001000010000110 | +b1100010110010000001000010000110 B" +0/" +1x +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +b1100110110011100101110111100011 / +b1100110110011100101110111100011 K +b1100110110011100101110111100011 e +b1100110110011100101110111100011 "" +b11110011010010111010110101001110 0 +b11110011010010111010110101001110 M +b11110011010010111010110101001110 f +b11110011010010111010110101001110 !" +0. +1% +#7001500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7002000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100010110010000001000010000110 0 +b1100010110010000001000010000110 M +b1100010110010000001000010000110 f +b1100010110010000001000010000110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7002500 +1. +0% +#7003000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7003500 +b11011 5 +b11011 L +b11011 W +14 +16 +b10110000000011100010000000110010 2 +b10110000000011100010000000110010 I +b10110000000011100010000000110010 Z +b1111 3 +b1111 J +b1111 Y +11 +b11011 ' +b11011 D +1) +1( +b10110000000011100010000000110010 , +b10110000000011100010000000110010 H +b1111 + +b1111 G +1- +1. +0% +#7004000 +0] +0i +b1100010110010000001000010000110 { +b1100010110010000001000010000110 A" +b11111010111010010111101001100 | +b11111010111010010111101001100 B" +0\ +0[ +1=" +1>" +1@" +0h +0g +19" +1;" +17" +b10000 3" +0^ +0c +1d +1_ +b100 0" +1o +0k +18" +1l +1u +1b +1a +12" +1n +1m +1/" +1," +b10110000000011100010000000110010 &" +b10110000000011100010000000110010 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +1#" +0. +1% +#7004010 +b10110000000011100010000000110010 R" +#7004500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7005000 +1] +1i +0s +1\ +1[ +0=" +0>" +0@" +1h +1g +09" +0;" +0q +15" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10110 -" +1y +b10011011110001010111010000010011 | +b10011011110001010111010000010011 B" +0b +0a +02" +0n +0m +0/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +b1100010110010000001000010000110 / +b1100010110010000001000010000110 K +b1100010110010000001000010000110 e +b1100010110010000001000010000110 "" +b11111010111010010111101001100 0 +b11111010111010010111101001100 M +b11111010111010010111101001100 f +b11111010111010010111101001100 !" +0. +1% +#7005500 +b11110 7 +b11110 N +b11110 V +b11110 & +b11110 C +1. +0% +#7006000 +0u +0y +0r +1q +16" +b1 -" +14" +1v +1z +b110000000000011111110110001000 | +b110000000000011111110110001000 B" +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011110001010111010000010011 0 +b10011011110001010111010000010011 M +b10011011110001010111010000010011 f +b10011011110001010111010000010011 !" +b11110 } +b11110 (" +b11110 +" +0. +1% +#7006500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7007000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b110000000000011111110110001000 0 +b110000000000011111110110001000 M +b110000000000011111110110001000 f +b110000000000011111110110001000 !" +0. +1% +#7007500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#7008000 +0i +b100011110000001010101010111110 { +b100011110000001010101010111110 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#7008500 +b100 5 +b100 L +b100 W +b100 7 +b100 N +b100 V +16 +b100 ' +b100 D +b100 & +b100 C +1( +1. +0% +#7009000 +1k +1j +0s +b10110100001100111111001000111001 | +b10110100001100111111001000111001 B" +1g +1;" +09" +1:" +16" +17" +b11011 0" +08" +0l +0p +b10110100001100111111001000111001 { +b10110100001100111111001000111001 A" +b11011 -" +1t +1u +0m +1," +b100 ~ +b100 )" +b100 ." +b100 } +b100 (" +b100 +" +1#" +b100011110000001010101010111110 / +b100011110000001010101010111110 K +b100011110000001010101010111110 e +b100011110000001010101010111110 "" +0. +1% +#7009500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b0 ' +b0 D +0) +b1111 & +b1111 C +1. +0% +#7010000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0t +0:" +0;" +0r +0q +15" +b11111 0" +0j +0k +b10000 -" +1z +b10110000000011100010000000110010 | +b10110000000011100010000000110010 B" +0/" +1x +1w +b10110100001100111111001000111001 / +b10110100001100111111001000111001 K +b10110100001100111111001000111001 e +b10110100001100111111001000111001 "" +b10110100001100111111001000111001 0 +b10110100001100111111001000111001 M +b10110100001100111111001000111001 f +b10110100001100111111001000111001 !" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +0. +1% +#7010500 +b1010 7 +b1010 N +b1010 V +b1010 & +b1010 C +1. +0% +#7011000 +1y +1q +15" +06" +b10101 -" +0z +b111011101100111010000001010 | +b111011101100111010000001010 B" +0w +b1010 } +b1010 (" +b1010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110000000011100010000000110010 0 +b10110000000011100010000000110010 M +b10110000000011100010000000110010 f +b10110000000011100010000000110010 !" +0. +1% +#7011500 +b0 7 +b0 N +b0 V +06 +b1100000111101111100011011001 2 +b1100000111101111100011011001 I +b1100000111101111100011011001 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 & +b0 C +0( +b1100000111101111100011011001 , +b1100000111101111100011011001 H +b1111 + +b1111 G +1- +1. +0% +#7012000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1r +05" +07" +b10000 3" +0^ +0c +1d +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0," +b111011101100111010000001010 0 +b111011101100111010000001010 M +b111011101100111010000001010 f +b111011101100111010000001010 !" +b1100000111101111100011011001 &" +b1100000111101111100011011001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7012010 +b1100000111101111100011011001 R" +#7012500 +b1100 5 +b1100 L +b1100 W +14 +b101011001010110110110100011101 2 +b101011001010110110110100011101 I +b101011001010110110110100011101 Z +b100 3 +b100 J +b100 Y +b1100 ' +b1100 D +1) +b101011001010110110110100011101 , +b101011001010110110110100011101 H +b100 + +b100 G +1. +0% +#7013000 +1^ +0i +b1001000000010001101111100111 { +b1001000000010001101111100111 A" +1\ +1[ +0=" +1>" +19" +1:" +1;" +b11011 3" +0d +b10011 0" +0j +0o +1p +1k +0b +0a +1/" +b101011001010110110110100011101 &" +b101011001010110110110100011101 ?" +b100 %" +b100 *" +b100 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7013010 +b101011001010110110110100011101 G" +#7013500 +b1001 5 +b1001 L +b1001 W +b10001 7 +b10001 N +b10001 V +16 +b10111000011111000111011001101111 2 +b10111000011111000111011001101111 I +b10111000011111000111011001101111 Z +b111 3 +b111 J +b111 Y +b1001 ' +b1001 D +b10001 & +b10001 C +1( +b10111000011111000111011001101111 , +b10111000011111000111011001101111 H +b111 + +b111 G +1. +0% +#7014000 +1o +b11110011010010111010110101001110 | +b11110011010010111010110101001110 B" +0\ +0[ +0g +19" +0:" +0q +17" +b11000 3" +b10110 0" +0p +b10011011110001010111010000010011 { +b10011011110001010111010000010011 A" +b1110 -" +0u +14" +1v +1b +1a +1m +1w +1," +b1001000000010001101111100111 / +b1001000000010001101111100111 K +b1001000000010001101111100111 e +b1001000000010001101111100111 "" +b10111000011111000111011001101111 &" +b10111000011111000111011001101111 ?" +b111 %" +b111 *" +b111 1" +b1001 ~ +b1001 )" +b1001 ." +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#7014010 +b10111000011111000111011001101111 J" +#7014500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7015000 +1] +1i +1\ +1[ +0>" +0@" +1g +09" +0;" +1q +07" +b11111 3" +0^ +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011011110001010111010000010011 / +b10011011110001010111010000010011 K +b10011011110001010111010000010011 e +b10011011110001010111010000010011 "" +b11110011010010111010110101001110 0 +b11110011010010111010110101001110 M +b11110011010010111010110101001110 f +b11110011010010111010110101001110 !" +0. +1% +#7015500 +b1111 5 +b1111 L +b1111 W +14 +b10100 7 +b10100 N +b10100 V +16 +b1010000000100001011001010011001 2 +b1010000000100001011001010011001 I +b1010000000100001011001010011001 Z +b1100 3 +b1100 J +b1100 Y +11 +b1111 ' +b1111 D +1) +b10100 & +b10100 C +1( +b1010000000100001011001010011001 , +b1010000000100001011001010011001 H +b1100 + +b1100 G +1- +1. +0% +#7016000 +0] +0i +b1100000111101111100011011001 { +b1100000111101111100011011001 A" +0s +b1111000101001111110000001000100 | +b1111000101001111110000001000100 B" +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +16" +17" +b10011 3" +0^ +0c +1d +1_ +b10000 0" +0j +0o +1p +1k +b1011 -" +1t +0u +14" +1v +12" +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010000000100001011001010011001 &" +b1010000000100001011001010011001 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#7016010 +b1010000000100001011001010011001 O" +#7016500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7017000 +1] +1i +1s +0=" +0>" +0@" +1h +1g +09" +0:" +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +04" +0v +02" +0n +0m +0/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100000111101111100011011001 / +b1100000111101111100011011001 K +b1100000111101111100011011001 e +b1100000111101111100011011001 "" +b1111000101001111110000001000100 0 +b1111000101001111110000001000100 M +b1111000101001111110000001000100 f +b1111000101001111110000001000100 !" +0. +1% +#7017500 +1. +0% +#7018000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7018500 +b11110 5 +b11110 L +b11110 W +14 +b10010 7 +b10010 N +b10010 V +16 +b11101010001111111000001101011010 2 +b11101010001111111000001101011010 I +b11101010001111111000001101011010 Z +b10001 3 +b10001 J +b10001 Y +11 +b11110 ' +b11110 D +1) +b10010 & +b10010 C +1( +b11101010001111111000001101011010 , +b11101010001111111000001101011010 H +b10001 + +b10001 G +1- +1. +0% +#7019000 +0i +b110000000000011111110110001000 { +b110000000000011111110110001000 A" +b111010110110000010110111111111 | +b111010110110000010110111111111 B" +0[ +1@" +0h +19" +1:" +1;" +0r +17" +b1110 3" +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +b1101 -" +0u +14" +1v +1a +12" +1n +1/" +1x +1," +b11101010001111111000001101011010 &" +b11101010001111111000001101011010 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#7019010 +b11101010001111111000001101011010 T" +#7019500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7020000 +1k +1i +1[ +0@" +0g +1;" +09" +0:" +1r +07" +b11111 3" +0<" +0` +b11100 0" +08" +0l +0p +b11100010010100000011001100110 { +b11100010010100000011001100110 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1m +0x +0," +b110000000000011111110110001000 / +b110000000000011111110110001000 K +b110000000000011111110110001000 e +b110000000000011111110110001000 "" +b111010110110000010110111111111 0 +b111010110110000010110111111111 M +b111010110110000010110111111111 f +b111010110110000010110111111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7020500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b100010011000000101000100001110 2 +b100010011000000101000100001110 I +b100010011000000101000100001110 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b110 & +b110 C +1( +b100010011000000101000100001110 , +b100010011000000101000100001110 H +b11001 + +b11001 G +1- +1. +0% +#7021000 +0] +0s +b1101010001100111110110110010000 | +b1101010001100111110110110010000 B" +0[ +1=" +1@" +1h +1g +0;" +0r +16" +17" +b110 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11001 -" +1t +1u +1a +12" +0n +0m +0/" +1x +1," +b100010011000000101000100001110 &" +b100010011000000101000100001110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +b11100010010100000011001100110 / +b11100010010100000011001100110 K +b11100010010100000011001100110 e +b11100010010100000011001100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7021010 +b100010011000000101000100001110 \" +#7021500 +b10010 7 +b10010 N +b10010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 & +b10010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7022000 +1] +0u +1s +1[ +0=" +0@" +b111010110110000010110111111111 | +b111010110110000010110111111111 B" +06" +b11111 3" +0c +0<" +0` +b1101 -" +14" +1v +0t +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101010001100111110110110010000 0 +b1101010001100111110110110010000 M +b1101010001100111110110110010000 f +b1101010001100111110110110010000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 } +b10010 (" +b10010 +" +0. +1% +#7022500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7023000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b111010110110000010110111111111 0 +b111010110110000010110111111111 M +b111010110110000010110111111111 f +b111010110110000010110111111111 !" +0. +1% +#7023500 +1. +0% +#7024000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7024500 +b1100 7 +b1100 N +b1100 V +16 +b100110011000110010011000011100 2 +b100110011000110010011000011100 I +b100110011000110010011000011100 Z +b11010 3 +b11010 J +b11010 Y +11 +b1100 & +b1100 C +1( +b100110011000110010011000011100 , +b100110011000110010011000011100 H +b11010 + +b11010 G +1- +1. +0% +#7025000 +0] +0s +b1010000000100001011001010011001 | +b1010000000100001011001010011001 B" +0\ +1=" +1@" +15" +16" +17" +b101 3" +1c +0_ +1<" +1` +b10011 -" +0t +0y +1z +1u +1b +12" +1," +b100110011000110010011000011100 &" +b100110011000110010011000011100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#7025010 +b100110011000110010011000011100 ]" +#7025500 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7026000 +1] +0u +1s +1\ +0=" +0@" +0r +0q +05" +06" +b11111 3" +0c +0<" +0` +b1100 -" +14" +1v +0z +b10001010010010101110110000101101 | +b10001010010010101110110000101101 B" +0b +02" +1x +1w +b1010000000100001011001010011001 0 +b1010000000100001011001010011001 M +b1010000000100001011001010011001 f +b1010000000100001011001010011001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +0. +1% +#7026500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b111 ' +b111 D +1) +b0 & +b0 C +0( +1. +0% +#7027000 +0i +b10111000011111000111011001101111 { +b10111000011111000111011001101111 A" +0h +0g +1:" +1;" +1r +1q +07" +b11000 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0w +0," +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10001010010010101110110000101101 0 +b10001010010010101110110000101101 M +b10001010010010101110110000101101 f +b10001010010010101110110000101101 !" +0. +1% +#7027500 +b0 5 +b0 L +b0 W +04 +b1101000010011000110110010000110 2 +b1101000010011000110110010000110 I +b1101000010011000110110010000110 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b1101000010011000110110010000110 , +b1101000010011000110110010000110 H +b10 + +b10 G +1- +1. +0% +#7028000 +1i +0\ +1@" +1h +1g +0:" +0;" +b11101 3" +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0m +0/" +b10111000011111000111011001101111 / +b10111000011111000111011001101111 K +b10111000011111000111011001101111 e +b10111000011111000111011001101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101000010011000110110010000110 &" +b1101000010011000110110010000110 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7028010 +b1101000010011000110110010000110 E" +#7028500 +b10011001010110011000001111101 2 +b10011001010110011000001111101 I +b10011001010110011000001111101 Z +b11001 3 +b11001 J +b11001 Y +b10011001010110011000001111101 , +b10011001010110011000001111101 H +b11001 + +b11001 G +1. +0% +#7029000 +0_ +0] +1\ +0[ +1=" +b110 3" +1<" +1` +1c +0b +1a +b10011001010110011000001111101 &" +b10011001010110011000001111101 ?" +b11001 %" +b11001 *" +b11001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7029010 +b10011001010110011000001111101 \" +#7029500 +b11000 5 +b11000 L +b11000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7030000 +1] +0i +b100011110000000010010111110001 { +b100011110000000010010111110001 A" +1[ +0=" +0@" +19" +1;" +b11111 3" +0c +0<" +0` +b111 0" +1o +0k +18" +1l +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#7030500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +1. +0% +#7031000 +1i +0s +b11101000001100101000001011011011 | +b11101000001100101000001011011011 B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +17" +b11111 0" +0o +08" +0l +b10100 -" +1y +1u +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b100011110000000010010111110001 / +b100011110000000010010111110001 K +b100011110000000010010111110001 e +b100011110000000010010111110001 "" +0. +1% +#7031500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7032000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101000001100101000001011011011 0 +b11101000001100101000001011011011 M +b11101000001100101000001011011011 f +b11101000001100101000001011011011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7032500 +b101 7 +b101 N +b101 V +16 +b101 & +b101 C +1( +1. +0% +#7033000 +0s +b1010001010001100100111001000110 | +b1010001010001100100111001000110 B" +0q +16" +17" +b11010 -" +1t +1u +1w +1," +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7033500 +b1001 5 +b1001 L +b1001 W +14 +b11110 7 +b11110 N +b11110 V +b1001 ' +b1001 D +1) +b11110 & +b11110 C +1. +0% +#7034000 +0i +b10011011110001010111010000010011 { +b10011011110001010111010000010011 A" +0u +0t +0g +19" +1;" +0r +1q +15" +b10110 0" +1o +1k +b1 -" +14" +1v +1z +b110000000000011111110110001000 | +b110000000000011111110110001000 B" +1m +1/" +1x +0w +b1010001010001100100111001000110 0 +b1010001010001100100111001000110 M +b1010001010001100100111001000110 f +b1010001010001100100111001000110 !" +b1001 ~ +b1001 )" +b1001 ." +1$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#7034500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7035000 +1i +1s +1g +09" +0;" +1r +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011011110001010111010000010011 / +b10011011110001010111010000010011 K +b10011011110001010111010000010011 e +b10011011110001010111010000010011 "" +b110000000000011111110110001000 0 +b110000000000011111110110001000 M +b110000000000011111110110001000 f +b110000000000011111110110001000 !" +0. +1% +#7035500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#7036000 +0i +b10011011110001010111010000010011 { +b10011011110001010111010000010011 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#7036500 +b100 5 +b100 L +b100 W +b110 7 +b110 N +b110 V +16 +b101011110011000011000011110 2 +b101011110011000011000011110 I +b101011110011000011000011110 Z +b1110 3 +b1110 J +b1110 Y +11 +b100 ' +b100 D +b110 & +b110 C +1( +b101011110011000011000011110 , +b101011110011000011000011110 H +b1110 + +b1110 G +1- +1. +0% +#7037000 +1:" +0] +1j +0s +b1101010001100111110110110010000 | +b1101010001100111110110110010000 B" +0\ +1=" +1>" +1@" +1g +09" +0r +16" +17" +b10001 3" +0^ +0c +1d +1_ +b11011 0" +0o +b101011001010110110110100011101 { +b101011001010110110110100011101 A" +b11001 -" +1t +1u +1b +12" +0m +1x +1," +b101011110011000011000011110 &" +b101011110011000011000011110 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b100 ~ +b100 )" +b100 ." +b110 } +b110 (" +b110 +" +1#" +b10011011110001010111010000010011 / +b10011011110001010111010000010011 K +b10011011110001010111010000010011 e +b10011011110001010111010000010011 "" +0. +1% +#7037010 +b101011110011000011000011110 Q" +#7037500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7038000 +1] +1i +1s +1\ +0=" +0>" +0@" +0h +0:" +1r +06" +07" +b11111 3" +0d +0_ +b11101 0" +0j +b1101000010011000110110010000110 { +b1101000010011000110110010000110 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1n +0x +0," +b101011001010110110110100011101 / +b101011001010110110110100011101 K +b101011001010110110110100011101 e +b101011001010110110110100011101 "" +b1101010001100111110110110010000 0 +b1101010001100111110110110010000 M +b1101010001100111110110110010000 f +b1101010001100111110110110010000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7038500 +b10001 5 +b10001 L +b10001 W +b10001 ' +b10001 D +1. +0% +#7039000 +0k +1h +0g +b1110 0" +18" +1l +b11101010001111111000001101011010 { +b11101010001111111000001101011010 A" +0n +1m +b10001 ~ +b10001 )" +b10001 ." +b1101000010011000110110010000110 / +b1101000010011000110110010000110 K +b1101000010011000110110010000110 e +b1101000010011000110110010000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7039500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7040000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11101010001111111000001101011010 / +b11101010001111111000001101011010 K +b11101010001111111000001101011010 e +b11101010001111111000001101011010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7040500 +b11011 7 +b11011 N +b11011 V +16 +b10000001010111001110101011101101 2 +b10000001010111001110101011101101 I +b10000001010111001110101011101101 Z +b11010 3 +b11010 J +b11010 Y +11 +b11011 & +b11011 C +1( +b10000001010111001110101011101101 , +b10000001010111001110101011101101 H +b11010 + +b11010 G +1- +1. +0% +#7041000 +0] +0s +b1100010110010000001000010000110 | +b1100010110010000001000010000110 B" +0\ +1=" +1@" +0r +0q +15" +17" +b101 3" +1c +0_ +1<" +1` +b100 -" +1y +0u +14" +1v +1b +12" +1x +1w +1," +b10000001010111001110101011101101 &" +b10000001010111001110101011101101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7041010 +b10000001010111001110101011101101 ]" +#7041500 +b11110 5 +b11110 L +b11110 W +14 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7042000 +16" +1] +0i +b110000000000011111110110001000 { +b110000000000011111110110001000 A" +1u +1t +1\ +0=" +0@" +0h +19" +1:" +1;" +17" +b10111000011111000111011001101111 | +b10111000011111000111011001101111 B" +05" +b11111 3" +0c +0<" +0` +b1 0" +0j +0o +1p +0k +18" +1l +b11000 -" +04" +0v +0y +0b +02" +1n +1/" +b1100010110010000001000010000110 0 +b1100010110010000001000010000110 M +b1100010110010000001000010000110 f +b1100010110010000001000010000110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b111 } +b111 (" +b111 +" +0. +1% +#7042500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b10101000101000110100001101110 2 +b10101000101000110100001101110 I +b10101000101000110100001101110 Z +b101 3 +b101 J +b101 Y +11 +b10110 ' +b10110 D +b0 & +b0 C +0( +b10101000101000110100001101110 , +b10101000101000110100001101110 H +b101 + +b101 G +1- +1. +0% +#7043000 +0] +1j +b10011011000101110010011000010100 { +b10011011000101110010011000010100 A" +1s +0[ +1>" +1@" +09" +1:" +1r +1q +06" +07" +b11010 3" +1^ +1_ +b1001 0" +0p +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0w +0," +b10101000101000110100001101110 &" +b10101000101000110100001101110 ?" +b101 %" +b101 *" +b101 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +b110000000000011111110110001000 / +b110000000000011111110110001000 K +b110000000000011111110110001000 e +b110000000000011111110110001000 "" +b10111000011111000111011001101111 0 +b10111000011111000111011001101111 M +b10111000011111000111011001101111 f +b10111000011111000111011001101111 !" +0. +1% +#7043010 +b10101000101000110100001101110 H" +#7043500 +b0 5 +b0 L +b0 W +04 +b1111101111101111001111111101101 2 +b1111101111101111001111111101101 I +b1111101111101111001111111101101 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b1111101111101111001111111101101 , +b1111101111101111001111111101101 H +b0 + +b0 G +1. +0% +#7044000 +1] +1i +1[ +0>" +1h +0:" +0;" +b11111 3" +0^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +0n +0/" +b10011011000101110010011000010100 / +b10011011000101110010011000010100 K +b10011011000101110010011000010100 e +b10011011000101110010011000010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111101111101111001111111101101 &" +b1111101111101111001111111101101 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7044010 +b1111101111101111001111111101101 C" +#7044500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#7045000 +0@" +0_ +02" +b0 &" +b0 ?" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7045500 +b10111 5 +b10111 L +b10111 W +14 +b10011 7 +b10011 N +b10011 V +16 +b10111 ' +b10111 D +1) +b10011 & +b10011 C +1( +1. +0% +#7046000 +0i +b11001010011110010011111011011101 { +b11001010011110010011111011011101 A" +b10001010010010101110110000101101 | +b10001010010010101110110000101101 B" +0h +0g +1:" +1;" +0r +0q +17" +b1000 0" +1j +0k +18" +1l +b1100 -" +0u +14" +1v +1n +1m +1/" +1x +1w +1," +b10111 ~ +b10111 )" +b10111 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#7046500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b10100111101010111100010000110111 2 +b10100111101010111100010000110111 I +b10100111101010111100010000110111 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b11100 & +b11100 C +b10100111101010111100010000110111 , +b10100111101010111100010000110111 H +b10 + +b10 G +1- +1. +0% +#7047000 +1i +0s +0\ +1@" +1h +1g +0:" +0;" +1r +1q +15" +16" +b11101 3" +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +0t +0y +1z +b1001111011001000101011111011010 | +b1001111011001000101011111011010 B" +1b +12" +0n +0m +0/" +0x +0w +b10100111101010111100010000110111 &" +b10100111101010111100010000110111 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b11001010011110010011111011011101 / +b11001010011110010011111011011101 K +b11001010011110010011111011011101 e +b11001010011110010011111011011101 "" +b10001010010010101110110000101101 0 +b10001010010010101110110000101101 M +b10001010010010101110110000101101 f +b10001010010010101110110000101101 !" +0. +1% +#7047010 +b10100111101010111100010000110111 E" +#7047500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7048000 +0i +b10011001010110011000001111101 { +b10011001010110011000001111101 A" +1s +1\ +0@" +0g +19" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0_ +b110 0" +1o +0k +18" +1l +b11111 -" +0z +04" +0v +0b +02" +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001111011001000101011111011010 0 +b1001111011001000101011111011010 M +b1001111011001000101011111011010 f +b1001111011001000101011111011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7048500 +b1100 5 +b1100 L +b1100 W +b1100 7 +b1100 N +b1100 V +16 +b1100 ' +b1100 D +b1100 & +b1100 C +1( +1. +0% +#7049000 +1k +0o +0s +b1010000000100001011001010011001 | +b1010000000100001011001010011001 B" +1g +1;" +1:" +15" +16" +17" +b10011 0" +08" +0l +1p +b1010000000100001011001010011001 { +b1010000000100001011001010011001 A" +b10011 -" +0t +0y +1z +1u +0m +1," +b1100 ~ +b1100 )" +b1100 ." +b1100 } +b1100 (" +b1100 +" +1#" +b10011001010110011000001111101 / +b10011001010110011000001111101 K +b10011001010110011000001111101 e +b10011001010110011000001111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7049500 +b0 5 +b0 L +b0 W +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +b0 & +b0 C +0( +1. +0% +#7050000 +1i +b1111101111101111001111111101101 { +b1111101111101111001111111101101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +09" +0:" +05" +06" +07" +b11111 0" +0p +b11111 -" +0z +0u +0," +b1010000000100001011001010011001 / +b1010000000100001011001010011001 K +b1010000000100001011001010011001 e +b1010000000100001011001010011001 "" +b1010000000100001011001010011001 0 +b1010000000100001011001010011001 M +b1010000000100001011001010011001 f +b1010000000100001011001010011001 !" +b0 ~ +b0 )" +b0 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7050500 +04 +b11110 7 +b11110 N +b11110 V +16 +0) +b11110 & +b11110 C +1( +1. +0% +#7051000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b110000000000011111110110001000 | +b110000000000011111110110001000 B" +0;" +0r +15" +16" +17" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0/" +1x +1," +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b1111101111101111001111111101101 / +b1111101111101111001111111101101 K +b1111101111101111001111111101101 e +b1111101111101111001111111101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7051500 +b10010 5 +b10010 L +b10010 W +14 +b110 7 +b110 N +b110 V +b10010 ' +b10010 D +1) +b110 & +b110 C +1. +0% +#7052000 +b111010110110000010110111111111 { +b111010110110000010110111111111 A" +1u +1t +0h +1;" +17" +b1101010001100111110110110010000 | +b1101010001100111110110110010000 B" +05" +16" +b1101 0" +0k +18" +1l +b11001 -" +04" +0v +0z +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110000000000011111110110001000 0 +b110000000000011111110110001000 M +b110000000000011111110110001000 f +b110000000000011111110110001000 !" +b10010 ~ +b10010 )" +b10010 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#7052500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7053000 +1s +1h +0;" +1r +06" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b111010110110000010110111111111 / +b111010110110000010110111111111 K +b111010110110000010110111111111 e +b111010110110000010110111111111 "" +b1101010001100111110110110010000 0 +b1101010001100111110110110010000 M +b1101010001100111110110110010000 f +b1101010001100111110110110010000 !" +0. +1% +#7053500 +b10000 5 +b10000 L +b10000 W +14 +b10000 ' +b10000 D +1) +1. +0% +#7054000 +b11101111110010110111100110100110 { +b11101111110010110111100110100110 A" +1;" +b1111 0" +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#7054500 +b0 5 +b0 L +b0 W +04 +b1010111000101111110011010001000 2 +b1010111000101111110011010001000 I +b1010111000101111110011010001000 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b1010111000101111110011010001000 , +b1010111000101111110011010001000 H +b111 + +b111 G +1- +1. +0% +#7055000 +0] +0\ +0[ +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11000 3" +1^ +1_ +b11111 0" +08" +0l +1b +1a +12" +0/" +b1010111000101111110011010001000 &" +b1010111000101111110011010001000 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101111110010110111100110100110 / +b11101111110010110111100110100110 K +b11101111110010110111100110100110 e +b11101111110010110111100110100110 "" +0. +1% +#7055010 +b1010111000101111110011010001000 J" +#7055500 +b10000 5 +b10000 L +b10000 W +14 +b10111101010001101111000000010001 2 +b10111101010001101111000000010001 I +b10111101010001101111000000010001 Z +b10011 3 +b10011 J +b10011 Y +b10000 ' +b10000 D +1) +b10111101010001101111000000010001 , +b10111101010001101111000000010001 H +b10011 + +b10011 G +1. +0% +#7056000 +0_ +1] +b11101111110010110111100110100110 { +b11101111110010110111100110100110 A" +0>" +1;" +b1100 3" +1<" +1` +0^ +b1111 0" +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111101010001101111000000010001 &" +b10111101010001101111000000010001 ?" +b10011 %" +b10011 *" +b10011 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#7056010 +b10111101010001101111000000010001 V" +#7056500 +b10010 5 +b10010 L +b10010 W +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7057000 +b10111101010001101111000000010001 | +b10111101010001101111000000010001 B" +1\ +1[ +0@" +0h +0r +0q +17" +b11111 3" +0<" +0` +b1101 0" +b111010110110000010110111111111 { +b111010110110000010110111111111 A" +b1100 -" +0u +14" +1v +0b +0a +02" +1n +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +b10011 } +b10011 (" +b10011 +" +1#" +b11101111110010110111100110100110 / +b11101111110010110111100110100110 K +b11101111110010110111100110100110 e +b11101111110010110111100110100110 "" +0. +1% +#7057500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7058000 +1h +0;" +1r +1q +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0x +0w +0," +b111010110110000010110111111111 / +b111010110110000010110111111111 K +b111010110110000010110111111111 e +b111010110110000010110111111111 "" +b10111101010001101111000000010001 0 +b10111101010001101111000000010001 M +b10111101010001101111000000010001 f +b10111101010001101111000000010001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7058500 +b10 5 +b10 L +b10 W +14 +b11 7 +b11 N +b11 V +16 +b10 ' +b10 D +1) +b11 & +b11 C +1( +1. +0% +#7059000 +b10100111101010111100010000110111 { +b10100111101010111100010000110111 A" +b11100010010100000011001100110 | +b11100010010100000011001100110 B" +0h +1;" +0r +0q +17" +b11101 0" +1k +b11100 -" +1u +1n +1/" +1x +1w +1," +b10 ~ +b10 )" +b10 ." +1$" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7059500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7060000 +1h +0;" +1r +1q +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0w +0," +b10100111101010111100010000110111 / +b10100111101010111100010000110111 K +b10100111101010111100010000110111 e +b10100111101010111100010000110111 "" +b11100010010100000011001100110 0 +b11100010010100000011001100110 M +b11100010010100000011001100110 f +b11100010010100000011001100110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7060500 +b11111 7 +b11111 N +b11111 V +16 +b111011100010000010110010001 2 +b111011100010000010110010001 I +b111011100010000010110010001 Z +b11000 3 +b11000 J +b11000 Y +11 +b11111 & +b11111 C +1( +b111011100010000010110010001 , +b111011100010000010110010001 H +b11000 + +b11000 G +1- +1. +0% +#7061000 +0] +0s +b100000011101110001111100110111 | +b100000011101110001111100110111 B" +1=" +1@" +0r +0q +15" +16" +17" +b111 3" +1c +0_ +1<" +1` +b0 -" +0t +0y +1z +0u +14" +1v +12" +1x +1w +1," +b111011100010000010110010001 &" +b111011100010000010110010001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7061010 +b111011100010000010110010001 [" +#7061500 +14 +b1001 7 +b1001 N +b1001 V +b11010101100110010111100000100 2 +b11010101100110010111100000100 I +b11010101100110010111100000100 Z +b1101 3 +b1101 J +b1101 Y +1) +b1001 & +b1001 C +b11010101100110010111100000100 , +b11010101100110010111100000100 H +b1101 + +b1101 G +1. +0% +#7062000 +1_ +0c +b1111101111101111001111111101101 { +b1111101111101111001111111101101 A" +1u +1y +0[ +1@" +1>" +1;" +1r +17" +15" +06" +b10010 3" +0<" +0` +1d +1k +b10110 -" +04" +0v +0z +b10011011110001010111010000010011 | +b10011011110001010111010000010011 B" +1a +1/" +0x +b100000011101110001111100110111 0 +b100000011101110001111100110111 M +b100000011101110001111100110111 f +b100000011101110001111100110111 !" +b11010101100110010111100000100 &" +b11010101100110010111100000100 ?" +b1101 %" +b1101 *" +b1101 1" +1$" +b1001 } +b1001 (" +b1001 +" +0. +1% +#7062010 +b11010101100110010111100000100 P" +#7062500 +04 +b11010 7 +b11010 N +b11010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b11010 & +b11010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7063000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +1[ +0=" +0>" +0@" +0;" +0r +1q +b11111 3" +0d +0_ +0k +b101 -" +14" +1v +b10000001010111001110101011101101 | +b10000001010111001110101011101101 B" +0a +02" +0/" +1x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +b11010 } +b11010 (" +b11010 +" +b1111101111101111001111111101101 / +b1111101111101111001111111101101 K +b1111101111101111001111111101101 e +b1111101111101111001111111101101 "" +b10011011110001010111010000010011 0 +b10011011110001010111010000010011 M +b10011011110001010111010000010011 f +b10011011110001010111010000010011 !" +0. +1% +#7063500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7064000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000001010111001110101011101101 0 +b10000001010111001110101011101101 M +b10000001010111001110101011101101 f +b10000001010111001110101011101101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7064500 +b1101111100100000111010010101000 2 +b1101111100100000111010010101000 I +b1101111100100000111010010101000 Z +b11001 3 +b11001 J +b11001 Y +11 +b1101111100100000111010010101000 , +b1101111100100000111010010101000 H +b11001 + +b11001 G +1- +1. +0% +#7065000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b1101111100100000111010010101000 &" +b1101111100100000111010010101000 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7065010 +b1101111100100000111010010101000 \" +#7065500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7066000 +1] +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7066500 +b10010001000010010010100010100011 2 +b10010001000010010010100010100011 I +b10010001000010010010100010100011 Z +b11011 3 +b11011 J +b11011 Y +11 +b10010001000010010010100010100011 , +b10010001000010010010100010100011 H +b11011 + +b11011 G +1- +1. +0% +#7067000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b10010001000010010010100010100011 &" +b10010001000010010010100010100011 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0. +1% +#7067010 +b10010001000010010010100010100011 ^" +#7067500 +b11101 5 +b11101 L +b11101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7068000 +1] +0i +b100011110000001010101010111110 { +b100011110000001010101010111110 A" +1\ +1[ +0=" +0@" +0g +19" +1:" +1;" +b11111 3" +0c +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#7068500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +1. +0% +#7069000 +1i +0s +b11101000001100101000001011011011 | +b11101000001100101000001011011011 B" +1g +09" +0:" +0;" +0r +0q +15" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +1y +1u +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b100011110000001010101010111110 / +b100011110000001010101010111110 K +b100011110000001010101010111110 e +b100011110000001010101010111110 "" +0. +1% +#7069500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b101 ' +b101 D +1) +b0 & +b0 C +0( +1. +0% +#7070000 +0i +b10101000101000110100001101110 { +b10101000101000110100001101110 A" +1s +0g +1:" +1;" +1r +1q +05" +07" +b11010 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101000001100101000001011011011 0 +b11101000001100101000001011011011 M +b11101000001100101000001011011011 f +b11101000001100101000001011011011 !" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7070500 +b10000 5 +b10000 L +b10000 W +b1 7 +b1 N +b1 V +16 +b10000 ' +b10000 D +b1 & +b1 C +1( +1. +0% +#7071000 +0k +1i +b11001000101000100100000010011100 | +b11001000101000100100000010011100 B" +1g +0:" +0q +17" +b1111 0" +18" +1l +0j +b11101111110010110111100110100110 { +b11101111110010110111100110100110 A" +b11110 -" +1u +0m +1w +1," +b10000 ~ +b10000 )" +b10000 ." +b1 } +b1 (" +b1 +" +1#" +b10101000101000110100001101110 / +b10101000101000110100001101110 K +b10101000101000110100001101110 e +b10101000101000110100001101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7071500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b11010011001010001111010001000101 2 +b11010011001010001111010001000101 I +b11010011001010001111010001000101 Z +b111 3 +b111 J +b111 Y +11 +b1001 ' +b1001 D +b0 & +b0 C +0( +b11010011001010001111010001000101 , +b11010011001010001111010001000101 H +b111 + +b111 G +1- +1. +0% +#7072000 +0] +1k +0i +0\ +0[ +1>" +1@" +0g +1;" +19" +1q +07" +b11000 3" +1^ +1_ +b10110 0" +08" +0l +1o +b10011011110001010111010000010011 { +b10011011110001010111010000010011 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1m +0w +0," +b11101111110010110111100110100110 / +b11101111110010110111100110100110 K +b11101111110010110111100110100110 e +b11101111110010110111100110100110 "" +b11001000101000100100000010011100 0 +b11001000101000100100000010011100 M +b11001000101000100100000010011100 f +b11001000101000100100000010011100 !" +b11010011001010001111010001000101 &" +b11010011001010001111010001000101 ?" +b111 %" +b111 *" +b111 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7072010 +b11010011001010001111010001000101 J" +#7072500 +b0 5 +b0 L +b0 W +04 +b11111101000010110110011111000100 2 +b11111101000010110110011111000100 I +b11111101000010110110011111000100 Z +b10010 3 +b10010 J +b10010 Y +b0 ' +b0 D +0) +b11111101000010110110011111000100 , +b11111101000010110110011111000100 H +b10010 + +b10010 G +1. +0% +#7073000 +0_ +1] +1i +1[ +0>" +1g +09" +0;" +b1101 3" +1<" +1` +0^ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +0m +0/" +b11111101000010110110011111000100 &" +b11111101000010110110011111000100 ?" +b10010 %" +b10010 *" +b10010 1" +b0 ~ +b0 )" +b0 ." +0$" +b10011011110001010111010000010011 / +b10011011110001010111010000010011 K +b10011011110001010111010000010011 e +b10011011110001010111010000010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7073010 +b11111101000010110110011111000100 U" +#7073500 +b10001 5 +b10001 L +b10001 W +14 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7074000 +b11101010001111111000001101011010 { +b11101010001111111000001101011010 A" +0s +b11001010011110010011111011011101 | +b11001010011110010011111011011101 B" +1\ +0@" +0g +1;" +0r +0q +16" +17" +b11111 3" +0<" +0` +b1110 0" +0k +18" +1l +b1000 -" +1t +0u +14" +1v +0b +02" +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#7074500 +b1100 5 +b1100 L +b1100 W +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +b0 & +b0 C +0( +1. +0% +#7075000 +1k +0i +1s +1g +1;" +19" +1:" +1r +1q +06" +07" +b10011 0" +08" +0l +0j +0o +1p +b1010000000100001011001010011001 { +b1010000000100001011001010011001 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0x +0w +0," +b1100 ~ +b1100 )" +b1100 ." +b0 } +b0 (" +b0 +" +0#" +b11101010001111111000001101011010 / +b11101010001111111000001101011010 K +b11101010001111111000001101011010 e +b11101010001111111000001101011010 "" +b11001010011110010011111011011101 0 +b11001010011110010011111011011101 M +b11001010011110010011111011011101 f +b11001010011110010011111011011101 !" +0. +1% +#7075500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7076000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0:" +0;" +b11111 0" +0p +0k +0/" +b1010000000100001011001010011001 / +b1010000000100001011001010011001 K +b1010000000100001011001010011001 e +b1010000000100001011001010011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7076500 +1. +0% +#7077000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7077500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#7078000 +0s +b110000000000011111110110001000 | +b110000000000011111110110001000 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#7078500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7079000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b110000000000011111110110001000 0 +b110000000000011111110110001000 M +b110000000000011111110110001000 f +b110000000000011111110110001000 !" +0. +1% +#7079500 +1. +0% +#7080000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7080500 +1. +0% +#7081000 +0. +1% +#7081500 +b11000 7 +b11000 N +b11000 V +16 +b11000 & +b11000 C +1( +1. +0% +#7082000 +0s +b111011100010000010110010001 | +b111011100010000010110010001 B" +15" +17" +b111 -" +1y +0u +14" +1v +1," +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#7082500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7083000 +1s +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0y +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b111011100010000010110010001 0 +b111011100010000010110010001 M +b111011100010000010110010001 f +b111011100010000010110010001 !" +0. +1% +#7083500 +b10101 5 +b10101 L +b10101 W +14 +b110 7 +b110 N +b110 V +16 +b10101 ' +b10101 D +1) +b110 & +b110 C +1( +1. +0% +#7084000 +0i +b1101111010110111000110001001100 { +b1101111010110111000110001001100 A" +0s +b1101010001100111110110110010000 | +b1101010001100111110110110010000 B" +0g +1:" +1;" +0r +16" +17" +b1010 0" +1j +0k +18" +1l +b11001 -" +1t +1u +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101 ~ +b10101 )" +b10101 ." +1$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#7084500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7085000 +1i +1s +1g +0:" +0;" +1r +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1101111010110111000110001001100 / +b1101111010110111000110001001100 K +b1101111010110111000110001001100 e +b1101111010110111000110001001100 "" +b1101010001100111110110110010000 0 +b1101010001100111110110110010000 M +b1101010001100111110110110010000 f +b1101010001100111110110110010000 !" +0. +1% +#7085500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#7086000 +0i +b1100110110011100101110111100011 { +b1100110110011100101110111100011 A" +19" +1;" +b10111 0" +1o +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#7086500 +b11110 5 +b11110 L +b11110 W +b100 7 +b100 N +b100 V +16 +b11011101001010010000010001111110 2 +b11011101001010010000010001111110 I +b11011101001010010000010001111110 Z +b10101 3 +b10101 J +b10101 Y +11 +b11110 ' +b11110 D +b100 & +b100 C +1( +b11011101001010010000010001111110 , +b11011101001010010000010001111110 H +b10101 + +b10101 G +1- +1. +0% +#7087000 +0] +0k +0o +0s +b101011001010110110110100011101 | +b101011001010110110110100011101 B" +0[ +1>" +1@" +0h +1:" +16" +17" +b1010 3" +1^ +0_ +1<" +1` +b1 0" +18" +1l +1p +b110000000000011111110110001000 { +b110000000000011111110110001000 A" +b11011 -" +1t +1u +1a +12" +1n +1," +b11011101001010010000010001111110 &" +b11011101001010010000010001111110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +b100 } +b100 (" +b100 +" +1#" +b1100110110011100101110111100011 / +b1100110110011100101110111100011 K +b1100110110011100101110111100011 e +b1100110110011100101110111100011 "" +0. +1% +#7087010 +b11011101001010010000010001111110 X" +#7087500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7088000 +1] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0>" +0@" +1h +09" +0:" +0;" +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +0a +02" +0n +0/" +0," +b110000000000011111110110001000 / +b110000000000011111110110001000 K +b110000000000011111110110001000 e +b110000000000011111110110001000 "" +b101011001010110110110100011101 0 +b101011001010110110110100011101 M +b101011001010110110110100011101 f +b101011001010110110110100011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7088500 +b10 7 +b10 N +b10 V +16 +b10 & +b10 C +1( +1. +0% +#7089000 +b10100111101010111100010000110111 | +b10100111101010111100010000110111 B" +0r +17" +b11101 -" +1u +1x +1," +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7089500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7090000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b10100111101010111100010000110111 0 +b10100111101010111100010000110111 M +b10100111101010111100010000110111 f +b10100111101010111100010000110111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7090500 +b10001 5 +b10001 L +b10001 W +14 +b10001 ' +b10001 D +1) +1. +0% +#7091000 +b11101010001111111000001101011010 { +b11101010001111111000001101011010 A" +0g +1;" +b1110 0" +0k +18" +1l +1m +1/" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7091500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7092000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11101010001111111000001101011010 / +b11101010001111111000001101011010 K +b11101010001111111000001101011010 e +b11101010001111111000001101011010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7092500 +b1110 7 +b1110 N +b1110 V +16 +b11111100110111001001100011101011 2 +b11111100110111001001100011101011 I +b11111100110111001001100011101011 Z +b11100 3 +b11100 J +b11100 Y +11 +b1110 & +b1110 C +1( +b11111100110111001001100011101011 , +b11111100110111001001100011101011 H +b11100 + +b11100 G +1- +1. +0% +#7093000 +0] +0s +b101011110011000011000011110 | +b101011110011000011000011110 B" +1=" +1>" +1@" +0r +15" +16" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b10001 -" +0t +0y +1z +1u +12" +1x +1," +b11111100110111001001100011101011 &" +b11111100110111001001100011101011 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7093010 +b11111100110111001001100011101011 _" +#7093500 +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7094000 +1] +1y +b111011101100111010000001010 | +b111011101100111010000001010 B" +0=" +0>" +0@" +15" +06" +b11111 3" +0d +0<" +0` +b10101 -" +0z +02" +b101011110011000011000011110 0 +b101011110011000011000011110 M +b101011110011000011000011110 f +b101011110011000011000011110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 } +b1010 (" +b1010 +" +0. +1% +#7094500 +b11101 5 +b11101 L +b11101 W +14 +b10111 7 +b10111 N +b10111 V +b11101 ' +b11101 D +1) +b10111 & +b10111 C +1. +0% +#7095000 +16" +0i +b100011110000001010101010111110 { +b100011110000001010101010111110 A" +0u +1t +0g +19" +1:" +1;" +0q +05" +b10 0" +0j +0o +1p +0k +18" +1l +b1000 -" +14" +1v +0y +b11001010011110010011111011011101 | +b11001010011110010011111011011101 B" +1m +1/" +1w +b11101 ~ +b11101 )" +b11101 ." +1$" +b10111 } +b10111 (" +b10111 +" +b111011101100111010000001010 0 +b111011101100111010000001010 M +b111011101100111010000001010 f +b111011101100111010000001010 !" +0. +1% +#7095500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +b0 & +b0 C +0( +1. +0% +#7096000 +1j +1s +0h +09" +1:" +1r +1q +06" +07" +b1000 0" +0p +b11001010011110010011111011011101 { +b11001010011110010011111011011101 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0x +0w +0," +b100011110000001010101010111110 / +b100011110000001010101010111110 K +b100011110000001010101010111110 e +b100011110000001010101010111110 "" +b11001010011110010011111011011101 0 +b11001010011110010011111011011101 M +b11001010011110010011111011011101 f +b11001010011110010011111011011101 !" +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7096500 +b11111 5 +b11111 L +b11111 W +b10100 7 +b10100 N +b10100 V +16 +b10001111001000101110011111111110 2 +b10001111001000101110011111111110 I +b10001111001000101110011111111110 Z +11 +b11111 ' +b11111 D +b10100 & +b10100 C +1( +b10001111001000101110011111111110 , +b10001111001000101110011111111110 H +1- +1. +0% +#7097000 +0j +b100000011101110001111100110111 { +b100000011101110001111100110111 A" +0s +b1111000101001111110000001000100 | +b1111000101001111110000001000100 B" +1@" +19" +16" +17" +1_ +b0 0" +1p +b1011 -" +1t +0u +14" +1v +12" +1," +b10001111001000101110011111111110 &" +b10001111001000101110011111111110 ?" +1'" +b11111 ~ +b11111 )" +b11111 ." +b10100 } +b10100 (" +b10100 +" +1#" +b11001010011110010011111011011101 / +b11001010011110010011111011011101 K +b11001010011110010011111011011101 e +b11001010011110010011111011011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7097010 +b10001111001000101110011111111110 C" +#7097500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b1010 ' +b1010 D +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#7098000 +1k +1o +1s +0@" +1g +1;" +19" +0:" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0_ +b10101 0" +08" +0l +0p +b111011101100111010000001010 { +b111011101100111010000001010 A" +b11111 -" +0t +04" +0v +02" +0m +0," +b100000011101110001111100110111 / +b100000011101110001111100110111 K +b100000011101110001111100110111 e +b100000011101110001111100110111 "" +b1111000101001111110000001000100 0 +b1111000101001111110000001000100 M +b1111000101001111110000001000100 f +b1111000101001111110000001000100 !" +b0 &" +b0 ?" +0'" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7098500 +b0 5 +b0 L +b0 W +04 +b1010000100010111111110100011000 2 +b1010000100010111111110100011000 I +b1010000100010111111110100011000 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b1010000100010111111110100011000 , +b1010000100010111111110100011000 H +b1000 + +b1000 G +1- +1. +0% +#7099000 +0] +1i +1=" +1@" +1h +09" +0;" +b10111 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0n +0/" +b1010000100010111111110100011000 &" +b1010000100010111111110100011000 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b111011101100111010000001010 / +b111011101100111010000001010 K +b111011101100111010000001010 e +b111011101100111010000001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7099010 +b1010000100010111111110100011000 K" +#7099500 +b10111 7 +b10111 N +b10111 V +16 +b11000101011100011110000010000100 2 +b11000101011100011110000010000100 I +b11000101011100011110000010000100 Z +b1011 3 +b1011 J +b1011 Y +b10111 & +b10111 C +1( +b11000101011100011110000010000100 , +b11000101011100011110000010000100 H +b1011 + +b1011 G +1. +0% +#7100000 +0s +b11001010011110010011111011011101 | +b11001010011110010011111011011101 B" +0\ +0[ +0r +0q +16" +17" +b10100 3" +b1000 -" +1t +0u +14" +1v +1b +1a +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000101011100011110000010000100 &" +b11000101011100011110000010000100 ?" +b1011 %" +b1011 *" +b1011 1" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#7100010 +b11000101011100011110000010000100 N" +#7100500 +b0 7 +b0 N +b0 V +06 +b11011110100101101101110100110100 2 +b11011110100101101101110100110100 I +b11011110100101101101110100110100 Z +b1000 3 +b1000 J +b1000 Y +b0 & +b0 C +0( +b11011110100101101101110100110100 , +b11011110100101101101110100110100 H +b1000 + +b1000 G +1. +0% +#7101000 +1s +1\ +1[ +1r +1q +06" +07" +b10111 3" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +0x +0w +0," +b11011110100101101101110100110100 &" +b11011110100101101101110100110100 ?" +b1000 %" +b1000 *" +b1000 1" +b0 } +b0 (" +b0 +" +0#" +b11001010011110010011111011011101 0 +b11001010011110010011111011011101 M +b11001010011110010011111011011101 f +b11001010011110010011111011011101 !" +0. +1% +#7101010 +b11011110100101101101110100110100 K" +#7101500 +b1010111010110101000001101000111 2 +b1010111010110101000001101000111 I +b1010111010110101000001101000111 Z +b10110 3 +b10110 J +b10110 Y +b1010111010110101000001101000111 , +b1010111010110101000001101000111 H +b10110 + +b10110 G +1. +0% +#7102000 +1>" +0_ +1^ +0\ +0=" +b1001 3" +1<" +1` +0c +1b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010111010110101000001101000111 &" +b1010111010110101000001101000111 ?" +b10110 %" +b10110 *" +b10110 1" +0. +1% +#7102010 +b1010111010110101000001101000111 Y" +#7102500 +b11 7 +b11 N +b11 V +16 +b11001101100011100011110100010011 2 +b11001101100011100011110100010011 I +b11001101100011100011110100010011 Z +b1110 3 +b1110 J +b1110 Y +b11 & +b11 C +1( +b11001101100011100011110100010011 , +b11001101100011100011110100010011 H +b1110 + +b1110 G +1. +0% +#7103000 +1_ +0^ +b11100010010100000011001100110 | +b11100010010100000011001100110 B" +1@" +1=" +0r +0q +17" +b10001 3" +0<" +0` +1d +b11100 -" +1u +1x +1w +1," +b11001101100011100011110100010011 &" +b11001101100011100011110100010011 ?" +b1110 %" +b1110 *" +b1110 1" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#7103010 +b11001101100011100011110100010011 Q" +#7103500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7104000 +1] +0i +b11001010011110010011111011011101 { +b11001010011110010011111011011101 A" +1\ +0=" +0>" +0@" +0h +0g +1:" +1;" +1r +1q +07" +b11111 3" +0d +0_ +b1000 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1n +1m +1/" +0x +0w +0," +b11100010010100000011001100110 0 +b11100010010100000011001100110 M +b11100010010100000011001100110 f +b11100010010100000011001100110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7104500 +b11001 5 +b11001 L +b11001 W +b11001 ' +b11001 D +1. +0% +#7105000 +19" +1o +1h +0:" +b110 0" +0j +b1101111100100000111010010101000 { +b1101111100100000111010010101000 A" +0n +b11001 ~ +b11001 )" +b11001 ." +b11001010011110010011111011011101 / +b11001010011110010011111011011101 K +b11001010011110010011111011011101 e +b11001010011110010011111011011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7105500 +b11 5 +b11 L +b11 W +b11 ' +b11 D +1. +0% +#7106000 +1k +1i +0h +1;" +09" +b11100 0" +08" +0l +0o +b11100010010100000011001100110 { +b11100010010100000011001100110 A" +1n +b1101111100100000111010010101000 / +b1101111100100000111010010101000 K +b1101111100100000111010010101000 e +b1101111100100000111010010101000 "" +b11 ~ +b11 )" +b11 ." +0. +1% +#7106500 +b10001 5 +b10001 L +b10001 W +b10001 ' +b10001 D +1. +0% +#7107000 +0k +1h +b1110 0" +18" +1l +b11101010001111111000001101011010 { +b11101010001111111000001101011010 A" +0n +b10001 ~ +b10001 )" +b10001 ." +b11100010010100000011001100110 / +b11100010010100000011001100110 K +b11100010010100000011001100110 e +b11100010010100000011001100110 "" +0. +1% +#7107500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b11011001110000000100001011011101 2 +b11011001110000000100001011011101 I +b11011001110000000100001011011101 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b10 & +b10 C +1( +b11011001110000000100001011011101 , +b11011001110000000100001011011101 H +b1100 + +b1100 G +1- +1. +0% +#7108000 +0] +b10100111101010111100010000110111 | +b10100111101010111100010000110111 B" +1=" +1>" +1@" +1g +0;" +0r +17" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +12" +0m +0/" +1x +1," +b11101010001111111000001101011010 / +b11101010001111111000001101011010 K +b11101010001111111000001101011010 e +b11101010001111111000001101011010 "" +b11011001110000000100001011011101 &" +b11011001110000000100001011011101 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#7108010 +b11011001110000000100001011011101 O" +#7108500 +b0 7 +b0 N +b0 V +06 +b1100100010101001101010100000 2 +b1100100010101001101010100000 I +b1100100010101001101010100000 Z +b11 3 +b11 J +b11 Y +b0 & +b0 C +0( +b1100100010101001101010100000 , +b1100100010101001101010100000 H +b11 + +b11 G +1. +0% +#7109000 +1] +0\ +0[ +0=" +0>" +1r +07" +b11100 3" +0d +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +0x +0," +b1100100010101001101010100000 &" +b1100100010101001101010100000 ?" +b11 %" +b11 *" +b11 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100111101010111100010000110111 0 +b10100111101010111100010000110111 M +b10100111101010111100010000110111 f +b10100111101010111100010000110111 !" +0. +1% +#7109010 +b1100100010101001101010100000 F" +#7109500 +b1100 7 +b1100 N +b1100 V +16 +b10010101111100111010000100110101 2 +b10010101111100111010000100110101 I +b10010101111100111010000100110101 Z +b11100 3 +b11100 J +b11100 Y +b1100 & +b1100 C +1( +b10010101111100111010000100110101 , +b10010101111100111010000100110101 H +b11100 + +b11100 G +1. +0% +#7110000 +0_ +0] +0s +b11011001110000000100001011011101 | +b11011001110000000100001011011101 B" +1\ +1[ +1=" +1>" +15" +16" +17" +b11 3" +1<" +1` +0^ +0c +1d +b10011 -" +0t +0y +1z +1u +0b +0a +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010101111100111010000100110101 &" +b10010101111100111010000100110101 ?" +b11100 %" +b11100 *" +b11100 1" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#7110010 +b10010101111100111010000100110101 _" +#7110500 +b1111 5 +b1111 L +b1111 W +14 +b11001 7 +b11001 N +b11001 V +b10110010001000001011000101111 2 +b10110010001000001011000101111 I +b10110010001000001011000101111 Z +b10000 3 +b10000 J +b10000 Y +b1111 ' +b1111 D +1) +b11001 & +b11001 C +b10110010001000001011000101111 , +b10110010001000001011000101111 H +b10000 + +b10000 G +1. +0% +#7111000 +1] +0i +b1100000111101111100011011001 { +b1100000111101111100011011001 A" +0u +1y +0=" +0>" +0h +0g +19" +1:" +1;" +0q +15" +06" +b1111 3" +0d +b10000 0" +0j +0o +1p +1k +b110 -" +14" +1v +0z +b1101111100100000111010010101000 | +b1101111100100000111010010101000 B" +1n +1m +1/" +1w +b10110010001000001011000101111 &" +b10110010001000001011000101111 ?" +b10000 %" +b10000 *" +b10000 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b11001 } +b11001 (" +b11001 +" +b11011001110000000100001011011101 0 +b11011001110000000100001011011101 M +b11011001110000000100001011011101 f +b11011001110000000100001011011101 !" +0. +1% +#7111010 +b10110010001000001011000101111 S" +#7111500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011000111001110111110100110110 2 +b11011000111001110111110100110110 I +b11011000111001110111110100110110 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011000111001110111110100110110 , +b11011000111001110111110100110110 H +b11010 + +b11010 G +1. +0% +#7112000 +0] +1i +1s +0\ +1=" +1h +1g +09" +0:" +0;" +1q +05" +07" +b101 3" +1c +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0n +0m +0/" +0w +0," +b1100000111101111100011011001 / +b1100000111101111100011011001 K +b1100000111101111100011011001 e +b1100000111101111100011011001 "" +b1101111100100000111010010101000 0 +b1101111100100000111010010101000 M +b1101111100100000111010010101000 f +b1101111100100000111010010101000 !" +b11011000111001110111110100110110 &" +b11011000111001110111110100110110 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7112010 +b11011000111001110111110100110110 ]" +#7112500 +b10111 5 +b10111 L +b10111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7113000 +1] +0i +b11001010011110010011111011011101 { +b11001010011110010011111011011101 A" +1\ +0=" +0@" +0h +0g +1:" +1;" +b11111 3" +0c +0<" +0` +b1000 0" +1j +0k +18" +1l +0b +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7113500 +b11101 5 +b11101 L +b11101 W +b11101 ' +b11101 D +1. +0% +#7114000 +0j +1h +19" +b10 0" +1p +b100011110000001010101010111110 { +b100011110000001010101010111110 A" +0n +b11001010011110010011111011011101 / +b11001010011110010011111011011101 K +b11001010011110010011111011011101 e +b11001010011110010011111011011101 "" +b11101 ~ +b11101 )" +b11101 ." +0. +1% +#7114500 +b10000 5 +b10000 L +b10000 W +b10000 ' +b10000 D +1. +0% +#7115000 +1i +1g +09" +0:" +b1111 0" +0p +b10110010001000001011000101111 { +b10110010001000001011000101111 A" +0m +b10000 ~ +b10000 )" +b10000 ." +b100011110000001010101010111110 / +b100011110000001010101010111110 K +b100011110000001010101010111110 e +b100011110000001010101010111110 "" +0. +1% +#7115500 +b110 5 +b110 L +b110 W +b110 ' +b110 D +1. +0% +#7116000 +1k +0i +0h +1;" +1:" +b11001 0" +08" +0l +1j +b1101010001100111110110110010000 { +b1101010001100111110110110010000 A" +1n +b10110010001000001011000101111 / +b10110010001000001011000101111 K +b10110010001000001011000101111 e +b10110010001000001011000101111 "" +b110 ~ +b110 )" +b110 ." +0. +1% +#7116500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +1. +0% +#7117000 +1i +b10110010001000001011000101111 | +b10110010001000001011000101111 B" +1h +0:" +0;" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +0u +14" +1v +0n +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +b1101010001100111110110110010000 / +b1101010001100111110110110010000 K +b1101010001100111110110110010000 e +b1101010001100111110110110010000 "" +0. +1% +#7117500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7118000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110010001000001011000101111 0 +b10110010001000001011000101111 M +b10110010001000001011000101111 f +b10110010001000001011000101111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7118500 +1. +0% +#7119000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7119500 +b11011 7 +b11011 N +b11011 V +16 +b10001100001000100010100010101100 2 +b10001100001000100010100010101100 I +b10001100001000100010100010101100 Z +b100 3 +b100 J +b100 Y +11 +b11011 & +b11011 C +1( +b10001100001000100010100010101100 , +b10001100001000100010100010101100 H +b100 + +b100 G +1- +1. +0% +#7120000 +0] +0s +b10010001000010010010100010100011 | +b10010001000010010010100010100011 B" +1>" +1@" +0r +0q +15" +17" +b11011 3" +1^ +1_ +b100 -" +1y +0u +14" +1v +12" +1x +1w +1," +b10001100001000100010100010101100 &" +b10001100001000100010100010101100 ?" +b100 %" +b100 *" +b100 1" +1'" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#7120010 +b10001100001000100010100010101100 G" +#7120500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7121000 +1] +1s +0>" +0@" +1r +1q +05" +07" +b11111 3" +0^ +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10010001000010010010100010100011 0 +b10010001000010010010100010100011 M +b10010001000010010010100010100011 f +b10010001000010010010100010100011 !" +0. +1% +#7121500 +1. +0% +#7122000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7122500 +b111110011001010101100011110101 2 +b111110011001010101100011110101 I +b111110011001010101100011110101 Z +b1101 3 +b1101 J +b1101 Y +11 +b111110011001010101100011110101 , +b111110011001010101100011110101 H +b1101 + +b1101 G +1- +1. +0% +#7123000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b111110011001010101100011110101 &" +b111110011001010101100011110101 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +0. +1% +#7123010 +b111110011001010101100011110101 P" +#7123500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7124000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7124500 +b1111 5 +b1111 L +b1111 W +14 +b10110 7 +b10110 N +b10110 V +16 +b1110010100010101111100111011111 2 +b1110010100010101111100111011111 I +b1110010100010101111100111011111 Z +b11001 3 +b11001 J +b11001 Y +11 +b1111 ' +b1111 D +1) +b10110 & +b10110 C +1( +b1110010100010101111100111011111 , +b1110010100010101111100111011111 H +b11001 + +b11001 G +1- +1. +0% +#7125000 +0] +0i +b1100000111101111100011011001 { +b1100000111101111100011011001 A" +0s +b1010111010110101000001101000111 | +b1010111010110101000001101000111 B" +0[ +1=" +1@" +0h +0g +19" +1:" +1;" +0r +16" +17" +b110 3" +1c +0_ +1<" +1` +b10000 0" +0j +0o +1p +1k +b1001 -" +1t +0u +14" +1v +1a +12" +1n +1m +1/" +1x +1," +b1110010100010101111100111011111 &" +b1110010100010101111100111011111 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#7125010 +b1110010100010101111100111011111 \" +#7125500 +b11 5 +b11 L +b11 W +b10001 7 +b10001 N +b10001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b10001 & +b10001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7126000 +1] +1i +b1100100010101001101010100000 { +b1100100010101001101010100000 A" +1s +1[ +0=" +0@" +09" +0:" +1r +0q +06" +b11111 3" +0c +0<" +0` +b11100 0" +0p +b1110 -" +0t +b11101010001111111000001101011010 | +b11101010001111111000001101011010 B" +0a +02" +0x +1w +b1100000111101111100011011001 / +b1100000111101111100011011001 K +b1100000111101111100011011001 e +b1100000111101111100011011001 "" +b1010111010110101000001101000111 0 +b1010111010110101000001101000111 M +b1010111010110101000001101000111 f +b1010111010110101000001101000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +b10001 } +b10001 (" +b10001 +" +0. +1% +#7126500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1101010011001111011011101101 2 +b1101010011001111011011101101 I +b1101010011001111011011101101 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1101010011001111011011101101 , +b1101010011001111011011101101 H +b1011 + +b1011 G +1- +1. +0% +#7127000 +0] +0\ +0[ +1=" +1@" +1h +1g +0;" +1q +07" +b10100 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0n +0m +0/" +0w +0," +b1101010011001111011011101101 &" +b1101010011001111011011101101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100100010101001101010100000 / +b1100100010101001101010100000 K +b1100100010101001101010100000 e +b1100100010101001101010100000 "" +b11101010001111111000001101011010 0 +b11101010001111111000001101011010 M +b11101010001111111000001101011010 f +b11101010001111111000001101011010 !" +0. +1% +#7127010 +b1101010011001111011011101101 N" +#7127500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7128000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7128500 +14 +b10011 7 +b10011 N +b10011 V +16 +b10101111011011001001000011010101 2 +b10101111011011001001000011010101 I +b10101111011011001001000011010101 Z +b100 3 +b100 J +b100 Y +11 +1) +b10011 & +b10011 C +1( +b10101111011011001001000011010101 , +b10101111011011001001000011010101 H +b100 + +b100 G +1- +1. +0% +#7129000 +0] +b10001111001000101110011111111110 { +b10001111001000101110011111111110 A" +b10111101010001101111000000010001 | +b10111101010001101111000000010001 B" +1>" +1@" +1;" +0r +0q +17" +b11011 3" +1^ +1_ +1k +b1100 -" +0u +14" +1v +12" +1/" +1x +1w +1," +b10101111011011001001000011010101 &" +b10101111011011001001000011010101 ?" +b100 %" +b100 *" +b100 1" +1'" +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#7129010 +b10101111011011001001000011010101 G" +#7129500 +04 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7130000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +0>" +0@" +0;" +1q +17" +b11111 3" +0^ +0_ +0k +b11101 -" +04" +0v +b10100111101010111100010000110111 | +b10100111101010111100010000110111 B" +02" +0/" +0w +b10001111001000101110011111111110 / +b10001111001000101110011111111110 K +b10001111001000101110011111111110 e +b10001111001000101110011111111110 "" +b10111101010001101111000000010001 0 +b10111101010001101111000000010001 M +b10111101010001101111000000010001 f +b10111101010001101111000000010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +b10 } +b10 (" +b10 +" +0. +1% +#7130500 +b0 7 +b0 N +b0 V +06 +b10111111100110011001100111011001 2 +b10111111100110011001100111011001 I +b10111111100110011001100111011001 Z +b100 3 +b100 J +b100 Y +11 +b0 & +b0 C +0( +b10111111100110011001100111011001 , +b10111111100110011001100111011001 H +b100 + +b100 G +1- +1. +0% +#7131000 +0] +1>" +1@" +1r +07" +b11011 3" +1^ +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0x +0," +b10111111100110011001100111011001 &" +b10111111100110011001100111011001 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100111101010111100010000110111 0 +b10100111101010111100010000110111 M +b10100111101010111100010000110111 f +b10100111101010111100010000110111 !" +0. +1% +#7131010 +b10111111100110011001100111011001 G" +#7131500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7132000 +1] +0s +b10011011110001010111010000010011 | +b10011011110001010111010000010011 B" +0>" +0@" +0q +15" +17" +b11111 3" +0^ +0_ +b10110 -" +1y +1u +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#7132500 +b111 7 +b111 N +b111 V +b111 & +b111 C +1. +0% +#7133000 +16" +1t +0r +05" +b11000 -" +0y +b11010011001010001111010001000101 | +b11010011001010001111010001000101 B" +1x +b111 } +b111 (" +b111 +" +b10011011110001010111010000010011 0 +b10011011110001010111010000010011 M +b10011011110001010111010000010011 f +b10011011110001010111010000010011 !" +0. +1% +#7133500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +b1100 ' +b1100 D +1) +b0 & +b0 C +1. +0% +#7134000 +0i +b11011001110000000100001011011101 { +b11011001110000000100001011011101 A" +1s +19" +1:" +1;" +1r +1q +06" +b10011 0" +0j +0o +1p +1k +b11111 -" +0t +b10001111001000101110011111111110 | +b10001111001000101110011111111110 B" +1/" +0x +0w +b11010011001010001111010001000101 0 +b11010011001010001111010001000101 M +b11010011001010001111010001000101 f +b11010011001010001111010001000101 !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0. +1% +#7134500 +b11010 5 +b11010 L +b11010 W +b1011 7 +b1011 N +b1011 V +b11010 ' +b11010 D +b1011 & +b1011 C +1. +0% +#7135000 +0k +1o +0s +0h +19" +0:" +0r +0q +15" +b101 0" +18" +1l +0p +b11011000111001110111110100110110 { +b11011000111001110111110100110110 A" +b10100 -" +1y +b1101010011001111011011101101 | +b1101010011001111011011101101 B" +1n +1x +1w +b11010 ~ +b11010 )" +b11010 ." +b1011 } +b1011 (" +b1011 +" +b11011001110000000100001011011101 / +b11011001110000000100001011011101 K +b11011001110000000100001011011101 e +b11011001110000000100001011011101 "" +b10001111001000101110011111111110 0 +b10001111001000101110011111111110 M +b10001111001000101110011111111110 f +b10001111001000101110011111111110 !" +0. +1% +#7135500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b0 ' +b0 D +0) +b10001 & +b10001 C +1. +0% +#7136000 +1i +0u +1s +1h +09" +0;" +1r +05" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +14" +1v +0y +b11101010001111111000001101011010 | +b11101010001111111000001101011010 B" +0n +0/" +0x +b11011000111001110111110100110110 / +b11011000111001110111110100110110 K +b11011000111001110111110100110110 e +b11011000111001110111110100110110 "" +b1101010011001111011011101101 0 +b1101010011001111011011101101 M +b1101010011001111011011101101 f +b1101010011001111011011101101 !" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#7136500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +1. +0% +#7137000 +b11101010001111111000001101011010 { +b11101010001111111000001101011010 A" +0g +1;" +1q +07" +b1110 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0w +0," +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101010001111111000001101011010 0 +b11101010001111111000001101011010 M +b11101010001111111000001101011010 f +b11101010001111111000001101011010 !" +0. +1% +#7137500 +b0 5 +b0 L +b0 W +04 +b10101011100010001101111001010001 2 +b10101011100010001101111001010001 I +b10101011100010001101111001010001 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b10101011100010001101111001010001 , +b10101011100010001101111001010001 H +b11110 + +b11110 G +1- +1. +0% +#7138000 +0] +0\ +1=" +1>" +1@" +1g +0;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0m +0/" +b11101010001111111000001101011010 / +b11101010001111111000001101011010 K +b11101010001111111000001101011010 e +b11101010001111111000001101011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101011100010001101111001010001 &" +b10101011100010001101111001010001 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7138010 +b10101011100010001101111001010001 a" +#7138500 +b100 5 +b100 L +b100 W +14 +b110 7 +b110 N +b110 V +16 +b10011011100100111010101110001101 2 +b10011011100100111010101110001101 I +b10011011100100111010101110001101 Z +b11 3 +b11 J +b11 Y +b100 ' +b100 D +1) +b110 & +b110 C +1( +b10011011100100111010101110001101 , +b10011011100100111010101110001101 H +b11 + +b11 G +1. +0% +#7139000 +1_ +1] +0i +b10111111100110011001100111011001 { +b10111111100110011001100111011001 A" +0s +b1101010001100111110110110010000 | +b1101010001100111110110110010000 B" +0[ +1@" +0=" +0>" +1:" +1;" +0r +16" +17" +b11100 3" +0<" +0` +0d +b11011 0" +1j +1k +b11001 -" +1t +1u +1a +1/" +1x +1," +b10011011100100111010101110001101 &" +b10011011100100111010101110001101 ?" +b11 %" +b11 *" +b11 1" +b100 ~ +b100 )" +b100 ." +1$" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7139010 +b10011011100100111010101110001101 F" +#7139500 +b10001 5 +b10001 L +b10001 W +b10000 7 +b10000 N +b10000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b10000 & +b10000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7140000 +0k +1i +0u +1s +1\ +1[ +0@" +0g +0:" +1r +06" +b11111 3" +0_ +b1110 0" +18" +1l +0j +b11101010001111111000001101011010 { +b11101010001111111000001101011010 A" +b1111 -" +14" +1v +0t +b10110010001000001011000101111 | +b10110010001000001011000101111 B" +0b +0a +02" +1m +0x +b10111111100110011001100111011001 / +b10111111100110011001100111011001 K +b10111111100110011001100111011001 e +b10111111100110011001100111011001 "" +b1101010001100111110110110010000 0 +b1101010001100111110110110010000 M +b1101010001100111110110110010000 f +b1101010001100111110110110010000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b10000 } +b10000 (" +b10000 +" +0. +1% +#7140500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7141000 +1g +0;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +04" +0v +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101010001111111000001101011010 / +b11101010001111111000001101011010 K +b11101010001111111000001101011010 e +b11101010001111111000001101011010 "" +b10110010001000001011000101111 0 +b10110010001000001011000101111 M +b10110010001000001011000101111 f +b10110010001000001011000101111 !" +0. +1% +#7141500 +b11000 5 +b11000 L +b11000 W +14 +b1010 7 +b1010 N +b1010 V +16 +b110111101001001100011001101101 2 +b110111101001001100011001101101 I +b110111101001001100011001101101 Z +b11001 3 +b11001 J +b11001 Y +11 +b11000 ' +b11000 D +1) +b1010 & +b1010 C +1( +b110111101001001100011001101101 , +b110111101001001100011001101101 H +b11001 + +b11001 G +1- +1. +0% +#7142000 +0] +0i +b111011100010000010110010001 { +b111011100010000010110010001 A" +0s +b111011101100111010000001010 | +b111011101100111010000001010 B" +0[ +1=" +1@" +19" +1;" +0r +15" +17" +b110 3" +1c +0_ +1<" +1` +b111 0" +1o +0k +18" +1l +b10101 -" +1y +1u +1a +12" +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110111101001001100011001101101 &" +b110111101001001100011001101101 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#7142010 +b110111101001001100011001101101 \" +#7142500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011000111101100110101001110010 2 +b11011000111101100110101001110010 I +b11011000111101100110101001110010 Z +b10101 3 +b10101 J +b10101 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011000111101100110101001110010 , +b11011000111101100110101001110010 H +b10101 + +b10101 G +1. +0% +#7143000 +1>" +1^ +1i +1s +0=" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +05" +07" +b1010 3" +0c +b11111 0" +0o +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0," +b11011000111101100110101001110010 &" +b11011000111101100110101001110010 ?" +b10101 %" +b10101 *" +b10101 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b111011100010000010110010001 / +b111011100010000010110010001 K +b111011100010000010110010001 e +b111011100010000010110010001 "" +b111011101100111010000001010 0 +b111011101100111010000001010 M +b111011101100111010000001010 f +b111011101100111010000001010 !" +0. +1% +#7143010 +b11011000111101100110101001110010 X" +#7143500 +b1 5 +b1 L +b1 W +14 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7144000 +1] +b11001000101000100100000010011100 { +b11001000101000100100000010011100 A" +0s +b11010011001010001111010001000101 | +b11010011001010001111010001000101 B" +1[ +0>" +0@" +0g +1;" +0r +0q +16" +17" +b11111 3" +0^ +0<" +0` +b11110 0" +1k +b11000 -" +1t +1u +0a +02" +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#7144500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b11001 ' +b11001 D +b0 & +b0 C +0( +1. +0% +#7145000 +0k +0i +1s +b110111101001001100011001101101 { +b110111101001001100011001101101 A" +19" +1r +1q +06" +07" +b110 0" +18" +1l +1o +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +b11001000101000100100000010011100 / +b11001000101000100100000010011100 K +b11001000101000100100000010011100 e +b11001000101000100100000010011100 "" +b11010011001010001111010001000101 0 +b11010011001010001111010001000101 M +b11010011001010001111010001000101 f +b11010011001010001111010001000101 !" +0. +1% +#7145500 +b0 5 +b0 L +b0 W +04 +b11111000100100111101000110001100 2 +b11111000100100111101000110001100 I +b11111000100100111101000110001100 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b11111000100100111101000110001100 , +b11111000100100111101000110001100 H +b10010 + +b10010 G +1- +1. +0% +#7146000 +1i +0\ +1@" +1g +09" +0;" +b1101 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0m +0/" +b110111101001001100011001101101 / +b110111101001001100011001101101 K +b110111101001001100011001101101 e +b110111101001001100011001101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111000100100111101000110001100 &" +b11111000100100111101000110001100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7146010 +b11111000100100111101000110001100 U" +#7146500 +b101 5 +b101 L +b101 W +14 +b1100101000101100101010001010010 2 +b1100101000101100101010001010010 I +b1100101000101100101010001010010 Z +b1000 3 +b1000 J +b1000 Y +b101 ' +b101 D +1) +b1100101000101100101010001010010 , +b1100101000101100101010001010010 H +b1000 + +b1000 G +1. +0% +#7147000 +1_ +0] +0i +b10101000101000110100001101110 { +b10101000101000110100001101110 A" +1\ +1@" +1=" +0g +1:" +1;" +b10111 3" +0<" +0` +1c +b11010 0" +1j +1k +0b +1m +1/" +b1100101000101100101010001010010 &" +b1100101000101100101010001010010 ?" +b1000 %" +b1000 *" +b1000 1" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7147010 +b1100101000101100101010001010010 K" +#7147500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7148000 +1] +1i +0=" +0@" +1g +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0m +0/" +b10101000101000110100001101110 / +b10101000101000110100001101110 K +b10101000101000110100001101110 e +b10101000101000110100001101110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7148500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#7149000 +0i +b10011011110001010111010000010011 { +b10011011110001010111010000010011 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7149500 +b10100 5 +b10100 L +b10100 W +b10100 ' +b10100 D +1. +0% +#7150000 +1:" +0k +1j +1g +09" +b1011 0" +18" +1l +0o +b1111000101001111110000001000100 { +b1111000101001111110000001000100 A" +0m +b10011011110001010111010000010011 / +b10011011110001010111010000010011 K +b10011011110001010111010000010011 e +b10011011110001010111010000010011 "" +b10100 ~ +b10100 )" +b10100 ." +0. +1% +#7150500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b111010000110001011011000010110 2 +b111010000110001011011000010110 I +b111010000110001011011000010110 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b111010000110001011011000010110 , +b111010000110001011011000010110 H +b10010 + +b10010 G +1- +1. +0% +#7151000 +1i +0s +b1111000101001111110000001000100 | +b1111000101001111110000001000100 B" +0\ +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +16" +17" +b1101 3" +0_ +1<" +1` +b11111 0" +0j +08" +0l +b1011 -" +1t +0u +14" +1v +1b +12" +0/" +1," +b111010000110001011011000010110 &" +b111010000110001011011000010110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b1111000101001111110000001000100 / +b1111000101001111110000001000100 K +b1111000101001111110000001000100 e +b1111000101001111110000001000100 "" +0. +1% +#7151010 +b111010000110001011011000010110 U" +#7151500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7152000 +b11001000101000100100000010011100 { +b11001000101000100100000010011100 A" +1s +1\ +0@" +0g +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11110 0" +1k +b11111 -" +0t +04" +0v +0b +02" +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111000101001111110000001000100 0 +b1111000101001111110000001000100 M +b1111000101001111110000001000100 f +b1111000101001111110000001000100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7152500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7153000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11001000101000100100000010011100 / +b11001000101000100100000010011100 K +b11001000101000100100000010011100 e +b11001000101000100100000010011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7153500 +b1100 5 +b1100 L +b1100 W +14 +b1100 ' +b1100 D +1) +1. +0% +#7154000 +0i +b11011001110000000100001011011101 { +b11011001110000000100001011011101 A" +19" +1:" +1;" +b10011 0" +0j +0o +1p +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#7154500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +1. +0% +#7155000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11011001110000000100001011011101 | +b11011001110000000100001011011101 B" +09" +0:" +0;" +15" +16" +17" +b11111 0" +0p +0k +b10011 -" +0t +0y +1z +1u +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +b11011001110000000100001011011101 / +b11011001110000000100001011011101 K +b11011001110000000100001011011101 e +b11011001110000000100001011011101 "" +0. +1% +#7155500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1110001100110111100010010111111 2 +b1110001100110111100010010111111 I +b1110001100110111100010010111111 Z +b11100 3 +b11100 J +b11100 Y +11 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b1110001100110111100010010111111 , +b1110001100110111100010010111111 H +b11100 + +b11100 G +1- +1. +0% +#7156000 +0] +b11001000101000100100000010011100 { +b11001000101000100100000010011100 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +1>" +1@" +0g +1;" +05" +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11110 0" +1k +b11111 -" +0z +0u +12" +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011001110000000100001011011101 0 +b11011001110000000100001011011101 M +b11011001110000000100001011011101 f +b11011001110000000100001011011101 !" +b1110001100110111100010010111111 &" +b1110001100110111100010010111111 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7156010 +b1110001100110111100010010111111 _" +#7156500 +b0 5 +b0 L +b0 W +04 +b1100011000100111001011100100110 2 +b1100011000100111001011100100110 I +b1100011000100111001011100100110 Z +b110 3 +b110 J +b110 Y +b0 ' +b0 D +0) +b1100011000100111001011100100110 , +b1100011000100111001011100100110 H +b110 + +b110 G +1. +0% +#7157000 +1_ +1^ +0\ +1@" +0=" +1>" +1g +0;" +b11001 3" +0<" +0` +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0m +0/" +b1100011000100111001011100100110 &" +b1100011000100111001011100100110 ?" +b110 %" +b110 *" +b110 1" +b0 ~ +b0 )" +b0 ." +0$" +b11001000101000100100000010011100 / +b11001000101000100100000010011100 K +b11001000101000100100000010011100 e +b11001000101000100100000010011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7157010 +b1100011000100111001011100100110 I" +#7157500 +b1100 5 +b1100 L +b1100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7158000 +1] +0i +b11011001110000000100001011011101 { +b11011001110000000100001011011101 A" +1\ +0>" +0@" +19" +1:" +1;" +b11111 3" +0^ +0_ +b10011 0" +0j +0o +1p +1k +0b +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#7158500 +b10000 5 +b10000 L +b10000 W +b10000 ' +b10000 D +1. +0% +#7159000 +0k +1i +b10110010001000001011000101111 { +b10110010001000001011000101111 A" +09" +0:" +b1111 0" +18" +1l +0p +b10000 ~ +b10000 )" +b10000 ." +b11011001110000000100001011011101 / +b11011001110000000100001011011101 K +b11011001110000000100001011011101 e +b11011001110000000100001011011101 "" +0. +1% +#7159500 +b10111 5 +b10111 L +b10111 W +b11 7 +b11 N +b11 V +16 +b10111 ' +b10111 D +b11 & +b11 C +1( +1. +0% +#7160000 +0i +b10011011100100111010101110001101 | +b10011011100100111010101110001101 B" +0h +0g +1:" +0r +0q +17" +b1000 0" +1j +b11001010011110010011111011011101 { +b11001010011110010011111011011101 A" +b11100 -" +1u +1n +1m +1x +1w +1," +b10110010001000001011000101111 / +b10110010001000001011000101111 K +b10110010001000001011000101111 e +b10110010001000001011000101111 "" +b10111 ~ +b10111 )" +b10111 ." +b11 } +b11 (" +b11 +" +1#" +0. +1% +#7160500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +b0 ' +b0 D +0) +b10100 & +b10100 C +1. +0% +#7161000 +1i +0u +0s +1h +1g +0:" +0;" +1r +1q +16" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +14" +1v +1t +b1111000101001111110000001000100 | +b1111000101001111110000001000100 B" +0n +0m +0/" +0x +0w +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +b11001010011110010011111011011101 / +b11001010011110010011111011011101 K +b11001010011110010011111011011101 e +b11001010011110010011111011011101 "" +b10011011100100111010101110001101 0 +b10011011100100111010101110001101 M +b10011011100100111010101110001101 f +b10011011100100111010101110001101 !" +0. +1% +#7161500 +b10 7 +b10 N +b10 V +b10 & +b10 C +1. +0% +#7162000 +1u +1s +0r +17" +06" +b11101 -" +04" +0v +0t +b10100111101010111100010000110111 | +b10100111101010111100010000110111 B" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111000101001111110000001000100 0 +b1111000101001111110000001000100 M +b1111000101001111110000001000100 f +b1111000101001111110000001000100 !" +b10 } +b10 (" +b10 +" +0. +1% +#7162500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7163000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b10100111101010111100010000110111 0 +b10100111101010111100010000110111 M +b10100111101010111100010000110111 f +b10100111101010111100010000110111 !" +0. +1% +#7163500 +b11011101101100010110110010101001 2 +b11011101101100010110110010101001 I +b11011101101100010110110010101001 Z +b1100 3 +b1100 J +b1100 Y +11 +b11011101101100010110110010101001 , +b11011101101100010110110010101001 H +b1100 + +b1100 G +1- +1. +0% +#7164000 +0] +1=" +1>" +1@" +b10011 3" +0^ +0c +1d +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011101101100010110110010101001 &" +b11011101101100010110110010101001 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +0. +1% +#7164010 +b11011101101100010110110010101001 O" +#7164500 +b111 7 +b111 N +b111 V +16 +b1000100110001001101010010011010 2 +b1000100110001001101010010011010 I +b1000100110001001101010010011010 Z +b11 3 +b11 J +b11 Y +b111 & +b111 C +1( +b1000100110001001101010010011010 , +b1000100110001001101010010011010 H +b11 + +b11 G +1. +0% +#7165000 +1] +0s +b11010011001010001111010001000101 | +b11010011001010001111010001000101 B" +0\ +0[ +0=" +0>" +0r +0q +16" +17" +b11100 3" +0d +b11000 -" +1t +1u +1b +1a +1x +1w +1," +b1000100110001001101010010011010 &" +b1000100110001001101010010011010 ?" +b11 %" +b11 *" +b11 1" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#7165010 +b1000100110001001101010010011010 F" +#7165500 +b0 7 +b0 N +b0 V +06 +b11100110000010001000001000011100 2 +b11100110000010001000001000011100 I +b11100110000010001000001000011100 Z +b1010 3 +b1010 J +b1010 Y +b0 & +b0 C +0( +b11100110000010001000001000011100 , +b11100110000010001000001000011100 H +b1010 + +b1010 G +1. +0% +#7166000 +0] +1s +1[ +1=" +1r +1q +06" +07" +b10101 3" +1c +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0x +0w +0," +b11010011001010001111010001000101 0 +b11010011001010001111010001000101 M +b11010011001010001111010001000101 f +b11010011001010001111010001000101 !" +b11100110000010001000001000011100 &" +b11100110000010001000001000011100 ?" +b1010 %" +b1010 *" +b1010 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7166010 +b11100110000010001000001000011100 M" +#7166500 +b1110 5 +b1110 L +b1110 W +14 +b1011 7 +b1011 N +b1011 V +16 +b11001101001110000010000001101110 2 +b11001101001110000010000001101110 I +b11001101001110000010000001101110 Z +b11 3 +b11 J +b11 Y +b1110 ' +b1110 D +1) +b1011 & +b1011 C +1( +b11001101001110000010000001101110 , +b11001101001110000010000001101110 H +b11 + +b11 G +1. +0% +#7167000 +1] +0i +b11001101100011100011110100010011 { +b11001101100011100011110100010011 A" +0s +b1101010011001111011011101101 | +b1101010011001111011011101101 B" +0[ +0=" +0h +19" +1:" +1;" +0r +0q +15" +17" +b11100 3" +0c +b10001 0" +0j +0o +1p +1k +b10100 -" +1y +1u +1a +1n +1/" +1x +1w +1," +b11001101001110000010000001101110 &" +b11001101001110000010000001101110 ?" +b11 %" +b11 *" +b11 1" +b1110 ~ +b1110 )" +b1110 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7167010 +b11001101001110000010000001101110 F" +#7167500 +b1 5 +b1 L +b1 W +b1111 7 +b1111 N +b1111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b1111 & +b1111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7168000 +1i +0y +b1100000111101111100011011001 | +b1100000111101111100011011001 B" +1\ +1[ +0@" +1h +0g +09" +0:" +16" +b11111 3" +0_ +b11110 0" +0p +b11001000101000100100000010011100 { +b11001000101000100100000010011100 A" +b10000 -" +1z +0b +0a +02" +0n +1m +b11001101100011100011110100010011 / +b11001101100011100011110100010011 K +b11001101100011100011110100010011 e +b11001101100011100011110100010011 "" +b1101010011001111011011101101 0 +b1101010011001111011011101101 M +b1101010011001111011011101101 f +b1101010011001111011011101101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +b1111 } +b1111 (" +b1111 +" +0. +1% +#7168500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b0 ' +b0 D +0) +b110 & +b110 C +1. +0% +#7169000 +1t +1g +0;" +1q +05" +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11001 -" +0z +b1100011000100111001011100100110 | +b1100011000100111001011100100110 B" +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +b11001000101000100100000010011100 / +b11001000101000100100000010011100 K +b11001000101000100100000010011100 e +b11001000101000100100000010011100 "" +b1100000111101111100011011001 0 +b1100000111101111100011011001 M +b1100000111101111100011011001 f +b1100000111101111100011011001 !" +0. +1% +#7169500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b11110001000100101001000110000111 2 +b11110001000100101001000110000111 I +b11110001000100101001000110000111 Z +b11111 3 +b11111 J +b11111 Y +11 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +b11110001000100101001000110000111 , +b11110001000100101001000110000111 H +b11111 + +b11111 G +1- +1. +0% +#7170000 +0] +0i +b11001101100011100011110100010011 { +b11001101100011100011110100010011 A" +1s +0\ +0[ +1=" +1>" +1@" +0h +19" +1:" +1;" +1r +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10001 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100011000100111001011100100110 0 +b1100011000100111001011100100110 M +b1100011000100111001011100100110 f +b1100011000100111001011100100110 !" +b11110001000100101001000110000111 &" +b11110001000100101001000110000111 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7170500 +b11 5 +b11 L +b11 W +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7171000 +1] +1i +b10001111001000101110011111111110 | +b10001111001000101110011111111110 B" +1\ +1[ +0=" +0>" +0@" +0g +09" +0:" +17" +b11111 3" +0d +0<" +0` +b11100 0" +0p +b11001101001110000010000001101110 { +b11001101001110000010000001101110 A" +1u +0b +0a +02" +1m +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1#" +b11001101100011100011110100010011 / +b11001101100011100011110100010011 K +b11001101100011100011110100010011 e +b11001101100011100011110100010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7171500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#7172000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +1g +0;" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0u +0n +0m +0/" +0," +b11001101001110000010000001101110 / +b11001101001110000010000001101110 K +b11001101001110000010000001101110 e +b11001101001110000010000001101110 "" +b10001111001000101110011111111110 0 +b10001111001000101110011111111110 M +b10001111001000101110011111111110 f +b10001111001000101110011111111110 !" +b0 ~ +b0 )" +b0 ." +0$" +0#" +0. +1% +#7172500 +1. +0% +#7173000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7173500 +1. +0% +#7174000 +0. +1% +#7174500 +b11010 7 +b11010 N +b11010 V +16 +b11010 & +b11010 C +1( +1. +0% +#7175000 +0s +b11011000111001110111110100110110 | +b11011000111001110111110100110110 B" +0r +15" +17" +b101 -" +1y +0u +14" +1v +1x +1," +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#7175500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +1. +0% +#7176000 +0i +b11001101100011100011110100010011 { +b11001101100011100011110100010011 A" +1s +0h +19" +1:" +1;" +1r +05" +07" +b10001 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0," +b11011000111001110111110100110110 0 +b11011000111001110111110100110110 M +b11011000111001110111110100110110 f +b11011000111001110111110100110110 !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7176500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7177000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11001101100011100011110100010011 / +b11001101100011100011110100010011 K +b11001101100011100011110100010011 e +b11001101100011100011110100010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7177500 +b11010000101110111101010000110011 2 +b11010000101110111101010000110011 I +b11010000101110111101010000110011 Z +b10010 3 +b10010 J +b10010 Y +11 +b11010000101110111101010000110011 , +b11010000101110111101010000110011 H +b10010 + +b10010 G +1- +1. +0% +#7178000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010000101110111101010000110011 &" +b11010000101110111101010000110011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +0. +1% +#7178010 +b11010000101110111101010000110011 U" +#7178500 +b11111 7 +b11111 N +b11111 V +16 +b10101001001101101101111000011101 2 +b10101001001101101101111000011101 I +b10101001001101101101111000011101 Z +b11111 & +b11111 C +1( +b10101001001101101101111000011101 , +b10101001001101101101111000011101 H +1. +0% +#7179000 +0s +b11110001000100101001000110000111 | +b11110001000100101001000110000111 B" +0r +0q +15" +16" +17" +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b10101001001101101101111000011101 &" +b10101001001101101101111000011101 ?" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#7179010 +b10101001001101101101111000011101 U" +#7179500 +b11110 5 +b11110 L +b11110 W +14 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7180000 +0i +b10101011100010001101111001010001 { +b10101011100010001101111001010001 A" +1u +1\ +0@" +0h +19" +1:" +1;" +1r +17" +b11111 3" +0<" +0` +b1 0" +0j +0o +1p +0k +18" +1l +b10010 -" +04" +0v +b111110011001010101100011110101 | +b111110011001010101100011110101 B" +0b +02" +1n +1/" +0x +b11110001000100101001000110000111 0 +b11110001000100101001000110000111 M +b11110001000100101001000110000111 f +b11110001000100101001000110000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#7180500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7181000 +1i +1s +1h +09" +0:" +0;" +1q +05" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10101011100010001101111001010001 / +b10101011100010001101111001010001 K +b10101011100010001101111001010001 e +b10101011100010001101111001010001 "" +b111110011001010101100011110101 0 +b111110011001010101100011110101 M +b111110011001010101100011110101 f +b111110011001010101100011110101 !" +0. +1% +#7181500 +b10 7 +b10 N +b10 V +16 +b10 & +b10 C +1( +1. +0% +#7182000 +b10100111101010111100010000110111 | +b10100111101010111100010000110111 B" +0r +17" +b11101 -" +1u +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#7182500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7183000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b10100111101010111100010000110111 0 +b10100111101010111100010000110111 M +b10100111101010111100010000110111 f +b10100111101010111100010000110111 !" +0. +1% +#7183500 +b11110011000110110101000011101110 2 +b11110011000110110101000011101110 I +b11110011000110110101000011101110 Z +11 +b11110011000110110101000011101110 , +b11110011000110110101000011101110 H +1- +1. +0% +#7184000 +1@" +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110011000110110101000011101110 &" +b11110011000110110101000011101110 ?" +1'" +0. +1% +#7184010 +b11110011000110110101000011101110 C" +#7184500 +b111 5 +b111 L +b111 W +14 +b1011001110111111000110011011111 2 +b1011001110111111000110011011111 I +b1011001110111111000110011011111 Z +b1110 3 +b1110 J +b1110 Y +b111 ' +b111 D +1) +b1011001110111111000110011011111 , +b1011001110111111000110011011111 H +b1110 + +b1110 G +1. +0% +#7185000 +0] +0i +b11010011001010001111010001000101 { +b11010011001010001111010001000101 A" +0\ +1=" +1>" +0h +0g +1:" +1;" +b10001 3" +0^ +0c +1d +b11000 0" +1j +1k +1b +1n +1m +1/" +b1011001110111111000110011011111 &" +b1011001110111111000110011011111 ?" +b1110 %" +b1110 *" +b1110 1" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#7185010 +b1011001110111111000110011011111 Q" +#7185500 +b110 5 +b110 L +b110 W +b1110001000011110001000000110010 2 +b1110001000011110001000000110010 I +b1110001000011110001000000110010 Z +b0 3 +b0 J +b0 Y +b110 ' +b110 D +b1110001000011110001000000110010 , +b1110001000011110001000000110010 H +b0 + +b0 G +1. +0% +#7186000 +1] +1\ +0=" +0>" +1g +b11111 3" +0d +b11001 0" +b1100011000100111001011100100110 { +b1100011000100111001011100100110 A" +0b +0m +b11010011001010001111010001000101 / +b11010011001010001111010001000101 K +b11010011001010001111010001000101 e +b11010011001010001111010001000101 "" +b1110001000011110001000000110010 &" +b1110001000011110001000000110010 ?" +b0 %" +b0 *" +b0 1" +b110 ~ +b110 )" +b110 ." +0. +1% +#7186010 +b1110001000011110001000000110010 C" +#7186500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b0 , +b0 H +0- +1. +0% +#7187000 +1i +b10111101010001101111000000010001 | +b10111101010001101111000000010001 B" +0@" +1h +0:" +0;" +0r +0q +17" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +02" +0n +0/" +1x +1w +1," +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b1100011000100111001011100100110 / +b1100011000100111001011100100110 K +b1100011000100111001011100100110 e +b1100011000100111001011100100110 "" +0. +1% +#7187500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b10110010110110011101001110110011 2 +b10110010110110011101001110110011 I +b10110010110110011101001110110011 Z +b11110 3 +b11110 J +b11110 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b10110010110110011101001110110011 , +b10110010110110011101001110110011 H +b11110 + +b11110 G +1- +1. +0% +#7188000 +0] +0i +b10011011110001010111010000010011 { +b10011011110001010111010000010011 A" +0\ +1=" +1>" +1@" +0g +19" +1;" +1r +1q +07" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b10110 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111101010001101111000000010001 0 +b10111101010001101111000000010001 M +b10111101010001101111000000010001 f +b10111101010001101111000000010001 !" +b10110010110110011101001110110011 &" +b10110010110110011101001110110011 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7188010 +b10110010110110011101001110110011 a" +#7188500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7189000 +1] +1i +1\ +0=" +0>" +0@" +1g +09" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011011110001010111010000010011 / +b10011011110001010111010000010011 K +b10011011110001010111010000010011 e +b10011011110001010111010000010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7189500 +b10000 5 +b10000 L +b10000 W +14 +b11100 7 +b11100 N +b11100 V +16 +b10101101101101001101000101111001 2 +b10101101101101001101000101111001 I +b10101101101101001101000101111001 Z +b11111 3 +b11111 J +b11111 Y +11 +b10000 ' +b10000 D +1) +b11100 & +b11100 C +1( +b10101101101101001101000101111001 , +b10101101101101001101000101111001 H +b11111 + +b11111 G +1- +1. +0% +#7190000 +0] +b10110010001000001011000101111 { +b10110010001000001011000101111 A" +0s +b1110001100110111100010010111111 | +b1110001100110111100010010111111 B" +0\ +0[ +1=" +1>" +1@" +1;" +15" +16" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1111 0" +0k +18" +1l +b11 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101101101101001101000101111001 &" +b10101101101101001101000101111001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#7190500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7191000 +1] +1s +1\ +1[ +0=" +0>" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0<" +0` +b11111 0" +08" +0l +b11111 -" +0z +04" +0v +0b +0a +02" +0/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10110010001000001011000101111 / +b10110010001000001011000101111 K +b10110010001000001011000101111 e +b10110010001000001011000101111 "" +b1110001100110111100010010111111 0 +b1110001100110111100010010111111 M +b1110001100110111100010010111111 f +b1110001100110111100010010111111 !" +0. +1% +#7191500 +b1101 7 +b1101 N +b1101 V +16 +b1101101001100001110000111110 2 +b1101101001100001110000111110 I +b1101101001100001110000111110 Z +b11 3 +b11 J +b11 Y +11 +b1101 & +b1101 C +1( +b1101101001100001110000111110 , +b1101101001100001110000111110 H +b11 + +b11 G +1- +1. +0% +#7192000 +0s +b111110011001010101100011110101 | +b111110011001010101100011110101 B" +0\ +0[ +1@" +0q +15" +16" +17" +b11100 3" +1_ +b10010 -" +0t +0y +1z +1u +1b +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101101001100001110000111110 &" +b1101101001100001110000111110 ?" +b11 %" +b11 *" +b11 1" +1'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#7192010 +b1101101001100001110000111110 F" +#7192500 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7193000 +0u +1y +1\ +1[ +0@" +0r +15" +06" +b11111 3" +0_ +b100 -" +14" +1v +0z +b10010001000010010010100010100011 | +b10010001000010010010100010100011 B" +0b +0a +02" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +b111110011001010101100011110101 0 +b111110011001010101100011110101 M +b111110011001010101100011110101 f +b111110011001010101100011110101 !" +0. +1% +#7193500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +1) +b0 & +b0 C +0( +1. +0% +#7194000 +0i +b1100011000100111001011100100110 { +b1100011000100111001011100100110 A" +1s +0h +1:" +1;" +1r +1q +05" +07" +b11001 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0w +0," +b10010001000010010010100010100011 0 +b10010001000010010010100010100011 M +b10010001000010010010100010100011 f +b10010001000010010010100010100011 !" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7194500 +b10000 5 +b10000 L +b10000 W +b1011100010001011001110010100000 2 +b1011100010001011001110010100000 I +b1011100010001011001110010100000 Z +b11010 3 +b11010 J +b11010 Y +11 +b10000 ' +b10000 D +b1011100010001011001110010100000 , +b1011100010001011001110010100000 H +b11010 + +b11010 G +1- +1. +0% +#7195000 +0] +0k +1i +0\ +1=" +1@" +1h +0:" +b101 3" +1c +0_ +1<" +1` +b1111 0" +18" +1l +0j +b10110010001000001011000101111 { +b10110010001000001011000101111 A" +1b +12" +0n +b1011100010001011001110010100000 &" +b1011100010001011001110010100000 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +b1100011000100111001011100100110 / +b1100011000100111001011100100110 K +b1100011000100111001011100100110 e +b1100011000100111001011100100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7195010 +b1011100010001011001110010100000 ]" +#7195500 +b0 5 +b0 L +b0 W +04 +b1111110101011010000101100101100 2 +b1111110101011010000101100101100 I +b1111110101011010000101100101100 Z +b11101 3 +b11101 J +b11101 Y +b0 ' +b0 D +0) +b1111110101011010000101100101100 , +b1111110101011010000101100101100 H +b11101 + +b11101 G +1. +0% +#7196000 +0c +1\ +0[ +1>" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10 3" +1d +b11111 0" +08" +0l +0b +1a +0/" +b10110010001000001011000101111 / +b10110010001000001011000101111 K +b10110010001000001011000101111 e +b10110010001000001011000101111 "" +b1111110101011010000101100101100 &" +b1111110101011010000101100101100 ?" +b11101 %" +b11101 *" +b11101 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7196010 +b1111110101011010000101100101100 `" +#7196500 +b11000 5 +b11000 L +b11000 W +14 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7197000 +1] +0i +b111011100010000010110010001 { +b111011100010000010110010001 A" +b10110010001000001011000101111 | +b10110010001000001011000101111 B" +1[ +0=" +0>" +0@" +19" +1;" +17" +b11111 3" +0d +0<" +0` +b111 0" +1o +0k +18" +1l +b1111 -" +0u +14" +1v +0a +02" +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7197500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7198000 +1i +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0o +08" +0l +b11111 -" +04" +0v +0/" +0," +b111011100010000010110010001 / +b111011100010000010110010001 K +b111011100010000010110010001 e +b111011100010000010110010001 "" +b10110010001000001011000101111 0 +b10110010001000001011000101111 M +b10110010001000001011000101111 f +b10110010001000001011000101111 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7198500 +b11110 5 +b11110 L +b11110 W +14 +b100 7 +b100 N +b100 V +16 +b11110 ' +b11110 D +1) +b100 & +b100 C +1( +1. +0% +#7199000 +0i +b10110010110110011101001110110011 { +b10110010110110011101001110110011 A" +0s +b10111111100110011001100111011001 | +b10111111100110011001100111011001 B" +0h +19" +1:" +1;" +16" +17" +b1 0" +0j +0o +1p +0k +18" +1l +b11011 -" +1t +1u +1n +1/" +1," +b11110 ~ +b11110 )" +b11110 ." +1$" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7199500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7200000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +09" +0:" +0;" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +0n +0/" +0," +b10110010110110011101001110110011 / +b10110010110110011101001110110011 K +b10110010110110011101001110110011 e +b10110010110110011101001110110011 "" +b10111111100110011001100111011001 0 +b10111111100110011001100111011001 M +b10111111100110011001100111011001 f +b10111111100110011001100111011001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7200500 +b1111 7 +b1111 N +b1111 V +16 +b1111 & +b1111 C +1( +1. +0% +#7201000 +0s +b1100000111101111100011011001 | +b1100000111101111100011011001 B" +0r +0q +15" +16" +17" +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7201500 +b0 7 +b0 N +b0 V +06 +b1000100010010110000001101101000 2 +b1000100010010110000001101101000 I +b1000100010010110000001101101000 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 & +b0 C +0( +b1000100010010110000001101101000 , +b1000100010010110000001101101000 H +b1101 + +b1101 G +1- +1. +0% +#7202000 +0] +1s +0[ +1=" +1>" +1@" +1r +1q +05" +06" +07" +b10010 3" +0^ +0c +1d +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0w +0," +b1100000111101111100011011001 0 +b1100000111101111100011011001 M +b1100000111101111100011011001 f +b1100000111101111100011011001 !" +b1000100010010110000001101101000 &" +b1000100010010110000001101101000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7202010 +b1000100010010110000001101101000 P" +#7202500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7203000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7203500 +b10000 5 +b10000 L +b10000 W +14 +b10000 ' +b10000 D +1) +1. +0% +#7204000 +b10110010001000001011000101111 { +b10110010001000001011000101111 A" +1;" +b1111 0" +0k +18" +1l +1/" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#7204500 +b1010 5 +b1010 L +b1010 W +b1010 ' +b1010 D +1. +0% +#7205000 +1k +0i +0h +1;" +19" +b10101 0" +08" +0l +1o +b11100110000010001000001000011100 { +b11100110000010001000001000011100 A" +1n +b1010 ~ +b1010 )" +b1010 ." +b10110010001000001011000101111 / +b10110010001000001011000101111 K +b10110010001000001011000101111 e +b10110010001000001011000101111 "" +0. +1% +#7205500 +b10010 5 +b10010 L +b10010 W +b10010 ' +b10010 D +1. +0% +#7206000 +0k +1i +b10101001001101101101111000011101 { +b10101001001101101101111000011101 A" +09" +b1101 0" +18" +1l +0o +b11100110000010001000001000011100 / +b11100110000010001000001000011100 K +b11100110000010001000001000011100 e +b11100110000010001000001000011100 "" +b10010 ~ +b10010 )" +b10010 ." +0. +1% +#7206500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7207000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10101001001101101101111000011101 / +b10101001001101101101111000011101 K +b10101001001101101101111000011101 e +b10101001001101101101111000011101 "" +0. +1% +#7207500 +1. +0% +#7208000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7208500 +b10100 5 +b10100 L +b10100 W +14 +b10101 7 +b10101 N +b10101 V +16 +b10100 ' +b10100 D +1) +b10101 & +b10101 C +1( +1. +0% +#7209000 +0i +b1111000101001111110000001000100 { +b1111000101001111110000001000100 A" +0s +b11011000111101100110101001110010 | +b11011000111101100110101001110010 B" +1:" +1;" +0q +16" +17" +b1011 0" +1j +0k +18" +1l +b1010 -" +1t +0u +14" +1v +1/" +1w +1," +b10100 ~ +b10100 )" +b10100 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#7209500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10001011110110000111111011010110 2 +b10001011110110000111111011010110 I +b10001011110110000111111011010110 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10001011110110000111111011010110 , +b10001011110110000111111011010110 H +b10000 + +b10000 G +1- +1. +0% +#7210000 +1i +1s +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +06" +07" +b1111 3" +0_ +1<" +1` +b11111 0" +0j +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0/" +0w +0," +b1111000101001111110000001000100 / +b1111000101001111110000001000100 K +b1111000101001111110000001000100 e +b1111000101001111110000001000100 "" +b11011000111101100110101001110010 0 +b11011000111101100110101001110010 M +b11011000111101100110101001110010 f +b11011000111101100110101001110010 !" +b10001011110110000111111011010110 &" +b10001011110110000111111011010110 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7210010 +b10001011110110000111111011010110 S" +#7210500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7211000 +0@" +b11111 3" +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7211500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#7212000 +0i +b1101010011001111011011101101 { +b1101010011001111011011101101 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#7212500 +b11001 5 +b11001 L +b11001 W +b11001 ' +b11001 D +1. +0% +#7213000 +0k +1h +b110 0" +18" +1l +b110111101001001100011001101101 { +b110111101001001100011001101101 A" +0n +b11001 ~ +b11001 )" +b11001 ." +b1101010011001111011011101101 / +b1101010011001111011011101101 K +b1101010011001111011011101101 e +b1101010011001111011011101101 "" +0. +1% +#7213500 +b1100 5 +b1100 L +b1100 W +b10010 7 +b10010 N +b10010 V +16 +b11010000100010100001001101101001 2 +b11010000100010100001001101101001 I +b11010000100010100001001101101001 Z +b1111 3 +b1111 J +b1111 Y +11 +b1100 ' +b1100 D +b10010 & +b10010 C +1( +b11010000100010100001001101101001 , +b11010000100010100001001101101001 H +b1111 + +b1111 G +1- +1. +0% +#7214000 +0] +1k +0o +b10101001001101101101111000011101 | +b10101001001101101101111000011101 B" +0\ +0[ +1=" +1>" +1@" +1g +1;" +1:" +0r +17" +b10000 3" +0^ +0c +1d +1_ +b10011 0" +08" +0l +1p +b11011101101100010110110010101001 { +b11011101101100010110110010101001 A" +b1101 -" +0u +14" +1v +1b +1a +12" +0m +1x +1," +b110111101001001100011001101101 / +b110111101001001100011001101101 K +b110111101001001100011001101101 e +b110111101001001100011001101101 "" +b11010000100010100001001101101001 &" +b11010000100010100001001101101001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#7214010 +b11010000100010100001001101101001 R" +#7214500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b111110101001110001111010100110 2 +b111110101001110001111010100110 I +b111110101001110001111010100110 Z +b11000 3 +b11000 J +b11000 Y +b110 ' +b110 D +b0 & +b0 C +0( +b111110101001110001111010100110 , +b111110101001110001111010100110 H +b11000 + +b11000 G +1. +0% +#7215000 +0_ +1c +1j +1\ +1[ +1=" +0>" +0h +09" +1:" +1r +07" +b111 3" +1<" +1` +0d +b11001 0" +0p +b1100011000100111001011100100110 { +b1100011000100111001011100100110 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +1n +0x +0," +b111110101001110001111010100110 &" +b111110101001110001111010100110 ?" +b11000 %" +b11000 *" +b11000 1" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +b11011101101100010110110010101001 / +b11011101101100010110110010101001 K +b11011101101100010110110010101001 e +b11011101101100010110110010101001 "" +b10101001001101101101111000011101 0 +b10101001001101101101111000011101 M +b10101001001101101101111000011101 f +b10101001001101101101111000011101 !" +0. +1% +#7215010 +b111110101001110001111010100110 [" +#7215500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7216000 +1] +1i +0s +b10101000101000110100001101110 | +b10101000101000110100001101110 B" +0=" +0@" +1h +0:" +0;" +0q +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11010 -" +1t +1u +02" +0n +0/" +1w +1," +b1100011000100111001011100100110 / +b1100011000100111001011100100110 K +b1100011000100111001011100100110 e +b1100011000100111001011100100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#7216500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7217000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000101000110100001101110 0 +b10101000101000110100001101110 M +b10101000101000110100001101110 f +b10101000101000110100001101110 !" +0. +1% +#7217500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#7218000 +b1101101001100001110000111110 | +b1101101001100001110000111110 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#7218500 +b10000 5 +b10000 L +b10000 W +14 +b10011 7 +b10011 N +b10011 V +b11100101000101110111111111100100 2 +b11100101000101110111111111100100 I +b11100101000101110111111111100100 Z +b1111 3 +b1111 J +b1111 Y +11 +b10000 ' +b10000 D +1) +b10011 & +b10011 C +b11100101000101110111111111100100 , +b11100101000101110111111111100100 H +b1111 + +b1111 G +1- +1. +0% +#7219000 +0] +b10001011110110000111111011010110 { +b10001011110110000111111011010110 A" +0u +0\ +0[ +1=" +1>" +1@" +1;" +b10111101010001101111000000010001 | +b10111101010001101111000000010001 B" +b10000 3" +0^ +0c +1d +1_ +b1111 0" +0k +18" +1l +b1100 -" +14" +1v +1b +1a +12" +1/" +b11100101000101110111111111100100 &" +b11100101000101110111111111100100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b10011 } +b10011 (" +b10011 +" +b1101101001100001110000111110 0 +b1101101001100001110000111110 M +b1101101001100001110000111110 f +b1101101001100001110000111110 !" +0. +1% +#7219010 +b11100101000101110111111111100100 R" +#7219500 +b11010 5 +b11010 L +b11010 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7220000 +1] +0i +1\ +1[ +0=" +0>" +0@" +0h +19" +1r +1q +07" +b11111 3" +0d +0_ +b101 0" +1o +b1011100010001011001110010100000 { +b1011100010001011001110010100000 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1n +0x +0w +0," +b10001011110110000111111011010110 / +b10001011110110000111111011010110 K +b10001011110110000111111011010110 e +b10001011110110000111111011010110 "" +b10111101010001101111000000010001 0 +b10111101010001101111000000010001 M +b10111101010001101111000000010001 f +b10111101010001101111000000010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7220500 +b10011 5 +b10011 L +b10011 W +b1010 7 +b1010 N +b1010 V +16 +b10011 ' +b10011 D +b1010 & +b1010 C +1( +1. +0% +#7221000 +1i +0s +b11100110000010001000001000011100 | +b11100110000010001000001000011100 B" +0g +09" +0r +15" +17" +b1100 0" +0o +b10111101010001101111000000010001 { +b10111101010001101111000000010001 A" +b10101 -" +1y +1u +1m +1x +1," +b10011 ~ +b10011 )" +b10011 ." +b1010 } +b1010 (" +b1010 +" +1#" +b1011100010001011001110010100000 / +b1011100010001011001110010100000 K +b1011100010001011001110010100000 e +b1011100010001011001110010100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7221500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7222000 +1s +1h +1g +0;" +1r +05" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0," +b10111101010001101111000000010001 / +b10111101010001101111000000010001 K +b10111101010001101111000000010001 e +b10111101010001101111000000010001 "" +b11100110000010001000001000011100 0 +b11100110000010001000001000011100 M +b11100110000010001000001000011100 f +b11100110000010001000001000011100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7222500 +b1011100001110111000010101 2 +b1011100001110111000010101 I +b1011100001110111000010101 Z +b11000 3 +b11000 J +b11000 Y +11 +b1011100001110111000010101 , +b1011100001110111000010101 H +b11000 + +b11000 G +1- +1. +0% +#7223000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b1011100001110111000010101 &" +b1011100001110111000010101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7223010 +b1011100001110111000010101 [" +#7223500 +b10010 5 +b10010 L +b10010 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7224000 +1] +b10101001001101101101111000011101 { +b10101001001101101101111000011101 A" +0s +b110111101001001100011001101101 | +b110111101001001100011001101101 B" +0=" +0@" +0h +1;" +0q +15" +17" +b11111 3" +0c +0<" +0` +b1101 0" +0k +18" +1l +b110 -" +1y +0u +14" +1v +02" +1n +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#7224500 +b1101 5 +b1101 L +b1101 W +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +b0 & +b0 C +0( +1. +0% +#7225000 +1k +0i +1s +1h +0g +1;" +19" +1:" +1q +05" +07" +b10010 0" +08" +0l +0j +0o +1p +b1000100010010110000001101101000 { +b1000100010010110000001101101000 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +1m +0w +0," +b1101 ~ +b1101 )" +b1101 ." +b0 } +b0 (" +b0 +" +0#" +b10101001001101101101111000011101 / +b10101001001101101101111000011101 K +b10101001001101101101111000011101 e +b10101001001101101101111000011101 "" +b110111101001001100011001101101 0 +b110111101001001100011001101101 M +b110111101001001100011001101101 f +b110111101001001100011001101101 !" +0. +1% +#7225500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7226000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b1000100010010110000001101101000 / +b1000100010010110000001101101000 K +b1000100010010110000001101101000 e +b1000100010010110000001101101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7226500 +1. +0% +#7227000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7227500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#7228000 +0i +b1011001110111111000110011011111 { +b1011001110111111000110011011111 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#7228500 +b0 5 +b0 L +b0 W +04 +b110011011111111110000111101100 2 +b110011011111111110000111101100 I +b110011011111111110000111101100 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b110011011111111110000111101100 , +b110011011111111110000111101100 H +b1101 + +b1101 G +1- +1. +0% +#7229000 +0] +1i +0[ +1=" +1>" +1@" +1h +09" +0:" +0;" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0/" +b110011011111111110000111101100 &" +b110011011111111110000111101100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1011001110111111000110011011111 / +b1011001110111111000110011011111 K +b1011001110111111000110011011111 e +b1011001110111111000110011011111 "" +0. +1% +#7229010 +b110011011111111110000111101100 P" +#7229500 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7230000 +1] +0s +b11011101101100010110110010101001 | +b11011101101100010110110010101001 B" +1[ +0=" +0>" +0@" +15" +16" +17" +b11111 3" +0d +0_ +b10011 -" +0t +0y +1z +1u +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#7230500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b10111010000011111010110111001100 2 +b10111010000011111010110111001100 I +b10111010000011111010110111001100 Z +b1011 3 +b1011 J +b1011 Y +11 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b10111010000011111010110111001100 , +b10111010000011111010110111001100 H +b1011 + +b1011 G +1- +1. +0% +#7231000 +0] +0i +b1110001100110111100010010111111 { +b1110001100110111100010010111111 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1@" +19" +1:" +1;" +05" +06" +07" +b10100 3" +1c +1_ +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +0u +1b +1a +12" +1/" +0," +b10111010000011111010110111001100 &" +b10111010000011111010110111001100 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11011101101100010110110010101001 0 +b11011101101100010110110010101001 M +b11011101101100010110110010101001 f +b11011101101100010110110010101001 !" +0. +1% +#7231010 +b10111010000011111010110111001100 N" +#7231500 +b1101 5 +b1101 L +b1101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7232000 +1] +1k +1\ +1[ +0=" +0@" +0g +1;" +b11111 3" +0c +0_ +b10010 0" +08" +0l +b110011011111111110000111101100 { +b110011011111111110000111101100 A" +0b +0a +02" +1m +b1110001100110111100010010111111 / +b1110001100110111100010010111111 K +b1110001100110111100010010111111 e +b1110001100110111100010010111111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +0. +1% +#7232500 +b10101 5 +b10101 L +b10101 W +b11110 7 +b11110 N +b11110 V +16 +b1000100000001111010001001011010 2 +b1000100000001111010001001011010 I +b1000100000001111010001001011010 Z +b11 3 +b11 J +b11 Y +11 +b10101 ' +b10101 D +b11110 & +b11110 C +1( +b1000100000001111010001001011010 , +b1000100000001111010001001011010 H +b11 + +b11 G +1- +1. +0% +#7233000 +0k +1j +0s +b10110010110110011101001110110011 | +b10110010110110011101001110110011 B" +0\ +0[ +1@" +b11011000111101100110101001110010 { +b11011000111101100110101001110010 A" +09" +1:" +0r +15" +16" +17" +b11100 3" +1_ +b1010 0" +18" +1l +0p +b1 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +1x +1," +b1000100000001111010001001011010 &" +b1000100000001111010001001011010 ?" +b11 %" +b11 *" +b11 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b11110 } +b11110 (" +b11110 +" +1#" +b110011011111111110000111101100 / +b110011011111111110000111101100 K +b110011011111111110000111101100 e +b110011011111111110000111101100 "" +0. +1% +#7233010 +b1000100000001111010001001011010 F" +#7233500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7234000 +1i +1s +1\ +1[ +0@" +1g +0:" +0;" +1r +05" +06" +07" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0m +0/" +0x +0," +b11011000111101100110101001110010 / +b11011000111101100110101001110010 K +b11011000111101100110101001110010 e +b11011000111101100110101001110010 "" +b10110010110110011101001110110011 0 +b10110010110110011101001110110011 M +b10110010110110011101001110110011 f +b10110010110110011101001110110011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7234500 +b1 5 +b1 L +b1 W +14 +b11110 7 +b11110 N +b11110 V +16 +b1 ' +b1 D +1) +b11110 & +b11110 C +1( +1. +0% +#7235000 +b11001000101000100100000010011100 { +b11001000101000100100000010011100 A" +0s +b10110010110110011101001110110011 | +b10110010110110011101001110110011 B" +0g +1;" +0r +15" +16" +17" +b11110 0" +1k +b1 -" +0t +0y +1z +0u +14" +1v +1m +1/" +1x +1," +b1 ~ +b1 )" +b1 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7235500 +b1110 5 +b1110 L +b1110 W +b10011 7 +b10011 N +b10011 V +b10101110001110001001010001101000 2 +b10101110001110001001010001101000 I +b10101110001110001001010001101000 Z +b10 3 +b10 J +b10 Y +11 +b1110 ' +b1110 D +b10011 & +b10011 C +b10101110001110001001010001101000 , +b10101110001110001001010001101000 H +b10 + +b10 G +1- +1. +0% +#7236000 +0i +1s +0\ +1@" +0h +1g +19" +1:" +0q +05" +06" +b11101 3" +1_ +b10001 0" +0j +0o +1p +b1011001110111111000110011011111 { +b1011001110111111000110011011111 A" +b1100 -" +0z +b10111101010001101111000000010001 | +b10111101010001101111000000010001 B" +1b +12" +1n +0m +1w +b11001000101000100100000010011100 / +b11001000101000100100000010011100 K +b11001000101000100100000010011100 e +b11001000101000100100000010011100 "" +b10110010110110011101001110110011 0 +b10110010110110011101001110110011 M +b10110010110110011101001110110011 f +b10110010110110011101001110110011 !" +b10101110001110001001010001101000 &" +b10101110001110001001010001101000 ?" +b10 %" +b10 *" +b10 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b10011 } +b10011 (" +b10011 +" +0. +1% +#7236010 +b10101110001110001001010001101000 E" +#7236500 +b1000 5 +b1000 L +b1000 W +b1 7 +b1 N +b1 V +b1100100011111010101000000101001 2 +b1100100011111010101000000101001 I +b1100100011111010101000000101001 Z +b11110 3 +b11110 J +b11110 Y +b1000 ' +b1000 D +b1 & +b1 C +b1100100011111010101000000101001 , +b1100100011111010101000000101001 H +b11110 + +b11110 G +1. +0% +#7237000 +0_ +0] +1o +1u +1=" +1>" +1h +19" +0:" +1r +17" +b1 3" +1<" +1` +0^ +0c +1d +b10111 0" +0p +b1100101000101100101010001010010 { +b1100101000101100101010001010010 A" +b11110 -" +04" +0v +b11001000101000100100000010011100 | +b11001000101000100100000010011100 B" +0n +0x +b1100100011111010101000000101001 &" +b1100100011111010101000000101001 ?" +b11110 %" +b11110 *" +b11110 1" +b1000 ~ +b1000 )" +b1000 ." +b1 } +b1 (" +b1 +" +b1011001110111111000110011011111 / +b1011001110111111000110011011111 K +b1011001110111111000110011011111 e +b1011001110111111000110011011111 "" +b10111101010001101111000000010001 0 +b10111101010001101111000000010001 M +b10111101010001101111000000010001 f +b10111101010001101111000000010001 !" +0. +1% +#7237010 +b1100100011111010101000000101001 a" +#7237500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7238000 +1:" +1] +0k +1j +1\ +0=" +0>" +0@" +0h +0g +09" +1q +07" +b11111 3" +0d +0<" +0` +b1000 0" +18" +1l +0o +b11001010011110010011111011011101 { +b11001010011110010011111011011101 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1n +1m +0w +0," +b1100101000101100101010001010010 / +b1100101000101100101010001010010 K +b1100101000101100101010001010010 e +b1100101000101100101010001010010 "" +b11001000101000100100000010011100 0 +b11001000101000100100000010011100 M +b11001000101000100100000010011100 f +b11001000101000100100000010011100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7238500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b1111101011010111111100101100111 2 +b1111101011010111111100101100111 I +b1111101011010111111100101100111 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +b1111101011010111111100101100111 , +b1111101011010111111100101100111 H +b10110 + +b10110 G +1- +1. +0% +#7239000 +0] +1i +0s +b11001010011110010011111011011101 | +b11001010011110010011111011011101 B" +0\ +1>" +1@" +1h +1g +0:" +0;" +0r +0q +16" +17" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +1t +0u +14" +1v +1b +12" +0n +0m +0/" +1x +1w +1," +b1111101011010111111100101100111 &" +b1111101011010111111100101100111 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +b11001010011110010011111011011101 / +b11001010011110010011111011011101 K +b11001010011110010011111011011101 e +b11001010011110010011111011011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7239010 +b1111101011010111111100101100111 Y" +#7239500 +b0 7 +b0 N +b0 V +06 +b10001110010011010000000010001011 2 +b10001110010011010000000010001011 I +b10001110010011010000000010001011 Z +b10111 3 +b10111 J +b10111 Y +b0 & +b0 C +0( +b10001110010011010000000010001011 , +b10001110010011010000000010001011 H +b10111 + +b10111 G +1. +0% +#7240000 +1s +0[ +1r +1q +06" +07" +b1000 3" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001010011110010011111011011101 0 +b11001010011110010011111011011101 M +b11001010011110010011111011011101 f +b11001010011110010011111011011101 !" +b10001110010011010000000010001011 &" +b10001110010011010000000010001011 ?" +b10111 %" +b10111 *" +b10111 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7240010 +b10001110010011010000000010001011 Z" +#7240500 +b1101110101010110000100001110010 2 +b1101110101010110000100001110010 I +b1101110101010110000100001110010 Z +b100 3 +b100 J +b100 Y +b1101110101010110000100001110010 , +b1101110101010110000100001110010 H +b100 + +b100 G +1. +0% +#7241000 +1_ +1\ +1[ +1@" +b11011 3" +0<" +0` +0b +0a +b1101110101010110000100001110010 &" +b1101110101010110000100001110010 ?" +b100 %" +b100 *" +b100 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7241010 +b1101110101010110000100001110010 G" +#7241500 +b11001 5 +b11001 L +b11001 W +14 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7242000 +1] +0i +b110111101001001100011001101101 { +b110111101001001100011001101101 A" +b10101110001110001001010001101000 | +b10101110001110001001010001101000 B" +0>" +0@" +0g +19" +1;" +0r +17" +b11111 3" +0^ +0_ +b110 0" +1o +0k +18" +1l +b11101 -" +1u +02" +1m +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#7242500 +b1001 5 +b1001 L +b1001 W +b11000 7 +b11000 N +b11000 V +b1001 ' +b1001 D +b11000 & +b11000 C +1. +0% +#7243000 +1k +0u +0s +1;" +b10011011110001010111010000010011 { +b10011011110001010111010000010011 A" +1r +15" +b10110 0" +08" +0l +b111 -" +14" +1v +1y +b1011100001110111000010101 | +b1011100001110111000010101 B" +0x +b1001 ~ +b1001 )" +b1001 ." +b11000 } +b11000 (" +b11000 +" +b110111101001001100011001101101 / +b110111101001001100011001101101 K +b110111101001001100011001101101 e +b110111101001001100011001101101 "" +b10101110001110001001010001101000 0 +b10101110001110001001010001101000 M +b10101110001110001001010001101000 f +b10101110001110001001010001101000 !" +0. +1% +#7243500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7244000 +1s +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0y +04" +0v +0," +b10011011110001010111010000010011 / +b10011011110001010111010000010011 K +b10011011110001010111010000010011 e +b10011011110001010111010000010011 "" +b1011100001110111000010101 0 +b1011100001110111000010101 M +b1011100001110111000010101 f +b1011100001110111000010101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7244500 +b0 5 +b0 L +b0 W +04 +b10001111000111111011110111001111 2 +b10001111000111111011110111001111 I +b10001111000111111011110111001111 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b10001111000111111011110111001111 , +b10001111000111111011110111001111 H +b100 + +b100 G +1- +1. +0% +#7245000 +0] +1i +1>" +1@" +1g +09" +0;" +b11011 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b10001111000111111011110111001111 &" +b10001111000111111011110111001111 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7245010 +b10001111000111111011110111001111 G" +#7245500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7246000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7246500 +b10111 5 +b10111 L +b10111 W +14 +b10000 7 +b10000 N +b10000 V +16 +b11001010010101110110010100111101 2 +b11001010010101110110010100111101 I +b11001010010101110110010100111101 Z +b10001 3 +b10001 J +b10001 Y +11 +b10111 ' +b10111 D +1) +b10000 & +b10000 C +1( +b11001010010101110110010100111101 , +b11001010010101110110010100111101 H +b10001 + +b10001 G +1- +1. +0% +#7247000 +0i +b10001110010011010000000010001011 { +b10001110010011010000000010001011 A" +b10001011110110000111111011010110 | +b10001011110110000111111011010110 B" +0[ +1@" +0h +0g +1:" +1;" +17" +b1110 3" +0_ +1<" +1` +b1000 0" +1j +0k +18" +1l +b1111 -" +0u +14" +1v +1a +12" +1n +1m +1/" +1," +b11001010010101110110010100111101 &" +b11001010010101110110010100111101 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#7247010 +b11001010010101110110010100111101 T" +#7247500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1110100101100010101000011111001 2 +b1110100101100010101000011111001 I +b1110100101100010101000011111001 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1110100101100010101000011111001 , +b1110100101100010101000011111001 H +b11100 + +b11100 G +1. +0% +#7248000 +0] +1i +1[ +1=" +1>" +1h +1g +0:" +0;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11 3" +0^ +0c +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +04" +0v +0a +0n +0m +0/" +0," +b10001110010011010000000010001011 / +b10001110010011010000000010001011 K +b10001110010011010000000010001011 e +b10001110010011010000000010001011 "" +b10001011110110000111111011010110 0 +b10001011110110000111111011010110 M +b10001011110110000111111011010110 f +b10001011110110000111111011010110 !" +b1110100101100010101000011111001 &" +b1110100101100010101000011111001 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7248010 +b1110100101100010101000011111001 _" +#7248500 +b10011 7 +b10011 N +b10011 V +16 +b1000100001110010100100101101111 2 +b1000100001110010100100101101111 I +b1000100001110010100100101101111 Z +b10000 3 +b10000 J +b10000 Y +b10011 & +b10011 C +1( +b1000100001110010100100101101111 , +b1000100001110010100100101101111 H +b10000 + +b10000 G +1. +0% +#7249000 +1] +b10111101010001101111000000010001 | +b10111101010001101111000000010001 B" +0=" +0>" +0r +0q +17" +b1111 3" +0d +b1100 -" +0u +14" +1v +1x +1w +1," +b1000100001110010100100101101111 &" +b1000100001110010100100101101111 ?" +b10000 %" +b10000 *" +b10000 1" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7249010 +b1000100001110010100100101101111 S" +#7249500 +b0 7 +b0 N +b0 V +b1000000000101000000110101111001 2 +b1000000000101000000110101111001 I +b1000000000101000000110101111001 Z +b111 3 +b111 J +b111 Y +b0 & +b0 C +b1000000000101000000110101111001 , +b1000000000101000000110101111001 H +b111 + +b111 G +1. +0% +#7250000 +1_ +0] +1u +0\ +0[ +1@" +1>" +1r +1q +17" +b11000 3" +0<" +0` +1^ +b11111 -" +04" +0v +b1110001000011110001000000110010 | +b1110001000011110001000000110010 B" +1b +1a +0x +0w +b10111101010001101111000000010001 0 +b10111101010001101111000000010001 M +b10111101010001101111000000010001 f +b10111101010001101111000000010001 !" +b1000000000101000000110101111001 &" +b1000000000101000000110101111001 ?" +b111 %" +b111 *" +b111 1" +b0 } +b0 (" +b0 +" +0. +1% +#7250010 +b1000000000101000000110101111001 J" +#7250500 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7251000 +1] +0u +0s +1\ +1[ +0>" +0@" +0r +0q +16" +b11111 3" +0^ +0_ +b1000 -" +14" +1v +1t +b10001110010011010000000010001011 | +b10001110010011010000000010001011 B" +0b +0a +02" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +b1110001000011110001000000110010 0 +b1110001000011110001000000110010 M +b1110001000011110001000000110010 f +b1110001000011110001000000110010 !" +0. +1% +#7251500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b111 ' +b111 D +1) +b0 & +b0 C +0( +1. +0% +#7252000 +0i +b1000000000101000000110101111001 { +b1000000000101000000110101111001 A" +1s +0h +0g +1:" +1;" +1r +1q +06" +07" +b11000 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0w +0," +b10001110010011010000000010001011 0 +b10001110010011010000000010001011 M +b10001110010011010000000010001011 f +b10001110010011010000000010001011 !" +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7252500 +b10110 5 +b10110 L +b10110 W +b10001 7 +b10001 N +b10001 V +16 +b10110 ' +b10110 D +b10001 & +b10001 C +1( +1. +0% +#7253000 +0k +b11001010010101110110010100111101 | +b11001010010101110110010100111101 B" +1g +0q +17" +b1001 0" +18" +1l +b1111101011010111111100101100111 { +b1111101011010111111100101100111 A" +b1110 -" +0u +14" +1v +0m +1w +1," +b10110 ~ +b10110 )" +b10110 ." +b10001 } +b10001 (" +b10001 +" +1#" +b1000000000101000000110101111001 / +b1000000000101000000110101111001 K +b1000000000101000000110101111001 e +b1000000000101000000110101111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7253500 +b1 5 +b1 L +b1 W +b11 7 +b11 N +b11 V +b11101010101110110010111100100101 2 +b11101010101110110010111100100101 I +b11101010101110110010111100100101 Z +b1100 3 +b1100 J +b1100 Y +11 +b1 ' +b1 D +b11 & +b11 C +b11101010101110110010111100100101 , +b11101010101110110010111100100101 H +b1100 + +b1100 G +1- +1. +0% +#7254000 +0] +1k +1i +1u +1=" +1>" +1@" +1h +0g +1;" +0:" +0r +17" +b10011 3" +0^ +0c +1d +1_ +b11110 0" +08" +0l +0j +b11001000101000100100000010011100 { +b11001000101000100100000010011100 A" +b11100 -" +04" +0v +b1000100000001111010001001011010 | +b1000100000001111010001001011010 B" +12" +0n +1m +1x +b1111101011010111111100101100111 / +b1111101011010111111100101100111 K +b1111101011010111111100101100111 e +b1111101011010111111100101100111 "" +b11001010010101110110010100111101 0 +b11001010010101110110010100111101 M +b11001010010101110110010100111101 f +b11001010010101110110010100111101 !" +b11101010101110110010111100100101 &" +b11101010101110110010111100100101 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1 ~ +b1 )" +b1 ." +b11 } +b11 (" +b11 +" +0. +1% +#7254010 +b11101010101110110010111100100101 O" +#7254500 +b10110 5 +b10110 L +b10110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7255000 +1] +0k +0i +0=" +0>" +0@" +0h +1g +1:" +1r +1q +07" +b11111 3" +0d +0_ +b1001 0" +18" +1l +1j +b1111101011010111111100101100111 { +b1111101011010111111100101100111 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1n +0m +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +b0 } +b0 (" +b0 +" +0#" +b11001000101000100100000010011100 / +b11001000101000100100000010011100 K +b11001000101000100100000010011100 e +b11001000101000100100000010011100 "" +b1000100000001111010001001011010 0 +b1000100000001111010001001011010 M +b1000100000001111010001001011010 f +b1000100000001111010001001011010 !" +0. +1% +#7255500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b1011001000101100010010001010010 2 +b1011001000101100010010001010010 I +b1011001000101100010010001010010 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b1011001000101100010010001010010 , +b1011001000101100010010001010010 H +b100 + +b100 G +1- +1. +0% +#7256000 +0] +1i +0s +b11011000111101100110101001110010 | +b11011000111101100110101001110010 B" +1>" +1@" +1h +0:" +0;" +0q +16" +17" +b11011 3" +1^ +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +1t +0u +14" +1v +12" +0n +0/" +1w +1," +b1111101011010111111100101100111 / +b1111101011010111111100101100111 K +b1111101011010111111100101100111 e +b1111101011010111111100101100111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011001000101100010010001010010 &" +b1011001000101100010010001010010 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#7256010 +b1011001000101100010010001010010 G" +#7256500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7257000 +1] +1s +0>" +0@" +1q +06" +07" +b11111 3" +0^ +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011000111101100110101001110010 0 +b11011000111101100110101001110010 M +b11011000111101100110101001110010 f +b11011000111101100110101001110010 !" +0. +1% +#7257500 +b10011 7 +b10011 N +b10011 V +16 +b10000000111000100101011010111011 2 +b10000000111000100101011010111011 I +b10000000111000100101011010111011 Z +b1010 3 +b1010 J +b1010 Y +11 +b10011 & +b10011 C +1( +b10000000111000100101011010111011 , +b10000000111000100101011010111011 H +b1010 + +b1010 G +1- +1. +0% +#7258000 +0] +b10111101010001101111000000010001 | +b10111101010001101111000000010001 B" +0\ +1=" +1@" +0r +0q +17" +b10101 3" +1c +1_ +b1100 -" +0u +14" +1v +1b +12" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000000111000100101011010111011 &" +b10000000111000100101011010111011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#7258010 +b10000000111000100101011010111011 M" +#7258500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7259000 +1] +0i +b1111110101011010000101100101100 { +b1111110101011010000101100101100 A" +1\ +0=" +0@" +0g +19" +1:" +1;" +1r +1q +07" +b11111 3" +0c +0_ +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1m +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10111101010001101111000000010001 0 +b10111101010001101111000000010001 M +b10111101010001101111000000010001 f +b10111101010001101111000000010001 !" +0. +1% +#7259500 +b110 5 +b110 L +b110 W +b10100010111100110011111100010111 2 +b10100010111100110011111100010111 I +b10100010111100110011111100010111 Z +b11011 3 +b11011 J +b11011 Y +11 +b110 ' +b110 D +b10100010111100110011111100010111 , +b10100010111100110011111100010111 H +b11011 + +b11011 G +1- +1. +0% +#7260000 +0] +1k +1j +0\ +0[ +1=" +1@" +0h +1g +1;" +09" +1:" +b100 3" +1c +0_ +1<" +1` +b11001 0" +08" +0l +0p +b1100011000100111001011100100110 { +b1100011000100111001011100100110 A" +1b +1a +12" +1n +0m +b1111110101011010000101100101100 / +b1111110101011010000101100101100 K +b1111110101011010000101100101100 e +b1111110101011010000101100101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100010111100110011111100010111 &" +b10100010111100110011111100010111 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b110 ~ +b110 )" +b110 ." +0. +1% +#7260010 +b10100010111100110011111100010111 ^" +#7260500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7261000 +1] +1i +1\ +1[ +0=" +0@" +1h +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100011000100111001011100100110 / +b1100011000100111001011100100110 K +b1100011000100111001011100100110 e +b1100011000100111001011100100110 "" +0. +1% +#7261500 +1. +0% +#7262000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7262500 +b11001 7 +b11001 N +b11001 V +16 +b11011001100001011011111010101111 2 +b11011001100001011011111010101111 I +b11011001100001011011111010101111 Z +b10110 3 +b10110 J +b10110 Y +11 +b11001 & +b11001 C +1( +b11011001100001011011111010101111 , +b11011001100001011011111010101111 H +b10110 + +b10110 G +1- +1. +0% +#7263000 +0] +0s +b110111101001001100011001101101 | +b110111101001001100011001101101 B" +0\ +1>" +1@" +0q +15" +17" +b1001 3" +1^ +0_ +1<" +1` +b110 -" +1y +0u +14" +1v +1b +12" +1w +1," +b11011001100001011011111010101111 &" +b11011001100001011011111010101111 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#7263010 +b11011001100001011011111010101111 Y" +#7263500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7264000 +1] +1s +1\ +0>" +0@" +1q +05" +07" +b11111 3" +0^ +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0w +0," +b110111101001001100011001101101 0 +b110111101001001100011001101101 M +b110111101001001100011001101101 f +b110111101001001100011001101101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7264500 +16 +1( +1. +0% +#7265000 +b1110001000011110001000000110010 | +b1110001000011110001000000110010 B" +17" +1u +1," +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7265500 +b11111 7 +b11111 N +b11111 V +b11111 & +b11111 C +1. +0% +#7266000 +0u +0s +0r +0q +15" +16" +b0 -" +14" +1v +0t +0y +1z +b10101101101101001101000101111001 | +b10101101101101001101000101111001 B" +1x +1w +b1110001000011110001000000110010 0 +b1110001000011110001000000110010 M +b1110001000011110001000000110010 f +b1110001000011110001000000110010 !" +b11111 } +b11111 (" +b11111 +" +0. +1% +#7266500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b1010000001011010101001011100000 2 +b1010000001011010101001011100000 I +b1010000001011010101001011100000 Z +b1011 3 +b1011 J +b1011 Y +11 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b1010000001011010101001011100000 , +b1010000001011010101001011100000 H +b1011 + +b1011 G +1- +1. +0% +#7267000 +0] +0i +b1100011000100111001011100100110 { +b1100011000100111001011100100110 A" +1s +0\ +0[ +1=" +1@" +0h +1:" +1;" +1r +1q +05" +06" +07" +b10100 3" +1c +1_ +b11001 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1/" +0x +0w +0," +b1010000001011010101001011100000 &" +b1010000001011010101001011100000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10101101101101001101000101111001 0 +b10101101101101001101000101111001 M +b10101101101101001101000101111001 f +b10101101101101001101000101111001 !" +0. +1% +#7267010 +b1010000001011010101001011100000 N" +#7267500 +b11101 5 +b11101 L +b11101 W +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7268000 +1] +0k +0j +b10111101010001101111000000010001 | +b10111101010001101111000000010001 B" +1\ +1[ +0=" +0@" +1h +0g +19" +0r +0q +17" +b11111 3" +0c +0_ +b10 0" +18" +1l +1p +b1111110101011010000101100101100 { +b1111110101011010000101100101100 A" +b1100 -" +0u +14" +1v +0b +0a +02" +0n +1m +1x +1w +1," +b1100011000100111001011100100110 / +b1100011000100111001011100100110 K +b1100011000100111001011100100110 e +b1100011000100111001011100100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#7268500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b0 ' +b0 D +0) +b10101 & +b10101 C +1. +0% +#7269000 +1i +0s +1g +09" +0:" +0;" +1r +16" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +1t +b11011000111101100110101001110010 | +b11011000111101100110101001110010 B" +0m +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +b1111110101011010000101100101100 / +b1111110101011010000101100101100 K +b1111110101011010000101100101100 e +b1111110101011010000101100101100 "" +b10111101010001101111000000010001 0 +b10111101010001101111000000010001 M +b10111101010001101111000000010001 f +b10111101010001101111000000010001 !" +0. +1% +#7269500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101110000001100110100000101110 2 +b11101110000001100110100000101110 I +b11101110000001100110100000101110 Z +b11001 3 +b11001 J +b11001 Y +11 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b11101110000001100110100000101110 , +b11101110000001100110100000101110 H +b11001 + +b11001 G +1- +1. +0% +#7270000 +0] +0i +b1111110101011010000101100101100 { +b1111110101011010000101100101100 A" +1s +0[ +1=" +1@" +0g +19" +1:" +1;" +1q +06" +07" +b110 3" +1c +0_ +1<" +1` +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011000111101100110101001110010 0 +b11011000111101100110101001110010 M +b11011000111101100110101001110010 f +b11011000111101100110101001110010 !" +b11101110000001100110100000101110 &" +b11101110000001100110100000101110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7270010 +b11101110000001100110100000101110 \" +#7270500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b1011101100111011000101110010011 2 +b1011101100111011000101110010011 I +b1011101100111011000101110010011 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b1011101100111011000101110010011 , +b1011101100111011000101110010011 H +b1110 + +b1110 G +1. +0% +#7271000 +1_ +0c +1i +0s +b11011000111101100110101001110010 | +b11011000111101100110101001110010 B" +0\ +1[ +1@" +1>" +1g +09" +0:" +0;" +0q +16" +17" +b10001 3" +0<" +0` +1d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +1t +0u +14" +1v +1b +0a +0m +0/" +1w +1," +b1011101100111011000101110010011 &" +b1011101100111011000101110010011 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +b1111110101011010000101100101100 / +b1111110101011010000101100101100 K +b1111110101011010000101100101100 e +b1111110101011010000101100101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7271010 +b1011101100111011000101110010011 Q" +#7271500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7272000 +1] +0i +b1100100011111010101000000101001 { +b1100100011111010101000000101001 A" +1u +1s +1\ +0=" +0>" +0@" +0h +19" +1:" +1;" +1q +17" +06" +b11111 3" +0d +0_ +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +04" +0v +0t +b1110001000011110001000000110010 | +b1110001000011110001000000110010 B" +0b +02" +1n +1/" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011000111101100110101001110010 0 +b11011000111101100110101001110010 M +b11011000111101100110101001110010 f +b11011000111101100110101001110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0. +1% +#7272500 +b11000 5 +b11000 L +b11000 W +06 +b11000 ' +b11000 D +0( +1. +0% +#7273000 +1o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +19" +0:" +07" +b111 0" +0p +b1011100001110111000010101 { +b1011100001110111000010101 A" +0u +0n +0," +b11000 ~ +b11000 )" +b11000 ." +0#" +b1100100011111010101000000101001 / +b1100100011111010101000000101001 K +b1100100011111010101000000101001 e +b1100100011111010101000000101001 "" +b1110001000011110001000000110010 0 +b1110001000011110001000000110010 M +b1110001000011110001000000110010 f +b1110001000011110001000000110010 !" +0. +1% +#7273500 +b1000 5 +b1000 L +b1000 W +b10 7 +b10 N +b10 V +16 +b1000 ' +b1000 D +b10 & +b10 C +1( +1. +0% +#7274000 +1k +b10101110001110001001010001101000 | +b10101110001110001001010001101000 B" +1;" +b1100101000101100101010001010010 { +b1100101000101100101010001010010 A" +0r +17" +b10111 0" +08" +0l +b11101 -" +1u +1x +1," +b1011100001110111000010101 / +b1011100001110111000010101 K +b1011100001110111000010101 e +b1011100001110111000010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000 ~ +b1000 )" +b1000 ." +b10 } +b10 (" +b10 +" +1#" +0. +1% +#7274500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7275000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +1r +07" +b11111 0" +0o +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100101000101100101010001010010 / +b1100101000101100101010001010010 K +b1100101000101100101010001010010 e +b1100101000101100101010001010010 "" +b10101110001110001001010001101000 0 +b10101110001110001001010001101000 M +b10101110001110001001010001101000 f +b10101110001110001001010001101000 !" +0. +1% +#7275500 +b10 5 +b10 L +b10 W +14 +b110011111110110100000011010 2 +b110011111110110100000011010 I +b110011111110110100000011010 Z +b10101 3 +b10101 J +b10101 Y +11 +b10 ' +b10 D +1) +b110011111110110100000011010 , +b110011111110110100000011010 H +b10101 + +b10101 G +1- +1. +0% +#7276000 +0] +b10101110001110001001010001101000 { +b10101110001110001001010001101000 A" +0[ +1>" +1@" +0h +1;" +b1010 3" +1^ +0_ +1<" +1` +b11101 0" +1k +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110011111110110100000011010 &" +b110011111110110100000011010 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#7276010 +b110011111110110100000011010 X" +#7276500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7277000 +1] +1[ +0>" +0@" +1h +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101110001110001001010001101000 / +b10101110001110001001010001101000 K +b10101110001110001001010001101000 e +b10101110001110001001010001101000 "" +0. +1% +#7277500 +1. +0% +#7278000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7278500 +b101 7 +b101 N +b101 V +16 +b111110011000000100101100110011 2 +b111110011000000100101100110011 I +b111110011000000100101100110011 Z +b10001 3 +b10001 J +b10001 Y +11 +b101 & +b101 C +1( +b111110011000000100101100110011 , +b111110011000000100101100110011 H +b10001 + +b10001 G +1- +1. +0% +#7279000 +0s +b10101000101000110100001101110 | +b10101000101000110100001101110 B" +0[ +1@" +0q +16" +17" +b1110 3" +0_ +1<" +1` +b11010 -" +1t +1u +1a +12" +1w +1," +b111110011000000100101100110011 &" +b111110011000000100101100110011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#7279010 +b111110011000000100101100110011 T" +#7279500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b10000110110001011011011011011000 2 +b10000110110001011011011011011000 I +b10000110110001011011011011011000 Z +b10100 3 +b10100 J +b10100 Y +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +b10000110110001011011011011011000 , +b10000110110001011011011011011000 H +b10100 + +b10100 G +1. +0% +#7280000 +0] +b1000100001110010100100101101111 { +b1000100001110010100100101101111 A" +1s +1[ +1>" +1;" +1q +06" +07" +b1011 3" +1^ +b1111 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +1/" +0w +0," +b10101000101000110100001101110 0 +b10101000101000110100001101110 M +b10101000101000110100001101110 f +b10101000101000110100001101110 !" +b10000110110001011011011011011000 &" +b10000110110001011011011011011000 ?" +b10100 %" +b10100 *" +b10100 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7280010 +b10000110110001011011011011011000 W" +#7280500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7281000 +1] +0s +b10000000111000100101011010111011 | +b10000000111000100101011010111011 B" +0>" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +15" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +08" +0l +b10101 -" +1y +1u +02" +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +b1000100001110010100100101101111 / +b1000100001110010100100101101111 K +b1000100001110010100100101101111 e +b1000100001110010100100101101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7281500 +b0 7 +b0 N +b0 V +06 +b110001100110101011010000101010 2 +b110001100110101011010000101010 I +b110001100110101011010000101010 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 & +b0 C +0( +b110001100110101011010000101010 , +b110001100110101011010000101010 H +b10010 + +b10010 G +1- +1. +0% +#7282000 +1s +0\ +1@" +1r +05" +07" +b1101 3" +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000000111000100101011010111011 0 +b10000000111000100101011010111011 M +b10000000111000100101011010111011 f +b10000000111000100101011010111011 !" +b110001100110101011010000101010 &" +b110001100110101011010000101010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7282010 +b110001100110101011010000101010 U" +#7282500 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7283000 +0s +b10101000101000110100001101110 | +b10101000101000110100001101110 B" +1\ +0@" +0q +16" +17" +b11111 3" +0<" +0` +b11010 -" +1t +1u +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7283500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7284000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10101000101000110100001101110 0 +b10101000101000110100001101110 M +b10101000101000110100001101110 f +b10101000101000110100001101110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7284500 +1. +0% +#7285000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7285500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#7286000 +0i +b1110100101100010101000011111001 { +b1110100101100010101000011111001 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#7286500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b10111101100011111001000011100111 2 +b10111101100011111001000011100111 I +b10111101100011111001000011100111 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b10111101100011111001000011100111 , +b10111101100011111001000011100111 H +b100 + +b100 G +1- +1. +0% +#7287000 +0] +1i +0s +b10011011110001010111010000010011 | +b10011011110001010111010000010011 B" +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +15" +17" +b11011 3" +1^ +1_ +b11111 0" +0p +08" +0l +b10110 -" +1y +1u +12" +0/" +1w +1," +b10111101100011111001000011100111 &" +b10111101100011111001000011100111 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b1110100101100010101000011111001 / +b1110100101100010101000011111001 K +b1110100101100010101000011111001 e +b1110100101100010101000011111001 "" +0. +1% +#7287010 +b10111101100011111001000011100111 G" +#7287500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7288000 +1] +b110001100110101011010000101010 { +b110001100110101011010000101010 A" +1s +0>" +0@" +0h +1;" +1q +05" +07" +b11111 3" +0^ +0_ +b1101 0" +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1n +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011110001010111010000010011 0 +b10011011110001010111010000010011 M +b10011011110001010111010000010011 f +b10011011110001010111010000010011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7288500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b10011100011101110001101110010000 2 +b10011100011101110001101110010000 I +b10011100011101110001101110010000 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +b10011100011101110001101110010000 , +b10011100011101110001101110010000 H +b10100 + +b10100 G +1- +1. +0% +#7289000 +0] +0s +b1110100101100010101000011111001 | +b1110100101100010101000011111001 B" +1>" +1@" +1h +0;" +15" +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +0t +0y +1z +0u +14" +1v +12" +0n +0/" +1," +b10011100011101110001101110010000 &" +b10011100011101110001101110010000 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +b110001100110101011010000101010 / +b110001100110101011010000101010 K +b110001100110101011010000101010 e +b110001100110101011010000101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7289010 +b10011100011101110001101110010000 W" +#7289500 +b0 7 +b0 N +b0 V +06 +b11001000101111101011100011011010 2 +b11001000101111101011100011011010 I +b11001000101111101011100011011010 Z +b1010 3 +b1010 J +b1010 Y +b0 & +b0 C +0( +b11001000101111101011100011011010 , +b11001000101111101011100011011010 H +b1010 + +b1010 G +1. +0% +#7290000 +1=" +1_ +1c +1s +0\ +1@" +0>" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10101 3" +0<" +0` +0^ +b11111 -" +0z +04" +0v +1b +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110100101100010101000011111001 0 +b1110100101100010101000011111001 M +b1110100101100010101000011111001 f +b1110100101100010101000011111001 !" +b11001000101111101011100011011010 &" +b11001000101111101011100011011010 ?" +b1010 %" +b1010 *" +b1010 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7290010 +b11001000101111101011100011011010 M" +#7290500 +b10101 7 +b10101 N +b10101 V +16 +b1100011010110111100100110001 2 +b1100011010110111100100110001 I +b1100011010110111100100110001 Z +b10001 3 +b10001 J +b10001 Y +b10101 & +b10101 C +1( +b1100011010110111100100110001 , +b1100011010110111100100110001 H +b10001 + +b10001 G +1. +0% +#7291000 +0_ +1] +0s +b110011111110110100000011010 | +b110011111110110100000011010 B" +1\ +0[ +0=" +0q +16" +17" +b1110 3" +1<" +1` +0c +b1010 -" +1t +0u +14" +1v +0b +1a +1w +1," +b1100011010110111100100110001 &" +b1100011010110111100100110001 ?" +b10001 %" +b10001 *" +b10001 1" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7291010 +b1100011010110111100100110001 T" +#7291500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b1010110110000101011101100010111 2 +b1010110110000101011101100010111 I +b1010110110000101011101100010111 Z +b11011 3 +b11011 J +b11011 Y +b101 ' +b101 D +1) +b0 & +b0 C +0( +b1010110110000101011101100010111 , +b1010110110000101011101100010111 H +b11011 + +b11011 G +1. +0% +#7292000 +0] +0i +b10101000101000110100001101110 { +b10101000101000110100001101110 A" +1s +0\ +1=" +0g +1:" +1;" +1q +06" +07" +b100 3" +1c +b11010 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1m +1/" +0w +0," +b110011111110110100000011010 0 +b110011111110110100000011010 M +b110011111110110100000011010 f +b110011111110110100000011010 !" +b1010110110000101011101100010111 &" +b1010110110000101011101100010111 ?" +b11011 %" +b11011 *" +b11011 1" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7292010 +b1010110110000101011101100010111 ^" +#7292500 +b0 5 +b0 L +b0 W +04 +b110011101011101011001010001100 2 +b110011101011101011001010001100 I +b110011101011101011001010001100 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b110011101011101011001010001100 , +b110011101011101011001010001100 H +b10000 + +b10000 G +1. +0% +#7293000 +1] +1i +1\ +1[ +0=" +1g +0:" +0;" +b1111 3" +0c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +0m +0/" +b110011101011101011001010001100 &" +b110011101011101011001010001100 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101000101000110100001101110 / +b10101000101000110100001101110 K +b10101000101000110100001101110 e +b10101000101000110100001101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7293010 +b110011101011101011001010001100 S" +#7293500 +b111 5 +b111 L +b111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7294000 +0i +b1000000000101000000110101111001 { +b1000000000101000000110101111001 A" +0@" +0h +0g +1:" +1;" +b11111 3" +0<" +0` +b11000 0" +1j +1k +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#7294500 +b10111 5 +b10111 L +b10111 W +b1001 7 +b1001 N +b1001 V +16 +b1011110001011001101001011001110 2 +b1011110001011001101001011001110 I +b1011110001011001101001011001110 Z +b10110 3 +b10110 J +b10110 Y +11 +b10111 ' +b10111 D +b1001 & +b1001 C +1( +b1011110001011001101001011001110 , +b1011110001011001101001011001110 H +b10110 + +b10110 G +1- +1. +0% +#7295000 +0] +0k +0s +b10011011110001010111010000010011 | +b10011011110001010111010000010011 B" +0\ +1>" +1@" +b10001110010011010000000010001011 { +b10001110010011010000000010001011 A" +0q +15" +17" +b1001 3" +1^ +0_ +1<" +1` +b1000 0" +18" +1l +b10110 -" +1y +1u +1b +12" +1w +1," +b1011110001011001101001011001110 &" +b1011110001011001101001011001110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +b1001 } +b1001 (" +b1001 +" +1#" +b1000000000101000000110101111001 / +b1000000000101000000110101111001 K +b1000000000101000000110101111001 e +b1000000000101000000110101111001 "" +0. +1% +#7295010 +b1011110001011001101001011001110 Y" +#7295500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11100101111010001010011011001010 2 +b11100101111010001010011011001010 I +b11100101111010001010011011001010 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11100101111010001010011011001010 , +b11100101111010001010011011001010 H +b1110 + +b1110 G +1. +0% +#7296000 +1_ +0^ +1i +1s +1@" +1=" +1h +1g +0:" +0;" +1q +05" +07" +b10001 3" +0<" +0` +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0w +0," +b10001110010011010000000010001011 / +b10001110010011010000000010001011 K +b10001110010011010000000010001011 e +b10001110010011010000000010001011 "" +b10011011110001010111010000010011 0 +b10011011110001010111010000010011 M +b10011011110001010111010000010011 f +b10011011110001010111010000010011 !" +b11100101111010001010011011001010 &" +b11100101111010001010011011001010 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7296010 +b11100101111010001010011011001010 Q" +#7296500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7297000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7297500 +b1001 5 +b1001 L +b1001 W +14 +b1000111100000111000011110100101 2 +b1000111100000111000011110100101 I +b1000111100000111000011110100101 Z +b1110 3 +b1110 J +b1110 Y +11 +b1001 ' +b1001 D +1) +b1000111100000111000011110100101 , +b1000111100000111000011110100101 H +b1110 + +b1110 G +1- +1. +0% +#7298000 +0] +0i +b10011011110001010111010000010011 { +b10011011110001010111010000010011 A" +0\ +1=" +1>" +1@" +0g +19" +1;" +b10001 3" +0^ +0c +1d +1_ +b10110 0" +1o +1k +1b +12" +1m +1/" +b1000111100000111000011110100101 &" +b1000111100000111000011110100101 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#7298010 +b1000111100000111000011110100101 Q" +#7298500 +b10100 5 +b10100 L +b10100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7299000 +1:" +1] +0k +1j +1\ +0=" +0>" +0@" +1g +09" +b11111 3" +0d +0_ +b1011 0" +18" +1l +0o +b10011100011101110001101110010000 { +b10011100011101110001101110010000 A" +0b +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +b10011011110001010111010000010011 / +b10011011110001010111010000010011 K +b10011011110001010111010000010011 e +b10011011110001010111010000010011 "" +0. +1% +#7299500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#7300000 +1i +b10111101010001101111000000010001 | +b10111101010001101111000000010001 B" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +17" +b11111 0" +0j +08" +0l +b1100 -" +0u +14" +1v +0/" +1x +1w +1," +b10011100011101110001101110010000 / +b10011100011101110001101110010000 K +b10011100011101110001101110010000 e +b10011100011101110001101110010000 "" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#7300500 +14 +b0 7 +b0 N +b0 V +06 +b100001111100110010010001011110 2 +b100001111100110010010001011110 I +b100001111100110010010001011110 Z +b10111 3 +b10111 J +b10111 Y +11 +1) +b0 & +b0 C +0( +b100001111100110010010001011110 , +b100001111100110010010001011110 H +b10111 + +b10111 G +1- +1. +0% +#7301000 +0] +b1110001000011110001000000110010 { +b1110001000011110001000000110010 A" +0\ +0[ +1>" +1@" +1;" +1r +1q +07" +b1000 3" +1^ +0_ +1<" +1` +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1/" +0x +0w +0," +b100001111100110010010001011110 &" +b100001111100110010010001011110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111101010001101111000000010001 0 +b10111101010001101111000000010001 M +b10111101010001101111000000010001 f +b10111101010001101111000000010001 !" +0. +1% +#7301010 +b100001111100110010010001011110 Z" +#7301500 +04 +b100011001010101101000011001101 2 +b100011001010101101000011001101 I +b100011001010101101000011001101 Z +b1011 3 +b1011 J +b1011 Y +0) +b100011001010101101000011001101 , +b100011001010101101000011001101 H +b1011 + +b1011 G +1. +0% +#7302000 +1=" +1_ +1c +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1@" +0>" +0;" +b10100 3" +0<" +0` +0^ +0k +0/" +b1110001000011110001000000110010 / +b1110001000011110001000000110010 K +b1110001000011110001000000110010 e +b1110001000011110001000000110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100011001010101101000011001101 &" +b100011001010101101000011001101 ?" +b1011 %" +b1011 *" +b1011 1" +0$" +0. +1% +#7302010 +b100011001010101101000011001101 N" +#7302500 +b11011 5 +b11011 L +b11011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7303000 +1] +0i +b1010110110000101011101100010111 { +b1010110110000101011101100010111 A" +1\ +1[ +0=" +0@" +0h +0g +19" +1;" +b11111 3" +0c +0_ +b100 0" +1o +0k +18" +1l +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7303500 +b1000 5 +b1000 L +b1000 W +b1000 ' +b1000 D +1. +0% +#7304000 +1k +1h +1g +1;" +b10111 0" +08" +0l +b1100101000101100101010001010010 { +b1100101000101100101010001010010 A" +0n +0m +b1010110110000101011101100010111 / +b1010110110000101011101100010111 K +b1010110110000101011101100010111 e +b1010110110000101011101100010111 "" +b1000 ~ +b1000 )" +b1000 ." +0. +1% +#7304500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b11001010011000011011111000011101 2 +b11001010011000011011111000011101 I +b11001010011000011011111000011101 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b11001010011000011011111000011101 , +b11001010011000011011111000011101 H +b10 + +b10 G +1- +1. +0% +#7305000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1011110001011001101001011001110 | +b1011110001011001101001011001110 B" +0\ +1@" +09" +0;" +0r +16" +17" +b11101 3" +1_ +b11111 0" +0o +0k +b1001 -" +1t +0u +14" +1v +1b +12" +0/" +1x +1," +b11001010011000011011111000011101 &" +b11001010011000011011111000011101 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +b1100101000101100101010001010010 / +b1100101000101100101010001010010 K +b1100101000101100101010001010010 e +b1100101000101100101010001010010 "" +0. +1% +#7305010 +b11001010011000011011111000011101 E" +#7305500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7306000 +1s +1\ +0@" +1r +06" +07" +b11111 3" +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011110001011001101001011001110 0 +b1011110001011001101001011001110 M +b1011110001011001101001011001110 f +b1011110001011001101001011001110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7306500 +1. +0% +#7307000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7307500 +b11100 5 +b11100 L +b11100 W +14 +b1111100101100011101100001101000 2 +b1111100101100011101100001101000 I +b1111100101100011101100001101000 Z +b111 3 +b111 J +b111 Y +11 +b11100 ' +b11100 D +1) +b1111100101100011101100001101000 , +b1111100101100011101100001101000 H +b111 + +b111 G +1- +1. +0% +#7308000 +0] +0i +b1110100101100010101000011111001 { +b1110100101100010101000011111001 A" +0\ +0[ +1>" +1@" +19" +1:" +1;" +b11000 3" +1^ +1_ +b11 0" +0j +0o +1p +0k +18" +1l +1b +1a +12" +1/" +b1111100101100011101100001101000 &" +b1111100101100011101100001101000 ?" +b111 %" +b111 *" +b111 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#7308010 +b1111100101100011101100001101000 J" +#7308500 +b100 5 +b100 L +b100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7309000 +1] +1k +1j +1\ +1[ +0>" +0@" +1;" +b10111101100011111001000011100111 { +b10111101100011111001000011100111 A" +09" +1:" +b11111 3" +0^ +0_ +b11011 0" +08" +0l +0p +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +b1110100101100010101000011111001 / +b1110100101100010101000011111001 K +b1110100101100010101000011111001 e +b1110100101100010101000011111001 "" +0. +1% +#7309500 +b0 5 +b0 L +b0 W +04 +b110110111010101111101111110001 2 +b110110111010101111101111110001 I +b110110111010101111101111110001 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b110110111010101111101111110001 , +b110110111010101111101111110001 H +b11011 + +b11011 G +1- +1. +0% +#7310000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +0[ +1=" +1@" +0:" +0;" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0j +0k +1b +1a +12" +0/" +b10111101100011111001000011100111 / +b10111101100011111001000011100111 K +b10111101100011111001000011100111 e +b10111101100011111001000011100111 "" +b110110111010101111101111110001 &" +b110110111010101111101111110001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7310010 +b110110111010101111101111110001 ^" +#7310500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7311000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7311500 +b10010011011010111100000100101110 2 +b10010011011010111100000100101110 I +b10010011011010111100000100101110 Z +b11111 3 +b11111 J +b11111 Y +11 +b10010011011010111100000100101110 , +b10010011011010111100000100101110 H +b11111 + +b11111 G +1- +1. +0% +#7312000 +0] +0\ +0[ +1=" +1>" +1@" +b0 3" +0^ +0c +1d +0_ +1<" +1` +1b +1a +12" +b10010011011010111100000100101110 &" +b10010011011010111100000100101110 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +0. +1% +#7312500 +b10001 5 +b10001 L +b10001 W +14 +b1011000010110001101000110100000 2 +b1011000010110001101000110100000 I +b1011000010110001101000110100000 Z +b111 3 +b111 J +b111 Y +b10001 ' +b10001 D +1) +b1011000010110001101000110100000 , +b1011000010110001101000110100000 H +b111 + +b111 G +1. +0% +#7313000 +1_ +1^ +b1100011010110111100100110001 { +b1100011010110111100100110001 A" +1@" +0=" +1>" +0g +1;" +b11000 3" +0<" +0` +0d +b1110 0" +0k +18" +1l +1m +1/" +b1011000010110001101000110100000 &" +b1011000010110001101000110100000 ?" +b111 %" +b111 *" +b111 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#7313010 +b1011000010110001101000110100000 J" +#7313500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7314000 +1] +1\ +1[ +0>" +0@" +1g +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0m +0/" +b1100011010110111100100110001 / +b1100011010110111100100110001 K +b1100011010110111100100110001 e +b1100011010110111100100110001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7314500 +b11101 5 +b11101 L +b11101 W +14 +b11010 7 +b11010 N +b11010 V +16 +b11101 ' +b11101 D +1) +b11010 & +b11010 C +1( +1. +0% +#7315000 +0i +b1111110101011010000101100101100 { +b1111110101011010000101100101100 A" +0s +b1011100010001011001110010100000 | +b1011100010001011001110010100000 B" +0g +19" +1:" +1;" +0r +15" +17" +b10 0" +0j +0o +1p +0k +18" +1l +b101 -" +1y +0u +14" +1v +1m +1/" +1x +1," +b11101 ~ +b11101 )" +b11101 ." +1$" +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7315500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7316000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b1111110101011010000101100101100 / +b1111110101011010000101100101100 K +b1111110101011010000101100101100 e +b1111110101011010000101100101100 "" +b1011100010001011001110010100000 0 +b1011100010001011001110010100000 M +b1011100010001011001110010100000 f +b1011100010001011001110010100000 !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7316500 +b101 5 +b101 L +b101 W +14 +b10101 7 +b10101 N +b10101 V +b1011010110110010100101111000001 2 +b1011010110110010100101111000001 I +b1011010110110010100101111000001 Z +b1001 3 +b1001 J +b1001 Y +11 +b101 ' +b101 D +1) +b10101 & +b10101 C +b1011010110110010100101111000001 , +b1011010110110010100101111000001 H +b1001 + +b1001 G +1- +1. +0% +#7317000 +16" +0] +0i +b10101000101000110100001101110 { +b10101000101000110100001101110 A" +1t +0[ +1=" +1@" +0g +1:" +1;" +1r +0q +05" +b10110 3" +1c +1_ +b11010 0" +1j +1k +b1010 -" +0y +b110011111110110100000011010 | +b110011111110110100000011010 B" +1a +12" +1m +1/" +0x +1w +b1011010110110010100101111000001 &" +b1011010110110010100101111000001 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b10101 } +b10101 (" +b10101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7317010 +b1011010110110010100101111000001 L" +#7317500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7318000 +1] +1i +1s +1[ +0=" +0@" +1g +0:" +0;" +1q +06" +07" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0m +0/" +0w +0," +b10101000101000110100001101110 / +b10101000101000110100001101110 K +b10101000101000110100001101110 e +b10101000101000110100001101110 "" +b110011111110110100000011010 0 +b110011111110110100000011010 M +b110011111110110100000011010 f +b110011111110110100000011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7318500 +b1011101000111111101101001000111 2 +b1011101000111111101101001000111 I +b1011101000111111101101001000111 Z +b10010 3 +b10010 J +b10010 Y +11 +b1011101000111111101101001000111 , +b1011101000111111101101001000111 H +b10010 + +b10010 G +1- +1. +0% +#7319000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b1011101000111111101101001000111 &" +b1011101000111111101101001000111 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7319010 +b1011101000111111101101001000111 U" +#7319500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7320000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7320500 +b10011 5 +b10011 L +b10011 W +14 +b11101000110001001001100000011100 2 +b11101000110001001001100000011100 I +b11101000110001001001100000011100 Z +b1100 3 +b1100 J +b1100 Y +11 +b10011 ' +b10011 D +1) +b11101000110001001001100000011100 , +b11101000110001001001100000011100 H +b1100 + +b1100 G +1- +1. +0% +#7321000 +0] +b10111101010001101111000000010001 { +b10111101010001101111000000010001 A" +1=" +1>" +1@" +0h +0g +1;" +b10011 3" +0^ +0c +1d +1_ +b1100 0" +0k +18" +1l +12" +1n +1m +1/" +b11101000110001001001100000011100 &" +b11101000110001001001100000011100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#7321010 +b11101000110001001001100000011100 O" +#7321500 +b101 5 +b101 L +b101 W +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +b10110 & +b10110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7322000 +1] +1k +0i +0s +b1011110001011001101001011001110 | +b1011110001011001101001011001110 B" +0=" +0>" +0@" +1h +1;" +1:" +0r +16" +17" +b11111 3" +0d +0_ +b11010 0" +08" +0l +1j +b10101000101000110100001101110 { +b10101000101000110100001101110 A" +b1001 -" +1t +0u +14" +1v +02" +0n +1x +1," +b10111101010001101111000000010001 / +b10111101010001101111000000010001 K +b10111101010001101111000000010001 e +b10111101010001101111000000010001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#7322500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7323000 +1i +1s +1g +0:" +0;" +1r +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10101000101000110100001101110 / +b10101000101000110100001101110 K +b10101000101000110100001101110 e +b10101000101000110100001101110 "" +b1011110001011001101001011001110 0 +b1011110001011001101001011001110 M +b1011110001011001101001011001110 f +b1011110001011001101001011001110 !" +0. +1% +#7323500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#7324000 +0s +b1100100011111010101000000101001 | +b1100100011111010101000000101001 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#7324500 +14 +b0 7 +b0 N +b0 V +06 +b1001100100100010100001000101011 2 +b1001100100100010100001000101011 I +b1001100100100010100001000101011 Z +b1101 3 +b1101 J +b1101 Y +11 +1) +b0 & +b0 C +0( +b1001100100100010100001000101011 , +b1001100100100010100001000101011 H +b1101 + +b1101 G +1- +1. +0% +#7325000 +0] +b1110001000011110001000000110010 { +b1110001000011110001000000110010 A" +1s +0[ +1=" +1>" +1@" +1;" +1r +05" +06" +07" +b10010 3" +0^ +0c +1d +1_ +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1/" +0x +0," +b1001100100100010100001000101011 &" +b1001100100100010100001000101011 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +1$" +b0 } +b0 (" +b0 +" +0#" +b1100100011111010101000000101001 0 +b1100100011111010101000000101001 M +b1100100011111010101000000101001 f +b1100100011111010101000000101001 !" +0. +1% +#7325010 +b1001100100100010100001000101011 P" +#7325500 +04 +b10101 7 +b10101 N +b10101 V +16 +b10110000101000010100001100101110 2 +b10110000101000010100001100101110 I +b10110000101000010100001100101110 Z +b100 3 +b100 J +b100 Y +0) +b10101 & +b10101 C +1( +b10110000101000010100001100101110 , +b10110000101000010100001100101110 H +b100 + +b100 G +1. +0% +#7326000 +1^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b110011111110110100000011010 | +b110011111110110100000011010 B" +1[ +0=" +1>" +0;" +0q +16" +17" +b11011 3" +0d +0k +b1010 -" +1t +0u +14" +1v +0a +0/" +1w +1," +b1110001000011110001000000110010 / +b1110001000011110001000000110010 K +b1110001000011110001000000110010 e +b1110001000011110001000000110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110000101000010100001100101110 &" +b10110000101000010100001100101110 ?" +b100 %" +b100 *" +b100 1" +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#7326010 +b10110000101000010100001100101110 G" +#7326500 +b10111 5 +b10111 L +b10111 W +14 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7327000 +1] +0i +b100001111100110010010001011110 { +b100001111100110010010001011110 A" +1u +0>" +0@" +0h +0g +1:" +1;" +0r +17" +b11111 3" +0^ +0_ +b1000 0" +1j +0k +18" +1l +b11000 -" +04" +0v +b1011000010110001101000110100000 | +b1011000010110001101000110100000 B" +02" +1n +1m +1/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b111 } +b111 (" +b111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110011111110110100000011010 0 +b110011111110110100000011010 M +b110011111110110100000011010 f +b110011111110110100000011010 !" +0. +1% +#7327500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7328000 +1i +1s +1h +1g +0:" +0;" +1r +1q +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0w +0," +b100001111100110010010001011110 / +b100001111100110010010001011110 K +b100001111100110010010001011110 e +b100001111100110010010001011110 "" +b1011000010110001101000110100000 0 +b1011000010110001101000110100000 M +b1011000010110001101000110100000 f +b1011000010110001101000110100000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7328500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#7329000 +0s +b1100100011111010101000000101001 | +b1100100011111010101000000101001 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7329500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7330000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b1100100011111010101000000101001 0 +b1100100011111010101000000101001 M +b1100100011111010101000000101001 f +b1100100011111010101000000101001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7330500 +b11111100000100111111010011100 2 +b11111100000100111111010011100 I +b11111100000100111111010011100 Z +b1000 3 +b1000 J +b1000 Y +11 +b11111100000100111111010011100 , +b11111100000100111111010011100 H +b1000 + +b1000 G +1- +1. +0% +#7331000 +0] +1=" +1@" +b10111 3" +1c +1_ +12" +b11111100000100111111010011100 &" +b11111100000100111111010011100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7331010 +b11111100000100111111010011100 K" +#7331500 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7332000 +1] +0s +b1110100101100010101000011111001 | +b1110100101100010101000011111001 B" +0=" +0@" +15" +16" +17" +b11111 3" +0c +0_ +b11 -" +0t +0y +1z +0u +14" +1v +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#7332500 +b0 7 +b0 N +b0 V +06 +b10111010011000101001001001100100 2 +b10111010011000101001001001100100 I +b10111010011000101001001001100100 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 & +b0 C +0( +b10111010011000101001001001100100 , +b10111010011000101001001001100100 H +b11110 + +b11110 G +1- +1. +0% +#7333000 +0] +1s +0\ +1=" +1>" +1@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0z +04" +0v +1b +12" +0," +b10111010011000101001001001100100 &" +b10111010011000101001001001100100 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1110100101100010101000011111001 0 +b1110100101100010101000011111001 M +b1110100101100010101000011111001 f +b1110100101100010101000011111001 !" +0. +1% +#7333010 +b10111010011000101001001001100100 a" +#7333500 +b11101 5 +b11101 L +b11101 W +14 +b10010 7 +b10010 N +b10010 V +16 +b1111110111011111011110010011 2 +b1111110111011111011110010011 I +b1111110111011111011110010011 Z +b11000 3 +b11000 J +b11000 Y +b11101 ' +b11101 D +1) +b10010 & +b10010 C +1( +b1111110111011111011110010011 , +b1111110111011111011110010011 H +b11000 + +b11000 G +1. +0% +#7334000 +1c +0i +b1111110101011010000101100101100 { +b1111110101011010000101100101100 A" +b1011101000111111101101001000111 | +b1011101000111111101101001000111 B" +1\ +1=" +0>" +0g +19" +1:" +1;" +0r +17" +b111 3" +0d +b10 0" +0j +0o +1p +0k +18" +1l +b1101 -" +0u +14" +1v +0b +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111110111011111011110010011 &" +b1111110111011111011110010011 ?" +b11000 %" +b11000 *" +b11000 1" +b11101 ~ +b11101 )" +b11101 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#7334010 +b1111110111011111011110010011 [" +#7334500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101101111111011110000001100100 2 +b101101111111011110000001100100 I +b101101111111011110000001100100 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101101111111011110000001100100 , +b101101111111011110000001100100 H +b1100 + +b1100 G +1. +0% +#7335000 +1_ +0c +1i +1@" +1>" +1g +09" +0:" +0;" +1r +07" +b10011 3" +0<" +0` +1d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0," +b101101111111011110000001100100 &" +b101101111111011110000001100100 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1111110101011010000101100101100 / +b1111110101011010000101100101100 K +b1111110101011010000101100101100 e +b1111110101011010000101100101100 "" +b1011101000111111101101001000111 0 +b1011101000111111101101001000111 M +b1011101000111111101101001000111 f +b1011101000111111101101001000111 !" +0. +1% +#7335010 +b101101111111011110000001100100 O" +#7335500 +b100011001000110111010000110010 2 +b100011001000110111010000110010 I +b100011001000110111010000110010 Z +b100011001000110111010000110010 , +b100011001000110111010000110010 H +1. +0% +#7336000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100011001000110111010000110010 &" +b100011001000110111010000110010 ?" +0. +1% +#7336010 +b100011001000110111010000110010 O" +#7336500 +b11011110110111111110100100110011 2 +b11011110110111111110100100110011 I +b11011110110111111110100100110011 Z +b10110 3 +b10110 J +b10110 Y +b11011110110111111110100100110011 , +b11011110110111111110100100110011 H +b10110 + +b10110 G +1. +0% +#7337000 +0_ +1^ +0\ +0=" +1>" +b1001 3" +1<" +1` +0d +1b +b11011110110111111110100100110011 &" +b11011110110111111110100100110011 ?" +b10110 %" +b10110 *" +b10110 1" +0. +1% +#7337010 +b11011110110111111110100100110011 Y" +#7337500 +b101 5 +b101 L +b101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7338000 +1] +0i +b10101000101000110100001101110 { +b10101000101000110100001101110 A" +1\ +0>" +0@" +0g +1:" +1;" +b11111 3" +0^ +0<" +0` +b11010 0" +1j +1k +0b +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#7338500 +b11 5 +b11 L +b11 W +b10001 7 +b10001 N +b10001 V +16 +b11 ' +b11 D +b10001 & +b10001 C +1( +1. +0% +#7339000 +1i +b1100011010110111100100110001 | +b1100011010110111100100110001 B" +0h +0:" +0q +17" +b11100 0" +0j +b1000100000001111010001001011010 { +b1000100000001111010001001011010 A" +b1110 -" +0u +14" +1v +1n +1w +1," +b11 ~ +b11 )" +b11 ." +b10001 } +b10001 (" +b10001 +" +1#" +b10101000101000110100001101110 / +b10101000101000110100001101110 K +b10101000101000110100001101110 e +b10101000101000110100001101110 "" +0. +1% +#7339500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +b0 ' +b0 D +0) +b11110 & +b11110 C +1. +0% +#7340000 +0s +1h +1g +0;" +0r +1q +15" +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +b10111010011000101001001001100100 | +b10111010011000101001001001100100 B" +0n +0m +0/" +1x +0w +b1000100000001111010001001011010 / +b1000100000001111010001001011010 K +b1000100000001111010001001011010 e +b1000100000001111010001001011010 "" +b1100011010110111100100110001 0 +b1100011010110111100100110001 M +b1100011010110111100100110001 f +b1100011010110111100100110001 !" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#7340500 +b11111 5 +b11111 L +b11111 W +14 +b10010 7 +b10010 N +b10010 V +b1110110011001100111100100000101 2 +b1110110011001100111100100000101 I +b1110110011001100111100100000101 Z +b11010 3 +b11010 J +b11010 Y +11 +b11111 ' +b11111 D +1) +b10010 & +b10010 C +b1110110011001100111100100000101 , +b1110110011001100111100100000101 H +b11010 + +b11010 G +1- +1. +0% +#7341000 +0] +0i +b10010011011010111100000100101110 { +b10010011011010111100000100101110 A" +1s +b1011101000111111101101001000111 | +b1011101000111111101101001000111 B" +0\ +1=" +1@" +0h +0g +19" +1:" +1;" +05" +06" +b101 3" +1c +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +b1101 -" +0z +1b +12" +1n +1m +1/" +b1110110011001100111100100000101 &" +b1110110011001100111100100000101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b10010 } +b10010 (" +b10010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111010011000101001001001100100 0 +b10111010011000101001001001100100 M +b10111010011000101001001001100100 f +b10111010011000101001001001100100 !" +0. +1% +#7341010 +b1110110011001100111100100000101 ]" +#7341500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7342000 +1] +1i +1\ +0=" +0@" +1h +1g +09" +0:" +0;" +1r +07" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0m +0/" +0x +0," +b10010011011010111100000100101110 / +b10010011011010111100000100101110 K +b10010011011010111100000100101110 e +b10010011011010111100000100101110 "" +b1011101000111111101101001000111 0 +b1011101000111111101101001000111 M +b1011101000111111101101001000111 f +b1011101000111111101101001000111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7342500 +1. +0% +#7343000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7343500 +1. +0% +#7344000 +0. +1% +#7344500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#7345000 +0s +b1011010110110010100101111000001 | +b1011010110110010100101111000001 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#7345500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7346000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b1011010110110010100101111000001 0 +b1011010110110010100101111000001 M +b1011010110110010100101111000001 f +b1011010110110010100101111000001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7346500 +b11000 7 +b11000 N +b11000 V +16 +b1110010010101011110101000000111 2 +b1110010010101011110101000000111 I +b1110010010101011110101000000111 Z +b10001 3 +b10001 J +b10001 Y +11 +b11000 & +b11000 C +1( +b1110010010101011110101000000111 , +b1110010010101011110101000000111 H +b10001 + +b10001 G +1- +1. +0% +#7347000 +0s +b1111110111011111011110010011 | +b1111110111011111011110010011 B" +0[ +1@" +15" +17" +b1110 3" +0_ +1<" +1` +b111 -" +1y +0u +14" +1v +1a +12" +1," +b1110010010101011110101000000111 &" +b1110010010101011110101000000111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7347010 +b1110010010101011110101000000111 T" +#7347500 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7348000 +1u +0y +1[ +0@" +0r +17" +16" +b11111 3" +0<" +0` +b10001 -" +04" +0v +1z +b1000111100000111000011110100101 | +b1000111100000111000011110100101 B" +0a +02" +1x +b1111110111011111011110010011 0 +b1111110111011111011110010011 M +b1111110111011111011110010011 f +b1111110111011111011110010011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +0. +1% +#7348500 +b11010 5 +b11010 L +b11010 W +14 +b11110 7 +b11110 N +b11110 V +b10010110010001010001100010010111 2 +b10010110010001010001100010010111 I +b10010110010001010001100010010111 Z +b1101 3 +b1101 J +b1101 Y +11 +b11010 ' +b11010 D +1) +b11110 & +b11110 C +b10010110010001010001100010010111 , +b10010110010001010001100010010111 H +b1101 + +b1101 G +1- +1. +0% +#7349000 +0] +0i +b1110110011001100111100100000101 { +b1110110011001100111100100000101 A" +0u +0[ +1=" +1>" +1@" +0h +19" +1;" +b10111010011000101001001001100100 | +b10111010011000101001001001100100 B" +b10010 3" +0^ +0c +1d +1_ +b101 0" +1o +0k +18" +1l +b1 -" +14" +1v +1a +12" +1n +1/" +b10010110010001010001100010010111 &" +b10010110010001010001100010010111 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b11110 } +b11110 (" +b11110 +" +b1000111100000111000011110100101 0 +b1000111100000111000011110100101 M +b1000111100000111000011110100101 f +b1000111100000111000011110100101 !" +0. +1% +#7349010 +b10010110010001010001100010010111 P" +#7349500 +b10111 5 +b10111 L +b10111 W +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +b1000 & +b1000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7350000 +1:" +1] +1j +1u +1y +1[ +0=" +0>" +0@" +0g +09" +1r +17" +15" +06" +b11111 3" +0d +0_ +b1000 0" +0o +b100001111100110010010001011110 { +b100001111100110010010001011110 A" +b10111 -" +04" +0v +0z +b11111100000100111111010011100 | +b11111100000100111111010011100 B" +0a +02" +1m +0x +b1110110011001100111100100000101 / +b1110110011001100111100100000101 K +b1110110011001100111100100000101 e +b1110110011001100111100100000101 "" +b10111010011000101001001001100100 0 +b10111010011000101001001001100100 M +b10111010011000101001001001100100 f +b10111010011000101001001001100100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +b1000 } +b1000 (" +b1000 +" +0. +1% +#7350500 +b10110 5 +b10110 L +b10110 W +b11000 7 +b11000 N +b11000 V +b10110 ' +b10110 D +b11000 & +b11000 C +1. +0% +#7351000 +0u +1g +b1111110111011111011110010011 | +b1111110111011111011110010011 B" +b1001 0" +b11011110110111111110100100110011 { +b11011110110111111110100100110011 A" +b111 -" +14" +1v +0m +b10110 ~ +b10110 )" +b10110 ." +b11000 } +b11000 (" +b11000 +" +b100001111100110010010001011110 / +b100001111100110010010001011110 K +b100001111100110010010001011110 e +b100001111100110010010001011110 "" +b11111100000100111111010011100 0 +b11111100000100111111010011100 M +b11111100000100111111010011100 f +b11111100000100111111010011100 !" +0. +1% +#7351500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7352000 +1i +1s +1h +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +04" +0v +0n +0/" +0," +b11011110110111111110100100110011 / +b11011110110111111110100100110011 K +b11011110110111111110100100110011 e +b11011110110111111110100100110011 "" +b1111110111011111011110010011 0 +b1111110111011111011110010011 M +b1111110111011111011110010011 f +b1111110111011111011110010011 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7352500 +b1 5 +b1 L +b1 W +14 +b10 7 +b10 N +b10 V +16 +b1010110010101111010011001000001 2 +b1010110010101111010011001000001 I +b1010110010101111010011001000001 Z +b1111 3 +b1111 J +b1111 Y +11 +b1 ' +b1 D +1) +b10 & +b10 C +1( +b1010110010101111010011001000001 , +b1010110010101111010011001000001 H +b1111 + +b1111 G +1- +1. +0% +#7353000 +0] +b11001000101000100100000010011100 { +b11001000101000100100000010011100 A" +b11001010011000011011111000011101 | +b11001010011000011011111000011101 B" +0\ +0[ +1=" +1>" +1@" +0g +1;" +0r +17" +b10000 3" +0^ +0c +1d +1_ +b11110 0" +1k +b11101 -" +1u +1b +1a +12" +1m +1/" +1x +1," +b1010110010101111010011001000001 &" +b1010110010101111010011001000001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7353010 +b1010110010101111010011001000001 R" +#7353500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7354000 +1] +1\ +1[ +0=" +0>" +0@" +1g +0;" +1r +07" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0m +0/" +0x +0," +b11001000101000100100000010011100 / +b11001000101000100100000010011100 K +b11001000101000100100000010011100 e +b11001000101000100100000010011100 "" +b11001010011000011011111000011101 0 +b11001010011000011011111000011101 M +b11001010011000011011111000011101 f +b11001010011000011011111000011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7354500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#7355000 +b10111101010001101111000000010001 { +b10111101010001101111000000010001 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7355500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7356000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b10111101010001101111000000010001 / +b10111101010001101111000000010001 K +b10111101010001101111000000010001 e +b10111101010001101111000000010001 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7356500 +b11101 7 +b11101 N +b11101 V +16 +b11101 & +b11101 C +1( +1. +0% +#7357000 +0s +b1111110101011010000101100101100 | +b1111110101011010000101100101100 B" +0q +15" +16" +17" +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7357500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7358000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b1111110101011010000101100101100 0 +b1111110101011010000101100101100 M +b1111110101011010000101100101100 f +b1111110101011010000101100101100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7358500 +b100 5 +b100 L +b100 W +14 +b11110101100111001101010110000101 2 +b11110101100111001101010110000101 I +b11110101100111001101010110000101 Z +b10110 3 +b10110 J +b10110 Y +11 +b100 ' +b100 D +1) +b11110101100111001101010110000101 , +b11110101100111001101010110000101 H +b10110 + +b10110 G +1- +1. +0% +#7359000 +0] +0i +b10110000101000010100001100101110 { +b10110000101000010100001100101110 A" +0\ +1>" +1@" +1:" +1;" +b1001 3" +1^ +0_ +1<" +1` +b11011 0" +1j +1k +1b +12" +1/" +b11110101100111001101010110000101 &" +b11110101100111001101010110000101 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7359010 +b11110101100111001101010110000101 Y" +#7359500 +b10000 5 +b10000 L +b10000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7360000 +1] +0k +1i +1\ +0>" +0@" +b110011101011101011001010001100 { +b110011101011101011001010001100 A" +0:" +b11111 3" +0^ +0<" +0` +b1111 0" +18" +1l +0j +0b +02" +b10110000101000010100001100101110 / +b10110000101000010100001100101110 K +b10110000101000010100001100101110 e +b10110000101000010100001100101110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +0. +1% +#7360500 +b1001 5 +b1001 L +b1001 W +b111 7 +b111 N +b111 V +16 +b1001 ' +b1001 D +b111 & +b111 C +1( +1. +0% +#7361000 +1k +0i +0s +b1011000010110001101000110100000 | +b1011000010110001101000110100000 B" +0g +1;" +19" +0r +0q +16" +17" +b10110 0" +08" +0l +1o +b1011010110110010100101111000001 { +b1011010110110010100101111000001 A" +b11000 -" +1t +1u +1m +1x +1w +1," +b1001 ~ +b1001 )" +b1001 ." +b111 } +b111 (" +b111 +" +1#" +b110011101011101011001010001100 / +b110011101011101011001010001100 K +b110011101011101011001010001100 e +b110011101011101011001010001100 "" +0. +1% +#7361500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +b10011011011010000101110111110011 2 +b10011011011010000101110111110011 I +b10011011011010000101110111110011 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b1001 & +b1001 C +b10011011011010000101110111110011 , +b10011011011010000101110111110011 H +b11100 + +b11100 G +1- +1. +0% +#7362000 +15" +0] +1i +1y +1=" +1>" +1@" +1g +09" +0;" +1r +06" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +0t +b1011010110110010100101111000001 | +b1011010110110010100101111000001 B" +12" +0m +0/" +0x +b1011010110110010100101111000001 / +b1011010110110010100101111000001 K +b1011010110110010100101111000001 e +b1011010110110010100101111000001 "" +b1011000010110001101000110100000 0 +b1011000010110001101000110100000 M +b1011000010110001101000110100000 f +b1011000010110001101000110100000 !" +b10011011011010000101110111110011 &" +b10011011011010000101110111110011 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +0. +1% +#7362010 +b10011011011010000101110111110011 _" +#7362500 +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7363000 +1] +b1110001000011110001000000110010 { +b1110001000011110001000000110010 A" +1s +0=" +0>" +0@" +1;" +1q +05" +07" +b11111 3" +0d +0<" +0` +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011010110110010100101111000001 0 +b1011010110110010100101111000001 M +b1011010110110010100101111000001 f +b1011010110110010100101111000001 !" +0. +1% +#7363500 +04 +0) +1. +0% +#7364000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +b1110001000011110001000000110010 / +b1110001000011110001000000110010 K +b1110001000011110001000000110010 e +b1110001000011110001000000110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0$" +0. +1% +#7364500 +1. +0% +#7365000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7365500 +b11111 5 +b11111 L +b11111 W +14 +b11111111110110001001100000000010 2 +b11111111110110001001100000000010 I +b11111111110110001001100000000010 Z +b101 3 +b101 J +b101 Y +11 +b11111 ' +b11111 D +1) +b11111111110110001001100000000010 , +b11111111110110001001100000000010 H +b101 + +b101 G +1- +1. +0% +#7366000 +0] +0i +b10010011011010111100000100101110 { +b10010011011010111100000100101110 A" +0[ +1>" +1@" +0h +0g +19" +1:" +1;" +b11010 3" +1^ +1_ +b0 0" +0j +0o +1p +0k +18" +1l +1a +12" +1n +1m +1/" +b11111111110110001001100000000010 &" +b11111111110110001001100000000010 ?" +b101 %" +b101 *" +b101 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#7366010 +b11111111110110001001100000000010 H" +#7366500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7367000 +1] +1i +0s +b1011010110110010100101111000001 | +b1011010110110010100101111000001 B" +1[ +0>" +0@" +1h +1g +09" +0:" +0;" +0q +15" +17" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10110 -" +1y +1u +0a +02" +0n +0m +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b10010011011010111100000100101110 / +b10010011011010111100000100101110 K +b10010011011010111100000100101110 e +b10010011011010111100000100101110 "" +0. +1% +#7367500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +1. +0% +#7368000 +0i +b100011001000110111010000110010 { +b100011001000110111010000110010 A" +1s +19" +1:" +1;" +1q +05" +07" +b10011 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011010110110010100101111000001 0 +b1011010110110010100101111000001 M +b1011010110110010100101111000001 f +b1011010110110010100101111000001 !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7368500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +1. +0% +#7369000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1011010110110010100101111000001 | +b1011010110110010100101111000001 B" +09" +0:" +0;" +0q +15" +17" +b11111 0" +0p +0k +b10110 -" +1y +1u +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b100011001000110111010000110010 / +b100011001000110111010000110010 K +b100011001000110111010000110010 e +b100011001000110111010000110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7369500 +b1010 5 +b1010 L +b1010 W +14 +b0 7 +b0 N +b0 V +06 +b1010 ' +b1010 D +1) +b0 & +b0 C +0( +1. +0% +#7370000 +0i +b11001000101111101011100011011010 { +b11001000101111101011100011011010 A" +1s +0h +19" +1;" +1q +05" +07" +b10101 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011010110110010100101111000001 0 +b1011010110110010100101111000001 M +b1011010110110010100101111000001 f +b1011010110110010100101111000001 !" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7370500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7371000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11001000101111101011100011011010 / +b11001000101111101011100011011010 K +b11001000101111101011100011011010 e +b11001000101111101011100011011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7371500 +b11001 5 +b11001 L +b11001 W +14 +b101111101000100010001101001110 2 +b101111101000100010001101001110 I +b101111101000100010001101001110 Z +b1101 3 +b1101 J +b1101 Y +11 +b11001 ' +b11001 D +1) +b101111101000100010001101001110 , +b101111101000100010001101001110 H +b1101 + +b1101 G +1- +1. +0% +#7372000 +0] +0i +b11101110000001100110100000101110 { +b11101110000001100110100000101110 A" +0[ +1=" +1>" +1@" +0g +19" +1;" +b10010 3" +0^ +0c +1d +1_ +b110 0" +1o +0k +18" +1l +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101111101000100010001101001110 &" +b101111101000100010001101001110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#7372010 +b101111101000100010001101001110 P" +#7372500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7373000 +1] +1i +1[ +0=" +0>" +0@" +1g +09" +0;" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101110000001100110100000101110 / +b11101110000001100110100000101110 K +b11101110000001100110100000101110 e +b11101110000001100110100000101110 "" +0. +1% +#7373500 +b1111110010111100101111101011000 2 +b1111110010111100101111101011000 I +b1111110010111100101111101011000 Z +b11100 3 +b11100 J +b11100 Y +11 +b1111110010111100101111101011000 , +b1111110010111100101111101011000 H +b11100 + +b11100 G +1- +1. +0% +#7374000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111110010111100101111101011000 &" +b1111110010111100101111101011000 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#7374010 +b1111110010111100101111101011000 _" +#7374500 +b1110 5 +b1110 L +b1110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7375000 +1] +0i +b1000111100000111000011110100101 { +b1000111100000111000011110100101 A" +0=" +0>" +0@" +0h +19" +1:" +1;" +b11111 3" +0d +0<" +0` +b10001 0" +0j +0o +1p +1k +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#7375500 +b1 5 +b1 L +b1 W +b10110010110001010011000100010000 2 +b10110010110001010011000100010000 I +b10110010110001010011000100010000 Z +b10010 3 +b10010 J +b10010 Y +11 +b1 ' +b1 D +b10110010110001010011000100010000 , +b10110010110001010011000100010000 H +b10010 + +b10010 G +1- +1. +0% +#7376000 +1i +0\ +1@" +1h +0g +09" +0:" +b1101 3" +0_ +1<" +1` +b11110 0" +0p +b11001000101000100100000010011100 { +b11001000101000100100000010011100 A" +1b +12" +0n +1m +b1000111100000111000011110100101 / +b1000111100000111000011110100101 K +b1000111100000111000011110100101 e +b1000111100000111000011110100101 "" +b10110010110001010011000100010000 &" +b10110010110001010011000100010000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1 ~ +b1 )" +b1 ." +0. +1% +#7376010 +b10110010110001010011000100010000 U" +#7376500 +b100 5 +b100 L +b100 W +b10110011111001111100100001001111 2 +b10110011111001111100100001001111 I +b10110011111001111100100001001111 Z +b1100 3 +b1100 J +b1100 Y +b100 ' +b100 D +b10110011111001111100100001001111 , +b10110011111001111100100001001111 H +b1100 + +b1100 G +1. +0% +#7377000 +1_ +0] +0i +1\ +1@" +1=" +1>" +1g +1:" +b10011 3" +0<" +0` +0^ +0c +1d +b11011 0" +1j +b10110000101000010100001100101110 { +b10110000101000010100001100101110 A" +0b +0m +b10110011111001111100100001001111 &" +b10110011111001111100100001001111 ?" +b1100 %" +b1100 *" +b1100 1" +b100 ~ +b100 )" +b100 ." +b11001000101000100100000010011100 / +b11001000101000100100000010011100 K +b11001000101000100100000010011100 e +b11001000101000100100000010011100 "" +0. +1% +#7377010 +b10110011111001111100100001001111 O" +#7377500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7378000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0=" +0>" +0@" +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0j +0k +02" +0/" +b10110000101000010100001100101110 / +b10110000101000010100001100101110 K +b10110000101000010100001100101110 e +b10110000101000010100001100101110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7378500 +b10111 5 +b10111 L +b10111 W +14 +b11011111011100001001000011001000 2 +b11011111011100001001000011001000 I +b11011111011100001001000011001000 Z +b1100 3 +b1100 J +b1100 Y +11 +b10111 ' +b10111 D +1) +b11011111011100001001000011001000 , +b11011111011100001001000011001000 H +b1100 + +b1100 G +1- +1. +0% +#7379000 +0] +0i +b100001111100110010010001011110 { +b100001111100110010010001011110 A" +1=" +1>" +1@" +0h +0g +1:" +1;" +b10011 3" +0^ +0c +1d +1_ +b1000 0" +1j +0k +18" +1l +12" +1n +1m +1/" +b11011111011100001001000011001000 &" +b11011111011100001001000011001000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7379010 +b11011111011100001001000011001000 O" +#7379500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7380000 +1] +1i +0=" +0>" +0@" +1h +1g +0:" +0;" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0m +0/" +b100001111100110010010001011110 / +b100001111100110010010001011110 K +b100001111100110010010001011110 e +b100001111100110010010001011110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7380500 +b10011 5 +b10011 L +b10011 W +14 +b11100001000000111101010100110001 2 +b11100001000000111101010100110001 I +b11100001000000111101010100110001 Z +b100 3 +b100 J +b100 Y +11 +b10011 ' +b10011 D +1) +b11100001000000111101010100110001 , +b11100001000000111101010100110001 H +b100 + +b100 G +1- +1. +0% +#7381000 +0] +b10111101010001101111000000010001 { +b10111101010001101111000000010001 A" +1>" +1@" +0h +0g +1;" +b11011 3" +1^ +1_ +b1100 0" +0k +18" +1l +12" +1n +1m +1/" +b11100001000000111101010100110001 &" +b11100001000000111101010100110001 ?" +b100 %" +b100 *" +b100 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7381010 +b11100001000000111101010100110001 G" +#7381500 +b10111 5 +b10111 L +b10111 W +b1111101111110010001111000010100 2 +b1111101111110010001111000010100 I +b1111101111110010001111000010100 Z +b10010 3 +b10010 J +b10010 Y +b10111 ' +b10111 D +b1111101111110010001111000010100 , +b1111101111110010001111000010100 H +b10010 + +b10010 G +1. +0% +#7382000 +0_ +1] +0i +b100001111100110010010001011110 { +b100001111100110010010001011110 A" +0\ +0>" +1:" +b1101 3" +1<" +1` +0^ +b1000 0" +1j +1b +b10111101010001101111000000010001 / +b10111101010001101111000000010001 K +b10111101010001101111000000010001 e +b10111101010001101111000000010001 "" +b1111101111110010001111000010100 &" +b1111101111110010001111000010100 ?" +b10010 %" +b10010 *" +b10010 1" +b10111 ~ +b10111 )" +b10111 ." +0. +1% +#7382010 +b1111101111110010001111000010100 U" +#7382500 +b11000 5 +b11000 L +b11000 W +b1101 7 +b1101 N +b1101 V +16 +b11110110011110101010000110110100 2 +b11110110011110101010000110110100 I +b11110110011110101010000110110100 Z +b11011 3 +b11011 J +b11011 Y +b11000 ' +b11000 D +b1101 & +b1101 C +1( +b11110110011110101010000110110100 , +b11110110011110101010000110110100 H +b11011 + +b11011 G +1. +0% +#7383000 +19" +0] +1o +0s +b101111101000100010001101001110 | +b101111101000100010001101001110 B" +0[ +1=" +1h +1g +0:" +0q +15" +16" +17" +b100 3" +1c +b111 0" +0j +b1111110111011111011110010011 { +b1111110111011111011110010011 A" +b10010 -" +0t +0y +1z +1u +1a +0n +0m +1w +1," +b11110110011110101010000110110100 &" +b11110110011110101010000110110100 ?" +b11011 %" +b11011 *" +b11011 1" +b11000 ~ +b11000 )" +b11000 ." +b1101 } +b1101 (" +b1101 +" +1#" +b100001111100110010010001011110 / +b100001111100110010010001011110 K +b100001111100110010010001011110 e +b100001111100110010010001011110 "" +0. +1% +#7383010 +b11110110011110101010000110110100 ^" +#7383500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11110011110011000110101010011111 2 +b11110011110011000110101010011111 I +b11110011110011000110101010011111 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11110011110011000110101010011111 , +b11110011110011000110101010011111 H +b10000 + +b10000 G +1. +0% +#7384000 +1] +1i +1s +1\ +1[ +0=" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +06" +07" +b1111 3" +0c +b11111 0" +0o +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +0/" +0w +0," +b1111110111011111011110010011 / +b1111110111011111011110010011 K +b1111110111011111011110010011 e +b1111110111011111011110010011 "" +b101111101000100010001101001110 0 +b101111101000100010001101001110 M +b101111101000100010001101001110 f +b101111101000100010001101001110 !" +b11110011110011000110101010011111 &" +b11110011110011000110101010011111 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7384010 +b11110011110011000110101010011111 S" +#7384500 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7385000 +b11001000101000100100000010011100 | +b11001000101000100100000010011100 B" +0@" +0q +17" +b11111 3" +0<" +0` +b11110 -" +1u +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7385500 +b10011 5 +b10011 L +b10011 W +14 +b0 7 +b0 N +b0 V +06 +b1011101001010100101101011110100 2 +b1011101001010100101101011110100 I +b1011101001010100101101011110100 Z +b1011 3 +b1011 J +b1011 Y +11 +b10011 ' +b10011 D +1) +b0 & +b0 C +0( +b1011101001010100101101011110100 , +b1011101001010100101101011110100 H +b1011 + +b1011 G +1- +1. +0% +#7386000 +0] +b10111101010001101111000000010001 { +b10111101010001101111000000010001 A" +0\ +0[ +1=" +1@" +0h +0g +1;" +1q +07" +b10100 3" +1c +1_ +b1100 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1m +1/" +0w +0," +b11001000101000100100000010011100 0 +b11001000101000100100000010011100 M +b11001000101000100100000010011100 f +b11001000101000100100000010011100 !" +b1011101001010100101101011110100 &" +b1011101001010100101101011110100 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7386010 +b1011101001010100101101011110100 N" +#7386500 +b11010 5 +b11010 L +b11010 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7387000 +1] +0i +1\ +1[ +0=" +0@" +1g +19" +b11111 3" +0c +0_ +b101 0" +1o +b1110110011001100111100100000101 { +b1110110011001100111100100000101 A" +0b +0a +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +b10111101010001101111000000010001 / +b10111101010001101111000000010001 K +b10111101010001101111000000010001 e +b10111101010001101111000000010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7387500 +b10100 5 +b10100 L +b10100 W +b11101 7 +b11101 N +b11101 V +16 +b10100 ' +b10100 D +b11101 & +b11101 C +1( +1. +0% +#7388000 +1:" +1j +0s +b1111110101011010000101100101100 | +b1111110101011010000101100101100 B" +1h +09" +0q +15" +16" +17" +b1011 0" +0o +b10011100011101110001101110010000 { +b10011100011101110001101110010000 A" +b10 -" +0t +0y +1z +0u +14" +1v +0n +1w +1," +b1110110011001100111100100000101 / +b1110110011001100111100100000101 K +b1110110011001100111100100000101 e +b1110110011001100111100100000101 "" +b10100 ~ +b10100 )" +b10100 ." +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#7388500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10111110101001101110111101011011 2 +b10111110101001101110111101011011 I +b10111110101001101110111101011011 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10111110101001101110111101011011 , +b10111110101001101110111101011011 H +b110 + +b110 G +1- +1. +0% +#7389000 +0] +1i +1s +0\ +1>" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +06" +07" +b11001 3" +1^ +1_ +b11111 0" +0j +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0/" +0w +0," +b10111110101001101110111101011011 &" +b10111110101001101110111101011011 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011100011101110001101110010000 / +b10011100011101110001101110010000 K +b10011100011101110001101110010000 e +b10011100011101110001101110010000 "" +b1111110101011010000101100101100 0 +b1111110101011010000101100101100 M +b1111110101011010000101100101100 f +b1111110101011010000101100101100 !" +0. +1% +#7389010 +b10111110101001101110111101011011 I" +#7389500 +b1011110111011001000001011110100 2 +b1011110111011001000001011110100 I +b1011110111011001000001011110100 Z +b11001 3 +b11001 J +b11001 Y +b1011110111011001000001011110100 , +b1011110111011001000001011110100 H +b11001 + +b11001 G +1. +0% +#7390000 +1=" +0_ +1c +1\ +0[ +0>" +b110 3" +1<" +1` +0^ +0b +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011110111011001000001011110100 &" +b1011110111011001000001011110100 ?" +b11001 %" +b11001 *" +b11001 1" +0. +1% +#7390010 +b1011110111011001000001011110100 \" +#7390500 +b1111 5 +b1111 L +b1111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7391000 +1] +0i +b1010110010101111010011001000001 { +b1010110010101111010011001000001 A" +1[ +0=" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0c +0<" +0` +b10000 0" +0j +0o +1p +1k +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#7391500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +1. +0% +#7392000 +1i +b1110010010101011110101000000111 | +b1110010010101011110101000000111 B" +1h +1g +09" +0:" +0;" +0q +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +0u +14" +1v +0n +0m +0/" +1w +1," +b1010110010101111010011001000001 / +b1010110010101111010011001000001 K +b1010110010101111010011001000001 e +b1010110010101111010011001000001 "" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#7392500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7393000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110010010101011110101000000111 0 +b1110010010101011110101000000111 M +b1110010010101011110101000000111 f +b1110010010101011110101000000111 !" +0. +1% +#7393500 +1. +0% +#7394000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7394500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#7395000 +0s +b11110110011110101010000110110100 | +b11110110011110101010000110110100 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#7395500 +b11010 7 +b11010 N +b11010 V +b11101000110000011101011101110011 2 +b11101000110000011101011101110011 I +b11101000110000011101011101110011 Z +b10001 3 +b10001 J +b10001 Y +11 +b11010 & +b11010 C +b11101000110000011101011101110011 , +b11101000110000011101011101110011 H +b10001 + +b10001 G +1- +1. +0% +#7396000 +0[ +1@" +1q +b1110 3" +0_ +1<" +1` +b101 -" +b1110110011001100111100100000101 | +b1110110011001100111100100000101 B" +1a +12" +0w +b11110110011110101010000110110100 0 +b11110110011110101010000110110100 M +b11110110011110101010000110110100 f +b11110110011110101010000110110100 !" +b11101000110000011101011101110011 &" +b11101000110000011101011101110011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11010 } +b11010 (" +b11010 +" +0. +1% +#7396010 +b11101000110000011101011101110011 T" +#7396500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7397000 +1s +1[ +0@" +1r +05" +07" +b11111 3" +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1110110011001100111100100000101 0 +b1110110011001100111100100000101 M +b1110110011001100111100100000101 f +b1110110011001100111100100000101 !" +0. +1% +#7397500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#7398000 +b10111101010001101111000000010001 { +b10111101010001101111000000010001 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#7398500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7399000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10111101010001101111000000010001 / +b10111101010001101111000000010001 K +b10111101010001101111000000010001 e +b10111101010001101111000000010001 "" +0. +1% +#7399500 +1. +0% +#7400000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7400500 +b11000 7 +b11000 N +b11000 V +16 +b11000 & +b11000 C +1( +1. +0% +#7401000 +0s +b1111110111011111011110010011 | +b1111110111011111011110010011 B" +15" +17" +b111 -" +1y +0u +14" +1v +1," +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#7401500 +b0 7 +b0 N +b0 V +06 +b1100101000111110010111011000111 2 +b1100101000111110010111011000111 I +b1100101000111110010111011000111 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 & +b0 C +0( +b1100101000111110010111011000111 , +b1100101000111110010111011000111 H +b10111 + +b10111 G +1- +1. +0% +#7402000 +0] +1s +0\ +0[ +1>" +1@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1000 3" +1^ +0_ +1<" +1` +b11111 -" +0y +04" +0v +1b +1a +12" +0," +b1111110111011111011110010011 0 +b1111110111011111011110010011 M +b1111110111011111011110010011 f +b1111110111011111011110010011 !" +b1100101000111110010111011000111 &" +b1100101000111110010111011000111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7402010 +b1100101000111110010111011000111 Z" +#7402500 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7403000 +1] +0s +b11100001000000111101010100110001 | +b11100001000000111101010100110001 B" +1\ +1[ +0>" +0@" +16" +17" +b11111 3" +0^ +0<" +0` +b11011 -" +1t +1u +0b +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7403500 +b111 5 +b111 L +b111 W +14 +b1 7 +b1 N +b1 V +b10111000010000000011011001110101 2 +b10111000010000000011011001110101 I +b10111000010000000011011001110101 Z +b11100 3 +b11100 J +b11100 Y +11 +b111 ' +b111 D +1) +b1 & +b1 C +b10111000010000000011011001110101 , +b10111000010000000011011001110101 H +b11100 + +b11100 G +1- +1. +0% +#7404000 +0] +0i +b1011000010110001101000110100000 { +b1011000010110001101000110100000 A" +1s +1=" +1>" +1@" +0h +0g +1:" +1;" +0q +06" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11000 0" +1j +1k +b11110 -" +0t +b11001000101000100100000010011100 | +b11001000101000100100000010011100 B" +12" +1n +1m +1/" +1w +b11100001000000111101010100110001 0 +b11100001000000111101010100110001 M +b11100001000000111101010100110001 f +b11100001000000111101010100110001 !" +b10111000010000000011011001110101 &" +b10111000010000000011011001110101 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b1 } +b1 (" +b1 +" +0. +1% +#7404010 +b10111000010000000011011001110101 _" +#7404500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10001 & +b10001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7405000 +1] +1i +0u +0=" +0>" +0@" +1h +1g +0:" +0;" +b11101000110000011101011101110011 | +b11101000110000011101011101110011 B" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +14" +1v +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +b1011000010110001101000110100000 / +b1011000010110001101000110100000 K +b1011000010110001101000110100000 e +b1011000010110001101000110100000 "" +b11001000101000100100000010011100 0 +b11001000101000100100000010011100 M +b11001000101000100100000010011100 f +b11001000101000100100000010011100 !" +0. +1% +#7405500 +b0 7 +b0 N +b0 V +06 +b11011000100000000001010010111100 2 +b11011000100000000001010010111100 I +b11011000100000000001010010111100 Z +b100 3 +b100 J +b100 Y +11 +b0 & +b0 C +0( +b11011000100000000001010010111100 , +b11011000100000000001010010111100 H +b100 + +b100 G +1- +1. +0% +#7406000 +0] +1>" +1@" +1q +07" +b11011 3" +1^ +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101000110000011101011101110011 0 +b11101000110000011101011101110011 M +b11101000110000011101011101110011 f +b11101000110000011101011101110011 !" +b11011000100000000001010010111100 &" +b11011000100000000001010010111100 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7406010 +b11011000100000000001010010111100 G" +#7406500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7407000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7407500 +b10000 5 +b10000 L +b10000 W +14 +b1100 7 +b1100 N +b1100 V +16 +b10000 ' +b10000 D +1) +b1100 & +b1100 C +1( +1. +0% +#7408000 +b11110011110011000110101010011111 { +b11110011110011000110101010011111 A" +0s +b11011111011100001001000011001000 | +b11011111011100001001000011001000 B" +1;" +15" +16" +17" +b1111 0" +0k +18" +1l +b10011 -" +0t +0y +1z +1u +1/" +1," +b10000 ~ +b10000 )" +b10000 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#7408500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b101111100000101001100000110100 2 +b101111100000101001100000110100 I +b101111100000101001100000110100 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b101111100000101001100000110100 , +b101111100000101001100000110100 H +b10111 + +b10111 G +1- +1. +0% +#7409000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +05" +06" +07" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +08" +0l +b11111 -" +0z +0u +1b +1a +12" +0/" +0," +b101111100000101001100000110100 &" +b101111100000101001100000110100 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110011110011000110101010011111 / +b11110011110011000110101010011111 K +b11110011110011000110101010011111 e +b11110011110011000110101010011111 "" +b11011111011100001001000011001000 0 +b11011111011100001001000011001000 M +b11011111011100001001000011001000 f +b11011111011100001001000011001000 !" +0. +1% +#7409010 +b101111100000101001100000110100 Z" +#7409500 +b11100 7 +b11100 N +b11100 V +16 +b1000001001010110111010111111110 2 +b1000001001010110111010111111110 I +b1000001001010110111010111111110 Z +b11011 3 +b11011 J +b11011 Y +b11100 & +b11100 C +1( +b1000001001010110111010111111110 , +b1000001001010110111010111111110 H +b11011 + +b11011 G +1. +0% +#7410000 +1=" +1c +0s +b10111000010000000011011001110101 | +b10111000010000000011011001110101 B" +0>" +15" +16" +17" +b100 3" +0^ +b11 -" +0t +0y +1z +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000001001010110111010111111110 &" +b1000001001010110111010111111110 ?" +b11011 %" +b11011 *" +b11011 1" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#7410010 +b1000001001010110111010111111110 ^" +#7410500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7411000 +1] +1s +1\ +1[ +0=" +0@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0<" +0` +b11111 -" +0z +04" +0v +0b +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10111000010000000011011001110101 0 +b10111000010000000011011001110101 M +b10111000010000000011011001110101 f +b10111000010000000011011001110101 !" +0. +1% +#7411500 +1. +0% +#7412000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7412500 +1. +0% +#7413000 +0. +1% +#7413500 +1. +0% +#7414000 +0. +1% +#7414500 +b1100 5 +b1100 L +b1100 W +14 +b10101 7 +b10101 N +b10101 V +16 +b1100 ' +b1100 D +1) +b10101 & +b10101 C +1( +1. +0% +#7415000 +0i +b11011111011100001001000011001000 { +b11011111011100001001000011001000 A" +0s +b110011111110110100000011010 | +b110011111110110100000011010 B" +19" +1:" +1;" +0q +16" +17" +b10011 0" +0j +0o +1p +1k +b1010 -" +1t +0u +14" +1v +1/" +1w +1," +b1100 ~ +b1100 )" +b1100 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#7415500 +b1111 5 +b1111 L +b1111 W +b11 7 +b11 N +b11 V +b11001011100001001111000101110011 2 +b11001011100001001111000101110011 I +b11001011100001001111000101110011 Z +b11000 3 +b11000 J +b11000 Y +11 +b1111 ' +b1111 D +b11 & +b11 C +b11001011100001001111000101110011 , +b11001011100001001111000101110011 H +b11000 + +b11000 G +1- +1. +0% +#7416000 +0] +1u +1s +1=" +1@" +0h +0g +0r +17" +06" +b111 3" +1c +0_ +1<" +1` +b10000 0" +b1010110010101111010011001000001 { +b1010110010101111010011001000001 A" +b11100 -" +04" +0v +0t +b1000100000001111010001001011010 | +b1000100000001111010001001011010 B" +12" +1n +1m +1x +b11011111011100001001000011001000 / +b11011111011100001001000011001000 K +b11011111011100001001000011001000 e +b11011111011100001001000011001000 "" +b110011111110110100000011010 0 +b110011111110110100000011010 M +b110011111110110100000011010 f +b110011111110110100000011010 !" +b11001011100001001111000101110011 &" +b11001011100001001111000101110011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +b11 } +b11 (" +b11 +" +0. +1% +#7416010 +b11001011100001001111000101110011 [" +#7416500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7417000 +1] +1i +0=" +0@" +1h +1g +09" +0:" +0;" +1r +1q +07" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1010110010101111010011001000001 / +b1010110010101111010011001000001 K +b1010110010101111010011001000001 e +b1010110010101111010011001000001 "" +b1000100000001111010001001011010 0 +b1000100000001111010001001011010 M +b1000100000001111010001001011010 f +b1000100000001111010001001011010 !" +0. +1% +#7417500 +b1100 5 +b1100 L +b1100 W +14 +b10001 7 +b10001 N +b10001 V +16 +b1100 ' +b1100 D +1) +b10001 & +b10001 C +1( +1. +0% +#7418000 +0i +b11011111011100001001000011001000 { +b11011111011100001001000011001000 A" +b11101000110000011101011101110011 | +b11101000110000011101011101110011 B" +19" +1:" +1;" +0q +17" +b10011 0" +0j +0o +1p +1k +b1110 -" +0u +14" +1v +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#7418500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7419000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0:" +0;" +1q +07" +b11111 0" +0p +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11011111011100001001000011001000 / +b11011111011100001001000011001000 K +b11011111011100001001000011001000 e +b11011111011100001001000011001000 "" +b11101000110000011101011101110011 0 +b11101000110000011101011101110011 M +b11101000110000011101011101110011 f +b11101000110000011101011101110011 !" +0. +1% +#7419500 +b11100100011101101101011111100000 2 +b11100100011101101101011111100000 I +b11100100011101101101011111100000 Z +b101 3 +b101 J +b101 Y +11 +b11100100011101101101011111100000 , +b11100100011101101101011111100000 H +b101 + +b101 G +1- +1. +0% +#7420000 +0] +0[ +1>" +1@" +b11010 3" +1^ +1_ +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100100011101101101011111100000 &" +b11100100011101101101011111100000 ?" +b101 %" +b101 *" +b101 1" +1'" +0. +1% +#7420010 +b11100100011101101101011111100000 H" +#7420500 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7421000 +1] +0s +b11011000100000000001010010111100 | +b11011000100000000001010010111100 B" +1[ +0>" +0@" +16" +17" +b11111 3" +0^ +0_ +b11011 -" +1t +1u +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#7421500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b1110110001001101000000000100011 2 +b1110110001001101000000000100011 I +b1110110001001101000000000100011 Z +11 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +b1110110001001101000000000100011 , +b1110110001001101000000000100011 H +1- +1. +0% +#7422000 +0i +b11110101100111001101010110000101 { +b11110101100111001101010110000101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +0h +1:" +1;" +06" +07" +1_ +b1001 0" +1j +0k +18" +1l +b11111 -" +0t +0u +12" +1n +1/" +0," +b11011000100000000001010010111100 0 +b11011000100000000001010010111100 M +b11011000100000000001010010111100 f +b11011000100000000001010010111100 !" +b1110110001001101000000000100011 &" +b1110110001001101000000000100011 ?" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7422010 +b1110110001001101000000000100011 C" +#7422500 +b11011 5 +b11011 L +b11011 W +b11011110101010110100010011000001 2 +b11011110101010110100010011000001 I +b11011110101010110100010011000001 Z +b100 3 +b100 J +b100 Y +b11011 ' +b11011 D +b11011110101010110100010011000001 , +b11011110101010110100010011000001 H +b100 + +b100 G +1. +0% +#7423000 +19" +0] +1o +1>" +0g +0:" +b11011 3" +1^ +b100 0" +0j +b1000001001010110111010111111110 { +b1000001001010110111010111111110 A" +1m +b11011110101010110100010011000001 &" +b11011110101010110100010011000001 ?" +b100 %" +b100 *" +b100 1" +b11011 ~ +b11011 )" +b11011 ." +b11110101100111001101010110000101 / +b11110101100111001101010110000101 K +b11110101100111001101010110000101 e +b11110101100111001101010110000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7423010 +b11011110101010110100010011000001 G" +#7423500 +b0 5 +b0 L +b0 W +04 +b110110001111011011000000001110 2 +b110110001111011011000000001110 I +b110110001111011011000000001110 Z +b0 ' +b0 D +0) +b110110001111011011000000001110 , +b110110001111011011000000001110 H +1. +0% +#7424000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b1000001001010110111010111111110 / +b1000001001010110111010111111110 K +b1000001001010110111010111111110 e +b1000001001010110111010111111110 "" +b110110001111011011000000001110 &" +b110110001111011011000000001110 ?" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7424010 +b110110001111011011000000001110 G" +#7424500 +b1100 5 +b1100 L +b1100 W +14 +b11011 7 +b11011 N +b11011 V +16 +b1000000001101000001100000011111 2 +b1000000001101000001100000011111 I +b1000000001101000001100000011111 Z +b11100 3 +b11100 J +b11100 Y +b1100 ' +b1100 D +1) +b11011 & +b11011 C +1( +b1000000001101000001100000011111 , +b1000000001101000001100000011111 H +b11100 + +b11100 G +1. +0% +#7425000 +0_ +0^ +0i +b11011111011100001001000011001000 { +b11011111011100001001000011001000 A" +0s +b1000001001010110111010111111110 | +b1000001001010110111010111111110 B" +1=" +19" +1:" +1;" +0r +0q +15" +17" +b11 3" +1<" +1` +1d +b10011 0" +0j +0o +1p +1k +b100 -" +1y +0u +14" +1v +1/" +1x +1w +1," +b1000000001101000001100000011111 &" +b1000000001101000001100000011111 ?" +b11100 %" +b11100 *" +b11100 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7425010 +b1000000001101000001100000011111 _" +#7425500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7426000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0=" +0>" +0@" +09" +0:" +0;" +1r +1q +05" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0p +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0/" +0x +0w +0," +b11011111011100001001000011001000 / +b11011111011100001001000011001000 K +b11011111011100001001000011001000 e +b11011111011100001001000011001000 "" +b1000001001010110111010111111110 0 +b1000001001010110111010111111110 M +b1000001001010110111010111111110 f +b1000001001010110111010111111110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7426500 +b10110 5 +b10110 L +b10110 W +14 +b1100 7 +b1100 N +b1100 V +16 +b10110 ' +b10110 D +1) +b1100 & +b1100 C +1( +1. +0% +#7427000 +0i +b11110101100111001101010110000101 { +b11110101100111001101010110000101 A" +0s +b11011111011100001001000011001000 | +b11011111011100001001000011001000 B" +0h +1:" +1;" +15" +16" +17" +b1001 0" +1j +0k +18" +1l +b10011 -" +0t +0y +1z +1u +1n +1/" +1," +b10110 ~ +b10110 )" +b10110 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7427500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7428000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +0:" +0;" +05" +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +0u +0n +0/" +0," +b11110101100111001101010110000101 / +b11110101100111001101010110000101 K +b11110101100111001101010110000101 e +b11110101100111001101010110000101 "" +b11011111011100001001000011001000 0 +b11011111011100001001000011001000 M +b11011111011100001001000011001000 f +b11011111011100001001000011001000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7428500 +b10010 7 +b10010 N +b10010 V +16 +b11101010001101010110110110011011 2 +b11101010001101010110110110011011 I +b11101010001101010110110110011011 Z +11 +b10010 & +b10010 C +1( +b11101010001101010110110110011011 , +b11101010001101010110110110011011 H +1- +1. +0% +#7429000 +b1111101111110010001111000010100 | +b1111101111110010001111000010100 B" +1@" +0r +17" +1_ +b1101 -" +0u +14" +1v +12" +1x +1," +b11101010001101010110110110011011 &" +b11101010001101010110110110011011 ?" +1'" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7429010 +b11101010001101010110110110011011 C" +#7429500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#7430000 +0@" +1r +07" +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0," +b1111101111110010001111000010100 0 +b1111101111110010001111000010100 M +b1111101111110010001111000010100 f +b1111101111110010001111000010100 !" +b0 &" +b0 ?" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7430500 +b110001110000110110110101100110 2 +b110001110000110110110101100110 I +b110001110000110110110101100110 Z +b10111 3 +b10111 J +b10111 Y +11 +b110001110000110110110101100110 , +b110001110000110110110101100110 H +b10111 + +b10111 G +1- +1. +0% +#7431000 +0] +0\ +0[ +1>" +1@" +b1000 3" +1^ +0_ +1<" +1` +1b +1a +12" +b110001110000110110110101100110 &" +b110001110000110110110101100110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7431010 +b110001110000110110110101100110 Z" +#7431500 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7432000 +1] +0s +b11011111011100001001000011001000 | +b11011111011100001001000011001000 B" +1\ +1[ +0>" +0@" +15" +16" +17" +b11111 3" +0^ +0<" +0` +b10011 -" +0t +0y +1z +1u +0b +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#7432500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +1. +0% +#7433000 +0i +b1110110011001100111100100000101 { +b1110110011001100111100100000101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +19" +1;" +05" +06" +07" +b101 0" +1o +0k +18" +1l +b11111 -" +0z +0u +1n +1/" +0," +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11011111011100001001000011001000 0 +b11011111011100001001000011001000 M +b11011111011100001001000011001000 f +b11011111011100001001000011001000 !" +0. +1% +#7433500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b100101011010001111101101001101 2 +b100101011010001111101101001101 I +b100101011010001111101101001101 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b100101011010001111101101001101 , +b100101011010001111101101001101 H +b1000 + +b1000 G +1- +1. +0% +#7434000 +0] +1i +0s +b11011111011100001001000011001000 | +b11011111011100001001000011001000 B" +1=" +1@" +1h +09" +0;" +15" +16" +17" +b10111 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +12" +0n +0/" +1," +b1110110011001100111100100000101 / +b1110110011001100111100100000101 K +b1110110011001100111100100000101 e +b1110110011001100111100100000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100101011010001111101101001101 &" +b100101011010001111101101001101 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#7434010 +b100101011010001111101101001101 K" +#7434500 +b10 7 +b10 N +b10 V +b11110011011001011101110001111011 2 +b11110011011001011101110001111011 I +b11110011011001011101110001111011 Z +b111 3 +b111 J +b111 Y +b10 & +b10 C +b11110011011001011101110001111011 , +b11110011011001011101110001111011 H +b111 + +b111 G +1. +0% +#7435000 +1>" +1^ +1s +0\ +0[ +0=" +0r +05" +06" +b11000 3" +0c +b11101 -" +0z +b11001010011000011011111000011101 | +b11001010011000011011111000011101 B" +1b +1a +1x +b11110011011001011101110001111011 &" +b11110011011001011101110001111011 ?" +b111 %" +b111 *" +b111 1" +b10 } +b10 (" +b10 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011111011100001001000011001000 0 +b11011111011100001001000011001000 M +b11011111011100001001000011001000 f +b11011111011100001001000011001000 !" +0. +1% +#7435010 +b11110011011001011101110001111011 J" +#7435500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7436000 +1] +1\ +1[ +0>" +0@" +1r +07" +b11111 3" +0^ +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0," +b11001010011000011011111000011101 0 +b11001010011000011011111000011101 M +b11001010011000011011111000011101 f +b11001010011000011011111000011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7436500 +b11100 5 +b11100 L +b11100 W +14 +b11010 7 +b11010 N +b11010 V +16 +b1001010010111101001111110011010 2 +b1001010010111101001111110011010 I +b1001010010111101001111110011010 Z +b1010 3 +b1010 J +b1010 Y +11 +b11100 ' +b11100 D +1) +b11010 & +b11010 C +1( +b1001010010111101001111110011010 , +b1001010010111101001111110011010 H +b1010 + +b1010 G +1- +1. +0% +#7437000 +0] +0i +b1000000001101000001100000011111 { +b1000000001101000001100000011111 A" +0s +b1110110011001100111100100000101 | +b1110110011001100111100100000101 B" +0\ +1=" +1@" +19" +1:" +1;" +0r +15" +17" +b10101 3" +1c +1_ +b11 0" +0j +0o +1p +0k +18" +1l +b101 -" +1y +0u +14" +1v +1b +12" +1/" +1x +1," +b1001010010111101001111110011010 &" +b1001010010111101001111110011010 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7437010 +b1001010010111101001111110011010 M" +#7437500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7438000 +1] +1i +1s +1\ +0=" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +05" +07" +b11111 3" +0c +0_ +b11111 0" +0p +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0/" +0x +0," +b1000000001101000001100000011111 / +b1000000001101000001100000011111 K +b1000000001101000001100000011111 e +b1000000001101000001100000011111 "" +b1110110011001100111100100000101 0 +b1110110011001100111100100000101 M +b1110110011001100111100100000101 f +b1110110011001100111100100000101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7438500 +1. +0% +#7439000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7439500 +b10111101000101000111110111101 2 +b10111101000101000111110111101 I +b10111101000101000111110111101 Z +b111 3 +b111 J +b111 Y +11 +b10111101000101000111110111101 , +b10111101000101000111110111101 H +b111 + +b111 G +1- +1. +0% +#7440000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b10111101000101000111110111101 &" +b10111101000101000111110111101 ?" +b111 %" +b111 *" +b111 1" +1'" +0. +1% +#7440010 +b10111101000101000111110111101 J" +#7440500 +b11111 5 +b11111 L +b11111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7441000 +1] +0i +b10010011011010111100000100101110 { +b10010011011010111100000100101110 A" +1\ +1[ +0>" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0^ +0_ +b0 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#7441500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7442000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b10010011011010111100000100101110 / +b10010011011010111100000100101110 K +b10010011011010111100000100101110 e +b10010011011010111100000100101110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7442500 +1. +0% +#7443000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7443500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#7444000 +0i +b1000000001101000001100000011111 { +b1000000001101000001100000011111 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#7444500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7445000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1000000001101000001100000011111 / +b1000000001101000001100000011111 K +b1000000001101000001100000011111 e +b1000000001101000001100000011111 "" +0. +1% +#7445500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#7446000 +0s +b10011100011101110001101110010000 | +b10011100011101110001101110010000 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#7446500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +1) +b0 & +b0 C +0( +1. +0% +#7447000 +b11001000101000100100000010011100 { +b11001000101000100100000010011100 A" +1s +0g +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11110 0" +1k +b11111 -" +0t +04" +0v +1m +1/" +0," +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10011100011101110001101110010000 0 +b10011100011101110001101110010000 M +b10011100011101110001101110010000 f +b10011100011101110001101110010000 !" +0. +1% +#7447500 +b101 5 +b101 L +b101 W +b101 ' +b101 D +1. +0% +#7448000 +0i +b11100100011101101101011111100000 { +b11100100011101101101011111100000 A" +1:" +b11010 0" +1j +b11001000101000100100000010011100 / +b11001000101000100100000010011100 K +b11001000101000100100000010011100 e +b11001000101000100100000010011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101 ~ +b101 )" +b101 ." +0. +1% +#7448500 +b10001 5 +b10001 L +b10001 W +b10100 7 +b10100 N +b10100 V +16 +b10001 ' +b10001 D +b10100 & +b10100 C +1( +1. +0% +#7449000 +0k +1i +0s +b10011100011101110001101110010000 | +b10011100011101110001101110010000 B" +b11101000110000011101011101110011 { +b11101000110000011101011101110011 A" +0:" +16" +17" +b1110 0" +18" +1l +0j +b1011 -" +1t +0u +14" +1v +1," +b10001 ~ +b10001 )" +b10001 ." +b10100 } +b10100 (" +b10100 +" +1#" +b11100100011101101101011111100000 / +b11100100011101101101011111100000 K +b11100100011101101101011111100000 e +b11100100011101101101011111100000 "" +0. +1% +#7449500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7450000 +1s +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0t +04" +0v +0," +b11101000110000011101011101110011 / +b11101000110000011101011101110011 K +b11101000110000011101011101110011 e +b11101000110000011101011101110011 "" +b10011100011101110001101110010000 0 +b10011100011101110001101110010000 M +b10011100011101110001101110010000 f +b10011100011101110001101110010000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7450500 +b0 5 +b0 L +b0 W +04 +b101100101110011010101010111 2 +b101100101110011010101010111 I +b101100101110011010101010111 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b101100101110011010101010111 , +b101100101110011010101010111 H +b1 + +b1 G +1- +1. +0% +#7451000 +0[ +1@" +1g +0;" +b11110 3" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0m +0/" +b101100101110011010101010111 &" +b101100101110011010101010111 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7451010 +b101100101110011010101010111 D" +#7451500 +b10111 5 +b10111 L +b10111 W +14 +b10011 7 +b10011 N +b10011 V +16 +b10111010100110000101111100010001 2 +b10111010100110000101111100010001 I +b10111010100110000101111100010001 Z +b11 3 +b11 J +b11 Y +b10111 ' +b10111 D +1) +b10011 & +b10011 C +1( +b10111010100110000101111100010001 , +b10111010100110000101111100010001 H +b11 + +b11 G +1. +0% +#7452000 +0i +b110001110000110110110101100110 { +b110001110000110110110101100110 A" +b10111101010001101111000000010001 | +b10111101010001101111000000010001 B" +0\ +0h +0g +1:" +1;" +0r +0q +17" +b11100 3" +b1000 0" +1j +0k +18" +1l +b1100 -" +0u +14" +1v +1b +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111010100110000101111100010001 &" +b10111010100110000101111100010001 ?" +b11 %" +b11 *" +b11 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#7452010 +b10111010100110000101111100010001 F" +#7452500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7453000 +1i +0s +b1000001001010110111010111111110 | +b1000001001010110111010111111110 B" +1\ +1[ +0@" +1h +1g +0:" +0;" +15" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +1y +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +b110001110000110110110101100110 / +b110001110000110110110101100110 K +b110001110000110110110101100110 e +b110001110000110110110101100110 "" +b10111101010001101111000000010001 0 +b10111101010001101111000000010001 M +b10111101010001101111000000010001 f +b10111101010001101111000000010001 !" +0. +1% +#7453500 +b111 7 +b111 N +b111 V +b100010000011011101010101010010 2 +b100010000011011101010101010010 I +b100010000011011101010101010010 Z +b11100 3 +b11100 J +b11100 Y +11 +b111 & +b111 C +b100010000011011101010101010010 , +b100010000011011101010101010010 H +b11100 + +b11100 G +1- +1. +0% +#7454000 +16" +0] +1u +1t +1=" +1>" +1@" +17" +b10111101000101000111110111101 | +b10111101000101000111110111101 B" +05" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11000 -" +04" +0v +0y +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000001001010110111010111111110 0 +b1000001001010110111010111111110 M +b1000001001010110111010111111110 f +b1000001001010110111010111111110 !" +b100010000011011101010101010010 &" +b100010000011011101010101010010 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b111 } +b111 (" +b111 +" +0. +1% +#7454010 +b100010000011011101010101010010 _" +#7454500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7455000 +1] +0i +b100101011010001111101101001101 { +b100101011010001111101101001101 A" +1s +0=" +0>" +0@" +19" +1;" +1r +1q +06" +07" +b11111 3" +0d +0<" +0` +b10111 0" +1o +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10111101000101000111110111101 0 +b10111101000101000111110111101 M +b10111101000101000111110111101 f +b10111101000101000111110111101 !" +0. +1% +#7455500 +b0 5 +b0 L +b0 W +04 +b1010011001100101100111001110 2 +b1010011001100101100111001110 I +b1010011001100101100111001110 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b1010011001100101100111001110 , +b1010011001100101100111001110 H +b10010 + +b10010 G +1- +1. +0% +#7456000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +1@" +09" +0;" +b1101 3" +0_ +1<" +1` +b11111 0" +0o +0k +1b +12" +0/" +b100101011010001111101101001101 / +b100101011010001111101101001101 K +b100101011010001111101101001101 e +b100101011010001111101101001101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010011001100101100111001110 &" +b1010011001100101100111001110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7456010 +b1010011001100101100111001110 U" +#7456500 +b11011111010011111010010100001000 2 +b11011111010011111010010100001000 I +b11011111010011111010010100001000 Z +b1111 3 +b1111 J +b1111 Y +b11011111010011111010010100001000 , +b11011111010011111010010100001000 H +b1111 + +b1111 G +1. +0% +#7457000 +1_ +0] +0[ +1@" +1=" +1>" +b10000 3" +0<" +0` +0^ +0c +1d +1a +b11011111010011111010010100001000 &" +b11011111010011111010010100001000 ?" +b1111 %" +b1111 *" +b1111 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7457010 +b11011111010011111010010100001000 R" +#7457500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7458000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7458500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#7459000 +b10111010100110000101111100010001 | +b10111010100110000101111100010001 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b11 } +b11 (" +b11 +" +1#" +0. +1% +#7459500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7460000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b10111010100110000101111100010001 0 +b10111010100110000101111100010001 M +b10111010100110000101111100010001 f +b10111010100110000101111100010001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7460500 +b1010010110000110101000101110010 2 +b1010010110000110101000101110010 I +b1010010110000110101000101110010 Z +b111 3 +b111 J +b111 Y +11 +b1010010110000110101000101110010 , +b1010010110000110101000101110010 H +b111 + +b111 G +1- +1. +0% +#7461000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b1010010110000110101000101110010 &" +b1010010110000110101000101110010 ?" +b111 %" +b111 *" +b111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7461010 +b1010010110000110101000101110010 J" +#7461500 +b11111 5 +b11111 L +b11111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7462000 +1] +0i +b10010011011010111100000100101110 { +b10010011011010111100000100101110 A" +1\ +1[ +0>" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0^ +0_ +b0 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#7462500 +b11000 5 +b11000 L +b11000 W +b11000 ' +b11000 D +1. +0% +#7463000 +1o +1h +1g +19" +0:" +b111 0" +0p +b11001011100001001111000101110011 { +b11001011100001001111000101110011 A" +0n +0m +b11000 ~ +b11000 )" +b11000 ." +b10010011011010111100000100101110 / +b10010011011010111100000100101110 K +b10010011011010111100000100101110 e +b10010011011010111100000100101110 "" +0. +1% +#7463500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7464000 +1i +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0o +08" +0l +0/" +b11001011100001001111000101110011 / +b11001011100001001111000101110011 K +b11001011100001001111000101110011 e +b11001011100001001111000101110011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7464500 +b111011100111101101100100000000 2 +b111011100111101101100100000000 I +b111011100111101101100100000000 Z +b1001 3 +b1001 J +b1001 Y +11 +b111011100111101101100100000000 , +b111011100111101101100100000000 H +b1001 + +b1001 G +1- +1. +0% +#7465000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b111011100111101101100100000000 &" +b111011100111101101100100000000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7465010 +b111011100111101101100100000000 L" +#7465500 +b10010 5 +b10010 L +b10010 W +14 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7466000 +1] +b1010011001100101100111001110 { +b1010011001100101100111001110 A" +0s +b110110001111011011000000001110 | +b110110001111011011000000001110 B" +1[ +0=" +0@" +0h +1;" +16" +17" +b11111 3" +0c +0_ +b1101 0" +0k +18" +1l +b11011 -" +1t +1u +0a +02" +1n +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#7466500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7467000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +0;" +06" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +0n +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1010011001100101100111001110 / +b1010011001100101100111001110 K +b1010011001100101100111001110 e +b1010011001100101100111001110 "" +b110110001111011011000000001110 0 +b110110001111011011000000001110 M +b110110001111011011000000001110 f +b110110001111011011000000001110 !" +0. +1% +#7467500 +b10000 7 +b10000 N +b10000 V +16 +b111110101101101100101111110011 2 +b111110101101101100101111110011 I +b111110101101101100101111110011 Z +b10 3 +b10 J +b10 Y +11 +b10000 & +b10000 C +1( +b111110101101101100101111110011 , +b111110101101101100101111110011 H +b10 + +b10 G +1- +1. +0% +#7468000 +b11110011110011000110101010011111 | +b11110011110011000110101010011111 B" +0\ +1@" +17" +b11101 3" +1_ +b1111 -" +0u +14" +1v +1b +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111110101101101100101111110011 &" +b111110101101101100101111110011 ?" +b10 %" +b10 *" +b10 1" +1'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#7468010 +b111110101101101100101111110011 E" +#7468500 +b0 7 +b0 N +b0 V +06 +b1101011000011100010011101001110 2 +b1101011000011100010011101001110 I +b1101011000011100010011101001110 Z +b11011 3 +b11011 J +b11011 Y +b0 & +b0 C +0( +b1101011000011100010011101001110 , +b1101011000011100010011101001110 H +b11011 + +b11011 G +1. +0% +#7469000 +0_ +0] +0[ +1=" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b100 3" +1<" +1` +1c +b11111 -" +04" +0v +1a +0," +b1101011000011100010011101001110 &" +b1101011000011100010011101001110 ?" +b11011 %" +b11011 *" +b11011 1" +b0 } +b0 (" +b0 +" +0#" +b11110011110011000110101010011111 0 +b11110011110011000110101010011111 M +b11110011110011000110101010011111 f +b11110011110011000110101010011111 !" +0. +1% +#7469010 +b1101011000011100010011101001110 ^" +#7469500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7470000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7470500 +b1010 5 +b1010 L +b1010 W +14 +b10000000011110011100110001101101 2 +b10000000011110011100110001101101 I +b10000000011110011100110001101101 Z +b1001 3 +b1001 J +b1001 Y +11 +b1010 ' +b1010 D +1) +b10000000011110011100110001101101 , +b10000000011110011100110001101101 H +b1001 + +b1001 G +1- +1. +0% +#7471000 +0] +0i +b1001010010111101001111110011010 { +b1001010010111101001111110011010 A" +0[ +1=" +1@" +0h +19" +1;" +b10110 3" +1c +1_ +b10101 0" +1o +1k +1a +12" +1n +1/" +b10000000011110011100110001101101 &" +b10000000011110011100110001101101 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#7471010 +b10000000011110011100110001101101 L" +#7471500 +b1110 5 +b1110 L +b1110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7472000 +1] +0o +b1000111100000111000011110100101 { +b1000111100000111000011110100101 A" +1[ +0=" +0@" +1:" +b11111 3" +0c +0_ +b10001 0" +1p +0a +02" +b1001010010111101001111110011010 / +b1001010010111101001111110011010 K +b1001010010111101001111110011010 e +b1001010010111101001111110011010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +0. +1% +#7472500 +b10111 5 +b10111 L +b10111 W +b10100 7 +b10100 N +b10100 V +16 +b10111 ' +b10111 D +b10100 & +b10100 C +1( +1. +0% +#7473000 +0k +1j +0s +b10011100011101110001101110010000 | +b10011100011101110001101110010000 B" +0g +09" +1:" +16" +17" +b1000 0" +18" +1l +0p +b110001110000110110110101100110 { +b110001110000110110110101100110 A" +b1011 -" +1t +0u +14" +1v +1m +1," +b10111 ~ +b10111 )" +b10111 ." +b10100 } +b10100 (" +b10100 +" +1#" +b1000111100000111000011110100101 / +b1000111100000111000011110100101 K +b1000111100000111000011110100101 e +b1000111100000111000011110100101 "" +0. +1% +#7473500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b0 ' +b0 D +0) +b1 & +b1 C +1. +0% +#7474000 +1i +1u +1s +1h +1g +0:" +0;" +0q +17" +06" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +04" +0v +0t +b101100101110011010101010111 | +b101100101110011010101010111 B" +0n +0m +0/" +1w +b110001110000110110110101100110 / +b110001110000110110110101100110 K +b110001110000110110110101100110 e +b110001110000110110110101100110 "" +b10011100011101110001101110010000 0 +b10011100011101110001101110010000 M +b10011100011101110001101110010000 f +b10011100011101110001101110010000 !" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +0. +1% +#7474500 +b11000 5 +b11000 L +b11000 W +14 +b11000 7 +b11000 N +b11000 V +b11000 ' +b11000 D +1) +b11000 & +b11000 C +1. +0% +#7475000 +0i +b11001011100001001111000101110011 { +b11001011100001001111000101110011 A" +0u +0s +19" +1;" +1q +15" +b111 0" +1o +0k +18" +1l +b111 -" +14" +1v +1y +b11001011100001001111000101110011 | +b11001011100001001111000101110011 B" +1/" +0w +b11000 ~ +b11000 )" +b11000 ." +1$" +b11000 } +b11000 (" +b11000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101100101110011010101010111 0 +b101100101110011010101010111 M +b101100101110011010101010111 f +b101100101110011010101010111 !" +0. +1% +#7475500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10100010101111010101101101011 2 +b10100010101111010101101101011 I +b10100010101111010101101101011 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10100010101111010101101101011 , +b10100010101111010101101101011 H +b10001 + +b10001 G +1- +1. +0% +#7476000 +1i +1s +0[ +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1110 3" +0_ +1<" +1` +b11111 0" +0o +08" +0l +b11111 -" +0y +04" +0v +1a +12" +0/" +0," +b11001011100001001111000101110011 / +b11001011100001001111000101110011 K +b11001011100001001111000101110011 e +b11001011100001001111000101110011 "" +b11001011100001001111000101110011 0 +b11001011100001001111000101110011 M +b11001011100001001111000101110011 f +b11001011100001001111000101110011 !" +b10100010101111010101101101011 &" +b10100010101111010101101101011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7476010 +b10100010101111010101101101011 T" +#7476500 +b1111110001001011100000010011101 2 +b1111110001001011100000010011101 I +b1111110001001011100000010011101 Z +b11111 3 +b11111 J +b11111 Y +b1111110001001011100000010011101 , +b1111110001001011100000010011101 H +b11111 + +b11111 G +1. +0% +#7477000 +0] +0\ +1=" +1>" +b0 3" +0^ +0c +1d +1b +b1111110001001011100000010011101 &" +b1111110001001011100000010011101 ?" +b11111 %" +b11111 *" +b11111 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7477500 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7478000 +1] +0s +b11001011100001001111000101110011 | +b11001011100001001111000101110011 B" +1\ +1[ +0=" +0>" +0@" +15" +17" +b11111 3" +0d +0<" +0` +b111 -" +1y +0u +14" +1v +0b +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#7478500 +b1101 7 +b1101 N +b1101 V +b1101 & +b1101 C +1. +0% +#7479000 +1u +0y +0q +17" +16" +b10010 -" +04" +0v +1z +b101111101000100010001101001110 | +b101111101000100010001101001110 B" +1w +b1101 } +b1101 (" +b1101 +" +b11001011100001001111000101110011 0 +b11001011100001001111000101110011 M +b11001011100001001111000101110011 f +b11001011100001001111000101110011 !" +0. +1% +#7479500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7480000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b101111101000100010001101001110 0 +b101111101000100010001101001110 M +b101111101000100010001101001110 f +b101111101000100010001101001110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7480500 +14 +b1 7 +b1 N +b1 V +16 +1) +b1 & +b1 C +1( +1. +0% +#7481000 +b11101010001101010110110110011011 { +b11101010001101010110110110011011 A" +b101100101110011010101010111 | +b101100101110011010101010111 B" +1;" +0q +17" +1k +b11110 -" +1u +1/" +1w +1," +1$" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7481500 +04 +b10010 7 +b10010 N +b10010 V +0) +b10010 & +b10010 C +1. +0% +#7482000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +0;" +0r +1q +0k +b1101 -" +14" +1v +b1010011001100101100111001110 | +b1010011001100101100111001110 B" +0/" +1x +0w +b11101010001101010110110110011011 / +b11101010001101010110110110011011 K +b11101010001101010110110110011011 e +b11101010001101010110110110011011 "" +b101100101110011010101010111 0 +b101100101110011010101010111 M +b101100101110011010101010111 f +b101100101110011010101010111 !" +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#7482500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#7483000 +0i +b110110001111011011000000001110 { +b110110001111011011000000001110 A" +1:" +1;" +1r +07" +b11011 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0," +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010011001100101100111001110 0 +b1010011001100101100111001110 M +b1010011001100101100111001110 f +b1010011001100101100111001110 !" +0. +1% +#7483500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +1. +0% +#7484000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11110011110011000110101010011111 | +b11110011110011000110101010011111 B" +0:" +0;" +17" +b11111 0" +0j +0k +b1111 -" +0u +14" +1v +0/" +1," +b110110001111011011000000001110 / +b110110001111011011000000001110 K +b110110001111011011000000001110 e +b110110001111011011000000001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#7484500 +b11100 5 +b11100 L +b11100 W +14 +b11 7 +b11 N +b11 V +b11100 ' +b11100 D +1) +b11 & +b11 C +1. +0% +#7485000 +0i +b100010000011011101010101010010 { +b100010000011011101010101010010 A" +1u +19" +1:" +1;" +0r +0q +17" +b11 0" +0j +0o +1p +0k +18" +1l +b11100 -" +04" +0v +b10111010100110000101111100010001 | +b10111010100110000101111100010001 B" +1/" +1x +1w +b11100 ~ +b11100 )" +b11100 ." +1$" +b11 } +b11 (" +b11 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110011110011000110101010011111 0 +b11110011110011000110101010011111 M +b11110011110011000110101010011111 f +b11110011110011000110101010011111 !" +0. +1% +#7485500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +b0 ' +b0 D +0) +b1010 & +b1010 C +1. +0% +#7486000 +1i +0s +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +15" +b11111 0" +0p +08" +0l +b10101 -" +1y +b1001010010111101001111110011010 | +b1001010010111101001111110011010 B" +0/" +0w +b100010000011011101010101010010 / +b100010000011011101010101010010 K +b100010000011011101010101010010 e +b100010000011011101010101010010 "" +b10111010100110000101111100010001 0 +b10111010100110000101111100010001 M +b10111010100110000101111100010001 f +b10111010100110000101111100010001 !" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +0. +1% +#7486500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +1. +0% +#7487000 +0i +b101111101000100010001101001110 { +b101111101000100010001101001110 A" +1s +0g +19" +1:" +1;" +1r +05" +07" +b10010 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0," +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001010010111101001111110011010 0 +b1001010010111101001111110011010 M +b1001010010111101001111110011010 f +b1001010010111101001111110011010 !" +0. +1% +#7487500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +1. +0% +#7488000 +1i +0s +b1000111100000111000011110100101 | +b1000111100000111000011110100101 B" +1g +09" +0:" +0;" +0r +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +0t +0y +1z +1u +0m +0/" +1x +1," +b101111101000100010001101001110 / +b101111101000100010001101001110 K +b101111101000100010001101001110 e +b101111101000100010001101001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#7488500 +b1011 7 +b1011 N +b1011 V +b1011 & +b1011 C +1. +0% +#7489000 +1y +0q +15" +06" +b10100 -" +0z +b1011101001010100101101011110100 | +b1011101001010100101101011110100 B" +1w +b1011 } +b1011 (" +b1011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000111100000111000011110100101 0 +b1000111100000111000011110100101 M +b1000111100000111000011110100101 f +b1000111100000111000011110100101 !" +0. +1% +#7489500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7490000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b1011101001010100101101011110100 0 +b1011101001010100101101011110100 M +b1011101001010100101101011110100 f +b1011101001010100101101011110100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7490500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#7491000 +0i +b100101011010001111101101001101 { +b100101011010001111101101001101 A" +19" +1;" +b10111 0" +1o +1k +1/" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7491500 +b1 5 +b1 L +b1 W +b10110 7 +b10110 N +b10110 V +16 +b11111001000000111100011111010101 2 +b11111001000000111100011111010101 I +b11111001000000111100011111010101 Z +b1011 3 +b1011 J +b1011 Y +11 +b1 ' +b1 D +b10110 & +b10110 C +1( +b11111001000000111100011111010101 , +b11111001000000111100011111010101 H +b1011 + +b1011 G +1- +1. +0% +#7492000 +0] +1i +0s +b11110101100111001101010110000101 | +b11110101100111001101010110000101 B" +0\ +0[ +1=" +1@" +0g +09" +0r +16" +17" +b10100 3" +1c +1_ +b11110 0" +0o +b101100101110011010101010111 { +b101100101110011010101010111 A" +b1001 -" +1t +0u +14" +1v +1b +1a +12" +1m +1x +1," +b100101011010001111101101001101 / +b100101011010001111101101001101 K +b100101011010001111101101001101 e +b100101011010001111101101001101 "" +b11111001000000111100011111010101 &" +b11111001000000111100011111010101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b1 ~ +b1 )" +b1 ." +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#7492010 +b11111001000000111100011111010101 N" +#7492500 +b1110 5 +b1110 L +b1110 W +b1100 7 +b1100 N +b1100 V +b1110010000001101111111010110010 2 +b1110010000001101111111010110010 I +b1110010000001101111111010110010 Z +b1001 3 +b1001 J +b1001 Y +b1110 ' +b1110 D +b1100 & +b1100 C +b1110010000001101111111010110010 , +b1110010000001101111111010110010 H +b1001 + +b1001 G +1. +0% +#7493000 +0i +1u +0t +1\ +0h +1g +19" +1:" +1r +17" +15" +b10110 3" +b10001 0" +0j +0o +1p +b1000111100000111000011110100101 { +b1000111100000111000011110100101 A" +b10011 -" +04" +0v +1z +b11011111011100001001000011001000 | +b11011111011100001001000011001000 B" +0b +1n +0m +0x +b1110010000001101111111010110010 &" +b1110010000001101111111010110010 ?" +b1001 %" +b1001 *" +b1001 1" +b1110 ~ +b1110 )" +b1110 ." +b1100 } +b1100 (" +b1100 +" +b101100101110011010101010111 / +b101100101110011010101010111 K +b101100101110011010101010111 e +b101100101110011010101010111 "" +b11110101100111001101010110000101 0 +b11110101100111001101010110000101 M +b11110101100111001101010110000101 f +b11110101100111001101010110000101 !" +0. +1% +#7493010 +b1110010000001101111111010110010 L" +#7493500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7494000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0=" +0@" +05" +06" +07" +b11111 3" +0c +0_ +b11111 -" +0z +0u +0a +02" +0," +b1000111100000111000011110100101 / +b1000111100000111000011110100101 K +b1000111100000111000011110100101 e +b1000111100000111000011110100101 "" +b11011111011100001001000011001000 0 +b11011111011100001001000011001000 M +b11011111011100001001000011001000 f +b11011111011100001001000011001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7494500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7495000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7495500 +b1110 5 +b1110 L +b1110 W +14 +b1001000001110011011000001010100 2 +b1001000001110011011000001010100 I +b1001000001110011011000001010100 Z +b100 3 +b100 J +b100 Y +11 +b1110 ' +b1110 D +1) +b1001000001110011011000001010100 , +b1001000001110011011000001010100 H +b100 + +b100 G +1- +1. +0% +#7496000 +0] +0i +b1000111100000111000011110100101 { +b1000111100000111000011110100101 A" +1>" +1@" +0h +19" +1:" +1;" +b11011 3" +1^ +1_ +b10001 0" +0j +0o +1p +1k +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001000001110011011000001010100 &" +b1001000001110011011000001010100 ?" +b100 %" +b100 *" +b100 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#7496010 +b1001000001110011011000001010100 G" +#7496500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7497000 +1] +1i +0s +b1110110011001100111100100000101 | +b1110110011001100111100100000101 B" +0>" +0@" +1h +09" +0:" +0;" +0r +15" +17" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b101 -" +1y +0u +14" +1v +02" +0n +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b1000111100000111000011110100101 / +b1000111100000111000011110100101 K +b1000111100000111000011110100101 e +b1000111100000111000011110100101 "" +0. +1% +#7497500 +b0 7 +b0 N +b0 V +06 +b11110011011110010000110111000100 2 +b11110011011110010000110111000100 I +b11110011011110010000110111000100 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 & +b0 C +0( +b11110011011110010000110111000100 , +b11110011011110010000110111000100 H +b1000 + +b1000 G +1- +1. +0% +#7498000 +0] +1s +1=" +1@" +1r +05" +07" +b10111 3" +1c +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110110011001100111100100000101 0 +b1110110011001100111100100000101 M +b1110110011001100111100100000101 f +b1110110011001100111100100000101 !" +b11110011011110010000110111000100 &" +b11110011011110010000110111000100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7498010 +b11110011011110010000110111000100 K" +#7498500 +b1011001001001110010011100111111 2 +b1011001001001110010011100111111 I +b1011001001001110010011100111111 Z +b1011 3 +b1011 J +b1011 Y +b1011001001001110010011100111111 , +b1011001001001110010011100111111 H +b1011 + +b1011 G +1. +0% +#7499000 +0\ +0[ +b10100 3" +1b +1a +b1011001001001110010011100111111 &" +b1011001001001110010011100111111 ?" +b1011 %" +b1011 *" +b1011 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7499010 +b1011001001001110010011100111111 N" +#7499500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7500000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7500500 +b1000000011111010100101111000001 2 +b1000000011111010100101111000001 I +b1000000011111010100101111000001 Z +b1100 3 +b1100 J +b1100 Y +11 +b1000000011111010100101111000001 , +b1000000011111010100101111000001 H +b1100 + +b1100 G +1- +1. +0% +#7501000 +0] +1=" +1>" +1@" +b10011 3" +0^ +0c +1d +1_ +12" +b1000000011111010100101111000001 &" +b1000000011111010100101111000001 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +0. +1% +#7501010 +b1000000011111010100101111000001 O" +#7501500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7502000 +1] +0=" +0>" +0@" +b11111 3" +0d +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7502500 +b1100 5 +b1100 L +b1100 W +14 +b1001 7 +b1001 N +b1001 V +16 +b10111101101011111001001110011000 2 +b10111101101011111001001110011000 I +b10111101101011111001001110011000 Z +b10010 3 +b10010 J +b10010 Y +11 +b1100 ' +b1100 D +1) +b1001 & +b1001 C +1( +b10111101101011111001001110011000 , +b10111101101011111001001110011000 H +b10010 + +b10010 G +1- +1. +0% +#7503000 +0i +b1000000011111010100101111000001 { +b1000000011111010100101111000001 A" +0s +b1110010000001101111111010110010 | +b1110010000001101111111010110010 B" +0\ +1@" +19" +1:" +1;" +0q +15" +17" +b1101 3" +0_ +1<" +1` +b10011 0" +0j +0o +1p +1k +b10110 -" +1y +1u +1b +12" +1/" +1w +1," +b10111101101011111001001110011000 &" +b10111101101011111001001110011000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#7503010 +b10111101101011111001001110011000 U" +#7503500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b1011101100100111110100010010000 2 +b1011101100100111110100010010000 I +b1011101100100111110100010010000 Z +b11011 3 +b11011 J +b11011 Y +b100 ' +b100 D +b0 & +b0 C +0( +b1011101100100111110100010010000 , +b1011101100100111110100010010000 H +b11011 + +b11011 G +1. +0% +#7504000 +0] +1j +b1001000001110011011000001010100 { +b1001000001110011011000001010100 A" +1s +0[ +1=" +09" +1:" +1q +05" +07" +b100 3" +1c +b11011 0" +0p +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0w +0," +b1000000011111010100101111000001 / +b1000000011111010100101111000001 K +b1000000011111010100101111000001 e +b1000000011111010100101111000001 "" +b1110010000001101111111010110010 0 +b1110010000001101111111010110010 M +b1110010000001101111111010110010 f +b1110010000001101111111010110010 !" +b1011101100100111110100010010000 &" +b1011101100100111110100010010000 ?" +b11011 %" +b11011 *" +b11011 1" +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7504010 +b1011101100100111110100010010000 ^" +#7504500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7505000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1110110011001100111100100000101 | +b1110110011001100111100100000101 B" +1\ +1[ +0=" +0@" +0:" +0;" +0r +15" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0j +0k +b101 -" +1y +0u +14" +1v +0b +0a +02" +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b1001000001110011011000001010100 / +b1001000001110011011000001010100 K +b1001000001110011011000001010100 e +b1001000001110011011000001010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7505500 +b0 7 +b0 N +b0 V +06 +b11110011010111010010101000011101 2 +b11110011010111010010101000011101 I +b11110011010111010010101000011101 Z +b100 3 +b100 J +b100 Y +11 +b0 & +b0 C +0( +b11110011010111010010101000011101 , +b11110011010111010010101000011101 H +b100 + +b100 G +1- +1. +0% +#7506000 +0] +1s +1>" +1@" +1r +05" +07" +b11011 3" +1^ +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110110011001100111100100000101 0 +b1110110011001100111100100000101 M +b1110110011001100111100100000101 f +b1110110011001100111100100000101 !" +b11110011010111010010101000011101 &" +b11110011010111010010101000011101 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7506010 +b11110011010111010010101000011101 G" +#7506500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7507000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7507500 +1. +0% +#7508000 +0. +1% +#7508500 +b10101 5 +b10101 L +b10101 W +14 +b11011 7 +b11011 N +b11011 V +16 +b10101 ' +b10101 D +1) +b11011 & +b11011 C +1( +1. +0% +#7509000 +0i +b110011111110110100000011010 { +b110011111110110100000011010 A" +0s +b1011101100100111110100010010000 | +b1011101100100111110100010010000 B" +0g +1:" +1;" +0r +0q +15" +17" +b1010 0" +1j +0k +18" +1l +b100 -" +1y +0u +14" +1v +1m +1/" +1x +1w +1," +b10101 ~ +b10101 )" +b10101 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#7509500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b100010111011010001010111001100 2 +b100010111011010001010111001100 I +b100010111011010001010111001100 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b100010111011010001010111001100 , +b100010111011010001010111001100 H +b11001 + +b11001 G +1- +1. +0% +#7510000 +0] +1i +1s +0[ +1=" +1@" +1g +0:" +0;" +1r +1q +05" +07" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0m +0/" +0x +0w +0," +b110011111110110100000011010 / +b110011111110110100000011010 K +b110011111110110100000011010 e +b110011111110110100000011010 "" +b1011101100100111110100010010000 0 +b1011101100100111110100010010000 M +b1011101100100111110100010010000 f +b1011101100100111110100010010000 !" +b100010111011010001010111001100 &" +b100010111011010001010111001100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7510010 +b100010111011010001010111001100 \" +#7510500 +b1100 5 +b1100 L +b1100 W +14 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7511000 +1] +0i +b1000000011111010100101111000001 { +b1000000011111010100101111000001 A" +0s +b1000111100000111000011110100101 | +b1000111100000111000011110100101 B" +1[ +0=" +0@" +19" +1:" +1;" +0r +15" +16" +17" +b11111 3" +0c +0<" +0` +b10011 0" +0j +0o +1p +1k +b10001 -" +0t +0y +1z +1u +0a +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7511500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +b101001001111011000110110011000 2 +b101001001111011000110110011000 I +b101001001111011000110110011000 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b11 & +b11 C +b101001001111011000110110011000 , +b101001001111011000110110011000 H +b10100 + +b10100 G +1- +1. +0% +#7512000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1>" +1@" +09" +0:" +0;" +0q +05" +06" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0p +0k +b11100 -" +0z +b10111010100110000101111100010001 | +b10111010100110000101111100010001 B" +12" +0/" +1w +b1000000011111010100101111000001 / +b1000000011111010100101111000001 K +b1000000011111010100101111000001 e +b1000000011111010100101111000001 "" +b1000111100000111000011110100101 0 +b1000111100000111000011110100101 M +b1000111100000111000011110100101 f +b1000111100000111000011110100101 !" +b101001001111011000110110011000 &" +b101001001111011000110110011000 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +0. +1% +#7512010 +b101001001111011000110110011000 W" +#7512500 +b0 7 +b0 N +b0 V +b100100010001101111001101000000 2 +b100100010001101111001101000000 I +b100100010001101111001101000000 Z +b1001 3 +b1001 J +b1001 Y +b0 & +b0 C +b100100010001101111001101000000 , +b100100010001101111001101000000 H +b1001 + +b1001 G +1. +0% +#7513000 +1=" +1_ +1c +0[ +1@" +0>" +1r +1q +b10110 3" +0<" +0` +0^ +b11111 -" +b11101010001101010110110110011011 | +b11101010001101010110110110011011 B" +1a +0x +0w +b100100010001101111001101000000 &" +b100100010001101111001101000000 ?" +b1001 %" +b1001 *" +b1001 1" +b0 } +b0 (" +b0 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111010100110000101111100010001 0 +b10111010100110000101111100010001 M +b10111010100110000101111100010001 f +b10111010100110000101111100010001 !" +0. +1% +#7513010 +b100100010001101111001101000000 L" +#7513500 +b1010 7 +b1010 N +b1010 V +b1100111100001110000001001000110 2 +b1100111100001110000001001000110 I +b1100111100001110000001001000110 Z +b1110 3 +b1110 J +b1110 Y +b1010 & +b1010 C +b1100111100001110000001001000110 , +b1100111100001110000001001000110 H +b1110 + +b1110 G +1. +0% +#7514000 +0c +0s +0\ +1[ +1>" +0r +15" +b10001 3" +1d +b10101 -" +1y +b1001010010111101001111110011010 | +b1001010010111101001111110011010 B" +1b +0a +1x +b11101010001101010110110110011011 0 +b11101010001101010110110110011011 M +b11101010001101010110110110011011 f +b11101010001101010110110110011011 !" +b1100111100001110000001001000110 &" +b1100111100001110000001001000110 ?" +b1110 %" +b1110 *" +b1110 1" +b1010 } +b1010 (" +b1010 +" +0. +1% +#7514010 +b1100111100001110000001001000110 Q" +#7514500 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7515000 +16" +1] +0u +1t +1\ +0=" +0>" +0@" +0q +05" +b11111 3" +0d +0_ +b1000 -" +14" +1v +0y +b110001110000110110110101100110 | +b110001110000110110110101100110 B" +0b +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +b1001010010111101001111110011010 0 +b1001010010111101001111110011010 M +b1001010010111101001111110011010 f +b1001010010111101001111110011010 !" +0. +1% +#7515500 +b11 7 +b11 N +b11 V +b11 & +b11 C +1. +0% +#7516000 +1u +1s +17" +b10111010100110000101111100010001 | +b10111010100110000101111100010001 B" +06" +b11100 -" +04" +0v +0t +b110001110000110110110101100110 0 +b110001110000110110110101100110 M +b110001110000110110110101100110 f +b110001110000110110110101100110 !" +b11 } +b11 (" +b11 +" +0. +1% +#7516500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7517000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10111010100110000101111100010001 0 +b10111010100110000101111100010001 M +b10111010100110000101111100010001 f +b10111010100110000101111100010001 !" +0. +1% +#7517500 +b111 7 +b111 N +b111 V +16 +b11011110011101011100000001011110 2 +b11011110011101011100000001011110 I +b11011110011101011100000001011110 Z +b101 3 +b101 J +b101 Y +11 +b111 & +b111 C +1( +b11011110011101011100000001011110 , +b11011110011101011100000001011110 H +b101 + +b101 G +1- +1. +0% +#7518000 +0] +0s +b1010010110000110101000101110010 | +b1010010110000110101000101110010 B" +0[ +1>" +1@" +0r +0q +16" +17" +b11010 3" +1^ +1_ +b11000 -" +1t +1u +1a +12" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011110011101011100000001011110 &" +b11011110011101011100000001011110 ?" +b101 %" +b101 *" +b101 1" +1'" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#7518010 +b11011110011101011100000001011110 H" +#7518500 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7519000 +15" +1] +0u +1y +1[ +0>" +0@" +b1011101100100111110100010010000 | +b1011101100100111110100010010000 B" +06" +b11111 3" +0^ +0_ +b100 -" +14" +1v +0t +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +b1010010110000110101000101110010 0 +b1010010110000110101000101110010 M +b1010010110000110101000101110010 f +b1010010110000110101000101110010 !" +0. +1% +#7519500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b1111100001110000111001101110110 2 +b1111100001110000111001101110110 I +b1111100001110000111001101110110 Z +b10111 3 +b10111 J +b10111 Y +11 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b1111100001110000111001101110110 , +b1111100001110000111001101110110 H +b10111 + +b10111 G +1- +1. +0% +#7520000 +0] +b10111101101011111001001110011000 { +b10111101101011111001001110011000 A" +1s +0\ +0[ +1>" +1@" +0h +1;" +1r +1q +05" +07" +b1000 3" +1^ +0_ +1<" +1` +b1101 0" +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1/" +0x +0w +0," +b1011101100100111110100010010000 0 +b1011101100100111110100010010000 M +b1011101100100111110100010010000 f +b1011101100100111110100010010000 !" +b1111100001110000111001101110110 &" +b1111100001110000111001101110110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7520010 +b1111100001110000111001101110110 Z" +#7520500 +b0 5 +b0 L +b0 W +04 +b10010000011011111110101111001011 2 +b10010000011011111110101111001011 I +b10010000011011111110101111001011 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b10010000011011111110101111001011 , +b10010000011011111110101111001011 H +b1 + +b1 G +1. +0% +#7521000 +1_ +1] +1\ +1@" +0>" +1h +0;" +b11110 3" +0<" +0` +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0n +0/" +b10010000011011111110101111001011 &" +b10010000011011111110101111001011 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b10111101101011111001001110011000 / +b10111101101011111001001110011000 K +b10111101101011111001001110011000 e +b10111101101011111001001110011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7521010 +b10010000011011111110101111001011 D" +#7521500 +b101111111100000011000001011 2 +b101111111100000011000001011 I +b101111111100000011000001011 Z +b110 3 +b110 J +b110 Y +b101111111100000011000001011 , +b101111111100000011000001011 H +b110 + +b110 G +1. +0% +#7522000 +0] +0\ +1[ +1>" +b11001 3" +1^ +1b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101111111100000011000001011 &" +b101111111100000011000001011 ?" +b110 %" +b110 *" +b110 1" +0. +1% +#7522010 +b101111111100000011000001011 I" +#7522500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7523000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7523500 +b10011001010000111101001000110011 2 +b10011001010000111101001000110011 I +b10011001010000111101001000110011 Z +b11001 3 +b11001 J +b11001 Y +11 +b10011001010000111101001000110011 , +b10011001010000111101001000110011 H +b11001 + +b11001 G +1- +1. +0% +#7524000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b10011001010000111101001000110011 &" +b10011001010000111101001000110011 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +0. +1% +#7524010 +b10011001010000111101001000110011 \" +#7524500 +b10010 7 +b10010 N +b10010 V +16 +b100000011000011001110000110110 2 +b100000011000011001110000110110 I +b100000011000011001110000110110 Z +b101 3 +b101 J +b101 Y +b10010 & +b10010 C +1( +b100000011000011001110000110110 , +b100000011000011001110000110110 H +b101 + +b101 G +1. +0% +#7525000 +1>" +1_ +1^ +b10111101101011111001001110011000 | +b10111101101011111001001110011000 B" +1@" +0=" +0r +17" +b11010 3" +0<" +0` +0c +b1101 -" +0u +14" +1v +1x +1," +b100000011000011001110000110110 &" +b100000011000011001110000110110 ?" +b101 %" +b101 *" +b101 1" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#7525010 +b100000011000011001110000110110 H" +#7525500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b10111101101011101001011101010001 2 +b10111101101011101001011101010001 I +b10111101101011101001011101010001 Z +b10 3 +b10 J +b10 Y +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +b10111101101011101001011101010001 , +b10111101101011101001011101010001 H +b10 + +b10 G +1. +0% +#7526000 +1] +0i +b1000000011111010100101111000001 { +b1000000011111010100101111000001 A" +0\ +1[ +0>" +19" +1:" +1;" +1r +07" +b11101 3" +0^ +b10011 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +1/" +0x +0," +b10111101101011111001001110011000 0 +b10111101101011111001001110011000 M +b10111101101011111001001110011000 f +b10111101101011111001001110011000 !" +b10111101101011101001011101010001 &" +b10111101101011101001011101010001 ?" +b10 %" +b10 *" +b10 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7526010 +b10111101101011101001011101010001 E" +#7526500 +b0 5 +b0 L +b0 W +04 +b10110110001110010000100110000010 2 +b10110110001110010000100110000010 I +b10110110001110010000100110000010 Z +b0 ' +b0 D +0) +b10110110001110010000100110000010 , +b10110110001110010000100110000010 H +1. +0% +#7527000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0:" +0;" +b11111 0" +0p +0k +0/" +b10110110001110010000100110000010 &" +b10110110001110010000100110000010 ?" +b0 ~ +b0 )" +b0 ." +0$" +b1000000011111010100101111000001 / +b1000000011111010100101111000001 K +b1000000011111010100101111000001 e +b1000000011111010100101111000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7527010 +b10110110001110010000100110000010 E" +#7527500 +b11011 5 +b11011 L +b11011 W +14 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7528000 +0i +b1011101100100111110100010010000 { +b1011101100100111110100010010000 A" +0s +b11110011011110010000110111000100 | +b11110011011110010000110111000100 B" +1\ +0@" +0h +0g +19" +1;" +15" +17" +b11111 3" +0_ +b100 0" +1o +0k +18" +1l +b10111 -" +1y +1u +0b +02" +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#7528500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11001101011101011100010001111011 2 +b11001101011101011100010001111011 I +b11001101011101011100010001111011 Z +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11001101011101011100010001111011 , +b11001101011101011100010001111011 H +1- +1. +0% +#7529000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +1h +1g +09" +0;" +05" +07" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +0u +12" +0n +0m +0/" +0," +b11001101011101011100010001111011 &" +b11001101011101011100010001111011 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1011101100100111110100010010000 / +b1011101100100111110100010010000 K +b1011101100100111110100010010000 e +b1011101100100111110100010010000 "" +b11110011011110010000110111000100 0 +b11110011011110010000110111000100 M +b11110011011110010000110111000100 f +b11110011011110010000110111000100 !" +0. +1% +#7529010 +b11001101011101011100010001111011 C" +#7529500 +b1001 7 +b1001 N +b1001 V +16 +b11010100011010000111010010111010 2 +b11010100011010000111010010111010 I +b11010100011010000111010010111010 Z +b1111 3 +b1111 J +b1111 Y +b1001 & +b1001 C +1( +b11010100011010000111010010111010 , +b11010100011010000111010010111010 H +b1111 + +b1111 G +1. +0% +#7530000 +0] +0s +b100100010001101111001101000000 | +b100100010001101111001101000000 B" +0\ +0[ +1=" +1>" +0q +15" +17" +b10000 3" +0^ +0c +1d +b10110 -" +1y +1u +1b +1a +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010100011010000111010010111010 &" +b11010100011010000111010010111010 ?" +b1111 %" +b1111 *" +b1111 1" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#7530010 +b11010100011010000111010010111010 R" +#7530500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7531000 +1] +0i +b1110110011001100111100100000101 { +b1110110011001100111100100000101 A" +1s +1\ +1[ +0=" +0>" +0@" +0h +19" +1;" +1q +05" +07" +b11111 3" +0d +0_ +b101 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1n +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b100100010001101111001101000000 0 +b100100010001101111001101000000 M +b100100010001101111001101000000 f +b100100010001101111001101000000 !" +0. +1% +#7531500 +b0 5 +b0 L +b0 W +04 +b1110000100111010011011010001 2 +b1110000100111010011011010001 I +b1110000100111010011011010001 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b1110000100111010011011010001 , +b1110000100111010011011010001 H +b10010 + +b10010 G +1- +1. +0% +#7532000 +1i +0\ +1@" +1h +09" +0;" +b1101 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0/" +b1110110011001100111100100000101 / +b1110110011001100111100100000101 K +b1110110011001100111100100000101 e +b1110110011001100111100100000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110000100111010011011010001 &" +b1110000100111010011011010001 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7532010 +b1110000100111010011011010001 U" +#7532500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7533000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7533500 +b101 5 +b101 L +b101 W +14 +b101 ' +b101 D +1) +1. +0% +#7534000 +0i +b100000011000011001110000110110 { +b100000011000011001110000110110 A" +0g +1:" +1;" +b11010 0" +1j +1k +1m +1/" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#7534500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7535000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b100000011000011001110000110110 / +b100000011000011001110000110110 K +b100000011000011001110000110110 e +b100000011000011001110000110110 "" +0. +1% +#7535500 +1. +0% +#7536000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7536500 +b1 5 +b1 L +b1 W +14 +b1 ' +b1 D +1) +1. +0% +#7537000 +b10010000011011111110101111001011 { +b10010000011011111110101111001011 A" +0g +1;" +b11110 0" +1k +1m +1/" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#7537500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7538000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b10010000011011111110101111001011 / +b10010000011011111110101111001011 K +b10010000011011111110101111001011 e +b10010000011011111110101111001011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7538500 +14 +b111000011010101011001011111110 2 +b111000011010101011001011111110 I +b111000011010101011001011111110 Z +b11101 3 +b11101 J +b11101 Y +11 +1) +b111000011010101011001011111110 , +b111000011010101011001011111110 H +b11101 + +b11101 G +1- +1. +0% +#7539000 +0] +b11001101011101011100010001111011 { +b11001101011101011100010001111011 A" +0[ +1=" +1>" +1@" +1;" +b10 3" +0^ +0c +1d +0_ +1<" +1` +1k +1a +12" +1/" +b111000011010101011001011111110 &" +b111000011010101011001011111110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7539010 +b111000011010101011001011111110 `" +#7539500 +b111 5 +b111 L +b111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7540000 +1] +0i +1[ +0=" +0>" +0@" +0h +0g +1:" +b11111 3" +0d +0<" +0` +b11000 0" +1j +b1010010110000110101000101110010 { +b1010010110000110101000101110010 A" +0a +02" +1n +1m +b11001101011101011100010001111011 / +b11001101011101011100010001111011 K +b11001101011101011100010001111011 e +b11001101011101011100010001111011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +0. +1% +#7540500 +b1 5 +b1 L +b1 W +b11111011000110010111110010100111 2 +b11111011000110010111110010100111 I +b11111011000110010111110010100111 Z +b11001 3 +b11001 J +b11001 Y +11 +b1 ' +b1 D +b11111011000110010111110010100111 , +b11111011000110010111110010100111 H +b11001 + +b11001 G +1- +1. +0% +#7541000 +0] +1i +0[ +1=" +1@" +1h +0:" +b110 3" +1c +0_ +1<" +1` +b11110 0" +0j +b10010000011011111110101111001011 { +b10010000011011111110101111001011 A" +1a +12" +0n +b11111011000110010111110010100111 &" +b11111011000110010111110010100111 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b1 ~ +b1 )" +b1 ." +b1010010110000110101000101110010 / +b1010010110000110101000101110010 K +b1010010110000110101000101110010 e +b1010010110000110101000101110010 "" +0. +1% +#7541010 +b11111011000110010111110010100111 \" +#7541500 +b1101 5 +b1101 L +b1101 W +b1000011010010101010011101100011 2 +b1000011010010101010011101100011 I +b1000011010010101010011101100011 Z +b10011 3 +b10011 J +b10011 Y +b1101 ' +b1101 D +b1000011010010101010011101100011 , +b1000011010010101010011101100011 H +b10011 + +b10011 G +1. +0% +#7542000 +1] +0i +b101111101000100010001101001110 { +b101111101000100010001101001110 A" +0\ +0=" +19" +1:" +b1100 3" +0c +b10010 0" +0j +0o +1p +1b +b10010000011011111110101111001011 / +b10010000011011111110101111001011 K +b10010000011011111110101111001011 e +b10010000011011111110101111001011 "" +b1000011010010101010011101100011 &" +b1000011010010101010011101100011 ?" +b10011 %" +b10011 *" +b10011 1" +b1101 ~ +b1101 )" +b1101 ." +0. +1% +#7542010 +b1000011010010101010011101100011 V" +#7542500 +b110 5 +b110 L +b110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7543000 +1j +1\ +1[ +0@" +0h +1g +09" +1:" +b11111 3" +0<" +0` +b11001 0" +0p +b101111111100000011000001011 { +b101111111100000011000001011 A" +0b +0a +02" +1n +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b101111101000100010001101001110 / +b101111101000100010001101001110 K +b101111101000100010001101001110 e +b101111101000100010001101001110 "" +0. +1% +#7543500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7544000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b101111111100000011000001011 / +b101111111100000011000001011 K +b101111111100000011000001011 e +b101111111100000011000001011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7544500 +b10100 5 +b10100 L +b10100 W +14 +b11000000100010001100101001100 2 +b11000000100010001100101001100 I +b11000000100010001100101001100 Z +b11110 3 +b11110 J +b11110 Y +11 +b10100 ' +b10100 D +1) +b11000000100010001100101001100 , +b11000000100010001100101001100 H +b11110 + +b11110 G +1- +1. +0% +#7545000 +0] +0i +b101001001111011000110110011000 { +b101001001111011000110110011000 A" +0\ +1=" +1>" +1@" +1:" +1;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1011 0" +1j +0k +18" +1l +1b +12" +1/" +b11000000100010001100101001100 &" +b11000000100010001100101001100 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7545010 +b11000000100010001100101001100 a" +#7545500 +b0 5 +b0 L +b0 W +04 +b10011101010101001111100100000010 2 +b10011101010101001111100100000010 I +b10011101010101001111100100000010 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b10011101010101001111100100000010 , +b10011101010101001111100100000010 H +b1100 + +b1100 G +1. +0% +#7546000 +1_ +1i +1\ +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10011 3" +0<" +0` +b11111 0" +0j +08" +0l +0b +0/" +b101001001111011000110110011000 / +b101001001111011000110110011000 K +b101001001111011000110110011000 e +b101001001111011000110110011000 "" +b10011101010101001111100100000010 &" +b10011101010101001111100100000010 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7546010 +b10011101010101001111100100000010 O" +#7546500 +b11010 7 +b11010 N +b11010 V +16 +b11100111001100011100011110110100 2 +b11100111001100011100011110110100 I +b11100111001100011100011110110100 Z +b10011 3 +b10011 J +b10011 Y +b11010 & +b11010 C +1( +b11100111001100011100011110110100 , +b11100111001100011100011110110100 H +b10011 + +b10011 G +1. +0% +#7547000 +0_ +1] +0s +b1110110011001100111100100000101 | +b1110110011001100111100100000101 B" +0\ +0[ +0=" +0>" +0r +15" +17" +b1100 3" +1<" +1` +0d +b101 -" +1y +0u +14" +1v +1b +1a +1x +1," +b11100111001100011100011110110100 &" +b11100111001100011100011110110100 ?" +b10011 %" +b10011 *" +b10011 1" +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7547010 +b11100111001100011100011110110100 V" +#7547500 +b10101 7 +b10101 N +b10101 V +b1100101010011100111110000101000 2 +b1100101010011100111110000101000 I +b1100101010011100111110000101000 Z +b10101 & +b10101 C +b1100101010011100111110000101000 , +b1100101010011100111110000101000 H +1. +0% +#7548000 +16" +1t +1r +0q +05" +b1010 -" +0y +b110011111110110100000011010 | +b110011111110110100000011010 B" +0x +1w +b1110110011001100111100100000101 0 +b1110110011001100111100100000101 M +b1110110011001100111100100000101 f +b1110110011001100111100100000101 !" +b1100101010011100111110000101000 &" +b1100101010011100111110000101000 ?" +b10101 } +b10101 (" +b10101 +" +0. +1% +#7548010 +b1100101010011100111110000101000 V" +#7548500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7549000 +1s +1\ +1[ +0@" +1q +06" +07" +b11111 3" +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b110011111110110100000011010 0 +b110011111110110100000011010 M +b110011111110110100000011010 f +b110011111110110100000011010 !" +0. +1% +#7549500 +b11 5 +b11 L +b11 W +14 +b11110 7 +b11110 N +b11110 V +16 +b11 ' +b11 D +1) +b11110 & +b11110 C +1( +1. +0% +#7550000 +b10111010100110000101111100010001 { +b10111010100110000101111100010001 A" +0s +b11000000100010001100101001100 | +b11000000100010001100101001100 B" +0h +0g +1;" +0r +15" +16" +17" +b11100 0" +1k +b1 -" +0t +0y +1z +0u +14" +1v +1n +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11 ~ +b11 )" +b11 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#7550500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1010010010000010111000100101 2 +b1010010010000010111000100101 I +b1010010010000010111000100101 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1010010010000010111000100101 , +b1010010010000010111000100101 H +b101 + +b101 G +1- +1. +0% +#7551000 +0] +1s +0[ +1>" +1@" +1h +1g +0;" +1r +05" +06" +07" +b11010 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0n +0m +0/" +0x +0," +b1010010010000010111000100101 &" +b1010010010000010111000100101 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10111010100110000101111100010001 / +b10111010100110000101111100010001 K +b10111010100110000101111100010001 e +b10111010100110000101111100010001 "" +b11000000100010001100101001100 0 +b11000000100010001100101001100 M +b11000000100010001100101001100 f +b11000000100010001100101001100 !" +0. +1% +#7551010 +b1010010010000010111000100101 H" +#7551500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7552000 +1] +0s +b100100010001101111001101000000 | +b100100010001101111001101000000 B" +1[ +0>" +0@" +0q +15" +17" +b11111 3" +0^ +0_ +b10110 -" +1y +1u +0a +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#7552500 +b100 5 +b100 L +b100 W +14 +b111 7 +b111 N +b111 V +b1111111001110001111110110010 2 +b1111111001110001111110110010 I +b1111111001110001111110110010 Z +b1 3 +b1 J +b1 Y +11 +b100 ' +b100 D +1) +b111 & +b111 C +b1111111001110001111110110010 , +b1111111001110001111110110010 H +b1 + +b1 G +1- +1. +0% +#7553000 +16" +0i +b11110011010111010010101000011101 { +b11110011010111010010101000011101 A" +1t +0[ +1@" +1:" +1;" +0r +05" +b11110 3" +1_ +b11011 0" +1j +1k +b11000 -" +0y +b1010010110000110101000101110010 | +b1010010110000110101000101110010 B" +1a +12" +1/" +1x +b1111111001110001111110110010 &" +b1111111001110001111110110010 ?" +b1 %" +b1 *" +b1 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b111 } +b111 (" +b111 +" +b100100010001101111001101000000 0 +b100100010001101111001101000000 M +b100100010001101111001101000000 f +b100100010001101111001101000000 !" +0. +1% +#7553010 +b1111111001110001111110110010 D" +#7553500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7554000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +1s +1[ +0@" +0:" +0;" +b1100101010011100111110000101000 | +b1100101010011100111110000101000 B" +06" +b11111 3" +0_ +b11111 0" +0j +0k +b1100 -" +14" +1v +0t +0a +02" +0/" +b11110011010111010010101000011101 / +b11110011010111010010101000011101 K +b11110011010111010010101000011101 e +b11110011010111010010101000011101 "" +b1010010110000110101000101110010 0 +b1010010110000110101000101110010 M +b1010010110000110101000101110010 f +b1010010110000110101000101110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +0. +1% +#7554500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b10010100011111100100011010100001 2 +b10010100011111100100011010100001 I +b10010100011111100100011010100001 Z +b1011 3 +b1011 J +b1011 Y +11 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b10010100011111100100011010100001 , +b10010100011111100100011010100001 H +b1011 + +b1011 G +1- +1. +0% +#7555000 +0] +0i +b101001001111011000110110011000 { +b101001001111011000110110011000 A" +0\ +0[ +1=" +1@" +1:" +1;" +1r +1q +07" +b10100 3" +1c +1_ +b1011 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1/" +0x +0w +0," +b10010100011111100100011010100001 &" +b10010100011111100100011010100001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100101010011100111110000101000 0 +b1100101010011100111110000101000 M +b1100101010011100111110000101000 f +b1100101010011100111110000101000 !" +0. +1% +#7555010 +b10010100011111100100011010100001 N" +#7555500 +b11111 5 +b11111 L +b11111 W +b10001011101100001001000010110 2 +b10001011101100001001000010110 I +b10001011101100001001000010110 Z +b10 3 +b10 J +b10 Y +b11111 ' +b11111 D +b10001011101100001001000010110 , +b10001011101100001001000010110 H +b10 + +b10 G +1. +0% +#7556000 +1] +0j +1[ +0=" +0h +0g +19" +b11101 3" +0c +b0 0" +1p +b1111110001001011100000010011101 { +b1111110001001011100000010011101 A" +0a +1n +1m +b101001001111011000110110011000 / +b101001001111011000110110011000 K +b101001001111011000110110011000 e +b101001001111011000110110011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001011101100001001000010110 &" +b10001011101100001001000010110 ?" +b10 %" +b10 *" +b10 1" +b11111 ~ +b11111 )" +b11111 ." +0. +1% +#7556010 +b10001011101100001001000010110 E" +#7556500 +b111 5 +b111 L +b111 W +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7557000 +1k +1j +0s +b111000011010101011001011111110 | +b111000011010101011001011111110 B" +1\ +0@" +1;" +b1010010110000110101000101110010 { +b1010010110000110101000101110010 A" +09" +1:" +0q +15" +16" +17" +b11111 3" +0_ +b11000 0" +08" +0l +0p +b10 -" +0t +0y +1z +0u +14" +1v +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +b11101 } +b11101 (" +b11101 +" +1#" +b1111110001001011100000010011101 / +b1111110001001011100000010011101 K +b1111110001001011100000010011101 e +b1111110001001011100000010011101 "" +0. +1% +#7557500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +b0 & +b0 C +0( +1. +0% +#7558000 +1i +b10111010100110000101111100010001 { +b10111010100110000101111100010001 A" +1s +0:" +1q +05" +06" +07" +b11100 0" +0j +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b1010010110000110101000101110010 / +b1010010110000110101000101110010 K +b1010010110000110101000101110010 e +b1010010110000110101000101110010 "" +b111000011010101011001011111110 0 +b111000011010101011001011111110 M +b111000011010101011001011111110 f +b111000011010101011001011111110 !" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7558500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7559000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10111010100110000101111100010001 / +b10111010100110000101111100010001 K +b10111010100110000101111100010001 e +b10111010100110000101111100010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7559500 +1. +0% +#7560000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7560500 +b1000111111111100010010010010100 2 +b1000111111111100010010010010100 I +b1000111111111100010010010010100 Z +b1000 3 +b1000 J +b1000 Y +11 +b1000111111111100010010010010100 , +b1000111111111100010010010010100 H +b1000 + +b1000 G +1- +1. +0% +#7561000 +0] +1=" +1@" +b10111 3" +1c +1_ +12" +b1000111111111100010010010010100 &" +b1000111111111100010010010010100 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +0. +1% +#7561010 +b1000111111111100010010010010100 K" +#7561500 +b11001 5 +b11001 L +b11001 W +14 +b10111 7 +b10111 N +b10111 V +16 +b10110000010110010111011111100110 2 +b10110000010110010111011111100110 I +b10110000010110010111011111100110 Z +b10000 3 +b10000 J +b10000 Y +b11001 ' +b11001 D +1) +b10111 & +b10111 C +1( +b10110000010110010111011111100110 , +b10110000010110010111011111100110 H +b10000 + +b10000 G +1. +0% +#7562000 +0_ +1] +0i +b11111011000110010111110010100111 { +b11111011000110010111110010100111 A" +0s +b1111100001110000111001101110110 | +b1111100001110000111001101110110 B" +0=" +0g +19" +1;" +0r +0q +16" +17" +b1111 3" +1<" +1` +0c +b110 0" +1o +0k +18" +1l +b1000 -" +1t +0u +14" +1v +1m +1/" +1x +1w +1," +b10110000010110010111011111100110 &" +b10110000010110010111011111100110 ?" +b10000 %" +b10000 *" +b10000 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#7562010 +b10110000010110010111011111100110 S" +#7562500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11101011010101011011100100011010 2 +b11101011010101011011100100011010 I +b11101011010101011011100100011010 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11101011010101011011100100011010 , +b11101011010101011011100100011010 H +b1011 + +b1011 G +1. +0% +#7563000 +1_ +0] +1i +1s +0\ +0[ +1@" +1=" +1g +09" +0;" +1r +1q +06" +07" +b10100 3" +0<" +0` +1c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +0m +0/" +0x +0w +0," +b11101011010101011011100100011010 &" +b11101011010101011011100100011010 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111011000110010111110010100111 / +b11111011000110010111110010100111 K +b11111011000110010111110010100111 e +b11111011000110010111110010100111 "" +b1111100001110000111001101110110 0 +b1111100001110000111001101110110 M +b1111100001110000111001101110110 f +b1111100001110000111001101110110 !" +0. +1% +#7563010 +b11101011010101011011100100011010 N" +#7563500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7564000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7564500 +b1 7 +b1 N +b1 V +16 +b10001101000110110010110110010100 2 +b10001101000110110010110110010100 I +b10001101000110110010110110010100 Z +b1100 3 +b1100 J +b1100 Y +11 +b1 & +b1 C +1( +b10001101000110110010110110010100 , +b10001101000110110010110110010100 H +b1100 + +b1100 G +1- +1. +0% +#7565000 +0] +b1111111001110001111110110010 | +b1111111001110001111110110010 B" +1=" +1>" +1@" +0q +17" +b10011 3" +0^ +0c +1d +1_ +b11110 -" +1u +12" +1w +1," +b10001101000110110010110110010100 &" +b10001101000110110010110110010100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#7565010 +b10001101000110110010110110010100 O" +#7565500 +b11111 5 +b11111 L +b11111 W +14 +b11 7 +b11 N +b11 V +b11000101110000010010110100111101 2 +b11000101110000010010110100111101 I +b11000101110000010010110100111101 Z +b10001 3 +b10001 J +b10001 Y +b11111 ' +b11111 D +1) +b11 & +b11 C +b11000101110000010010110100111101 , +b11000101110000010010110100111101 H +b10001 + +b10001 G +1. +0% +#7566000 +0_ +1] +0i +b1111110001001011100000010011101 { +b1111110001001011100000010011101 A" +0[ +0=" +0>" +0h +0g +19" +1:" +1;" +0r +b1110 3" +1<" +1` +0d +b0 0" +0j +0o +1p +0k +18" +1l +b11100 -" +b10111010100110000101111100010001 | +b10111010100110000101111100010001 B" +1a +1n +1m +1/" +1x +b1111111001110001111110110010 0 +b1111111001110001111110110010 M +b1111111001110001111110110010 f +b1111111001110001111110110010 !" +b11000101110000010010110100111101 &" +b11000101110000010010110100111101 ?" +b10001 %" +b10001 *" +b10001 1" +b11111 ~ +b11111 )" +b11111 ." +1$" +b11 } +b11 (" +b11 +" +0. +1% +#7566010 +b11000101110000010010110100111101 T" +#7566500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b101101000001101101110000101001 2 +b101101000001101101110000101001 I +b101101000001101101110000101001 Z +b10100 3 +b10100 J +b10100 Y +b0 ' +b0 D +0) +b11000 & +b11000 C +b101101000001101101110000101001 , +b101101000001101101110000101001 H +b10100 + +b10100 G +1. +0% +#7567000 +0] +1i +0u +0s +1[ +1>" +1h +1g +09" +0:" +0;" +1r +1q +15" +b1011 3" +1^ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +14" +1v +1y +b11001011100001001111000101110011 | +b11001011100001001111000101110011 B" +0a +0n +0m +0/" +0x +0w +b101101000001101101110000101001 &" +b101101000001101101110000101001 ?" +b10100 %" +b10100 *" +b10100 1" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +b1111110001001011100000010011101 / +b1111110001001011100000010011101 K +b1111110001001011100000010011101 e +b1111110001001011100000010011101 "" +b10111010100110000101111100010001 0 +b10111010100110000101111100010001 M +b10111010100110000101111100010001 f +b10111010100110000101111100010001 !" +0. +1% +#7567010 +b101101000001101101110000101001 W" +#7567500 +b1011 5 +b1011 L +b1011 W +14 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b11100 & +b11100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7568000 +1] +0i +b11101011010101011011100100011010 { +b11101011010101011011100100011010 A" +0y +b100010000011011101010101010010 | +b100010000011011101010101010010 B" +0>" +0@" +0h +0g +19" +1;" +16" +b11111 3" +0^ +0<" +0` +b10100 0" +1o +1k +b11 -" +1z +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001011100001001111000101110011 0 +b11001011100001001111000101110011 M +b11001011100001001111000101110011 f +b11001011100001001111000101110011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#7568500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1111000110110010101010000010110 2 +b1111000110110010101010000010110 I +b1111000110110010101010000010110 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1111000110110010101010000010110 , +b1111000110110010101010000010110 H +b10110 + +b10110 G +1- +1. +0% +#7569000 +0] +1i +1s +0\ +1>" +1@" +1h +1g +09" +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +04" +0v +1b +12" +0n +0m +0/" +0," +b1111000110110010101010000010110 &" +b1111000110110010101010000010110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101011010101011011100100011010 / +b11101011010101011011100100011010 K +b11101011010101011011100100011010 e +b11101011010101011011100100011010 "" +b100010000011011101010101010010 0 +b100010000011011101010101010010 M +b100010000011011101010101010010 f +b100010000011011101010101010010 !" +0. +1% +#7569010 +b1111000110110010101010000010110 Y" +#7569500 +b11111 5 +b11111 L +b11111 W +14 +b1110111111011101011011101010100 2 +b1110111111011101011011101010100 I +b1110111111011101011011101010100 Z +b11000 3 +b11000 J +b11000 Y +b11111 ' +b11111 D +1) +b1110111111011101011011101010100 , +b1110111111011101011011101010100 H +b11000 + +b11000 G +1. +0% +#7570000 +1=" +1c +0i +b1111110001001011100000010011101 { +b1111110001001011100000010011101 A" +1\ +0>" +0h +0g +19" +1:" +1;" +b111 3" +0^ +b0 0" +0j +0o +1p +0k +18" +1l +0b +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110111111011101011011101010100 &" +b1110111111011101011011101010100 ?" +b11000 %" +b11000 *" +b11000 1" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#7570010 +b1110111111011101011011101010100 [" +#7570500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7571000 +1] +1i +0=" +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1111110001001011100000010011101 / +b1111110001001011100000010011101 K +b1111110001001011100000010011101 e +b1111110001001011100000010011101 "" +0. +1% +#7571500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#7572000 +0s +b100010000011011101010101010010 | +b100010000011011101010101010010 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#7572500 +b1101 7 +b1101 N +b1101 V +b1101 & +b1101 C +1. +0% +#7573000 +1u +0q +17" +b10010 -" +04" +0v +b101111101000100010001101001110 | +b101111101000100010001101001110 B" +1w +b1101 } +b1101 (" +b1101 +" +b100010000011011101010101010010 0 +b100010000011011101010101010010 M +b100010000011011101010101010010 f +b100010000011011101010101010010 !" +0. +1% +#7573500 +b0 7 +b0 N +b0 V +06 +b10000111101010111100001000101111 2 +b10000111101010111100001000101111 I +b10000111101010111100001000101111 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b10000111101010111100001000101111 , +b10000111101010111100001000101111 H +b111 + +b111 G +1- +1. +0% +#7574000 +0] +1s +0\ +0[ +1>" +1@" +1q +05" +06" +07" +b11000 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b101111101000100010001101001110 0 +b101111101000100010001101001110 M +b101111101000100010001101001110 f +b101111101000100010001101001110 !" +b10000111101010111100001000101111 &" +b10000111101010111100001000101111 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7574010 +b10000111101010111100001000101111 J" +#7574500 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7575000 +1] +b1100101010011100111110000101000 | +b1100101010011100111110000101000 B" +1\ +1[ +0>" +0@" +0r +0q +17" +b11111 3" +0^ +0_ +b1100 -" +0u +14" +1v +0b +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7575500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +1. +0% +#7576000 +0i +b101111101000100010001101001110 { +b101111101000100010001101001110 A" +0g +19" +1:" +1;" +1r +1q +07" +b10010 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0w +0," +b1100101010011100111110000101000 0 +b1100101010011100111110000101000 M +b1100101010011100111110000101000 f +b1100101010011100111110000101000 !" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7576500 +b10010 5 +b10010 L +b10010 W +b10100111000100110111011011001011 2 +b10100111000100110111011011001011 I +b10100111000100110111011011001011 Z +b1111 3 +b1111 J +b1111 Y +11 +b10010 ' +b10010 D +b10100111000100110111011011001011 , +b10100111000100110111011011001011 H +b1111 + +b1111 G +1- +1. +0% +#7577000 +0] +0k +1i +0\ +0[ +1=" +1>" +1@" +0h +1g +09" +0:" +b10000 3" +0^ +0c +1d +1_ +b1101 0" +18" +1l +0p +b1110000100111010011011010001 { +b1110000100111010011011010001 A" +1b +1a +12" +1n +0m +b10100111000100110111011011001011 &" +b10100111000100110111011011001011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +b101111101000100010001101001110 / +b101111101000100010001101001110 K +b101111101000100010001101001110 e +b101111101000100010001101001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7577010 +b10100111000100110111011011001011 R" +#7577500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7578000 +1] +1\ +1[ +0=" +0>" +0@" +1h +0;" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0n +0/" +b1110000100111010011011010001 / +b1110000100111010011011010001 K +b1110000100111010011011010001 e +b1110000100111010011011010001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7578500 +b10011101000110111100100101000101 2 +b10011101000110111100100101000101 I +b10011101000110111100100101000101 Z +b1110 3 +b1110 J +b1110 Y +11 +b10011101000110111100100101000101 , +b10011101000110111100100101000101 H +b1110 + +b1110 G +1- +1. +0% +#7579000 +0] +0\ +1=" +1>" +1@" +b10001 3" +0^ +0c +1d +1_ +1b +12" +b10011101000110111100100101000101 &" +b10011101000110111100100101000101 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7579010 +b10011101000110111100100101000101 Q" +#7579500 +b10000011001110001001110101011110 2 +b10000011001110001001110101011110 I +b10000011001110001001110101011110 Z +b10101 3 +b10101 J +b10101 Y +b10000011001110001001110101011110 , +b10000011001110001001110101011110 H +b10101 + +b10101 G +1. +0% +#7580000 +0_ +1^ +1\ +0[ +0=" +1>" +b1010 3" +1<" +1` +0d +0b +1a +b10000011001110001001110101011110 &" +b10000011001110001001110101011110 ?" +b10101 %" +b10101 *" +b10101 1" +0. +1% +#7580010 +b10000011001110001001110101011110 X" +#7580500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7581000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7581500 +b110 5 +b110 L +b110 W +14 +b11100101001011110001001001001111 2 +b11100101001011110001001001001111 I +b11100101001011110001001001001111 Z +b10110 3 +b10110 J +b10110 Y +11 +b110 ' +b110 D +1) +b11100101001011110001001001001111 , +b11100101001011110001001001001111 H +b10110 + +b10110 G +1- +1. +0% +#7582000 +0] +0i +b101111111100000011000001011 { +b101111111100000011000001011 A" +0\ +1>" +1@" +0h +1:" +1;" +b1001 3" +1^ +0_ +1<" +1` +b11001 0" +1j +1k +1b +12" +1n +1/" +b11100101001011110001001001001111 &" +b11100101001011110001001001001111 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +0. +1% +#7582010 +b11100101001011110001001001001111 Y" +#7582500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7583000 +1] +1i +0s +b11101011010101011011100100011010 | +b11101011010101011011100100011010 B" +1\ +0>" +0@" +1h +0:" +0;" +0r +0q +15" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +1y +1u +0b +02" +0n +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b101111111100000011000001011 / +b101111111100000011000001011 K +b101111111100000011000001011 e +b101111111100000011000001011 "" +0. +1% +#7583500 +b101 5 +b101 L +b101 W +14 +b11111 7 +b11111 N +b11111 V +b10001101000100010100001111111111 2 +b10001101000100010100001111111111 I +b10001101000100010100001111111111 Z +b1111 3 +b1111 J +b1111 Y +11 +b101 ' +b101 D +1) +b11111 & +b11111 C +b10001101000100010100001111111111 , +b10001101000100010100001111111111 H +b1111 + +b1111 G +1- +1. +0% +#7584000 +0] +0i +b1010010010000010111000100101 { +b1010010010000010111000100101 A" +0u +0y +0\ +0[ +1=" +1>" +1@" +0g +1:" +1;" +b1111110001001011100000010011101 | +b1111110001001011100000010011101 B" +16" +b10000 3" +0^ +0c +1d +1_ +b11010 0" +1j +1k +b0 -" +14" +1v +1z +1b +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011010101011011100100011010 0 +b11101011010101011011100100011010 M +b11101011010101011011100100011010 f +b11101011010101011011100100011010 !" +b10001101000100010100001111111111 &" +b10001101000100010100001111111111 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#7584010 +b10001101000100010100001111111111 R" +#7584500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7585000 +1] +1i +1u +1y +1\ +1[ +0=" +0>" +0@" +1g +0:" +0;" +1r +17" +15" +06" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +04" +0v +0z +b100100010001101111001101000000 | +b100100010001101111001101000000 B" +0b +0a +02" +0m +0/" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +b1010010010000010111000100101 / +b1010010010000010111000100101 K +b1010010010000010111000100101 e +b1010010010000010111000100101 "" +b1111110001001011100000010011101 0 +b1111110001001011100000010011101 M +b1111110001001011100000010011101 f +b1111110001001011100000010011101 !" +0. +1% +#7585500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b111111101001110000100110100101 2 +b111111101001110000100110100101 I +b111111101001110000100110100101 Z +b11000 3 +b11000 J +b11000 Y +11 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +b111111101001110000100110100101 , +b111111101001110000100110100101 H +b11000 + +b11000 G +1- +1. +0% +#7586000 +0] +0i +b1000111111111100010010010010100 { +b1000111111111100010010010010100 A" +1s +1=" +1@" +19" +1;" +1q +05" +07" +b111 3" +1c +0_ +1<" +1` +b10111 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100100010001101111001101000000 0 +b100100010001101111001101000000 M +b100100010001101111001101000000 f +b100100010001101111001101000000 !" +b111111101001110000100110100101 &" +b111111101001110000100110100101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7586010 +b111111101001110000100110100101 [" +#7586500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7587000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1111110001001011100000010011101 | +b1111110001001011100000010011101 B" +0=" +0@" +09" +0;" +0r +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0o +0k +b0 -" +0t +0y +1z +0u +14" +1v +02" +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b1000111111111100010010010010100 / +b1000111111111100010010010010100 K +b1000111111111100010010010010100 e +b1000111111111100010010010010100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7587500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7588000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111110001001011100000010011101 0 +b1111110001001011100000010011101 M +b1111110001001011100000010011101 f +b1111110001001011100000010011101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7588500 +b1111 5 +b1111 L +b1111 W +14 +b1111000010010101010010010011100 2 +b1111000010010101010010010011100 I +b1111000010010101010010010011100 Z +b10011 3 +b10011 J +b10011 Y +11 +b1111 ' +b1111 D +1) +b1111000010010101010010010011100 , +b1111000010010101010010010011100 H +b10011 + +b10011 G +1- +1. +0% +#7589000 +0i +b10001101000100010100001111111111 { +b10001101000100010100001111111111 A" +0\ +0[ +1@" +0h +0g +19" +1:" +1;" +b1100 3" +0_ +1<" +1` +b10000 0" +0j +0o +1p +1k +1b +1a +12" +1n +1m +1/" +b1111000010010101010010010011100 &" +b1111000010010101010010010011100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7589010 +b1111000010010101010010010011100 V" +#7589500 +b0 5 +b0 L +b0 W +04 +b10011001111011111110110011110101 2 +b10011001111011111110110011110101 I +b10011001111011111110110011110101 Z +b10111 3 +b10111 J +b10111 Y +b0 ' +b0 D +0) +b10011001111011111110110011110101 , +b10011001111011111110110011110101 H +b10111 + +b10111 G +1. +0% +#7590000 +0] +1i +1>" +1h +1g +09" +0:" +0;" +b1000 3" +1^ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b10001101000100010100001111111111 / +b10001101000100010100001111111111 K +b10001101000100010100001111111111 e +b10001101000100010100001111111111 "" +b10011001111011111110110011110101 &" +b10011001111011111110110011110101 ?" +b10111 %" +b10111 *" +b10111 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7590010 +b10011001111011111110110011110101 Z" +#7590500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7591000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7591500 +b10000 5 +b10000 L +b10000 W +14 +b10101 7 +b10101 N +b10101 V +16 +b11001100110110010011111111011010 2 +b11001100110110010011111111011010 I +b11001100110110010011111111011010 Z +b1101 3 +b1101 J +b1101 Y +11 +b10000 ' +b10000 D +1) +b10101 & +b10101 C +1( +b11001100110110010011111111011010 , +b11001100110110010011111111011010 H +b1101 + +b1101 G +1- +1. +0% +#7592000 +0] +b10110000010110010111011111100110 { +b10110000010110010111011111100110 A" +0s +b10000011001110001001110101011110 | +b10000011001110001001110101011110 B" +0[ +1=" +1>" +1@" +1;" +0q +16" +17" +b10010 3" +0^ +0c +1d +1_ +b1111 0" +0k +18" +1l +b1010 -" +1t +0u +14" +1v +1a +12" +1/" +1w +1," +b11001100110110010011111111011010 &" +b11001100110110010011111111011010 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#7592010 +b11001100110110010011111111011010 P" +#7592500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11101101010000010111001011011 2 +b11101101010000010111001011011 I +b11101101010000010111001011011 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11101101010000010111001011011 , +b11101101010000010111001011011 H +b10 + +b10 G +1. +0% +#7593000 +1] +1s +0\ +1[ +0=" +0>" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +06" +07" +b11101 3" +0d +b11111 0" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +0/" +0w +0," +b11101101010000010111001011011 &" +b11101101010000010111001011011 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10110000010110010111011111100110 / +b10110000010110010111011111100110 K +b10110000010110010111011111100110 e +b10110000010110010111011111100110 "" +b10000011001110001001110101011110 0 +b10000011001110001001110101011110 M +b10000011001110001001110101011110 f +b10000011001110001001110101011110 !" +0. +1% +#7593010 +b11101101010000010111001011011 E" +#7593500 +b10010 7 +b10010 N +b10010 V +16 +b1000001011110000110000111110111 2 +b1000001011110000110000111110111 I +b1000001011110000110000111110111 Z +b1010 3 +b1010 J +b1010 Y +b10010 & +b10010 C +1( +b1000001011110000110000111110111 , +b1000001011110000110000111110111 H +b1010 + +b1010 G +1. +0% +#7594000 +0] +b1110000100111010011011010001 | +b1110000100111010011011010001 B" +1=" +0r +17" +b10101 3" +1c +b1101 -" +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000001011110000110000111110111 &" +b1000001011110000110000111110111 ?" +b1010 %" +b1010 *" +b1010 1" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#7594010 +b1000001011110000110000111110111 M" +#7594500 +b100 5 +b100 L +b100 W +14 +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7595000 +1] +0i +b11110011010111010010101000011101 { +b11110011010111010010101000011101 A" +0s +1\ +0=" +0@" +1:" +1;" +1r +16" +b11111 3" +0c +0_ +b11011 0" +1j +1k +b1011 -" +1t +b101101000001101101110000101001 | +b101101000001101101110000101001 B" +0b +02" +1/" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +b10100 } +b10100 (" +b10100 +" +b1110000100111010011011010001 0 +b1110000100111010011011010001 M +b1110000100111010011011010001 f +b1110000100111010011011010001 !" +0. +1% +#7595500 +b10 5 +b10 L +b10 W +b11010 7 +b11010 N +b11010 V +b10 ' +b10 D +b11010 & +b11010 C +1. +0% +#7596000 +15" +1i +1y +0h +0:" +0r +06" +b11101 0" +0j +b11101101010000010111001011011 { +b11101101010000010111001011011 A" +b101 -" +0t +b1110110011001100111100100000101 | +b1110110011001100111100100000101 B" +1n +1x +b11110011010111010010101000011101 / +b11110011010111010010101000011101 K +b11110011010111010010101000011101 e +b11110011010111010010101000011101 "" +b101101000001101101110000101001 0 +b101101000001101101110000101001 M +b101101000001101101110000101001 f +b101101000001101101110000101001 !" +b10 ~ +b10 )" +b10 ." +b11010 } +b11010 (" +b11010 +" +0. +1% +#7596500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11111111011010100100010011111100 2 +b11111111011010100100010011111100 I +b11111111011010100100010011111100 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11111111011010100100010011111100 , +b11111111011010100100010011111100 H +b10010 + +b10010 G +1- +1. +0% +#7597000 +1s +0\ +1@" +1h +0;" +1r +05" +07" +b1101 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0n +0/" +0x +0," +b11111111011010100100010011111100 &" +b11111111011010100100010011111100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101101010000010111001011011 / +b11101101010000010111001011011 K +b11101101010000010111001011011 e +b11101101010000010111001011011 "" +b1110110011001100111100100000101 0 +b1110110011001100111100100000101 M +b1110110011001100111100100000101 f +b1110110011001100111100100000101 !" +0. +1% +#7597010 +b11111111011010100100010011111100 U" +#7597500 +b11010 5 +b11010 L +b11010 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7598000 +0i +b1110110011001100111100100000101 { +b1110110011001100111100100000101 A" +0s +b11111011000110010111110010100111 | +b11111011000110010111110010100111 B" +1\ +0@" +0h +19" +1;" +0q +15" +17" +b11111 3" +0<" +0` +b101 0" +1o +0k +18" +1l +b110 -" +1y +0u +14" +1v +0b +02" +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#7598500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7599000 +1i +1s +1h +09" +0;" +1q +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110110011001100111100100000101 / +b1110110011001100111100100000101 K +b1110110011001100111100100000101 e +b1110110011001100111100100000101 "" +b11111011000110010111110010100111 0 +b11111011000110010111110010100111 M +b11111011000110010111110010100111 f +b11111011000110010111110010100111 !" +0. +1% +#7599500 +b1101 7 +b1101 N +b1101 V +16 +b11110100111001100101110000101001 2 +b11110100111001100101110000101001 I +b11110100111001100101110000101001 Z +b1000 3 +b1000 J +b1000 Y +11 +b1101 & +b1101 C +1( +b11110100111001100101110000101001 , +b11110100111001100101110000101001 H +b1000 + +b1000 G +1- +1. +0% +#7600000 +0] +0s +b11001100110110010011111111011010 | +b11001100110110010011111111011010 B" +1=" +1@" +0q +15" +16" +17" +b10111 3" +1c +1_ +b10010 -" +0t +0y +1z +1u +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110100111001100101110000101001 &" +b11110100111001100101110000101001 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#7600010 +b11110100111001100101110000101001 K" +#7600500 +b0 7 +b0 N +b0 V +06 +b10000101001111111011101010010001 2 +b10000101001111111011101010010001 I +b10000101001111111011101010010001 Z +b0 & +b0 C +0( +b10000101001111111011101010010001 , +b10000101001111111011101010010001 H +1. +0% +#7601000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10000101001111111011101010010001 &" +b10000101001111111011101010010001 ?" +b0 } +b0 (" +b0 +" +0#" +b11001100110110010011111111011010 0 +b11001100110110010011111111011010 M +b11001100110110010011111111011010 f +b11001100110110010011111111011010 !" +0. +1% +#7601010 +b10000101001111111011101010010001 K" +#7601500 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7602000 +1] +0s +b10011001111011111110110011110101 | +b10011001111011111110110011110101 B" +0=" +0@" +0r +0q +16" +17" +b11111 3" +0c +0_ +b1000 -" +1t +0u +14" +1v +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#7602500 +b11 5 +b11 L +b11 W +14 +b1000 7 +b1000 N +b1000 V +b11 ' +b11 D +1) +b1000 & +b1000 C +1. +0% +#7603000 +15" +b10111010100110000101111100010001 { +b10111010100110000101111100010001 A" +1u +1y +0h +0g +1;" +1r +1q +17" +06" +b11100 0" +1k +b10111 -" +04" +0v +0t +b10000101001111111011101010010001 | +b10000101001111111011101010010001 B" +1n +1m +1/" +0x +0w +b11 ~ +b11 )" +b11 ." +1$" +b1000 } +b1000 (" +b1000 +" +b10011001111011111110110011110101 0 +b10011001111011111110110011110101 M +b10011001111011111110110011110101 f +b10011001111011111110110011110101 !" +0. +1% +#7603500 +b10001 5 +b10001 L +b10001 W +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +b0 & +b0 C +0( +1. +0% +#7604000 +0k +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +05" +07" +b1110 0" +18" +1l +b11000101110000010010110100111101 { +b11000101110000010010110100111101 A" +b11111 -" +0y +0u +0n +0," +b10111010100110000101111100010001 / +b10111010100110000101111100010001 K +b10111010100110000101111100010001 e +b10111010100110000101111100010001 "" +b10000101001111111011101010010001 0 +b10000101001111111011101010010001 M +b10000101001111111011101010010001 f +b10000101001111111011101010010001 !" +b10001 ~ +b10001 )" +b10001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7604500 +b1110 5 +b1110 L +b1110 W +b1001 7 +b1001 N +b1001 V +16 +b1110 ' +b1110 D +b1001 & +b1001 C +1( +1. +0% +#7605000 +1k +0i +0s +b100100010001101111001101000000 | +b100100010001101111001101000000 B" +0h +1g +1;" +19" +1:" +0q +15" +17" +b10001 0" +08" +0l +0j +0o +1p +b10011101000110111100100101000101 { +b10011101000110111100100101000101 A" +b10110 -" +1y +1u +1n +0m +1w +1," +b1110 ~ +b1110 )" +b1110 ." +b1001 } +b1001 (" +b1001 +" +1#" +b11000101110000010010110100111101 / +b11000101110000010010110100111101 K +b11000101110000010010110100111101 e +b11000101110000010010110100111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7605500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7606000 +1i +1s +1h +09" +0:" +0;" +1q +05" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0w +0," +b10011101000110111100100101000101 / +b10011101000110111100100101000101 K +b10011101000110111100100101000101 e +b10011101000110111100100101000101 "" +b100100010001101111001101000000 0 +b100100010001101111001101000000 M +b100100010001101111001101000000 f +b100100010001101111001101000000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7606500 +1. +0% +#7607000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7607500 +b1100 5 +b1100 L +b1100 W +14 +b11001 7 +b11001 N +b11001 V +16 +b1100 ' +b1100 D +1) +b11001 & +b11001 C +1( +1. +0% +#7608000 +0i +b10001101000110110010110110010100 { +b10001101000110110010110110010100 A" +0s +b11111011000110010111110010100111 | +b11111011000110010111110010100111 B" +19" +1:" +1;" +0q +15" +17" +b10011 0" +0j +0o +1p +1k +b110 -" +1y +0u +14" +1v +1/" +1w +1," +b1100 ~ +b1100 )" +b1100 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#7608500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7609000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0:" +0;" +b11111 0" +0p +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10001101000110110010110110010100 / +b10001101000110110010110110010100 K +b10001101000110110010110110010100 e +b10001101000110110010110110010100 "" +b11111011000110010111110010100111 0 +b11111011000110010111110010100111 M +b11111011000110010111110010100111 f +b11111011000110010111110010100111 !" +0. +1% +#7609500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7610000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7610500 +1. +0% +#7611000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7611500 +b10011 7 +b10011 N +b10011 V +16 +b10011 & +b10011 C +1( +1. +0% +#7612000 +b1111000010010101010010010011100 | +b1111000010010101010010010011100 B" +0r +0q +17" +b1100 -" +0u +14" +1v +1x +1w +1," +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#7612500 +b1110 7 +b1110 N +b1110 V +b1110 & +b1110 C +1. +0% +#7613000 +1u +0s +1q +17" +15" +16" +b10001 -" +04" +0v +0t +0y +1z +b10011101000110111100100101000101 | +b10011101000110111100100101000101 B" +0w +b1110 } +b1110 (" +b1110 +" +b1111000010010101010010010011100 0 +b1111000010010101010010010011100 M +b1111000010010101010010010011100 f +b1111000010010101010010010011100 !" +0. +1% +#7613500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +1. +0% +#7614000 +0i +b10000101001111111011101010010001 { +b10000101001111111011101010010001 A" +1s +19" +1;" +1r +05" +06" +07" +b10111 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b10011101000110111100100101000101 0 +b10011101000110111100100101000101 M +b10011101000110111100100101000101 f +b10011101000110111100100101000101 !" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7614500 +b0 5 +b0 L +b0 W +04 +16 +b10000100111111100011101111111101 2 +b10000100111111100011101111111101 I +b10000100111111100011101111111101 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +1( +b10000100111111100011101111111101 , +b10000100111111100011101111111101 H +b1101 + +b1101 G +1- +1. +0% +#7615000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11001101011101011100010001111011 | +b11001101011101011100010001111011 B" +0[ +1=" +1>" +1@" +09" +0;" +17" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0o +0k +1u +1a +12" +0/" +1," +b10000100111111100011101111111101 &" +b10000100111111100011101111111101 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +b10000101001111111011101010010001 / +b10000101001111111011101010010001 K +b10000101001111111011101010010001 e +b10000101001111111011101010010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7615010 +b10000100111111100011101111111101 P" +#7615500 +b11110 5 +b11110 L +b11110 W +14 +b10 7 +b10 N +b10 V +b10011100001010111001101101110111 2 +b10011100001010111001101101110111 I +b10011100001010111001101101110111 Z +b1100 3 +b1100 J +b1100 Y +b11110 ' +b11110 D +1) +b10 & +b10 C +b10011100001010111001101101110111 , +b10011100001010111001101101110111 H +b1100 + +b1100 G +1. +0% +#7616000 +0i +b11000000100010001100101001100 { +b11000000100010001100101001100 A" +1[ +0h +19" +1:" +1;" +0r +b10011 3" +b1 0" +0j +0o +1p +0k +18" +1l +b11101 -" +b11101101010000010111001011011 | +b11101101010000010111001011011 B" +0a +1n +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001101011101011100010001111011 0 +b11001101011101011100010001111011 M +b11001101011101011100010001111011 f +b11001101011101011100010001111011 !" +b10011100001010111001101101110111 &" +b10011100001010111001101101110111 ?" +b1100 %" +b1100 *" +b1100 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b10 } +b10 (" +b10 +" +0. +1% +#7616010 +b10011100001010111001101101110111 O" +#7616500 +b11011 5 +b11011 L +b11011 W +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7617000 +1] +1o +0s +0=" +0>" +0@" +0g +19" +0:" +0q +16" +b11111 3" +0d +0_ +b100 0" +0p +b1011101100100111110100010010000 { +b1011101100100111110100010010000 A" +b11000 -" +1t +b10000111101010111100001000101111 | +b10000111101010111100001000101111 B" +02" +1m +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +b111 } +b111 (" +b111 +" +b11000000100010001100101001100 / +b11000000100010001100101001100 K +b11000000100010001100101001100 e +b11000000100010001100101001100 "" +b11101101010000010111001011011 0 +b11101101010000010111001011011 M +b11101101010000010111001011011 f +b11101101010000010111001011011 !" +0. +1% +#7617500 +b1100 5 +b1100 L +b1100 W +b10010 7 +b10010 N +b10010 V +b1100 ' +b1100 D +b10010 & +b10010 C +1. +0% +#7618000 +1k +0o +0u +1s +1h +1g +1;" +1:" +1q +06" +b10011 0" +08" +0l +1p +b10011100001010111001101101110111 { +b10011100001010111001101101110111 A" +b1101 -" +14" +1v +0t +b11111111011010100100010011111100 | +b11111111011010100100010011111100 B" +0n +0m +0w +b1011101100100111110100010010000 / +b1011101100100111110100010010000 K +b1011101100100111110100010010000 e +b1011101100100111110100010010000 "" +b10000111101010111100001000101111 0 +b10000111101010111100001000101111 M +b10000111101010111100001000101111 f +b10000111101010111100001000101111 !" +b1100 ~ +b1100 )" +b1100 ." +b10010 } +b10010 (" +b10010 +" +0. +1% +#7618500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110111100101000010000000111001 2 +b110111100101000010000000111001 I +b110111100101000010000000111001 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110111100101000010000000111001 , +b110111100101000010000000111001 H +b1 + +b1 G +1- +1. +0% +#7619000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +1@" +09" +0:" +0;" +1r +07" +b11110 3" +1_ +b11111 0" +0p +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0/" +0x +0," +b110111100101000010000000111001 &" +b110111100101000010000000111001 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011100001010111001101101110111 / +b10011100001010111001101101110111 K +b10011100001010111001101101110111 e +b10011100001010111001101101110111 "" +b11111111011010100100010011111100 0 +b11111111011010100100010011111100 M +b11111111011010100100010011111100 f +b11111111011010100100010011111100 !" +0. +1% +#7619010 +b110111100101000010000000111001 D" +#7619500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7620000 +b11101101010000010111001011011 | +b11101101010000010111001011011 B" +1[ +0@" +0r +17" +b11111 3" +0_ +b11101 -" +1u +0a +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#7620500 +b10001 7 +b10001 N +b10001 V +b10001 & +b10001 C +1. +0% +#7621000 +0u +1r +0q +b1110 -" +14" +1v +b11000101110000010010110100111101 | +b11000101110000010010110100111101 B" +0x +1w +b10001 } +b10001 (" +b10001 +" +b11101101010000010111001011011 0 +b11101101010000010111001011011 M +b11101101010000010111001011011 f +b11101101010000010111001011011 !" +0. +1% +#7621500 +b10001 5 +b10001 L +b10001 W +14 +b10011 7 +b10011 N +b10011 V +b10001 ' +b10001 D +1) +b10011 & +b10011 C +1. +0% +#7622000 +b11000101110000010010110100111101 { +b11000101110000010010110100111101 A" +0g +1;" +0r +b1110 0" +0k +18" +1l +b1100 -" +b1111000010010101010010010011100 | +b1111000010010101010010010011100 B" +1m +1/" +1x +b11000101110000010010110100111101 0 +b11000101110000010010110100111101 M +b11000101110000010010110100111101 f +b11000101110000010010110100111101 !" +b10001 ~ +b10001 )" +b10001 ." +1$" +b10011 } +b10011 (" +b10011 +" +0. +1% +#7622500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 ' +b0 D +0) +b1100 & +b1100 C +1. +0% +#7623000 +1u +0s +1g +0;" +1r +1q +17" +15" +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +04" +0v +0t +0y +1z +b10011100001010111001101101110111 | +b10011100001010111001101101110111 B" +0m +0/" +0x +0w +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +b11000101110000010010110100111101 / +b11000101110000010010110100111101 K +b11000101110000010010110100111101 e +b11000101110000010010110100111101 "" +b1111000010010101010010010011100 0 +b1111000010010101010010010011100 M +b1111000010010101010010010011100 f +b1111000010010101010010010011100 !" +0. +1% +#7623500 +b0 7 +b0 N +b0 V +06 +b10011111111001000000010001101001 2 +b10011111111001000000010001101001 I +b10011111111001000000010001101001 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 & +b0 C +0( +b10011111111001000000010001101001 , +b10011111111001000000010001101001 H +b10000 + +b10000 G +1- +1. +0% +#7624000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +05" +06" +07" +b1111 3" +0_ +1<" +1` +b11111 -" +0z +0u +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011100001010111001101101110111 0 +b10011100001010111001101101110111 M +b10011100001010111001101101110111 f +b10011100001010111001101101110111 !" +b10011111111001000000010001101001 &" +b10011111111001000000010001101001 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7624010 +b10011111111001000000010001101001 S" +#7624500 +b1011 5 +b1011 L +b1011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7625000 +0i +b11101011010101011011100100011010 { +b11101011010101011011100100011010 A" +0@" +0h +0g +19" +1;" +b11111 3" +0<" +0` +b10100 0" +1o +1k +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7625500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b1111111001010010000010000011110 2 +b1111111001010010000010000011110 I +b1111111001010010000010000011110 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +b1111111001010010000010000011110 , +b1111111001010010000010000011110 H +b10101 + +b10101 G +1- +1. +0% +#7626000 +0] +1i +0s +b100010000011011101010101010010 | +b100010000011011101010101010010 B" +0[ +1>" +1@" +1h +1g +09" +0;" +15" +16" +17" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +0t +0y +1z +0u +14" +1v +1a +12" +0n +0m +0/" +1," +b11101011010101011011100100011010 / +b11101011010101011011100100011010 K +b11101011010101011011100100011010 e +b11101011010101011011100100011010 "" +b1111111001010010000010000011110 &" +b1111111001010010000010000011110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#7626010 +b1111111001010010000010000011110 X" +#7626500 +b0 7 +b0 N +b0 V +06 +b110000000111100101111110001100 2 +b110000000111100101111110001100 I +b110000000111100101111110001100 Z +b1110 3 +b1110 J +b1110 Y +b0 & +b0 C +0( +b110000000111100101111110001100 , +b110000000111100101111110001100 H +b1110 + +b1110 G +1. +0% +#7627000 +1_ +0^ +1s +0\ +1[ +1@" +1=" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10001 3" +0<" +0` +1d +b11111 -" +0z +04" +0v +1b +0a +0," +b110000000111100101111110001100 &" +b110000000111100101111110001100 ?" +b1110 %" +b1110 *" +b1110 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010000011011101010101010010 0 +b100010000011011101010101010010 M +b100010000011011101010101010010 f +b100010000011011101010101010010 !" +0. +1% +#7627010 +b110000000111100101111110001100 Q" +#7627500 +b11110 5 +b11110 L +b11110 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1001010111110011000111111100101 2 +b1001010111110011000111111100101 I +b1001010111110011000111111100101 Z +b10000 3 +b10000 J +b10000 Y +b11110 ' +b11110 D +1) +b1000 & +b1000 C +1( +b1001010111110011000111111100101 , +b1001010111110011000111111100101 H +b10000 + +b10000 G +1. +0% +#7628000 +0_ +1] +0i +b11000000100010001100101001100 { +b11000000100010001100101001100 A" +0s +b10000101001111111011101010010001 | +b10000101001111111011101010010001 B" +1\ +0=" +0>" +0h +19" +1:" +1;" +15" +17" +b1111 3" +1<" +1` +0d +b1 0" +0j +0o +1p +0k +18" +1l +b10111 -" +1y +1u +0b +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001010111110011000111111100101 &" +b1001010111110011000111111100101 ?" +b10000 %" +b10000 *" +b10000 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#7628010 +b1001010111110011000111111100101 S" +#7628500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11100 & +b11100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7629000 +1i +0u +0y +0@" +1h +09" +0:" +0;" +b100010000011011101010101010010 | +b100010000011011101010101010010 B" +16" +b11111 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +14" +1v +1z +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b11000000100010001100101001100 / +b11000000100010001100101001100 K +b11000000100010001100101001100 e +b11000000100010001100101001100 "" +b10000101001111111011101010010001 0 +b10000101001111111011101010010001 M +b10000101001111111011101010010001 f +b10000101001111111011101010010001 !" +0. +1% +#7629500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b111100101001010101110000111110 2 +b111100101001010101110000111110 I +b111100101001010101110000111110 Z +b10011 3 +b10011 J +b10011 Y +11 +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +b111100101001010101110000111110 , +b111100101001010101110000111110 H +b10011 + +b10011 G +1- +1. +0% +#7630000 +0i +b11101011010101011011100100011010 { +b11101011010101011011100100011010 A" +1s +0\ +0[ +1@" +0h +0g +19" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1100 3" +0_ +1<" +1` +b10100 0" +1o +1k +b11111 -" +0z +04" +0v +1b +1a +12" +1n +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010000011011101010101010010 0 +b100010000011011101010101010010 M +b100010000011011101010101010010 f +b100010000011011101010101010010 !" +b111100101001010101110000111110 &" +b111100101001010101110000111110 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7630010 +b111100101001010101110000111110 V" +#7630500 +b1100 5 +b1100 L +b1100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7631000 +0o +1\ +1[ +0@" +1h +1g +1:" +b11111 3" +0<" +0` +b10011 0" +1p +b10011100001010111001101101110111 { +b10011100001010111001101101110111 A" +0b +0a +02" +0n +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +b11101011010101011011100100011010 / +b11101011010101011011100100011010 K +b11101011010101011011100100011010 e +b11101011010101011011100100011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7631500 +b1 5 +b1 L +b1 W +b1 ' +b1 D +1. +0% +#7632000 +1i +0g +09" +0:" +b11110 0" +0p +b110111100101000010000000111001 { +b110111100101000010000000111001 A" +1m +b10011100001010111001101101110111 / +b10011100001010111001101101110111 K +b10011100001010111001101101110111 e +b10011100001010111001101101110111 "" +b1 ~ +b1 )" +b1 ." +0. +1% +#7632500 +b101 5 +b101 L +b101 W +b10010 7 +b10010 N +b10010 V +16 +b11001000000000110011011000101001 2 +b11001000000000110011011000101001 I +b11001000000000110011011000101001 Z +b11001 3 +b11001 J +b11001 Y +11 +b101 ' +b101 D +b10010 & +b10010 C +1( +b11001000000000110011011000101001 , +b11001000000000110011011000101001 H +b11001 + +b11001 G +1- +1. +0% +#7633000 +0] +0i +b1010010010000010111000100101 { +b1010010010000010111000100101 A" +b11111111011010100100010011111100 | +b11111111011010100100010011111100 B" +0[ +1=" +1@" +1:" +0r +17" +b110 3" +1c +0_ +1<" +1` +b11010 0" +1j +b1101 -" +0u +14" +1v +1a +12" +1x +1," +b11001000000000110011011000101001 &" +b11001000000000110011011000101001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b101 ~ +b101 )" +b101 ." +b10010 } +b10010 (" +b10010 +" +1#" +b110111100101000010000000111001 / +b110111100101000010000000111001 K +b110111100101000010000000111001 e +b110111100101000010000000111001 "" +0. +1% +#7633010 +b11001000000000110011011000101001 \" +#7633500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b11000101010110010011110100011001 2 +b11000101010110010011110100011001 I +b11000101010110010011110100011001 Z +b10010 3 +b10010 J +b10010 Y +b11110 ' +b11110 D +b0 & +b0 C +0( +b11000101010110010011110100011001 , +b11000101010110010011110100011001 H +b10010 + +b10010 G +1. +0% +#7634000 +1] +0k +0j +0\ +1[ +0=" +0h +1g +19" +1r +07" +b1101 3" +0c +b1 0" +18" +1l +1p +b11000000100010001100101001100 { +b11000000100010001100101001100 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +1n +0m +0x +0," +b1010010010000010111000100101 / +b1010010010000010111000100101 K +b1010010010000010111000100101 e +b1010010010000010111000100101 "" +b11111111011010100100010011111100 0 +b11111111011010100100010011111100 M +b11111111011010100100010011111100 f +b11111111011010100100010011111100 !" +b11000101010110010011110100011001 &" +b11000101010110010011110100011001 ?" +b10010 %" +b10010 *" +b10010 1" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7634010 +b11000101010110010011110100011001 U" +#7634500 +b10100 5 +b10100 L +b10100 W +b11101010001100100000010110001110 2 +b11101010001100100000010110001110 I +b11101010001100100000010110001110 Z +b11 3 +b11 J +b11 Y +b10100 ' +b10100 D +b11101010001100100000010110001110 , +b11101010001100100000010110001110 H +b11 + +b11 G +1. +0% +#7635000 +1_ +1j +0[ +1@" +1h +09" +1:" +b11100 3" +0<" +0` +b1011 0" +0p +b101101000001101101110000101001 { +b101101000001101101110000101001 A" +1a +0n +b11101010001100100000010110001110 &" +b11101010001100100000010110001110 ?" +b11 %" +b11 *" +b11 1" +b10100 ~ +b10100 )" +b10100 ." +b11000000100010001100101001100 / +b11000000100010001100101001100 K +b11000000100010001100101001100 e +b11000000100010001100101001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7635010 +b11101010001100100000010110001110 F" +#7635500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7636000 +1i +0s +b1111111001010010000010000011110 | +b1111111001010010000010000011110 B" +1\ +1[ +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +16" +17" +b11111 3" +0_ +b11111 0" +0j +08" +0l +b1010 -" +1t +0u +14" +1v +0b +0a +02" +0/" +1w +1," +b101101000001101101110000101001 / +b101101000001101101110000101001 K +b101101000001101101110000101001 e +b101101000001101101110000101001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#7636500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7637000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111111001010010000010000011110 0 +b1111111001010010000010000011110 M +b1111111001010010000010000011110 f +b1111111001010010000010000011110 !" +0. +1% +#7637500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#7638000 +0i +b110000000111100101111110001100 { +b110000000111100101111110001100 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#7638500 +b10010 5 +b10010 L +b10010 W +b10010 ' +b10010 D +1. +0% +#7639000 +0k +1i +b11000101010110010011110100011001 { +b11000101010110010011110100011001 A" +09" +0:" +b1101 0" +18" +1l +0p +b10010 ~ +b10010 )" +b10010 ." +b110000000111100101111110001100 / +b110000000111100101111110001100 K +b110000000111100101111110001100 e +b110000000111100101111110001100 "" +0. +1% +#7639500 +b110 5 +b110 L +b110 W +b110 ' +b110 D +1. +0% +#7640000 +1k +0i +1;" +b101111111100000011000001011 { +b101111111100000011000001011 A" +1:" +b11001 0" +08" +0l +1j +b11000101010110010011110100011001 / +b11000101010110010011110100011001 K +b11000101010110010011110100011001 e +b11000101010110010011110100011001 "" +b110 ~ +b110 )" +b110 ." +0. +1% +#7640500 +b1001 5 +b1001 L +b1001 W +b1001 ' +b1001 D +1. +0% +#7641000 +19" +1o +1h +0g +0:" +b10110 0" +0j +b100100010001101111001101000000 { +b100100010001101111001101000000 A" +0n +1m +b1001 ~ +b1001 )" +b1001 ." +b101111111100000011000001011 / +b101111111100000011000001011 K +b101111111100000011000001011 e +b101111111100000011000001011 "" +0. +1% +#7641500 +b10000 5 +b10000 L +b10000 W +b1000 7 +b1000 N +b1000 V +16 +b10000 ' +b10000 D +b1000 & +b1000 C +1( +1. +0% +#7642000 +0k +1i +0s +b10000101001111111011101010010001 | +b10000101001111111011101010010001 B" +1g +09" +15" +17" +b1111 0" +18" +1l +0o +b1001010111110011000111111100101 { +b1001010111110011000111111100101 A" +b10111 -" +1y +1u +0m +1," +b100100010001101111001101000000 / +b100100010001101111001101000000 K +b100100010001101111001101000000 e +b100100010001101111001101000000 "" +b10000 ~ +b10000 )" +b10000 ." +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#7642500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +b0 & +b0 C +0( +1. +0% +#7643000 +1k +0i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +1;" +19" +05" +07" +b10110 0" +08" +0l +1o +b100100010001101111001101000000 { +b100100010001101111001101000000 A" +b11111 -" +0y +0u +1m +0," +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +b1001010111110011000111111100101 / +b1001010111110011000111111100101 K +b1001010111110011000111111100101 e +b1001010111110011000111111100101 "" +b10000101001111111011101010010001 0 +b10000101001111111011101010010001 M +b10000101001111111011101010010001 f +b10000101001111111011101010010001 !" +0. +1% +#7643500 +b1110 5 +b1110 L +b1110 W +b1011 7 +b1011 N +b1011 V +16 +b10101100000000100110000000100011 2 +b10101100000000100110000000100011 I +b10101100000000100110000000100011 Z +b11 3 +b11 J +b11 Y +11 +b1110 ' +b1110 D +b1011 & +b1011 C +1( +b10101100000000100110000000100011 , +b10101100000000100110000000100011 H +b11 + +b11 G +1- +1. +0% +#7644000 +0o +0s +b11101011010101011011100100011010 | +b11101011010101011011100100011010 B" +0\ +0[ +1@" +0h +1g +1:" +0r +0q +15" +17" +b11100 3" +1_ +b10001 0" +1p +b110000000111100101111110001100 { +b110000000111100101111110001100 A" +b10100 -" +1y +1u +1b +1a +12" +1n +0m +1x +1w +1," +b100100010001101111001101000000 / +b100100010001101111001101000000 K +b100100010001101111001101000000 e +b100100010001101111001101000000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101100000000100110000000100011 &" +b10101100000000100110000000100011 ?" +b11 %" +b11 *" +b11 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#7644010 +b10101100000000100110000000100011 F" +#7644500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b1100010100110111000111110101100 2 +b1100010100110111000111110101100 I +b1100010100110111000111110101100 Z +b10111 3 +b10111 J +b10111 Y +b100 ' +b100 D +b0 & +b0 C +0( +b1100010100110111000111110101100 , +b1100010100110111000111110101100 H +b10111 + +b10111 G +1. +0% +#7645000 +0_ +0] +1j +1s +1>" +1h +09" +1:" +1r +1q +05" +07" +b1000 3" +1<" +1` +1^ +b11011 0" +0p +b11110011010111010010101000011101 { +b11110011010111010010101000011101 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0x +0w +0," +b1100010100110111000111110101100 &" +b1100010100110111000111110101100 ?" +b10111 %" +b10111 *" +b10111 1" +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +b110000000111100101111110001100 / +b110000000111100101111110001100 K +b110000000111100101111110001100 e +b110000000111100101111110001100 "" +b11101011010101011011100100011010 0 +b11101011010101011011100100011010 M +b11101011010101011011100100011010 f +b11101011010101011011100100011010 !" +0. +1% +#7645010 +b1100010100110111000111110101100 Z" +#7645500 +b11000 5 +b11000 L +b11000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7646000 +19" +1] +0k +1o +1\ +1[ +0>" +0@" +b111111101001110000100110100101 { +b111111101001110000100110100101 A" +0:" +b11111 3" +0^ +0<" +0` +b111 0" +18" +1l +0j +0b +0a +02" +b11110011010111010010101000011101 / +b11110011010111010010101000011101 K +b11110011010111010010101000011101 e +b11110011010111010010101000011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +0. +1% +#7646500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7647000 +1i +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0o +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b111111101001110000100110100101 / +b111111101001110000100110100101 K +b111111101001110000100110100101 e +b111111101001110000100110100101 "" +0. +1% +#7647500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#7648000 +0s +b11001000000000110011011000101001 | +b11001000000000110011011000101001 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#7648500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b1000000110100100100001101000011 2 +b1000000110100100100001101000011 I +b1000000110100100100001101000011 Z +b1101 3 +b1101 J +b1101 Y +11 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b1000000110100100100001101000011 , +b1000000110100100100001101000011 H +b1101 + +b1101 G +1- +1. +0% +#7649000 +0] +0i +b1111111001010010000010000011110 { +b1111111001010010000010000011110 A" +1s +0[ +1=" +1>" +1@" +0g +1:" +1;" +1q +05" +07" +b10010 3" +0^ +0c +1d +1_ +b1010 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1m +1/" +0w +0," +b1000000110100100100001101000011 &" +b1000000110100100100001101000011 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11001000000000110011011000101001 0 +b11001000000000110011011000101001 M +b11001000000000110011011000101001 f +b11001000000000110011011000101001 !" +0. +1% +#7649010 +b1000000110100100100001101000011 P" +#7649500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7650000 +1] +1i +0s +b111111101001110000100110100101 | +b111111101001110000100110100101 B" +1[ +0=" +0>" +0@" +1g +0:" +0;" +15" +17" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +1y +0u +14" +1v +0a +02" +0m +0/" +1," +b1111111001010010000010000011110 / +b1111111001010010000010000011110 K +b1111111001010010000010000011110 e +b1111111001010010000010000011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#7650500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7651000 +1s +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0y +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111111101001110000100110100101 0 +b111111101001110000100110100101 M +b111111101001110000100110100101 f +b111111101001110000100110100101 !" +0. +1% +#7651500 +b10110 5 +b10110 L +b10110 W +14 +b10110 ' +b10110 D +1) +1. +0% +#7652000 +0i +b11100101001011110001001001001111 { +b11100101001011110001001001001111 A" +0h +1:" +1;" +b1001 0" +1j +0k +18" +1l +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110 ~ +b10110 )" +b10110 ." +1$" +0. +1% +#7652500 +b11000 5 +b11000 L +b11000 W +b11000 ' +b11000 D +1. +0% +#7653000 +19" +1o +1h +0:" +b111 0" +0j +b111111101001110000100110100101 { +b111111101001110000100110100101 A" +0n +b11000 ~ +b11000 )" +b11000 ." +b11100101001011110001001001001111 / +b11100101001011110001001001001111 K +b11100101001011110001001001001111 e +b11100101001011110001001001001111 "" +0. +1% +#7653500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7654000 +1i +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0o +08" +0l +0/" +b111111101001110000100110100101 / +b111111101001110000100110100101 K +b111111101001110000100110100101 e +b111111101001110000100110100101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7654500 +b1011 7 +b1011 N +b1011 V +16 +b11011111011100000011110110101111 2 +b11011111011100000011110110101111 I +b11011111011100000011110110101111 Z +b111 3 +b111 J +b111 Y +11 +b1011 & +b1011 C +1( +b11011111011100000011110110101111 , +b11011111011100000011110110101111 H +b111 + +b111 G +1- +1. +0% +#7655000 +0] +0s +b11101011010101011011100100011010 | +b11101011010101011011100100011010 B" +0\ +0[ +1>" +1@" +0r +0q +15" +17" +b11000 3" +1^ +1_ +b10100 -" +1y +1u +1b +1a +12" +1x +1w +1," +b11011111011100000011110110101111 &" +b11011111011100000011110110101111 ?" +b111 %" +b111 *" +b111 1" +1'" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7655010 +b11011111011100000011110110101111 J" +#7655500 +b0 7 +b0 N +b0 V +06 +b10101101001100101000110010 2 +b10101101001100101000110010 I +b10101101001100101000110010 Z +b11010 3 +b11010 J +b11010 Y +b0 & +b0 C +0( +b10101101001100101000110010 , +b10101101001100101000110010 H +b11010 + +b11010 G +1. +0% +#7656000 +1=" +0_ +1c +1s +1[ +0>" +1r +1q +05" +07" +b101 3" +1<" +1` +0^ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0x +0w +0," +b11101011010101011011100100011010 0 +b11101011010101011011100100011010 M +b11101011010101011011100100011010 f +b11101011010101011011100100011010 !" +b10101101001100101000110010 &" +b10101101001100101000110010 ?" +b11010 %" +b11010 *" +b11010 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7656010 +b10101101001100101000110010 ]" +#7656500 +b10011 5 +b10011 L +b10011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7657000 +1] +b111100101001010101110000111110 { +b111100101001010101110000111110 A" +1\ +0=" +0@" +0h +0g +1;" +b11111 3" +0c +0<" +0` +b1100 0" +0k +18" +1l +0b +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7657500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +1. +0% +#7658000 +0s +b1011101100100111110100010010000 | +b1011101100100111110100010010000 B" +1h +1g +0;" +0r +0q +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +1y +0u +14" +1v +0n +0m +0/" +1x +1w +1," +b111100101001010101110000111110 / +b111100101001010101110000111110 K +b111100101001010101110000111110 e +b111100101001010101110000111110 "" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#7658500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b110101100010100100010001111111 2 +b110101100010100100010001111111 I +b110101100010100100010001111111 Z +b10000 3 +b10000 J +b10000 Y +11 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +b110101100010100100010001111111 , +b110101100010100100010001111111 H +b10000 + +b10000 G +1- +1. +0% +#7659000 +0i +b10011100001010111001101101110111 { +b10011100001010111001101101110111 A" +1s +1@" +19" +1:" +1;" +1r +1q +05" +07" +b1111 3" +0_ +1<" +1` +b10011 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1/" +0x +0w +0," +b110101100010100100010001111111 &" +b110101100010100100010001111111 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011101100100111110100010010000 0 +b1011101100100111110100010010000 M +b1011101100100111110100010010000 f +b1011101100100111110100010010000 !" +0. +1% +#7659010 +b110101100010100100010001111111 S" +#7659500 +b11 5 +b11 L +b11 W +b10100010010000100011011111001001 2 +b10100010010000100011011111001001 I +b10100010010000100011011111001001 Z +b1011 3 +b1011 J +b1011 Y +b11 ' +b11 D +b10100010010000100011011111001001 , +b10100010010000100011011111001001 H +b1011 + +b1011 G +1. +0% +#7660000 +1_ +0] +1i +0\ +0[ +1@" +1=" +0h +0g +09" +0:" +b10100 3" +0<" +0` +1c +b11100 0" +0p +b10101100000000100110000000100011 { +b10101100000000100110000000100011 A" +1b +1a +1n +1m +b10011100001010111001101101110111 / +b10011100001010111001101101110111 K +b10011100001010111001101101110111 e +b10011100001010111001101101110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100010010000100011011111001001 &" +b10100010010000100011011111001001 ?" +b1011 %" +b1011 *" +b1011 1" +b11 ~ +b11 )" +b11 ." +0. +1% +#7660010 +b10100010010000100011011111001001 N" +#7660500 +b11101 5 +b11101 L +b11101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7661000 +1] +0k +0i +1\ +1[ +0=" +0@" +1h +19" +1:" +b11111 3" +0c +0_ +b10 0" +18" +1l +0j +0o +1p +b111000011010101011001011111110 { +b111000011010101011001011111110 A" +0b +0a +02" +0n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +b10101100000000100110000000100011 / +b10101100000000100110000000100011 K +b10101100000000100110000000100011 e +b10101100000000100110000000100011 "" +0. +1% +#7661500 +b10100 5 +b10100 L +b10100 W +b1010 7 +b1010 N +b1010 V +16 +b10100 ' +b10100 D +b1010 & +b1010 C +1( +1. +0% +#7662000 +1j +0s +b1000001011110000110000111110111 | +b1000001011110000110000111110111 B" +1g +09" +1:" +0r +15" +17" +b1011 0" +0p +b101101000001101101110000101001 { +b101101000001101101110000101001 A" +b10101 -" +1y +1u +0m +1x +1," +b111000011010101011001011111110 / +b111000011010101011001011111110 K +b111000011010101011001011111110 e +b111000011010101011001011111110 "" +b10100 ~ +b10100 )" +b10100 ." +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#7662500 +b101 5 +b101 L +b101 W +b1000 7 +b1000 N +b1000 V +b11010011111001000110101100011001 2 +b11010011111001000110101100011001 I +b11010011111001000110101100011001 Z +b1011 3 +b1011 J +b1011 Y +11 +b101 ' +b101 D +b1000 & +b1000 C +b11010011111001000110101100011001 , +b11010011111001000110101100011001 H +b1011 + +b1011 G +1- +1. +0% +#7663000 +0] +1k +0\ +0[ +1=" +1@" +0g +1;" +1r +b10100 3" +1c +1_ +b11010 0" +08" +0l +b1010010010000010111000100101 { +b1010010010000010111000100101 A" +b10111 -" +b10000101001111111011101010010001 | +b10000101001111111011101010010001 B" +1b +1a +12" +1m +0x +b11010011111001000110101100011001 &" +b11010011111001000110101100011001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b101 ~ +b101 )" +b101 ." +b1000 } +b1000 (" +b1000 +" +b101101000001101101110000101001 / +b101101000001101101110000101001 K +b101101000001101101110000101001 e +b101101000001101101110000101001 "" +b1000001011110000110000111110111 0 +b1000001011110000110000111110111 M +b1000001011110000110000111110111 f +b1000001011110000110000111110111 !" +0. +1% +#7663010 +b11010011111001000110101100011001 N" +#7663500 +b11 5 +b11 L +b11 W +b11111 7 +b11111 N +b11111 V +b1101111101010001110001000011100 2 +b1101111101010001110001000011100 I +b1101111101010001110001000011100 Z +b1010 3 +b1010 J +b1010 Y +b11 ' +b11 D +b11111 & +b11111 C +b1101111101010001110001000011100 , +b1101111101010001110001000011100 H +b1010 + +b1010 G +1. +0% +#7664000 +1i +0u +0y +1[ +0h +0:" +0r +0q +16" +b10101 3" +b11100 0" +0j +b10101100000000100110000000100011 { +b10101100000000100110000000100011 A" +b0 -" +14" +1v +1z +b1111110001001011100000010011101 | +b1111110001001011100000010011101 B" +0a +1n +1x +1w +b1010010010000010111000100101 / +b1010010010000010111000100101 K +b1010010010000010111000100101 e +b1010010010000010111000100101 "" +b10000101001111111011101010010001 0 +b10000101001111111011101010010001 M +b10000101001111111011101010010001 f +b10000101001111111011101010010001 !" +b1101111101010001110001000011100 &" +b1101111101010001110001000011100 ?" +b1010 %" +b1010 *" +b1010 1" +b11 ~ +b11 )" +b11 ." +b11111 } +b11111 (" +b11111 +" +0. +1% +#7664010 +b1101111101010001110001000011100 M" +#7664500 +b101 5 +b101 L +b101 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7665000 +1] +0i +1s +1\ +0=" +0@" +1h +1:" +1r +1q +05" +06" +07" +b11111 3" +0c +0_ +b11010 0" +1j +b1010010010000010111000100101 { +b1010010010000010111000100101 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +b0 } +b0 (" +b0 +" +0#" +b10101100000000100110000000100011 / +b10101100000000100110000000100011 K +b10101100000000100110000000100011 e +b10101100000000100110000000100011 "" +b1111110001001011100000010011101 0 +b1111110001001011100000010011101 M +b1111110001001011100000010011101 f +b1111110001001011100000010011101 !" +0. +1% +#7665500 +b1100 5 +b1100 L +b1100 W +b10100111000101000110010000110000 2 +b10100111000101000110010000110000 I +b10100111000101000110010000110000 Z +b1101 3 +b1101 J +b1101 Y +11 +b1100 ' +b1100 D +b10100111000101000110010000110000 , +b10100111000101000110010000110000 H +b1101 + +b1101 G +1- +1. +0% +#7666000 +0] +0j +0[ +1=" +1>" +1@" +1g +19" +b10010 3" +0^ +0c +1d +1_ +b10011 0" +1p +b10011100001010111001101101110111 { +b10011100001010111001101101110111 A" +1a +12" +0m +b1010010010000010111000100101 / +b1010010010000010111000100101 K +b1010010010000010111000100101 e +b1010010010000010111000100101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100111000101000110010000110000 &" +b10100111000101000110010000110000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +0. +1% +#7666010 +b10100111000101000110010000110000 P" +#7666500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b11000000111110100011110101110100 2 +b11000000111110100011110101110100 I +b11000000111110100011110101110100 Z +b10001 3 +b10001 J +b10001 Y +b0 ' +b0 D +0) +b1 & +b1 C +1( +b11000000111110100011110101110100 , +b11000000111110100011110101110100 H +b10001 + +b10001 G +1. +0% +#7667000 +0_ +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b110111100101000010000000111001 | +b110111100101000010000000111001 B" +0=" +0>" +09" +0:" +0;" +0q +17" +b1110 3" +1<" +1` +0d +b11111 0" +0p +0k +b11110 -" +1u +0/" +1w +1," +b11000000111110100011110101110100 &" +b11000000111110100011110101110100 ?" +b10001 %" +b10001 *" +b10001 1" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b10011100001010111001101101110111 / +b10011100001010111001101101110111 K +b10011100001010111001101101110111 e +b10011100001010111001101101110111 "" +0. +1% +#7667010 +b11000000111110100011110101110100 T" +#7667500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7668000 +0i +b10101101001100101000110010 { +b10101101001100101000110010 A" +1[ +0@" +0h +19" +1;" +1q +07" +b11111 3" +0<" +0` +b101 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +1n +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110111100101000010000000111001 0 +b110111100101000010000000111001 M +b110111100101000010000000111001 f +b110111100101000010000000111001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7668500 +b1010 5 +b1010 L +b1010 W +b110010110000100110010101011011 2 +b110010110000100110010101011011 I +b110010110000100110010101011011 Z +b10111 3 +b10111 J +b10111 Y +11 +b1010 ' +b1010 D +b110010110000100110010101011011 , +b110010110000100110010101011011 H +b10111 + +b10111 G +1- +1. +0% +#7669000 +0] +1k +0\ +0[ +1>" +1@" +1;" +b1101111101010001110001000011100 { +b1101111101010001110001000011100 A" +b1000 3" +1^ +0_ +1<" +1` +b10101 0" +08" +0l +1b +1a +12" +b110010110000100110010101011011 &" +b110010110000100110010101011011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b10101101001100101000110010 / +b10101101001100101000110010 K +b10101101001100101000110010 e +b10101101001100101000110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7669010 +b110010110000100110010101011011 Z" +#7669500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b10001010111011010100001000010010 2 +b10001010111011010100001000010010 I +b10001010111011010100001000010010 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b10001010111011010100001000010010 , +b10001010111011010100001000010010 H +b1 + +b1 G +1. +0% +#7670000 +1_ +1] +1i +0s +b10011100001010111001101101110111 | +b10011100001010111001101101110111 B" +1\ +1@" +0>" +1h +09" +0;" +15" +16" +17" +b11110 3" +0<" +0` +0^ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10011 -" +0t +0y +1z +1u +0b +0n +0/" +1," +b1101111101010001110001000011100 / +b1101111101010001110001000011100 K +b1101111101010001110001000011100 e +b1101111101010001110001000011100 "" +b10001010111011010100001000010010 &" +b10001010111011010100001000010010 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#7670010 +b10001010111011010100001000010010 D" +#7670500 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7671000 +1s +1[ +0@" +0r +05" +06" +b11111 3" +0_ +b11101 -" +0z +b11101101010000010111001011011 | +b11101101010000010111001011011 B" +0a +02" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011100001010111001101101110111 0 +b10011100001010111001101101110111 M +b10011100001010111001101101110111 f +b10011100001010111001101101110111 !" +0. +1% +#7671500 +b11101 5 +b11101 L +b11101 W +14 +b11111 7 +b11111 N +b11111 V +b1001000000001100010011101001011 2 +b1001000000001100010011101001011 I +b1001000000001100010011101001011 Z +b10110 3 +b10110 J +b10110 Y +11 +b11101 ' +b11101 D +1) +b11111 & +b11111 C +b1001000000001100010011101001011 , +b1001000000001100010011101001011 H +b10110 + +b10110 G +1- +1. +0% +#7672000 +0] +0i +b111000011010101011001011111110 { +b111000011010101011001011111110 A" +0u +0s +0\ +1>" +1@" +0g +19" +1:" +1;" +0q +15" +16" +b1001 3" +1^ +0_ +1<" +1` +b10 0" +0j +0o +1p +0k +18" +1l +b0 -" +14" +1v +0t +0y +1z +b1111110001001011100000010011101 | +b1111110001001011100000010011101 B" +1b +12" +1m +1/" +1w +b11101101010000010111001011011 0 +b11101101010000010111001011011 M +b11101101010000010111001011011 f +b11101101010000010111001011011 !" +b1001000000001100010011101001011 &" +b1001000000001100010011101001011 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#7672010 +b1001000000001100010011101001011 Y" +#7672500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7673000 +1] +1i +1s +1\ +0>" +0@" +1g +09" +0:" +0;" +1r +1q +05" +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0m +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b111000011010101011001011111110 / +b111000011010101011001011111110 K +b111000011010101011001011111110 e +b111000011010101011001011111110 "" +b1111110001001011100000010011101 0 +b1111110001001011100000010011101 M +b1111110001001011100000010011101 f +b1111110001001011100000010011101 !" +0. +1% +#7673500 +b10001 5 +b10001 L +b10001 W +14 +b101000100011100010110011011000 2 +b101000100011100010110011011000 I +b101000100011100010110011011000 Z +b10000 3 +b10000 J +b10000 Y +11 +b10001 ' +b10001 D +1) +b101000100011100010110011011000 , +b101000100011100010110011011000 H +b10000 + +b10000 G +1- +1. +0% +#7674000 +b11000000111110100011110101110100 { +b11000000111110100011110101110100 A" +1@" +0g +1;" +b1111 3" +0_ +1<" +1` +b1110 0" +0k +18" +1l +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101000100011100010110011011000 &" +b101000100011100010110011011000 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#7674010 +b101000100011100010110011011000 S" +#7674500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7675000 +0@" +1g +0;" +b11111 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000000111110100011110101110100 / +b11000000111110100011110101110100 K +b11000000111110100011110101110100 e +b11000000111110100011110101110100 "" +0. +1% +#7675500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#7676000 +0s +b1011101100100111110100010010000 | +b1011101100100111110100010010000 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#7676500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +1. +0% +#7677000 +0i +b111111101001110000100110100101 { +b111111101001110000100110100101 A" +1s +19" +1;" +1r +1q +05" +07" +b111 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0w +0," +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1011101100100111110100010010000 0 +b1011101100100111110100010010000 M +b1011101100100111110100010010000 f +b1011101100100111110100010010000 !" +0. +1% +#7677500 +b10111 5 +b10111 L +b10111 W +b10111 ' +b10111 D +1. +0% +#7678000 +1:" +1j +0h +0g +09" +b1000 0" +0o +b110010110000100110010101011011 { +b110010110000100110010101011011 A" +1n +1m +b111111101001110000100110100101 / +b111111101001110000100110100101 K +b111111101001110000100110100101 e +b111111101001110000100110100101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111 ~ +b10111 )" +b10111 ." +0. +1% +#7678500 +b1 5 +b1 L +b1 W +b1 ' +b1 D +1. +0% +#7679000 +1k +1i +1h +1;" +0:" +b11110 0" +08" +0l +0j +b10001010111011010100001000010010 { +b10001010111011010100001000010010 A" +0n +b1 ~ +b1 )" +b1 ." +b110010110000100110010101011011 / +b110010110000100110010101011011 K +b110010110000100110010101011011 e +b110010110000100110010101011011 "" +0. +1% +#7679500 +b10011 5 +b10011 L +b10011 W +b10110111110101011011101101001100 2 +b10110111110101011011101101001100 I +b10110111110101011011101101001100 Z +b11111 3 +b11111 J +b11111 Y +11 +b10011 ' +b10011 D +b10110111110101011011101101001100 , +b10110111110101011011101101001100 H +b11111 + +b11111 G +1- +1. +0% +#7680000 +0] +0k +0\ +0[ +1=" +1>" +1@" +0h +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1100 0" +18" +1l +b111100101001010101110000111110 { +b111100101001010101110000111110 A" +1b +1a +12" +1n +b10001010111011010100001000010010 / +b10001010111011010100001000010010 K +b10001010111011010100001000010010 e +b10001010111011010100001000010010 "" +b10110111110101011011101101001100 &" +b10110111110101011011101101001100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#7680500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7681000 +1] +0s +b100010000011011101010101010010 | +b100010000011011101010101010010 B" +1\ +1[ +0=" +0>" +0@" +1h +1g +0;" +15" +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +0n +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +b111100101001010101110000111110 / +b111100101001010101110000111110 K +b111100101001010101110000111110 e +b111100101001010101110000111110 "" +0. +1% +#7681500 +b1010 7 +b1010 N +b1010 V +b11001111110011011110011000011110 2 +b11001111110011011110011000011110 I +b11001111110011011110011000011110 Z +b101 3 +b101 J +b101 Y +11 +b1010 & +b1010 C +b11001111110011011110011000011110 , +b11001111110011011110011000011110 H +b101 + +b101 G +1- +1. +0% +#7682000 +0] +1u +1y +0[ +1>" +1@" +0r +17" +15" +06" +b11010 3" +1^ +1_ +b10101 -" +04" +0v +0z +b1101111101010001110001000011100 | +b1101111101010001110001000011100 B" +1a +12" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010000011011101010101010010 0 +b100010000011011101010101010010 M +b100010000011011101010101010010 f +b100010000011011101010101010010 !" +b11001111110011011110011000011110 &" +b11001111110011011110011000011110 ?" +b101 %" +b101 *" +b101 1" +1'" +b1010 } +b1010 (" +b1010 +" +0. +1% +#7682010 +b11001111110011011110011000011110 H" +#7682500 +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 & +b1000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7683000 +1] +1[ +0>" +0@" +1r +b11111 3" +0^ +0_ +b10111 -" +b10000101001111111011101010010001 | +b10000101001111111011101010010001 B" +0a +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 } +b1000 (" +b1000 +" +b1101111101010001110001000011100 0 +b1101111101010001110001000011100 M +b1101111101010001110001000011100 f +b1101111101010001110001000011100 !" +0. +1% +#7683500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7684000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +07" +b11111 -" +0y +0u +0," +b10000101001111111011101010010001 0 +b10000101001111111011101010010001 M +b10000101001111111011101010010001 f +b10000101001111111011101010010001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7684500 +1. +0% +#7685000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7685500 +b1100110000010010110101101101100 2 +b1100110000010010110101101101100 I +b1100110000010010110101101101100 Z +b10101 3 +b10101 J +b10101 Y +11 +b1100110000010010110101101101100 , +b1100110000010010110101101101100 H +b10101 + +b10101 G +1- +1. +0% +#7686000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b1100110000010010110101101101100 &" +b1100110000010010110101101101100 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +0. +1% +#7686010 +b1100110000010010110101101101100 X" +#7686500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7687000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7687500 +1. +0% +#7688000 +0. +1% +#7688500 +b1100 5 +b1100 L +b1100 W +14 +b1110 7 +b1110 N +b1110 V +16 +b1100 ' +b1100 D +1) +b1110 & +b1110 C +1( +1. +0% +#7689000 +0i +b10011100001010111001101101110111 { +b10011100001010111001101101110111 A" +0s +b110000000111100101111110001100 | +b110000000111100101111110001100 B" +19" +1:" +1;" +0r +15" +16" +17" +b10011 0" +0j +0o +1p +1k +b10001 -" +0t +0y +1z +1u +1/" +1x +1," +b1100 ~ +b1100 )" +b1100 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#7689500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7690000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0:" +0;" +1r +05" +06" +07" +b11111 0" +0p +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0," +b10011100001010111001101101110111 / +b10011100001010111001101101110111 K +b10011100001010111001101101110111 e +b10011100001010111001101101110111 "" +b110000000111100101111110001100 0 +b110000000111100101111110001100 M +b110000000111100101111110001100 f +b110000000111100101111110001100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7690500 +b10100 5 +b10100 L +b10100 W +14 +b1101101110011110100110010011001 2 +b1101101110011110100110010011001 I +b1101101110011110100110010011001 Z +b10 3 +b10 J +b10 Y +11 +b10100 ' +b10100 D +1) +b1101101110011110100110010011001 , +b1101101110011110100110010011001 H +b10 + +b10 G +1- +1. +0% +#7691000 +0i +b101101000001101101110000101001 { +b101101000001101101110000101001 A" +0\ +1@" +1:" +1;" +b11101 3" +1_ +b1011 0" +1j +0k +18" +1l +1b +12" +1/" +b1101101110011110100110010011001 &" +b1101101110011110100110010011001 ?" +b10 %" +b10 *" +b10 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7691010 +b1101101110011110100110010011001 E" +#7691500 +b11001 5 +b11001 L +b11001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7692000 +19" +1o +1\ +0@" +0g +0:" +b11111 3" +0_ +b110 0" +0j +b11001000000000110011011000101001 { +b11001000000000110011011000101001 A" +0b +02" +1m +b101101000001101101110000101001 / +b101101000001101101110000101001 K +b101101000001101101110000101001 e +b101101000001101101110000101001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +0. +1% +#7692500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7693000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11001000000000110011011000101001 / +b11001000000000110011011000101001 K +b11001000000000110011011000101001 e +b11001000000000110011011000101001 "" +0. +1% +#7693500 +b1101 5 +b1101 L +b1101 W +14 +b11000010101001010001000001010001 2 +b11000010101001010001000001010001 I +b11000010101001010001000001010001 Z +b11000 3 +b11000 J +b11000 Y +11 +b1101 ' +b1101 D +1) +b11000010101001010001000001010001 , +b11000010101001010001000001010001 H +b11000 + +b11000 G +1- +1. +0% +#7694000 +0] +0i +b10100111000101000110010000110000 { +b10100111000101000110010000110000 A" +1=" +1@" +0g +19" +1:" +1;" +b111 3" +1c +0_ +1<" +1` +b10010 0" +0j +0o +1p +1k +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000010101001010001000001010001 &" +b11000010101001010001000001010001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#7694010 +b11000010101001010001000001010001 [" +#7694500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7695000 +1] +1i +0s +b11000000100010001100101001100 | +b11000000100010001100101001100 B" +0=" +0@" +1g +09" +0:" +0;" +0r +15" +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +02" +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b10100111000101000110010000110000 / +b10100111000101000110010000110000 K +b10100111000101000110010000110000 e +b10100111000101000110010000110000 "" +0. +1% +#7695500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b1101101001111101001011010000011 2 +b1101101001111101001011010000011 I +b1101101001111101001011010000011 Z +b10001 3 +b10001 J +b10001 Y +11 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b1101101001111101001011010000011 , +b1101101001111101001011010000011 H +b10001 + +b10001 G +1- +1. +0% +#7696000 +0i +b11001111110011011110011000011110 { +b11001111110011011110011000011110 A" +1s +0[ +1@" +0g +1:" +1;" +1r +05" +06" +07" +b1110 3" +0_ +1<" +1` +b11010 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000000100010001100101001100 0 +b11000000100010001100101001100 M +b11000000100010001100101001100 f +b11000000100010001100101001100 !" +b1101101001111101001011010000011 &" +b1101101001111101001011010000011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7696010 +b1101101001111101001011010000011 T" +#7696500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7697000 +1i +1[ +0@" +1g +0:" +0;" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11001111110011011110011000011110 / +b11001111110011011110011000011110 K +b11001111110011011110011000011110 e +b11001111110011011110011000011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7697500 +b1110 7 +b1110 N +b1110 V +16 +b1110010011100111001100111110110 2 +b1110010011100111001100111110110 I +b1110010011100111001100111110110 Z +b101 3 +b101 J +b101 Y +11 +b1110 & +b1110 C +1( +b1110010011100111001100111110110 , +b1110010011100111001100111110110 H +b101 + +b101 G +1- +1. +0% +#7698000 +0] +0s +b110000000111100101111110001100 | +b110000000111100101111110001100 B" +0[ +1>" +1@" +0r +15" +16" +17" +b11010 3" +1^ +1_ +b10001 -" +0t +0y +1z +1u +1a +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110010011100111001100111110110 &" +b1110010011100111001100111110110 ?" +b101 %" +b101 *" +b101 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#7698010 +b1110010011100111001100111110110 H" +#7698500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b100111111110100001100100111000 2 +b100111111110100001100100111000 I +b100111111110100001100100111000 Z +b10001 3 +b10001 J +b10001 Y +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b100111111110100001100100111000 , +b100111111110100001100100111000 H +b10001 + +b10001 G +1. +0% +#7699000 +0_ +1] +0i +b111000011010101011001011111110 { +b111000011010101011001011111110 A" +1s +0>" +0g +19" +1:" +1;" +1r +05" +06" +07" +b1110 3" +1<" +1` +0^ +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0," +b100111111110100001100100111000 &" +b100111111110100001100100111000 ?" +b10001 %" +b10001 *" +b10001 1" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110000000111100101111110001100 0 +b110000000111100101111110001100 M +b110000000111100101111110001100 f +b110000000111100101111110001100 !" +0. +1% +#7699010 +b100111111110100001100100111000 T" +#7699500 +b11000 5 +b11000 L +b11000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7700000 +1o +1[ +0@" +1g +19" +0:" +b11111 3" +0<" +0` +b111 0" +0p +b11000010101001010001000001010001 { +b11000010101001010001000001010001 A" +0a +02" +0m +b111000011010101011001011111110 / +b111000011010101011001011111110 K +b111000011010101011001011111110 e +b111000011010101011001011111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +0. +1% +#7700500 +b0 5 +b0 L +b0 W +04 +b1001010100100001000010011110011 2 +b1001010100100001000010011110011 I +b1001010100100001000010011110011 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b1001010100100001000010011110011 , +b1001010100100001000010011110011 H +b10011 + +b10011 G +1- +1. +0% +#7701000 +1i +0\ +0[ +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b1100 3" +0_ +1<" +1` +b11111 0" +0o +08" +0l +1b +1a +12" +0/" +b1001010100100001000010011110011 &" +b1001010100100001000010011110011 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11000010101001010001000001010001 / +b11000010101001010001000001010001 K +b11000010101001010001000001010001 e +b11000010101001010001000001010001 "" +0. +1% +#7701010 +b1001010100100001000010011110011 V" +#7701500 +b11010 5 +b11010 L +b11010 W +14 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7702000 +0i +b10101101001100101000110010 { +b10101101001100101000110010 A" +0s +b10100111000101000110010000110000 | +b10100111000101000110010000110000 B" +1\ +1[ +0@" +0h +19" +1;" +0q +15" +16" +17" +b11111 3" +0<" +0` +b101 0" +1o +0k +18" +1l +b10010 -" +0t +0y +1z +1u +0b +0a +02" +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#7702500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b0 ' +b0 D +0) +b11010 & +b11010 C +1. +0% +#7703000 +1i +0u +1y +1h +09" +0;" +0r +1q +15" +06" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b101 -" +14" +1v +0z +b10101101001100101000110010 | +b10101101001100101000110010 B" +0n +0/" +1x +0w +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +b10101101001100101000110010 / +b10101101001100101000110010 K +b10101101001100101000110010 e +b10101101001100101000110010 "" +b10100111000101000110010000110000 0 +b10100111000101000110010000110000 M +b10100111000101000110010000110000 f +b10100111000101000110010000110000 !" +0. +1% +#7703500 +b0 7 +b0 N +b0 V +06 +b1111100011010001001010100111011 2 +b1111100011010001001010100111011 I +b1111100011010001001010100111011 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 & +b0 C +0( +b1111100011010001001010100111011 , +b1111100011010001001010100111011 H +b1011 + +b1011 G +1- +1. +0% +#7704000 +0] +1s +0\ +0[ +1=" +1@" +1r +05" +07" +b10100 3" +1c +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101101001100101000110010 0 +b10101101001100101000110010 M +b10101101001100101000110010 f +b10101101001100101000110010 !" +b1111100011010001001010100111011 &" +b1111100011010001001010100111011 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7704010 +b1111100011010001001010100111011 N" +#7704500 +b11100 7 +b11100 N +b11100 V +16 +b10001110001100110111100000011011 2 +b10001110001100110111100000011011 I +b10001110001100110111100000011011 Z +b11001 3 +b11001 J +b11001 Y +b11100 & +b11100 C +1( +b10001110001100110111100000011011 , +b10001110001100110111100000011011 H +b11001 + +b11001 G +1. +0% +#7705000 +0_ +0s +b100010000011011101010101010010 | +b100010000011011101010101010010 B" +1\ +15" +16" +17" +b110 3" +1<" +1` +b11 -" +0t +0y +1z +0u +14" +1v +0b +1," +b10001110001100110111100000011011 &" +b10001110001100110111100000011011 ?" +b11001 %" +b11001 *" +b11001 1" +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7705010 +b10001110001100110111100000011011 \" +#7705500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7706000 +1] +0i +b10110111110101011011101101001100 { +b10110111110101011011101101001100 A" +1s +1[ +0=" +0@" +0h +0g +19" +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0<" +0` +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +04" +0v +0a +02" +1n +1m +1/" +0," +b100010000011011101010101010010 0 +b100010000011011101010101010010 M +b100010000011011101010101010010 f +b100010000011011101010101010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7706500 +b1010 5 +b1010 L +b1010 W +b1010 ' +b1010 D +1. +0% +#7707000 +1k +1o +1g +1;" +19" +0:" +b10101 0" +08" +0l +0p +b1101111101010001110001000011100 { +b1101111101010001110001000011100 A" +0m +b1010 ~ +b1010 )" +b1010 ." +b10110111110101011011101101001100 / +b10110111110101011011101101001100 K +b10110111110101011011101101001100 e +b10110111110101011011101101001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7707500 +b10101 5 +b10101 L +b10101 W +b10101 ' +b10101 D +1. +0% +#7708000 +1:" +0k +1j +1h +0g +09" +b1010 0" +18" +1l +0o +b1100110000010010110101101101100 { +b1100110000010010110101101101100 A" +0n +1m +b1101111101010001110001000011100 / +b1101111101010001110001000011100 K +b1101111101010001110001000011100 e +b1101111101010001110001000011100 "" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#7708500 +b0 5 +b0 L +b0 W +04 +b1111001010010110000011100000001 2 +b1111001010010110000011100000001 I +b1111001010010110000011100000001 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b1111001010010110000011100000001 , +b1111001010010110000011100000001 H +b1000 + +b1000 G +1- +1. +0% +#7709000 +0] +1i +1=" +1@" +1g +0:" +0;" +b10111 3" +1c +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0m +0/" +b1111001010010110000011100000001 &" +b1111001010010110000011100000001 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100110000010010110101101101100 / +b1100110000010010110101101101100 K +b1100110000010010110101101101100 e +b1100110000010010110101101101100 "" +0. +1% +#7709010 +b1111001010010110000011100000001 K" +#7709500 +b10010011001110111001101000001 2 +b10010011001110111001101000001 I +b10010011001110111001101000001 Z +b1111 3 +b1111 J +b1111 Y +b10010011001110111001101000001 , +b10010011001110111001101000001 H +b1111 + +b1111 G +1. +0% +#7710000 +0c +0\ +0[ +1>" +b10000 3" +1d +1b +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010011001110111001101000001 &" +b10010011001110111001101000001 ?" +b1111 %" +b1111 *" +b1111 1" +0. +1% +#7710010 +b10010011001110111001101000001 R" +#7710500 +b1100 7 +b1100 N +b1100 V +16 +b111101110111110000101000011111 2 +b111101110111110000101000011111 I +b111101110111110000101000011111 Z +b1110 3 +b1110 J +b1110 Y +b1100 & +b1100 C +1( +b111101110111110000101000011111 , +b111101110111110000101000011111 H +b1110 + +b1110 G +1. +0% +#7711000 +0s +b10011100001010111001101101110111 | +b10011100001010111001101101110111 B" +1[ +15" +16" +17" +b10001 3" +b10011 -" +0t +0y +1z +1u +0a +1," +b111101110111110000101000011111 &" +b111101110111110000101000011111 ?" +b1110 %" +b1110 *" +b1110 1" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#7711010 +b111101110111110000101000011111 Q" +#7711500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7712000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +0>" +0@" +05" +06" +07" +b11111 3" +0d +0_ +b11111 -" +0z +0u +0b +02" +0," +b10011100001010111001101101110111 0 +b10011100001010111001101101110111 M +b10011100001010111001101101110111 f +b10011100001010111001101101110111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7712500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#7713000 +0s +b1011101100100111110100010010000 | +b1011101100100111110100010010000 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7713500 +b1010 5 +b1010 L +b1010 W +14 +b10001 7 +b10001 N +b10001 V +b101101010000101100111101110100 2 +b101101010000101100111101110100 I +b101101010000101100111101110100 Z +b11000 3 +b11000 J +b11000 Y +11 +b1010 ' +b1010 D +1) +b10001 & +b10001 C +b101101010000101100111101110100 , +b101101010000101100111101110100 H +b11000 + +b11000 G +1- +1. +0% +#7714000 +0] +0i +b1101111101010001110001000011100 { +b1101111101010001110001000011100 A" +1s +1=" +1@" +0h +19" +1;" +1r +05" +b111 3" +1c +0_ +1<" +1` +b10101 0" +1o +1k +b1110 -" +0y +b100111111110100001100100111000 | +b100111111110100001100100111000 B" +12" +1n +1/" +0x +b1011101100100111110100010010000 0 +b1011101100100111110100010010000 M +b1011101100100111110100010010000 f +b1011101100100111110100010010000 !" +b101101010000101100111101110100 &" +b101101010000101100111101110100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#7714010 +b101101010000101100111101110100 [" +#7714500 +b1101 5 +b1101 L +b1101 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7715000 +1] +0o +0=" +0@" +1h +0g +1:" +1q +07" +b11111 3" +0c +0<" +0` +b10010 0" +1p +b10100111000101000110010000110000 { +b10100111000101000110010000110000 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0n +1m +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +b0 } +b0 (" +b0 +" +0#" +b1101111101010001110001000011100 / +b1101111101010001110001000011100 K +b1101111101010001110001000011100 e +b1101111101010001110001000011100 "" +b100111111110100001100100111000 0 +b100111111110100001100100111000 M +b100111111110100001100100111000 f +b100111111110100001100100111000 !" +0. +1% +#7715500 +b10101 5 +b10101 L +b10101 W +b1001 7 +b1001 N +b1001 V +16 +b10101 ' +b10101 D +b1001 & +b1001 C +1( +1. +0% +#7716000 +0k +1j +0s +b100100010001101111001101000000 | +b100100010001101111001101000000 B" +b1100110000010010110101101101100 { +b1100110000010010110101101101100 A" +09" +1:" +0q +15" +17" +b1010 0" +18" +1l +0p +b10110 -" +1y +1u +1w +1," +b10100111000101000110010000110000 / +b10100111000101000110010000110000 K +b10100111000101000110010000110000 e +b10100111000101000110010000110000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101 ~ +b10101 )" +b10101 ." +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#7716500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11010001000101101001011111101 2 +b11010001000101101001011111101 I +b11010001000101101001011111101 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11010001000101101001011111101 , +b11010001000101101001011111101 H +b1110 + +b1110 G +1- +1. +0% +#7717000 +0] +1i +1s +0\ +1=" +1>" +1@" +1g +0:" +0;" +1q +05" +07" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0m +0/" +0w +0," +b11010001000101101001011111101 &" +b11010001000101101001011111101 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100110000010010110101101101100 / +b1100110000010010110101101101100 K +b1100110000010010110101101101100 e +b1100110000010010110101101101100 "" +b100100010001101111001101000000 0 +b100100010001101111001101000000 M +b100100010001101111001101000000 f +b100100010001101111001101000000 !" +0. +1% +#7717010 +b11010001000101101001011111101 Q" +#7717500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7718000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7718500 +b11111 5 +b11111 L +b11111 W +14 +b10001111000010111000111001011001 2 +b10001111000010111000111001011001 I +b10001111000010111000111001011001 Z +b111 3 +b111 J +b111 Y +11 +b11111 ' +b11111 D +1) +b10001111000010111000111001011001 , +b10001111000010111000111001011001 H +b111 + +b111 G +1- +1. +0% +#7719000 +0] +0i +b10110111110101011011101101001100 { +b10110111110101011011101101001100 A" +0\ +0[ +1>" +1@" +0h +0g +19" +1:" +1;" +b11000 3" +1^ +1_ +b0 0" +0j +0o +1p +0k +18" +1l +1b +1a +12" +1n +1m +1/" +b10001111000010111000111001011001 &" +b10001111000010111000111001011001 ?" +b111 %" +b111 *" +b111 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#7719010 +b10001111000010111000111001011001 J" +#7719500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7720000 +1] +1i +1\ +1[ +0>" +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0n +0m +0/" +b10110111110101011011101101001100 / +b10110111110101011011101101001100 K +b10110111110101011011101101001100 e +b10110111110101011011101101001100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7720500 +b11101000000101010110011110101101 2 +b11101000000101010110011110101101 I +b11101000000101010110011110101101 Z +b1111 3 +b1111 J +b1111 Y +11 +b11101000000101010110011110101101 , +b11101000000101010110011110101101 H +b1111 + +b1111 G +1- +1. +0% +#7721000 +0] +0\ +0[ +1=" +1>" +1@" +b10000 3" +0^ +0c +1d +1_ +1b +1a +12" +b11101000000101010110011110101101 &" +b11101000000101010110011110101101 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7721010 +b11101000000101010110011110101101 R" +#7721500 +b11000 5 +b11000 L +b11000 W +14 +b10101 7 +b10101 N +b10101 V +16 +b10001110100110010111001010 2 +b10001110100110010111001010 I +b10001110100110010111001010 Z +b1110 3 +b1110 J +b1110 Y +b11000 ' +b11000 D +1) +b10101 & +b10101 C +1( +b10001110100110010111001010 , +b10001110100110010111001010 H +b1110 + +b1110 G +1. +0% +#7722000 +0i +b101101010000101100111101110100 { +b101101010000101100111101110100 A" +0s +b1100110000010010110101101101100 | +b1100110000010010110101101101100 B" +1[ +19" +1;" +0q +16" +17" +b10001 3" +b111 0" +1o +0k +18" +1l +b1010 -" +1t +0u +14" +1v +0a +1/" +1w +1," +b10001110100110010111001010 &" +b10001110100110010111001010 ?" +b1110 %" +b1110 *" +b1110 1" +b11000 ~ +b11000 )" +b11000 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#7722010 +b10001110100110010111001010 Q" +#7722500 +b101 5 +b101 L +b101 W +b0 7 +b0 N +b0 V +06 +b1010100111000000100101010111110 2 +b1010100111000000100101010111110 I +b1010100111000000100101010111110 Z +b11110 3 +b11110 J +b11110 Y +b101 ' +b101 D +b0 & +b0 C +0( +b1010100111000000100101010111110 , +b1010100111000000100101010111110 H +b11110 + +b11110 G +1. +0% +#7723000 +1:" +0_ +1k +1j +1s +0g +1;" +09" +1q +06" +07" +b1 3" +1<" +1` +b11010 0" +08" +0l +0o +b1110010011100111001100111110110 { +b1110010011100111001100111110110 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +0w +0," +b1010100111000000100101010111110 &" +b1010100111000000100101010111110 ?" +b11110 %" +b11110 *" +b11110 1" +b101 ~ +b101 )" +b101 ." +b0 } +b0 (" +b0 +" +0#" +b101101010000101100111101110100 / +b101101010000101100111101110100 K +b101101010000101100111101110100 e +b101101010000101100111101110100 "" +b1100110000010010110101101101100 0 +b1100110000010010110101101101100 M +b1100110000010010110101101101100 f +b1100110000010010110101101101100 !" +0. +1% +#7723010 +b1010100111000000100101010111110 a" +#7723500 +b0 5 +b0 L +b0 W +04 +b11011001010001000111111000000011 2 +b11011001010001000111111000000011 I +b11011001010001000111111000000011 Z +b1010 3 +b1010 J +b1010 Y +b0 ' +b0 D +0) +b11011001010001000111111000000011 , +b11011001010001000111111000000011 H +b1010 + +b1010 G +1. +0% +#7724000 +1_ +1c +1i +1@" +1=" +0>" +1g +0:" +0;" +b10101 3" +0<" +0` +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b1110010011100111001100111110110 / +b1110010011100111001100111110110 K +b1110010011100111001100111110110 e +b1110010011100111001100111110110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011001010001000111111000000011 &" +b11011001010001000111111000000011 ?" +b1010 %" +b1010 *" +b1010 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7724010 +b11011001010001000111111000000011 M" +#7724500 +b11010010010111100111101111010000 2 +b11010010010111100111101111010000 I +b11010010010111100111101111010000 Z +b1100 3 +b1100 J +b1100 Y +b11010010010111100111101111010000 , +b11010010010111100111101111010000 H +b1100 + +b1100 G +1. +0% +#7725000 +0c +1\ +1>" +b10011 3" +1d +0b +b11010010010111100111101111010000 &" +b11010010010111100111101111010000 ?" +b1100 %" +b1100 *" +b1100 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7725010 +b11010010010111100111101111010000 O" +#7725500 +b11011 5 +b11011 L +b11011 W +14 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7726000 +1] +0i +b1011101100100111110100010010000 { +b1011101100100111110100010010000 A" +0s +b10110111110101011011101101001100 | +b10110111110101011011101101001100 B" +0=" +0>" +0@" +0h +0g +19" +1;" +0r +0q +15" +16" +17" +b11111 3" +0d +0_ +b100 0" +1o +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +02" +1n +1m +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#7726500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7727000 +1i +1s +1h +1g +09" +0;" +1r +1q +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1011101100100111110100010010000 / +b1011101100100111110100010010000 K +b1011101100100111110100010010000 e +b1011101100100111110100010010000 "" +b10110111110101011011101101001100 0 +b10110111110101011011101101001100 M +b10110111110101011011101101001100 f +b10110111110101011011101101001100 !" +0. +1% +#7727500 +1. +0% +#7728000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7728500 +1. +0% +#7729000 +0. +1% +#7729500 +b1101 7 +b1101 N +b1101 V +16 +b1101 & +b1101 C +1( +1. +0% +#7730000 +0s +b10100111000101000110010000110000 | +b10100111000101000110010000110000 B" +0q +15" +16" +17" +b10010 -" +0t +0y +1z +1u +1w +1," +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#7730500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +1. +0% +#7731000 +0i +b1111001010010110000011100000001 { +b1111001010010110000011100000001 A" +1s +19" +1;" +1q +05" +06" +07" +b10111 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0w +0," +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10100111000101000110010000110000 0 +b10100111000101000110010000110000 M +b10100111000101000110010000110000 f +b10100111000101000110010000110000 !" +0. +1% +#7731500 +b10011 5 +b10011 L +b10011 W +b10011 ' +b10011 D +1. +0% +#7732000 +0k +1i +0h +0g +09" +b1100 0" +18" +1l +0o +b1001010100100001000010011110011 { +b1001010100100001000010011110011 A" +1n +1m +b1111001010010110000011100000001 / +b1111001010010110000011100000001 K +b1111001010010110000011100000001 e +b1111001010010110000011100000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#7732500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7733000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1001010100100001000010011110011 / +b1001010100100001000010011110011 K +b1001010100100001000010011110011 e +b1001010100100001000010011110011 "" +0. +1% +#7733500 +1. +0% +#7734000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7734500 +1. +0% +#7735000 +0. +1% +#7735500 +1. +0% +#7736000 +0. +1% +#7736500 +b10110 5 +b10110 L +b10110 W +14 +b100 7 +b100 N +b100 V +16 +b10110 ' +b10110 D +1) +b100 & +b100 C +1( +1. +0% +#7737000 +0i +b1001000000001100010011101001011 { +b1001000000001100010011101001011 A" +0s +b11110011010111010010101000011101 | +b11110011010111010010101000011101 B" +0h +1:" +1;" +16" +17" +b1001 0" +1j +0k +18" +1l +b11011 -" +1t +1u +1n +1/" +1," +b10110 ~ +b10110 )" +b10110 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#7737500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7738000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +0:" +0;" +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +0n +0/" +0," +b1001000000001100010011101001011 / +b1001000000001100010011101001011 K +b1001000000001100010011101001011 e +b1001000000001100010011101001011 "" +b11110011010111010010101000011101 0 +b11110011010111010010101000011101 M +b11110011010111010010101000011101 f +b11110011010111010010101000011101 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7738500 +b1000 7 +b1000 N +b1000 V +16 +b1000 & +b1000 C +1( +1. +0% +#7739000 +0s +b1111001010010110000011100000001 | +b1111001010010110000011100000001 B" +15" +17" +b10111 -" +1y +1u +1," +b1000 } +b1000 (" +b1000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7739500 +b1010 5 +b1010 L +b1010 W +14 +b10000 7 +b10000 N +b10000 V +b1010 ' +b1010 D +1) +b10000 & +b10000 C +1. +0% +#7740000 +0i +b11011001010001000111111000000011 { +b11011001010001000111111000000011 A" +0u +1s +0h +19" +1;" +b101000100011100010110011011000 | +b101000100011100010110011011000 B" +05" +b10101 0" +1o +1k +b1111 -" +14" +1v +0y +1n +1/" +b1111001010010110000011100000001 0 +b1111001010010110000011100000001 M +b1111001010010110000011100000001 f +b1111001010010110000011100000001 !" +b1010 ~ +b1010 )" +b1010 ." +1$" +b10000 } +b10000 (" +b10000 +" +0. +1% +#7740500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +b0 ' +b0 D +0) +b11001 & +b11001 C +1. +0% +#7741000 +1i +0s +1h +09" +0;" +0q +15" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +1y +b10001110001100110111100000011011 | +b10001110001100110111100000011011 B" +0n +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +b11011001010001000111111000000011 / +b11011001010001000111111000000011 K +b11011001010001000111111000000011 e +b11011001010001000111111000000011 "" +b101000100011100010110011011000 0 +b101000100011100010110011011000 M +b101000100011100010110011011000 f +b101000100011100010110011011000 !" +0. +1% +#7741500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7742000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001110001100110111100000011011 0 +b10001110001100110111100000011011 M +b10001110001100110111100000011011 f +b10001110001100110111100000011011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7742500 +b101 7 +b101 N +b101 V +16 +b101 & +b101 C +1( +1. +0% +#7743000 +0s +b1110010011100111001100111110110 | +b1110010011100111001100111110110 B" +0q +16" +17" +b11010 -" +1t +1u +1w +1," +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7743500 +b0 7 +b0 N +b0 V +06 +b10100011011101001001001111100 2 +b10100011011101001001001111100 I +b10100011011101001001001111100 Z +b1 3 +b1 J +b1 Y +11 +b0 & +b0 C +0( +b10100011011101001001001111100 , +b10100011011101001001001111100 H +b1 + +b1 G +1- +1. +0% +#7744000 +1s +0[ +1@" +1q +06" +07" +b11110 3" +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0w +0," +b1110010011100111001100111110110 0 +b1110010011100111001100111110110 M +b1110010011100111001100111110110 f +b1110010011100111001100111110110 !" +b10100011011101001001001111100 &" +b10100011011101001001001111100 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7744010 +b10100011011101001001001111100 D" +#7744500 +b1000 5 +b1000 L +b1000 W +14 +b10000 7 +b10000 N +b10000 V +16 +b1111001001110010011001001101110 2 +b1111001001110010011001001101110 I +b1111001001110010011001001101110 Z +b10100 3 +b10100 J +b10100 Y +b1000 ' +b1000 D +1) +b10000 & +b10000 C +1( +b1111001001110010011001001101110 , +b1111001001110010011001001101110 H +b10100 + +b10100 G +1. +0% +#7745000 +0_ +0] +0i +b1111001010010110000011100000001 { +b1111001010010110000011100000001 A" +b101000100011100010110011011000 | +b101000100011100010110011011000 B" +1[ +1>" +19" +1;" +17" +b1011 3" +1<" +1` +1^ +b10111 0" +1o +1k +b1111 -" +0u +14" +1v +0a +1/" +1," +b1111001001110010011001001101110 &" +b1111001001110010011001001101110 ?" +b10100 %" +b10100 *" +b10100 1" +b1000 ~ +b1000 )" +b1000 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7745010 +b1111001001110010011001001101110 W" +#7745500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7746000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +0>" +0@" +09" +0;" +0r +0q +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +0k +b11100 -" +04" +0v +b10101100000000100110000000100011 | +b10101100000000100110000000100011 B" +02" +0/" +1x +1w +b1111001010010110000011100000001 / +b1111001010010110000011100000001 K +b1111001010010110000011100000001 e +b1111001010010110000011100000001 "" +b101000100011100010110011011000 0 +b101000100011100010110011011000 M +b101000100011100010110011011000 f +b101000100011100010110011011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +0. +1% +#7746500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7747000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101100000000100110000000100011 0 +b10101100000000100110000000100011 M +b10101100000000100110000000100011 f +b10101100000000100110000000100011 !" +0. +1% +#7747500 +b111 5 +b111 L +b111 W +14 +b111 ' +b111 D +1) +1. +0% +#7748000 +0i +b10001111000010111000111001011001 { +b10001111000010111000111001011001 A" +0h +0g +1:" +1;" +b11000 0" +1j +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#7748500 +b1101 5 +b1101 L +b1101 W +b1100100111011101110101111001010 2 +b1100100111011101110101111001010 I +b1100100111011101110101111001010 Z +b11011 3 +b11011 J +b11011 Y +11 +b1101 ' +b1101 D +b1100100111011101110101111001010 , +b1100100111011101110101111001010 H +b11011 + +b11011 G +1- +1. +0% +#7749000 +0] +0j +0\ +0[ +1=" +1@" +1h +19" +b100 3" +1c +0_ +1<" +1` +b10010 0" +1p +b10100111000101000110010000110000 { +b10100111000101000110010000110000 A" +1b +1a +12" +0n +b1100100111011101110101111001010 &" +b1100100111011101110101111001010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +b10001111000010111000111001011001 / +b10001111000010111000111001011001 K +b10001111000010111000111001011001 e +b10001111000010111000111001011001 "" +0. +1% +#7749010 +b1100100111011101110101111001010 ^" +#7749500 +b1000 5 +b1000 L +b1000 W +b11100 7 +b11100 N +b11100 V +16 +b1101110100001010000010110010 2 +b1101110100001010000010110010 I +b1101110100001010000010110010 Z +b10100 3 +b10100 J +b10100 Y +b1000 ' +b1000 D +b11100 & +b11100 C +1( +b1101110100001010000010110010 , +b1101110100001010000010110010 H +b10100 + +b10100 G +1. +0% +#7750000 +1>" +1^ +1o +0s +b100010000011011101010101010010 | +b100010000011011101010101010010 B" +1\ +1[ +0=" +1g +19" +0:" +15" +16" +17" +b1011 3" +0c +b10111 0" +0p +b1111001010010110000011100000001 { +b1111001010010110000011100000001 A" +b11 -" +0t +0y +1z +0u +14" +1v +0b +0a +0m +1," +b10100111000101000110010000110000 / +b10100111000101000110010000110000 K +b10100111000101000110010000110000 e +b10100111000101000110010000110000 "" +b1101110100001010000010110010 &" +b1101110100001010000010110010 ?" +b10100 %" +b10100 *" +b10100 1" +b1000 ~ +b1000 )" +b1000 ." +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#7750010 +b1101110100001010000010110010 W" +#7750500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7751000 +1:" +1] +1j +b11110011010111010010101000011101 { +b11110011010111010010101000011101 A" +1s +0>" +0@" +09" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0<" +0` +b11011 0" +0o +b11111 -" +0z +04" +0v +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +b1111001010010110000011100000001 / +b1111001010010110000011100000001 K +b1111001010010110000011100000001 e +b1111001010010110000011100000001 "" +b100010000011011101010101010010 0 +b100010000011011101010101010010 M +b100010000011011101010101010010 f +b100010000011011101010101010010 !" +0. +1% +#7751500 +b0 5 +b0 L +b0 W +04 +b11110010111101010001100001101011 2 +b11110010111101010001100001101011 I +b11110010111101010001100001101011 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b11110010111101010001100001101011 , +b11110010111101010001100001101011 H +b1111 + +b1111 G +1- +1. +0% +#7752000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +0[ +1=" +1>" +1@" +0:" +0;" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0j +0k +1b +1a +12" +0/" +b11110011010111010010101000011101 / +b11110011010111010010101000011101 K +b11110011010111010010101000011101 e +b11110011010111010010101000011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110010111101010001100001101011 &" +b11110010111101010001100001101011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7752010 +b11110010111101010001100001101011 R" +#7752500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7753000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7753500 +b1111 7 +b1111 N +b1111 V +16 +b1111 & +b1111 C +1( +1. +0% +#7754000 +0s +b11110010111101010001100001101011 | +b11110010111101010001100001101011 B" +0r +0q +15" +16" +17" +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#7754500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7755000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11110010111101010001100001101011 0 +b11110010111101010001100001101011 M +b11110010111101010001100001101011 f +b11110010111101010001100001101011 !" +0. +1% +#7755500 +b11011 5 +b11011 L +b11011 W +14 +b10010 7 +b10010 N +b10010 V +16 +b11011 ' +b11011 D +1) +b10010 & +b10010 C +1( +1. +0% +#7756000 +0i +b1100100111011101110101111001010 { +b1100100111011101110101111001010 A" +b11000101010110010011110100011001 | +b11000101010110010011110100011001 B" +0h +0g +19" +1;" +0r +17" +b100 0" +1o +0k +18" +1l +b1101 -" +0u +14" +1v +1n +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011 ~ +b11011 )" +b11011 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#7756500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10100100110010010011000101110110 2 +b10100100110010010011000101110110 I +b10100100110010010011000101110110 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10100100110010010011000101110110 , +b10100100110010010011000101110110 H +b1100 + +b1100 G +1- +1. +0% +#7757000 +0] +1i +1=" +1>" +1@" +1h +1g +09" +0;" +1r +07" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0m +0/" +0x +0," +b10100100110010010011000101110110 &" +b10100100110010010011000101110110 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100100111011101110101111001010 / +b1100100111011101110101111001010 K +b1100100111011101110101111001010 e +b1100100111011101110101111001010 "" +b11000101010110010011110100011001 0 +b11000101010110010011110100011001 M +b11000101010110010011110100011001 f +b11000101010110010011110100011001 !" +0. +1% +#7757010 +b10100100110010010011000101110110 O" +#7757500 +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7758000 +1] +b11001101011101011100010001111011 { +b11001101011101011100010001111011 A" +0=" +0>" +0@" +1;" +b11111 3" +0d +0_ +1k +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +0. +1% +#7758500 +04 +b1101 7 +b1101 N +b1101 V +16 +0) +b1101 & +b1101 C +1( +1. +0% +#7759000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b10100111000101000110010000110000 | +b10100111000101000110010000110000 B" +0;" +0q +15" +16" +17" +0k +b10010 -" +0t +0y +1z +1u +0/" +1w +1," +0$" +b1101 } +b1101 (" +b1101 +" +1#" +b11001101011101011100010001111011 / +b11001101011101011100010001111011 K +b11001101011101011100010001111011 e +b11001101011101011100010001111011 "" +0. +1% +#7759500 +b11100 7 +b11100 N +b11100 V +b1011011101010111111101100011000 2 +b1011011101010111111101100011000 I +b1011011101010111111101100011000 Z +b11 3 +b11 J +b11 Y +11 +b11100 & +b11100 C +b1011011101010111111101100011000 , +b1011011101010111111101100011000 H +b11 + +b11 G +1- +1. +0% +#7760000 +0u +0\ +0[ +1@" +1q +b11100 3" +1_ +b11 -" +14" +1v +b100010000011011101010101010010 | +b100010000011011101010101010010 B" +1b +1a +12" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100111000101000110010000110000 0 +b10100111000101000110010000110000 M +b10100111000101000110010000110000 f +b10100111000101000110010000110000 !" +b1011011101010111111101100011000 &" +b1011011101010111111101100011000 ?" +b11 %" +b11 *" +b11 1" +1'" +b11100 } +b11100 (" +b11100 +" +0. +1% +#7760010 +b1011011101010111111101100011000 F" +#7760500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b1100001110110010111100100111 2 +b1100001110110010111100100111 I +b1100001110110010111100100111 Z +b10000 3 +b10000 J +b10000 Y +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b1100001110110010111100100111 , +b1100001110110010111100100111 H +b10000 + +b10000 G +1. +0% +#7761000 +0_ +b11000101010110010011110100011001 { +b11000101010110010011110100011001 A" +1s +1\ +1[ +0h +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1111 3" +1<" +1` +b1101 0" +0k +18" +1l +b11111 -" +0z +04" +0v +0b +0a +1n +1/" +0," +b1100001110110010111100100111 &" +b1100001110110010111100100111 ?" +b10000 %" +b10000 *" +b10000 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b100010000011011101010101010010 0 +b100010000011011101010101010010 M +b100010000011011101010101010010 f +b100010000011011101010101010010 !" +0. +1% +#7761010 +b1100001110110010111100100111 S" +#7761500 +b0 5 +b0 L +b0 W +04 +b11010011111100011001101101101111 2 +b11010011111100011001101101101111 I +b11010011111100011001101101101111 Z +b100 3 +b100 J +b100 Y +b0 ' +b0 D +0) +b11010011111100011001101101101111 , +b11010011111100011001101101101111 H +b100 + +b100 G +1. +0% +#7762000 +1_ +0] +1@" +1>" +1h +0;" +b11011 3" +0<" +0` +1^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b11000101010110010011110100011001 / +b11000101010110010011110100011001 K +b11000101010110010011110100011001 e +b11000101010110010011110100011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010011111100011001101101101111 &" +b11010011111100011001101101101111 ?" +b100 %" +b100 *" +b100 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7762010 +b11010011111100011001101101101111 G" +#7762500 +b1011 5 +b1011 L +b1011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7763000 +1] +0i +b1111100011010001001010100111011 { +b1111100011010001001010100111011 A" +0>" +0@" +0h +0g +19" +1;" +b11111 3" +0^ +0_ +b10100 0" +1o +1k +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7763500 +b1000 5 +b1000 L +b1000 W +b100 7 +b100 N +b100 V +16 +b1000 ' +b1000 D +b100 & +b100 C +1( +1. +0% +#7764000 +0s +b11010011111100011001101101101111 | +b11010011111100011001101101101111 B" +1h +1g +16" +17" +b10111 0" +b1111001010010110000011100000001 { +b1111001010010110000011100000001 A" +b11011 -" +1t +1u +0n +0m +1," +b1111100011010001001010100111011 / +b1111100011010001001010100111011 K +b1111100011010001001010100111011 e +b1111100011010001001010100111011 "" +b1000 ~ +b1000 )" +b1000 ." +b100 } +b100 (" +b100 +" +1#" +0. +1% +#7764500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b10110000000100010100001010100001 2 +b10110000000100010100001010100001 I +b10110000000100010100001010100001 Z +b11100 3 +b11100 J +b11100 Y +11 +b10010 ' +b10010 D +b0 & +b0 C +0( +b10110000000100010100001010100001 , +b10110000000100010100001010100001 H +b11100 + +b11100 G +1- +1. +0% +#7765000 +0] +0k +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +1>" +1@" +0h +09" +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b1101 0" +18" +1l +0o +b11000101010110010011110100011001 { +b11000101010110010011110100011001 A" +b11111 -" +0t +0u +12" +1n +0," +b10110000000100010100001010100001 &" +b10110000000100010100001010100001 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +b1111001010010110000011100000001 / +b1111001010010110000011100000001 K +b1111001010010110000011100000001 e +b1111001010010110000011100000001 "" +b11010011111100011001101101101111 0 +b11010011111100011001101101101111 M +b11010011111100011001101101101111 f +b11010011111100011001101101101111 !" +0. +1% +#7765010 +b10110000000100010100001010100001 _" +#7765500 +b10000 5 +b10000 L +b10000 W +b10001 7 +b10001 N +b10001 V +16 +b10010011100101111100010110111011 2 +b10010011100101111100010110111011 I +b10010011100101111100010110111011 Z +b1011 3 +b1011 J +b1011 Y +b10000 ' +b10000 D +b10001 & +b10001 C +1( +b10010011100101111100010110111011 , +b10010011100101111100010110111011 H +b1011 + +b1011 G +1. +0% +#7766000 +1_ +1c +b100111111110100001100100111000 | +b100111111110100001100100111000 B" +0\ +0[ +1@" +1=" +0>" +1h +0q +17" +b10100 3" +0<" +0` +0d +b1111 0" +b1100001110110010111100100111 { +b1100001110110010111100100111 A" +b1110 -" +0u +14" +1v +1b +1a +0n +1w +1," +b11000101010110010011110100011001 / +b11000101010110010011110100011001 K +b11000101010110010011110100011001 e +b11000101010110010011110100011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010011100101111100010110111011 &" +b10010011100101111100010110111011 ?" +b1011 %" +b1011 *" +b1011 1" +b10000 ~ +b10000 )" +b10000 ." +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#7766010 +b10010011100101111100010110111011 N" +#7766500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b1000111100011010101001001000111 2 +b1000111100011010101001001000111 I +b1000111100011010101001001000111 Z +b10100 3 +b10100 J +b10100 Y +b10111 ' +b10111 D +b0 & +b0 C +0( +b1000111100011010101001001000111 , +b1000111100011010101001001000111 H +b10100 + +b10100 G +1. +0% +#7767000 +1>" +0_ +1^ +0i +1\ +1[ +0=" +0h +0g +1:" +1q +07" +b1011 3" +1<" +1` +0c +b1000 0" +1j +b110010110000100110010101011011 { +b110010110000100110010101011011 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +1n +1m +0w +0," +b1000111100011010101001001000111 &" +b1000111100011010101001001000111 ?" +b10100 %" +b10100 *" +b10100 1" +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +b1100001110110010111100100111 / +b1100001110110010111100100111 K +b1100001110110010111100100111 e +b1100001110110010111100100111 "" +b100111111110100001100100111000 0 +b100111111110100001100100111000 M +b100111111110100001100100111000 f +b100111111110100001100100111000 !" +0. +1% +#7767010 +b1000111100011010101001001000111 W" +#7767500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7768000 +1] +1i +0s +b10110000000100010100001010100001 | +b10110000000100010100001010100001 B" +0>" +0@" +1h +1g +0:" +0;" +15" +16" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +0t +0y +1z +0u +14" +1v +02" +0n +0m +0/" +1," +b110010110000100110010101011011 / +b110010110000100110010101011011 K +b110010110000100110010101011011 e +b110010110000100110010101011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#7768500 +b0 7 +b0 N +b0 V +06 +b11001110011001110110101000110100 2 +b11001110011001110110101000110100 I +b11001110011001110110101000110100 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 & +b0 C +0( +b11001110011001110110101000110100 , +b11001110011001110110101000110100 H +b11111 + +b11111 G +1- +1. +0% +#7769000 +0] +1s +0\ +0[ +1=" +1>" +1@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0z +04" +0v +1b +1a +12" +0," +b11001110011001110110101000110100 &" +b11001110011001110110101000110100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110000000100010100001010100001 0 +b10110000000100010100001010100001 M +b10110000000100010100001010100001 f +b10110000000100010100001010100001 !" +0. +1% +#7769500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7770000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7770500 +1. +0% +#7771000 +0. +1% +#7771500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#7772000 +0i +b10001110100110010111001010 { +b10001110100110010111001010 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#7772500 +b1111 5 +b1111 L +b1111 W +b11110110010010100001110011111100 2 +b11110110010010100001110011111100 I +b11110110010010100001110011111100 Z +b11001 3 +b11001 J +b11001 Y +11 +b1111 ' +b1111 D +b11110110010010100001110011111100 , +b11110110010010100001110011111100 H +b11001 + +b11001 G +1- +1. +0% +#7773000 +0] +0[ +1=" +1@" +0g +b110 3" +1c +0_ +1<" +1` +b10000 0" +b11110010111101010001100001101011 { +b11110010111101010001100001101011 A" +1a +12" +1m +b11110110010010100001110011111100 &" +b11110110010010100001110011111100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +b10001110100110010111001010 / +b10001110100110010111001010 K +b10001110100110010111001010 e +b10001110100110010111001010 "" +0. +1% +#7773010 +b11110110010010100001110011111100 \" +#7773500 +b0 5 +b0 L +b0 W +04 +b1110111101110110010011110110 2 +b1110111101110110010011110110 I +b1110111101110110010011110110 Z +b1001 3 +b1001 J +b1001 Y +b0 ' +b0 D +0) +b1110111101110110010011110110 , +b1110111101110110010011110110 H +b1001 + +b1001 G +1. +0% +#7774000 +1_ +1i +1@" +1h +1g +09" +0:" +0;" +b10110 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b11110010111101010001100001101011 / +b11110010111101010001100001101011 K +b11110010111101010001100001101011 e +b11110010111101010001100001101011 "" +b1110111101110110010011110110 &" +b1110111101110110010011110110 ?" +b1001 %" +b1001 *" +b1001 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7774010 +b1110111101110110010011110110 L" +#7774500 +b11100 5 +b11100 L +b11100 W +14 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7775000 +1] +0i +b10110000000100010100001010100001 { +b10110000000100010100001010100001 A" +0s +b111000011010101011001011111110 | +b111000011010101011001011111110 B" +1[ +0=" +0@" +19" +1:" +1;" +0q +15" +16" +17" +b11111 3" +0c +0_ +b11 0" +0j +0o +1p +0k +18" +1l +b10 -" +0t +0y +1z +0u +14" +1v +0a +02" +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7775500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +b0 & +b0 C +0( +1. +0% +#7776000 +1k +1j +1s +0h +1;" +09" +1:" +1q +05" +06" +07" +b11001 0" +08" +0l +0p +b101111111100000011000001011 { +b101111111100000011000001011 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0w +0," +b10110000000100010100001010100001 / +b10110000000100010100001010100001 K +b10110000000100010100001010100001 e +b10110000000100010100001010100001 "" +b111000011010101011001011111110 0 +b111000011010101011001011111110 M +b111000011010101011001011111110 f +b111000011010101011001011111110 !" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7776500 +b0 5 +b0 L +b0 W +04 +b11010010011011000010001001110100 2 +b11010010011011000010001001110100 I +b11010010011011000010001001110100 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b11010010011011000010001001110100 , +b11010010011011000010001001110100 H +b1100 + +b1100 G +1- +1. +0% +#7777000 +0] +1i +1=" +1>" +1@" +1h +0:" +0;" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0n +0/" +b11010010011011000010001001110100 &" +b11010010011011000010001001110100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101111111100000011000001011 / +b101111111100000011000001011 K +b101111111100000011000001011 e +b101111111100000011000001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7777010 +b11010010011011000010001001110100 O" +#7777500 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7778000 +1] +0s +b1110010011100111001100111110110 | +b1110010011100111001100111110110 B" +0=" +0>" +0@" +0q +16" +17" +b11111 3" +0d +0_ +b11010 -" +1t +1u +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#7778500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +1. +0% +#7779000 +0i +b1100110000010010110101101101100 { +b1100110000010010110101101101100 A" +1s +0g +1:" +1;" +1q +06" +07" +b1010 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0w +0," +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1110010011100111001100111110110 0 +b1110010011100111001100111110110 M +b1110010011100111001100111110110 f +b1110010011100111001100111110110 !" +0. +1% +#7779500 +1. +0% +#7780000 +b1100110000010010110101101101100 / +b1100110000010010110101101101100 K +b1100110000010010110101101101100 e +b1100110000010010110101101101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7780500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +1. +0% +#7781000 +1i +0s +b11110010111101010001100001101011 | +b11110010111101010001100001101011 B" +1g +0:" +0;" +0r +0q +15" +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#7781500 +b10011 5 +b10011 L +b10011 W +14 +b0 7 +b0 N +b0 V +06 +b10011 ' +b10011 D +1) +b0 & +b0 C +0( +1. +0% +#7782000 +b1001010100100001000010011110011 { +b1001010100100001000010011110011 A" +1s +0h +0g +1;" +1r +1q +05" +06" +07" +b1100 0" +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110010111101010001100001101011 0 +b11110010111101010001100001101011 M +b11110010111101010001100001101011 f +b11110010111101010001100001101011 !" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7782500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7783000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1001010100100001000010011110011 / +b1001010100100001000010011110011 K +b1001010100100001000010011110011 e +b1001010100100001000010011110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7783500 +b11100 5 +b11100 L +b11100 W +14 +b10010 7 +b10010 N +b10010 V +16 +b11100 ' +b11100 D +1) +b10010 & +b10010 C +1( +1. +0% +#7784000 +0i +b10110000000100010100001010100001 { +b10110000000100010100001010100001 A" +b11000101010110010011110100011001 | +b11000101010110010011110100011001 B" +19" +1:" +1;" +0r +17" +b11 0" +0j +0o +1p +0k +18" +1l +b1101 -" +0u +14" +1v +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100 ~ +b11100 )" +b11100 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#7784500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b10001011100111010110100110010010 2 +b10001011100111010110100110010010 I +b10001011100111010110100110010010 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b11000 & +b11000 C +b10001011100111010110100110010010 , +b10001011100111010110100110010010 H +b10 + +b10 G +1- +1. +0% +#7785000 +1i +0s +0\ +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +15" +b11101 3" +1_ +b11111 0" +0p +08" +0l +b111 -" +1y +b101101010000101100111101110100 | +b101101010000101100111101110100 B" +1b +12" +0/" +0x +b10001011100111010110100110010010 &" +b10001011100111010110100110010010 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +b10110000000100010100001010100001 / +b10110000000100010100001010100001 K +b10110000000100010100001010100001 e +b10110000000100010100001010100001 "" +b11000101010110010011110100011001 0 +b11000101010110010011110100011001 M +b11000101010110010011110100011001 f +b11000101010110010011110100011001 !" +0. +1% +#7785010 +b10001011100111010110100110010010 E" +#7785500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7786000 +0i +b1100100111011101110101111001010 { +b1100100111011101110101111001010 A" +1s +1\ +0@" +0h +0g +19" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0_ +b100 0" +1o +0k +18" +1l +b11111 -" +0y +04" +0v +0b +02" +1n +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101101010000101100111101110100 0 +b101101010000101100111101110100 M +b101101010000101100111101110100 f +b101101010000101100111101110100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7786500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +1. +0% +#7787000 +1i +0s +b11011001010001000111111000000011 | +b11011001010001000111111000000011 B" +1h +1g +09" +0;" +0r +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10101 -" +1y +1u +0n +0m +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +b1100100111011101110101111001010 / +b1100100111011101110101111001010 K +b1100100111011101110101111001010 e +b1100100111011101110101111001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7787500 +b10000 5 +b10000 L +b10000 W +14 +b1011110100110101010001001100000 2 +b1011110100110101010001001100000 I +b1011110100110101010001001100000 Z +b10100 3 +b10100 J +b10100 Y +11 +b10000 ' +b10000 D +1) +b1011110100110101010001001100000 , +b1011110100110101010001001100000 H +b10100 + +b10100 G +1- +1. +0% +#7788000 +0] +b1100001110110010111100100111 { +b1100001110110010111100100111 A" +1>" +1@" +1;" +b1011 3" +1^ +0_ +1<" +1` +b1111 0" +0k +18" +1l +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011001010001000111111000000011 0 +b11011001010001000111111000000011 M +b11011001010001000111111000000011 f +b11011001010001000111111000000011 !" +b1011110100110101010001001100000 &" +b1011110100110101010001001100000 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#7788010 +b1011110100110101010001001100000 W" +#7788500 +b1011 5 +b1011 L +b1011 W +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7789000 +1] +1k +0i +0y +b10001110100110010111001010 | +b10001110100110010111001010 B" +0>" +0@" +0h +0g +1;" +19" +16" +b11111 3" +0^ +0<" +0` +b10100 0" +08" +0l +1o +b10010011100101111100010110111011 { +b10010011100101111100010110111011 A" +b10001 -" +1z +02" +1n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +b1110 } +b1110 (" +b1110 +" +b1100001110110010111100100111 / +b1100001110110010111100100111 K +b1100001110110010111100100111 e +b1100001110110010111100100111 "" +0. +1% +#7789500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101000001110100100000110110011 2 +b10101000001110100100000110110011 I +b10101000001110100100000110110011 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101000001110100100000110110011 , +b10101000001110100100000110110011 H +b10001 + +b10001 G +1- +1. +0% +#7790000 +1i +1s +0[ +1@" +1h +1g +09" +0;" +1r +05" +06" +07" +b1110 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0n +0m +0/" +0x +0," +b10010011100101111100010110111011 / +b10010011100101111100010110111011 K +b10010011100101111100010110111011 e +b10010011100101111100010110111011 "" +b10001110100110010111001010 0 +b10001110100110010111001010 M +b10001110100110010111001010 f +b10001110100110010111001010 !" +b10101000001110100100000110110011 &" +b10101000001110100100000110110011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7790010 +b10101000001110100100000110110011 T" +#7790500 +b10000011100110101111001000000 2 +b10000011100110101111001000000 I +b10000011100110101111001000000 Z +b10100 3 +b10100 J +b10100 Y +b10000011100110101111001000000 , +b10000011100110101111001000000 H +b10100 + +b10100 G +1. +0% +#7791000 +0] +1[ +1>" +b1011 3" +1^ +0a +b10000011100110101111001000000 &" +b10000011100110101111001000000 ?" +b10100 %" +b10100 *" +b10100 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7791010 +b10000011100110101111001000000 W" +#7791500 +b1010 5 +b1010 L +b1010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7792000 +1] +0i +b11011001010001000111111000000011 { +b11011001010001000111111000000011 A" +0>" +0@" +0h +19" +1;" +b11111 3" +0^ +0<" +0` +b10101 0" +1o +1k +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#7792500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7793000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11011001010001000111111000000011 / +b11011001010001000111111000000011 K +b11011001010001000111111000000011 e +b11011001010001000111111000000011 "" +0. +1% +#7793500 +b11 5 +b11 L +b11 W +14 +b10001101010011110001000111000110 2 +b10001101010011110001000111000110 I +b10001101010011110001000111000110 Z +b110 3 +b110 J +b110 Y +11 +b11 ' +b11 D +1) +b10001101010011110001000111000110 , +b10001101010011110001000111000110 H +b110 + +b110 G +1- +1. +0% +#7794000 +0] +b1011011101010111111101100011000 { +b1011011101010111111101100011000 A" +0\ +1>" +1@" +0h +0g +1;" +b11001 3" +1^ +1_ +b11100 0" +1k +1b +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001101010011110001000111000110 &" +b10001101010011110001000111000110 ?" +b110 %" +b110 *" +b110 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#7794010 +b10001101010011110001000111000110 I" +#7794500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7795000 +1] +1\ +0>" +0@" +1h +1g +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1011011101010111111101100011000 / +b1011011101010111111101100011000 K +b1011011101010111111101100011000 e +b1011011101010111111101100011000 "" +0. +1% +#7795500 +1. +0% +#7796000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7796500 +16 +b1000101011100000010100011100011 2 +b1000101011100000010100011100011 I +b1000101011100000010100011100011 Z +b111 3 +b111 J +b111 Y +11 +1( +b1000101011100000010100011100011 , +b1000101011100000010100011100011 H +b111 + +b111 G +1- +1. +0% +#7797000 +0] +b11001101011101011100010001111011 | +b11001101011101011100010001111011 B" +0\ +0[ +1>" +1@" +17" +b11000 3" +1^ +1_ +1u +1b +1a +12" +1," +b1000101011100000010100011100011 &" +b1000101011100000010100011100011 ?" +b111 %" +b111 *" +b111 1" +1'" +1#" +0. +1% +#7797010 +b1000101011100000010100011100011 J" +#7797500 +06 +b10110001011110100011010111000000 2 +b10110001011110100011010111000000 I +b10110001011110100011010111000000 Z +b100 3 +b100 J +b100 Y +0( +b10110001011110100011010111000000 , +b10110001011110100011010111000000 H +b100 + +b100 G +1. +0% +#7798000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +07" +b11011 3" +0u +0b +0a +0," +b11001101011101011100010001111011 0 +b11001101011101011100010001111011 M +b11001101011101011100010001111011 f +b11001101011101011100010001111011 !" +b10110001011110100011010111000000 &" +b10110001011110100011010111000000 ?" +b100 %" +b100 *" +b100 1" +0#" +0. +1% +#7798010 +b10110001011110100011010111000000 G" +#7798500 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7799000 +1] +0s +b11110010111101010001100001101011 | +b11110010111101010001100001101011 B" +0>" +0@" +0r +0q +15" +16" +17" +b11111 3" +0^ +0_ +b10000 -" +0t +0y +1z +1u +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7799500 +b0 7 +b0 N +b0 V +06 +b100110110110011011001110000001 2 +b100110110110011011001110000001 I +b100110110110011011001110000001 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 & +b0 C +0( +b100110110110011011001110000001 , +b100110110110011011001110000001 H +b10101 + +b10101 G +1- +1. +0% +#7800000 +0] +1s +0[ +1>" +1@" +1r +1q +05" +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0w +0," +b11110010111101010001100001101011 0 +b11110010111101010001100001101011 M +b11110010111101010001100001101011 f +b11110010111101010001100001101011 !" +b100110110110011011001110000001 &" +b100110110110011011001110000001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7800010 +b100110110110011011001110000001 X" +#7800500 +b11111 5 +b11111 L +b11111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7801000 +1] +0i +b11001110011001110110101000110100 { +b11001110011001110110101000110100 A" +1[ +0>" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0^ +0<" +0` +b0 0" +0j +0o +1p +0k +18" +1l +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7801500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#7802000 +1i +0s +b1111001010010110000011100000001 | +b1111001010010110000011100000001 B" +1h +1g +09" +0:" +0;" +15" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +1u +0n +0m +0/" +1," +b11001110011001110110101000110100 / +b11001110011001110110101000110100 K +b11001110011001110110101000110100 e +b11001110011001110110101000110100 "" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#7802500 +b0 7 +b0 N +b0 V +06 +b10011111010010010010110100 2 +b10011111010010010010110100 I +b10011111010010010010110100 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 & +b0 C +0( +b10011111010010010010110100 , +b10011111010010010010110100 H +b10110 + +b10110 G +1- +1. +0% +#7803000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1>" +1@" +05" +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 -" +0y +0u +1b +12" +0," +b10011111010010010010110100 &" +b10011111010010010010110100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111001010010110000011100000001 0 +b1111001010010110000011100000001 M +b1111001010010110000011100000001 f +b1111001010010110000011100000001 !" +0. +1% +#7803010 +b10011111010010010010110100 Y" +#7803500 +b11001100000101111111011100010001 2 +b11001100000101111111011100010001 I +b11001100000101111111011100010001 Z +b11001 3 +b11001 J +b11001 Y +b11001100000101111111011100010001 , +b11001100000101111111011100010001 H +b11001 + +b11001 G +1. +0% +#7804000 +1=" +1c +1\ +0[ +0>" +b110 3" +0^ +0b +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001100000101111111011100010001 &" +b11001100000101111111011100010001 ?" +b11001 %" +b11001 *" +b11001 1" +0. +1% +#7804010 +b11001100000101111111011100010001 \" +#7804500 +b1000 5 +b1000 L +b1000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7805000 +1] +0i +b1111001010010110000011100000001 { +b1111001010010110000011100000001 A" +1[ +0=" +0@" +19" +1;" +b11111 3" +0c +0<" +0` +b10111 0" +1o +1k +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#7805500 +b101 5 +b101 L +b101 W +b1001100000000000000001110111101 2 +b1001100000000000000001110111101 I +b1001100000000000000001110111101 Z +b11110 3 +b11110 J +b11110 Y +11 +b101 ' +b101 D +b1001100000000000000001110111101 , +b1001100000000000000001110111101 H +b11110 + +b11110 G +1- +1. +0% +#7806000 +1:" +0] +1j +0\ +1=" +1>" +1@" +0g +09" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11010 0" +0o +b1110010011100111001100111110110 { +b1110010011100111001100111110110 A" +1b +12" +1m +b1111001010010110000011100000001 / +b1111001010010110000011100000001 K +b1111001010010110000011100000001 e +b1111001010010110000011100000001 "" +b1001100000000000000001110111101 &" +b1001100000000000000001110111101 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b101 ~ +b101 )" +b101 ." +0. +1% +#7806010 +b1001100000000000000001110111101 a" +#7806500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7807000 +1] +1i +b1100001110110010111100100111 | +b1100001110110010111100100111 B" +1\ +0=" +0>" +0@" +1g +0:" +0;" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +0u +14" +1v +0b +02" +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +b1110010011100111001100111110110 / +b1110010011100111001100111110110 K +b1110010011100111001100111110110 e +b1110010011100111001100111110110 "" +0. +1% +#7807500 +b1111 7 +b1111 N +b1111 V +b11011011101001110101100100010110 2 +b11011011101001110101100100010110 I +b11011011101001110101100100010110 Z +b11001 3 +b11001 J +b11001 Y +11 +b1111 & +b1111 C +b11011011101001110101100100010110 , +b11011011101001110101100100010110 H +b11001 + +b11001 G +1- +1. +0% +#7808000 +0] +1u +0s +0[ +1=" +1@" +0r +0q +17" +15" +16" +b110 3" +1c +0_ +1<" +1` +b10000 -" +04" +0v +0t +0y +1z +b11110010111101010001100001101011 | +b11110010111101010001100001101011 B" +1a +12" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100001110110010111100100111 0 +b1100001110110010111100100111 M +b1100001110110010111100100111 f +b1100001110110010111100100111 !" +b11011011101001110101100100010110 &" +b11011011101001110101100100010110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b1111 } +b1111 (" +b1111 +" +0. +1% +#7808010 +b11011011101001110101100100010110 \" +#7808500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7809000 +1] +1s +1[ +0=" +0@" +1r +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11110010111101010001100001101011 0 +b11110010111101010001100001101011 M +b11110010111101010001100001101011 f +b11110010111101010001100001101011 !" +0. +1% +#7809500 +b11011 5 +b11011 L +b11011 W +14 +b10010011001100011110101111000010 2 +b10010011001100011110101111000010 I +b10010011001100011110101111000010 Z +11 +b11011 ' +b11011 D +1) +b10010011001100011110101111000010 , +b10010011001100011110101111000010 H +1- +1. +0% +#7810000 +0i +b1100100111011101110101111001010 { +b1100100111011101110101111001010 A" +1@" +0h +0g +19" +1;" +1_ +b100 0" +1o +0k +18" +1l +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010011001100011110101111000010 &" +b10010011001100011110101111000010 ?" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#7810010 +b10010011001100011110101111000010 C" +#7810500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +b0 , +b0 H +0- +1. +0% +#7811000 +1i +b11000101010110010011110100011001 | +b11000101010110010011110100011001 B" +0@" +1h +1g +09" +0;" +0r +17" +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +0u +14" +1v +02" +0n +0m +0/" +1x +1," +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +b1100100111011101110101111001010 / +b1100100111011101110101111001010 K +b1100100111011101110101111001010 e +b1100100111011101110101111001010 "" +0. +1% +#7811500 +b11010 7 +b11010 N +b11010 V +b1010111101010110100100011100011 2 +b1010111101010110100100011100011 I +b1010111101010110100100011100011 Z +b11110 3 +b11110 J +b11110 Y +11 +b11010 & +b11010 C +b1010111101010110100100011100011 , +b1010111101010110100100011100011 H +b11110 + +b11110 G +1- +1. +0% +#7812000 +0] +0s +b10101101001100101000110010 | +b10101101001100101000110010 B" +0\ +1=" +1>" +1@" +15" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b101 -" +1y +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000101010110010011110100011001 0 +b11000101010110010011110100011001 M +b11000101010110010011110100011001 f +b11000101010110010011110100011001 !" +b1010111101010110100100011100011 &" +b1010111101010110100100011100011 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b11010 } +b11010 (" +b11010 +" +0. +1% +#7812010 +b1010111101010110100100011100011 a" +#7812500 +b10000 7 +b10000 N +b10000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7813000 +1] +1s +1\ +0=" +0>" +0@" +1r +05" +b11111 3" +0d +0<" +0` +b1111 -" +0y +b1100001110110010111100100111 | +b1100001110110010111100100111 B" +0b +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +b10101101001100101000110010 0 +b10101101001100101000110010 M +b10101101001100101000110010 f +b10101101001100101000110010 !" +0. +1% +#7813500 +b0 7 +b0 N +b0 V +06 +b1100010011010001110101010000100 2 +b1100010011010001110101010000100 I +b1100010011010001110101010000100 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 & +b0 C +0( +b1100010011010001110101010000100 , +b1100010011010001110101010000100 H +b11101 + +b11101 G +1- +1. +0% +#7814000 +0] +0[ +1=" +1>" +1@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +04" +0v +1a +12" +0," +b1100001110110010111100100111 0 +b1100001110110010111100100111 M +b1100001110110010111100100111 f +b1100001110110010111100100111 !" +b1100010011010001110101010000100 &" +b1100010011010001110101010000100 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7814010 +b1100010011010001110101010000100 `" +#7814500 +b10001 5 +b10001 L +b10001 W +14 +b10010 7 +b10010 N +b10010 V +16 +b11001111011101111100111001 2 +b11001111011101111100111001 I +b11001111011101111100111001 Z +b10100 3 +b10100 J +b10100 Y +b10001 ' +b10001 D +1) +b10010 & +b10010 C +1( +b11001111011101111100111001 , +b11001111011101111100111001 H +b10100 + +b10100 G +1. +0% +#7815000 +1^ +b10101000001110100100000110110011 { +b10101000001110100100000110110011 A" +b11000101010110010011110100011001 | +b11000101010110010011110100011001 B" +1[ +0=" +1>" +0g +1;" +0r +17" +b1011 3" +0d +b1110 0" +0k +18" +1l +b1101 -" +0u +14" +1v +0a +1m +1/" +1x +1," +b11001111011101111100111001 &" +b11001111011101111100111001 ?" +b10100 %" +b10100 *" +b10100 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7815010 +b11001111011101111100111001 W" +#7815500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +b11011110110111000001010000011101 2 +b11011110110111000001010000011101 I +b11011110110111000001010000011101 Z +b10 3 +b10 J +b10 Y +b1111 ' +b1111 D +b0 & +b0 C +b11011110110111000001010000011101 , +b11011110110111000001010000011101 H +b10 + +b10 G +1. +0% +#7816000 +1_ +1] +1k +0i +1u +0\ +1@" +0>" +0h +1;" +19" +1:" +1r +17" +b11101 3" +0<" +0` +0^ +b10000 0" +08" +0l +0j +0o +1p +b11110010111101010001100001101011 { +b11110010111101010001100001101011 A" +b11111 -" +04" +0v +b10010011001100011110101111000010 | +b10010011001100011110101111000010 B" +1b +1n +0x +b10101000001110100100000110110011 / +b10101000001110100100000110110011 K +b10101000001110100100000110110011 e +b10101000001110100100000110110011 "" +b11000101010110010011110100011001 0 +b11000101010110010011110100011001 M +b11000101010110010011110100011001 f +b11000101010110010011110100011001 !" +b11011110110111000001010000011101 &" +b11011110110111000001010000011101 ?" +b10 %" +b10 *" +b10 1" +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0. +1% +#7816010 +b11011110110111000001010000011101 E" +#7816500 +b0 5 +b0 L +b0 W +04 +06 +b10011110010001000011001000111111 2 +b10011110010001000011001000111111 I +b10011110010001000011001000111111 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +0( +b10011110010001000011001000111111 , +b10011110010001000011001000111111 H +b11010 + +b11010 G +1. +0% +#7817000 +0_ +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +1h +1g +09" +0:" +0;" +07" +b101 3" +1<" +1` +1c +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0u +0n +0m +0/" +0," +b10011110010001000011001000111111 &" +b10011110010001000011001000111111 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +0#" +b11110010111101010001100001101011 / +b11110010111101010001100001101011 K +b11110010111101010001100001101011 e +b11110010111101010001100001101011 "" +b10010011001100011110101111000010 0 +b10010011001100011110101111000010 M +b10010011001100011110101111000010 f +b10010011001100011110101111000010 !" +0. +1% +#7817010 +b10011110010001000011001000111111 ]" +#7817500 +b1 5 +b1 L +b1 W +14 +b100010011010011010101001000 2 +b100010011010011010101001000 I +b100010011010011010101001000 Z +b11001 3 +b11001 J +b11001 Y +b1 ' +b1 D +1) +b100010011010011010101001000 , +b100010011010011010101001000 H +b11001 + +b11001 G +1. +0% +#7818000 +b10100011011101001001001111100 { +b10100011011101001001001111100 A" +1\ +0[ +0g +1;" +b110 3" +b11110 0" +1k +0b +1a +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100010011010011010101001000 &" +b100010011010011010101001000 ?" +b11001 %" +b11001 *" +b11001 1" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#7818010 +b100010011010011010101001000 \" +#7818500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b11010101000000101011100000100110 2 +b11010101000000101011100000100110 I +b11010101000000101011100000100110 Z +b1101 3 +b1101 J +b1101 Y +b0 ' +b0 D +0) +b110 & +b110 C +1( +b11010101000000101011100000100110 , +b11010101000000101011100000100110 H +b1101 + +b1101 G +1. +0% +#7819000 +1_ +0c +0s +b10001101010011110001000111000110 | +b10001101010011110001000111000110 B" +1@" +1>" +1g +0;" +0r +16" +17" +b10010 3" +0<" +0` +1d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11001 -" +1t +1u +0m +0/" +1x +1," +b11010101000000101011100000100110 &" +b11010101000000101011100000100110 ?" +b1101 %" +b1101 *" +b1101 1" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +b10100011011101001001001111100 / +b10100011011101001001001111100 K +b10100011011101001001001111100 e +b10100011011101001001001111100 "" +0. +1% +#7819010 +b11010101000000101011100000100110 P" +#7819500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7820000 +1] +1s +1[ +0=" +0>" +0@" +1r +06" +07" +b11111 3" +0d +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001101010011110001000111000110 0 +b10001101010011110001000111000110 M +b10001101010011110001000111000110 f +b10001101010011110001000111000110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7820500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#7821000 +0i +b10010011100101111100010110111011 { +b10010011100101111100010110111011 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7821500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7822000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b10010011100101111100010110111011 / +b10010011100101111100010110111011 K +b10010011100101111100010110111011 e +b10010011100101111100010110111011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7822500 +14 +1) +1. +0% +#7823000 +b10010011001100011110101111000010 { +b10010011001100011110101111000010 A" +1;" +1k +1/" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7823500 +b1111 5 +b1111 L +b1111 W +b11101 7 +b11101 N +b11101 V +16 +b1111 ' +b1111 D +b11101 & +b11101 C +1( +1. +0% +#7824000 +0i +0s +b1100010011010001110101010000100 | +b1100010011010001110101010000100 B" +0h +0g +19" +1:" +0q +15" +16" +17" +b10000 0" +0j +0o +1p +b11110010111101010001100001101011 { +b11110010111101010001100001101011 A" +b10 -" +0t +0y +1z +0u +14" +1v +1n +1m +1w +1," +b10010011001100011110101111000010 / +b10010011001100011110101111000010 K +b10010011001100011110101111000010 e +b10010011001100011110101111000010 "" +b1111 ~ +b1111 )" +b1111 ." +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#7824500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +b0 & +b0 C +0( +1. +0% +#7825000 +0k +1j +1s +b110010110000100110010101011011 { +b110010110000100110010101011011 A" +09" +1:" +1q +05" +06" +07" +b1000 0" +18" +1l +0p +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +b11110010111101010001100001101011 / +b11110010111101010001100001101011 K +b11110010111101010001100001101011 e +b11110010111101010001100001101011 "" +b1100010011010001110101010000100 0 +b1100010011010001110101010000100 M +b1100010011010001110101010000100 f +b1100010011010001110101010000100 !" +0. +1% +#7825500 +b0 5 +b0 L +b0 W +04 +b11001001000100000101100100011000 2 +b11001001000100000101100100011000 I +b11001001000100000101100100011000 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b11001001000100000101100100011000 , +b11001001000100000101100100011000 H +b100 + +b100 G +1- +1. +0% +#7826000 +0] +1i +1>" +1@" +1h +1g +0:" +0;" +b11011 3" +1^ +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0m +0/" +b110010110000100110010101011011 / +b110010110000100110010101011011 K +b110010110000100110010101011011 e +b110010110000100110010101011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001001000100000101100100011000 &" +b11001001000100000101100100011000 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7826010 +b11001001000100000101100100011000 G" +#7826500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7827000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7827500 +b1111 5 +b1111 L +b1111 W +14 +b10 7 +b10 N +b10 V +16 +b1111 ' +b1111 D +1) +b10 & +b10 C +1( +1. +0% +#7828000 +0i +b11110010111101010001100001101011 { +b11110010111101010001100001101011 A" +b11011110110111000001010000011101 | +b11011110110111000001010000011101 B" +0h +0g +19" +1:" +1;" +0r +17" +b10000 0" +0j +0o +1p +1k +b11101 -" +1u +1n +1m +1/" +1x +1," +b1111 ~ +b1111 )" +b1111 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#7828500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11111001000000011101111101111101 2 +b11111001000000011101111101111101 I +b11111001000000011101111101111101 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11111001000000011101111101111101 , +b11111001000000011101111101111101 H +b1101 + +b1101 G +1- +1. +0% +#7829000 +0] +1i +0[ +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +1r +07" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0n +0m +0/" +0x +0," +b11111001000000011101111101111101 &" +b11111001000000011101111101111101 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110010111101010001100001101011 / +b11110010111101010001100001101011 K +b11110010111101010001100001101011 e +b11110010111101010001100001101011 "" +b11011110110111000001010000011101 0 +b11011110110111000001010000011101 M +b11011110110111000001010000011101 f +b11011110110111000001010000011101 !" +0. +1% +#7829010 +b11111001000000011101111101111101 P" +#7829500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7830000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7830500 +b10110 7 +b10110 N +b10110 V +16 +b1001010010100110011101001100111 2 +b1001010010100110011101001100111 I +b1001010010100110011101001100111 Z +b10010 3 +b10010 J +b10010 Y +11 +b10110 & +b10110 C +1( +b1001010010100110011101001100111 , +b1001010010100110011101001100111 H +b10010 + +b10010 G +1- +1. +0% +#7831000 +0s +b10011111010010010010110100 | +b10011111010010010010110100 B" +0\ +1@" +0r +16" +17" +b1101 3" +0_ +1<" +1` +b1001 -" +1t +0u +14" +1v +1b +12" +1x +1," +b1001010010100110011101001100111 &" +b1001010010100110011101001100111 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#7831010 +b1001010010100110011101001100111 U" +#7831500 +b10 5 +b10 L +b10 W +14 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7832000 +b11011110110111000001010000011101 { +b11011110110111000001010000011101 A" +0t +1\ +0@" +0h +1;" +1r +0q +15" +b11111 3" +0<" +0` +b11101 0" +1k +b10 -" +1z +b1100010011010001110101010000100 | +b1100010011010001110101010000100 B" +0b +02" +1n +1/" +0x +1w +b10011111010010010010110100 0 +b10011111010010010010110100 M +b10011111010010010010110100 f +b10011111010010010010110100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b11101 } +b11101 (" +b11101 +" +0. +1% +#7832500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b11111011111101101001110101100101 2 +b11111011111101101001110101100101 I +b11111011111101101001110101100101 Z +b1010 3 +b1010 J +b1010 Y +11 +b11001 ' +b11001 D +b0 & +b0 C +0( +b11111011111101101001110101100101 , +b11111011111101101001110101100101 H +b1010 + +b1010 G +1- +1. +0% +#7833000 +0] +0k +0i +1s +0\ +1=" +1@" +1h +0g +19" +1q +05" +06" +07" +b10101 3" +1c +1_ +b110 0" +18" +1l +1o +b100010011010011010101001000 { +b100010011010011010101001000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0n +1m +0w +0," +b11111011111101101001110101100101 &" +b11111011111101101001110101100101 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +b11011110110111000001010000011101 / +b11011110110111000001010000011101 K +b11011110110111000001010000011101 e +b11011110110111000001010000011101 "" +b1100010011010001110101010000100 0 +b1100010011010001110101010000100 M +b1100010011010001110101010000100 f +b1100010011010001110101010000100 !" +0. +1% +#7833010 +b11111011111101101001110101100101 M" +#7833500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7834000 +1] +1i +1\ +0=" +0@" +1g +09" +0;" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0m +0/" +b100010011010011010101001000 / +b100010011010011010101001000 K +b100010011010011010101001000 e +b100010011010011010101001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7834500 +b10100 7 +b10100 N +b10100 V +16 +b11101111001110000001111110 2 +b11101111001110000001111110 I +b11101111001110000001111110 Z +b11100 3 +b11100 J +b11100 Y +11 +b10100 & +b10100 C +1( +b11101111001110000001111110 , +b11101111001110000001111110 H +b11100 + +b11100 G +1- +1. +0% +#7835000 +0] +0s +b11001111011101111100111001 | +b11001111011101111100111001 B" +1=" +1>" +1@" +16" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b1011 -" +1t +0u +14" +1v +12" +1," +b11101111001110000001111110 &" +b11101111001110000001111110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7835010 +b11101111001110000001111110 _" +#7835500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b1100110001101011000111101111111 2 +b1100110001101011000111101111111 I +b1100110001101011000111101111111 Z +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b1100110001101011000111101111111 , +b1100110001101011000111101111111 H +1. +0% +#7836000 +b10101000001110100100000110110011 { +b10101000001110100100000110110011 A" +1s +0g +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1110 0" +0k +18" +1l +b11111 -" +0t +04" +0v +1m +1/" +0," +b11001111011101111100111001 0 +b11001111011101111100111001 M +b11001111011101111100111001 f +b11001111011101111100111001 !" +b1100110001101011000111101111111 &" +b1100110001101011000111101111111 ?" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7836010 +b1100110001101011000111101111111 _" +#7836500 +b0 5 +b0 L +b0 W +04 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7837000 +1] +b10010011001100011110101111000010 | +b10010011001100011110101111000010 B" +0=" +0>" +0@" +1g +0;" +17" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1u +02" +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +b10101000001110100100000110110011 / +b10101000001110100100000110110011 K +b10101000001110100100000110110011 e +b10101000001110100100000110110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7837500 +b10111 7 +b10111 N +b10111 V +b10111 & +b10111 C +1. +0% +#7838000 +0u +0s +0r +0q +16" +b1000 -" +14" +1v +1t +b110010110000100110010101011011 | +b110010110000100110010101011011 B" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010011001100011110101111000010 0 +b10010011001100011110101111000010 M +b10010011001100011110101111000010 f +b10010011001100011110101111000010 !" +b10111 } +b10111 (" +b10111 +" +0. +1% +#7838500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +1. +0% +#7839000 +0i +b1100100111011101110101111001010 { +b1100100111011101110101111001010 A" +1s +0h +0g +19" +1;" +1r +1q +06" +07" +b100 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0w +0," +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110010110000100110010101011011 0 +b110010110000100110010101011011 M +b110010110000100110010101011011 f +b110010110000100110010101011011 !" +0. +1% +#7839500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7840000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b1100100111011101110101111001010 / +b1100100111011101110101111001010 K +b1100100111011101110101111001010 e +b1100100111011101110101111001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7840500 +b110 7 +b110 N +b110 V +16 +b11001000110111110010100110000100 2 +b11001000110111110010100110000100 I +b11001000110111110010100110000100 Z +b10100 3 +b10100 J +b10100 Y +11 +b110 & +b110 C +1( +b11001000110111110010100110000100 , +b11001000110111110010100110000100 H +b10100 + +b10100 G +1- +1. +0% +#7841000 +0] +0s +b10001101010011110001000111000110 | +b10001101010011110001000111000110 B" +1>" +1@" +0r +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b11001 -" +1t +1u +12" +1x +1," +b11001000110111110010100110000100 &" +b11001000110111110010100110000100 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7841010 +b11001000110111110010100110000100 W" +#7841500 +b10101 5 +b10101 L +b10101 W +14 +b1001 7 +b1001 N +b1001 V +b111010011110101111101100000011 2 +b111010011110101111101100000011 I +b111010011110101111101100000011 Z +b10000 3 +b10000 J +b10000 Y +b10101 ' +b10101 D +1) +b1001 & +b1001 C +b111010011110101111101100000011 , +b111010011110101111101100000011 H +b10000 + +b10000 G +1. +0% +#7842000 +15" +1] +0i +b100110110110011011001110000001 { +b100110110110011011001110000001 A" +1y +0>" +0g +1:" +1;" +1r +0q +06" +b1111 3" +0^ +b1010 0" +1j +0k +18" +1l +b10110 -" +0t +b1110111101110110010011110110 | +b1110111101110110010011110110 B" +1m +1/" +0x +1w +b10001101010011110001000111000110 0 +b10001101010011110001000111000110 M +b10001101010011110001000111000110 f +b10001101010011110001000111000110 !" +b111010011110101111101100000011 &" +b111010011110101111101100000011 ?" +b10000 %" +b10000 *" +b10000 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b1001 } +b1001 (" +b1001 +" +0. +1% +#7842010 +b111010011110101111101100000011 S" +#7842500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b1000101101000001110000010001 2 +b1000101101000001110000010001 I +b1000101101000001110000010001 Z +b10010 3 +b10010 J +b10010 Y +b0 ' +b0 D +0) +b11100 & +b11100 C +b1000101101000001110000010001 , +b1000101101000001110000010001 H +b10010 + +b10010 G +1. +0% +#7843000 +1i +0u +0y +0\ +1g +0:" +0;" +1q +16" +b1101 3" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +14" +1v +1z +b1100110001101011000111101111111 | +b1100110001101011000111101111111 B" +1b +0m +0/" +0w +b1000101101000001110000010001 &" +b1000101101000001110000010001 ?" +b10010 %" +b10010 *" +b10010 1" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b100110110110011011001110000001 / +b100110110110011011001110000001 K +b100110110110011011001110000001 e +b100110110110011011001110000001 "" +b1110111101110110010011110110 0 +b1110111101110110010011110110 M +b1110111101110110010011110110 f +b1110111101110110010011110110 !" +0. +1% +#7843010 +b1000101101000001110000010001 U" +#7843500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7844000 +1s +1\ +0@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11111 -" +0z +04" +0v +0b +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100110001101011000111101111111 0 +b1100110001101011000111101111111 M +b1100110001101011000111101111111 f +b1100110001101011000111101111111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7844500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#7845000 +0s +b1100110001101011000111101111111 | +b1100110001101011000111101111111 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b11100 } +b11100 (" +b11100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7845500 +b0 7 +b0 N +b0 V +06 +b1010110101000101010010100100000 2 +b1010110101000101010010100100000 I +b1010110101000101010010100100000 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 & +b0 C +0( +b1010110101000101010010100100000 , +b1010110101000101010010100100000 H +b11101 + +b11101 G +1- +1. +0% +#7846000 +0] +1s +0[ +1=" +1>" +1@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0z +04" +0v +1a +12" +0," +b1100110001101011000111101111111 0 +b1100110001101011000111101111111 M +b1100110001101011000111101111111 f +b1100110001101011000111101111111 !" +b1010110101000101010010100100000 &" +b1010110101000101010010100100000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7846010 +b1010110101000101010010100100000 `" +#7846500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7847000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7847500 +1. +0% +#7848000 +0. +1% +#7848500 +1. +0% +#7849000 +0. +1% +#7849500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#7850000 +0i +b11111011111101101001110101100101 { +b11111011111101101001110101100101 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#7850500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +1. +0% +#7851000 +1i +b1000101101000001110000010001 | +b1000101101000001110000010001 B" +1h +09" +0;" +0r +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +0u +14" +1v +0n +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +b11111011111101101001110101100101 / +b11111011111101101001110101100101 K +b11111011111101101001110101100101 e +b11111011111101101001110101100101 "" +0. +1% +#7851500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +1. +0% +#7852000 +0i +b1100100111011101110101111001010 { +b1100100111011101110101111001010 A" +0h +0g +19" +1;" +1r +07" +b100 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000101101000001110000010001 0 +b1000101101000001110000010001 M +b1000101101000001110000010001 f +b1000101101000001110000010001 !" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7852500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +1. +0% +#7853000 +1i +0s +b11110010111101010001100001101011 | +b11110010111101010001100001101011 B" +1h +1g +09" +0;" +0r +0q +15" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +0n +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +b1100100111011101110101111001010 / +b1100100111011101110101111001010 K +b1100100111011101110101111001010 e +b1100100111011101110101111001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7853500 +b0 7 +b0 N +b0 V +06 +b1100010000000011001100010101010 2 +b1100010000000011001100010101010 I +b1100010000000011001100010101010 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 & +b0 C +0( +b1100010000000011001100010101010 , +b1100010000000011001100010101010 H +b10101 + +b10101 G +1- +1. +0% +#7854000 +0] +1s +0[ +1>" +1@" +1r +1q +05" +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110010111101010001100001101011 0 +b11110010111101010001100001101011 M +b11110010111101010001100001101011 f +b11110010111101010001100001101011 !" +b1100010000000011001100010101010 &" +b1100010000000011001100010101010 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7854010 +b1100010000000011001100010101010 X" +#7854500 +b10010 5 +b10010 L +b10010 W +14 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7855000 +1] +b1000101101000001110000010001 { +b1000101101000001110000010001 A" +0s +b10011110010001000011001000111111 | +b10011110010001000011001000111111 B" +1[ +0>" +0@" +0h +1;" +0r +15" +17" +b11111 3" +0^ +0<" +0` +b1101 0" +0k +18" +1l +b101 -" +1y +0u +14" +1v +0a +02" +1n +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7855500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b10010100100000100010100010111101 2 +b10010100100000100010100010111101 I +b10010100100000100010100010111101 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b11011 & +b11011 C +b10010100100000100010100010111101 , +b10010100100000100010100010111101 H +b10001 + +b10001 G +1- +1. +0% +#7856000 +0[ +1@" +1h +0;" +0q +b1110 3" +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +b1100100111011101110101111001010 | +b1100100111011101110101111001010 B" +1a +12" +0n +0/" +1w +b1000101101000001110000010001 / +b1000101101000001110000010001 K +b1000101101000001110000010001 e +b1000101101000001110000010001 "" +b10011110010001000011001000111111 0 +b10011110010001000011001000111111 M +b10011110010001000011001000111111 f +b10011110010001000011001000111111 !" +b10010100100000100010100010111101 &" +b10010100100000100010100010111101 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#7856010 +b10010100100000100010100010111101 T" +#7856500 +b0 7 +b0 N +b0 V +06 +b11000011001110010101011000000010 2 +b11000011001110010101011000000010 I +b11000011001110010101011000000010 Z +b1111 3 +b1111 J +b1111 Y +b0 & +b0 C +0( +b11000011001110010101011000000010 , +b11000011001110010101011000000010 H +b1111 + +b1111 G +1. +0% +#7857000 +1_ +0] +1s +0\ +1@" +1=" +1>" +1r +1q +05" +07" +b10000 3" +0<" +0` +0^ +0c +1d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0x +0w +0," +b11000011001110010101011000000010 &" +b11000011001110010101011000000010 ?" +b1111 %" +b1111 *" +b1111 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100100111011101110101111001010 0 +b1100100111011101110101111001010 M +b1100100111011101110101111001010 f +b1100100111011101110101111001010 !" +0. +1% +#7857010 +b11000011001110010101011000000010 R" +#7857500 +b111 5 +b111 L +b111 W +14 +b10111111111010010110000010111001 2 +b10111111111010010110000010111001 I +b10111111111010010110000010111001 Z +b11001 3 +b11001 J +b11001 Y +b111 ' +b111 D +1) +b10111111111010010110000010111001 , +b10111111111010010110000010111001 H +b11001 + +b11001 G +1. +0% +#7858000 +0_ +1c +0i +b1000101011100000010100011100011 { +b1000101011100000010100011100011 A" +1\ +1=" +0>" +0h +0g +1:" +1;" +b110 3" +1<" +1` +0d +b11000 0" +1j +1k +0b +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111111111010010110000010111001 &" +b10111111111010010110000010111001 ?" +b11001 %" +b11001 *" +b11001 1" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#7858010 +b10111111111010010110000010111001 \" +#7858500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7859000 +1] +1i +0s +b1000101011100000010100011100011 | +b1000101011100000010100011100011 B" +1[ +0=" +0@" +1h +1g +0:" +0;" +0r +0q +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11000 -" +1t +1u +0a +02" +0n +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +b1000101011100000010100011100011 / +b1000101011100000010100011100011 K +b1000101011100000010100011100011 e +b1000101011100000010100011100011 "" +0. +1% +#7859500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +1. +0% +#7860000 +0i +b1110111101110110010011110110 { +b1110111101110110010011110110 A" +1s +0g +19" +1;" +1r +1q +06" +07" +b10110 0" +1o +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000101011100000010100011100011 0 +b1000101011100000010100011100011 M +b1000101011100000010100011100011 f +b1000101011100000010100011100011 !" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7860500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +1. +0% +#7861000 +1i +0s +b10111111111010010110000010111001 | +b10111111111010010110000010111001 B" +1g +09" +0;" +0q +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +1y +0u +14" +1v +0m +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +b1110111101110110010011110110 / +b1110111101110110010011110110 K +b1110111101110110010011110110 e +b1110111101110110010011110110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7861500 +b11100 5 +b11100 L +b11100 W +14 +b10111 7 +b10111 N +b10111 V +b11100 ' +b11100 D +1) +b10111 & +b10111 C +1. +0% +#7862000 +16" +0i +b1100110001101011000111101111111 { +b1100110001101011000111101111111 A" +1t +19" +1:" +1;" +0r +05" +b11 0" +0j +0o +1p +0k +18" +1l +b1000 -" +0y +b110010110000100110010101011011 | +b110010110000100110010101011011 B" +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111111111010010110000010111001 0 +b10111111111010010110000010111001 M +b10111111111010010110000010111001 f +b10111111111010010110000010111001 !" +b11100 ~ +b11100 )" +b11100 ." +1$" +b10111 } +b10111 (" +b10111 +" +0. +1% +#7862500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7863000 +1i +1s +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +06" +07" +b11111 0" +0p +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100110001101011000111101111111 / +b1100110001101011000111101111111 K +b1100110001101011000111101111111 e +b1100110001101011000111101111111 "" +b110010110000100110010101011011 0 +b110010110000100110010101011011 M +b110010110000100110010101011011 f +b110010110000100110010101011011 !" +0. +1% +#7863500 +1. +0% +#7864000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7864500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#7865000 +b1000101101000001110000010001 { +b1000101101000001110000010001 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#7865500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7866000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b1000101101000001110000010001 / +b1000101101000001110000010001 K +b1000101101000001110000010001 e +b1000101101000001110000010001 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7866500 +b10000 5 +b10000 L +b10000 W +14 +b10000 ' +b10000 D +1) +1. +0% +#7867000 +b111010011110101111101100000011 { +b111010011110101111101100000011 A" +1;" +b1111 0" +0k +18" +1l +1/" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7867500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7868000 +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +08" +0l +0/" +b111010011110101111101100000011 / +b111010011110101111101100000011 K +b111010011110101111101100000011 e +b111010011110101111101100000011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7868500 +b1110 7 +b1110 N +b1110 V +16 +b10011011000110101100110110001001 2 +b10011011000110101100110110001001 I +b10011011000110101100110110001001 Z +b11111 3 +b11111 J +b11111 Y +11 +b1110 & +b1110 C +1( +b10011011000110101100110110001001 , +b10011011000110101100110110001001 H +b11111 + +b11111 G +1- +1. +0% +#7869000 +0] +0s +b10001110100110010111001010 | +b10001110100110010111001010 B" +0\ +0[ +1=" +1>" +1@" +0r +15" +16" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10001 -" +0t +0y +1z +1u +1b +1a +12" +1x +1," +b10011011000110101100110110001001 &" +b10011011000110101100110110001001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7869500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7870000 +1] +1s +1\ +1[ +0=" +0>" +0@" +1r +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0," +b10001110100110010111001010 0 +b10001110100110010111001010 M +b10001110100110010111001010 f +b10001110100110010111001010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7870500 +1. +0% +#7871000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7871500 +b1111000101111110111111100001001 2 +b1111000101111110111111100001001 I +b1111000101111110111111100001001 Z +b10101 3 +b10101 J +b10101 Y +11 +b1111000101111110111111100001001 , +b1111000101111110111111100001001 H +b10101 + +b10101 G +1- +1. +0% +#7872000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b1111000101111110111111100001001 &" +b1111000101111110111111100001001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +0. +1% +#7872010 +b1111000101111110111111100001001 X" +#7872500 +b10000010010011000000010100101001 2 +b10000010010011000000010100101001 I +b10000010010011000000010100101001 Z +b1001 3 +b1001 J +b1001 Y +b10000010010011000000010100101001 , +b10000010010011000000010100101001 H +b1001 + +b1001 G +1. +0% +#7873000 +1=" +1_ +1c +1@" +0>" +b10110 3" +0<" +0` +0^ +b10000010010011000000010100101001 &" +b10000010010011000000010100101001 ?" +b1001 %" +b1001 *" +b1001 1" +0. +1% +#7873010 +b10000010010011000000010100101001 L" +#7873500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7874000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7874500 +b11 7 +b11 N +b11 V +16 +b1011101001110100010010101110110 2 +b1011101001110100010010101110110 I +b1011101001110100010010101110110 Z +b10001 3 +b10001 J +b10001 Y +11 +b11 & +b11 C +1( +b1011101001110100010010101110110 , +b1011101001110100010010101110110 H +b10001 + +b10001 G +1- +1. +0% +#7875000 +b1011011101010111111101100011000 | +b1011011101010111111101100011000 B" +0[ +1@" +0r +0q +17" +b1110 3" +0_ +1<" +1` +b11100 -" +1u +1a +12" +1x +1w +1," +b1011101001110100010010101110110 &" +b1011101001110100010010101110110 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#7875010 +b1011101001110100010010101110110 T" +#7875500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7876000 +1[ +0@" +1r +1q +07" +b11111 3" +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0w +0," +b1011011101010111111101100011000 0 +b1011011101010111111101100011000 M +b1011011101010111111101100011000 f +b1011011101010111111101100011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7876500 +b1010110010110000100000010011110 2 +b1010110010110000100000010011110 I +b1010110010110000100000010011110 Z +b1101 3 +b1101 J +b1101 Y +11 +b1010110010110000100000010011110 , +b1010110010110000100000010011110 H +b1101 + +b1101 G +1- +1. +0% +#7877000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b1010110010110000100000010011110 &" +b1010110010110000100000010011110 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7877010 +b1010110010110000100000010011110 P" +#7877500 +16 +b101110001000101101011000001111 2 +b101110001000101101011000001111 I +b101110001000101101011000001111 Z +b11111 3 +b11111 J +b11111 Y +1( +b101110001000101101011000001111 , +b101110001000101101011000001111 H +b11111 + +b11111 G +1. +0% +#7878000 +0_ +b10010011001100011110101111000010 | +b10010011001100011110101111000010 B" +0\ +17" +b0 3" +1<" +1` +1u +1b +1," +b101110001000101101011000001111 &" +b101110001000101101011000001111 ?" +b11111 %" +b11111 *" +b11111 1" +1#" +0. +1% +#7878500 +b1000 5 +b1000 L +b1000 W +14 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7879000 +1] +0i +b1111001010010110000011100000001 { +b1111001010010110000011100000001 A" +1\ +1[ +0=" +0>" +0@" +19" +1;" +0r +b11111 3" +0d +0<" +0` +b10111 0" +1o +1k +b11101 -" +b11011110110111000001010000011101 | +b11011110110111000001010000011101 B" +0b +0a +02" +1/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b10 } +b10 (" +b10 +" +b10010011001100011110101111000010 0 +b10010011001100011110101111000010 M +b10010011001100011110101111000010 f +b10010011001100011110101111000010 !" +0. +1% +#7879500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +b0 & +b0 C +0( +1. +0% +#7880000 +1:" +1j +b11001001000100000101100100011000 { +b11001001000100000101100100011000 A" +09" +1r +07" +b11011 0" +0o +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b1111001010010110000011100000001 / +b1111001010010110000011100000001 K +b1111001010010110000011100000001 e +b1111001010010110000011100000001 "" +b11011110110111000001010000011101 0 +b11011110110111000001010000011101 M +b11011110110111000001010000011101 f +b11011110110111000001010000011101 !" +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7880500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7881000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11001001000100000101100100011000 / +b11001001000100000101100100011000 K +b11001001000100000101100100011000 e +b11001001000100000101100100011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7881500 +b1100 7 +b1100 N +b1100 V +16 +b1100 & +b1100 C +1( +1. +0% +#7882000 +0s +b11010010011011000010001001110100 | +b11010010011011000010001001110100 B" +15" +16" +17" +b10011 -" +0t +0y +1z +1u +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#7882500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b10010100100110111101010101101 2 +b10010100100110111101010101101 I +b10010100100110111101010101101 Z +b1000 3 +b1000 J +b1000 Y +11 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b10010100100110111101010101101 , +b10010100100110111101010101101 H +b1000 + +b1000 G +1- +1. +0% +#7883000 +0] +0i +b10001101010011110001000111000110 { +b10001101010011110001000111000110 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1=" +1@" +0h +1:" +1;" +05" +06" +07" +b10111 3" +1c +1_ +b11001 0" +1j +1k +b11111 -" +0z +0u +12" +1n +1/" +0," +b10010100100110111101010101101 &" +b10010100100110111101010101101 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11010010011011000010001001110100 0 +b11010010011011000010001001110100 M +b11010010011011000010001001110100 f +b11010010011011000010001001110100 !" +0. +1% +#7883010 +b10010100100110111101010101101 K" +#7883500 +b11010 5 +b11010 L +b11010 W +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7884000 +19" +1] +0k +1o +0s +b1010110101000101010010100100000 | +b1010110101000101010010100100000 B" +0=" +0@" +b10011110010001000011001000111111 { +b10011110010001000011001000111111 A" +0:" +0q +15" +16" +17" +b11111 3" +0c +0_ +b101 0" +18" +1l +0j +b10 -" +0t +0y +1z +0u +14" +1v +02" +1w +1," +b10001101010011110001000111000110 / +b10001101010011110001000111000110 K +b10001101010011110001000111000110 e +b10001101010011110001000111000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#7884500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b1110000100111011000001110100001 2 +b1110000100111011000001110100001 I +b1110000100111011000001110100001 Z +b11111 3 +b11111 J +b11111 Y +11 +b1010 ' +b1010 D +b0 & +b0 C +0( +b1110000100111011000001110100001 , +b1110000100111011000001110100001 H +b11111 + +b11111 G +1- +1. +0% +#7885000 +0] +1k +1s +0\ +0[ +1=" +1>" +1@" +1;" +b11111011111101101001110101100101 { +b11111011111101101001110101100101 A" +1q +05" +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10101 0" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b1110000100111011000001110100001 &" +b1110000100111011000001110100001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +b10011110010001000011001000111111 / +b10011110010001000011001000111111 K +b10011110010001000011001000111111 e +b10011110010001000011001000111111 "" +b1010110101000101010010100100000 0 +b1010110101000101010010100100000 M +b1010110101000101010010100100000 f +b1010110101000101010010100100000 !" +0. +1% +#7885500 +b10001 5 +b10001 L +b10001 W +b10101011110000001001010011111110 2 +b10101011110000001001010011111110 I +b10101011110000001001010011111110 Z +b10100 3 +b10100 J +b10100 Y +b10001 ' +b10001 D +b10101011110000001001010011111110 , +b10101011110000001001010011111110 H +b10100 + +b10100 G +1. +0% +#7886000 +1^ +0k +1i +1\ +1[ +0=" +1>" +1h +0g +09" +b1011 3" +0d +b1110 0" +18" +1l +0o +b1011101001110100010010101110110 { +b1011101001110100010010101110110 A" +0b +0a +0n +1m +b11111011111101101001110101100101 / +b11111011111101101001110101100101 K +b11111011111101101001110101100101 e +b11111011111101101001110101100101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101011110000001001010011111110 &" +b10101011110000001001010011111110 ?" +b10100 %" +b10100 *" +b10100 1" +b10001 ~ +b10001 )" +b10001 ." +0. +1% +#7886010 +b10101011110000001001010011111110 W" +#7886500 +b0 5 +b0 L +b0 W +04 +b11111000010010011100000110001111 2 +b11111000010010011100000110001111 I +b11111000010010011100000110001111 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b11111000010010011100000110001111 , +b11111000010010011100000110001111 H +b10000 + +b10000 G +1. +0% +#7887000 +1] +0>" +1g +0;" +b1111 3" +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11111000010010011100000110001111 &" +b11111000010010011100000110001111 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b1011101001110100010010101110110 / +b1011101001110100010010101110110 K +b1011101001110100010010101110110 e +b1011101001110100010010101110110 "" +0. +1% +#7887010 +b11111000010010011100000110001111 S" +#7887500 +b11000011111100100000111101111111 2 +b11000011111100100000111101111111 I +b11000011111100100000111101111111 Z +b11100 3 +b11100 J +b11100 Y +b11000011111100100000111101111111 , +b11000011111100100000111101111111 H +b11100 + +b11100 G +1. +0% +#7888000 +0] +1=" +1>" +b11 3" +0^ +0c +1d +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000011111100100000111101111111 &" +b11000011111100100000111101111111 ?" +b11100 %" +b11100 *" +b11100 1" +0. +1% +#7888010 +b11000011111100100000111101111111 _" +#7888500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7889000 +1] +b11011110110111000001010000011101 | +b11011110110111000001010000011101 B" +0=" +0>" +0@" +0r +17" +b11111 3" +0d +0<" +0` +b11101 -" +1u +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#7889500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7890000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b11011110110111000001010000011101 0 +b11011110110111000001010000011101 M +b11011110110111000001010000011101 f +b11011110110111000001010000011101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7890500 +16 +b10110010000100110101100001110 2 +b10110010000100110101100001110 I +b10110010000100110101100001110 Z +b10101 3 +b10101 J +b10101 Y +11 +1( +b10110010000100110101100001110 , +b10110010000100110101100001110 H +b10101 + +b10101 G +1- +1. +0% +#7891000 +0] +b10010011001100011110101111000010 | +b10010011001100011110101111000010 B" +0[ +1>" +1@" +17" +b1010 3" +1^ +0_ +1<" +1` +1u +1a +12" +1," +b10110010000100110101100001110 &" +b10110010000100110101100001110 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7891010 +b10110010000100110101100001110 X" +#7891500 +b10101 5 +b10101 L +b10101 W +14 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7892000 +1] +0i +b10110010000100110101100001110 { +b10110010000100110101100001110 A" +0s +1[ +0>" +0@" +0g +1:" +1;" +0q +15" +16" +b11111 3" +0^ +0<" +0` +b1010 0" +1j +0k +18" +1l +b10010 -" +0t +0y +1z +b1010110010110000100000010011110 | +b1010110010110000100000010011110 B" +0a +02" +1m +1/" +1w +b10010011001100011110101111000010 0 +b10010011001100011110101111000010 M +b10010011001100011110101111000010 f +b10010011001100011110101111000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#7892500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b1111101001101011001011100011 2 +b1111101001101011001011100011 I +b1111101001101011001011100011 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b101 & +b101 C +b1111101001101011001011100011 , +b1111101001101011001011100011 H +b10110 + +b10110 G +1- +1. +0% +#7893000 +0] +1i +1t +b1110010011100111001100111110110 | +b1110010011100111001100111110110 B" +0\ +1>" +1@" +1g +0:" +0;" +05" +16" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +0z +1b +12" +0m +0/" +b1111101001101011001011100011 &" +b1111101001101011001011100011 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b10110010000100110101100001110 / +b10110010000100110101100001110 K +b10110010000100110101100001110 e +b10110010000100110101100001110 "" +b1010110010110000100000010011110 0 +b1010110010110000100000010011110 M +b1010110010110000100000010011110 f +b1010110010110000100000010011110 !" +0. +1% +#7893010 +b1111101001101011001011100011 Y" +#7893500 +b0 7 +b0 N +b0 V +06 +b11111000110111111000111100010001 2 +b11111000110111111000111100010001 I +b11111000110111111000111100010001 Z +b10011 3 +b10011 J +b10011 Y +b0 & +b0 C +0( +b11111000110111111000111100010001 , +b11111000110111111000111100010001 H +b10011 + +b10011 G +1. +0% +#7894000 +1] +1s +0[ +0>" +1q +06" +07" +b1100 3" +0^ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110010011100111001100111110110 0 +b1110010011100111001100111110110 M +b1110010011100111001100111110110 f +b1110010011100111001100111110110 !" +b11111000110111111000111100010001 &" +b11111000110111111000111100010001 ?" +b10011 %" +b10011 *" +b10011 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7894010 +b11111000110111111000111100010001 V" +#7894500 +b10000111000111111011010010100110 2 +b10000111000111111011010010100110 I +b10000111000111111011010010100110 Z +b10001 3 +b10001 J +b10001 Y +b10000111000111111011010010100110 , +b10000111000111111011010010100110 H +b10001 + +b10001 G +1. +0% +#7895000 +1\ +b1110 3" +0b +b10000111000111111011010010100110 &" +b10000111000111111011010010100110 ?" +b10001 %" +b10001 *" +b10001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7895010 +b10000111000111111011010010100110 T" +#7895500 +b100 5 +b100 L +b100 W +14 +b10000010001110111001101000100101 2 +b10000010001110111001101000100101 I +b10000010001110111001101000100101 Z +b1100 3 +b1100 J +b1100 Y +b100 ' +b100 D +1) +b10000010001110111001101000100101 , +b10000010001110111001101000100101 H +b1100 + +b1100 G +1. +0% +#7896000 +1_ +0] +0i +b11001001000100000101100100011000 { +b11001001000100000101100100011000 A" +1[ +1@" +1=" +1>" +1:" +1;" +b10011 3" +0<" +0` +0^ +0c +1d +b11011 0" +1j +1k +0a +1/" +b10000010001110111001101000100101 &" +b10000010001110111001101000100101 ?" +b1100 %" +b1100 *" +b1100 1" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#7896010 +b10000010001110111001101000100101 O" +#7896500 +b0 5 +b0 L +b0 W +04 +b11100101001010110010000101010111 2 +b11100101001010110010000101010111 I +b11100101001010110010000101010111 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b11100101001010110010000101010111 , +b11100101001010110010000101010111 H +b1 + +b1 G +1. +0% +#7897000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +0=" +0>" +0:" +0;" +b11110 3" +0d +b11111 0" +0j +0k +1a +0/" +b11100101001010110010000101010111 &" +b11100101001010110010000101010111 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b11001001000100000101100100011000 / +b11001001000100000101100100011000 K +b11001001000100000101100100011000 e +b11001001000100000101100100011000 "" +0. +1% +#7897010 +b11100101001010110010000101010111 D" +#7897500 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7898000 +0s +b10010100100110111101010101101 | +b10010100100110111101010101101 B" +1[ +0@" +15" +17" +b11111 3" +0_ +b10111 -" +1y +1u +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#7898500 +b1010 5 +b1010 L +b1010 W +14 +b0 7 +b0 N +b0 V +06 +b1010 ' +b1010 D +1) +b0 & +b0 C +0( +1. +0% +#7899000 +0i +b11111011111101101001110101100101 { +b11111011111101101001110101100101 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +19" +1;" +05" +07" +b10101 0" +1o +1k +b11111 -" +0y +0u +1n +1/" +0," +b1010 ~ +b1010 )" +b1010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10010100100110111101010101101 0 +b10010100100110111101010101101 M +b10010100100110111101010101101 f +b10010100100110111101010101101 !" +0. +1% +#7899500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7900000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b11111011111101101001110101100101 / +b11111011111101101001110101100101 K +b11111011111101101001110101100101 e +b11111011111101101001110101100101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7900500 +b100 5 +b100 L +b100 W +14 +b10010011100110111101011001000101 2 +b10010011100110111101011001000101 I +b10010011100110111101011001000101 Z +b111 3 +b111 J +b111 Y +11 +b100 ' +b100 D +1) +b10010011100110111101011001000101 , +b10010011100110111101011001000101 H +b111 + +b111 G +1- +1. +0% +#7901000 +0] +0i +b11001001000100000101100100011000 { +b11001001000100000101100100011000 A" +0\ +0[ +1>" +1@" +1:" +1;" +b11000 3" +1^ +1_ +b11011 0" +1j +1k +1b +1a +12" +1/" +b10010011100110111101011001000101 &" +b10010011100110111101011001000101 ?" +b111 %" +b111 *" +b111 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7901010 +b10010011100110111101011001000101 J" +#7901500 +b10001 5 +b10001 L +b10001 W +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7902000 +1] +0k +1i +b11100101001010110010000101010111 | +b11100101001010110010000101010111 B" +1\ +1[ +0>" +0@" +0g +0:" +0q +17" +b11111 3" +0^ +0_ +b1110 0" +18" +1l +0j +b10000111000111111011010010100110 { +b10000111000111111011010010100110 A" +b11110 -" +1u +0b +0a +02" +1m +1w +1," +b11001001000100000101100100011000 / +b11001001000100000101100100011000 K +b11001001000100000101100100011000 e +b11001001000100000101100100011000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b1 } +b1 (" +b1 +" +1#" +0. +1% +#7902500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b0 ' +b0 D +0) +b100 & +b100 C +1. +0% +#7903000 +0s +1g +0;" +1q +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +1t +b11001001000100000101100100011000 | +b11001001000100000101100100011000 B" +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +b10000111000111111011010010100110 / +b10000111000111111011010010100110 K +b10000111000111111011010010100110 e +b10000111000111111011010010100110 "" +b11100101001010110010000101010111 0 +b11100101001010110010000101010111 M +b11100101001010110010000101010111 f +b11100101001010110010000101010111 !" +0. +1% +#7903500 +b101 7 +b101 N +b101 V +b101 & +b101 C +1. +0% +#7904000 +0q +b11010 -" +b1110010011100111001100111110110 | +b1110010011100111001100111110110 B" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001001000100000101100100011000 0 +b11001001000100000101100100011000 M +b11001001000100000101100100011000 f +b11001001000100000101100100011000 !" +b101 } +b101 (" +b101 +" +0. +1% +#7904500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7905000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1110010011100111001100111110110 0 +b1110010011100111001100111110110 M +b1110010011100111001100111110110 f +b1110010011100111001100111110110 !" +0. +1% +#7905500 +1. +0% +#7906000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7906500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#7907000 +0i +b1010110101000101010010100100000 { +b1010110101000101010010100100000 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#7907500 +b11010 5 +b11010 L +b11010 W +b10 7 +b10 N +b10 V +16 +b10011100100101110101001110 2 +b10011100100101110101001110 I +b10011100100101110101001110 Z +b1011 3 +b1011 J +b1011 Y +11 +b11010 ' +b11010 D +b10 & +b10 C +1( +b10011100100101110101001110 , +b10011100100101110101001110 H +b1011 + +b1011 G +1- +1. +0% +#7908000 +0] +1o +b11011110110111000001010000011101 | +b11011110110111000001010000011101 B" +0\ +0[ +1=" +1@" +0h +1g +19" +0:" +0r +17" +b10100 3" +1c +1_ +b101 0" +0p +b10011110010001000011001000111111 { +b10011110010001000011001000111111 A" +b11101 -" +1u +1b +1a +12" +1n +0m +1x +1," +b1010110101000101010010100100000 / +b1010110101000101010010100100000 K +b1010110101000101010010100100000 e +b1010110101000101010010100100000 "" +b10011100100101110101001110 &" +b10011100100101110101001110 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +b10 } +b10 (" +b10 +" +1#" +0. +1% +#7908010 +b10011100100101110101001110 N" +#7908500 +b10011 5 +b10011 L +b10011 W +b0 7 +b0 N +b0 V +06 +b1110000111100010011110010100011 2 +b1110000111100010011110010100011 I +b1110000111100010011110010100011 Z +b1100 3 +b1100 J +b1100 Y +b10011 ' +b10011 D +b0 & +b0 C +0( +b1110000111100010011110010100011 , +b1110000111100010011110010100011 H +b1100 + +b1100 G +1. +0% +#7909000 +0c +1i +1\ +1[ +1>" +0g +09" +1r +07" +b10011 3" +1d +b1100 0" +0o +b11111000110111111000111100010001 { +b11111000110111111000111100010001 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +1m +0x +0," +b1110000111100010011110010100011 &" +b1110000111100010011110010100011 ?" +b1100 %" +b1100 *" +b1100 1" +b10011 ~ +b10011 )" +b10011 ." +b0 } +b0 (" +b0 +" +0#" +b10011110010001000011001000111111 / +b10011110010001000011001000111111 K +b10011110010001000011001000111111 e +b10011110010001000011001000111111 "" +b11011110110111000001010000011101 0 +b11011110110111000001010000011101 M +b11011110110111000001010000011101 f +b11011110110111000001010000011101 !" +0. +1% +#7909010 +b1110000111100010011110010100011 O" +#7909500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7910000 +1] +0s +b1110000100111011000001110100001 | +b1110000100111011000001110100001 B" +0=" +0>" +0@" +1h +1g +0;" +0r +0q +15" +16" +17" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +0t +0y +1z +0u +14" +1v +02" +0n +0m +0/" +1x +1w +1," +b11111000110111111000111100010001 / +b11111000110111111000111100010001 K +b11111000110111111000111100010001 e +b11111000110111111000111100010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#7910500 +b10011 5 +b10011 L +b10011 W +14 +b0 7 +b0 N +b0 V +06 +b1111110000000101011000000001000 2 +b1111110000000101011000000001000 I +b1111110000000101011000000001000 Z +b100 3 +b100 J +b100 Y +11 +b10011 ' +b10011 D +1) +b0 & +b0 C +0( +b1111110000000101011000000001000 , +b1111110000000101011000000001000 H +b100 + +b100 G +1- +1. +0% +#7911000 +0] +b11111000110111111000111100010001 { +b11111000110111111000111100010001 A" +1s +1>" +1@" +0h +0g +1;" +1r +1q +05" +06" +07" +b11011 3" +1^ +1_ +b1100 0" +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1n +1m +1/" +0x +0w +0," +b1111110000000101011000000001000 &" +b1111110000000101011000000001000 ?" +b100 %" +b100 *" +b100 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110000100111011000001110100001 0 +b1110000100111011000001110100001 M +b1110000100111011000001110100001 f +b1110000100111011000001110100001 !" +0. +1% +#7911010 +b1111110000000101011000000001000 G" +#7911500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7912000 +1] +0>" +0@" +1h +1g +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0m +0/" +b11111000110111111000111100010001 / +b11111000110111111000111100010001 K +b11111000110111111000111100010001 e +b11111000110111111000111100010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7912500 +b10111 5 +b10111 L +b10111 W +14 +b10111 ' +b10111 D +1) +1. +0% +#7913000 +0i +b110010110000100110010101011011 { +b110010110000100110010101011011 A" +0h +0g +1:" +1;" +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7913500 +b0 5 +b0 L +b0 W +04 +b101111100110101101101010001111 2 +b101111100110101101101010001111 I +b101111100110101101101010001111 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b101111100110101101101010001111 , +b101111100110101101101010001111 H +b1110 + +b1110 G +1- +1. +0% +#7914000 +0] +1i +0\ +1=" +1>" +1@" +1h +1g +0:" +0;" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0m +0/" +b110010110000100110010101011011 / +b110010110000100110010101011011 K +b110010110000100110010101011011 e +b110010110000100110010101011011 "" +b101111100110101101101010001111 &" +b101111100110101101101010001111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7914010 +b101111100110101101101010001111 Q" +#7914500 +b10100 5 +b10100 L +b10100 W +14 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7915000 +1] +0i +b10101011110000001001010011111110 { +b10101011110000001001010011111110 A" +0s +b10000010010011000000010100101001 | +b10000010010011000000010100101001 B" +1\ +0=" +0>" +0@" +1:" +1;" +0q +15" +17" +b11111 3" +0d +0_ +b1011 0" +1j +0k +18" +1l +b10110 -" +1y +1u +0b +02" +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7915500 +b100 5 +b100 L +b100 W +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +b0 & +b0 C +0( +1. +0% +#7916000 +1k +1s +1;" +b1111110000000101011000000001000 { +b1111110000000101011000000001000 A" +1q +05" +07" +b11011 0" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10101011110000001001010011111110 / +b10101011110000001001010011111110 K +b10101011110000001001010011111110 e +b10101011110000001001010011111110 "" +b10000010010011000000010100101001 0 +b10000010010011000000010100101001 M +b10000010010011000000010100101001 f +b10000010010011000000010100101001 !" +b100 ~ +b100 )" +b100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7916500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7917000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1111110000000101011000000001000 / +b1111110000000101011000000001000 K +b1111110000000101011000000001000 e +b1111110000000101011000000001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7917500 +b1 5 +b1 L +b1 W +14 +b110 7 +b110 N +b110 V +16 +b10101111111100101001011001111100 2 +b10101111111100101001011001111100 I +b10101111111100101001011001111100 Z +b10011 3 +b10011 J +b10011 Y +11 +b1 ' +b1 D +1) +b110 & +b110 C +1( +b10101111111100101001011001111100 , +b10101111111100101001011001111100 H +b10011 + +b10011 G +1- +1. +0% +#7918000 +b11100101001010110010000101010111 { +b11100101001010110010000101010111 A" +0s +b10001101010011110001000111000110 | +b10001101010011110001000111000110 B" +0\ +0[ +1@" +0g +1;" +0r +16" +17" +b1100 3" +0_ +1<" +1` +b11110 0" +1k +b11001 -" +1t +1u +1b +1a +12" +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101111111100101001011001111100 &" +b10101111111100101001011001111100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#7918010 +b10101111111100101001011001111100 V" +#7918500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b1100010010110010100000011000100 2 +b1100010010110010100000011000100 I +b1100010010110010100000011000100 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b0 & +b0 C +b1100010010110010100000011000100 , +b1100010010110010100000011000100 H +b111 + +b111 G +1. +0% +#7919000 +1_ +0] +1s +1@" +1>" +1g +0;" +1r +06" +b11000 3" +0<" +0` +1^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b10010011001100011110101111000010 | +b10010011001100011110101111000010 B" +0m +0/" +0x +b1100010010110010100000011000100 &" +b1100010010110010100000011000100 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +b11100101001010110010000101010111 / +b11100101001010110010000101010111 K +b11100101001010110010000101010111 e +b11100101001010110010000101010111 "" +b10001101010011110001000111000110 0 +b10001101010011110001000111000110 M +b10001101010011110001000111000110 f +b10001101010011110001000111000110 !" +0. +1% +#7919010 +b1100010010110010100000011000100 J" +#7919500 +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7920000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0>" +0@" +07" +b11111 3" +0^ +0_ +0u +0b +0a +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010011001100011110101111000010 0 +b10010011001100011110101111000010 M +b10010011001100011110101111000010 f +b10010011001100011110101111000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0#" +0. +1% +#7920500 +b1101 5 +b1101 L +b1101 W +14 +b11011 7 +b11011 N +b11011 V +16 +b10000010100001111000110101000110 2 +b10000010100001111000110101000110 I +b10000010100001111000110101000110 Z +b10110 3 +b10110 J +b10110 Y +11 +b1101 ' +b1101 D +1) +b11011 & +b11011 C +1( +b10000010100001111000110101000110 , +b10000010100001111000110101000110 H +b10110 + +b10110 G +1- +1. +0% +#7921000 +0] +0i +b1010110010110000100000010011110 { +b1010110010110000100000010011110 A" +0s +b1100100111011101110101111001010 | +b1100100111011101110101111001010 B" +0\ +1>" +1@" +0g +19" +1:" +1;" +0r +0q +15" +17" +b1001 3" +1^ +0_ +1<" +1` +b10010 0" +0j +0o +1p +1k +b100 -" +1y +0u +14" +1v +1b +12" +1m +1/" +1x +1w +1," +b10000010100001111000110101000110 &" +b10000010100001111000110101000110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7921010 +b10000010100001111000110101000110 Y" +#7921500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11001 & +b11001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7922000 +1] +1i +1\ +0>" +0@" +1g +09" +0:" +0;" +1r +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +b10111111111010010110000010111001 | +b10111111111010010110000010111001 B" +0b +02" +0m +0/" +0x +b1010110010110000100000010011110 / +b1010110010110000100000010011110 K +b1010110010110000100000010011110 e +b1010110010110000100000010011110 "" +b1100100111011101110101111001010 0 +b1100100111011101110101111001010 M +b1100100111011101110101111001010 f +b1100100111011101110101111001010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#7922500 +b0 7 +b0 N +b0 V +06 +b110010010010010101100010100011 2 +b110010010010010101100010100011 I +b110010010010010101100010100011 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b110010010010010101100010100011 , +b110010010010010101100010100011 H +b111 + +b111 G +1- +1. +0% +#7923000 +0] +1s +0\ +0[ +1>" +1@" +1q +05" +07" +b11000 3" +1^ +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b110010010010010101100010100011 &" +b110010010010010101100010100011 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111111111010010110000010111001 0 +b10111111111010010110000010111001 M +b10111111111010010110000010111001 f +b10111111111010010110000010111001 !" +0. +1% +#7923010 +b110010010010010101100010100011 J" +#7923500 +b10000101100101111100001110111000 2 +b10000101100101111100001110111000 I +b10000101100101111100001110111000 Z +b10101 3 +b10101 J +b10101 Y +b10000101100101111100001110111000 , +b10000101100101111100001110111000 H +b10101 + +b10101 G +1. +0% +#7924000 +0_ +1\ +b1010 3" +1<" +1` +0b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000101100101111100001110111000 &" +b10000101100101111100001110111000 ?" +b10101 %" +b10101 *" +b10101 1" +0. +1% +#7924010 +b10000101100101111100001110111000 X" +#7924500 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7925000 +1] +0s +b10011110010001000011001000111111 | +b10011110010001000011001000111111 B" +1[ +0>" +0@" +0r +15" +17" +b11111 3" +0^ +0<" +0` +b101 -" +1y +0u +14" +1v +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#7925500 +b11 7 +b11 N +b11 V +b11 & +b11 C +1. +0% +#7926000 +1u +1s +0q +17" +05" +b11100 -" +04" +0v +0y +b1011011101010111111101100011000 | +b1011011101010111111101100011000 B" +1w +b10011110010001000011001000111111 0 +b10011110010001000011001000111111 M +b10011110010001000011001000111111 f +b10011110010001000011001000111111 !" +b11 } +b11 (" +b11 +" +0. +1% +#7926500 +b0 7 +b0 N +b0 V +06 +b1010101100110110010101010000000 2 +b1010101100110110010101010000000 I +b1010101100110110010101010000000 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 & +b0 C +0( +b1010101100110110010101010000000 , +b1010101100110110010101010000000 H +b11100 + +b11100 G +1- +1. +0% +#7927000 +0] +1=" +1>" +1@" +1r +1q +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0x +0w +0," +b1010101100110110010101010000000 &" +b1010101100110110010101010000000 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1011011101010111111101100011000 0 +b1011011101010111111101100011000 M +b1011011101010111111101100011000 f +b1011011101010111111101100011000 !" +0. +1% +#7927010 +b1010101100110110010101010000000 _" +#7927500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7928000 +1] +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7928500 +b100 5 +b100 L +b100 W +14 +b10000011110011011110110011011100 2 +b10000011110011011110110011011100 I +b10000011110011011110110011011100 Z +b11011 3 +b11011 J +b11011 Y +11 +b100 ' +b100 D +1) +b10000011110011011110110011011100 , +b10000011110011011110110011011100 H +b11011 + +b11011 G +1- +1. +0% +#7929000 +0] +0i +b1111110000000101011000000001000 { +b1111110000000101011000000001000 A" +0\ +0[ +1=" +1@" +1:" +1;" +b100 3" +1c +0_ +1<" +1` +b11011 0" +1j +1k +1b +1a +12" +1/" +b10000011110011011110110011011100 &" +b10000011110011011110110011011100 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#7929010 +b10000011110011011110110011011100 ^" +#7929500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7930000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11011110110111000001010000011101 | +b11011110110111000001010000011101 B" +1\ +1[ +0=" +0@" +0:" +0;" +0r +17" +b11111 3" +0c +0<" +0` +b11111 0" +0j +0k +b11101 -" +1u +0b +0a +02" +0/" +1x +1," +b1111110000000101011000000001000 / +b1111110000000101011000000001000 K +b1111110000000101011000000001000 e +b1111110000000101011000000001000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#7930500 +b10000 5 +b10000 L +b10000 W +14 +b1011 7 +b1011 N +b1011 V +b11100110011100010000101011100111 2 +b11100110011100010000101011100111 I +b11100110011100010000101011100111 Z +b11011 3 +b11011 J +b11011 Y +11 +b10000 ' +b10000 D +1) +b1011 & +b1011 C +b11100110011100010000101011100111 , +b11100110011100010000101011100111 H +b11011 + +b11011 G +1- +1. +0% +#7931000 +0] +b11111000010010011100000110001111 { +b11111000010010011100000110001111 A" +0s +0\ +0[ +1=" +1@" +1;" +0q +15" +b100 3" +1c +0_ +1<" +1` +b1111 0" +0k +18" +1l +b10100 -" +1y +b10011100100101110101001110 | +b10011100100101110101001110 B" +1b +1a +12" +1/" +1w +b11100110011100010000101011100111 &" +b11100110011100010000101011100111 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b1011 } +b1011 (" +b1011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011110110111000001010000011101 0 +b11011110110111000001010000011101 M +b11011110110111000001010000011101 f +b11011110110111000001010000011101 !" +0. +1% +#7931010 +b11100110011100010000101011100111 ^" +#7931500 +b1110 5 +b1110 L +b1110 W +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7932000 +1] +1k +0i +0u +1\ +1[ +0=" +0@" +0h +1;" +19" +1:" +b11100110011100010000101011100111 | +b11100110011100010000101011100111 B" +b11111 3" +0c +0<" +0` +b10001 0" +08" +0l +0j +0o +1p +b101111100110101101101010001111 { +b101111100110101101101010001111 A" +b100 -" +14" +1v +0b +0a +02" +1n +b11111000010010011100000110001111 / +b11111000010010011100000110001111 K +b11111000010010011100000110001111 e +b11111000010010011100000110001111 "" +b10011100100101110101001110 0 +b10011100100101110101001110 M +b10011100100101110101001110 f +b10011100100101110101001110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +b11011 } +b11011 (" +b11011 +" +0. +1% +#7932500 +b11000 5 +b11000 L +b11000 W +b0 7 +b0 N +b0 V +06 +b10010101011010100110000111000110 2 +b10010101011010100110000111000110 I +b10010101011010100110000111000110 Z +b10 3 +b10 J +b10 Y +11 +b11000 ' +b11000 D +b0 & +b0 C +0( +b10010101011010100110000111000110 , +b10010101011010100110000111000110 H +b10 + +b10 G +1- +1. +0% +#7933000 +0k +1o +1s +0\ +1@" +1h +19" +0:" +1r +1q +05" +07" +b11101 3" +1_ +b111 0" +18" +1l +0p +b101101010000101100111101110100 { +b101101010000101100111101110100 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0n +0x +0w +0," +b10010101011010100110000111000110 &" +b10010101011010100110000111000110 ?" +b10 %" +b10 *" +b10 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +b0 } +b0 (" +b0 +" +0#" +b101111100110101101101010001111 / +b101111100110101101101010001111 K +b101111100110101101101010001111 e +b101111100110101101101010001111 "" +b11100110011100010000101011100111 0 +b11100110011100010000101011100111 M +b11100110011100010000101011100111 f +b11100110011100010000101011100111 !" +0. +1% +#7933010 +b10010101011010100110000111000110 E" +#7933500 +b11 5 +b11 L +b11 W +b11111111110100011010001100001110 2 +b11111111110100011010001100001110 I +b11111111110100011010001100001110 Z +b1100 3 +b1100 J +b1100 Y +b11 ' +b11 D +b11111111110100011010001100001110 , +b11111111110100011010001100001110 H +b1100 + +b1100 G +1. +0% +#7934000 +0] +1k +1i +1\ +1=" +1>" +0h +0g +1;" +09" +b10011 3" +0^ +0c +1d +b11100 0" +08" +0l +0o +b1011011101010111111101100011000 { +b1011011101010111111101100011000 A" +0b +1n +1m +b101101010000101100111101110100 / +b101101010000101100111101110100 K +b101101010000101100111101110100 e +b101101010000101100111101110100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111111110100011010001100001110 &" +b11111111110100011010001100001110 ?" +b1100 %" +b1100 *" +b1100 1" +b11 ~ +b11 )" +b11 ." +0. +1% +#7934010 +b11111111110100011010001100001110 O" +#7934500 +b0 5 +b0 L +b0 W +04 +b101010111110001101110010001 2 +b101010111110001101110010001 I +b101010111110001101110010001 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b101010111110001101110010001 , +b101010111110001101110010001 H +b1 + +b1 G +1. +0% +#7935000 +1] +0[ +0=" +0>" +1h +1g +0;" +b11110 3" +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +0n +0m +0/" +b101010111110001101110010001 &" +b101010111110001101110010001 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b1011011101010111111101100011000 / +b1011011101010111111101100011000 K +b1011011101010111111101100011000 e +b1011011101010111111101100011000 "" +0. +1% +#7935010 +b101010111110001101110010001 D" +#7935500 +b10 5 +b10 L +b10 W +14 +b101001011101101000011010111000 2 +b101001011101101000011010111000 I +b101001011101101000011010111000 Z +b11111 3 +b11111 J +b11111 Y +b10 ' +b10 D +1) +b101001011101101000011010111000 , +b101001011101101000011010111000 H +b11111 + +b11111 G +1. +0% +#7936000 +0_ +0] +b10010101011010100110000111000110 { +b10010101011010100110000111000110 A" +0\ +1=" +1>" +0h +1;" +b0 3" +1<" +1` +0^ +0c +1d +b11101 0" +1k +1b +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101001011101101000011010111000 &" +b101001011101101000011010111000 ?" +b11111 %" +b11111 *" +b11111 1" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#7936500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b11101100001101011001001100111010 2 +b11101100001101011001001100111010 I +b11101100001101011001001100111010 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +b11101100001101011001001100111010 , +b11101100001101011001001100111010 H +b1100 + +b1100 G +1. +0% +#7937000 +1_ +0s +b1010110010110000100000010011110 | +b1010110010110000100000010011110 B" +1\ +1[ +1@" +1h +0;" +0q +15" +16" +17" +b10011 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +0t +0y +1z +1u +0b +0a +0n +0/" +1w +1," +b11101100001101011001001100111010 &" +b11101100001101011001001100111010 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +b10010101011010100110000111000110 / +b10010101011010100110000111000110 K +b10010101011010100110000111000110 e +b10010101011010100110000111000110 "" +0. +1% +#7937010 +b11101100001101011001001100111010 O" +#7937500 +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 & +b1000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7938000 +1] +1y +0=" +0>" +0@" +1q +15" +06" +b11111 3" +0d +0_ +b10111 -" +0z +b10010100100110111101010101101 | +b10010100100110111101010101101 B" +02" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010110010110000100000010011110 0 +b1010110010110000100000010011110 M +b1010110010110000100000010011110 f +b1010110010110000100000010011110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 } +b1000 (" +b1000 +" +0. +1% +#7938500 +b1110 5 +b1110 L +b1110 W +14 +b11000 7 +b11000 N +b11000 V +b1110 ' +b1110 D +1) +b11000 & +b11000 C +1. +0% +#7939000 +0i +b101111100110101101101010001111 { +b101111100110101101101010001111 A" +0u +0h +19" +1:" +1;" +b101101010000101100111101110100 | +b101101010000101100111101110100 B" +b10001 0" +0j +0o +1p +1k +b111 -" +14" +1v +1n +1/" +b1110 ~ +b1110 )" +b1110 ." +1$" +b11000 } +b11000 (" +b11000 +" +b10010100100110111101010101101 0 +b10010100100110111101010101101 M +b10010100100110111101010101101 f +b10010100100110111101010101101 !" +0. +1% +#7939500 +b10000 5 +b10000 L +b10000 W +b11 7 +b11 N +b11 V +b1010010100101110110011100101110 2 +b1010010100101110110011100101110 I +b1010010100101110110011100101110 Z +b100 3 +b100 J +b100 Y +11 +b10000 ' +b10000 D +b11 & +b11 C +b1010010100101110110011100101110 , +b1010010100101110110011100101110 H +b100 + +b100 G +1- +1. +0% +#7940000 +0] +0k +1i +1u +1s +1>" +1@" +1h +09" +0:" +0r +0q +17" +05" +b11011 3" +1^ +1_ +b1111 0" +18" +1l +0p +b11111000010010011100000110001111 { +b11111000010010011100000110001111 A" +b11100 -" +04" +0v +0y +b1011011101010111111101100011000 | +b1011011101010111111101100011000 B" +12" +0n +1x +1w +b101111100110101101101010001111 / +b101111100110101101101010001111 K +b101111100110101101101010001111 e +b101111100110101101101010001111 "" +b101101010000101100111101110100 0 +b101101010000101100111101110100 M +b101101010000101100111101110100 f +b101101010000101100111101110100 !" +b1010010100101110110011100101110 &" +b1010010100101110110011100101110 ?" +b100 %" +b100 *" +b100 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +b11 } +b11 (" +b11 +" +0. +1% +#7940010 +b1010010100101110110011100101110 G" +#7940500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +b100000101101111010010011100010 2 +b100000101101111010010011100010 I +b100000101101111010010011100010 Z +b10100 3 +b10100 J +b10100 Y +b0 ' +b0 D +0) +b1000 & +b1000 C +b100000101101111010010011100010 , +b100000101101111010010011100010 H +b10100 + +b10100 G +1. +0% +#7941000 +0_ +0s +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +15" +b1011 3" +1<" +1` +b11111 0" +08" +0l +b10111 -" +1y +b10010100100110111101010101101 | +b10010100100110111101010101101 B" +0/" +0x +0w +b100000101101111010010011100010 &" +b100000101101111010010011100010 ?" +b10100 %" +b10100 *" +b10100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +b11111000010010011100000110001111 / +b11111000010010011100000110001111 K +b11111000010010011100000110001111 e +b11111000010010011100000110001111 "" +b1011011101010111111101100011000 0 +b1011011101010111111101100011000 M +b1011011101010111111101100011000 f +b1011011101010111111101100011000 !" +0. +1% +#7941010 +b100000101101111010010011100010 W" +#7941500 +b10100 7 +b10100 N +b10100 V +b10000000011100101001110011010000 2 +b10000000011100101001110011010000 I +b10000000011100101001110011010000 Z +b100 3 +b100 J +b100 Y +b10100 & +b10100 C +b10000000011100101001110011010000 , +b10000000011100101001110011010000 H +b100 + +b100 G +1. +0% +#7942000 +16" +1_ +0u +1t +1@" +b100000101101111010010011100010 | +b100000101101111010010011100010 B" +05" +b11011 3" +0<" +0` +b1011 -" +14" +1v +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010100100110111101010101101 0 +b10010100100110111101010101101 M +b10010100100110111101010101101 f +b10010100100110111101010101101 !" +b10000000011100101001110011010000 &" +b10000000011100101001110011010000 ?" +b100 %" +b100 *" +b100 1" +b10100 } +b10100 (" +b10100 +" +0. +1% +#7942010 +b10000000011100101001110011010000 G" +#7942500 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7943000 +1] +1u +1s +0>" +0@" +0q +17" +06" +b11111 3" +0^ +0_ +b11110 -" +04" +0v +0t +b101010111110001101110010001 | +b101010111110001101110010001 B" +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +b100000101101111010010011100010 0 +b100000101101111010010011100010 M +b100000101101111010010011100010 f +b100000101101111010010011100010 !" +0. +1% +#7943500 +b11101 7 +b11101 N +b11101 V +b11101010010001101010001011010100 2 +b11101010010001101010001011010100 I +b11101010010001101010001011010100 Z +b11111 3 +b11111 J +b11111 Y +11 +b11101 & +b11101 C +b11101010010001101010001011010100 , +b11101010010001101010001011010100 H +b11111 + +b11111 G +1- +1. +0% +#7944000 +0] +0u +0s +0\ +0[ +1=" +1>" +1@" +b1010110101000101010010100100000 | +b1010110101000101010010100100000 B" +15" +16" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10 -" +14" +1v +0t +0y +1z +1b +1a +12" +b101010111110001101110010001 0 +b101010111110001101110010001 M +b101010111110001101110010001 f +b101010111110001101110010001 !" +b11101010010001101010001011010100 &" +b11101010010001101010001011010100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11101 } +b11101 (" +b11101 +" +0. +1% +#7944500 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7945000 +1] +1u +1t +1\ +1[ +0=" +0>" +0@" +1q +17" +05" +16" +b11111 3" +0d +0<" +0` +b11011 -" +04" +0v +0z +b10000000011100101001110011010000 | +b10000000011100101001110011010000 B" +0b +0a +02" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +b1010110101000101010010100100000 0 +b1010110101000101010010100100000 M +b1010110101000101010010100100000 f +b1010110101000101010010100100000 !" +0. +1% +#7945500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +1. +0% +#7946000 +0i +b101101010000101100111101110100 { +b101101010000101100111101110100 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +19" +1;" +06" +07" +b111 0" +1o +0k +18" +1l +b11111 -" +0t +0u +1/" +0," +b10000000011100101001110011010000 0 +b10000000011100101001110011010000 M +b10000000011100101001110011010000 f +b10000000011100101001110011010000 !" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7946500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7947000 +1i +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0o +08" +0l +0/" +b0 ~ +b0 )" +b0 ." +0$" +b101101010000101100111101110100 / +b101101010000101100111101110100 K +b101101010000101100111101110100 e +b101101010000101100111101110100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7947500 +b10110 5 +b10110 L +b10110 W +14 +b11001 7 +b11001 N +b11001 V +16 +b11001001011100011010001000100010 2 +b11001001011100011010001000100010 I +b11001001011100011010001000100010 Z +b11110 3 +b11110 J +b11110 Y +11 +b10110 ' +b10110 D +1) +b11001 & +b11001 C +1( +b11001001011100011010001000100010 , +b11001001011100011010001000100010 H +b11110 + +b11110 G +1- +1. +0% +#7948000 +0] +0i +b10000010100001111000110101000110 { +b10000010100001111000110101000110 A" +0s +b10111111111010010110000010111001 | +b10111111111010010110000010111001 B" +0\ +1=" +1>" +1@" +0h +1:" +1;" +0q +15" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1001 0" +1j +0k +18" +1l +b110 -" +1y +0u +14" +1v +1b +12" +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001001011100011010001000100010 &" +b11001001011100011010001000100010 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#7948010 +b11001001011100011010001000100010 a" +#7948500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b11100110011110111011001111011 2 +b11100110011110111011001111011 I +b11100110011110111011001111011 Z +b11100 3 +b11100 J +b11100 Y +b10010 ' +b10010 D +b0 & +b0 C +0( +b11100110011110111011001111011 , +b11100110011110111011001111011 H +b11100 + +b11100 G +1. +0% +#7949000 +1i +b1000101101000001110000010001 { +b1000101101000001110000010001 A" +1s +1\ +0:" +1q +05" +07" +b11 3" +b1101 0" +0j +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0w +0," +b11100110011110111011001111011 &" +b11100110011110111011001111011 ?" +b11100 %" +b11100 *" +b11100 1" +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +b10000010100001111000110101000110 / +b10000010100001111000110101000110 K +b10000010100001111000110101000110 e +b10000010100001111000110101000110 "" +b10111111111010010110000010111001 0 +b10111111111010010110000010111001 M +b10111111111010010110000010111001 f +b10111111111010010110000010111001 !" +0. +1% +#7949010 +b11100110011110111011001111011 _" +#7949500 +b10011 5 +b10011 L +b10011 W +b1100 7 +b1100 N +b1100 V +16 +b11100110110011010100111001110010 2 +b11100110110011010100111001110010 I +b11100110110011010100111001110010 Z +b10111 3 +b10111 J +b10111 Y +b10011 ' +b10011 D +b1100 & +b1100 C +1( +b11100110110011010100111001110010 , +b11100110110011010100111001110010 H +b10111 + +b10111 G +1. +0% +#7950000 +1^ +0s +b11101100001101011001001100111010 | +b11101100001101011001001100111010 B" +0\ +0[ +0=" +1>" +0g +15" +16" +17" +b1000 3" +0d +b1100 0" +b10101111111100101001011001111100 { +b10101111111100101001011001111100 A" +b10011 -" +0t +0y +1z +1u +1b +1a +1m +1," +b1000101101000001110000010001 / +b1000101101000001110000010001 K +b1000101101000001110000010001 e +b1000101101000001110000010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100110110011010100111001110010 &" +b11100110110011010100111001110010 ?" +b10111 %" +b10111 *" +b10111 1" +b10011 ~ +b10011 )" +b10011 ." +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#7950010 +b11100110110011010100111001110010 Z" +#7950500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10011111011000001000011100000000 2 +b10011111011000001000011100000000 I +b10011111011000001000011100000000 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10011111011000001000011100000000 , +b10011111011000001000011100000000 H +b111 + +b111 G +1. +0% +#7951000 +1_ +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +1h +1g +0;" +05" +06" +07" +b11000 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +0u +0n +0m +0/" +0," +b10011111011000001000011100000000 &" +b10011111011000001000011100000000 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10101111111100101001011001111100 / +b10101111111100101001011001111100 K +b10101111111100101001011001111100 e +b10101111111100101001011001111100 "" +b11101100001101011001001100111010 0 +b11101100001101011001001100111010 M +b11101100001101011001001100111010 f +b11101100001101011001001100111010 !" +0. +1% +#7951010 +b10011111011000001000011100000000 J" +#7951500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7952000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#7952500 +1. +0% +#7953000 +0. +1% +#7953500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#7954000 +0i +b10000010010011000000010100101001 { +b10000010010011000000010100101001 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#7954500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7955000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10000010010011000000010100101001 / +b10000010010011000000010100101001 K +b10000010010011000000010100101001 e +b10000010010011000000010100101001 "" +0. +1% +#7955500 +b10101 7 +b10101 N +b10101 V +16 +b10101 & +b10101 C +1( +1. +0% +#7956000 +0s +b10000101100101111100001110111000 | +b10000101100101111100001110111000 B" +0q +16" +17" +b1010 -" +1t +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#7956500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +1. +0% +#7957000 +0i +b11100110011110111011001111011 { +b11100110011110111011001111011 A" +1s +19" +1:" +1;" +1q +06" +07" +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0w +0," +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10000101100101111100001110111000 0 +b10000101100101111100001110111000 M +b10000101100101111100001110111000 f +b10000101100101111100001110111000 !" +0. +1% +#7957500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b1110011111100011100111011110110 2 +b1110011111100011100111011110110 I +b1110011111100011100111011110110 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b111 & +b111 C +1( +b1110011111100011100111011110110 , +b1110011111100011100111011110110 H +b1110 + +b1110 G +1- +1. +0% +#7958000 +0] +1i +0s +b10011111011000001000011100000000 | +b10011111011000001000011100000000 B" +0\ +1=" +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +16" +17" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0p +08" +0l +b11000 -" +1t +1u +1b +12" +0/" +1x +1w +1," +b11100110011110111011001111011 / +b11100110011110111011001111011 K +b11100110011110111011001111011 e +b11100110011110111011001111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110011111100011100111011110110 &" +b1110011111100011100111011110110 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#7958010 +b1110011111100011100111011110110 Q" +#7958500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7959000 +1] +b1000101101000001110000010001 { +b1000101101000001110000010001 A" +1s +1\ +0=" +0>" +0@" +0h +1;" +1r +1q +06" +07" +b11111 3" +0d +0_ +b1101 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1n +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011111011000001000011100000000 0 +b10011111011000001000011100000000 M +b10011111011000001000011100000000 f +b10011111011000001000011100000000 !" +0. +1% +#7959500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +1. +0% +#7960000 +b11111000010010011100000110001111 | +b11111000010010011100000110001111 B" +1h +0;" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1111 -" +0u +14" +1v +0n +0/" +1," +b1000101101000001110000010001 / +b1000101101000001110000010001 K +b1000101101000001110000010001 e +b1000101101000001110000010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#7960500 +b10 5 +b10 L +b10 W +14 +b11011 7 +b11011 N +b11011 V +b110100000010000101111010011001 2 +b110100000010000101111010011001 I +b110100000010000101111010011001 Z +b11110 3 +b11110 J +b11110 Y +11 +b10 ' +b10 D +1) +b11011 & +b11011 C +b110100000010000101111010011001 , +b110100000010000101111010011001 H +b11110 + +b11110 G +1- +1. +0% +#7961000 +0] +b10010101011010100110000111000110 { +b10010101011010100110000111000110 A" +0s +0\ +1=" +1>" +1@" +0h +1;" +0r +0q +15" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11101 0" +1k +b100 -" +1y +b11100110011100010000101011100111 | +b11100110011100010000101011100111 B" +1b +12" +1n +1/" +1x +1w +b110100000010000101111010011001 &" +b110100000010000101111010011001 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b11011 } +b11011 (" +b11011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111000010010011100000110001111 0 +b11111000010010011100000110001111 M +b11111000010010011100000110001111 f +b11111000010010011100000110001111 !" +0. +1% +#7961010 +b110100000010000101111010011001 a" +#7961500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7962000 +1] +1\ +0=" +0>" +0@" +1h +0;" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0/" +b10010101011010100110000111000110 / +b10010101011010100110000111000110 K +b10010101011010100110000111000110 e +b10010101011010100110000111000110 "" +b11100110011100010000101011100111 0 +b11100110011100010000101011100111 M +b11100110011100010000101011100111 f +b11100110011100010000101011100111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7962500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#7963000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7963500 +b100 5 +b100 L +b100 W +14 +b11000 7 +b11000 N +b11000 V +16 +b100 ' +b100 D +1) +b11000 & +b11000 C +1( +1. +0% +#7964000 +0i +b10000000011100101001110011010000 { +b10000000011100101001110011010000 A" +0s +b101101010000101100111101110100 | +b101101010000101100111101110100 B" +1:" +1;" +15" +17" +b11011 0" +1j +1k +b111 -" +1y +0u +14" +1v +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100 ~ +b100 )" +b100 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#7964500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#7965000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0j +0k +b11111 -" +0y +04" +0v +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10000000011100101001110011010000 / +b10000000011100101001110011010000 K +b10000000011100101001110011010000 e +b10000000011100101001110011010000 "" +b101101010000101100111101110100 0 +b101101010000101100111101110100 M +b101101010000101100111101110100 f +b101101010000101100111101110100 !" +0. +1% +#7965500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#7966000 +0s +b100000101101111010010011100010 | +b100000101101111010010011100010 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#7966500 +b110 7 +b110 N +b110 V +b110 & +b110 C +1. +0% +#7967000 +1u +0r +17" +b11001 -" +04" +0v +b10001101010011110001000111000110 | +b10001101010011110001000111000110 B" +1x +b110 } +b110 (" +b110 +" +b100000101101111010010011100010 0 +b100000101101111010010011100010 M +b100000101101111010010011100010 f +b100000101101111010010011100010 !" +0. +1% +#7967500 +b0 7 +b0 N +b0 V +06 +b1111001110110000100101001000001 2 +b1111001110110000100101001000001 I +b1111001110110000100101001000001 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 & +b0 C +0( +b1111001110110000100101001000001 , +b1111001110110000100101001000001 H +b10100 + +b10100 G +1- +1. +0% +#7968000 +0] +1s +1>" +1@" +1r +06" +07" +b1011 3" +1^ +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0x +0," +b10001101010011110001000111000110 0 +b10001101010011110001000111000110 M +b10001101010011110001000111000110 f +b10001101010011110001000111000110 !" +b1111001110110000100101001000001 &" +b1111001110110000100101001000001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7968010 +b1111001110110000100101001000001 W" +#7968500 +b10010 5 +b10010 L +b10010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7969000 +1] +b1000101101000001110000010001 { +b1000101101000001110000010001 A" +0>" +0@" +0h +1;" +b11111 3" +0^ +0<" +0` +b1101 0" +0k +18" +1l +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7969500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7970000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b1000101101000001110000010001 / +b1000101101000001110000010001 K +b1000101101000001110000010001 e +b1000101101000001110000010001 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7970500 +b1111111010011111011000111011010 2 +b1111111010011111011000111011010 I +b1111111010011111011000111011010 Z +b1000 3 +b1000 J +b1000 Y +11 +b1111111010011111011000111011010 , +b1111111010011111011000111011010 H +b1000 + +b1000 G +1- +1. +0% +#7971000 +0] +1=" +1@" +b10111 3" +1c +1_ +12" +b1111111010011111011000111011010 &" +b1111111010011111011000111011010 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7971010 +b1111111010011111011000111011010 K" +#7971500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7972000 +1] +b11111000010010011100000110001111 | +b11111000010010011100000110001111 B" +0=" +0@" +17" +b11111 3" +0c +0_ +b1111 -" +0u +14" +1v +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#7972500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#7973000 +0i +b10000000011100101001110011010000 { +b10000000011100101001110011010000 A" +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11011 0" +1j +1k +b11111 -" +04" +0v +1/" +0," +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11111000010010011100000110001111 0 +b11111000010010011100000110001111 M +b11111000010010011100000110001111 f +b11111000010010011100000110001111 !" +0. +1% +#7973500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7974000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b10000000011100101001110011010000 / +b10000000011100101001110011010000 K +b10000000011100101001110011010000 e +b10000000011100101001110011010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7974500 +b11 7 +b11 N +b11 V +16 +b10100001000100011100101010101111 2 +b10100001000100011100101010101111 I +b10100001000100011100101010101111 Z +b11011 3 +b11011 J +b11011 Y +11 +b11 & +b11 C +1( +b10100001000100011100101010101111 , +b10100001000100011100101010101111 H +b11011 + +b11011 G +1- +1. +0% +#7975000 +0] +b1011011101010111111101100011000 | +b1011011101010111111101100011000 B" +0\ +0[ +1=" +1@" +0r +0q +17" +b100 3" +1c +0_ +1<" +1` +b11100 -" +1u +1b +1a +12" +1x +1w +1," +b10100001000100011100101010101111 &" +b10100001000100011100101010101111 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b11 } +b11 (" +b11 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7975010 +b10100001000100011100101010101111 ^" +#7975500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7976000 +1] +1\ +1[ +0=" +0@" +1r +1q +07" +b11111 3" +0c +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0w +0," +b1011011101010111111101100011000 0 +b1011011101010111111101100011000 M +b1011011101010111111101100011000 f +b1011011101010111111101100011000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7976500 +b1011 5 +b1011 L +b1011 W +14 +b11000 7 +b11000 N +b11000 V +16 +b10100010001110000111110101101101 2 +b10100010001110000111110101101101 I +b10100010001110000111110101101101 Z +b1010 3 +b1010 J +b1010 Y +11 +b1011 ' +b1011 D +1) +b11000 & +b11000 C +1( +b10100010001110000111110101101101 , +b10100010001110000111110101101101 H +b1010 + +b1010 G +1- +1. +0% +#7977000 +0] +0i +b10011100100101110101001110 { +b10011100100101110101001110 A" +0s +b101101010000101100111101110100 | +b101101010000101100111101110100 B" +0\ +1=" +1@" +0h +0g +19" +1;" +15" +17" +b10101 3" +1c +1_ +b10100 0" +1o +1k +b111 -" +1y +0u +14" +1v +1b +12" +1n +1m +1/" +1," +b10100010001110000111110101101101 &" +b10100010001110000111110101101101 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7977010 +b10100010001110000111110101101101 M" +#7977500 +b1 5 +b1 L +b1 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7978000 +1] +1i +1s +1\ +0=" +0@" +1h +09" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0_ +b11110 0" +0o +b101010111110001101110010001 { +b101010111110001101110010001 A" +b11111 -" +0y +04" +0v +0b +02" +0n +0," +b10011100100101110101001110 / +b10011100100101110101001110 K +b10011100100101110101001110 e +b10011100100101110101001110 "" +b101101010000101100111101110100 0 +b101101010000101100111101110100 M +b101101010000101100111101110100 f +b101101010000101100111101110100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7978500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#7979000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b101010111110001101110010001 / +b101010111110001101110010001 K +b101010111110001101110010001 e +b101010111110001101110010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7979500 +b1101 7 +b1101 N +b1101 V +16 +b11110111111011111100010101 2 +b11110111111011111100010101 I +b11110111111011111100010101 Z +b11011 3 +b11011 J +b11011 Y +11 +b1101 & +b1101 C +1( +b11110111111011111100010101 , +b11110111111011111100010101 H +b11011 + +b11011 G +1- +1. +0% +#7980000 +0] +0s +b1010110010110000100000010011110 | +b1010110010110000100000010011110 B" +0\ +0[ +1=" +1@" +0q +15" +16" +17" +b100 3" +1c +0_ +1<" +1` +b10010 -" +0t +0y +1z +1u +1b +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110111111011111100010101 &" +b11110111111011111100010101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#7980010 +b11110111111011111100010101 ^" +#7980500 +b1000 7 +b1000 N +b1000 V +b10010010000110001101010101110101 2 +b10010010000110001101010101110101 I +b10010010000110001101010101110101 Z +b10100 3 +b10100 J +b10100 Y +b1000 & +b1000 C +b10010010000110001101010101110101 , +b10010010000110001101010101110101 H +b10100 + +b10100 G +1. +0% +#7981000 +1>" +1^ +1y +1\ +1[ +0=" +1q +15" +06" +b1011 3" +0c +b10111 -" +0z +b1111111010011111011000111011010 | +b1111111010011111011000111011010 B" +0b +0a +0w +b10010010000110001101010101110101 &" +b10010010000110001101010101110101 ?" +b10100 %" +b10100 *" +b10100 1" +b1000 } +b1000 (" +b1000 +" +b1010110010110000100000010011110 0 +b1010110010110000100000010011110 M +b1010110010110000100000010011110 f +b1010110010110000100000010011110 !" +0. +1% +#7981010 +b10010010000110001101010101110101 W" +#7981500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b10000110011010111010111000110011 2 +b10000110011010111010111000110011 I +b10000110011010111010111000110011 Z +b1001 3 +b1001 J +b1001 Y +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b10000110011010111010111000110011 , +b10000110011010111010111000110011 H +b1001 + +b1001 G +1. +0% +#7982000 +1=" +1_ +1c +0i +b11100110011110111011001111011 { +b11100110011110111011001111011 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1@" +0>" +19" +1:" +1;" +05" +07" +b10110 3" +0<" +0` +0^ +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +0u +1a +1/" +0," +b1111111010011111011000111011010 0 +b1111111010011111011000111011010 M +b1111111010011111011000111011010 f +b1111111010011111011000111011010 !" +b10000110011010111010111000110011 &" +b10000110011010111010111000110011 ?" +b1001 %" +b1001 *" +b1001 1" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7982010 +b10000110011010111010111000110011 L" +#7982500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7983000 +1] +1i +1[ +0=" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0c +0_ +b11111 0" +0p +08" +0l +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100110011110111011001111011 / +b11100110011110111011001111011 K +b11100110011110111011001111011 e +b11100110011110111011001111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7983500 +b101 5 +b101 L +b101 W +14 +b10010 7 +b10010 N +b10010 V +16 +b10001001000101011000011101010110 2 +b10001001000101011000011101010110 I +b10001001000101011000011101010110 Z +b1100 3 +b1100 J +b1100 Y +11 +b101 ' +b101 D +1) +b10010 & +b10010 C +1( +b10001001000101011000011101010110 , +b10001001000101011000011101010110 H +b1100 + +b1100 G +1- +1. +0% +#7984000 +0] +0i +b1110010011100111001100111110110 { +b1110010011100111001100111110110 A" +b1000101101000001110000010001 | +b1000101101000001110000010001 B" +1=" +1>" +1@" +0g +1:" +1;" +0r +17" +b10011 3" +0^ +0c +1d +1_ +b11010 0" +1j +1k +b1101 -" +0u +14" +1v +12" +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001001000101011000011101010110 &" +b10001001000101011000011101010110 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#7984010 +b10001001000101011000011101010110 O" +#7984500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7985000 +1] +1i +0=" +0>" +0@" +1g +0:" +0;" +1r +07" +b11111 3" +0d +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0m +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110010011100111001100111110110 / +b1110010011100111001100111110110 K +b1110010011100111001100111110110 e +b1110010011100111001100111110110 "" +b1000101101000001110000010001 0 +b1000101101000001110000010001 M +b1000101101000001110000010001 f +b1000101101000001110000010001 !" +0. +1% +#7985500 +b10101 5 +b10101 L +b10101 W +14 +b1000111100011010101101110111 2 +b1000111100011010101101110111 I +b1000111100011010101101110111 Z +b10 3 +b10 J +b10 Y +11 +b10101 ' +b10101 D +1) +b1000111100011010101101110111 , +b1000111100011010101101110111 H +b10 + +b10 G +1- +1. +0% +#7986000 +0i +b10000101100101111100001110111000 { +b10000101100101111100001110111000 A" +0\ +1@" +0g +1:" +1;" +b11101 3" +1_ +b1010 0" +1j +0k +18" +1l +1b +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000111100011010101101110111 &" +b1000111100011010101101110111 ?" +b10 %" +b10 *" +b10 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#7986010 +b1000111100011010101101110111 E" +#7986500 +b10100 5 +b10100 L +b10100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7987000 +1\ +0@" +1g +b11111 3" +0_ +b1011 0" +b10010010000110001101010101110101 { +b10010010000110001101010101110101 A" +0b +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +b10000101100101111100001110111000 / +b10000101100101111100001110111000 K +b10000101100101111100001110111000 e +b10000101100101111100001110111000 "" +0. +1% +#7987500 +b1100110100001110100001100110101 2 +b1100110100001110100001100110101 I +b1100110100001110100001100110101 Z +b11100 3 +b11100 J +b11100 Y +11 +b1100110100001110100001100110101 , +b1100110100001110100001100110101 H +b11100 + +b11100 G +1- +1. +0% +#7988000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b10010010000110001101010101110101 / +b10010010000110001101010101110101 K +b10010010000110001101010101110101 e +b10010010000110001101010101110101 "" +b1100110100001110100001100110101 &" +b1100110100001110100001100110101 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#7988010 +b1100110100001110100001100110101 _" +#7988500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7989000 +1] +1i +0=" +0>" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0d +0<" +0` +b11111 0" +0j +08" +0l +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7989500 +b1011 5 +b1011 L +b1011 W +14 +b10001111010011011110110001110010 2 +b10001111010011011110110001110010 I +b10001111010011011110110001110010 Z +b10100 3 +b10100 J +b10100 Y +11 +b1011 ' +b1011 D +1) +b10001111010011011110110001110010 , +b10001111010011011110110001110010 H +b10100 + +b10100 G +1- +1. +0% +#7990000 +0] +0i +b10011100100101110101001110 { +b10011100100101110101001110 A" +1>" +1@" +0h +0g +19" +1;" +b1011 3" +1^ +0_ +1<" +1` +b10100 0" +1o +1k +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001111010011011110110001110010 &" +b10001111010011011110110001110010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#7990010 +b10001111010011011110110001110010 W" +#7990500 +b11000 5 +b11000 L +b11000 W +b10111010011010101010010010100011 2 +b10111010011010101010010010100011 I +b10111010011010101010010010100011 Z +b10 3 +b10 J +b10 Y +b11000 ' +b11000 D +b10111010011010101010010010100011 , +b10111010011010101010010010100011 H +b10 + +b10 G +1. +0% +#7991000 +1_ +1] +0k +0\ +1@" +0>" +1h +1g +b11101 3" +0<" +0` +0^ +b111 0" +18" +1l +b101101010000101100111101110100 { +b101101010000101100111101110100 A" +1b +0n +0m +b10111010011010101010010010100011 &" +b10111010011010101010010010100011 ?" +b10 %" +b10 *" +b10 1" +b11000 ~ +b11000 )" +b11000 ." +b10011100100101110101001110 / +b10011100100101110101001110 K +b10011100100101110101001110 e +b10011100100101110101001110 "" +0. +1% +#7991010 +b10111010011010101010010010100011 E" +#7991500 +b10110 5 +b10110 L +b10110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7992000 +1:" +1j +1\ +0@" +0h +09" +b11111 3" +0_ +b1001 0" +0o +b10000010100001111000110101000110 { +b10000010100001111000110101000110 A" +0b +02" +1n +b101101010000101100111101110100 / +b101101010000101100111101110100 K +b101101010000101100111101110100 e +b101101010000101100111101110100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +0. +1% +#7992500 +b10 5 +b10 L +b10 W +b1001011011111111001000010000000 2 +b1001011011111111001000010000000 I +b1001011011111111001000010000000 Z +b101 3 +b101 J +b101 Y +11 +b10 ' +b10 D +b1001011011111111001000010000000 , +b1001011011111111001000010000000 H +b101 + +b101 G +1- +1. +0% +#7993000 +0] +1k +1i +0[ +1>" +1@" +1;" +b10111010011010101010010010100011 { +b10111010011010101010010010100011 A" +0:" +b11010 3" +1^ +1_ +b11101 0" +08" +0l +0j +1a +12" +b1001011011111111001000010000000 &" +b1001011011111111001000010000000 ?" +b101 %" +b101 *" +b101 1" +1'" +b10 ~ +b10 )" +b10 ." +b10000010100001111000110101000110 / +b10000010100001111000110101000110 K +b10000010100001111000110101000110 e +b10000010100001111000110101000110 "" +0. +1% +#7993010 +b1001011011111111001000010000000 H" +#7993500 +b0 5 +b0 L +b0 W +04 +b100011000010011011101110010000 2 +b100011000010011011101110010000 I +b100011000010011011101110010000 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b100011000010011011101110010000 , +b100011000010011011101110010000 H +b1100 + +b1100 G +1. +0% +#7994000 +0^ +1[ +1=" +1h +0;" +b10011 3" +1d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +0n +0/" +b10111010011010101010010010100011 / +b10111010011010101010010010100011 K +b10111010011010101010010010100011 e +b10111010011010101010010010100011 "" +b100011000010011011101110010000 &" +b100011000010011011101110010000 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#7994010 +b100011000010011011101110010000 O" +#7994500 +b100 5 +b100 L +b100 W +14 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7995000 +1] +0i +b10000000011100101001110011010000 { +b10000000011100101001110011010000 A" +0s +b1010110010110000100000010011110 | +b1010110010110000100000010011110 B" +0=" +0>" +0@" +1:" +1;" +0q +15" +16" +17" +b11111 3" +0d +0_ +b11011 0" +1j +1k +b10010 -" +0t +0y +1z +1u +02" +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#7995500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b10101010010111011110010000111 2 +b10101010010111011110010000111 I +b10101010010111011110010000111 Z +b1110 3 +b1110 J +b1110 Y +11 +b10101 ' +b10101 D +b0 & +b0 C +0( +b10101010010111011110010000111 , +b10101010010111011110010000111 H +b1110 + +b1110 G +1- +1. +0% +#7996000 +0] +0k +1s +0\ +1=" +1>" +1@" +0g +1q +05" +06" +07" +b10001 3" +0^ +0c +1d +1_ +b1010 0" +18" +1l +b10000101100101111100001110111000 { +b10000101100101111100001110111000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +0w +0," +b10000000011100101001110011010000 / +b10000000011100101001110011010000 K +b10000000011100101001110011010000 e +b10000000011100101001110011010000 "" +b1010110010110000100000010011110 0 +b1010110010110000100000010011110 M +b1010110010110000100000010011110 f +b1010110010110000100000010011110 !" +b10101010010111011110010000111 &" +b10101010010111011110010000111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7996010 +b10101010010111011110010000111 Q" +#7996500 +b11000 5 +b11000 L +b11000 W +b10001 7 +b10001 N +b10001 V +16 +b11100110101111111101001001111 2 +b11100110101111111101001001111 I +b11100110101111111101001001111 Z +b11001 3 +b11001 J +b11001 Y +b11000 ' +b11000 D +b10001 & +b10001 C +1( +b11100110101111111101001001111 , +b11100110101111111101001001111 H +b11001 + +b11001 G +1. +0% +#7997000 +19" +0_ +1c +1o +b10000111000111111011010010100110 | +b10000111000111111011010010100110 B" +1\ +0[ +1=" +0>" +1g +0:" +0q +17" +b110 3" +1<" +1` +0d +b111 0" +0j +b101101010000101100111101110100 { +b101101010000101100111101110100 A" +b1110 -" +0u +14" +1v +0b +1a +0m +1w +1," +b11100110101111111101001001111 &" +b11100110101111111101001001111 ?" +b11001 %" +b11001 *" +b11001 1" +b11000 ~ +b11000 )" +b11000 ." +b10001 } +b10001 (" +b10001 +" +1#" +b10000101100101111100001110111000 / +b10000101100101111100001110111000 K +b10000101100101111100001110111000 e +b10000101100101111100001110111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7997010 +b11100110101111111101001001111 \" +#7997500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#7998000 +1] +1i +1[ +0=" +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +07" +b11111 3" +0c +0<" +0` +b11111 0" +0o +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0/" +0w +0," +b101101010000101100111101110100 / +b101101010000101100111101110100 K +b101101010000101100111101110100 e +b101101010000101100111101110100 "" +b10000111000111111011010010100110 0 +b10000111000111111011010010100110 M +b10000111000111111011010010100110 f +b10000111000111111011010010100110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#7998500 +b1110 7 +b1110 N +b1110 V +16 +b1110 & +b1110 C +1( +1. +0% +#7999000 +0s +b10101010010111011110010000111 | +b10101010010111011110010000111 B" +0r +15" +16" +17" +b10001 -" +0t +0y +1z +1u +1x +1," +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#7999500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#8000000 +0i +b10000000011100101001110011010000 { +b10000000011100101001110011010000 A" +1s +1:" +1;" +1r +05" +06" +07" +b11011 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b10101010010111011110010000111 0 +b10101010010111011110010000111 M +b10101010010111011110010000111 f +b10101010010111011110010000111 !" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8000500 +b111 5 +b111 L +b111 W +b11101 7 +b11101 N +b11101 V +16 +b111 ' +b111 D +b11101 & +b11101 C +1( +1. +0% +#8001000 +0s +b1010110101000101010010100100000 | +b1010110101000101010010100100000 B" +0h +0g +0q +15" +16" +17" +b11000 0" +b10011111011000001000011100000000 { +b10011111011000001000011100000000 A" +b10 -" +0t +0y +1z +0u +14" +1v +1n +1m +1w +1," +b111 ~ +b111 )" +b111 ." +b11101 } +b11101 (" +b11101 +" +1#" +b10000000011100101001110011010000 / +b10000000011100101001110011010000 K +b10000000011100101001110011010000 e +b10000000011100101001110011010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8001500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b11011001010000011010110111100 2 +b11011001010000011010110111100 I +b11011001010000011010110111100 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b11100 & +b11100 C +b11011001010000011010110111100 , +b11011001010000011010110111100 H +b1110 + +b1110 G +1- +1. +0% +#8002000 +0] +1i +0\ +1=" +1>" +1@" +1h +1g +0:" +0;" +1q +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +b1100110100001110100001100110101 | +b1100110100001110100001100110101 B" +1b +12" +0n +0m +0/" +0w +b10011111011000001000011100000000 / +b10011111011000001000011100000000 K +b10011111011000001000011100000000 e +b10011111011000001000011100000000 "" +b1010110101000101010010100100000 0 +b1010110101000101010010100100000 M +b1010110101000101010010100100000 f +b1010110101000101010010100100000 !" +b11011001010000011010110111100 &" +b11011001010000011010110111100 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#8002010 +b11011001010000011010110111100 Q" +#8002500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8003000 +1] +0i +b101101010000101100111101110100 { +b101101010000101100111101110100 A" +1s +1\ +0=" +0>" +0@" +19" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b111 0" +1o +0k +18" +1l +b11111 -" +0z +04" +0v +0b +02" +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100110100001110100001100110101 0 +b1100110100001110100001100110101 M +b1100110100001110100001100110101 f +b1100110100001110100001100110101 !" +0. +1% +#8003500 +b10010 5 +b10010 L +b10010 W +b11010110111110101101000101111011 2 +b11010110111110101101000101111011 I +b11010110111110101101000101111011 Z +b100 3 +b100 J +b100 Y +11 +b10010 ' +b10010 D +b11010110111110101101000101111011 , +b11010110111110101101000101111011 H +b100 + +b100 G +1- +1. +0% +#8004000 +0] +1i +1>" +1@" +0h +09" +b11011 3" +1^ +1_ +b1101 0" +0o +b1000101101000001110000010001 { +b1000101101000001110000010001 A" +12" +1n +b101101010000101100111101110100 / +b101101010000101100111101110100 K +b101101010000101100111101110100 e +b101101010000101100111101110100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010110111110101101000101111011 &" +b11010110111110101101000101111011 ?" +b100 %" +b100 *" +b100 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +0. +1% +#8004010 +b11010110111110101101000101111011 G" +#8004500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8005000 +1] +0>" +0@" +1h +0;" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000101101000001110000010001 / +b1000101101000001110000010001 K +b1000101101000001110000010001 e +b1000101101000001110000010001 "" +0. +1% +#8005500 +b1000 5 +b1000 L +b1000 W +14 +b100101001110000001001001110010 2 +b100101001110000001001001110010 I +b100101001110000001001001110010 Z +b11111 3 +b11111 J +b11111 Y +11 +b1000 ' +b1000 D +1) +b100101001110000001001001110010 , +b100101001110000001001001110010 H +b11111 + +b11111 G +1- +1. +0% +#8006000 +0] +0i +b1111111010011111011000111011010 { +b1111111010011111011000111011010 A" +0\ +0[ +1=" +1>" +1@" +19" +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10111 0" +1o +1k +1b +1a +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101001110000001001001110010 &" +b100101001110000001001001110010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#8006500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8007000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11100110110011010100111001110010 | +b11100110110011010100111001110010 B" +1\ +1[ +0=" +0>" +0@" +09" +0;" +0r +0q +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0o +0k +b1000 -" +1t +0u +14" +1v +0b +0a +02" +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +b1111111010011111011000111011010 / +b1111111010011111011000111011010 K +b1111111010011111011000111011010 e +b1111111010011111011000111011010 "" +0. +1% +#8007500 +b1010 7 +b1010 N +b1010 V +b11000100010000101011100011011100 2 +b11000100010000101011100011011100 I +b11000100010000101011100011011100 Z +b11101 3 +b11101 J +b11101 Y +11 +b1010 & +b1010 C +b11000100010000101011100011011100 , +b11000100010000101011100011011100 H +b11101 + +b11101 G +1- +1. +0% +#8008000 +15" +0] +1u +1y +0[ +1=" +1>" +1@" +1q +17" +06" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b10101 -" +04" +0v +0t +b10100010001110000111110101101101 | +b10100010001110000111110101101101 B" +1a +12" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100110110011010100111001110010 0 +b11100110110011010100111001110010 M +b11100110110011010100111001110010 f +b11100110110011010100111001110010 !" +b11000100010000101011100011011100 &" +b11000100010000101011100011011100 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b1010 } +b1010 (" +b1010 +" +0. +1% +#8008010 +b11000100010000101011100011011100 `" +#8008500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b10110001110110011110000111100101 2 +b10110001110110011110000111100101 I +b10110001110110011110000111100101 Z +b10001 3 +b10001 J +b10001 Y +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b10110001110110011110000111100101 , +b10110001110110011110000111100101 H +b10001 + +b10001 G +1. +0% +#8009000 +1] +0i +b10000101100101111100001110111000 { +b10000101100101111100001110111000 A" +1s +0=" +0>" +0g +1:" +1;" +1r +05" +07" +b1110 3" +0d +b1010 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0," +b10110001110110011110000111100101 &" +b10110001110110011110000111100101 ?" +b10001 %" +b10001 *" +b10001 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10100010001110000111110101101101 0 +b10100010001110000111110101101101 M +b10100010001110000111110101101101 f +b10100010001110000111110101101101 !" +0. +1% +#8009010 +b10110001110110011110000111100101 T" +#8009500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8010000 +1i +0s +b1111111010011111011000111011010 | +b1111111010011111011000111011010 B" +1[ +0@" +1g +0:" +0;" +15" +17" +b11111 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +1u +0a +02" +0m +0/" +1," +b10000101100101111100001110111000 / +b10000101100101111100001110111000 K +b10000101100101111100001110111000 e +b10000101100101111100001110111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#8010500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +1. +0% +#8011000 +0i +b101101010000101100111101110100 { +b101101010000101100111101110100 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +19" +1;" +05" +07" +b111 0" +1o +0k +18" +1l +b11111 -" +0y +0u +1/" +0," +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111111010011111011000111011010 0 +b1111111010011111011000111011010 M +b1111111010011111011000111011010 f +b1111111010011111011000111011010 !" +0. +1% +#8011500 +b1010 5 +b1010 L +b1010 W +b11010 7 +b11010 N +b11010 V +16 +b1010 ' +b1010 D +b11010 & +b11010 C +1( +1. +0% +#8012000 +1k +0s +b10011110010001000011001000111111 | +b10011110010001000011001000111111 B" +0h +1;" +0r +15" +17" +b10101 0" +08" +0l +b10100010001110000111110101101101 { +b10100010001110000111110101101101 A" +b101 -" +1y +0u +14" +1v +1n +1x +1," +b101101010000101100111101110100 / +b101101010000101100111101110100 K +b101101010000101100111101110100 e +b101101010000101100111101110100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010 ~ +b1010 )" +b1010 ." +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#8012500 +b10100 5 +b10100 L +b10100 W +b0 7 +b0 N +b0 V +06 +b10100 ' +b10100 D +b0 & +b0 C +0( +1. +0% +#8013000 +1:" +0k +1j +1s +1h +09" +1r +05" +07" +b1011 0" +18" +1l +0o +b10001111010011011110110001110010 { +b10001111010011011110110001110010 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0x +0," +b10100 ~ +b10100 )" +b10100 ." +b0 } +b0 (" +b0 +" +0#" +b10100010001110000111110101101101 / +b10100010001110000111110101101101 K +b10100010001110000111110101101101 e +b10100010001110000111110101101101 "" +b10011110010001000011001000111111 0 +b10011110010001000011001000111111 M +b10011110010001000011001000111111 f +b10011110010001000011001000111111 !" +0. +1% +#8013500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b0 ' +b0 D +0) +b11 & +b11 C +1( +1. +0% +#8014000 +1i +b1011011101010111111101100011000 | +b1011011101010111111101100011000 B" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +17" +b11111 0" +0j +08" +0l +b11100 -" +1u +0/" +1x +1w +1," +b10001111010011011110110001110010 / +b10001111010011011110110001110010 K +b10001111010011011110110001110010 e +b10001111010011011110110001110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#8014500 +b1101 7 +b1101 N +b1101 V +b1101 & +b1101 C +1. +0% +#8015000 +0s +1r +15" +16" +b10010 -" +0t +0y +1z +b1010110010110000100000010011110 | +b1010110010110000100000010011110 B" +0x +b1101 } +b1101 (" +b1101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011011101010111111101100011000 0 +b1011011101010111111101100011000 M +b1011011101010111111101100011000 f +b1011011101010111111101100011000 !" +0. +1% +#8015500 +b10000 7 +b10000 N +b10000 V +b110010101111100000000111100011 2 +b110010101111100000000111100011 I +b110010101111100000000111100011 Z +b10001 3 +b10001 J +b10001 Y +11 +b10000 & +b10000 C +b110010101111100000000111100011 , +b110010101111100000000111100011 H +b10001 + +b10001 G +1- +1. +0% +#8016000 +0u +1s +0[ +1@" +1q +05" +06" +b1110 3" +0_ +1<" +1` +b1111 -" +14" +1v +0z +b11111000010010011100000110001111 | +b11111000010010011100000110001111 B" +1a +12" +0w +b1010110010110000100000010011110 0 +b1010110010110000100000010011110 M +b1010110010110000100000010011110 f +b1010110010110000100000010011110 !" +b110010101111100000000111100011 &" +b110010101111100000000111100011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10000 } +b10000 (" +b10000 +" +0. +1% +#8016010 +b110010101111100000000111100011 T" +#8016500 +b0 7 +b0 N +b0 V +06 +b11100110111111000001101000001000 2 +b11100110111111000001101000001000 I +b11100110111111000001101000001000 Z +b11101 3 +b11101 J +b11101 Y +b0 & +b0 C +0( +b11100110111111000001101000001000 , +b11100110111111000001101000001000 H +b11101 + +b11101 G +1. +0% +#8017000 +0] +1=" +1>" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10 3" +0^ +0c +1d +b11111 -" +04" +0v +0," +b11100110111111000001101000001000 &" +b11100110111111000001101000001000 ?" +b11101 %" +b11101 *" +b11101 1" +b0 } +b0 (" +b0 +" +0#" +b11111000010010011100000110001111 0 +b11111000010010011100000110001111 M +b11111000010010011100000110001111 f +b11111000010010011100000110001111 !" +0. +1% +#8017010 +b11100110111111000001101000001000 `" +#8017500 +b10101 5 +b10101 L +b10101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8018000 +1] +0i +b10000101100101111100001110111000 { +b10000101100101111100001110111000 A" +1[ +0=" +0>" +0@" +0g +1:" +1;" +b11111 3" +0d +0<" +0` +b1010 0" +1j +0k +18" +1l +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#8018500 +b11000 5 +b11000 L +b11000 W +b11000 ' +b11000 D +1. +0% +#8019000 +19" +1o +1g +0:" +b111 0" +0j +b101101010000101100111101110100 { +b101101010000101100111101110100 A" +0m +b11000 ~ +b11000 )" +b11000 ." +b10000101100101111100001110111000 / +b10000101100101111100001110111000 K +b10000101100101111100001110111000 e +b10000101100101111100001110111000 "" +0. +1% +#8019500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +1. +0% +#8020000 +1i +0s +b10001111010011011110110001110010 | +b10001111010011011110110001110010 B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +16" +17" +b11111 0" +0o +08" +0l +b1011 -" +1t +0u +14" +1v +0/" +1," +b101101010000101100111101110100 / +b101101010000101100111101110100 K +b101101010000101100111101110100 e +b101101010000101100111101110100 "" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#8020500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +1. +0% +#8021000 +0i +b101101010000101100111101110100 { +b101101010000101100111101110100 A" +1s +19" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b111 0" +1o +0k +18" +1l +b11111 -" +0t +04" +0v +1/" +0," +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001111010011011110110001110010 0 +b10001111010011011110110001110010 M +b10001111010011011110110001110010 f +b10001111010011011110110001110010 !" +0. +1% +#8021500 +b11010 5 +b11010 L +b11010 W +b11010 ' +b11010 D +1. +0% +#8022000 +0h +b101 0" +b10011110010001000011001000111111 { +b10011110010001000011001000111111 A" +1n +b101101010000101100111101110100 / +b101101010000101100111101110100 K +b101101010000101100111101110100 e +b101101010000101100111101110100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010 ~ +b11010 )" +b11010 ." +0. +1% +#8022500 +b11101 5 +b11101 L +b11101 W +b11101 ' +b11101 D +1. +0% +#8023000 +0o +1h +0g +1:" +b10 0" +1p +b11100110111111000001101000001000 { +b11100110111111000001101000001000 A" +0n +1m +b11101 ~ +b11101 )" +b11101 ." +b10011110010001000011001000111111 / +b10011110010001000011001000111111 K +b10011110010001000011001000111111 e +b10011110010001000011001000111111 "" +0. +1% +#8023500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8024000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11100110111111000001101000001000 / +b11100110111111000001101000001000 K +b11100110111111000001101000001000 e +b11100110111111000001101000001000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8024500 +b10110 7 +b10110 N +b10110 V +16 +b10110 & +b10110 C +1( +1. +0% +#8025000 +0s +b10000010100001111000110101000110 | +b10000010100001111000110101000110 B" +0r +16" +17" +b1001 -" +1t +0u +14" +1v +1x +1," +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8025500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +1. +0% +#8026000 +0i +b110100000010000101111010011001 { +b110100000010000101111010011001 A" +1s +0h +19" +1:" +1;" +1r +06" +07" +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0," +b10000010100001111000110101000110 0 +b10000010100001111000110101000110 M +b10000010100001111000110101000110 f +b10000010100001111000110101000110 !" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8026500 +b1001 5 +b1001 L +b1001 W +b1001 ' +b1001 D +1. +0% +#8027000 +1k +1o +1h +0g +1;" +19" +0:" +b10110 0" +08" +0l +0p +b10000110011010111010111000110011 { +b10000110011010111010111000110011 A" +0n +1m +b1001 ~ +b1001 )" +b1001 ." +b110100000010000101111010011001 / +b110100000010000101111010011001 K +b110100000010000101111010011001 e +b110100000010000101111010011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8027500 +b10011 5 +b10011 L +b10011 W +b10101 7 +b10101 N +b10101 V +16 +b10011 ' +b10011 D +b10101 & +b10101 C +1( +1. +0% +#8028000 +0k +1i +0s +b10000101100101111100001110111000 | +b10000101100101111100001110111000 B" +0h +09" +0q +16" +17" +b1100 0" +18" +1l +0o +b10101111111100101001011001111100 { +b10101111111100101001011001111100 A" +b1010 -" +1t +0u +14" +1v +1n +1w +1," +b10000110011010111010111000110011 / +b10000110011010111010111000110011 K +b10000110011010111010111000110011 e +b10000110011010111010111000110011 "" +b10011 ~ +b10011 )" +b10011 ." +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#8028500 +b11010 5 +b11010 L +b11010 W +b0 7 +b0 N +b0 V +b11010 ' +b11010 D +b0 & +b0 C +1. +0% +#8029000 +0i +1u +1s +1g +19" +1q +17" +06" +b101 0" +1o +b10011110010001000011001000111111 { +b10011110010001000011001000111111 A" +b11111 -" +04" +0v +0t +b10010011001100011110101111000010 | +b10010011001100011110101111000010 B" +0m +0w +b11010 ~ +b11010 )" +b11010 ." +b0 } +b0 (" +b0 +" +b10101111111100101001011001111100 / +b10101111111100101001011001111100 K +b10101111111100101001011001111100 e +b10101111111100101001011001111100 "" +b10000101100101111100001110111000 0 +b10000101100101111100001110111000 M +b10000101100101111100001110111000 f +b10000101100101111100001110111000 !" +0. +1% +#8029500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#8030000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +09" +0;" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0u +0n +0/" +0," +b10011110010001000011001000111111 / +b10011110010001000011001000111111 K +b10011110010001000011001000111111 e +b10011110010001000011001000111111 "" +b10010011001100011110101111000010 0 +b10010011001100011110101111000010 M +b10010011001100011110101111000010 f +b10010011001100011110101111000010 !" +b0 ~ +b0 )" +b0 ." +0$" +0#" +0. +1% +#8030500 +1. +0% +#8031000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8031500 +b100 5 +b100 L +b100 W +14 +b1011 7 +b1011 N +b1011 V +16 +b11001101100101000011111011100101 2 +b11001101100101000011111011100101 I +b11001101100101000011111011100101 Z +b111 3 +b111 J +b111 Y +11 +b100 ' +b100 D +1) +b1011 & +b1011 C +1( +b11001101100101000011111011100101 , +b11001101100101000011111011100101 H +b111 + +b111 G +1- +1. +0% +#8032000 +0] +0i +b11010110111110101101000101111011 { +b11010110111110101101000101111011 A" +0s +b10011100100101110101001110 | +b10011100100101110101001110 B" +0\ +0[ +1>" +1@" +1:" +1;" +0r +0q +15" +17" +b11000 3" +1^ +1_ +b11011 0" +1j +1k +b10100 -" +1y +1u +1b +1a +12" +1/" +1x +1w +1," +b11001101100101000011111011100101 &" +b11001101100101000011111011100101 ?" +b111 %" +b111 *" +b111 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#8032010 +b11001101100101000011111011100101 J" +#8032500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8033000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +1[ +0>" +0@" +0:" +0;" +1r +1q +05" +07" +b11111 3" +0^ +0_ +b11111 0" +0j +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11010110111110101101000101111011 / +b11010110111110101101000101111011 K +b11010110111110101101000101111011 e +b11010110111110101101000101111011 "" +b10011100100101110101001110 0 +b10011100100101110101001110 M +b10011100100101110101001110 f +b10011100100101110101001110 !" +0. +1% +#8033500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#8034000 +0s +b10001111010011011110110001110010 | +b10001111010011011110110001110010 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#8034500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#8035000 +0i +b11100110110011010100111001110010 { +b11100110110011010100111001110010 A" +1s +0h +0g +1:" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1000 0" +1j +0k +18" +1l +b11111 -" +0t +04" +0v +1n +1m +1/" +0," +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10001111010011011110110001110010 0 +b10001111010011011110110001110010 M +b10001111010011011110110001110010 f +b10001111010011011110110001110010 !" +0. +1% +#8035500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8036000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b11100110110011010100111001110010 / +b11100110110011010100111001110010 K +b11100110110011010100111001110010 e +b11100110110011010100111001110010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8036500 +b101 5 +b101 L +b101 W +14 +b11110 7 +b11110 N +b11110 V +16 +b10111010101001100110101111011011 2 +b10111010101001100110101111011011 I +b10111010101001100110101111011011 Z +b1001 3 +b1001 J +b1001 Y +11 +b101 ' +b101 D +1) +b11110 & +b11110 C +1( +b10111010101001100110101111011011 , +b10111010101001100110101111011011 H +b1001 + +b1001 G +1- +1. +0% +#8037000 +0] +0i +b1001011011111111001000010000000 { +b1001011011111111001000010000000 A" +0s +b110100000010000101111010011001 | +b110100000010000101111010011001 B" +0[ +1=" +1@" +0g +1:" +1;" +0r +15" +16" +17" +b10110 3" +1c +1_ +b11010 0" +1j +1k +b1 -" +0t +0y +1z +0u +14" +1v +1a +12" +1m +1/" +1x +1," +b10111010101001100110101111011011 &" +b10111010101001100110101111011011 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8037010 +b10111010101001100110101111011011 L" +#8037500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8038000 +1] +1i +1[ +0=" +0@" +1g +0:" +0;" +0q +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b0 -" +b100101001110000001001001110010 | +b100101001110000001001001110010 B" +0a +02" +0m +0/" +1w +b1001011011111111001000010000000 / +b1001011011111111001000010000000 K +b1001011011111111001000010000000 e +b1001011011111111001000010000000 "" +b110100000010000101111010011001 0 +b110100000010000101111010011001 M +b110100000010000101111010011001 f +b110100000010000101111010011001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#8038500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +1. +0% +#8039000 +0i +b100011000010011011101110010000 { +b100011000010011011101110010000 A" +1s +19" +1:" +1;" +1r +1q +05" +06" +07" +b10011 0" +0j +0o +1p +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0w +0," +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101001110000001001001110010 0 +b100101001110000001001001110010 M +b100101001110000001001001110010 f +b100101001110000001001001110010 !" +0. +1% +#8039500 +b10011 5 +b10011 L +b10011 W +b11000111101100011001100001110111 2 +b11000111101100011001100001110111 I +b11000111101100011001100001110111 Z +b1101 3 +b1101 J +b1101 Y +11 +b10011 ' +b10011 D +b11000111101100011001100001110111 , +b11000111101100011001100001110111 H +b1101 + +b1101 G +1- +1. +0% +#8040000 +0] +0k +1i +0[ +1=" +1>" +1@" +0h +0g +09" +0:" +b10010 3" +0^ +0c +1d +1_ +b1100 0" +18" +1l +0p +b10101111111100101001011001111100 { +b10101111111100101001011001111100 A" +1a +12" +1n +1m +b100011000010011011101110010000 / +b100011000010011011101110010000 K +b100011000010011011101110010000 e +b100011000010011011101110010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000111101100011001100001110111 &" +b11000111101100011001100001110111 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#8040010 +b11000111101100011001100001110111 P" +#8040500 +b10001 5 +b10001 L +b10001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8041000 +1] +1[ +0=" +0>" +0@" +1h +b11111 3" +0d +0_ +b1110 0" +b110010101111100000000111100011 { +b110010101111100000000111100011 A" +0a +02" +0n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b10101111111100101001011001111100 / +b10101111111100101001011001111100 K +b10101111111100101001011001111100 e +b10101111111100101001011001111100 "" +0. +1% +#8041500 +b10000 5 +b10000 L +b10000 W +b1110 7 +b1110 N +b1110 V +16 +b1111011010100011100001100101010 2 +b1111011010100011100001100101010 I +b1111011010100011100001100101010 Z +b100 3 +b100 J +b100 Y +11 +b10000 ' +b10000 D +b1110 & +b1110 C +1( +b1111011010100011100001100101010 , +b1111011010100011100001100101010 H +b100 + +b100 G +1- +1. +0% +#8042000 +0] +0s +b11011001010000011010110111100 | +b11011001010000011010110111100 B" +1>" +1@" +1g +0r +15" +16" +17" +b11011 3" +1^ +1_ +b1111 0" +b11111000010010011100000110001111 { +b11111000010010011100000110001111 A" +b10001 -" +0t +0y +1z +1u +12" +0m +1x +1," +b110010101111100000000111100011 / +b110010101111100000000111100011 K +b110010101111100000000111100011 e +b110010101111100000000111100011 "" +b1111011010100011100001100101010 &" +b1111011010100011100001100101010 ?" +b100 %" +b100 *" +b100 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8042010 +b1111011010100011100001100101010 G" +#8042500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8043000 +1] +1k +0i +1s +0>" +0@" +0h +1;" +1:" +1r +05" +06" +07" +b11111 3" +0^ +0_ +b11001 0" +08" +0l +1j +b10001101010011110001000111000110 { +b10001101010011110001000111000110 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1n +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +b11111000010010011100000110001111 / +b11111000010010011100000110001111 K +b11111000010010011100000110001111 e +b11111000010010011100000110001111 "" +b11011001010000011010110111100 0 +b11011001010000011010110111100 M +b11011001010000011010110111100 f +b11011001010000011010110111100 !" +0. +1% +#8043500 +b10001 5 +b10001 L +b10001 W +b111011011001100010100100100101 2 +b111011011001100010100100100101 I +b111011011001100010100100100101 Z +b110 3 +b110 J +b110 Y +11 +b10001 ' +b10001 D +b111011011001100010100100100101 , +b111011011001100010100100100101 H +b110 + +b110 G +1- +1. +0% +#8044000 +0] +0k +1i +0\ +1>" +1@" +1h +0g +0:" +b11001 3" +1^ +1_ +b1110 0" +18" +1l +0j +b110010101111100000000111100011 { +b110010101111100000000111100011 A" +1b +12" +0n +1m +b10001101010011110001000111000110 / +b10001101010011110001000111000110 K +b10001101010011110001000111000110 e +b10001101010011110001000111000110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111011011001100010100100100101 &" +b111011011001100010100100100101 ?" +b110 %" +b110 *" +b110 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +0. +1% +#8044010 +b111011011001100010100100100101 I" +#8044500 +b11111 5 +b11111 L +b11111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8045000 +1] +0i +1\ +0>" +0@" +0h +19" +1:" +b11111 3" +0^ +0_ +b0 0" +0j +0o +1p +b100101001110000001001001110010 { +b100101001110000001001001110010 A" +0b +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +b110010101111100000000111100011 / +b110010101111100000000111100011 K +b110010101111100000000111100011 e +b110010101111100000000111100011 "" +0. +1% +#8045500 +b1110 5 +b1110 L +b1110 W +b1110 ' +b1110 D +1. +0% +#8046000 +1k +1g +1;" +b10001 0" +08" +0l +b11011001010000011010110111100 { +b11011001010000011010110111100 A" +0m +b100101001110000001001001110010 / +b100101001110000001001001110010 K +b100101001110000001001001110010 e +b100101001110000001001001110010 "" +b1110 ~ +b1110 )" +b1110 ." +0. +1% +#8046500 +b11000 5 +b11000 L +b11000 W +b11101110001110010000011111111010 2 +b11101110001110010000011111111010 I +b11101110001110010000011111111010 Z +11 +b11000 ' +b11000 D +b11101110001110010000011111111010 , +b11101110001110010000011111111010 H +1- +1. +0% +#8047000 +0k +1o +1@" +1h +19" +0:" +1_ +b111 0" +18" +1l +0p +b101101010000101100111101110100 { +b101101010000101100111101110100 A" +12" +0n +b11101110001110010000011111111010 &" +b11101110001110010000011111111010 ?" +1'" +b11000 ~ +b11000 )" +b11000 ." +b11011001010000011010110111100 / +b11011001010000011010110111100 K +b11011001010000011010110111100 e +b11011001010000011010110111100 "" +0. +1% +#8047010 +b11101110001110010000011111111010 C" +#8047500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b10110 & +b10110 C +1( +b0 , +b0 H +0- +1. +0% +#8048000 +1i +0s +b10000010100001111000110101000110 | +b10000010100001111000110101000110 B" +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +16" +17" +0_ +b11111 0" +0o +08" +0l +b1001 -" +1t +0u +14" +1v +02" +0/" +1x +1," +b101101010000101100111101110100 / +b101101010000101100111101110100 K +b101101010000101100111101110100 e +b101101010000101100111101110100 "" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#8048500 +b1 7 +b1 N +b1 V +b10110100000110111000101111001001 2 +b10110100000110111000101111001001 I +b10110100000110111000101111001001 Z +b10 3 +b10 J +b10 Y +11 +b1 & +b1 C +b10110100000110111000101111001001 , +b10110100000110111000101111001001 H +b10 + +b10 G +1- +1. +0% +#8049000 +1u +1s +0\ +1@" +1r +0q +17" +06" +b11101 3" +1_ +b11110 -" +04" +0v +0t +b101010111110001101110010001 | +b101010111110001101110010001 B" +1b +12" +0x +1w +b10110100000110111000101111001001 &" +b10110100000110111000101111001001 ?" +b10 %" +b10 *" +b10 1" +1'" +b1 } +b1 (" +b1 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000010100001111000110101000110 0 +b10000010100001111000110101000110 M +b10000010100001111000110101000110 f +b10000010100001111000110101000110 !" +0. +1% +#8049010 +b10110100000110111000101111001001 E" +#8049500 +b10101 7 +b10101 N +b10101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 & +b10101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8050000 +0u +0s +1\ +0@" +b10000101100101111100001110111000 | +b10000101100101111100001110111000 B" +16" +b11111 3" +0_ +b1010 -" +14" +1v +1t +0b +02" +b101010111110001101110010001 0 +b101010111110001101110010001 M +b101010111110001101110010001 f +b101010111110001101110010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 } +b10101 (" +b10101 +" +0. +1% +#8050500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8051000 +1s +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10000101100101111100001110111000 0 +b10000101100101111100001110111000 M +b10000101100101111100001110111000 f +b10000101100101111100001110111000 !" +0. +1% +#8051500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#8052000 +0s +b110100000010000101111010011001 | +b110100000010000101111010011001 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#8052500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8053000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b110100000010000101111010011001 0 +b110100000010000101111010011001 M +b110100000010000101111010011001 f +b110100000010000101111010011001 !" +0. +1% +#8053500 +b1010 5 +b1010 L +b1010 W +14 +b11000 7 +b11000 N +b11000 V +16 +b1111001000110010100000101100100 2 +b1111001000110010100000101100100 I +b1111001000110010100000101100100 Z +b11010 3 +b11010 J +b11010 Y +11 +b1010 ' +b1010 D +1) +b11000 & +b11000 C +1( +b1111001000110010100000101100100 , +b1111001000110010100000101100100 H +b11010 + +b11010 G +1- +1. +0% +#8054000 +0] +0i +b10100010001110000111110101101101 { +b10100010001110000111110101101101 A" +0s +b101101010000101100111101110100 | +b101101010000101100111101110100 B" +0\ +1=" +1@" +0h +19" +1;" +15" +17" +b101 3" +1c +0_ +1<" +1` +b10101 0" +1o +1k +b111 -" +1y +0u +14" +1v +1b +12" +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111001000110010100000101100100 &" +b1111001000110010100000101100100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#8054010 +b1111001000110010100000101100100 ]" +#8054500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +b11111101001011111011010010110001 2 +b11111101001011111011010010110001 I +b11111101001011111011010010110001 Z +b1101 3 +b1101 J +b1101 Y +b0 ' +b0 D +0) +b10100 & +b10100 C +b11111101001011111011010010110001 , +b11111101001011111011010010110001 H +b1101 + +b1101 G +1. +0% +#8055000 +16" +1_ +0c +1i +1t +b10001111010011011110110001110010 | +b10001111010011011110110001110010 B" +1\ +0[ +1@" +1>" +1h +09" +0;" +05" +b10010 3" +0<" +0` +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1011 -" +0y +0b +1a +0n +0/" +b11111101001011111011010010110001 &" +b11111101001011111011010010110001 ?" +b1101 %" +b1101 *" +b1101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +b10100010001110000111110101101101 / +b10100010001110000111110101101101 K +b10100010001110000111110101101101 e +b10100010001110000111110101101101 "" +b101101010000101100111101110100 0 +b101101010000101100111101110100 M +b101101010000101100111101110100 f +b101101010000101100111101110100 !" +0. +1% +#8055010 +b11111101001011111011010010110001 P" +#8055500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8056000 +1] +1s +1[ +0=" +0>" +0@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b11111 -" +0t +04" +0v +0a +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001111010011011110110001110010 0 +b10001111010011011110110001110010 M +b10001111010011011110110001110010 f +b10001111010011011110110001110010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8056500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#8057000 +0s +b10001111010011011110110001110010 | +b10001111010011011110110001110010 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8057500 +b0 7 +b0 N +b0 V +06 +b1110100101000110100101101010010 2 +b1110100101000110100101101010010 I +b1110100101000110100101101010010 Z +b110 3 +b110 J +b110 Y +11 +b0 & +b0 C +0( +b1110100101000110100101101010010 , +b1110100101000110100101101010010 H +b110 + +b110 G +1- +1. +0% +#8058000 +0] +1s +0\ +1>" +1@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11001 3" +1^ +1_ +b11111 -" +0t +04" +0v +1b +12" +0," +b10001111010011011110110001110010 0 +b10001111010011011110110001110010 M +b10001111010011011110110001110010 f +b10001111010011011110110001110010 !" +b1110100101000110100101101010010 &" +b1110100101000110100101101010010 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8058010 +b1110100101000110100101101010010 I" +#8058500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8059000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8059500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#8060000 +0i +b1100110100001110100001100110101 { +b1100110100001110100001100110101 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#8060500 +b11110 5 +b11110 L +b11110 W +b110 7 +b110 N +b110 V +16 +b11110 ' +b11110 D +b110 & +b110 C +1( +1. +0% +#8061000 +0s +b1110100101000110100101101010010 | +b1110100101000110100101101010010 B" +0h +0r +16" +17" +b1 0" +b110100000010000101111010011001 { +b110100000010000101111010011001 A" +b11001 -" +1t +1u +1n +1x +1," +b11110 ~ +b11110 )" +b11110 ." +b110 } +b110 (" +b110 +" +1#" +b1100110100001110100001100110101 / +b1100110100001110100001100110101 K +b1100110100001110100001100110101 e +b1100110100001110100001100110101 "" +0. +1% +#8061500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8062000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b110100000010000101111010011001 / +b110100000010000101111010011001 K +b110100000010000101111010011001 e +b110100000010000101111010011001 "" +b1110100101000110100101101010010 0 +b1110100101000110100101101010010 M +b1110100101000110100101101010010 f +b1110100101000110100101101010010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8062500 +b0 5 +b0 L +b0 W +04 +b1101111001100010100001000000011 2 +b1101111001100010100001000000011 I +b1101111001100010100001000000011 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b1101111001100010100001000000011 , +b1101111001100010100001000000011 H +b10111 + +b10111 G +1- +1. +0% +#8063000 +0] +1i +0\ +0[ +1>" +1@" +1h +09" +0:" +0;" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0n +0/" +b1101111001100010100001000000011 &" +b1101111001100010100001000000011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8063010 +b1101111001100010100001000000011 Z" +#8063500 +b11001 5 +b11001 L +b11001 W +14 +b1010010001111011001110101111101 2 +b1010010001111011001110101111101 I +b1010010001111011001110101111101 Z +b1010 3 +b1010 J +b1010 Y +b11001 ' +b11001 D +1) +b1010010001111011001110101111101 , +b1010010001111011001110101111101 H +b1010 + +b1010 G +1. +0% +#8064000 +1=" +1_ +1c +0i +b11100110101111111101001001111 { +b11100110101111111101001001111 A" +1[ +1@" +0>" +0g +19" +1;" +b10101 3" +0<" +0` +0^ +b110 0" +1o +0k +18" +1l +0a +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010010001111011001110101111101 &" +b1010010001111011001110101111101 ?" +b1010 %" +b1010 *" +b1010 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#8064010 +b1010010001111011001110101111101 M" +#8064500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8065000 +1] +1i +0s +b10001111010011011110110001110010 | +b10001111010011011110110001110010 B" +1\ +0=" +0@" +1g +09" +0;" +16" +17" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +1t +0u +14" +1v +0b +02" +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b11100110101111111101001001111 / +b11100110101111111101001001111 K +b11100110101111111101001001111 e +b11100110101111111101001001111 "" +0. +1% +#8065500 +b1111 5 +b1111 L +b1111 W +14 +b1000 7 +b1000 N +b1000 V +b1111 ' +b1111 D +1) +b1000 & +b1000 C +1. +0% +#8066000 +15" +0i +b11000011001110010101011000000010 { +b11000011001110010101011000000010 A" +1u +1y +0h +0g +19" +1:" +1;" +17" +b1111111010011111011000111011010 | +b1111111010011111011000111011010 B" +06" +b10000 0" +0j +0o +1p +1k +b10111 -" +04" +0v +0t +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001111010011011110110001110010 0 +b10001111010011011110110001110010 M +b10001111010011011110110001110010 f +b10001111010011011110110001110010 !" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1000 } +b1000 (" +b1000 +" +0. +1% +#8066500 +b1 5 +b1 L +b1 W +b10010 7 +b10010 N +b10010 V +b11010001011000100100001100111111 2 +b11010001011000100100001100111111 I +b11010001011000100100001100111111 Z +b100 3 +b100 J +b100 Y +11 +b1 ' +b1 D +b10010 & +b10010 C +b11010001011000100100001100111111 , +b11010001011000100100001100111111 H +b100 + +b100 G +1- +1. +0% +#8067000 +0] +1i +0u +1s +1>" +1@" +1h +09" +0:" +0r +05" +b11011 3" +1^ +1_ +b11110 0" +0p +b101010111110001101110010001 { +b101010111110001101110010001 A" +b1101 -" +14" +1v +0y +b1000101101000001110000010001 | +b1000101101000001110000010001 B" +12" +0n +1x +b11010001011000100100001100111111 &" +b11010001011000100100001100111111 ?" +b100 %" +b100 *" +b100 1" +1'" +b1 ~ +b1 )" +b1 ." +b10010 } +b10010 (" +b10010 +" +b11000011001110010101011000000010 / +b11000011001110010101011000000010 K +b11000011001110010101011000000010 e +b11000011001110010101011000000010 "" +b1111111010011111011000111011010 0 +b1111111010011111011000111011010 M +b1111111010011111011000111011010 f +b1111111010011111011000111011010 !" +0. +1% +#8067010 +b11010001011000100100001100111111 G" +#8067500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8068000 +1] +0>" +0@" +1g +0;" +1r +07" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0m +0/" +0x +0," +b101010111110001101110010001 / +b101010111110001101110010001 K +b101010111110001101110010001 e +b101010111110001101110010001 "" +b1000101101000001110000010001 0 +b1000101101000001110000010001 M +b1000101101000001110000010001 f +b1000101101000001110000010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8068500 +b10001 7 +b10001 N +b10001 V +16 +b10001 & +b10001 C +1( +1. +0% +#8069000 +b110010101111100000000111100011 | +b110010101111100000000111100011 B" +0q +17" +b1110 -" +0u +14" +1v +1w +1," +b10001 } +b10001 (" +b10001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8069500 +b1001 5 +b1001 L +b1001 W +14 +b1111 7 +b1111 N +b1111 V +b1001 ' +b1001 D +1) +b1111 & +b1111 C +1. +0% +#8070000 +0i +b10111010101001100110101111011011 { +b10111010101001100110101111011011 A" +1u +0s +0g +19" +1;" +0r +17" +15" +16" +b10110 0" +1o +1k +b10000 -" +04" +0v +0t +0y +1z +b11000011001110010101011000000010 | +b11000011001110010101011000000010 B" +1m +1/" +1x +b110010101111100000000111100011 0 +b110010101111100000000111100011 M +b110010101111100000000111100011 f +b110010101111100000000111100011 !" +b1001 ~ +b1001 )" +b1001 ." +1$" +b1111 } +b1111 (" +b1111 +" +0. +1% +#8070500 +b11 5 +b11 L +b11 W +b1000 7 +b1000 N +b1000 V +b11 ' +b11 D +b1000 & +b1000 C +1. +0% +#8071000 +1i +1y +0h +09" +1r +1q +15" +06" +b11100 0" +0o +b1011011101010111111101100011000 { +b1011011101010111111101100011000 A" +b10111 -" +0z +b1111111010011111011000111011010 | +b1111111010011111011000111011010 B" +1n +0x +0w +b11 ~ +b11 )" +b11 ." +b1000 } +b1000 (" +b1000 +" +b10111010101001100110101111011011 / +b10111010101001100110101111011011 K +b10111010101001100110101111011011 e +b10111010101001100110101111011011 "" +b11000011001110010101011000000010 0 +b11000011001110010101011000000010 M +b11000011001110010101011000000010 f +b11000011001110010101011000000010 !" +0. +1% +#8071500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8072000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +1g +0;" +05" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +0u +0n +0m +0/" +0," +b1011011101010111111101100011000 / +b1011011101010111111101100011000 K +b1011011101010111111101100011000 e +b1011011101010111111101100011000 "" +b1111111010011111011000111011010 0 +b1111111010011111011000111011010 M +b1111111010011111011000111011010 f +b1111111010011111011000111011010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8072500 +b11111 7 +b11111 N +b11111 V +16 +b11111 & +b11111 C +1( +1. +0% +#8073000 +0s +b100101001110000001001001110010 | +b100101001110000001001001110010 B" +0r +0q +15" +16" +17" +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8073500 +b1010 5 +b1010 L +b1010 W +14 +b1011 7 +b1011 N +b1011 V +b10101001000100110100001110110111 2 +b10101001000100110100001110110111 I +b10101001000100110100001110110111 Z +b1100 3 +b1100 J +b1100 Y +11 +b1010 ' +b1010 D +1) +b1011 & +b1011 C +b10101001000100110100001110110111 , +b10101001000100110100001110110111 H +b1100 + +b1100 G +1- +1. +0% +#8074000 +0] +0i +b1010010001111011001110101111101 { +b1010010001111011001110101111101 A" +1u +1y +1=" +1>" +1@" +0h +19" +1;" +17" +b10011100100101110101001110 | +b10011100100101110101001110 B" +15" +06" +b10011 3" +0^ +0c +1d +1_ +b10101 0" +1o +1k +b10100 -" +04" +0v +0z +12" +1n +1/" +b100101001110000001001001110010 0 +b100101001110000001001001110010 M +b100101001110000001001001110010 f +b100101001110000001001001110010 !" +b10101001000100110100001110110111 &" +b10101001000100110100001110110111 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#8074010 +b10101001000100110100001110110111 O" +#8074500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8075000 +1] +0k +0o +1s +0=" +0>" +0@" +b110100000010000101111010011001 { +b110100000010000101111010011001 A" +1:" +1r +1q +05" +07" +b11111 3" +0d +0_ +b1 0" +18" +1l +1p +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +b1010010001111011001110101111101 / +b1010010001111011001110101111101 K +b1010010001111011001110101111101 e +b1010010001111011001110101111101 "" +b10011100100101110101001110 0 +b10011100100101110101001110 M +b10011100100101110101001110 f +b10011100100101110101001110 !" +0. +1% +#8075500 +b100 5 +b100 L +b100 W +b100 ' +b100 D +1. +0% +#8076000 +1k +1j +1h +1;" +09" +1:" +b11011 0" +08" +0l +0p +b11010001011000100100001100111111 { +b11010001011000100100001100111111 A" +0n +b110100000010000101111010011001 / +b110100000010000101111010011001 K +b110100000010000101111010011001 e +b110100000010000101111010011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100 ~ +b100 )" +b100 ." +0. +1% +#8076500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b10011001110010111000000010010100 2 +b10011001110010111000000010010100 I +b10011001110010111000000010010100 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b10011001110010111000000010010100 , +b10011001110010111000000010010100 H +b10110 + +b10110 G +1- +1. +0% +#8077000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1111111010011111011000111011010 | +b1111111010011111011000111011010 B" +0\ +1>" +1@" +0:" +0;" +15" +17" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0j +0k +b10111 -" +1y +1u +1b +12" +0/" +1," +b10011001110010111000000010010100 &" +b10011001110010111000000010010100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b11010001011000100100001100111111 / +b11010001011000100100001100111111 K +b11010001011000100100001100111111 e +b11010001011000100100001100111111 "" +0. +1% +#8077010 +b10011001110010111000000010010100 Y" +#8077500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8078000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0>" +0@" +05" +07" +b11111 3" +0^ +0<" +0` +b11111 -" +0y +0u +0b +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111111010011111011000111011010 0 +b1111111010011111011000111011010 M +b1111111010011111011000111011010 f +b1111111010011111011000111011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8078500 +1. +0% +#8079000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8079500 +b10100 5 +b10100 L +b10100 W +14 +16 +b10100 ' +b10100 D +1) +1( +1. +0% +#8080000 +0i +b10001111010011011110110001110010 { +b10001111010011011110110001110010 A" +b11101110001110010000011111111010 | +b11101110001110010000011111111010 B" +1:" +1;" +17" +b1011 0" +1j +0k +18" +1l +1u +1/" +1," +b10100 ~ +b10100 )" +b10100 ." +1$" +1#" +0. +1% +#8080500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#8081000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +07" +b11111 0" +0j +08" +0l +0u +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +0#" +b10001111010011011110110001110010 / +b10001111010011011110110001110010 K +b10001111010011011110110001110010 e +b10001111010011011110110001110010 "" +b11101110001110010000011111111010 0 +b11101110001110010000011111111010 M +b11101110001110010000011111111010 f +b11101110001110010000011111111010 !" +0. +1% +#8081500 +1. +0% +#8082000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8082500 +b10001 7 +b10001 N +b10001 V +16 +b10001 & +b10001 C +1( +1. +0% +#8083000 +b110010101111100000000111100011 | +b110010101111100000000111100011 B" +0q +17" +b1110 -" +0u +14" +1v +1w +1," +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#8083500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8084000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b110010101111100000000111100011 0 +b110010101111100000000111100011 M +b110010101111100000000111100011 f +b110010101111100000000111100011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8084500 +1. +0% +#8085000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8085500 +b10101010110001000010010000111011 2 +b10101010110001000010010000111011 I +b10101010110001000010010000111011 Z +b1111 3 +b1111 J +b1111 Y +11 +b10101010110001000010010000111011 , +b10101010110001000010010000111011 H +b1111 + +b1111 G +1- +1. +0% +#8086000 +0] +0\ +0[ +1=" +1>" +1@" +b10000 3" +0^ +0c +1d +1_ +1b +1a +12" +b10101010110001000010010000111011 &" +b10101010110001000010010000111011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +0. +1% +#8086010 +b10101010110001000010010000111011 R" +#8086500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8087000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8087500 +b1110 7 +b1110 N +b1110 V +16 +b1110 & +b1110 C +1( +1. +0% +#8088000 +0s +b11011001010000011010110111100 | +b11011001010000011010110111100 B" +0r +15" +16" +17" +b10001 -" +0t +0y +1z +1u +1x +1," +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8088500 +b11010 7 +b11010 N +b11010 V +b11010 & +b11010 C +1. +0% +#8089000 +0u +1y +b1111001000110010100000101100100 | +b1111001000110010100000101100100 B" +15" +06" +b101 -" +14" +1v +0z +b11010 } +b11010 (" +b11010 +" +b11011001010000011010110111100 0 +b11011001010000011010110111100 M +b11011001010000011010110111100 f +b11011001010000011010110111100 !" +0. +1% +#8089500 +b11100 7 +b11100 N +b11100 V +b11100 & +b11100 C +1. +0% +#8090000 +0y +1r +16" +b11 -" +1z +b1100110100001110100001100110101 | +b1100110100001110100001100110101 B" +0x +b1111001000110010100000101100100 0 +b1111001000110010100000101100100 M +b1111001000110010100000101100100 f +b1111001000110010100000101100100 !" +b11100 } +b11100 (" +b11100 +" +0. +1% +#8090500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +1. +0% +#8091000 +0i +b110100000010000101111010011001 { +b110100000010000101111010011001 A" +1s +0h +19" +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +04" +0v +1n +1/" +0," +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1100110100001110100001100110101 0 +b1100110100001110100001100110101 M +b1100110100001110100001100110101 f +b1100110100001110100001100110101 !" +0. +1% +#8091500 +b10010 5 +b10010 L +b10010 W +b1110 7 +b1110 N +b1110 V +16 +b10010 ' +b10010 D +b1110 & +b1110 C +1( +1. +0% +#8092000 +1i +b1000101101000001110000010001 { +b1000101101000001110000010001 A" +0s +b11011001010000011010110111100 | +b11011001010000011010110111100 B" +09" +0:" +0r +15" +16" +17" +b1101 0" +0p +b10001 -" +0t +0y +1z +1u +1x +1," +b110100000010000101111010011001 / +b110100000010000101111010011001 K +b110100000010000101111010011001 e +b110100000010000101111010011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010 ~ +b10010 )" +b10010 ." +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8092500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b0 ' +b0 D +0) +b11011 & +b11011 C +1. +0% +#8093000 +0u +1y +1h +0;" +0q +15" +06" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +14" +1v +0z +b11110111111011111100010101 | +b11110111111011111100010101 B" +0n +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +b1000101101000001110000010001 / +b1000101101000001110000010001 K +b1000101101000001110000010001 e +b1000101101000001110000010001 "" +b11011001010000011010110111100 0 +b11011001010000011010110111100 M +b11011001010000011010110111100 f +b11011001010000011010110111100 !" +0. +1% +#8093500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8094000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110111111011111100010101 0 +b11110111111011111100010101 M +b11110111111011111100010101 f +b11110111111011111100010101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8094500 +b101 7 +b101 N +b101 V +16 +b101 & +b101 C +1( +1. +0% +#8095000 +0s +b1001011011111111001000010000000 | +b1001011011111111001000010000000 B" +0q +16" +17" +b11010 -" +1t +1u +1w +1," +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8095500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +1. +0% +#8096000 +0i +b11011001010000011010110111100 { +b11011001010000011010110111100 A" +1s +0h +19" +1:" +1;" +1q +06" +07" +b10001 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0w +0," +b1001011011111111001000010000000 0 +b1001011011111111001000010000000 M +b1001011011111111001000010000000 f +b1001011011111111001000010000000 !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8096500 +b111 5 +b111 L +b111 W +b111 ' +b111 D +1. +0% +#8097000 +1j +0g +09" +1:" +b11000 0" +0p +b11001101100101000011111011100101 { +b11001101100101000011111011100101 A" +1m +b111 ~ +b111 )" +b111 ." +b11011001010000011010110111100 / +b11011001010000011010110111100 K +b11011001010000011010110111100 e +b11011001010000011010110111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8097500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8098000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b11001101100101000011111011100101 / +b11001101100101000011111011100101 K +b11001101100101000011111011100101 e +b11001101100101000011111011100101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8098500 +b10100101101001000100111110010101 2 +b10100101101001000100111110010101 I +b10100101101001000100111110010101 Z +b10101 3 +b10101 J +b10101 Y +11 +b10100101101001000100111110010101 , +b10100101101001000100111110010101 H +b10101 + +b10101 G +1- +1. +0% +#8099000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b10100101101001000100111110010101 &" +b10100101101001000100111110010101 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8099010 +b10100101101001000100111110010101 X" +#8099500 +b100 5 +b100 L +b100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8100000 +1] +0i +b11010001011000100100001100111111 { +b11010001011000100100001100111111 A" +1[ +0>" +0@" +1:" +1;" +b11111 3" +0^ +0<" +0` +b11011 0" +1j +1k +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#8100500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8101000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11010001011000100100001100111111 / +b11010001011000100100001100111111 K +b11010001011000100100001100111111 e +b11010001011000100100001100111111 "" +0. +1% +#8101500 +b10010 5 +b10010 L +b10010 W +14 +b1110 7 +b1110 N +b1110 V +16 +b1111111110110101010000111001111 2 +b1111111110110101010000111001111 I +b1111111110110101010000111001111 Z +11 +b10010 ' +b10010 D +1) +b1110 & +b1110 C +1( +b1111111110110101010000111001111 , +b1111111110110101010000111001111 H +1- +1. +0% +#8102000 +b1000101101000001110000010001 { +b1000101101000001110000010001 A" +0s +b11011001010000011010110111100 | +b11011001010000011010110111100 B" +1@" +0h +1;" +0r +15" +16" +17" +1_ +b1101 0" +0k +18" +1l +b10001 -" +0t +0y +1z +1u +12" +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111111110110101010000111001111 &" +b1111111110110101010000111001111 ?" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8102010 +b1111111110110101010000111001111 C" +#8102500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#8103000 +1s +0@" +1h +0;" +1r +05" +06" +07" +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0/" +0x +0," +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000101101000001110000010001 / +b1000101101000001110000010001 K +b1000101101000001110000010001 e +b1000101101000001110000010001 "" +b11011001010000011010110111100 0 +b11011001010000011010110111100 M +b11011001010000011010110111100 f +b11011001010000011010110111100 !" +0. +1% +#8103500 +b10010 5 +b10010 L +b10010 W +14 +b11100110001101000110000001001110 2 +b11100110001101000110000001001110 I +b11100110001101000110000001001110 Z +b11000 3 +b11000 J +b11000 Y +11 +b10010 ' +b10010 D +1) +b11100110001101000110000001001110 , +b11100110001101000110000001001110 H +b11000 + +b11000 G +1- +1. +0% +#8104000 +0] +b1000101101000001110000010001 { +b1000101101000001110000010001 A" +1=" +1@" +0h +1;" +b111 3" +1c +0_ +1<" +1` +b1101 0" +0k +18" +1l +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100110001101000110000001001110 &" +b11100110001101000110000001001110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#8104010 +b11100110001101000110000001001110 [" +#8104500 +b0 5 +b0 L +b0 W +04 +b11011101011000000100101111111011 2 +b11011101011000000100101111111011 I +b11011101011000000100101111111011 Z +b100 3 +b100 J +b100 Y +b0 ' +b0 D +0) +b11011101011000000100101111111011 , +b11011101011000000100101111111011 H +b100 + +b100 G +1. +0% +#8105000 +1>" +1_ +1^ +1@" +0=" +1h +0;" +b11011 3" +0<" +0` +0c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b11011101011000000100101111111011 &" +b11011101011000000100101111111011 ?" +b100 %" +b100 *" +b100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1000101101000001110000010001 / +b1000101101000001110000010001 K +b1000101101000001110000010001 e +b1000101101000001110000010001 "" +0. +1% +#8105010 +b11011101011000000100101111111011 G" +#8105500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8106000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8106500 +1. +0% +#8107000 +0. +1% +#8107500 +b10111 5 +b10111 L +b10111 W +14 +b10111 ' +b10111 D +1) +1. +0% +#8108000 +0i +b1101111001100010100001000000011 { +b1101111001100010100001000000011 A" +0h +0g +1:" +1;" +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#8108500 +b0 5 +b0 L +b0 W +04 +b11000001000100001111011011011010 2 +b11000001000100001111011011011010 I +b11000001000100001111011011011010 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b11000001000100001111011011011010 , +b11000001000100001111011011011010 H +b11011 + +b11011 G +1- +1. +0% +#8109000 +0] +1i +0\ +0[ +1=" +1@" +1h +1g +0:" +0;" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0n +0m +0/" +b11000001000100001111011011011010 &" +b11000001000100001111011011011010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101111001100010100001000000011 / +b1101111001100010100001000000011 K +b1101111001100010100001000000011 e +b1101111001100010100001000000011 "" +0. +1% +#8109010 +b11000001000100001111011011011010 ^" +#8109500 +b10001 5 +b10001 L +b10001 W +14 +b11010001000000101011101010001101 2 +b11010001000000101011101010001101 I +b11010001000000101011101010001101 Z +b10111 3 +b10111 J +b10111 Y +b10001 ' +b10001 D +1) +b11010001000000101011101010001101 , +b11010001000000101011101010001101 H +b10111 + +b10111 G +1. +0% +#8110000 +1>" +1^ +b110010101111100000000111100011 { +b110010101111100000000111100011 A" +0=" +0g +1;" +b1000 3" +0c +b1110 0" +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010001000000101011101010001101 &" +b11010001000000101011101010001101 ?" +b10111 %" +b10111 *" +b10111 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#8110010 +b11010001000000101011101010001101 Z" +#8110500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8111000 +1] +1\ +1[ +0>" +0@" +1g +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b110010101111100000000111100011 / +b110010101111100000000111100011 K +b110010101111100000000111100011 e +b110010101111100000000111100011 "" +0. +1% +#8111500 +b1111 5 +b1111 L +b1111 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1111 ' +b1111 D +1) +b1000 & +b1000 C +1( +1. +0% +#8112000 +0i +b10101010110001000010010000111011 { +b10101010110001000010010000111011 A" +0s +b1111111010011111011000111011010 | +b1111111010011111011000111011010 B" +0h +0g +19" +1:" +1;" +15" +17" +b10000 0" +0j +0o +1p +1k +b10111 -" +1y +1u +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#8112500 +b1100 5 +b1100 L +b1100 W +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +b0 & +b0 C +0( +1. +0% +#8113000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +1g +05" +07" +b10011 0" +b10101001000100110100001110110111 { +b10101001000100110100001110110111 A" +b11111 -" +0y +0u +0n +0m +0," +b1100 ~ +b1100 )" +b1100 ." +b0 } +b0 (" +b0 +" +0#" +b10101010110001000010010000111011 / +b10101010110001000010010000111011 K +b10101010110001000010010000111011 e +b10101010110001000010010000111011 "" +b1111111010011111011000111011010 0 +b1111111010011111011000111011010 M +b1111111010011111011000111011010 f +b1111111010011111011000111011010 !" +0. +1% +#8113500 +b0 5 +b0 L +b0 W +04 +b1100011001100111000011111111111 2 +b1100011001100111000011111111111 I +b1100011001100111000011111111111 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +0) +b1100011001100111000011111111111 , +b1100011001100111000011111111111 H +b11010 + +b11010 G +1- +1. +0% +#8114000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +1=" +1@" +09" +0:" +0;" +b101 3" +1c +0_ +1<" +1` +b11111 0" +0p +0k +1b +12" +0/" +b10101001000100110100001110110111 / +b10101001000100110100001110110111 K +b10101001000100110100001110110111 e +b10101001000100110100001110110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100011001100111000011111111111 &" +b1100011001100111000011111111111 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8114010 +b1100011001100111000011111111111 ]" +#8114500 +b1011 5 +b1011 L +b1011 W +14 +b10010 7 +b10010 N +b10010 V +16 +b101111010011011010010110010010 2 +b101111010011011010010110010010 I +b101111010011011010010110010010 Z +b10101 3 +b10101 J +b10101 Y +b1011 ' +b1011 D +1) +b10010 & +b10010 C +1( +b101111010011011010010110010010 , +b101111010011011010010110010010 H +b10101 + +b10101 G +1. +0% +#8115000 +1>" +1^ +0i +b10011100100101110101001110 { +b10011100100101110101001110 A" +b1000101101000001110000010001 | +b1000101101000001110000010001 B" +1\ +0[ +0=" +0h +0g +19" +1;" +0r +17" +b1010 3" +0c +b10100 0" +1o +1k +b1101 -" +0u +14" +1v +0b +1a +1n +1m +1/" +1x +1," +b101111010011011010010110010010 &" +b101111010011011010010110010010 ?" +b10101 %" +b10101 *" +b10101 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8115010 +b101111010011011010010110010010 X" +#8115500 +b11111 5 +b11111 L +b11111 W +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8116000 +1] +0k +0o +1u +0s +1[ +0>" +0@" +b100101001110000001001001110010 { +b100101001110000001001001110010 A" +1:" +1r +17" +16" +b11111 3" +0^ +0<" +0` +b0 0" +18" +1l +1p +b11011 -" +04" +0v +1t +b11011101011000000100101111111011 | +b11011101011000000100101111111011 B" +0a +02" +0x +b10011100100101110101001110 / +b10011100100101110101001110 K +b10011100100101110101001110 e +b10011100100101110101001110 "" +b1000101101000001110000010001 0 +b1000101101000001110000010001 M +b1000101101000001110000010001 f +b1000101101000001110000010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +b100 } +b100 (" +b100 +" +0. +1% +#8116500 +b10101 5 +b10101 L +b10101 W +b11110 7 +b11110 N +b11110 V +b1110110100010101110001111001001 2 +b1110110100010101110001111001001 I +b1110110100010101110001111001001 Z +b111 3 +b111 J +b111 Y +11 +b10101 ' +b10101 D +b11110 & +b11110 C +b1110110100010101110001111001001 , +b1110110100010101110001111001001 H +b111 + +b111 G +1- +1. +0% +#8117000 +0] +1j +0u +0t +0\ +0[ +1>" +1@" +1h +09" +1:" +0r +15" +b11000 3" +1^ +1_ +b1010 0" +0p +b101111010011011010010110010010 { +b101111010011011010010110010010 A" +b1 -" +14" +1v +1z +b110100000010000101111010011001 | +b110100000010000101111010011001 B" +1b +1a +12" +0n +1x +b1110110100010101110001111001001 &" +b1110110100010101110001111001001 ?" +b111 %" +b111 *" +b111 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b11110 } +b11110 (" +b11110 +" +b100101001110000001001001110010 / +b100101001110000001001001110010 K +b100101001110000001001001110010 e +b100101001110000001001001110010 "" +b11011101011000000100101111111011 0 +b11011101011000000100101111111011 M +b11011101011000000100101111111011 f +b11011101011000000100101111111011 !" +0. +1% +#8117010 +b1110110100010101110001111001001 J" +#8117500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b1011010111000101111001010010100 2 +b1011010111000101111001010010100 I +b1011010111000101111001010010100 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b1101 & +b1101 C +b1011010111000101111001010010100 , +b1011010111000101111001010010100 H +b1011 + +b1011 G +1. +0% +#8118000 +1=" +1c +1i +1u +0>" +1g +0:" +0;" +1r +0q +17" +b10100 3" +0^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +04" +0v +b11111101001011111011010010110001 | +b11111101001011111011010010110001 B" +0m +0/" +0x +1w +b101111010011011010010110010010 / +b101111010011011010010110010010 K +b101111010011011010010110010010 e +b101111010011011010010110010010 "" +b110100000010000101111010011001 0 +b110100000010000101111010011001 M +b110100000010000101111010011001 f +b110100000010000101111010011001 !" +b1011010111000101111001010010100 &" +b1011010111000101111001010010100 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#8118010 +b1011010111000101111001010010100 N" +#8118500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8119000 +1] +1s +1\ +1[ +0=" +0@" +1q +05" +06" +07" +b11111 3" +0c +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101001011111011010010110001 0 +b11111101001011111011010010110001 M +b11111101001011111011010010110001 f +b11111101001011111011010010110001 !" +0. +1% +#8119500 +b1011 5 +b1011 L +b1011 W +14 +b1011111100001000101101110000110 2 +b1011111100001000101101110000110 I +b1011111100001000101101110000110 Z +b10000 3 +b10000 J +b10000 Y +11 +b1011 ' +b1011 D +1) +b1011111100001000101101110000110 , +b1011111100001000101101110000110 H +b10000 + +b10000 G +1- +1. +0% +#8120000 +0i +b1011010111000101111001010010100 { +b1011010111000101111001010010100 A" +1@" +0h +0g +19" +1;" +b1111 3" +0_ +1<" +1` +b10100 0" +1o +1k +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011111100001000101101110000110 &" +b1011111100001000101101110000110 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#8120010 +b1011111100001000101101110000110 S" +#8120500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8121000 +1i +0@" +1h +1g +09" +0;" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1011010111000101111001010010100 / +b1011010111000101111001010010100 K +b1011010111000101111001010010100 e +b1011010111000101111001010010100 "" +0. +1% +#8121500 +b10011101000011101110011001011100 2 +b10011101000011101110011001011100 I +b10011101000011101110011001011100 Z +b1011 3 +b1011 J +b1011 Y +11 +b10011101000011101110011001011100 , +b10011101000011101110011001011100 H +b1011 + +b1011 G +1- +1. +0% +#8122000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011101000011101110011001011100 &" +b10011101000011101110011001011100 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +0. +1% +#8122010 +b10011101000011101110011001011100 N" +#8122500 +b11001 5 +b11001 L +b11001 W +14 +b1100 7 +b1100 N +b1100 V +16 +b11101100111000110110000111000001 2 +b11101100111000110110000111000001 I +b11101100111000110110000111000001 Z +b10111 3 +b10111 J +b10111 Y +b11001 ' +b11001 D +1) +b1100 & +b1100 C +1( +b11101100111000110110000111000001 , +b11101100111000110110000111000001 H +b10111 + +b10111 G +1. +0% +#8123000 +1>" +0_ +1^ +0i +b11100110101111111101001001111 { +b11100110101111111101001001111 A" +0s +b10101001000100110100001110110111 | +b10101001000100110100001110110111 B" +0=" +0g +19" +1;" +15" +16" +17" +b1000 3" +1<" +1` +0c +b110 0" +1o +0k +18" +1l +b10011 -" +0t +0y +1z +1u +1m +1/" +1," +b11101100111000110110000111000001 &" +b11101100111000110110000111000001 ?" +b10111 %" +b10111 *" +b10111 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#8123010 +b11101100111000110110000111000001 Z" +#8123500 +b10001 5 +b10001 L +b10001 W +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8124000 +1] +1i +b110010101111100000000111100011 { +b110010101111100000000111100011 A" +1y +1\ +1[ +0>" +0@" +09" +0r +0q +15" +06" +b11111 3" +0^ +0<" +0` +b1110 0" +0o +b10100 -" +0z +b10011101000011101110011001011100 | +b10011101000011101110011001011100 B" +0b +0a +02" +1x +1w +b11100110101111111101001001111 / +b11100110101111111101001001111 K +b11100110101111111101001001111 e +b11100110101111111101001001111 "" +b10101001000100110100001110110111 0 +b10101001000100110100001110110111 M +b10101001000100110100001110110111 f +b10101001000100110100001110110111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +b1011 } +b1011 (" +b1011 +" +0. +1% +#8124500 +b10111 5 +b10111 L +b10111 W +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +b0 & +b0 C +0( +1. +0% +#8125000 +0i +1s +0h +1:" +1r +1q +05" +07" +b1000 0" +1j +b11101100111000110110000111000001 { +b11101100111000110110000111000001 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0x +0w +0," +b10111 ~ +b10111 )" +b10111 ." +b0 } +b0 (" +b0 +" +0#" +b110010101111100000000111100011 / +b110010101111100000000111100011 K +b110010101111100000000111100011 e +b110010101111100000000111100011 "" +b10011101000011101110011001011100 0 +b10011101000011101110011001011100 M +b10011101000011101110011001011100 f +b10011101000011101110011001011100 !" +0. +1% +#8125500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b110101100100100011101100001010 2 +b110101100100100011101100001010 I +b110101100100100011101100001010 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b110101100100100011101100001010 , +b110101100100100011101100001010 H +b10101 + +b10101 G +1- +1. +0% +#8126000 +0] +1i +0s +b11011101011000000100101111111011 | +b11011101011000000100101111111011 B" +0[ +1>" +1@" +1h +1g +0:" +0;" +16" +17" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +1t +1u +1a +12" +0n +0m +0/" +1," +b11101100111000110110000111000001 / +b11101100111000110110000111000001 K +b11101100111000110110000111000001 e +b11101100111000110110000111000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110101100100100011101100001010 &" +b110101100100100011101100001010 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#8126010 +b110101100100100011101100001010 X" +#8126500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8127000 +1] +0i +b1110100101000110100101101010010 { +b1110100101000110100101101010010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0>" +0@" +0h +1:" +1;" +06" +07" +b11111 3" +0^ +0<" +0` +b11001 0" +1j +1k +b11111 -" +0t +0u +0a +02" +1n +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011101011000000100101111111011 0 +b11011101011000000100101111111011 M +b11011101011000000100101111111011 f +b11011101011000000100101111111011 !" +0. +1% +#8127500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b1000001110101000100111111110 2 +b1000001110101000100111111110 I +b1000001110101000100111111110 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b1000001110101000100111111110 , +b1000001110101000100111111110 H +b11111 + +b11111 G +1- +1. +0% +#8128000 +0] +1i +b10101111111100101001011001111100 | +b10101111111100101001011001111100 B" +0\ +0[ +1=" +1>" +1@" +1h +0:" +0;" +0r +0q +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +1b +1a +12" +0n +0/" +1x +1w +1," +b1110100101000110100101101010010 / +b1110100101000110100101101010010 K +b1110100101000110100101101010010 e +b1110100101000110100101101010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000001110101000100111111110 &" +b1000001110101000100111111110 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#8128500 +b10111 5 +b10111 L +b10111 W +14 +b11111 7 +b11111 N +b11111 V +b1101110011000001101000101001101 2 +b1101110011000001101000101001101 I +b1101110011000001101000101001101 Z +b10100 3 +b10100 J +b10100 Y +b10111 ' +b10111 D +1) +b11111 & +b11111 C +b1101110011000001101000101001101 , +b1101110011000001101000101001101 H +b10100 + +b10100 G +1. +0% +#8129000 +1^ +0i +b11101100111000110110000111000001 { +b11101100111000110110000111000001 A" +0s +b1000001110101000100111111110 | +b1000001110101000100111111110 B" +1\ +1[ +0=" +1>" +0h +0g +1:" +1;" +15" +16" +b1011 3" +0d +b1000 0" +1j +0k +18" +1l +b0 -" +0t +0y +1z +0b +0a +1n +1m +1/" +b1101110011000001101000101001101 &" +b1101110011000001101000101001101 ?" +b10100 %" +b10100 *" +b10100 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b11111 } +b11111 (" +b11111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101111111100101001011001111100 0 +b10101111111100101001011001111100 M +b10101111111100101001011001111100 f +b10101111111100101001011001111100 !" +0. +1% +#8129010 +b1101110011000001101000101001101 W" +#8129500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b10000110101000000010000100111011 2 +b10000110101000000010000100111011 I +b10000110101000000010000100111011 Z +b1000 3 +b1000 J +b1000 Y +b0 ' +b0 D +0) +b110 & +b110 C +b10000110101000000010000100111011 , +b10000110101000000010000100111011 H +b1000 + +b1000 G +1. +0% +#8130000 +1=" +1_ +1c +1i +1u +1t +1@" +0>" +1h +1g +0:" +0;" +1q +17" +05" +16" +b10111 3" +0<" +0` +0^ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +04" +0v +0z +b1110100101000110100101101010010 | +b1110100101000110100101101010010 B" +0n +0m +0/" +0w +b11101100111000110110000111000001 / +b11101100111000110110000111000001 K +b11101100111000110110000111000001 e +b11101100111000110110000111000001 "" +b1000001110101000100111111110 0 +b1000001110101000100111111110 M +b1000001110101000100111111110 f +b1000001110101000100111111110 !" +b10000110101000000010000100111011 &" +b10000110101000000010000100111011 ?" +b1000 %" +b1000 *" +b1000 1" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +0. +1% +#8130010 +b10000110101000000010000100111011 K" +#8130500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b1111110100000000101100000011000 2 +b1111110100000000101100000011000 I +b1111110100000000101100000011000 Z +b10001 3 +b10001 J +b10001 Y +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b1111110100000000101100000011000 , +b1111110100000000101100000011000 H +b10001 + +b10001 G +1. +0% +#8131000 +0_ +1] +0i +b1100011001100111000011111111111 { +b1100011001100111000011111111111 A" +1s +0[ +0=" +0h +19" +1;" +1r +06" +07" +b1110 3" +1<" +1` +0c +b101 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +1n +1/" +0x +0," +b1111110100000000101100000011000 &" +b1111110100000000101100000011000 ?" +b10001 %" +b10001 *" +b10001 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110100101000110100101101010010 0 +b1110100101000110100101101010010 M +b1110100101000110100101101010010 f +b1110100101000110100101101010010 !" +0. +1% +#8131010 +b1111110100000000101100000011000 T" +#8131500 +b10000 5 +b10000 L +b10000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8132000 +1i +1[ +0@" +1h +09" +b11111 3" +0<" +0` +b1111 0" +0o +b1011111100001000101101110000110 { +b1011111100001000101101110000110 A" +0a +02" +0n +b1100011001100111000011111111111 / +b1100011001100111000011111111111 K +b1100011001100111000011111111111 e +b1100011001100111000011111111111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +0. +1% +#8132500 +b10111 7 +b10111 N +b10111 V +16 +b1100100100001001101001100000010 2 +b1100100100001001101001100000010 I +b1100100100001001101001100000010 Z +b11010 3 +b11010 J +b11010 Y +11 +b10111 & +b10111 C +1( +b1100100100001001101001100000010 , +b1100100100001001101001100000010 H +b11010 + +b11010 G +1- +1. +0% +#8133000 +0] +0s +b11101100111000110110000111000001 | +b11101100111000110110000111000001 B" +0\ +1=" +1@" +0r +0q +16" +17" +b101 3" +1c +0_ +1<" +1` +b1000 -" +1t +0u +14" +1v +1b +12" +1x +1w +1," +b1100100100001001101001100000010 &" +b1100100100001001101001100000010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10111 } +b10111 (" +b10111 +" +1#" +b1011111100001000101101110000110 / +b1011111100001000101101110000110 K +b1011111100001000101101110000110 e +b1011111100001000101101110000110 "" +0. +1% +#8133010 +b1100100100001001101001100000010 ]" +#8133500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b11001100010111001101101100010000 2 +b11001100010111001101101100010000 I +b11001100010111001101101100010000 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b1101 & +b1101 C +b11001100010111001101101100010000 , +b11001100010111001101101100010000 H +b1 + +b1 G +1. +0% +#8134000 +1_ +1] +1u +0t +1\ +0[ +1@" +0=" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +17" +15" +b11110 3" +0<" +0` +0c +b11111 0" +08" +0l +b10010 -" +04" +0v +1z +b11111101001011111011010010110001 | +b11111101001011111011010010110001 B" +0b +1a +0/" +0x +b11101100111000110110000111000001 0 +b11101100111000110110000111000001 M +b11101100111000110110000111000001 f +b11101100111000110110000111000001 !" +b11001100010111001101101100010000 &" +b11001100010111001101101100010000 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#8134010 +b11001100010111001101101100010000 D" +#8134500 +b10111 7 +b10111 N +b10111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8135000 +0u +1t +1[ +0@" +0r +05" +16" +b11111 3" +0_ +b1000 -" +14" +1v +0z +b11101100111000110110000111000001 | +b11101100111000110110000111000001 B" +0a +02" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101001011111011010010110001 0 +b11111101001011111011010010110001 M +b11111101001011111011010010110001 f +b11111101001011111011010010110001 !" +0. +1% +#8135500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8136000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b11101100111000110110000111000001 0 +b11101100111000110110000111000001 M +b11101100111000110110000111000001 f +b11101100111000110110000111000001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8136500 +b10101 7 +b10101 N +b10101 V +16 +b11110100110101001101010000000010 2 +b11110100110101001101010000000010 I +b11110100110101001101010000000010 Z +b11110 3 +b11110 J +b11110 Y +11 +b10101 & +b10101 C +1( +b11110100110101001101010000000010 , +b11110100110101001101010000000010 H +b11110 + +b11110 G +1- +1. +0% +#8137000 +0] +0s +b110101100100100011101100001010 | +b110101100100100011101100001010 B" +0\ +1=" +1>" +1@" +0q +16" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1010 -" +1t +0u +14" +1v +1b +12" +1w +1," +b11110100110101001101010000000010 &" +b11110100110101001101010000000010 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8137010 +b11110100110101001101010000000010 a" +#8137500 +b11010 7 +b11010 N +b11010 V +b1000100000101000101011111100000 2 +b1000100000101000101011111100000 I +b1000100000101000101011111100000 Z +b11 3 +b11 J +b11 Y +b11010 & +b11010 C +b1000100000101000101011111100000 , +b1000100000101000101011111100000 H +b11 + +b11 G +1. +0% +#8138000 +15" +1_ +1] +1y +0[ +1@" +0=" +0>" +0r +1q +06" +b11100 3" +0<" +0` +0d +b101 -" +0t +b1100100100001001101001100000010 | +b1100100100001001101001100000010 B" +1a +1x +0w +b110101100100100011101100001010 0 +b110101100100100011101100001010 M +b110101100100100011101100001010 f +b110101100100100011101100001010 !" +b1000100000101000101011111100000 &" +b1000100000101000101011111100000 ?" +b11 %" +b11 *" +b11 1" +b11010 } +b11010 (" +b11010 +" +0. +1% +#8138010 +b1000100000101000101011111100000 F" +#8138500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b10110100101000111010101111001100 2 +b10110100101000111010101111001100 I +b10110100101000111010101111001100 Z +b11010 3 +b11010 J +b11010 Y +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b10110100101000111010101111001100 , +b10110100101000111010101111001100 H +b11010 + +b11010 G +1. +0% +#8139000 +0_ +0] +0i +b11110100110101001101010000000010 { +b11110100110101001101010000000010 A" +1s +1[ +1=" +0h +19" +1:" +1;" +1r +05" +07" +b101 3" +1<" +1` +1c +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +1n +1/" +0x +0," +b10110100101000111010101111001100 &" +b10110100101000111010101111001100 ?" +b11010 %" +b11010 *" +b11010 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1100100100001001101001100000010 0 +b1100100100001001101001100000010 M +b1100100100001001101001100000010 f +b1100100100001001101001100000010 !" +0. +1% +#8139010 +b10110100101000111010101111001100 ]" +#8139500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1101 & +b1101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8140000 +1] +1i +0s +b11111101001011111011010010110001 | +b11111101001011111011010010110001 B" +1\ +0=" +0@" +1h +09" +0:" +0;" +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +0t +0y +1z +1u +0b +02" +0n +0/" +1w +1," +b11110100110101001101010000000010 / +b11110100110101001101010000000010 K +b11110100110101001101010000000010 e +b11110100110101001101010000000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#8140500 +b1001 7 +b1001 N +b1001 V +b1001 & +b1001 C +1. +0% +#8141000 +1y +b10111010101001100110101111011011 | +b10111010101001100110101111011011 B" +15" +06" +b10110 -" +0z +b1001 } +b1001 (" +b1001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101001011111011010010110001 0 +b11111101001011111011010010110001 M +b11111101001011111011010010110001 f +b11111101001011111011010010110001 !" +0. +1% +#8141500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b10 ' +b10 D +1) +b0 & +b0 C +0( +1. +0% +#8142000 +b10110100000110111000101111001001 { +b10110100000110111000101111001001 A" +1s +0h +1;" +1q +05" +07" +b11101 0" +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0w +0," +b10111010101001100110101111011011 0 +b10111010101001100110101111011011 M +b10111010101001100110101111011011 f +b10111010101001100110101111011011 !" +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8142500 +b0 5 +b0 L +b0 W +04 +b11100010100110101011000011000000 2 +b11100010100110101011000011000000 I +b11100010100110101011000011000000 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b11100010100110101011000011000000 , +b11100010100110101011000011000000 H +b1010 + +b1010 G +1- +1. +0% +#8143000 +0] +0\ +1=" +1@" +1h +0;" +b10101 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0/" +b11100010100110101011000011000000 &" +b11100010100110101011000011000000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110100000110111000101111001001 / +b10110100000110111000101111001001 K +b10110100000110111000101111001001 e +b10110100000110111000101111001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8143010 +b11100010100110101011000011000000 M" +#8143500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8144000 +1] +b10110100000110111000101111001001 | +b10110100000110111000101111001001 B" +1\ +0=" +0@" +0r +17" +b11111 3" +0c +0_ +b11101 -" +1u +0b +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#8144500 +b0 7 +b0 N +b0 V +06 +b1111100001110111101101101001101 2 +b1111100001110111101101101001101 I +b1111100001110111101101101001101 Z +b10 3 +b10 J +b10 Y +11 +b0 & +b0 C +0( +b1111100001110111101101101001101 , +b1111100001110111101101101001101 H +b10 + +b10 G +1- +1. +0% +#8145000 +0\ +1@" +1r +07" +b11101 3" +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0," +b1111100001110111101101101001101 &" +b1111100001110111101101101001101 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10110100000110111000101111001001 0 +b10110100000110111000101111001001 M +b10110100000110111000101111001001 f +b10110100000110111000101111001001 !" +0. +1% +#8145010 +b1111100001110111101101101001101 E" +#8145500 +b10011 5 +b10011 L +b10011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8146000 +b10101111111100101001011001111100 { +b10101111111100101001011001111100 A" +1\ +0@" +0h +0g +1;" +b11111 3" +0_ +b1100 0" +0k +18" +1l +0b +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#8146500 +b0 5 +b0 L +b0 W +04 +b1011000110010001010110111011001 2 +b1011000110010001010110111011001 I +b1011000110010001010110111011001 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b1011000110010001010110111011001 , +b1011000110010001010110111011001 H +b10110 + +b10110 G +1- +1. +0% +#8147000 +0] +0\ +1>" +1@" +1h +1g +0;" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0m +0/" +b1011000110010001010110111011001 &" +b1011000110010001010110111011001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101111111100101001011001111100 / +b10101111111100101001011001111100 K +b10101111111100101001011001111100 e +b10101111111100101001011001111100 "" +0. +1% +#8147010 +b1011000110010001010110111011001 Y" +#8147500 +b10010 5 +b10010 L +b10010 W +14 +b1010 7 +b1010 N +b1010 V +16 +b11110100101010000010010101110111 2 +b11110100101010000010010101110111 I +b11110100101010000010010101110111 Z +b11100 3 +b11100 J +b11100 Y +b10010 ' +b10010 D +1) +b1010 & +b1010 C +1( +b11110100101010000010010101110111 , +b11110100101010000010010101110111 H +b11100 + +b11100 G +1. +0% +#8148000 +0^ +b1000101101000001110000010001 { +b1000101101000001110000010001 A" +0s +b11100010100110101011000011000000 | +b11100010100110101011000011000000 B" +1\ +1=" +0h +1;" +0r +15" +17" +b11 3" +1d +b1101 0" +0k +18" +1l +b10101 -" +1y +1u +0b +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110100101010000010010101110111 &" +b11110100101010000010010101110111 ?" +b11100 %" +b11100 *" +b11100 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#8148010 +b11110100101010000010010101110111 _" +#8148500 +b110 5 +b110 L +b110 W +b11001 7 +b11001 N +b11001 V +b11111100100111101111101010011011 2 +b11111100100111101111101010011011 I +b11111100100111101111101010011011 Z +b11010 3 +b11010 J +b11010 Y +b110 ' +b110 D +b11001 & +b11001 C +b11111100100111101111101010011011 , +b11111100100111101111101010011011 H +b11010 + +b11010 G +1. +0% +#8149000 +1c +1k +0i +0u +0\ +1=" +0>" +1;" +b1110100101000110100101101010010 { +b1110100101000110100101101010010 A" +1:" +1r +0q +b101 3" +0d +b11001 0" +08" +0l +1j +b110 -" +14" +1v +b11100110101111111101001001111 | +b11100110101111111101001001111 B" +1b +0x +1w +b11111100100111101111101010011011 &" +b11111100100111101111101010011011 ?" +b11010 %" +b11010 *" +b11010 1" +b110 ~ +b110 )" +b110 ." +b11001 } +b11001 (" +b11001 +" +b1000101101000001110000010001 / +b1000101101000001110000010001 K +b1000101101000001110000010001 e +b1000101101000001110000010001 "" +b11100010100110101011000011000000 0 +b11100010100110101011000011000000 M +b11100010100110101011000011000000 f +b11100010100110101011000011000000 !" +0. +1% +#8149010 +b11111100100111101111101010011011 ]" +#8149500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8150000 +1] +1i +1s +1\ +0=" +0@" +1h +0:" +0;" +1q +05" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0/" +0w +0," +b1110100101000110100101101010010 / +b1110100101000110100101101010010 K +b1110100101000110100101101010010 e +b1110100101000110100101101010010 "" +b11100110101111111101001001111 0 +b11100110101111111101001001111 M +b11100110101111111101001001111 f +b11100110101111111101001001111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8150500 +b101110010100100011110000110001 2 +b101110010100100011110000110001 I +b101110010100100011110000110001 Z +b10101 3 +b10101 J +b10101 Y +11 +b101110010100100011110000110001 , +b101110010100100011110000110001 H +b10101 + +b10101 G +1- +1. +0% +#8151000 +0] +0[ +1>" +1@" +b1010 3" +1^ +0_ +1<" +1` +1a +12" +b101110010100100011110000110001 &" +b101110010100100011110000110001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8151010 +b101110010100100011110000110001 X" +#8151500 +b10000 5 +b10000 L +b10000 W +14 +b100 7 +b100 N +b100 V +16 +b1010011111010100010101010011111 2 +b1010011111010100010101010011111 I +b1010011111010100010101010011111 Z +b10111 3 +b10111 J +b10111 Y +b10000 ' +b10000 D +1) +b100 & +b100 C +1( +b1010011111010100010101010011111 , +b1010011111010100010101010011111 H +b10111 + +b10111 G +1. +0% +#8152000 +b1011111100001000101101110000110 { +b1011111100001000101101110000110 A" +0s +b11011101011000000100101111111011 | +b11011101011000000100101111111011 B" +0\ +1;" +16" +17" +b1000 3" +b1111 0" +0k +18" +1l +b11011 -" +1t +1u +1b +1/" +1," +b1010011111010100010101010011111 &" +b1010011111010100010101010011111 ?" +b10111 %" +b10111 *" +b10111 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#8152010 +b1010011111010100010101010011111 Z" +#8152500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8153000 +1] +0u +1\ +1[ +0>" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +b11111 3" +0^ +0<" +0` +b11111 0" +08" +0l +b1001 -" +14" +1v +b1011000110010001010110111011001 | +b1011000110010001010110111011001 B" +0b +0a +02" +0/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +b1011111100001000101101110000110 / +b1011111100001000101101110000110 K +b1011111100001000101101110000110 e +b1011111100001000101101110000110 "" +b11011101011000000100101111111011 0 +b11011101011000000100101111111011 M +b11011101011000000100101111111011 f +b11011101011000000100101111111011 !" +0. +1% +#8153500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +1. +0% +#8154000 +0i +b11011001010000011010110111100 { +b11011001010000011010110111100 A" +1s +0h +19" +1:" +1;" +1r +06" +07" +b10001 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011000110010001010110111011001 0 +b1011000110010001010110111011001 M +b1011000110010001010110111011001 f +b1011000110010001010110111011001 !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8154500 +b10001 5 +b10001 L +b10001 W +b1111 7 +b1111 N +b1111 V +16 +b11010010111000010110110111111011 2 +b11010010111000010110110111111011 I +b11010010111000010110110111111011 Z +b1010 3 +b1010 J +b1010 Y +11 +b10001 ' +b10001 D +b1111 & +b1111 C +1( +b11010010111000010110110111111011 , +b11010010111000010110110111111011 H +b1010 + +b1010 G +1- +1. +0% +#8155000 +0] +0k +1i +0s +b10101010110001000010010000111011 | +b10101010110001000010010000111011 B" +0\ +1=" +1@" +1h +0g +09" +0:" +0r +0q +15" +16" +17" +b10101 3" +1c +1_ +b1110 0" +18" +1l +0p +b1111110100000000101100000011000 { +b1111110100000000101100000011000 A" +b10000 -" +0t +0y +1z +1u +1b +12" +0n +1m +1x +1w +1," +b11010010111000010110110111111011 &" +b11010010111000010110110111111011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +b1111 } +b1111 (" +b1111 +" +1#" +b11011001010000011010110111100 / +b11011001010000011010110111100 K +b11011001010000011010110111100 e +b11011001010000011010110111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8155010 +b11010010111000010110110111111011 M" +#8155500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8156000 +1] +1s +1\ +0=" +0@" +1g +0;" +1r +1q +05" +06" +07" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0m +0/" +0x +0w +0," +b1111110100000000101100000011000 / +b1111110100000000101100000011000 K +b1111110100000000101100000011000 e +b1111110100000000101100000011000 "" +b10101010110001000010010000111011 0 +b10101010110001000010010000111011 M +b10101010110001000010010000111011 f +b10101010110001000010010000111011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8156500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#8157000 +0s +b10111010101001100110101111011011 | +b10111010101001100110101111011011 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8157500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8158000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10111010101001100110101111011011 0 +b10111010101001100110101111011011 M +b10111010101001100110101111011011 f +b10111010101001100110101111011011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8158500 +b1100000010011011100101100001011 2 +b1100000010011011100101100001011 I +b1100000010011011100101100001011 Z +b101 3 +b101 J +b101 Y +11 +b1100000010011011100101100001011 , +b1100000010011011100101100001011 H +b101 + +b101 G +1- +1. +0% +#8159000 +0] +0[ +1>" +1@" +b11010 3" +1^ +1_ +1a +12" +b1100000010011011100101100001011 &" +b1100000010011011100101100001011 ?" +b101 %" +b101 *" +b101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8159010 +b1100000010011011100101100001011 H" +#8159500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8160000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8160500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#8161000 +0i +b11010010111000010110110111111011 { +b11010010111000010110110111111011 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#8161500 +b110 5 +b110 L +b110 W +b11111 7 +b11111 N +b11111 V +16 +b110 ' +b110 D +b11111 & +b11111 C +1( +1. +0% +#8162000 +1:" +1j +b1110100101000110100101101010010 { +b1110100101000110100101101010010 A" +0s +b1000001110101000100111111110 | +b1000001110101000100111111110 B" +09" +0r +0q +15" +16" +17" +b11001 0" +0o +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b11010010111000010110110111111011 / +b11010010111000010110110111111011 K +b11010010111000010110110111111011 e +b11010010111000010110110111111011 "" +b110 ~ +b110 )" +b110 ." +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#8162500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 ' +b0 D +0) +b11100 & +b11100 C +1. +0% +#8163000 +1i +1h +0:" +0;" +1r +1q +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +b11110100101010000010010101110111 | +b11110100101010000010010101110111 B" +0n +0/" +0x +0w +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +b1110100101000110100101101010010 / +b1110100101000110100101101010010 K +b1110100101000110100101101010010 e +b1110100101000110100101101010010 "" +b1000001110101000100111111110 0 +b1000001110101000100111111110 M +b1000001110101000100111111110 f +b1000001110101000100111111110 !" +0. +1% +#8163500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8164000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110100101010000010010101110111 0 +b11110100101010000010010101110111 M +b11110100101010000010010101110111 f +b11110100101010000010010101110111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8164500 +b11111 5 +b11111 L +b11111 W +14 +b11001 7 +b11001 N +b11001 V +16 +b11111 ' +b11111 D +1) +b11001 & +b11001 C +1( +1. +0% +#8165000 +0i +b1000001110101000100111111110 { +b1000001110101000100111111110 A" +0s +b11100110101111111101001001111 | +b11100110101111111101001001111 B" +0h +0g +19" +1:" +1;" +0q +15" +17" +b0 0" +0j +0o +1p +0k +18" +1l +b110 -" +1y +0u +14" +1v +1n +1m +1/" +1w +1," +b11111 ~ +b11111 )" +b11111 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8165500 +b11011 5 +b11011 L +b11011 W +b1110 7 +b1110 N +b1110 V +b10000000001110010111100001010010 2 +b10000000001110010111100001010010 I +b10000000001110010111100001010010 Z +b1111 3 +b1111 J +b1111 Y +11 +b11011 ' +b11011 D +b1110 & +b1110 C +b10000000001110010111100001010010 , +b10000000001110010111100001010010 H +b1111 + +b1111 G +1- +1. +0% +#8166000 +0] +1o +b11000001000100001111011011011010 { +b11000001000100001111011011011010 A" +1u +0y +0\ +0[ +1=" +1>" +1@" +19" +0:" +0r +1q +17" +16" +b10000 3" +0^ +0c +1d +1_ +b100 0" +0p +b10001 -" +04" +0v +1z +b11011001010000011010110111100 | +b11011001010000011010110111100 B" +1b +1a +12" +1x +0w +b1000001110101000100111111110 / +b1000001110101000100111111110 K +b1000001110101000100111111110 e +b1000001110101000100111111110 "" +b11100110101111111101001001111 0 +b11100110101111111101001001111 M +b11100110101111111101001001111 f +b11100110101111111101001001111 !" +b10000000001110010111100001010010 &" +b10000000001110010111100001010010 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +b1110 } +b1110 (" +b1110 +" +0. +1% +#8166010 +b10000000001110010111100001010010 R" +#8166500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10110011001000011011101111100011 2 +b10110011001000011011101111100011 I +b10110011001000011011101111100011 Z +b10001 3 +b10001 J +b10001 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10110011001000011011101111100011 , +b10110011001000011011101111100011 H +b10001 + +b10001 G +1. +0% +#8167000 +0_ +1] +1i +1s +1\ +0=" +0>" +1h +1g +09" +0;" +1r +05" +06" +07" +b1110 3" +1<" +1` +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0n +0m +0/" +0x +0," +b10110011001000011011101111100011 &" +b10110011001000011011101111100011 ?" +b10001 %" +b10001 *" +b10001 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000001000100001111011011011010 / +b11000001000100001111011011011010 K +b11000001000100001111011011011010 e +b11000001000100001111011011011010 "" +b11011001010000011010110111100 0 +b11011001010000011010110111100 M +b11011001010000011010110111100 f +b11011001010000011010110111100 !" +0. +1% +#8167010 +b10110011001000011011101111100011 T" +#8167500 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8168000 +0s +b10000000001110010111100001010010 | +b10000000001110010111100001010010 B" +1[ +0@" +0r +0q +15" +16" +17" +b11111 3" +0<" +0` +b10000 -" +0t +0y +1z +1u +0a +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#8168500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +1. +0% +#8169000 +0i +b11111100100111101111101010011011 { +b11111100100111101111101010011011 A" +1s +0h +19" +1;" +1r +1q +05" +06" +07" +b101 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0w +0," +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10000000001110010111100001010010 0 +b10000000001110010111100001010010 M +b10000000001110010111100001010010 f +b10000000001110010111100001010010 !" +0. +1% +#8169500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +1. +0% +#8170000 +1i +0s +b10000110101000000010000100111011 | +b10000110101000000010000100111011 B" +1h +09" +0;" +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +1u +0n +0/" +1," +b11111100100111101111101010011011 / +b11111100100111101111101010011011 K +b11111100100111101111101010011011 e +b11111100100111101111101010011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#8170500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8171000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +07" +b11111 -" +0y +0u +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000110101000000010000100111011 0 +b10000110101000000010000100111011 M +b10000110101000000010000100111011 f +b10000110101000000010000100111011 !" +0. +1% +#8171500 +b1100 5 +b1100 L +b1100 W +14 +b11100 7 +b11100 N +b11100 V +16 +b1100 ' +b1100 D +1) +b11100 & +b11100 C +1( +1. +0% +#8172000 +0i +b10101001000100110100001110110111 { +b10101001000100110100001110110111 A" +0s +b11110100101010000010010101110111 | +b11110100101010000010010101110111 B" +19" +1:" +1;" +15" +16" +17" +b10011 0" +0j +0o +1p +1k +b11 -" +0t +0y +1z +0u +14" +1v +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#8172500 +b10100 5 +b10100 L +b10100 W +b11011 7 +b11011 N +b11011 V +b1101101010101101000101001110000 2 +b1101101010101101000101001110000 I +b1101101010101101000101001110000 Z +b1101 3 +b1101 J +b1101 Y +11 +b10100 ' +b10100 D +b11011 & +b11011 C +b1101101010101101000101001110000 , +b1101101010101101000101001110000 H +b1101 + +b1101 G +1- +1. +0% +#8173000 +0] +0k +1j +1y +0[ +1=" +1>" +1@" +b1101110011000001101000101001101 { +b1101110011000001101000101001101 A" +09" +1:" +0r +0q +15" +06" +b10010 3" +0^ +0c +1d +1_ +b1011 0" +18" +1l +0p +b100 -" +0z +b11000001000100001111011011011010 | +b11000001000100001111011011011010 B" +1a +12" +1x +1w +b1101101010101101000101001110000 &" +b1101101010101101000101001110000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b11011 } +b11011 (" +b11011 +" +b10101001000100110100001110110111 / +b10101001000100110100001110110111 K +b10101001000100110100001110110111 e +b10101001000100110100001110110111 "" +b11110100101010000010010101110111 0 +b11110100101010000010010101110111 M +b11110100101010000010010101110111 f +b11110100101010000010010101110111 !" +0. +1% +#8173010 +b1101101010101101000101001110000 P" +#8173500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8174000 +1] +1i +1s +1[ +0=" +0>" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +05" +07" +b11111 3" +0d +0_ +b11111 0" +0j +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0/" +0x +0w +0," +b1101110011000001101000101001101 / +b1101110011000001101000101001101 K +b1101110011000001101000101001101 e +b1101110011000001101000101001101 "" +b11000001000100001111011011011010 0 +b11000001000100001111011011011010 M +b11000001000100001111011011011010 f +b11000001000100001111011011011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8174500 +b10100 5 +b10100 L +b10100 W +14 +b1101011011101011100111010111001 2 +b1101011011101011100111010111001 I +b1101011011101011100111010111001 Z +b1011 3 +b1011 J +b1011 Y +11 +b10100 ' +b10100 D +1) +b1101011011101011100111010111001 , +b1101011011101011100111010111001 H +b1011 + +b1011 G +1- +1. +0% +#8175000 +0] +0i +b1101110011000001101000101001101 { +b1101110011000001101000101001101 A" +0\ +0[ +1=" +1@" +1:" +1;" +b10100 3" +1c +1_ +b1011 0" +1j +0k +18" +1l +1b +1a +12" +1/" +b1101011011101011100111010111001 &" +b1101011011101011100111010111001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8175010 +b1101011011101011100111010111001 N" +#8175500 +b1011 5 +b1011 L +b1011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8176000 +19" +1] +1k +1o +1\ +1[ +0=" +0@" +0h +0g +1;" +0:" +b11111 3" +0c +0_ +b10100 0" +08" +0l +0j +b1101011011101011100111010111001 { +b1101011011101011100111010111001 A" +0b +0a +02" +1n +1m +b1101110011000001101000101001101 / +b1101110011000001101000101001101 K +b1101110011000001101000101001101 e +b1101110011000001101000101001101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#8176500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8177000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1101011011101011100111010111001 / +b1101011011101011100111010111001 K +b1101011011101011100111010111001 e +b1101011011101011100111010111001 "" +0. +1% +#8177500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#8178000 +0i +b10000110101000000010000100111011 { +b10000110101000000010000100111011 A" +19" +1;" +b10111 0" +1o +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#8178500 +b11011 5 +b11011 L +b11011 W +b10000 7 +b10000 N +b10000 V +16 +b11011 ' +b11011 D +b10000 & +b10000 C +1( +1. +0% +#8179000 +0k +b1011111100001000101101110000110 | +b1011111100001000101101110000110 B" +0h +0g +17" +b100 0" +18" +1l +b11000001000100001111011011011010 { +b11000001000100001111011011011010 A" +b1111 -" +0u +14" +1v +1n +1m +1," +b11011 ~ +b11011 )" +b11011 ." +b10000 } +b10000 (" +b10000 +" +1#" +b10000110101000000010000100111011 / +b10000110101000000010000100111011 K +b10000110101000000010000100111011 e +b10000110101000000010000100111011 "" +0. +1% +#8179500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8180000 +1i +1h +1g +09" +0;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +04" +0v +0n +0m +0/" +0," +b11000001000100001111011011011010 / +b11000001000100001111011011011010 K +b11000001000100001111011011011010 e +b11000001000100001111011011011010 "" +b1011111100001000101101110000110 0 +b1011111100001000101101110000110 M +b1011111100001000101101110000110 f +b1011111100001000101101110000110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8180500 +b10001 7 +b10001 N +b10001 V +16 +b11101011000100111000101101101100 2 +b11101011000100111000101101101100 I +b11101011000100111000101101101100 Z +b100 3 +b100 J +b100 Y +11 +b10001 & +b10001 C +1( +b11101011000100111000101101101100 , +b11101011000100111000101101101100 H +b100 + +b100 G +1- +1. +0% +#8181000 +0] +b10110011001000011011101111100011 | +b10110011001000011011101111100011 B" +1>" +1@" +0q +17" +b11011 3" +1^ +1_ +b1110 -" +0u +14" +1v +12" +1w +1," +b11101011000100111000101101101100 &" +b11101011000100111000101101101100 ?" +b100 %" +b100 *" +b100 1" +1'" +b10001 } +b10001 (" +b10001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8181010 +b11101011000100111000101101101100 G" +#8181500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8182000 +1] +0i +b11110100101010000010010101110111 { +b11110100101010000010010101110111 A" +0>" +0@" +19" +1:" +1;" +1q +07" +b11111 3" +0^ +0_ +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +1/" +0w +0," +b10110011001000011011101111100011 0 +b10110011001000011011101111100011 M +b10110011001000011011101111100011 f +b10110011001000011011101111100011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8182500 +b10100 5 +b10100 L +b10100 W +b1110 7 +b1110 N +b1110 V +16 +b11111111011110111011111010100110 2 +b11111111011110111011111010100110 I +b11111111011110111011111010100110 Z +b10011 3 +b10011 J +b10011 Y +11 +b10100 ' +b10100 D +b1110 & +b1110 C +1( +b11111111011110111011111010100110 , +b11111111011110111011111010100110 H +b10011 + +b10011 G +1- +1. +0% +#8183000 +1j +b1101110011000001101000101001101 { +b1101110011000001101000101001101 A" +0s +b11011001010000011010110111100 | +b11011001010000011010110111100 B" +0\ +0[ +1@" +09" +1:" +0r +15" +16" +17" +b1100 3" +0_ +1<" +1` +b1011 0" +0p +b10001 -" +0t +0y +1z +1u +1b +1a +12" +1x +1," +b11111111011110111011111010100110 &" +b11111111011110111011111010100110 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b1110 } +b1110 (" +b1110 +" +1#" +b11110100101010000010010101110111 / +b11110100101010000010010101110111 K +b11110100101010000010010101110111 e +b11110100101010000010010101110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8183010 +b11111111011110111011111010100110 V" +#8183500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b100010100000110000001000010000 2 +b100010100000110000001000010000 I +b100010100000110000001000010000 Z +b10100 3 +b10100 J +b10100 Y +b0 ' +b0 D +0) +b100 & +b100 C +b100010100000110000001000010000 , +b100010100000110000001000010000 H +b10100 + +b10100 G +1. +0% +#8184000 +0] +1i +1t +1\ +1[ +1>" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +05" +16" +b1011 3" +1^ +b11111 0" +0j +08" +0l +b11011 -" +0z +b11101011000100111000101101101100 | +b11101011000100111000101101101100 B" +0b +0a +0/" +0x +b1101110011000001101000101001101 / +b1101110011000001101000101001101 K +b1101110011000001101000101001101 e +b1101110011000001101000101001101 "" +b11011001010000011010110111100 0 +b11011001010000011010110111100 M +b11011001010000011010110111100 f +b11011001010000011010110111100 !" +b100010100000110000001000010000 &" +b100010100000110000001000010000 ?" +b10100 %" +b10100 *" +b10100 1" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +0. +1% +#8184010 +b100010100000110000001000010000 W" +#8184500 +b10100 5 +b10100 L +b10100 W +14 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8185000 +15" +1] +0i +b100010100000110000001000010000 { +b100010100000110000001000010000 A" +0u +1y +0>" +0@" +1:" +1;" +0r +0q +06" +b11111 3" +0^ +0<" +0` +b1011 0" +1j +0k +18" +1l +b100 -" +14" +1v +0t +b11000001000100001111011011011010 | +b11000001000100001111011011011010 B" +02" +1/" +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11011 } +b11011 (" +b11011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011000100111000101101101100 0 +b11101011000100111000101101101100 M +b11101011000100111000101101101100 f +b11101011000100111000101101101100 !" +0. +1% +#8185500 +b1 5 +b1 L +b1 W +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +b0 & +b0 C +0( +1. +0% +#8186000 +1k +1i +1s +0g +1;" +0:" +1r +1q +05" +07" +b11110 0" +08" +0l +0j +b11001100010111001101101100010000 { +b11001100010111001101101100010000 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +0x +0w +0," +b100010100000110000001000010000 / +b100010100000110000001000010000 K +b100010100000110000001000010000 e +b100010100000110000001000010000 "" +b11000001000100001111011011011010 0 +b11000001000100001111011011011010 M +b11000001000100001111011011011010 f +b11000001000100001111011011011010 !" +b1 ~ +b1 )" +b1 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8186500 +b1000 5 +b1000 L +b1000 W +b10 7 +b10 N +b10 V +16 +b1000 ' +b1000 D +b10 & +b10 C +1( +1. +0% +#8187000 +0i +b1111100001110111101101101001101 | +b1111100001110111101101101001101 B" +1g +19" +0r +17" +b10111 0" +1o +b10000110101000000010000100111011 { +b10000110101000000010000100111011 A" +b11101 -" +1u +0m +1x +1," +b1000 ~ +b1000 )" +b1000 ." +b10 } +b10 (" +b10 +" +1#" +b11001100010111001101101100010000 / +b11001100010111001101101100010000 K +b11001100010111001101101100010000 e +b11001100010111001101101100010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8187500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +b0 & +b0 C +0( +1. +0% +#8188000 +0g +1r +07" +b10110 0" +b10111010101001100110101111011011 { +b10111010101001100110101111011011 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +0x +0," +b10000110101000000010000100111011 / +b10000110101000000010000100111011 K +b10000110101000000010000100111011 e +b10000110101000000010000100111011 "" +b1111100001110111101101101001101 0 +b1111100001110111101101101001101 M +b1111100001110111101101101001101 f +b1111100001110111101101101001101 !" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8188500 +b0 5 +b0 L +b0 W +04 +b10011111111101011111000000000010 2 +b10011111111101011111000000000010 I +b10011111111101011111000000000010 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b10011111111101011111000000000010 , +b10011111111101011111000000000010 H +b1001 + +b1001 G +1- +1. +0% +#8189000 +0] +1i +0[ +1=" +1@" +1g +09" +0;" +b10110 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0m +0/" +b10011111111101011111000000000010 &" +b10011111111101011111000000000010 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111010101001100110101111011011 / +b10111010101001100110101111011011 K +b10111010101001100110101111011011 e +b10111010101001100110101111011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8189010 +b10011111111101011111000000000010 L" +#8189500 +b1 5 +b1 L +b1 W +14 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8190000 +1] +b11001100010111001101101100010000 { +b11001100010111001101101100010000 A" +b1000100000101000101011111100000 | +b1000100000101000101011111100000 B" +1[ +0=" +0@" +0g +1;" +0r +0q +17" +b11111 3" +0c +0_ +b11110 0" +1k +b11100 -" +1u +0a +02" +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#8190500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1000101100101011100011100111111 2 +b1000101100101011100011100111111 I +b1000101100101011100011100111111 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1000101100101011100011100111111 , +b1000101100101011100011100111111 H +b1001 + +b1001 G +1- +1. +0% +#8191000 +0] +0[ +1=" +1@" +1g +0;" +1r +1q +07" +b10110 3" +1c +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0m +0/" +0x +0w +0," +b1000101100101011100011100111111 &" +b1000101100101011100011100111111 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001100010111001101101100010000 / +b11001100010111001101101100010000 K +b11001100010111001101101100010000 e +b11001100010111001101101100010000 "" +b1000100000101000101011111100000 0 +b1000100000101000101011111100000 M +b1000100000101000101011111100000 f +b1000100000101000101011111100000 !" +0. +1% +#8191010 +b1000101100101011100011100111111 L" +#8191500 +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 & +b10110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8192000 +1] +0s +b1011000110010001010110111011001 | +b1011000110010001010110111011001 B" +1[ +0=" +0@" +0r +16" +17" +b11111 3" +0c +0_ +b1001 -" +1t +0u +14" +1v +0a +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#8192500 +b10101000011100100111101011010010 2 +b10101000011100100111101011010010 I +b10101000011100100111101011010010 Z +b10010 3 +b10010 J +b10010 Y +11 +b10101000011100100111101011010010 , +b10101000011100100111101011010010 H +b10010 + +b10010 G +1- +1. +0% +#8193000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b10101000011100100111101011010010 &" +b10101000011100100111101011010010 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1011000110010001010110111011001 0 +b1011000110010001010110111011001 M +b1011000110010001010110111011001 f +b1011000110010001010110111011001 !" +0. +1% +#8193010 +b10101000011100100111101011010010 U" +#8193500 +b0 7 +b0 N +b0 V +06 +b11111001001110010100100010011110 2 +b11111001001110010100100010011110 I +b11111001001110010100100010011110 Z +b1111 3 +b1111 J +b1111 Y +b0 & +b0 C +0( +b11111001001110010100100010011110 , +b11111001001110010100100010011110 H +b1111 + +b1111 G +1. +0% +#8194000 +1_ +0] +1s +0[ +1@" +1=" +1>" +1r +06" +07" +b10000 3" +0<" +0` +0^ +0c +1d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0x +0," +b11111001001110010100100010011110 &" +b11111001001110010100100010011110 ?" +b1111 %" +b1111 *" +b1111 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8194010 +b11111001001110010100100010011110 R" +#8194500 +b100010011011101101111011110100 2 +b100010011011101101111011110100 I +b100010011011101101111011110100 Z +b11100 3 +b11100 J +b11100 Y +b100010011011101101111011110100 , +b100010011011101101111011110100 H +b11100 + +b11100 G +1. +0% +#8195000 +0_ +1\ +1[ +b11 3" +1<" +1` +0b +0a +b100010011011101101111011110100 &" +b100010011011101101111011110100 ?" +b11100 %" +b11100 *" +b11100 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8195010 +b100010011011101101111011110100 _" +#8195500 +14 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8196000 +1] +b1111111110110101010000111001111 { +b1111111110110101010000111001111 A" +0s +b11011001010000011010110111100 | +b11011001010000011010110111100 B" +0=" +0>" +0@" +1;" +0r +15" +16" +17" +b11111 3" +0d +0<" +0` +1k +b10001 -" +0t +0y +1z +1u +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8196500 +b1110 5 +b1110 L +b1110 W +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +b0 & +b0 C +0( +1. +0% +#8197000 +0i +1s +0h +19" +1:" +1r +05" +06" +07" +b10001 0" +0j +0o +1p +b11011001010000011010110111100 { +b11011001010000011010110111100 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0x +0," +b1110 ~ +b1110 )" +b1110 ." +b0 } +b0 (" +b0 +" +0#" +b1111111110110101010000111001111 / +b1111111110110101010000111001111 K +b1111111110110101010000111001111 e +b1111111110110101010000111001111 "" +b11011001010000011010110111100 0 +b11011001010000011010110111100 M +b11011001010000011010110111100 f +b11011001010000011010110111100 !" +0. +1% +#8197500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +1. +0% +#8198000 +1i +b10110011001000011011101111100011 | +b10110011001000011011101111100011 B" +1h +09" +0:" +0;" +0q +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1110 -" +0u +14" +1v +0n +0/" +1w +1," +b11011001010000011010110111100 / +b11011001010000011010110111100 K +b11011001010000011010110111100 e +b11011001010000011010110111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#8198500 +b11100 7 +b11100 N +b11100 V +b11100 & +b11100 C +1. +0% +#8199000 +0s +1q +15" +16" +b11 -" +0t +0y +1z +b100010011011101101111011110100 | +b100010011011101101111011110100 B" +0w +b11100 } +b11100 (" +b11100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110011001000011011101111100011 0 +b10110011001000011011101111100011 M +b10110011001000011011101111100011 f +b10110011001000011011101111100011 !" +0. +1% +#8199500 +b1110 7 +b1110 N +b1110 V +b1110 & +b1110 C +1. +0% +#8200000 +1u +0r +17" +b10001 -" +04" +0v +b11011001010000011010110111100 | +b11011001010000011010110111100 B" +1x +b100010011011101101111011110100 0 +b100010011011101101111011110100 M +b100010011011101101111011110100 f +b100010011011101101111011110100 !" +b1110 } +b1110 (" +b1110 +" +0. +1% +#8200500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8201000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b11011001010000011010110111100 0 +b11011001010000011010110111100 M +b11011001010000011010110111100 f +b11011001010000011010110111100 !" +0. +1% +#8201500 +b1111 7 +b1111 N +b1111 V +16 +b1111 & +b1111 C +1( +1. +0% +#8202000 +0s +b11111001001110010100100010011110 | +b11111001001110010100100010011110 B" +0r +0q +15" +16" +17" +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#8202500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8203000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11111001001110010100100010011110 0 +b11111001001110010100100010011110 M +b11111001001110010100100010011110 f +b11111001001110010100100010011110 !" +0. +1% +#8203500 +b1 7 +b1 N +b1 V +16 +b111110011010111110011001010 2 +b111110011010111110011001010 I +b111110011010111110011001010 Z +b1101 3 +b1101 J +b1101 Y +11 +b1 & +b1 C +1( +b111110011010111110011001010 , +b111110011010111110011001010 H +b1101 + +b1101 G +1- +1. +0% +#8204000 +0] +b11001100010111001101101100010000 | +b11001100010111001101101100010000 B" +0[ +1=" +1>" +1@" +0q +17" +b10010 3" +0^ +0c +1d +1_ +b11110 -" +1u +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111110011010111110011001010 &" +b111110011010111110011001010 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#8204010 +b111110011010111110011001010 P" +#8204500 +b11110 7 +b11110 N +b11110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8205000 +1] +0u +0s +1[ +0=" +0>" +0@" +0r +1q +15" +16" +b11111 3" +0d +0_ +b1 -" +14" +1v +0t +0y +1z +b11110100110101001101010000000010 | +b11110100110101001101010000000010 B" +0a +02" +1x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +b11001100010111001101101100010000 0 +b11001100010111001101101100010000 M +b11001100010111001101101100010000 f +b11001100010111001101101100010000 !" +0. +1% +#8205500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b10011110000110110001100111000010 2 +b10011110000110110001100111000010 I +b10011110000110110001100111000010 Z +b101 3 +b101 J +b101 Y +11 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b10011110000110110001100111000010 , +b10011110000110110001100111000010 H +b101 + +b101 G +1- +1. +0% +#8206000 +0] +0i +b1000001110101000100111111110 { +b1000001110101000100111111110 A" +1s +0[ +1>" +1@" +0h +0g +19" +1:" +1;" +1r +05" +06" +07" +b11010 3" +1^ +1_ +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1n +1m +1/" +0x +0," +b11110100110101001101010000000010 0 +b11110100110101001101010000000010 M +b11110100110101001101010000000010 f +b11110100110101001101010000000010 !" +b10011110000110110001100111000010 &" +b10011110000110110001100111000010 ?" +b101 %" +b101 *" +b101 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8206010 +b10011110000110110001100111000010 H" +#8206500 +b10111 5 +b10111 L +b10111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8207000 +1] +1j +b1010011111010100010101010011111 { +b1010011111010100010101010011111 A" +1[ +0>" +0@" +09" +1:" +b11111 3" +0^ +0_ +b1000 0" +0p +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +b1000001110101000100111111110 / +b1000001110101000100111111110 K +b1000001110101000100111111110 e +b1000001110101000100111111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8207500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#8208000 +1k +1i +1h +1g +1;" +0:" +b11111 0" +08" +0l +0j +b1111111110110101010000111001111 { +b1111111110110101010000111001111 A" +0n +0m +b1010011111010100010101010011111 / +b1010011111010100010101010011111 K +b1010011111010100010101010011111 e +b1010011111010100010101010011111 "" +b0 ~ +b0 )" +b0 ." +0. +1% +#8208500 +04 +0) +1. +0% +#8209000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +0$" +b1111111110110101010000111001111 / +b1111111110110101010000111001111 K +b1111111110110101010000111001111 e +b1111111110110101010000111001111 "" +0. +1% +#8209500 +1. +0% +#8210000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8210500 +1. +0% +#8211000 +0. +1% +#8211500 +b11101 5 +b11101 L +b11101 W +14 +b101 7 +b101 N +b101 V +16 +b11101 ' +b11101 D +1) +b101 & +b101 C +1( +1. +0% +#8212000 +0i +b11100110111111000001101000001000 { +b11100110111111000001101000001000 A" +0s +b10011110000110110001100111000010 | +b10011110000110110001100111000010 B" +0g +19" +1:" +1;" +0q +16" +17" +b10 0" +0j +0o +1p +0k +18" +1l +b11010 -" +1t +1u +1m +1/" +1w +1," +b11101 ~ +b11101 )" +b11101 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#8212500 +b101 5 +b101 L +b101 W +b1010 7 +b1010 N +b1010 V +b1010110111110100101101010101001 2 +b1010110111110100101101010101001 I +b1010110111110100101101010101001 Z +b10100 3 +b10100 J +b10100 Y +11 +b101 ' +b101 D +b1010 & +b1010 C +b1010110111110100101101010101001 , +b1010110111110100101101010101001 H +b10100 + +b10100 G +1- +1. +0% +#8213000 +15" +0] +1k +1j +1y +1>" +1@" +1;" +b10011110000110110001100111000010 { +b10011110000110110001100111000010 A" +09" +1:" +0r +1q +06" +b1011 3" +1^ +0_ +1<" +1` +b11010 0" +08" +0l +0p +b10101 -" +0t +b11010010111000010110110111111011 | +b11010010111000010110110111111011 B" +12" +1x +0w +b1010110111110100101101010101001 &" +b1010110111110100101101010101001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b101 ~ +b101 )" +b101 ." +b1010 } +b1010 (" +b1010 +" +b11100110111111000001101000001000 / +b11100110111111000001101000001000 K +b11100110111111000001101000001000 e +b11100110111111000001101000001000 "" +b10011110000110110001100111000010 0 +b10011110000110110001100111000010 M +b10011110000110110001100111000010 f +b10011110000110110001100111000010 !" +0. +1% +#8213010 +b1010110111110100101101010101001 W" +#8213500 +b11011 5 +b11011 L +b11011 W +b111 7 +b111 N +b111 V +b10000100100001011100111100001000 2 +b10000100100001011100111100001000 I +b10000100100001011100111100001000 Z +b1101 3 +b1101 J +b1101 Y +b11011 ' +b11011 D +b111 & +b111 C +b10000100100001011100111100001000 , +b10000100100001011100111100001000 H +b1101 + +b1101 G +1. +0% +#8214000 +19" +16" +1_ +0^ +0k +1o +1t +0[ +1@" +1=" +0h +0:" +0q +05" +b10010 3" +0<" +0` +1d +b100 0" +18" +1l +0j +b11000001000100001111011011011010 { +b11000001000100001111011011011010 A" +b11000 -" +0y +b1110110100010101110001111001001 | +b1110110100010101110001111001001 B" +1a +1n +1w +b10011110000110110001100111000010 / +b10011110000110110001100111000010 K +b10011110000110110001100111000010 e +b10011110000110110001100111000010 "" +b11010010111000010110110111111011 0 +b11010010111000010110110111111011 M +b11010010111000010110110111111011 f +b11010010111000010110110111111011 !" +b10000100100001011100111100001000 &" +b10000100100001011100111100001000 ?" +b1101 %" +b1101 *" +b1101 1" +b11011 ~ +b11011 )" +b11011 ." +b111 } +b111 (" +b111 +" +0. +1% +#8214010 +b10000100100001011100111100001000 P" +#8214500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b1010011100000011000011100111010 2 +b1010011100000011000011100111010 I +b1010011100000011000011100111010 Z +b10100 3 +b10100 J +b10100 Y +b1011 ' +b1011 D +b0 & +b0 C +0( +b1010011100000011000011100111010 , +b1010011100000011000011100111010 H +b10100 + +b10100 G +1. +0% +#8215000 +0_ +1^ +1k +1s +1[ +0=" +1>" +1;" +b1101011011101011100111010111001 { +b1101011011101011100111010111001 A" +1r +1q +06" +07" +b1011 3" +1<" +1` +0d +b10100 0" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0x +0w +0," +b1010011100000011000011100111010 &" +b1010011100000011000011100111010 ?" +b10100 %" +b10100 *" +b10100 1" +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +b11000001000100001111011011011010 / +b11000001000100001111011011011010 K +b11000001000100001111011011011010 e +b11000001000100001111011011011010 "" +b1110110100010101110001111001001 0 +b1110110100010101110001111001001 M +b1110110100010101110001111001001 f +b1110110100010101110001111001001 !" +0. +1% +#8215010 +b1010011100000011000011100111010 W" +#8215500 +b0 5 +b0 L +b0 W +04 +b1011110000001111111010111100011 2 +b1011110000001111111010111100011 I +b1011110000001111111010111100011 Z +b11111 3 +b11111 J +b11111 Y +b0 ' +b0 D +0) +b1011110000001111111010111100011 , +b1011110000001111111010111100011 H +b11111 + +b11111 G +1. +0% +#8216000 +0^ +1i +0\ +0[ +1=" +1h +1g +09" +0;" +b0 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +0n +0m +0/" +b1101011011101011100111010111001 / +b1101011011101011100111010111001 K +b1101011011101011100111010111001 e +b1101011011101011100111010111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011110000001111111010111100011 &" +b1011110000001111111010111100011 ?" +b11111 %" +b11111 *" +b11111 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8216500 +b10011011011010101110000111100111 2 +b10011011011010101110000111100111 I +b10011011011010101110000111100111 Z +b101 3 +b101 J +b101 Y +b10011011011010101110000111100111 , +b10011011011010101110000111100111 H +b101 + +b101 G +1. +0% +#8217000 +1_ +1^ +1\ +1@" +0=" +1>" +b11010 3" +0<" +0` +0d +0b +b10011011011010101110000111100111 &" +b10011011011010101110000111100111 ?" +b101 %" +b101 *" +b101 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8217010 +b10011011011010101110000111100111 H" +#8217500 +b11100 7 +b11100 N +b11100 V +16 +b10000010011100010101011101011010 2 +b10000010011100010101011101011010 I +b10000010011100010101011101011010 Z +b11101 3 +b11101 J +b11101 Y +b11100 & +b11100 C +1( +b10000010011100010101011101011010 , +b10000010011100010101011101011010 H +b11101 + +b11101 G +1. +0% +#8218000 +0_ +0^ +0s +b100010011011101101111011110100 | +b100010011011101101111011110100 B" +1=" +15" +16" +17" +b10 3" +1<" +1` +1d +b11 -" +0t +0y +1z +0u +14" +1v +1," +b10000010011100010101011101011010 &" +b10000010011100010101011101011010 ?" +b11101 %" +b11101 *" +b11101 1" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#8218010 +b10000010011100010101011101011010 `" +#8218500 +b11101 7 +b11101 N +b11101 V +b11010101011000000011001000010110 2 +b11010101011000000011001000010110 I +b11010101011000000011001000010110 Z +b11000 3 +b11000 J +b11000 Y +b11101 & +b11101 C +b11010101011000000011001000010110 , +b11010101011000000011001000010110 H +b11000 + +b11000 G +1. +0% +#8219000 +1c +1[ +1=" +0>" +0q +b111 3" +0d +b10 -" +b10000010011100010101011101011010 | +b10000010011100010101011101011010 B" +0a +1w +b11010101011000000011001000010110 &" +b11010101011000000011001000010110 ?" +b11000 %" +b11000 *" +b11000 1" +b11101 } +b11101 (" +b11101 +" +b100010011011101101111011110100 0 +b100010011011101101111011110100 M +b100010011011101101111011110100 f +b100010011011101101111011110100 !" +0. +1% +#8219010 +b11010101011000000011001000010110 [" +#8219500 +b10110 7 +b10110 N +b10110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 & +b10110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8220000 +1] +1t +0=" +0@" +0r +1q +05" +16" +b11111 3" +0c +0<" +0` +b1001 -" +0z +b1011000110010001010110111011001 | +b1011000110010001010110111011001 B" +02" +1x +0w +b10000010011100010101011101011010 0 +b10000010011100010101011101011010 M +b10000010011100010101011101011010 f +b10000010011100010101011101011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 } +b10110 (" +b10110 +" +0. +1% +#8220500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8221000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b1011000110010001010110111011001 0 +b1011000110010001010110111011001 M +b1011000110010001010110111011001 f +b1011000110010001010110111011001 !" +0. +1% +#8221500 +b11011 5 +b11011 L +b11011 W +14 +b1100010010101110100001000110 2 +b1100010010101110100001000110 I +b1100010010101110100001000110 Z +b10111 3 +b10111 J +b10111 Y +11 +b11011 ' +b11011 D +1) +b1100010010101110100001000110 , +b1100010010101110100001000110 H +b10111 + +b10111 G +1- +1. +0% +#8222000 +0] +0i +b11000001000100001111011011011010 { +b11000001000100001111011011011010 A" +0\ +0[ +1>" +1@" +0h +0g +19" +1;" +b1000 3" +1^ +0_ +1<" +1` +b100 0" +1o +0k +18" +1l +1b +1a +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100010010101110100001000110 &" +b1100010010101110100001000110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#8222010 +b1100010010101110100001000110 Z" +#8222500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8223000 +1] +1i +b11001100010111001101101100010000 | +b11001100010111001101101100010000 B" +1\ +1[ +0>" +0@" +1h +1g +09" +0;" +0q +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +1u +0b +0a +02" +0n +0m +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b11000001000100001111011011011010 / +b11000001000100001111011011011010 K +b11000001000100001111011011011010 e +b11000001000100001111011011011010 "" +0. +1% +#8223500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +1. +0% +#8224000 +0i +b10101001000100110100001110110111 { +b10101001000100110100001110110111 A" +19" +1:" +1;" +1q +07" +b10011 0" +0j +0o +1p +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001100010111001101101100010000 0 +b11001100010111001101101100010000 M +b11001100010111001101101100010000 f +b11001100010111001101101100010000 !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8224500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +1. +0% +#8225000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11100110101111111101001001111 | +b11100110101111111101001001111 B" +09" +0:" +0;" +0q +15" +17" +b11111 0" +0p +0k +b110 -" +1y +0u +14" +1v +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +b10101001000100110100001110110111 / +b10101001000100110100001110110111 K +b10101001000100110100001110110111 e +b10101001000100110100001110110111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8225500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8226000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100110101111111101001001111 0 +b11100110101111111101001001111 M +b11100110101111111101001001111 f +b11100110101111111101001001111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8226500 +b11111010000111101001110110110000 2 +b11111010000111101001110110110000 I +b11111010000111101001110110110000 Z +b111 3 +b111 J +b111 Y +11 +b11111010000111101001110110110000 , +b11111010000111101001110110110000 H +b111 + +b111 G +1- +1. +0% +#8227000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b11111010000111101001110110110000 &" +b11111010000111101001110110110000 ?" +b111 %" +b111 *" +b111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8227010 +b11111010000111101001110110110000 J" +#8227500 +b10 5 +b10 L +b10 W +14 +b10011 7 +b10011 N +b10011 V +16 +b1011101111101001101001110110100 2 +b1011101111101001101001110110100 I +b1011101111101001101001110110100 Z +b1110 3 +b1110 J +b1110 Y +b10 ' +b10 D +1) +b10011 & +b10011 C +1( +b1011101111101001101001110110100 , +b1011101111101001101001110110100 H +b1110 + +b1110 G +1. +0% +#8228000 +0^ +b1111100001110111101101101001101 { +b1111100001110111101101101001101 A" +b11111111011110111011111010100110 | +b11111111011110111011111010100110 B" +1[ +1=" +0h +1;" +0r +0q +17" +b10001 3" +1d +b11101 0" +1k +b1100 -" +0u +14" +1v +0a +1n +1/" +1x +1w +1," +b1011101111101001101001110110100 &" +b1011101111101001101001110110100 ?" +b1110 %" +b1110 *" +b1110 1" +b10 ~ +b10 )" +b10 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#8228010 +b1011101111101001101001110110100 Q" +#8228500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8229000 +1] +1u +1\ +0=" +0>" +0@" +1h +0;" +1q +17" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11101 -" +04" +0v +b1111100001110111101101101001101 | +b1111100001110111101101101001101 B" +0b +02" +0n +0/" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +b1111100001110111101101101001101 / +b1111100001110111101101101001101 K +b1111100001110111101101101001101 e +b1111100001110111101101101001101 "" +b11111111011110111011111010100110 0 +b11111111011110111011111010100110 M +b11111111011110111011111010100110 f +b11111111011110111011111010100110 !" +0. +1% +#8229500 +b0 7 +b0 N +b0 V +06 +b10111110010100110011100000101011 2 +b10111110010100110011100000101011 I +b10111110010100110011100000101011 Z +b10 3 +b10 J +b10 Y +11 +b0 & +b0 C +0( +b10111110010100110011100000101011 , +b10111110010100110011100000101011 H +b10 + +b10 G +1- +1. +0% +#8230000 +0\ +1@" +1r +07" +b11101 3" +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111100001110111101101101001101 0 +b1111100001110111101101101001101 M +b1111100001110111101101101001101 f +b1111100001110111101101101001101 !" +b10111110010100110011100000101011 &" +b10111110010100110011100000101011 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8230010 +b10111110010100110011100000101011 E" +#8230500 +b100110000100110001011011010110 2 +b100110000100110001011011010110 I +b100110000100110001011011010110 Z +b10000 3 +b10000 J +b10000 Y +b100110000100110001011011010110 , +b100110000100110001011011010110 H +b10000 + +b10000 G +1. +0% +#8231000 +0_ +1\ +b1111 3" +1<" +1` +0b +b100110000100110001011011010110 &" +b100110000100110001011011010110 ?" +b10000 %" +b10000 *" +b10000 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8231010 +b100110000100110001011011010110 S" +#8231500 +b100 5 +b100 L +b100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8232000 +0i +b11101011000100111000101101101100 { +b11101011000100111000101101101100 A" +0@" +1:" +1;" +b11111 3" +0<" +0` +b11011 0" +1j +1k +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#8232500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8233000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11101011000100111000101101101100 / +b11101011000100111000101101101100 K +b11101011000100111000101101101100 e +b11101011000100111000101101101100 "" +0. +1% +#8233500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#8234000 +0i +b1011101111101001101001110110100 { +b1011101111101001101001110110100 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#8234500 +b1010 5 +b1010 L +b1010 W +b1000010101001010001111110101 2 +b1000010101001010001111110101 I +b1000010101001010001111110101 Z +b1000 3 +b1000 J +b1000 Y +11 +b1010 ' +b1010 D +b1000010101001010001111110101 , +b1000010101001010001111110101 H +b1000 + +b1000 G +1- +1. +0% +#8235000 +0] +1o +b11010010111000010110110111111011 { +b11010010111000010110110111111011 A" +1=" +1@" +19" +0:" +b10111 3" +1c +1_ +b10101 0" +0p +12" +b1000010101001010001111110101 &" +b1000010101001010001111110101 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b1011101111101001101001110110100 / +b1011101111101001101001110110100 K +b1011101111101001101001110110100 e +b1011101111101001101001110110100 "" +0. +1% +#8235010 +b1000010101001010001111110101 K" +#8235500 +b0 5 +b0 L +b0 W +04 +b1001110000101011000001111111101 2 +b1001110000101011000001111111101 I +b1001110000101011000001111111101 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b1001110000101011000001111111101 , +b1001110000101011000001111111101 H +b10110 + +b10110 G +1. +0% +#8236000 +1>" +0_ +1^ +1i +0\ +0=" +1h +09" +0;" +b1001 3" +1<" +1` +0c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0n +0/" +b11010010111000010110110111111011 / +b11010010111000010110110111111011 K +b11010010111000010110110111111011 e +b11010010111000010110110111111011 "" +b1001110000101011000001111111101 &" +b1001110000101011000001111111101 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8236010 +b1001110000101011000001111111101 Y" +#8236500 +b11001 5 +b11001 L +b11001 W +14 +b111 7 +b111 N +b111 V +16 +b1100100111001100011000001110000 2 +b1100100111001100011000001110000 I +b1100100111001100011000001110000 Z +b11 3 +b11 J +b11 Y +b11001 ' +b11001 D +1) +b111 & +b111 C +1( +b1100100111001100011000001110000 , +b1100100111001100011000001110000 H +b11 + +b11 G +1. +0% +#8237000 +1_ +1] +0i +b11100110101111111101001001111 { +b11100110101111111101001001111 A" +0s +b11111010000111101001110110110000 | +b11111010000111101001110110110000 B" +0[ +1@" +0>" +0g +19" +1;" +0r +0q +16" +17" +b11100 3" +0<" +0` +0^ +b110 0" +1o +0k +18" +1l +b11000 -" +1t +1u +1a +1m +1/" +1x +1w +1," +b1100100111001100011000001110000 &" +b1100100111001100011000001110000 ?" +b11 %" +b11 *" +b11 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8237010 +b1100100111001100011000001110000 F" +#8237500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8238000 +1i +1s +1\ +1[ +0@" +1g +09" +0;" +1r +1q +06" +07" +b11111 3" +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0m +0/" +0x +0w +0," +b11100110101111111101001001111 / +b11100110101111111101001001111 K +b11100110101111111101001001111 e +b11100110101111111101001001111 "" +b11111010000111101001110110110000 0 +b11111010000111101001110110110000 M +b11111010000111101001110110110000 f +b11111010000111101001110110110000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8238500 +b11010 5 +b11010 L +b11010 W +14 +b10 7 +b10 N +b10 V +16 +b1001111111101100010110000100 2 +b1001111111101100010110000100 I +b1001111111101100010110000100 Z +b11 3 +b11 J +b11 Y +11 +b11010 ' +b11010 D +1) +b10 & +b10 C +1( +b1001111111101100010110000100 , +b1001111111101100010110000100 H +b11 + +b11 G +1- +1. +0% +#8239000 +0i +b11111100100111101111101010011011 { +b11111100100111101111101010011011 A" +b10111110010100110011100000101011 | +b10111110010100110011100000101011 B" +0\ +0[ +1@" +0h +19" +1;" +0r +17" +b11100 3" +1_ +b101 0" +1o +0k +18" +1l +b11101 -" +1u +1b +1a +12" +1n +1/" +1x +1," +b1001111111101100010110000100 &" +b1001111111101100010110000100 ?" +b11 %" +b11 *" +b11 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8239010 +b1001111111101100010110000100 F" +#8239500 +b11110 5 +b11110 L +b11110 W +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8240000 +0o +b11110100110101001101010000000010 { +b11110100110101001101010000000010 A" +0s +b1011101111101001101001110110100 | +b1011101111101001101001110110100 B" +1\ +1[ +0@" +1:" +15" +16" +b11111 3" +0_ +b1 0" +1p +b10001 -" +0t +0y +1z +0b +0a +02" +b11111100100111101111101010011011 / +b11111100100111101111101010011011 K +b11111100100111101111101010011011 e +b11111100100111101111101010011011 "" +b10111110010100110011100000101011 0 +b10111110010100110011100000101011 M +b10111110010100110011100000101011 f +b10111110010100110011100000101011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b1110 } +b1110 (" +b1110 +" +0. +1% +#8240500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001010000111111011010110100010 2 +b1001010000111111011010110100010 I +b1001010000111111011010110100010 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001010000111111011010110100010 , +b1001010000111111011010110100010 H +b11011 + +b11011 G +1- +1. +0% +#8241000 +0] +1i +1s +0\ +0[ +1=" +1@" +1h +09" +0:" +0;" +1r +05" +06" +07" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0n +0/" +0x +0," +b1001010000111111011010110100010 &" +b1001010000111111011010110100010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110100110101001101010000000010 / +b11110100110101001101010000000010 K +b11110100110101001101010000000010 e +b11110100110101001101010000000010 "" +b1011101111101001101001110110100 0 +b1011101111101001101001110110100 M +b1011101111101001101001110110100 f +b1011101111101001101001110110100 !" +0. +1% +#8241010 +b1001010000111111011010110100010 ^" +#8241500 +b10110 5 +b10110 L +b10110 W +14 +b1110 7 +b1110 N +b1110 V +16 +b10110101100111010010000011000101 2 +b10110101100111010010000011000101 I +b10110101100111010010000011000101 Z +b11101 3 +b11101 J +b11101 Y +b10110 ' +b10110 D +1) +b1110 & +b1110 C +1( +b10110101100111010010000011000101 , +b10110101100111010010000011000101 H +b11101 + +b11101 G +1. +0% +#8242000 +0c +0i +b1001110000101011000001111111101 { +b1001110000101011000001111111101 A" +0s +b1011101111101001101001110110100 | +b1011101111101001101001110110100 B" +1\ +1>" +0h +1:" +1;" +0r +15" +16" +17" +b10 3" +1d +b1001 0" +1j +0k +18" +1l +b10001 -" +0t +0y +1z +1u +0b +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110101100111010010000011000101 &" +b10110101100111010010000011000101 ?" +b11101 %" +b11101 *" +b11101 1" +b10110 ~ +b10110 )" +b10110 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8242010 +b10110101100111010010000011000101 `" +#8242500 +b10010 5 +b10010 L +b10010 W +b10001 7 +b10001 N +b10001 V +b10010101011110001011010101000101 2 +b10010101011110001011010101000101 I +b10010101011110001011010101000101 Z +b11010 3 +b11010 J +b11010 Y +b10010 ' +b10010 D +b10001 & +b10001 C +b10010101011110001011010101000101 , +b10010101011110001011010101000101 H +b11010 + +b11010 G +1. +0% +#8243000 +1c +1i +b10101000011100100111101011010010 { +b10101000011100100111101011010010 A" +0u +1s +0\ +1[ +1=" +0>" +0:" +1r +0q +05" +06" +b101 3" +0d +b1101 0" +0j +b1110 -" +14" +1v +0z +b10110011001000011011101111100011 | +b10110011001000011011101111100011 B" +1b +0a +0x +1w +b10010101011110001011010101000101 &" +b10010101011110001011010101000101 ?" +b11010 %" +b11010 *" +b11010 1" +b10010 ~ +b10010 )" +b10010 ." +b10001 } +b10001 (" +b10001 +" +b1001110000101011000001111111101 / +b1001110000101011000001111111101 K +b1001110000101011000001111111101 e +b1001110000101011000001111111101 "" +b1011101111101001101001110110100 0 +b1011101111101001101001110110100 M +b1011101111101001101001110110100 f +b1011101111101001101001110110100 !" +0. +1% +#8243010 +b10010101011110001011010101000101 ]" +#8243500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8244000 +1] +0s +b10110101100111010010000011000101 | +b10110101100111010010000011000101 B" +1\ +0=" +0@" +1h +0;" +15" +16" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10 -" +0t +0y +1z +0b +02" +0n +0/" +b10101000011100100111101011010010 / +b10101000011100100111101011010010 K +b10101000011100100111101011010010 e +b10101000011100100111101011010010 "" +b10110011001000011011101111100011 0 +b10110011001000011011101111100011 M +b10110011001000011011101111100011 f +b10110011001000011011101111100011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +0. +1% +#8244500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8245000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110101100111010010000011000101 0 +b10110101100111010010000011000101 M +b10110101100111010010000011000101 f +b10110101100111010010000011000101 !" +0. +1% +#8245500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#8246000 +0s +b11110100110101001101010000000010 | +b11110100110101001101010000000010 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#8246500 +b11111 7 +b11111 N +b11111 V +b10110001111111000100011101010110 2 +b10110001111111000100011101010110 I +b10110001111111000100011101010110 Z +b10011 3 +b10011 J +b10011 Y +11 +b11111 & +b11111 C +b10110001111111000100011101010110 , +b10110001111111000100011101010110 H +b10011 + +b10011 G +1- +1. +0% +#8247000 +0\ +0[ +1@" +0q +b1100 3" +0_ +1<" +1` +b0 -" +b1011110000001111111010111100011 | +b1011110000001111111010111100011 B" +1b +1a +12" +1w +b10110001111111000100011101010110 &" +b10110001111111000100011101010110 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b11111 } +b11111 (" +b11111 +" +b11110100110101001101010000000010 0 +b11110100110101001101010000000010 M +b11110100110101001101010000000010 f +b11110100110101001101010000000010 !" +0. +1% +#8247010 +b10110001111111000100011101010110 V" +#8247500 +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8248000 +b1111111110110101010000111001111 { +b1111111110110101010000111001111 A" +1s +1\ +1[ +0@" +1;" +1r +1q +05" +06" +07" +b11111 3" +0<" +0` +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1/" +0x +0w +0," +b1011110000001111111010111100011 0 +b1011110000001111111010111100011 M +b1011110000001111111010111100011 f +b1011110000001111111010111100011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8248500 +b1111 7 +b1111 N +b1111 V +16 +b1111 & +b1111 C +1( +1. +0% +#8249000 +0s +b11111001001110010100100010011110 | +b11111001001110010100100010011110 B" +0r +0q +15" +16" +17" +b10000 -" +0t +0y +1z +1u +1x +1w +1," +b1111 } +b1111 (" +b1111 +" +1#" +b1111111110110101010000111001111 / +b1111111110110101010000111001111 K +b1111111110110101010000111001111 e +b1111111110110101010000111001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8249500 +04 +b10011 7 +b10011 N +b10011 V +b11000001001110000010011001001011 2 +b11000001001110000010011001001011 I +b11000001001110000010011001001011 Z +b1001 3 +b1001 J +b1001 Y +11 +0) +b10011 & +b10011 C +b11000001001110000010011001001011 , +b11000001001110000010011001001011 H +b1001 + +b1001 G +1- +1. +0% +#8250000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +1s +0[ +1=" +1@" +0;" +b10110001111111000100011101010110 | +b10110001111111000100011101010110 B" +05" +06" +b10110 3" +1c +1_ +0k +b1100 -" +14" +1v +0z +1a +12" +0/" +b11111001001110010100100010011110 0 +b11111001001110010100100010011110 M +b11111001001110010100100010011110 f +b11111001001110010100100010011110 !" +b11000001001110000010011001001011 &" +b11000001001110000010011001001011 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +0$" +b10011 } +b10011 (" +b10011 +" +0. +1% +#8250010 +b11000001001110000010011001001011 L" +#8250500 +b11110 7 +b11110 N +b11110 V +b11010000100001001011101011101010 2 +b11010000100001001011101011101010 I +b11010000100001001011101011101010 Z +b1110 3 +b1110 J +b1110 Y +b11110 & +b11110 C +b11010000100001001011101011101010 , +b11010000100001001011101011101010 H +b1110 + +b1110 G +1. +0% +#8251000 +0c +0s +0\ +1[ +1>" +1q +15" +16" +b10001 3" +1d +b1 -" +0t +0y +1z +b11110100110101001101010000000010 | +b11110100110101001101010000000010 B" +1b +0a +0w +b11010000100001001011101011101010 &" +b11010000100001001011101011101010 ?" +b1110 %" +b1110 *" +b1110 1" +b11110 } +b11110 (" +b11110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110001111111000100011101010110 0 +b10110001111111000100011101010110 M +b10110001111111000100011101010110 f +b10110001111111000100011101010110 !" +0. +1% +#8251010 +b11010000100001001011101011101010 Q" +#8251500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8252000 +1] +1s +1\ +0=" +0>" +0@" +1r +05" +06" +07" +b11111 3" +0d +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0," +b11110100110101001101010000000010 0 +b11110100110101001101010000000010 M +b11110100110101001101010000000010 f +b11110100110101001101010000000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8252500 +b1011 7 +b1011 N +b1011 V +16 +b1011 & +b1011 C +1( +1. +0% +#8253000 +0s +b1101011011101011100111010111001 | +b1101011011101011100111010111001 B" +0r +0q +15" +17" +b10100 -" +1y +1u +1x +1w +1," +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8253500 +b10111 5 +b10111 L +b10111 W +14 +b100 7 +b100 N +b100 V +b10111 ' +b10111 D +1) +b100 & +b100 C +1. +0% +#8254000 +16" +0i +b1100010010101110100001000110 { +b1100010010101110100001000110 A" +1t +0h +0g +1:" +1;" +1r +1q +05" +b1000 0" +1j +0k +18" +1l +b11011 -" +0y +b11101011000100111000101101101100 | +b11101011000100111000101101101100 B" +1n +1m +1/" +0x +0w +b1101011011101011100111010111001 0 +b1101011011101011100111010111001 M +b1101011011101011100111010111001 f +b1101011011101011100111010111001 !" +b10111 ~ +b10111 )" +b10111 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#8254500 +b11111 5 +b11111 L +b11111 W +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +b0 & +b0 C +0( +1. +0% +#8255000 +0j +b1011110000001111111010111100011 { +b1011110000001111111010111100011 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +19" +06" +07" +b0 0" +1p +b11111 -" +0t +0u +0," +b11111 ~ +b11111 )" +b11111 ." +b0 } +b0 (" +b0 +" +0#" +b1100010010101110100001000110 / +b1100010010101110100001000110 K +b1100010010101110100001000110 e +b1100010010101110100001000110 "" +b11101011000100111000101101101100 0 +b11101011000100111000101101101100 M +b11101011000100111000101101101100 f +b11101011000100111000101101101100 !" +0. +1% +#8255500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8256000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b1011110000001111111010111100011 / +b1011110000001111111010111100011 K +b1011110000001111111010111100011 e +b1011110000001111111010111100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8256500 +1. +0% +#8257000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8257500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#8258000 +0i +b11010010111000010110110111111011 { +b11010010111000010110110111111011 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#8258500 +b0 5 +b0 L +b0 W +04 +b11110110111001000000111111011111 2 +b11110110111001000000111111011111 I +b11110110111001000000111111011111 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b11110110111001000000111111011111 , +b11110110111001000000111111011111 H +b1 + +b1 G +1- +1. +0% +#8259000 +1i +0[ +1@" +1h +09" +0;" +b11110 3" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0/" +b11110110111001000000111111011111 &" +b11110110111001000000111111011111 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11010010111000010110110111111011 / +b11010010111000010110110111111011 K +b11010010111000010110110111111011 e +b11010010111000010110110111111011 "" +0. +1% +#8259010 +b11110110111001000000111111011111 D" +#8259500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8260000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8260500 +b11 7 +b11 N +b11 V +16 +b11011110011011110110110110110111 2 +b11011110011011110110110110110111 I +b11011110011011110110110110110111 Z +b10111 3 +b10111 J +b10111 Y +11 +b11 & +b11 C +1( +b11011110011011110110110110110111 , +b11011110011011110110110110110111 H +b10111 + +b10111 G +1- +1. +0% +#8261000 +0] +b1001111111101100010110000100 | +b1001111111101100010110000100 B" +0\ +0[ +1>" +1@" +0r +0q +17" +b1000 3" +1^ +0_ +1<" +1` +b11100 -" +1u +1b +1a +12" +1x +1w +1," +b11011110011011110110110110110111 &" +b11011110011011110110110110110111 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#8261010 +b11011110011011110110110110110111 Z" +#8261500 +b1010 5 +b1010 L +b1010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8262000 +1] +0i +b11010010111000010110110111111011 { +b11010010111000010110110111111011 A" +1\ +1[ +0>" +0@" +0h +19" +1;" +1r +1q +07" +b11111 3" +0^ +0<" +0` +b10101 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1n +1/" +0x +0w +0," +b1001111111101100010110000100 0 +b1001111111101100010110000100 M +b1001111111101100010110000100 f +b1001111111101100010110000100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8262500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8263000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11010010111000010110110111111011 / +b11010010111000010110110111111011 K +b11010010111000010110110111111011 e +b11010010111000010110110111111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8263500 +b10101 5 +b10101 L +b10101 W +14 +b10000 7 +b10000 N +b10000 V +16 +b10101 ' +b10101 D +1) +b10000 & +b10000 C +1( +1. +0% +#8264000 +0i +b101110010100100011110000110001 { +b101110010100100011110000110001 A" +b100110000100110001011011010110 | +b100110000100110001011011010110 B" +0g +1:" +1;" +17" +b1010 0" +1j +0k +18" +1l +b1111 -" +0u +14" +1v +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101 ~ +b10101 )" +b10101 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#8264500 +b10011 5 +b10011 L +b10011 W +b11011 7 +b11011 N +b11011 V +b10011 ' +b10011 D +b11011 & +b11011 C +1. +0% +#8265000 +1i +0s +0h +0:" +0r +0q +15" +b1100 0" +0j +b10110001111111000100011101010110 { +b10110001111111000100011101010110 A" +b100 -" +1y +b1001010000111111011010110100010 | +b1001010000111111011010110100010 B" +1n +1x +1w +b10011 ~ +b10011 )" +b10011 ." +b11011 } +b11011 (" +b11011 +" +b101110010100100011110000110001 / +b101110010100100011110000110001 K +b101110010100100011110000110001 e +b101110010100100011110000110001 "" +b100110000100110001011011010110 0 +b100110000100110001011011010110 M +b100110000100110001011011010110 f +b100110000100110001011011010110 !" +0. +1% +#8265500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8266000 +1s +1h +1g +0;" +1r +1q +05" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0w +0," +b10110001111111000100011101010110 / +b10110001111111000100011101010110 K +b10110001111111000100011101010110 e +b10110001111111000100011101010110 "" +b1001010000111111011010110100010 0 +b1001010000111111011010110100010 M +b1001010000111111011010110100010 f +b1001010000111111011010110100010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8266500 +b101 5 +b101 L +b101 W +14 +b101 ' +b101 D +1) +1. +0% +#8267000 +0i +b10011011011010101110000111100111 { +b10011011011010101110000111100111 A" +0g +1:" +1;" +b11010 0" +1j +1k +1m +1/" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8267500 +b1 5 +b1 L +b1 W +b1 ' +b1 D +1. +0% +#8268000 +1i +b11110110111001000000111111011111 { +b11110110111001000000111111011111 A" +0:" +b11110 0" +0j +b10011011011010101110000111100111 / +b10011011011010101110000111100111 K +b10011011011010101110000111100111 e +b10011011011010101110000111100111 "" +b1 ~ +b1 )" +b1 ." +0. +1% +#8268500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8269000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11110110111001000000111111011111 / +b11110110111001000000111111011111 K +b11110110111001000000111111011111 e +b11110110111001000000111111011111 "" +0. +1% +#8269500 +b10100 5 +b10100 L +b10100 W +14 +b10100 ' +b10100 D +1) +1. +0% +#8270000 +0i +b1010011100000011000011100111010 { +b1010011100000011000011100111010 A" +1:" +1;" +b1011 0" +1j +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#8270500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b1000011000001011011000101000100 2 +b1000011000001011011000101000100 I +b1000011000001011011000101000100 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +b1000011000001011011000101000100 , +b1000011000001011011000101000100 H +b10101 + +b10101 G +1- +1. +0% +#8271000 +0] +1i +0s +b11010101011000000011001000010110 | +b11010101011000000011001000010110 B" +0[ +1>" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +17" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0j +08" +0l +b111 -" +1y +0u +14" +1v +1a +12" +0/" +1," +b1000011000001011011000101000100 &" +b1000011000001011011000101000100 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +b1010011100000011000011100111010 / +b1010011100000011000011100111010 K +b1010011100000011000011100111010 e +b1010011100000011000011100111010 "" +0. +1% +#8271010 +b1000011000001011011000101000100 X" +#8271500 +b0 7 +b0 N +b0 V +06 +b10001101010110110000011001000011 2 +b10001101010110110000011001000011 I +b10001101010110110000011001000011 Z +b10001 3 +b10001 J +b10001 Y +b0 & +b0 C +0( +b10001101010110110000011001000011 , +b10001101010110110000011001000011 H +b10001 + +b10001 G +1. +0% +#8272000 +1] +1s +0>" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1110 3" +0^ +b11111 -" +0y +04" +0v +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010101011000000011001000010110 0 +b11010101011000000011001000010110 M +b11010101011000000011001000010110 f +b11010101011000000011001000010110 !" +b10001101010110110000011001000011 &" +b10001101010110110000011001000011 ?" +b10001 %" +b10001 *" +b10001 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8272010 +b10001101010110110000011001000011 T" +#8272500 +b11100 5 +b11100 L +b11100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8273000 +0i +b100010011011101101111011110100 { +b100010011011101101111011110100 A" +1[ +0@" +19" +1:" +1;" +b11111 3" +0<" +0` +b11 0" +0j +0o +1p +0k +18" +1l +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8273500 +b10011 5 +b10011 L +b10011 W +b10011 ' +b10011 D +1. +0% +#8274000 +1i +0h +0g +09" +0:" +b1100 0" +0p +b10110001111111000100011101010110 { +b10110001111111000100011101010110 A" +1n +1m +b100010011011101101111011110100 / +b100010011011101101111011110100 K +b100010011011101101111011110100 e +b100010011011101101111011110100 "" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#8274500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8275000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10110001111111000100011101010110 / +b10110001111111000100011101010110 K +b10110001111111000100011101010110 e +b10110001111111000100011101010110 "" +0. +1% +#8275500 +b11001 7 +b11001 N +b11001 V +16 +b1100100001001100010000110001011 2 +b1100100001001100010000110001011 I +b1100100001001100010000110001011 Z +b10111 3 +b10111 J +b10111 Y +11 +b11001 & +b11001 C +1( +b1100100001001100010000110001011 , +b1100100001001100010000110001011 H +b10111 + +b10111 G +1- +1. +0% +#8276000 +0] +0s +b11100110101111111101001001111 | +b11100110101111111101001001111 B" +0\ +0[ +1>" +1@" +0q +15" +17" +b1000 3" +1^ +0_ +1<" +1` +b110 -" +1y +0u +14" +1v +1b +1a +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100100001001100010000110001011 &" +b1100100001001100010000110001011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#8276010 +b1100100001001100010000110001011 Z" +#8276500 +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8277000 +1] +b1111111110110101010000111001111 { +b1111111110110101010000111001111 A" +1s +1\ +1[ +0>" +0@" +1;" +1q +05" +07" +b11111 3" +0^ +0<" +0` +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1$" +b0 } +b0 (" +b0 +" +0#" +b11100110101111111101001001111 0 +b11100110101111111101001001111 M +b11100110101111111101001001111 f +b11100110101111111101001001111 !" +0. +1% +#8277500 +b101 5 +b101 L +b101 W +b101 ' +b101 D +1. +0% +#8278000 +0i +0g +1:" +b11010 0" +1j +b10011011011010101110000111100111 { +b10011011011010101110000111100111 A" +1m +b1111111110110101010000111001111 / +b1111111110110101010000111001111 K +b1111111110110101010000111001111 e +b1111111110110101010000111001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101 ~ +b101 )" +b101 ." +0. +1% +#8278500 +b10000 5 +b10000 L +b10000 W +b10000 ' +b10000 D +1. +0% +#8279000 +0k +1i +1g +0:" +b1111 0" +18" +1l +0j +b100110000100110001011011010110 { +b100110000100110001011011010110 A" +0m +b10000 ~ +b10000 )" +b10000 ." +b10011011011010101110000111100111 / +b10011011011010101110000111100111 K +b10011011011010101110000111100111 e +b10011011011010101110000111100111 "" +0. +1% +#8279500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8280000 +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +08" +0l +0/" +b100110000100110001011011010110 / +b100110000100110001011011010110 K +b100110000100110001011011010110 e +b100110000100110001011011010110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8280500 +b10011 7 +b10011 N +b10011 V +16 +b110010110001011000010000010010 2 +b110010110001011000010000010010 I +b110010110001011000010000010010 Z +b11101 3 +b11101 J +b11101 Y +11 +b10011 & +b10011 C +1( +b110010110001011000010000010010 , +b110010110001011000010000010010 H +b11101 + +b11101 G +1- +1. +0% +#8281000 +0] +b10110001111111000100011101010110 | +b10110001111111000100011101010110 B" +0[ +1=" +1>" +1@" +0r +0q +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b1100 -" +0u +14" +1v +1a +12" +1x +1w +1," +b110010110001011000010000010010 &" +b110010110001011000010000010010 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8281010 +b110010110001011000010000010010 `" +#8281500 +b11110 7 +b11110 N +b11110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 & +b11110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8282000 +1] +0s +1[ +0=" +0>" +0@" +1q +15" +16" +b11111 3" +0d +0<" +0` +b1 -" +0t +0y +1z +b11110100110101001101010000000010 | +b11110100110101001101010000000010 B" +0a +02" +0w +b10110001111111000100011101010110 0 +b10110001111111000100011101010110 M +b10110001111111000100011101010110 f +b10110001111111000100011101010110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 } +b11110 (" +b11110 +" +0. +1% +#8282500 +1. +0% +#8283000 +b11110100110101001101010000000010 0 +b11110100110101001101010000000010 M +b11110100110101001101010000000010 f +b11110100110101001101010000000010 !" +0. +1% +#8283500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8284000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8284500 +b10100001010000001010111101001 2 +b10100001010000001010111101001 I +b10100001010000001010111101001 Z +b10110 3 +b10110 J +b10110 Y +11 +b10100001010000001010111101001 , +b10100001010000001010111101001 H +b10110 + +b10110 G +1- +1. +0% +#8285000 +0] +0\ +1>" +1@" +b1001 3" +1^ +0_ +1<" +1` +1b +12" +b10100001010000001010111101001 &" +b10100001010000001010111101001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8285010 +b10100001010000001010111101001 Y" +#8285500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8286000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8286500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#8287000 +0i +b11000001001110000010011001001011 { +b11000001001110000010011001001011 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#8287500 +b0 5 +b0 L +b0 W +04 +b1111101010011010011010100100100 2 +b1111101010011010011010100100100 I +b1111101010011010011010100100100 Z +11 +b0 ' +b0 D +0) +b1111101010011010011010100100100 , +b1111101010011010011010100100100 H +1- +1. +0% +#8288000 +1i +1@" +1g +09" +0;" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b11000001001110000010011001001011 / +b11000001001110000010011001001011 K +b11000001001110000010011001001011 e +b11000001001110000010011001001011 "" +b1111101010011010011010100100100 &" +b1111101010011010011010100100100 ?" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8288010 +b1111101010011010011010100100100 C" +#8288500 +b10001 5 +b10001 L +b10001 W +14 +b100001111011110000001000101 2 +b100001111011110000001000101 I +b100001111011110000001000101 Z +b11000 3 +b11000 J +b11000 Y +b10001 ' +b10001 D +1) +b100001111011110000001000101 , +b100001111011110000001000101 H +b11000 + +b11000 G +1. +0% +#8289000 +0_ +0] +b10001101010110110000011001000011 { +b10001101010110110000011001000011 A" +1=" +0g +1;" +b111 3" +1<" +1` +1c +b1110 0" +0k +18" +1l +1m +1/" +b100001111011110000001000101 &" +b100001111011110000001000101 ?" +b11000 %" +b11000 *" +b11000 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8289010 +b100001111011110000001000101 [" +#8289500 +b11110 5 +b11110 L +b11110 W +b10 7 +b10 N +b10 V +16 +b10000010110111001011011010010110 2 +b10000010110111001011011010010110 I +b10000010110111001011011010010110 Z +b1100 3 +b1100 J +b1100 Y +b11110 ' +b11110 D +b10 & +b10 C +1( +b10000010110111001011011010010110 , +b10000010110111001011011010010110 H +b1100 + +b1100 G +1. +0% +#8290000 +1_ +0c +0i +b10111110010100110011100000101011 | +b10111110010100110011100000101011 B" +1@" +1>" +0h +1g +19" +1:" +0r +17" +b10011 3" +0<" +0` +1d +b1 0" +0j +0o +1p +b11110100110101001101010000000010 { +b11110100110101001101010000000010 A" +b11101 -" +1u +1n +0m +1x +1," +b10001101010110110000011001000011 / +b10001101010110110000011001000011 K +b10001101010110110000011001000011 e +b10001101010110110000011001000011 "" +b10000010110111001011011010010110 &" +b10000010110111001011011010010110 ?" +b1100 %" +b1100 *" +b1100 1" +b11110 ~ +b11110 )" +b11110 ." +b10 } +b10 (" +b10 +" +1#" +0. +1% +#8290010 +b10000010110111001011011010010110 O" +#8290500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +b11000011000011000010100000010101 2 +b11000011000011000010100000010101 I +b11000011000011000010100000010101 Z +b111 3 +b111 J +b111 Y +b0 ' +b0 D +0) +b1111 & +b1111 C +b11000011000011000010100000010101 , +b11000011000011000010100000010101 H +b111 + +b111 G +1. +0% +#8291000 +1^ +1i +0s +0\ +0[ +0=" +1>" +1h +09" +0:" +0;" +0q +15" +16" +b11000 3" +0d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +b11111001001110010100100010011110 | +b11111001001110010100100010011110 B" +1b +1a +0n +0/" +1w +b11000011000011000010100000010101 &" +b11000011000011000010100000010101 ?" +b111 %" +b111 *" +b111 1" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +b11110100110101001101010000000010 / +b11110100110101001101010000000010 K +b11110100110101001101010000000010 e +b11110100110101001101010000000010 "" +b10111110010100110011100000101011 0 +b10111110010100110011100000101011 M +b10111110010100110011100000101011 f +b10111110010100110011100000101011 !" +0. +1% +#8291010 +b11000011000011000010100000010101 J" +#8291500 +b0 7 +b0 N +b0 V +06 +b1101000010111101101100101000001 2 +b1101000010111101101100101000001 I +b1101000010111101101100101000001 Z +b11 3 +b11 J +b11 Y +b0 & +b0 C +0( +b1101000010111101101100101000001 , +b1101000010111101101100101000001 H +b11 + +b11 G +1. +0% +#8292000 +1] +1s +0>" +1r +1q +05" +06" +07" +b11100 3" +0^ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111001001110010100100010011110 0 +b11111001001110010100100010011110 M +b11111001001110010100100010011110 f +b11111001001110010100100010011110 !" +b1101000010111101101100101000001 &" +b1101000010111101101100101000001 ?" +b11 %" +b11 *" +b11 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8292010 +b1101000010111101101100101000001 F" +#8292500 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8293000 +b10101000011100100111101011010010 | +b10101000011100100111101011010010 B" +1\ +1[ +0@" +0r +17" +b11111 3" +0_ +b1101 -" +0u +14" +1v +0b +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8293500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8294000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b10101000011100100111101011010010 0 +b10101000011100100111101011010010 M +b10101000011100100111101011010010 f +b10101000011100100111101011010010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8294500 +b10101 7 +b10101 N +b10101 V +16 +b10101 & +b10101 C +1( +1. +0% +#8295000 +0s +b1000011000001011011000101000100 | +b1000011000001011011000101000100 B" +0q +16" +17" +b1010 -" +1t +0u +14" +1v +1w +1," +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8295500 +b10011 5 +b10011 L +b10011 W +14 +b0 7 +b0 N +b0 V +06 +b10011 ' +b10011 D +1) +b0 & +b0 C +0( +1. +0% +#8296000 +b10110001111111000100011101010110 { +b10110001111111000100011101010110 A" +1s +0h +0g +1;" +1q +06" +07" +b1100 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0w +0," +b1000011000001011011000101000100 0 +b1000011000001011011000101000100 M +b1000011000001011011000101000100 f +b1000011000001011011000101000100 !" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8296500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8297000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10110001111111000100011101010110 / +b10110001111111000100011101010110 K +b10110001111111000100011101010110 e +b10110001111111000100011101010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8297500 +1. +0% +#8298000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8298500 +b11110 5 +b11110 L +b11110 W +14 +b11 7 +b11 N +b11 V +16 +b11110 ' +b11110 D +1) +b11 & +b11 C +1( +1. +0% +#8299000 +0i +b11110100110101001101010000000010 { +b11110100110101001101010000000010 A" +b1101000010111101101100101000001 | +b1101000010111101101100101000001 B" +0h +19" +1:" +1;" +0r +0q +17" +b1 0" +0j +0o +1p +0k +18" +1l +b11100 -" +1u +1n +1/" +1x +1w +1," +b11110 ~ +b11110 )" +b11110 ." +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#8299500 +b11 5 +b11 L +b11 W +b11011 7 +b11011 N +b11011 V +b10100000110110011100111110110001 2 +b10100000110110011100111110110001 I +b10100000110110011100111110110001 Z +b11101 3 +b11101 J +b11101 Y +11 +b11 ' +b11 D +b11011 & +b11011 C +b10100000110110011100111110110001 , +b10100000110110011100111110110001 H +b11101 + +b11101 G +1- +1. +0% +#8300000 +0] +1k +1i +0u +0s +0[ +1=" +1>" +1@" +0g +1;" +09" +0:" +b1001010000111111011010110100010 | +b1001010000111111011010110100010 B" +15" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11100 0" +08" +0l +0p +b1101000010111101101100101000001 { +b1101000010111101101100101000001 A" +b100 -" +14" +1v +1y +1a +12" +1m +b11110100110101001101010000000010 / +b11110100110101001101010000000010 K +b11110100110101001101010000000010 e +b11110100110101001101010000000010 "" +b1101000010111101101100101000001 0 +b1101000010111101101100101000001 M +b1101000010111101101100101000001 f +b1101000010111101101100101000001 !" +b10100000110110011100111110110001 &" +b10100000110110011100111110110001 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b11 ~ +b11 )" +b11 ." +b11011 } +b11011 (" +b11011 +" +0. +1% +#8300010 +b10100000110110011100111110110001 `" +#8300500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b111101011100100111010011001011 2 +b111101011100100111010011001011 I +b111101011100100111010011001011 Z +b10001 3 +b10001 J +b10001 Y +b10 ' +b10 D +b0 & +b0 C +0( +b111101011100100111010011001011 , +b111101011100100111010011001011 H +b10001 + +b10001 G +1. +0% +#8301000 +1] +1s +0=" +0>" +1g +1r +1q +05" +07" +b1110 3" +0d +b11101 0" +b10111110010100110011100000101011 { +b10111110010100110011100000101011 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0x +0w +0," +b111101011100100111010011001011 &" +b111101011100100111010011001011 ?" +b10001 %" +b10001 *" +b10001 1" +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +b1101000010111101101100101000001 / +b1101000010111101101100101000001 K +b1101000010111101101100101000001 e +b1101000010111101101100101000001 "" +b1001010000111111011010110100010 0 +b1001010000111111011010110100010 M +b1001010000111111011010110100010 f +b1001010000111111011010110100010 !" +0. +1% +#8301010 +b111101011100100111010011001011 T" +#8301500 +b0 5 +b0 L +b0 W +04 +b10010000100110110011100110101110 2 +b10010000100110110011100110101110 I +b10010000100110110011100110101110 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +b10010000100110110011100110101110 , +b10010000100110110011100110101110 H +b101 + +b101 G +1. +0% +#8302000 +1_ +0] +1@" +1>" +1h +0;" +b11010 3" +0<" +0` +1^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b10111110010100110011100000101011 / +b10111110010100110011100000101011 K +b10111110010100110011100000101011 e +b10111110010100110011100000101011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010000100110110011100110101110 &" +b10010000100110110011100110101110 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8302010 +b10010000100110110011100110101110 H" +#8302500 +b10011 7 +b10011 N +b10011 V +16 +b11101111111111110011001011000010 2 +b11101111111111110011001011000010 I +b11101111111111110011001011000010 Z +b1010 3 +b1010 J +b1010 Y +b10011 & +b10011 C +1( +b11101111111111110011001011000010 , +b11101111111111110011001011000010 H +b1010 + +b1010 G +1. +0% +#8303000 +1=" +1c +b10110001111111000100011101010110 | +b10110001111111000100011101010110 B" +0\ +1[ +0>" +0r +0q +17" +b10101 3" +0^ +b1100 -" +0u +14" +1v +1b +0a +1x +1w +1," +b11101111111111110011001011000010 &" +b11101111111111110011001011000010 ?" +b1010 %" +b1010 *" +b1010 1" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8303010 +b11101111111111110011001011000010 M" +#8303500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8304000 +1] +b11110110111001000000111111011111 { +b11110110111001000000111111011111 A" +1\ +0=" +0@" +0g +1;" +1r +1q +07" +b11111 3" +0c +0_ +b11110 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1m +1/" +0x +0w +0," +b10110001111111000100011101010110 0 +b10110001111111000100011101010110 M +b10110001111111000100011101010110 f +b10110001111111000100011101010110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8304500 +b1011 5 +b1011 L +b1011 W +b10100 7 +b10100 N +b10100 V +16 +b1100110101111000001011010011010 2 +b1100110101111000001011010011010 I +b1100110101111000001011010011010 Z +b110 3 +b110 J +b110 Y +11 +b1011 ' +b1011 D +b10100 & +b10100 C +1( +b1100110101111000001011010011010 , +b1100110101111000001011010011010 H +b110 + +b110 G +1- +1. +0% +#8305000 +0] +0i +0s +b1010011100000011000011100111010 | +b1010011100000011000011100111010 B" +0\ +1>" +1@" +0h +19" +16" +17" +b11001 3" +1^ +1_ +b10100 0" +1o +b1101011011101011100111010111001 { +b1101011011101011100111010111001 A" +b1011 -" +1t +0u +14" +1v +1b +12" +1n +1," +b1100110101111000001011010011010 &" +b1100110101111000001011010011010 ?" +b110 %" +b110 *" +b110 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +b10100 } +b10100 (" +b10100 +" +1#" +b11110110111001000000111111011111 / +b11110110111001000000111111011111 K +b11110110111001000000111111011111 e +b11110110111001000000111111011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8305010 +b1100110101111000001011010011010 I" +#8305500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10011111110110111101001101110010 2 +b10011111110110111101001101110010 I +b10011111110110111101001101110010 Z +b1010 3 +b1010 J +b1010 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10011111110110111101001101110010 , +b10011111110110111101001101110010 H +b1010 + +b1010 G +1. +0% +#8306000 +1=" +1c +1i +1s +0>" +1h +1g +09" +0;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10101 3" +0^ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +04" +0v +0n +0m +0/" +0," +b1101011011101011100111010111001 / +b1101011011101011100111010111001 K +b1101011011101011100111010111001 e +b1101011011101011100111010111001 "" +b1010011100000011000011100111010 0 +b1010011100000011000011100111010 M +b1010011100000011000011100111010 f +b1010011100000011000011100111010 !" +b10011111110110111101001101110010 &" +b10011111110110111101001101110010 ?" +b1010 %" +b1010 *" +b1010 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8306010 +b10011111110110111101001101110010 M" +#8306500 +b11001 5 +b11001 L +b11001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8307000 +1] +0i +b11100110101111111101001001111 { +b11100110101111111101001001111 A" +1\ +0=" +0@" +0g +19" +1;" +b11111 3" +0c +0_ +b110 0" +1o +0k +18" +1l +0b +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8307500 +b0 5 +b0 L +b0 W +b1000 7 +b1000 N +b1000 V +16 +b11010010101000111000010000001 2 +b11010010101000111000010000001 I +b11010010101000111000010000001 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +b1000 & +b1000 C +1( +b11010010101000111000010000001 , +b11010010101000111000010000001 H +b11001 + +b11001 G +1- +1. +0% +#8308000 +0] +1k +1i +0s +b1000010101001010001111110101 | +b1000010101001010001111110101 B" +0[ +1=" +1@" +1g +1;" +09" +15" +17" +b110 3" +1c +0_ +1<" +1` +b11111 0" +08" +0l +0o +b1111101010011010011010100100100 { +b1111101010011010011010100100100 A" +b10111 -" +1y +1u +1a +12" +0m +1," +b11100110101111111101001001111 / +b11100110101111111101001001111 K +b11100110101111111101001001111 e +b11100110101111111101001001111 "" +b11010010101000111000010000001 &" +b11010010101000111000010000001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#8308010 +b11010010101000111000010000001 \" +#8308500 +b1010 5 +b1010 L +b1010 W +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8309000 +16" +1] +0i +1t +1[ +0=" +0@" +0h +19" +0q +05" +b11111 3" +0c +0<" +0` +b10101 0" +1o +b10011111110110111101001101110010 { +b10011111110110111101001101110010 A" +b11010 -" +0y +b10010000100110110011100110101110 | +b10010000100110110011100110101110 B" +0a +02" +1n +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +b101 } +b101 (" +b101 +" +b1111101010011010011010100100100 / +b1111101010011010011010100100100 K +b1111101010011010011010100100100 e +b1111101010011010011010100100100 "" +b1000010101001010001111110101 0 +b1000010101001010001111110101 M +b1000010101001010001111110101 f +b1000010101001010001111110101 !" +0. +1% +#8309500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b1010110100110000111011000001001 2 +b1010110100110000111011000001001 I +b1010110100110000111011000001001 Z +b11111 3 +b11111 J +b11111 Y +11 +b10101 ' +b10101 D +b0 & +b0 C +0( +b1010110100110000111011000001001 , +b1010110100110000111011000001001 H +b11111 + +b11111 G +1- +1. +0% +#8310000 +1:" +0] +0k +1j +1s +0\ +0[ +1=" +1>" +1@" +1h +0g +09" +1q +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1010 0" +18" +1l +0o +b1000011000001011011000101000100 { +b1000011000001011011000101000100 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0n +1m +0w +0," +b10011111110110111101001101110010 / +b10011111110110111101001101110010 K +b10011111110110111101001101110010 e +b10011111110110111101001101110010 "" +b10010000100110110011100110101110 0 +b10010000100110110011100110101110 M +b10010000100110110011100110101110 f +b10010000100110110011100110101110 !" +b1010110100110000111011000001001 &" +b1010110100110000111011000001001 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8310500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8311000 +1] +1i +1\ +1[ +0=" +0>" +0@" +1g +0:" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000011000001011011000101000100 / +b1000011000001011011000101000100 K +b1000011000001011011000101000100 e +b1000011000001011011000101000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8311500 +b101 5 +b101 L +b101 W +14 +b11101 7 +b11101 N +b11101 V +16 +b101 ' +b101 D +1) +b11101 & +b11101 C +1( +1. +0% +#8312000 +0i +b10010000100110110011100110101110 { +b10010000100110110011100110101110 A" +0s +b10100000110110011100111110110001 | +b10100000110110011100111110110001 B" +0g +1:" +1;" +0q +15" +16" +17" +b11010 0" +1j +1k +b10 -" +0t +0y +1z +0u +14" +1v +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101 ~ +b101 )" +b101 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#8312500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b0 ' +b0 D +0) +b1101 & +b1101 C +1. +0% +#8313000 +1i +1u +1g +0:" +0;" +17" +b10000100100001011100111100001000 | +b10000100100001011100111100001000 B" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +04" +0v +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +b10010000100110110011100110101110 / +b10010000100110110011100110101110 K +b10010000100110110011100110101110 e +b10010000100110110011100110101110 "" +b10100000110110011100111110110001 0 +b10100000110110011100111110110001 M +b10100000110110011100111110110001 f +b10100000110110011100111110110001 !" +0. +1% +#8313500 +b101 5 +b101 L +b101 W +14 +b11 7 +b11 N +b11 V +b10001000101100100111110000100 2 +b10001000101100100111110000100 I +b10001000101100100111110000100 Z +b10011 3 +b10011 J +b10011 Y +11 +b101 ' +b101 D +1) +b11 & +b11 C +b10001000101100100111110000100 , +b10001000101100100111110000100 H +b10011 + +b10011 G +1- +1. +0% +#8314000 +0i +b10010000100110110011100110101110 { +b10010000100110110011100110101110 A" +1s +0\ +0[ +1@" +0g +1:" +1;" +0r +05" +06" +b1100 3" +0_ +1<" +1` +b11010 0" +1j +1k +b11100 -" +0z +b1101000010111101101100101000001 | +b1101000010111101101100101000001 B" +1b +1a +12" +1m +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000100100001011100111100001000 0 +b10000100100001011100111100001000 M +b10000100100001011100111100001000 f +b10000100100001011100111100001000 !" +b10001000101100100111110000100 &" +b10001000101100100111110000100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b11 } +b11 (" +b11 +" +0. +1% +#8314010 +b10001000101100100111110000100 V" +#8314500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8315000 +19" +1o +1\ +1[ +0@" +0h +0:" +1r +1q +07" +b11111 3" +0<" +0` +b10100 0" +0j +b1101011011101011100111010111001 { +b1101011011101011100111010111001 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1n +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +b10010000100110110011100110101110 / +b10010000100110110011100110101110 K +b10010000100110110011100110101110 e +b10010000100110110011100110101110 "" +b1101000010111101101100101000001 0 +b1101000010111101101100101000001 M +b1101000010111101101100101000001 f +b1101000010111101101100101000001 !" +0. +1% +#8315500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8316000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1101011011101011100111010111001 / +b1101011011101011100111010111001 K +b1101011011101011100111010111001 e +b1101011011101011100111010111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8316500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#8317000 +0i +b10011111110110111101001101110010 { +b10011111110110111101001101110010 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8317500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8318000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b10011111110110111101001101110010 / +b10011111110110111101001101110010 K +b10011111110110111101001101110010 e +b10011111110110111101001101110010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8318500 +b11 5 +b11 L +b11 W +14 +b11 ' +b11 D +1) +1. +0% +#8319000 +b1101000010111101101100101000001 { +b1101000010111101101100101000001 A" +0h +0g +1;" +b11100 0" +1k +1n +1m +1/" +b11 ~ +b11 )" +b11 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8319500 +b1110 5 +b1110 L +b1110 W +b1100 7 +b1100 N +b1100 V +16 +b1110 ' +b1110 D +b1100 & +b1100 C +1( +1. +0% +#8320000 +0i +0s +b10000010110111001011011010010110 | +b10000010110111001011011010010110 B" +1g +19" +1:" +15" +16" +17" +b10001 0" +0j +0o +1p +b11010000100001001011101011101010 { +b11010000100001001011101011101010 A" +b10011 -" +0t +0y +1z +1u +0m +1," +b1101000010111101101100101000001 / +b1101000010111101101100101000001 K +b1101000010111101101100101000001 e +b1101000010111101101100101000001 "" +b1110 ~ +b1110 )" +b1110 ." +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#8320500 +b1 5 +b1 L +b1 W +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +b0 & +b0 C +0( +1. +0% +#8321000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +0g +09" +0:" +05" +06" +07" +b11110 0" +0p +b11110110111001000000111111011111 { +b11110110111001000000111111011111 A" +b11111 -" +0z +0u +0n +1m +0," +b1 ~ +b1 )" +b1 ." +b0 } +b0 (" +b0 +" +0#" +b11010000100001001011101011101010 / +b11010000100001001011101011101010 K +b11010000100001001011101011101010 e +b11010000100001001011101011101010 "" +b10000010110111001011011010010110 0 +b10000010110111001011011010010110 M +b10000010110111001011011010010110 f +b10000010110111001011011010010110 !" +0. +1% +#8321500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b10110000001001000011000001101000 2 +b10110000001001000011000001101000 I +b10110000001001000011000001101000 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +b10110000001001000011000001101000 , +b10110000001001000011000001101000 H +b1111 + +b1111 G +1- +1. +0% +#8322000 +0] +0s +b1010011100000011000011100111010 | +b1010011100000011000011100111010 B" +0\ +0[ +1=" +1>" +1@" +1g +0;" +16" +17" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1011 -" +1t +0u +14" +1v +1b +1a +12" +0m +0/" +1," +b11110110111001000000111111011111 / +b11110110111001000000111111011111 K +b11110110111001000000111111011111 e +b11110110111001000000111111011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110000001001000011000001101000 &" +b10110000001001000011000001101000 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#8322010 +b10110000001001000011000001101000 R" +#8322500 +b10111 5 +b10111 L +b10111 W +14 +b10 7 +b10 N +b10 V +b10001101011101101110100000110001 2 +b10001101011101101110100000110001 I +b10001101011101101110100000110001 Z +b11001 3 +b11001 J +b11001 Y +b10111 ' +b10111 D +1) +b10 & +b10 C +b10001101011101101110100000110001 , +b10001101011101101110100000110001 H +b11001 + +b11001 G +1. +0% +#8323000 +0_ +1c +0i +b1100100001001100010000110001011 { +b1100100001001100010000110001011 A" +1u +1s +1\ +1=" +0>" +0h +0g +1:" +1;" +0r +17" +06" +b110 3" +1<" +1` +0d +b1000 0" +1j +0k +18" +1l +b11101 -" +04" +0v +0t +b10111110010100110011100000101011 | +b10111110010100110011100000101011 B" +0b +1n +1m +1/" +1x +b10001101011101101110100000110001 &" +b10001101011101101110100000110001 ?" +b11001 %" +b11001 *" +b11001 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10 } +b10 (" +b10 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010011100000011000011100111010 0 +b1010011100000011000011100111010 M +b1010011100000011000011100111010 f +b1010011100000011000011100111010 !" +0. +1% +#8323010 +b10001101011101101110100000110001 \" +#8323500 +b10 5 +b10 L +b10 W +b11100 7 +b11100 N +b11100 V +b11001111111101111110001011111001 2 +b11001111111101111110001011111001 I +b11001111111101111110001011111001 Z +b100 3 +b100 J +b100 Y +b10 ' +b10 D +b11100 & +b11100 C +b11001111111101111110001011111001 , +b11001111111101111110001011111001 H +b100 + +b100 G +1. +0% +#8324000 +1>" +1_ +1^ +1k +1i +0u +0s +1[ +1@" +0=" +1g +1;" +0:" +1r +15" +16" +b11011 3" +0<" +0` +0c +b11101 0" +08" +0l +0j +b10111110010100110011100000101011 { +b10111110010100110011100000101011 A" +b11 -" +14" +1v +0t +0y +1z +b100010011011101101111011110100 | +b100010011011101101111011110100 B" +0a +0m +0x +b1100100001001100010000110001011 / +b1100100001001100010000110001011 K +b1100100001001100010000110001011 e +b1100100001001100010000110001011 "" +b10111110010100110011100000101011 0 +b10111110010100110011100000101011 M +b10111110010100110011100000101011 f +b10111110010100110011100000101011 !" +b11001111111101111110001011111001 &" +b11001111111101111110001011111001 ?" +b100 %" +b100 *" +b100 1" +b10 ~ +b10 )" +b10 ." +b11100 } +b11100 (" +b11100 +" +0. +1% +#8324010 +b11001111111101111110001011111001 G" +#8324500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8325000 +1] +1s +0>" +0@" +1h +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +04" +0v +02" +0n +0/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10111110010100110011100000101011 / +b10111110010100110011100000101011 K +b10111110010100110011100000101011 e +b10111110010100110011100000101011 "" +b100010011011101101111011110100 0 +b100010011011101101111011110100 M +b100010011011101101111011110100 f +b100010011011101101111011110100 !" +0. +1% +#8325500 +1. +0% +#8326000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8326500 +1. +0% +#8327000 +0. +1% +#8327500 +14 +b10111 7 +b10111 N +b10111 V +16 +b10110010011000011000100000010000 2 +b10110010011000011000100000010000 I +b10110010011000011000100000010000 Z +b1100 3 +b1100 J +b1100 Y +11 +1) +b10111 & +b10111 C +1( +b10110010011000011000100000010000 , +b10110010011000011000100000010000 H +b1100 + +b1100 G +1- +1. +0% +#8328000 +0] +b1111101010011010011010100100100 { +b1111101010011010011010100100100 A" +0s +b1100100001001100010000110001011 | +b1100100001001100010000110001011 B" +1=" +1>" +1@" +1;" +0r +0q +16" +17" +b10011 3" +0^ +0c +1d +1_ +1k +b1000 -" +1t +0u +14" +1v +12" +1/" +1x +1w +1," +b10110010011000011000100000010000 &" +b10110010011000011000100000010000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#8328010 +b10110010011000011000100000010000 O" +#8328500 +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8329000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0=" +0>" +0@" +0;" +1r +1q +06" +07" +b11111 3" +0d +0_ +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +b0 } +b0 (" +b0 +" +0#" +b1111101010011010011010100100100 / +b1111101010011010011010100100100 K +b1111101010011010011010100100100 e +b1111101010011010011010100100100 "" +b1100100001001100010000110001011 0 +b1100100001001100010000110001011 M +b1100100001001100010000110001011 f +b1100100001001100010000110001011 !" +0. +1% +#8329500 +b101 5 +b101 L +b101 W +14 +b10001111101000011100001101110100 2 +b10001111101000011100001101110100 I +b10001111101000011100001101110100 Z +b10111 3 +b10111 J +b10111 Y +11 +b101 ' +b101 D +1) +b10001111101000011100001101110100 , +b10001111101000011100001101110100 H +b10111 + +b10111 G +1- +1. +0% +#8330000 +0] +0i +b10010000100110110011100110101110 { +b10010000100110110011100110101110 A" +0\ +0[ +1>" +1@" +0g +1:" +1;" +b1000 3" +1^ +0_ +1<" +1` +b11010 0" +1j +1k +1b +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001111101000011100001101110100 &" +b10001111101000011100001101110100 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +0. +1% +#8330010 +b10001111101000011100001101110100 Z" +#8330500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8331000 +1] +1i +0s +b11110100110101001101010000000010 | +b11110100110101001101010000000010 B" +1\ +1[ +0>" +0@" +1g +0:" +0;" +0r +15" +16" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +b10010000100110110011100110101110 / +b10010000100110110011100110101110 K +b10010000100110110011100110101110 e +b10010000100110110011100110101110 "" +0. +1% +#8331500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8332000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110100110101001101010000000010 0 +b11110100110101001101010000000010 M +b11110100110101001101010000000010 f +b11110100110101001101010000000010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8332500 +b1100 7 +b1100 N +b1100 V +16 +b1100 & +b1100 C +1( +1. +0% +#8333000 +0s +b10110010011000011000100000010000 | +b10110010011000011000100000010000 B" +15" +16" +17" +b10011 -" +0t +0y +1z +1u +1," +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8333500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8334000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +06" +07" +b11111 -" +0z +0u +0," +b10110010011000011000100000010000 0 +b10110010011000011000100000010000 M +b10110010011000011000100000010000 f +b10110010011000011000100000010000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8334500 +b11 5 +b11 L +b11 W +14 +b110 7 +b110 N +b110 V +16 +b10010011010100101101101100111011 2 +b10010011010100101101101100111011 I +b10010011010100101101101100111011 Z +b10111 3 +b10111 J +b10111 Y +11 +b11 ' +b11 D +1) +b110 & +b110 C +1( +b10010011010100101101101100111011 , +b10010011010100101101101100111011 H +b10111 + +b10111 G +1- +1. +0% +#8335000 +0] +b1101000010111101101100101000001 { +b1101000010111101101100101000001 A" +0s +b1100110101111000001011010011010 | +b1100110101111000001011010011010 B" +0\ +0[ +1>" +1@" +0h +0g +1;" +0r +16" +17" +b1000 3" +1^ +0_ +1<" +1` +b11100 0" +1k +b11001 -" +1t +1u +1b +1a +12" +1n +1m +1/" +1x +1," +b10010011010100101101101100111011 &" +b10010011010100101101101100111011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8335010 +b10010011010100101101101100111011 Z" +#8335500 +b111 5 +b111 L +b111 W +b0 7 +b0 N +b0 V +06 +b1010011111110100000010101001 2 +b1010011111110100000010101001 I +b1010011111110100000010101001 Z +b10 3 +b10 J +b10 Y +b111 ' +b111 D +b0 & +b0 C +0( +b1010011111110100000010101001 , +b1010011111110100000010101001 H +b10 + +b10 G +1. +0% +#8336000 +1_ +1] +0i +b11000011000011000010100000010101 { +b11000011000011000010100000010101 A" +1s +1[ +1@" +0>" +1:" +1r +06" +07" +b11101 3" +0<" +0` +0^ +b11000 0" +1j +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0x +0," +b1101000010111101101100101000001 / +b1101000010111101101100101000001 K +b1101000010111101101100101000001 e +b1101000010111101101100101000001 "" +b1100110101111000001011010011010 0 +b1100110101111000001011010011010 M +b1100110101111000001011010011010 f +b1100110101111000001011010011010 !" +b1010011111110100000010101001 &" +b1010011111110100000010101001 ?" +b10 %" +b10 *" +b10 1" +b111 ~ +b111 )" +b111 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8336010 +b1010011111110100000010101001 E" +#8336500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8337000 +1i +1\ +0@" +1h +1g +0:" +0;" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000011000011000010100000010101 / +b11000011000011000010100000010101 K +b11000011000011000010100000010101 e +b11000011000011000010100000010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8337500 +b11101011111010111001111101001 2 +b11101011111010111001111101001 I +b11101011111010111001111101001 Z +b1010 3 +b1010 J +b1010 Y +11 +b11101011111010111001111101001 , +b11101011111010111001111101001 H +b1010 + +b1010 G +1- +1. +0% +#8338000 +0] +0\ +1=" +1@" +b10101 3" +1c +1_ +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011111010111001111101001 &" +b11101011111010111001111101001 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +0. +1% +#8338010 +b11101011111010111001111101001 M" +#8338500 +b10110010001000010111101010111111 2 +b10110010001000010111101010111111 I +b10110010001000010111101010111111 Z +b11001 3 +b11001 J +b11001 Y +b10110010001000010111101010111111 , +b10110010001000010111101010111111 H +b11001 + +b11001 G +1. +0% +#8339000 +0_ +1\ +0[ +b110 3" +1<" +1` +0b +1a +b10110010001000010111101010111111 &" +b10110010001000010111101010111111 ?" +b11001 %" +b11001 *" +b11001 1" +0. +1% +#8339010 +b10110010001000010111101010111111 \" +#8339500 +b1001011111110100101110010110000 2 +b1001011111110100101110010110000 I +b1001011111110100101110010110000 Z +b10 3 +b10 J +b10 Y +b1001011111110100101110010110000 , +b1001011111110100101110010110000 H +b10 + +b10 G +1. +0% +#8340000 +1_ +1] +0\ +1[ +1@" +0=" +b11101 3" +0<" +0` +0c +1b +0a +b1001011111110100101110010110000 &" +b1001011111110100101110010110000 ?" +b10 %" +b10 *" +b10 1" +0. +1% +#8340010 +b1001011111110100101110010110000 E" +#8340500 +b1101 7 +b1101 N +b1101 V +16 +b1000111010110010011100011110001 2 +b1000111010110010011100011110001 I +b1000111010110010011100011110001 Z +b10000 3 +b10000 J +b10000 Y +b1101 & +b1101 C +1( +b1000111010110010011100011110001 , +b1000111010110010011100011110001 H +b10000 + +b10000 G +1. +0% +#8341000 +0_ +0s +b10000100100001011100111100001000 | +b10000100100001011100111100001000 B" +1\ +0q +15" +16" +17" +b1111 3" +1<" +1` +b10010 -" +0t +0y +1z +1u +0b +1w +1," +b1000111010110010011100011110001 &" +b1000111010110010011100011110001 ?" +b10000 %" +b10000 *" +b10000 1" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#8341010 +b1000111010110010011100011110001 S" +#8341500 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8342000 +0u +0@" +b10100000110110011100111110110001 | +b10100000110110011100111110110001 B" +b11111 3" +0<" +0` +b10 -" +14" +1v +02" +b10000100100001011100111100001000 0 +b10000100100001011100111100001000 M +b10000100100001011100111100001000 f +b10000100100001011100111100001000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +0. +1% +#8342500 +b0 7 +b0 N +b0 V +06 +b100011000110111110110111100000 2 +b100011000110111110110111100000 I +b100011000110111110110111100000 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 & +b0 C +0( +b100011000110111110110111100000 , +b100011000110111110110111100000 H +b1111 + +b1111 G +1- +1. +0% +#8343000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1q +05" +06" +07" +b10000 3" +0^ +0c +1d +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b100011000110111110110111100000 &" +b100011000110111110110111100000 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10100000110110011100111110110001 0 +b10100000110110011100111110110001 M +b10100000110110011100111110110001 f +b10100000110110011100111110110001 !" +0. +1% +#8343010 +b100011000110111110110111100000 R" +#8343500 +b10111 5 +b10111 L +b10111 W +14 +b101 7 +b101 N +b101 V +16 +b1100011000100000111011110010010 2 +b1100011000100000111011110010010 I +b1100011000100000111011110010010 Z +b11 3 +b11 J +b11 Y +b10111 ' +b10111 D +1) +b101 & +b101 C +1( +b1100011000100000111011110010010 , +b1100011000100000111011110010010 H +b11 + +b11 G +1. +0% +#8344000 +1] +0i +b10010011010100101101101100111011 { +b10010011010100101101101100111011 A" +0s +b10010000100110110011100110101110 | +b10010000100110110011100110101110 B" +0=" +0>" +0h +0g +1:" +1;" +0q +16" +17" +b11100 3" +0d +b1000 0" +1j +0k +18" +1l +b11010 -" +1t +1u +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100011000100000111011110010010 &" +b1100011000100000111011110010010 ?" +b11 %" +b11 *" +b11 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#8344010 +b1100011000100000111011110010010 F" +#8344500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8345000 +1i +1s +1\ +1[ +0@" +1h +1g +0:" +0;" +1q +06" +07" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10010011010100101101101100111011 / +b10010011010100101101101100111011 K +b10010011010100101101101100111011 e +b10010011010100101101101100111011 "" +b10010000100110110011100110101110 0 +b10010000100110110011100110101110 M +b10010000100110110011100110101110 f +b10010000100110110011100110101110 !" +0. +1% +#8345500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#8346000 +b11110110111001000000111111011111 | +b11110110111001000000111111011111 B" +0q +17" +b11110 -" +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#8346500 +b10 7 +b10 N +b10 V +b11000011001011010001010000111001 2 +b11000011001011010001010000111001 I +b11000011001011010001010000111001 Z +b10100 3 +b10100 J +b10100 Y +11 +b10 & +b10 C +b11000011001011010001010000111001 , +b11000011001011010001010000111001 H +b10100 + +b10100 G +1- +1. +0% +#8347000 +0] +1>" +1@" +0r +1q +b1011 3" +1^ +0_ +1<" +1` +b11101 -" +b1001011111110100101110010110000 | +b1001011111110100101110010110000 B" +12" +1x +0w +b11000011001011010001010000111001 &" +b11000011001011010001010000111001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b10 } +b10 (" +b10 +" +b11110110111001000000111111011111 0 +b11110110111001000000111111011111 M +b11110110111001000000111111011111 f +b11110110111001000000111111011111 !" +0. +1% +#8347010 +b11000011001011010001010000111001 W" +#8347500 +b10101 7 +b10101 N +b10101 V +b11001011010011001010101010101001 2 +b11001011010011001010101010101001 I +b11001011010011001010101010101001 Z +b10001 3 +b10001 J +b10001 Y +b10101 & +b10101 C +b11001011010011001010101010101001 , +b11001011010011001010101010101001 H +b10001 + +b10001 G +1. +0% +#8348000 +1] +0u +0s +0[ +0>" +1r +0q +16" +b1110 3" +0^ +b1010 -" +14" +1v +1t +b1000011000001011011000101000100 | +b1000011000001011011000101000100 B" +1a +0x +1w +b1001011111110100101110010110000 0 +b1001011111110100101110010110000 M +b1001011111110100101110010110000 f +b1001011111110100101110010110000 !" +b11001011010011001010101010101001 &" +b11001011010011001010101010101001 ?" +b10001 %" +b10001 *" +b10001 1" +b10101 } +b10101 (" +b10101 +" +0. +1% +#8348010 +b11001011010011001010101010101001 T" +#8348500 +b1 5 +b1 L +b1 W +14 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8349000 +b11110110111001000000111111011111 { +b11110110111001000000111111011111 A" +1u +1s +1[ +0@" +0g +1;" +0r +1q +17" +06" +b11111 3" +0<" +0` +b11110 0" +1k +b11101 -" +04" +0v +0t +b1001011111110100101110010110000 | +b1001011111110100101110010110000 B" +0a +02" +1m +1/" +1x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +b10 } +b10 (" +b10 +" +b1000011000001011011000101000100 0 +b1000011000001011011000101000100 M +b1000011000001011011000101000100 f +b1000011000001011011000101000100 !" +0. +1% +#8349500 +b11000 5 +b11000 L +b11000 W +b0 7 +b0 N +b0 V +06 +b101010010101100110100101111000 2 +b101010010101100110100101111000 I +b101010010101100110100101111000 Z +b10101 3 +b10101 J +b10101 Y +11 +b11000 ' +b11000 D +b0 & +b0 C +0( +b101010010101100110100101111000 , +b101010010101100110100101111000 H +b10101 + +b10101 G +1- +1. +0% +#8350000 +0] +0k +0i +0[ +1>" +1@" +1g +19" +1r +07" +b1010 3" +1^ +0_ +1<" +1` +b111 0" +18" +1l +1o +b100001111011110000001000101 { +b100001111011110000001000101 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0m +0x +0," +b11110110111001000000111111011111 / +b11110110111001000000111111011111 K +b11110110111001000000111111011111 e +b11110110111001000000111111011111 "" +b1001011111110100101110010110000 0 +b1001011111110100101110010110000 M +b1001011111110100101110010110000 f +b1001011111110100101110010110000 !" +b101010010101100110100101111000 &" +b101010010101100110100101111000 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8350010 +b101010010101100110100101111000 X" +#8350500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8351000 +1] +1i +1[ +0>" +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +08" +0l +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b100001111011110000001000101 / +b100001111011110000001000101 K +b100001111011110000001000101 e +b100001111011110000001000101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8351500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#8352000 +0s +b11000001001110000010011001001011 | +b11000001001110000010011001001011 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#8352500 +b11001 7 +b11001 N +b11001 V +b11001 & +b11001 C +1. +0% +#8353000 +0u +b10110010001000010111101010111111 | +b10110010001000010111101010111111 B" +b110 -" +14" +1v +b11001 } +b11001 (" +b11001 +" +b11000001001110000010011001001011 0 +b11000001001110000010011001001011 M +b11000001001110000010011001001011 f +b11000001001110000010011001001011 !" +0. +1% +#8353500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8354000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b10110010001000010111101010111111 0 +b10110010001000010111101010111111 M +b10110010001000010111101010111111 f +b10110010001000010111101010111111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8354500 +b111 5 +b111 L +b111 W +14 +b11111 7 +b11111 N +b11111 V +16 +b111 ' +b111 D +1) +b11111 & +b11111 C +1( +1. +0% +#8355000 +0i +b11000011000011000010100000010101 { +b11000011000011000010100000010101 A" +0s +b1010110100110000111011000001001 | +b1010110100110000111011000001001 B" +0h +0g +1:" +1;" +0r +0q +15" +16" +17" +b11000 0" +1j +1k +b0 -" +0t +0y +1z +0u +14" +1v +1n +1m +1/" +1x +1w +1," +b111 ~ +b111 )" +b111 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8355500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +b0 ' +b0 D +0) +b11100 & +b11100 C +1. +0% +#8356000 +1i +1h +1g +0:" +0;" +1r +1q +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +b100010011011101101111011110100 | +b100010011011101101111011110100 B" +0n +0m +0/" +0x +0w +b11000011000011000010100000010101 / +b11000011000011000010100000010101 K +b11000011000011000010100000010101 e +b11000011000011000010100000010101 "" +b1010110100110000111011000001001 0 +b1010110100110000111011000001001 M +b1010110100110000111011000001001 f +b1010110100110000111011000001001 !" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +0. +1% +#8356500 +b0 7 +b0 N +b0 V +06 +b1100010100111001101100011100111 2 +b1100010100111001101100011100111 I +b1100010100111001101100011100111 Z +11 +b0 & +b0 C +0( +b1100010100111001101100011100111 , +b1100010100111001101100011100111 H +1- +1. +0% +#8357000 +1s +1@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1_ +b11111 -" +0z +04" +0v +12" +0," +b1100010100111001101100011100111 &" +b1100010100111001101100011100111 ?" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010011011101101111011110100 0 +b100010011011101101111011110100 M +b100010011011101101111011110100 f +b100010011011101101111011110100 !" +0. +1% +#8357010 +b1100010100111001101100011100111 C" +#8357500 +b11000 5 +b11000 L +b11000 W +14 +b1011011001100100111000100001010 2 +b1011011001100100111000100001010 I +b1011011001100100111000100001010 Z +b10010 3 +b10010 J +b10010 Y +b11000 ' +b11000 D +1) +b1011011001100100111000100001010 , +b1011011001100100111000100001010 H +b10010 + +b10010 G +1. +0% +#8358000 +0_ +0i +b100001111011110000001000101 { +b100001111011110000001000101 A" +0\ +19" +1;" +b1101 3" +1<" +1` +b111 0" +1o +0k +18" +1l +1b +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011011001100100111000100001010 &" +b1011011001100100111000100001010 ?" +b10010 %" +b10010 *" +b10010 1" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#8358010 +b1011011001100100111000100001010 U" +#8358500 +b100 5 +b100 L +b100 W +b11101110110110111011001001100011 2 +b11101110110110111011001001100011 I +b11101110110110111011001001100011 Z +b101 3 +b101 J +b101 Y +b100 ' +b100 D +b11101110110110111011001001100011 , +b11101110110110111011001001100011 H +b101 + +b101 G +1. +0% +#8359000 +1:" +1_ +0] +1k +1j +1\ +0[ +1@" +1>" +1;" +b11001111111101111110001011111001 { +b11001111111101111110001011111001 A" +09" +b11010 3" +0<" +0` +1^ +b11011 0" +08" +0l +0o +0b +1a +b11101110110110111011001001100011 &" +b11101110110110111011001001100011 ?" +b101 %" +b101 *" +b101 1" +b100 ~ +b100 )" +b100 ." +b100001111011110000001000101 / +b100001111011110000001000101 K +b100001111011110000001000101 e +b100001111011110000001000101 "" +0. +1% +#8359010 +b11101110110110111011001001100011 H" +#8359500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8360000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1[ +0>" +0@" +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0j +0k +0a +02" +0/" +b11001111111101111110001011111001 / +b11001111111101111110001011111001 K +b11001111111101111110001011111001 e +b11001111111101111110001011111001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8360500 +b10 7 +b10 N +b10 V +16 +b10 & +b10 C +1( +1. +0% +#8361000 +b1001011111110100101110010110000 | +b1001011111110100101110010110000 B" +0r +17" +b11101 -" +1u +1x +1," +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8361500 +b11001 7 +b11001 N +b11001 V +b11001 & +b11001 C +1. +0% +#8362000 +0u +0s +1r +0q +15" +b110 -" +14" +1v +1y +b10110010001000010111101010111111 | +b10110010001000010111101010111111 B" +0x +1w +b1001011111110100101110010110000 0 +b1001011111110100101110010110000 M +b1001011111110100101110010110000 f +b1001011111110100101110010110000 !" +b11001 } +b11001 (" +b11001 +" +0. +1% +#8362500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8363000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10110010001000010111101010111111 0 +b10110010001000010111101010111111 M +b10110010001000010111101010111111 f +b10110010001000010111101010111111 !" +0. +1% +#8363500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#8364000 +0i +b10100000110110011100111110110001 { +b10100000110110011100111110110001 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#8364500 +b0 5 +b0 L +b0 W +04 +b10110101111110101001000111111111 2 +b10110101111110101001000111111111 I +b10110101111110101001000111111111 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b10110101111110101001000111111111 , +b10110101111110101001000111111111 H +b111 + +b111 G +1- +1. +0% +#8365000 +0] +1i +0\ +0[ +1>" +1@" +1g +09" +0:" +0;" +b11000 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0m +0/" +b10110101111110101001000111111111 &" +b10110101111110101001000111111111 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10100000110110011100111110110001 / +b10100000110110011100111110110001 K +b10100000110110011100111110110001 e +b10100000110110011100111110110001 "" +0. +1% +#8365010 +b10110101111110101001000111111111 J" +#8365500 +b11111 5 +b11111 L +b11111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8366000 +1] +0i +b1010110100110000111011000001001 { +b1010110100110000111011000001001 A" +1\ +1[ +0>" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0^ +0_ +b0 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#8366500 +b10011 5 +b10011 L +b10011 W +b10001 7 +b10001 N +b10001 V +16 +b10011 ' +b10011 D +b10001 & +b10001 C +1( +1. +0% +#8367000 +1i +b10001000101100100111110000100 { +b10001000101100100111110000100 A" +b11001011010011001010101010101001 | +b11001011010011001010101010101001 B" +09" +0:" +0q +17" +b1100 0" +0p +b1110 -" +0u +14" +1v +1w +1," +b10011 ~ +b10011 )" +b10011 ." +b10001 } +b10001 (" +b10001 +" +1#" +b1010110100110000111011000001001 / +b1010110100110000111011000001001 K +b1010110100110000111011000001001 e +b1010110100110000111011000001001 "" +0. +1% +#8367500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8368000 +1h +1g +0;" +1q +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0w +0," +b10001000101100100111110000100 / +b10001000101100100111110000100 K +b10001000101100100111110000100 e +b10001000101100100111110000100 "" +b11001011010011001010101010101001 0 +b11001011010011001010101010101001 M +b11001011010011001010101010101001 f +b11001011010011001010101010101001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8368500 +b1001 5 +b1001 L +b1001 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1001 ' +b1001 D +1) +b1000 & +b1000 C +1( +1. +0% +#8369000 +0i +b11000001001110000010011001001011 { +b11000001001110000010011001001011 A" +0s +b1000010101001010001111110101 | +b1000010101001010001111110101 B" +0g +19" +1;" +15" +17" +b10110 0" +1o +1k +b10111 -" +1y +1u +1m +1/" +1," +b1001 ~ +b1001 )" +b1001 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8369500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8370000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1g +09" +0;" +05" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +0u +0m +0/" +0," +b11000001001110000010011001001011 / +b11000001001110000010011001001011 K +b11000001001110000010011001001011 e +b11000001001110000010011001001011 "" +b1000010101001010001111110101 0 +b1000010101001010001111110101 M +b1000010101001010001111110101 f +b1000010101001010001111110101 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8370500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#8371000 +0i +b1101011011101011100111010111001 { +b1101011011101011100111010111001 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8371500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +1. +0% +#8372000 +1i +0s +b1101011011101011100111010111001 | +b1101011011101011100111010111001 B" +1h +1g +09" +0;" +0r +0q +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +1y +1u +0n +0m +0/" +1x +1w +1," +b1101011011101011100111010111001 / +b1101011011101011100111010111001 K +b1101011011101011100111010111001 e +b1101011011101011100111010111001 "" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#8372500 +14 +b1 7 +b1 N +b1 V +1) +b1 & +b1 C +1. +0% +#8373000 +b1100010100111001101100011100111 { +b1100010100111001101100011100111 A" +1s +1;" +1r +05" +1k +b11110 -" +0y +b11110110111001000000111111011111 | +b11110110111001000000111111011111 B" +1/" +0x +1$" +b1 } +b1 (" +b1 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101011011101011100111010111001 0 +b1101011011101011100111010111001 M +b1101011011101011100111010111001 f +b1101011011101011100111010111001 !" +0. +1% +#8373500 +04 +b0 7 +b0 N +b0 V +06 +0) +b0 & +b0 C +0( +1. +0% +#8374000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +1q +07" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0w +0," +b1100010100111001101100011100111 / +b1100010100111001101100011100111 K +b1100010100111001101100011100111 e +b1100010100111001101100011100111 "" +b11110110111001000000111111011111 0 +b11110110111001000000111111011111 M +b11110110111001000000111111011111 f +b11110110111001000000111111011111 !" +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8374500 +b101000011100110110111111000110 2 +b101000011100110110111111000110 I +b101000011100110110111111000110 Z +b11001 3 +b11001 J +b11001 Y +11 +b101000011100110110111111000110 , +b101000011100110110111111000110 H +b11001 + +b11001 G +1- +1. +0% +#8375000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b101000011100110110111111000110 &" +b101000011100110110111111000110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8375010 +b101000011100110110111111000110 \" +#8375500 +b1101 5 +b1101 L +b1101 W +14 +b10100 7 +b10100 N +b10100 V +16 +b10111000101111100101110000110 2 +b10111000101111100101110000110 I +b10111000101111100101110000110 Z +b1001 3 +b1001 J +b1001 Y +b1101 ' +b1101 D +1) +b10100 & +b10100 C +1( +b10111000101111100101110000110 , +b10111000101111100101110000110 H +b1001 + +b1001 G +1. +0% +#8376000 +1_ +0i +b10000100100001011100111100001000 { +b10000100100001011100111100001000 A" +0s +b11000011001011010001010000111001 | +b11000011001011010001010000111001 B" +1@" +0g +19" +1:" +1;" +16" +17" +b10110 3" +0<" +0` +b10010 0" +0j +0o +1p +1k +b1011 -" +1t +0u +14" +1v +1m +1/" +1," +b10111000101111100101110000110 &" +b10111000101111100101110000110 ?" +b1001 %" +b1001 *" +b1001 1" +b1101 ~ +b1101 )" +b1101 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#8376010 +b10111000101111100101110000110 L" +#8376500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1101 & +b1101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8377000 +1] +1i +1u +0t +1[ +0=" +0@" +1g +09" +0:" +0;" +0q +17" +15" +b11111 3" +0c +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10010 -" +04" +0v +1z +b10000100100001011100111100001000 | +b10000100100001011100111100001000 B" +0a +02" +0m +0/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +b10000100100001011100111100001000 / +b10000100100001011100111100001000 K +b10000100100001011100111100001000 e +b10000100100001011100111100001000 "" +b11000011001011010001010000111001 0 +b11000011001011010001010000111001 M +b11000011001011010001010000111001 f +b11000011001011010001010000111001 !" +0. +1% +#8377500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +1. +0% +#8378000 +0i +b10100000110110011100111110110001 { +b10100000110110011100111110110001 A" +1s +0g +19" +1:" +1;" +1q +05" +06" +07" +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000100100001011100111100001000 0 +b10000100100001011100111100001000 M +b10000100100001011100111100001000 f +b10000100100001011100111100001000 !" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8378500 +b1 5 +b1 L +b1 W +b1 ' +b1 D +1. +0% +#8379000 +1k +1i +1;" +b11110110111001000000111111011111 { +b11110110111001000000111111011111 A" +09" +0:" +b11110 0" +08" +0l +0p +b1 ~ +b1 )" +b1 ." +b10100000110110011100111110110001 / +b10100000110110011100111110110001 K +b10100000110110011100111110110001 e +b10100000110110011100111110110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8379500 +b110 5 +b110 L +b110 W +b11101111101101100100000011001111 2 +b11101111101101100100000011001111 I +b11101111101101100100000011001111 Z +b11 3 +b11 J +b11 Y +11 +b110 ' +b110 D +b11101111101101100100000011001111 , +b11101111101101100100000011001111 H +b11 + +b11 G +1- +1. +0% +#8380000 +0i +0\ +0[ +1@" +0h +1g +1:" +b11100 3" +1_ +b11001 0" +1j +b1100110101111000001011010011010 { +b1100110101111000001011010011010 A" +1b +1a +12" +1n +0m +b11110110111001000000111111011111 / +b11110110111001000000111111011111 K +b11110110111001000000111111011111 e +b11110110111001000000111111011111 "" +b11101111101101100100000011001111 &" +b11101111101101100100000011001111 ?" +b11 %" +b11 *" +b11 1" +1'" +b110 ~ +b110 )" +b110 ." +0. +1% +#8380010 +b11101111101101100100000011001111 F" +#8380500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8381000 +1i +1\ +1[ +0@" +1h +0:" +0;" +b11111 3" +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100110101111000001011010011010 / +b1100110101111000001011010011010 K +b1100110101111000001011010011010 e +b1100110101111000001011010011010 "" +0. +1% +#8381500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#8382000 +0s +b100010011011101101111011110100 | +b100010011011101101111011110100 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#8382500 +b100 5 +b100 L +b100 W +14 +b10 7 +b10 N +b10 V +b100 ' +b100 D +1) +b10 & +b10 C +1. +0% +#8383000 +0i +b11001111111101111110001011111001 { +b11001111111101111110001011111001 A" +1u +1s +1:" +1;" +0r +17" +05" +06" +b11011 0" +1j +1k +b11101 -" +04" +0v +0z +b1001011111110100101110010110000 | +b1001011111110100101110010110000 B" +1/" +1x +b100 ~ +b100 )" +b100 ." +1$" +b10 } +b10 (" +b10 +" +b100010011011101101111011110100 0 +b100010011011101101111011110100 M +b100010011011101101111011110100 f +b100010011011101101111011110100 !" +0. +1% +#8383500 +b1010 5 +b1010 L +b1010 W +b1100 7 +b1100 N +b1100 V +b11000000101000110010001010001110 2 +b11000000101000110010001010001110 I +b11000000101000110010001010001110 Z +b10010 3 +b10010 J +b10010 Y +11 +b1010 ' +b1010 D +b1100 & +b1100 C +b11000000101000110010001010001110 , +b11000000101000110010001010001110 H +b10010 + +b10010 G +1- +1. +0% +#8384000 +19" +1o +0s +0\ +1@" +0h +0:" +1r +15" +16" +b1101 3" +0_ +1<" +1` +b10101 0" +0j +b11101011111010111001111101001 { +b11101011111010111001111101001 A" +b10011 -" +0t +0y +1z +b10110010011000011000100000010000 | +b10110010011000011000100000010000 B" +1b +12" +1n +0x +b11001111111101111110001011111001 / +b11001111111101111110001011111001 K +b11001111111101111110001011111001 e +b11001111111101111110001011111001 "" +b1001011111110100101110010110000 0 +b1001011111110100101110010110000 M +b1001011111110100101110010110000 f +b1001011111110100101110010110000 !" +b11000000101000110010001010001110 &" +b11000000101000110010001010001110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b1100 } +b1100 (" +b1100 +" +0. +1% +#8384010 +b11000000101000110010001010001110 U" +#8384500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8385000 +0k +0o +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0@" +b11110100110101001101010000000010 { +b11110100110101001101010000000010 A" +1:" +05" +06" +07" +b11111 3" +0<" +0` +b1 0" +18" +1l +1p +b11111 -" +0z +0u +0b +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +b11101011111010111001111101001 / +b11101011111010111001111101001 K +b11101011111010111001111101001 e +b11101011111010111001111101001 "" +b10110010011000011000100000010000 0 +b10110010011000011000100000010000 M +b10110010011000011000100000010000 f +b10110010011000011000100000010000 !" +0. +1% +#8385500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8386000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b11110100110101001101010000000010 / +b11110100110101001101010000000010 K +b11110100110101001101010000000010 e +b11110100110101001101010000000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8386500 +1. +0% +#8387000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8387500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#8388000 +0i +b10010101011110001011010101000101 { +b10010101011110001011010101000101 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#8388500 +b1011 5 +b1011 L +b1011 W +b1111001011011011000000010110001 2 +b1111001011011011000000010110001 I +b1111001011011011000000010110001 Z +b10110 3 +b10110 J +b10110 Y +11 +b1011 ' +b1011 D +b1111001011011011000000010110001 , +b1111001011011011000000010110001 H +b10110 + +b10110 G +1- +1. +0% +#8389000 +0] +1k +0\ +1>" +1@" +0g +1;" +b1001 3" +1^ +0_ +1<" +1` +b10100 0" +08" +0l +b1101011011101011100111010111001 { +b1101011011101011100111010111001 A" +1b +12" +1m +b1111001011011011000000010110001 &" +b1111001011011011000000010110001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +b10010101011110001011010101000101 / +b10010101011110001011010101000101 K +b10010101011110001011010101000101 e +b10010101011110001011010101000101 "" +0. +1% +#8389010 +b1111001011011011000000010110001 Y" +#8389500 +b0 5 +b0 L +b0 W +04 +b11010010101011101000011110001010 2 +b11010010101011101000011110001010 I +b11010010101011101000011110001010 Z +b0 3 +b0 J +b0 Y +b0 ' +b0 D +0) +b11010010101011101000011110001010 , +b11010010101011101000011110001010 H +b0 + +b0 G +1. +0% +#8390000 +1_ +1] +1i +1\ +1@" +0>" +1h +1g +09" +0;" +b11111 3" +0<" +0` +0^ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0n +0m +0/" +b1101011011101011100111010111001 / +b1101011011101011100111010111001 K +b1101011011101011100111010111001 e +b1101011011101011100111010111001 "" +b11010010101011101000011110001010 &" +b11010010101011101000011110001010 ?" +b0 %" +b0 *" +b0 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8390010 +b11010010101011101000011110001010 C" +#8390500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#8391000 +0@" +0_ +02" +b0 &" +b0 ?" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8391500 +1. +0% +#8392000 +0. +1% +#8392500 +b11001 5 +b11001 L +b11001 W +14 +b11001 ' +b11001 D +1) +1. +0% +#8393000 +0i +b101000011100110110111111000110 { +b101000011100110110111111000110 A" +0g +19" +1;" +b110 0" +1o +0k +18" +1l +1m +1/" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#8393500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8394000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b101000011100110110111111000110 / +b101000011100110110111111000110 K +b101000011100110110111111000110 e +b101000011100110110111111000110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8394500 +b11100 5 +b11100 L +b11100 W +14 +b10011 7 +b10011 N +b10011 V +16 +b11100 ' +b11100 D +1) +b10011 & +b10011 C +1( +1. +0% +#8395000 +0i +b100010011011101101111011110100 { +b100010011011101101111011110100 A" +b10001000101100100111110000100 | +b10001000101100100111110000100 B" +19" +1:" +1;" +0r +0q +17" +b11 0" +0j +0o +1p +0k +18" +1l +b1100 -" +0u +14" +1v +1/" +1x +1w +1," +b11100 ~ +b11100 )" +b11100 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8395500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11001101100101111010101111110011 2 +b11001101100101111010101111110011 I +b11001101100101111010101111110011 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11001101100101111010101111110011 , +b11001101100101111010101111110011 H +b10 + +b10 G +1- +1. +0% +#8396000 +1i +0\ +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +07" +b11101 3" +1_ +b11111 0" +0p +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0/" +0x +0w +0," +b100010011011101101111011110100 / +b100010011011101101111011110100 K +b100010011011101101111011110100 e +b100010011011101101111011110100 "" +b10001000101100100111110000100 0 +b10001000101100100111110000100 M +b10001000101100100111110000100 f +b10001000101100100111110000100 !" +b11001101100101111010101111110011 &" +b11001101100101111010101111110011 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8396010 +b11001101100101111010101111110011 E" +#8396500 +b1011 7 +b1011 N +b1011 V +16 +b1000100111011101010011101001100 2 +b1000100111011101010011101001100 I +b1000100111011101010011101001100 Z +b1100 3 +b1100 J +b1100 Y +b1011 & +b1011 C +1( +b1000100111011101010011101001100 , +b1000100111011101010011101001100 H +b1100 + +b1100 G +1. +0% +#8397000 +0] +0s +b1101011011101011100111010111001 | +b1101011011101011100111010111001 B" +1\ +1=" +1>" +0r +0q +15" +17" +b10011 3" +0^ +0c +1d +b10100 -" +1y +1u +0b +1x +1w +1," +b1000100111011101010011101001100 &" +b1000100111011101010011101001100 ?" +b1100 %" +b1100 *" +b1100 1" +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8397010 +b1000100111011101010011101001100 O" +#8397500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8398000 +1] +1s +0=" +0>" +0@" +1r +1q +05" +07" +b11111 3" +0d +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0w +0," +b1101011011101011100111010111001 0 +b1101011011101011100111010111001 M +b1101011011101011100111010111001 f +b1101011011101011100111010111001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8398500 +b1011010111001101010010110001101 2 +b1011010111001101010010110001101 I +b1011010111001101010010110001101 Z +b100 3 +b100 J +b100 Y +11 +b1011010111001101010010110001101 , +b1011010111001101010010110001101 H +b100 + +b100 G +1- +1. +0% +#8399000 +0] +1>" +1@" +b11011 3" +1^ +1_ +12" +b1011010111001101010010110001101 &" +b1011010111001101010010110001101 ?" +b100 %" +b100 *" +b100 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8399010 +b1011010111001101010010110001101 G" +#8399500 +b10 5 +b10 L +b10 W +14 +b100110001111111001000011010001 2 +b100110001111111001000011010001 I +b100110001111111001000011010001 Z +b10001 3 +b10001 J +b10001 Y +b10 ' +b10 D +1) +b100110001111111001000011010001 , +b100110001111111001000011010001 H +b10001 + +b10001 G +1. +0% +#8400000 +0_ +1] +b11001101100101111010101111110011 { +b11001101100101111010101111110011 A" +0[ +0>" +0h +1;" +b1110 3" +1<" +1` +0^ +b11101 0" +1k +1a +1n +1/" +b100110001111111001000011010001 &" +b100110001111111001000011010001 ?" +b10001 %" +b10001 *" +b10001 1" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#8400010 +b100110001111111001000011010001 T" +#8400500 +b1001 5 +b1001 L +b1001 W +b1011 7 +b1011 N +b1011 V +16 +b100110111010010110111011001 2 +b100110111010010110111011001 I +b100110111010010110111011001 Z +b1100 3 +b1100 J +b1100 Y +b1001 ' +b1001 D +b1011 & +b1011 C +1( +b100110111010010110111011001 , +b100110111010010110111011001 H +b1100 + +b1100 G +1. +0% +#8401000 +1_ +0] +0i +0s +b1101011011101011100111010111001 | +b1101011011101011100111010111001 B" +1[ +1@" +1=" +1>" +1h +0g +19" +0r +0q +15" +17" +b10011 3" +0<" +0` +0^ +0c +1d +b10110 0" +1o +b10111000101111100101110000110 { +b10111000101111100101110000110 A" +b10100 -" +1y +1u +0a +0n +1m +1x +1w +1," +b100110111010010110111011001 &" +b100110111010010110111011001 ?" +b1100 %" +b1100 *" +b1100 1" +b1001 ~ +b1001 )" +b1001 ." +b1011 } +b1011 (" +b1011 +" +1#" +b11001101100101111010101111110011 / +b11001101100101111010101111110011 K +b11001101100101111010101111110011 e +b11001101100101111010101111110011 "" +0. +1% +#8401010 +b100110111010010110111011001 O" +#8401500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8402000 +1] +1i +0y +0=" +0>" +0@" +1g +09" +0;" +1r +1q +16" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10011 -" +1z +b100110111010010110111011001 | +b100110111010010110111011001 B" +02" +0m +0/" +0x +0w +b10111000101111100101110000110 / +b10111000101111100101110000110 K +b10111000101111100101110000110 e +b10111000101111100101110000110 "" +b1101011011101011100111010111001 0 +b1101011011101011100111010111001 M +b1101011011101011100111010111001 f +b1101011011101011100111010111001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#8402500 +b100 7 +b100 N +b100 V +b11010101001110101001010111010111 2 +b11010101001110101001010111010111 I +b11010101001110101001010111010111 Z +b1010 3 +b1010 J +b1010 Y +11 +b100 & +b100 C +b11010101001110101001010111010111 , +b11010101001110101001010111010111 H +b1010 + +b1010 G +1- +1. +0% +#8403000 +0] +1t +b1011010111001101010010110001101 | +b1011010111001101010010110001101 B" +0\ +1=" +1@" +05" +16" +b10101 3" +1c +1_ +b11011 -" +0z +1b +12" +b11010101001110101001010111010111 &" +b11010101001110101001010111010111 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b100 } +b100 (" +b100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100110111010010110111011001 0 +b100110111010010110111011001 M +b100110111010010110111011001 f +b100110111010010110111011001 !" +0. +1% +#8403010 +b11010101001110101001010111010111 M" +#8403500 +b10001 7 +b10001 N +b10001 V +b10010011100010011010010011111111 2 +b10010011100010011010010011111111 I +b10010011100010011010010011111111 Z +b100 3 +b100 J +b100 Y +b10001 & +b10001 C +b10010011100010011010010011111111 , +b10010011100010011010010011111111 H +b100 + +b100 G +1. +0% +#8404000 +1>" +1^ +0u +1s +1\ +0=" +0q +06" +b11011 3" +0c +b1110 -" +14" +1v +0t +b100110001111111001000011010001 | +b100110001111111001000011010001 B" +0b +1w +b1011010111001101010010110001101 0 +b1011010111001101010010110001101 M +b1011010111001101010010110001101 f +b1011010111001101010010110001101 !" +b10010011100010011010010011111111 &" +b10010011100010011010010011111111 ?" +b100 %" +b100 *" +b100 1" +b10001 } +b10001 (" +b10001 +" +0. +1% +#8404010 +b10010011100010011010010011111111 G" +#8404500 +b10110 7 +b10110 N +b10110 V +b11111000110011011001111101001000 2 +b11111000110011011001111101001000 I +b11111000110011011001111101001000 Z +b1 3 +b1 J +b1 Y +b10110 & +b10110 C +b11111000110011011001111101001000 , +b11111000110011011001111101001000 H +b1 + +b1 G +1. +0% +#8405000 +1] +0s +0[ +0>" +0r +1q +16" +b11110 3" +0^ +b1001 -" +1t +b1111001011011011000000010110001 | +b1111001011011011000000010110001 B" +1a +1x +0w +b11111000110011011001111101001000 &" +b11111000110011011001111101001000 ?" +b1 %" +b1 *" +b1 1" +b10110 } +b10110 (" +b10110 +" +b100110001111111001000011010001 0 +b100110001111111001000011010001 M +b100110001111111001000011010001 f +b100110001111111001000011010001 !" +0. +1% +#8405010 +b11111000110011011001111101001000 D" +#8405500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8406000 +0i +b1010110100110000111011000001001 { +b1010110100110000111011000001001 A" +1s +1[ +0@" +0h +0g +19" +1:" +1;" +1r +06" +07" +b11111 3" +0_ +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +1m +1/" +0x +0," +b1111001011011011000000010110001 0 +b1111001011011011000000010110001 M +b1111001011011011000000010110001 f +b1111001011011011000000010110001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8406500 +b0 5 +b0 L +b0 W +04 +b11100111011100011011101011101100 2 +b11100111011100011011101011101100 I +b11100111011100011011101011101100 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b11100111011100011011101011101100 , +b11100111011100011011101011101100 H +b1100 + +b1100 G +1- +1. +0% +#8407000 +0] +1i +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0m +0/" +b11100111011100011011101011101100 &" +b11100111011100011011101011101100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1010110100110000111011000001001 / +b1010110100110000111011000001001 K +b1010110100110000111011000001001 e +b1010110100110000111011000001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8407010 +b11100111011100011011101011101100 O" +#8407500 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8408000 +1] +0s +b11101110110110111011001001100011 | +b11101110110110111011001001100011 B" +0=" +0>" +0@" +0q +16" +17" +b11111 3" +0d +0_ +b11010 -" +1t +1u +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#8408500 +b10100 7 +b10100 N +b10100 V +b11000001011011010111000010110001 2 +b11000001011011010111000010110001 I +b11000001011011010111000010110001 Z +b1101 3 +b1101 J +b1101 Y +11 +b10100 & +b10100 C +b11000001011011010111000010110001 , +b11000001011011010111000010110001 H +b1101 + +b1101 G +1- +1. +0% +#8409000 +0] +0u +0[ +1=" +1>" +1@" +1q +b10010 3" +0^ +0c +1d +1_ +b1011 -" +14" +1v +b11000011001011010001010000111001 | +b11000011001011010001010000111001 B" +1a +12" +0w +b11000001011011010111000010110001 &" +b11000001011011010111000010110001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10100 } +b10100 (" +b10100 +" +b11101110110110111011001001100011 0 +b11101110110110111011001001100011 M +b11101110110110111011001001100011 f +b11101110110110111011001001100011 !" +0. +1% +#8409010 +b11000001011011010111000010110001 P" +#8409500 +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8410000 +1] +1u +1[ +0=" +0>" +0@" +0r +17" +b11111 3" +0d +0_ +b11001 -" +04" +0v +b1100110101111000001011010011010 | +b1100110101111000001011010011010 B" +0a +02" +1x +b11000011001011010001010000111001 0 +b11000011001011010001010000111001 M +b11000011001011010001010000111001 f +b11000011001011010001010000111001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 } +b110 (" +b110 +" +0. +1% +#8410500 +b10000 7 +b10000 N +b10000 V +b100000110010101110001101110110 2 +b100000110010101110001101110110 I +b100000110010101110001101110110 Z +b1100 3 +b1100 J +b1100 Y +11 +b10000 & +b10000 C +b100000110010101110001101110110 , +b100000110010101110001101110110 H +b1100 + +b1100 G +1- +1. +0% +#8411000 +0] +0u +1s +1=" +1>" +1@" +1r +06" +b10011 3" +0^ +0c +1d +1_ +b1111 -" +14" +1v +0t +b1000111010110010011100011110001 | +b1000111010110010011100011110001 B" +12" +0x +b100000110010101110001101110110 &" +b100000110010101110001101110110 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b10000 } +b10000 (" +b10000 +" +b1100110101111000001011010011010 0 +b1100110101111000001011010011010 M +b1100110101111000001011010011010 f +b1100110101111000001011010011010 !" +0. +1% +#8411010 +b100000110010101110001101110110 O" +#8411500 +b0 7 +b0 N +b0 V +06 +b11000100101101010010001011110111 2 +b11000100101101010010001011110111 I +b11000100101101010010001011110111 Z +b1001 3 +b1001 J +b1001 Y +b0 & +b0 C +0( +b11000100101101010010001011110111 , +b11000100101101010010001011110111 H +b1001 + +b1001 G +1. +0% +#8412000 +1c +0[ +1=" +0>" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10110 3" +0d +b11111 -" +04" +0v +1a +0," +b1000111010110010011100011110001 0 +b1000111010110010011100011110001 M +b1000111010110010011100011110001 f +b1000111010110010011100011110001 !" +b11000100101101010010001011110111 &" +b11000100101101010010001011110111 ?" +b1001 %" +b1001 *" +b1001 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8412010 +b11000100101101010010001011110111 L" +#8412500 +b11010 5 +b11010 L +b11010 W +14 +b11011 7 +b11011 N +b11011 V +16 +b10100000110111100111111000001011 2 +b10100000110111100111111000001011 I +b10100000110111100111111000001011 Z +b10111 3 +b10111 J +b10111 Y +b11010 ' +b11010 D +1) +b11011 & +b11011 C +1( +b10100000110111100111111000001011 , +b10100000110111100111111000001011 H +b10111 + +b10111 G +1. +0% +#8413000 +1>" +0_ +1^ +0i +b10010101011110001011010101000101 { +b10010101011110001011010101000101 A" +0s +b1001010000111111011010110100010 | +b1001010000111111011010110100010 B" +0\ +0=" +0h +19" +1;" +0r +0q +15" +17" +b1000 3" +1<" +1` +0c +b101 0" +1o +0k +18" +1l +b100 -" +1y +0u +14" +1v +1b +1n +1/" +1x +1w +1," +b10100000110111100111111000001011 &" +b10100000110111100111111000001011 ?" +b10111 %" +b10111 *" +b10111 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8413010 +b10100000110111100111111000001011 Z" +#8413500 +b10 5 +b10 L +b10 W +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8414000 +1] +1k +1i +1s +b10001000101100100111110000100 | +b10001000101100100111110000100 B" +1\ +1[ +0>" +0@" +1;" +b11001101100101111010101111110011 { +b11001101100101111010101111110011 A" +09" +05" +b11111 3" +0^ +0<" +0` +b11101 0" +08" +0l +0o +b1100 -" +0y +0b +0a +02" +b10010101011110001011010101000101 / +b10010101011110001011010101000101 K +b10010101011110001011010101000101 e +b10010101011110001011010101000101 "" +b1001010000111111011010110100010 0 +b1001010000111111011010110100010 M +b1001010000111111011010110100010 f +b1001010000111111011010110100010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b10011 } +b10011 (" +b10011 +" +0. +1% +#8414500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +06 +b1111 ' +b1111 D +b0 & +b0 C +0( +1. +0% +#8415000 +0i +0g +19" +1:" +1r +1q +07" +b10000 0" +0j +0o +1p +b100011000110111110110111100000 { +b100011000110111110110111100000 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +0x +0w +0," +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0#" +b11001101100101111010101111110011 / +b11001101100101111010101111110011 K +b11001101100101111010101111110011 e +b11001101100101111010101111110011 "" +b10001000101100100111110000100 0 +b10001000101100100111110000100 M +b10001000101100100111110000100 f +b10001000101100100111110000100 !" +0. +1% +#8415500 +b10101 5 +b10101 L +b10101 W +b110100001110100011110110101001 2 +b110100001110100011110110101001 I +b110100001110100011110110101001 Z +b11010 3 +b11010 J +b11010 Y +11 +b10101 ' +b10101 D +b110100001110100011110110101001 , +b110100001110100011110110101001 H +b11010 + +b11010 G +1- +1. +0% +#8416000 +0] +0k +1j +0\ +1=" +1@" +1h +09" +1:" +b101 3" +1c +0_ +1<" +1` +b1010 0" +18" +1l +0p +b101010010101100110100101111000 { +b101010010101100110100101111000 A" +1b +12" +0n +b100011000110111110110111100000 / +b100011000110111110110111100000 K +b100011000110111110110111100000 e +b100011000110111110110111100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110100001110100011110110101001 &" +b110100001110100011110110101001 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#8416010 +b110100001110100011110110101001 ]" +#8416500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8417000 +1] +1i +1\ +0=" +0@" +1g +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b101010010101100110100101111000 / +b101010010101100110100101111000 K +b101010010101100110100101111000 e +b101010010101100110100101111000 "" +0. +1% +#8417500 +b1000 5 +b1000 L +b1000 W +14 +b10111 7 +b10111 N +b10111 V +16 +b1000 ' +b1000 D +1) +b10111 & +b10111 C +1( +1. +0% +#8418000 +0i +b1000010101001010001111110101 { +b1000010101001010001111110101 A" +0s +b10100000110111100111111000001011 | +b10100000110111100111111000001011 B" +19" +1;" +0r +0q +16" +17" +b10111 0" +1o +1k +b1000 -" +1t +0u +14" +1v +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000 ~ +b1000 )" +b1000 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#8418500 +b10110 5 +b10110 L +b10110 W +b110 7 +b110 N +b110 V +b10110 ' +b10110 D +b110 & +b110 C +1. +0% +#8419000 +1:" +0k +1j +1u +0h +09" +1q +17" +b1001 0" +18" +1l +0o +b1111001011011011000000010110001 { +b1111001011011011000000010110001 A" +b11001 -" +04" +0v +b1100110101111000001011010011010 | +b1100110101111000001011010011010 B" +1n +0w +b10110 ~ +b10110 )" +b10110 ." +b110 } +b110 (" +b110 +" +b1000010101001010001111110101 / +b1000010101001010001111110101 K +b1000010101001010001111110101 e +b1000010101001010001111110101 "" +b10100000110111100111111000001011 0 +b10100000110111100111111000001011 M +b10100000110111100111111000001011 f +b10100000110111100111111000001011 !" +0. +1% +#8419500 +b0 5 +b0 L +b0 W +04 +b1011101111101011001011110000100 2 +b1011101111101011001011110000100 I +b1011101111101011001011110000100 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b1011101111101011001011110000100 , +b1011101111101011001011110000100 H +b10011 + +b10011 G +1- +1. +0% +#8420000 +1i +0\ +0[ +1@" +1h +0:" +0;" +b1100 3" +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0n +0/" +b1111001011011011000000010110001 / +b1111001011011011000000010110001 K +b1111001011011011000000010110001 e +b1111001011011011000000010110001 "" +b1100110101111000001011010011010 0 +b1100110101111000001011010011010 M +b1100110101111000001011010011010 f +b1100110101111000001011010011010 !" +b1011101111101011001011110000100 &" +b1011101111101011001011110000100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8420010 +b1011101111101011001011110000100 V" +#8420500 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8421000 +1\ +1[ +0@" +1r +b11111 3" +0<" +0` +b11011 -" +b10010011100010011010010011111111 | +b10010011100010011010010011111111 B" +0b +0a +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8421500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +1. +0% +#8422000 +0i +b11010000100001001011101011101010 { +b11010000100001001011101011101010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +19" +1:" +1;" +06" +07" +b10001 0" +0j +0o +1p +1k +b11111 -" +0t +0u +1n +1/" +0," +b10010011100010011010010011111111 0 +b10010011100010011010010011111111 M +b10010011100010011010010011111111 f +b10010011100010011010010011111111 !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8422500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b11101010101111100001110001010110 2 +b11101010101111100001110001010110 I +b11101010101111100001110001010110 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b11101010101111100001110001010110 , +b11101010101111100001110001010110 H +b110 + +b110 G +1- +1. +0% +#8423000 +0] +1i +0s +b10100000110110011100111110110001 | +b10100000110110011100111110110001 B" +0\ +1>" +1@" +1h +09" +0:" +0;" +0q +15" +16" +17" +b11001 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +0t +0y +1z +0u +14" +1v +1b +12" +0n +0/" +1w +1," +b11101010101111100001110001010110 &" +b11101010101111100001110001010110 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +b11010000100001001011101011101010 / +b11010000100001001011101011101010 K +b11010000100001001011101011101010 e +b11010000100001001011101011101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8423010 +b11101010101111100001110001010110 I" +#8423500 +b10000 5 +b10000 L +b10000 W +14 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8424000 +1] +b1000111010110010011100011110001 { +b1000111010110010011100011110001 A" +1u +1t +1\ +0>" +0@" +1;" +1q +17" +05" +16" +b11111 3" +0^ +0_ +b1111 0" +0k +18" +1l +b11011 -" +04" +0v +0z +b10010011100010011010010011111111 | +b10010011100010011010010011111111 B" +0b +02" +1/" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100000110110011100111110110001 0 +b10100000110110011100111110110001 M +b10100000110110011100111110110001 f +b10100000110110011100111110110001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#8424500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +b0 & +b0 C +0( +1. +0% +#8425000 +1k +0i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +1;" +1:" +06" +07" +b11001 0" +08" +0l +1j +b11101010101111100001110001010110 { +b11101010101111100001110001010110 A" +b11111 -" +0t +0u +1n +0," +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +b1000111010110010011100011110001 / +b1000111010110010011100011110001 K +b1000111010110010011100011110001 e +b1000111010110010011100011110001 "" +b10010011100010011010010011111111 0 +b10010011100010011010010011111111 M +b10010011100010011010010011111111 f +b10010011100010011010010011111111 !" +0. +1% +#8425500 +b0 5 +b0 L +b0 W +04 +b11110010111010110101110000000011 2 +b11110010111010110101110000000011 I +b11110010111010110101110000000011 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b11110010111010110101110000000011 , +b11110010111010110101110000000011 H +b1110 + +b1110 G +1- +1. +0% +#8426000 +0] +1i +0\ +1=" +1>" +1@" +1h +0:" +0;" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0/" +b11101010101111100001110001010110 / +b11101010101111100001110001010110 K +b11101010101111100001110001010110 e +b11101010101111100001110001010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110010111010110101110000000011 &" +b11110010111010110101110000000011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8426010 +b11110010111010110101110000000011 Q" +#8426500 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8427000 +1] +0s +b10110101111110101001000111111111 | +b10110101111110101001000111111111 B" +1\ +0=" +0>" +0@" +0r +0q +16" +17" +b11111 3" +0d +0_ +b11000 -" +1t +1u +0b +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8427500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8428000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b10110101111110101001000111111111 0 +b10110101111110101001000111111111 M +b10110101111110101001000111111111 f +b10110101111110101001000111111111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8428500 +b101 7 +b101 N +b101 V +16 +b10100000010001100100010010100000 2 +b10100000010001100100010010100000 I +b10100000010001100100010010100000 Z +b11110 3 +b11110 J +b11110 Y +11 +b101 & +b101 C +1( +b10100000010001100100010010100000 , +b10100000010001100100010010100000 H +b11110 + +b11110 G +1- +1. +0% +#8429000 +0] +0s +b11101110110110111011001001100011 | +b11101110110110111011001001100011 B" +0\ +1=" +1>" +1@" +0q +16" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11010 -" +1t +1u +1b +12" +1w +1," +b10100000010001100100010010100000 &" +b10100000010001100100010010100000 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b101 } +b101 (" +b101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8429010 +b10100000010001100100010010100000 a" +#8429500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8430000 +1] +1s +1\ +0=" +0>" +0@" +1q +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0w +0," +b11101110110110111011001001100011 0 +b11101110110110111011001001100011 M +b11101110110110111011001001100011 f +b11101110110110111011001001100011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8430500 +b10 5 +b10 L +b10 W +14 +b10010101111101011001001010001110 2 +b10010101111101011001001010001110 I +b10010101111101011001001010001110 Z +b110 3 +b110 J +b110 Y +11 +b10 ' +b10 D +1) +b10010101111101011001001010001110 , +b10010101111101011001001010001110 H +b110 + +b110 G +1- +1. +0% +#8431000 +0] +b11001101100101111010101111110011 { +b11001101100101111010101111110011 A" +0\ +1>" +1@" +0h +1;" +b11001 3" +1^ +1_ +b11101 0" +1k +1b +12" +1n +1/" +b10010101111101011001001010001110 &" +b10010101111101011001001010001110 ?" +b110 %" +b110 *" +b110 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8431010 +b10010101111101011001001010001110 I" +#8431500 +b10010 5 +b10010 L +b10010 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8432000 +1] +0k +1\ +0>" +0@" +b11000000101000110010001010001110 { +b11000000101000110010001010001110 A" +b11111 3" +0^ +0_ +b1101 0" +18" +1l +0b +02" +b11001101100101111010101111110011 / +b11001101100101111010101111110011 K +b11001101100101111010101111110011 e +b11001101100101111010101111110011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +0. +1% +#8432500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b10100001111001100101011000 2 +b10100001111001100101011000 I +b10100001111001100101011000 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +b10100001111001100101011000 , +b10100001111001100101011000 H +b11 + +b11 G +1- +1. +0% +#8433000 +b11000000101000110010001010001110 | +b11000000101000110010001010001110 B" +0\ +0[ +1@" +1h +0;" +0r +17" +b11100 3" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +0u +14" +1v +1b +1a +12" +0n +0/" +1x +1," +b10100001111001100101011000 &" +b10100001111001100101011000 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +b11000000101000110010001010001110 / +b11000000101000110010001010001110 K +b11000000101000110010001010001110 e +b11000000101000110010001010001110 "" +0. +1% +#8433010 +b10100001111001100101011000 F" +#8433500 +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8434000 +1u +0s +1\ +1[ +0@" +1r +0q +17" +16" +b11111 3" +0_ +b11010 -" +04" +0v +1t +b11101110110110111011001001100011 | +b11101110110110111011001001100011 B" +0b +0a +02" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000000101000110010001010001110 0 +b11000000101000110010001010001110 M +b11000000101000110010001010001110 f +b11000000101000110010001010001110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 } +b101 (" +b101 +" +0. +1% +#8434500 +b11010001111100001010001110010000 2 +b11010001111100001010001110010000 I +b11010001111100001010001110010000 Z +b11010 3 +b11010 J +b11010 Y +11 +b11010001111100001010001110010000 , +b11010001111100001010001110010000 H +b11010 + +b11010 G +1- +1. +0% +#8435000 +0] +0\ +1=" +1@" +b101 3" +1c +0_ +1<" +1` +1b +12" +b11010001111100001010001110010000 &" +b11010001111100001010001110010000 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11101110110110111011001001100011 0 +b11101110110110111011001001100011 M +b11101110110110111011001001100011 f +b11101110110110111011001001100011 !" +0. +1% +#8435010 +b11010001111100001010001110010000 ]" +#8435500 +b0 7 +b0 N +b0 V +06 +b11010011011001111000110101000111 2 +b11010011011001111000110101000111 I +b11010011011001111000110101000111 Z +b1001 3 +b1001 J +b1001 Y +b0 & +b0 C +0( +b11010011011001111000110101000111 , +b11010011011001111000110101000111 H +b1001 + +b1001 G +1. +0% +#8436000 +1_ +1s +1\ +0[ +1@" +1q +06" +07" +b10110 3" +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1a +0w +0," +b11010011011001111000110101000111 &" +b11010011011001111000110101000111 ?" +b1001 %" +b1001 *" +b1001 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8436010 +b11010011011001111000110101000111 L" +#8436500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8437000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8437500 +b1 5 +b1 L +b1 W +14 +b1 ' +b1 D +1) +1. +0% +#8438000 +b11111000110011011001111101001000 { +b11111000110011011001111101001000 A" +0g +1;" +b11110 0" +1k +1m +1/" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#8438500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b11010001100011010100011011000000 2 +b11010001100011010100011011000000 I +b11010001100011010100011011000000 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b11010001100011010100011011000000 , +b11010001100011010100011011000000 H +b10101 + +b10101 G +1- +1. +0% +#8439000 +0] +0s +b1000010101001010001111110101 | +b1000010101001010001111110101 B" +0[ +1>" +1@" +1g +0;" +15" +17" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +1a +12" +0m +0/" +1," +b11010001100011010100011011000000 &" +b11010001100011010100011011000000 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b11111000110011011001111101001000 / +b11111000110011011001111101001000 K +b11111000110011011001111101001000 e +b11111000110011011001111101001000 "" +0. +1% +#8439010 +b11010001100011010100011011000000 X" +#8439500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b11011101011001010100100001010 2 +b11011101011001010100100001010 I +b11011101011001010100100001010 Z +b1001 3 +b1001 J +b1001 Y +b11 ' +b11 D +1) +b0 & +b0 C +0( +b11011101011001010100100001010 , +b11011101011001010100100001010 H +b1001 + +b1001 G +1. +0% +#8440000 +1=" +1_ +1c +b10100001111001100101011000 { +b10100001111001100101011000 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1@" +0>" +0h +0g +1;" +05" +07" +b10110 3" +0<" +0` +0^ +b11100 0" +1k +b11111 -" +0y +0u +1n +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000010101001010001111110101 0 +b1000010101001010001111110101 M +b1000010101001010001111110101 f +b1000010101001010001111110101 !" +b11011101011001010100100001010 &" +b11011101011001010100100001010 ?" +b1001 %" +b1001 *" +b1001 1" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8440010 +b11011101011001010100100001010 L" +#8440500 +b10110 5 +b10110 L +b10110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8441000 +1] +0k +0i +1[ +0=" +0@" +1g +1:" +b11111 3" +0c +0_ +b1001 0" +18" +1l +1j +b1111001011011011000000010110001 { +b1111001011011011000000010110001 A" +0a +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +b10100001111001100101011000 / +b10100001111001100101011000 K +b10100001111001100101011000 e +b10100001111001100101011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8441500 +b11 5 +b11 L +b11 W +b11 7 +b11 N +b11 V +16 +b11 ' +b11 D +b11 & +b11 C +1( +1. +0% +#8442000 +1k +1i +b10100001111001100101011000 | +b10100001111001100101011000 B" +0g +1;" +0:" +0r +0q +17" +b11100 0" +08" +0l +0j +b10100001111001100101011000 { +b10100001111001100101011000 A" +b11100 -" +1u +1m +1x +1w +1," +b1111001011011011000000010110001 / +b1111001011011011000000010110001 K +b1111001011011011000000010110001 e +b1111001011011011000000010110001 "" +b11 ~ +b11 )" +b11 ." +b11 } +b11 (" +b11 +" +1#" +0. +1% +#8442500 +b10001 5 +b10001 L +b10001 W +b1100 7 +b1100 N +b1100 V +b10001 ' +b10001 D +b1100 & +b1100 C +1. +0% +#8443000 +0k +0s +1h +1r +1q +15" +16" +b1110 0" +18" +1l +b100110001111111001000011010001 { +b100110001111111001000011010001 A" +b10011 -" +0t +0y +1z +b100000110010101110001101110110 | +b100000110010101110001101110110 B" +0n +0x +0w +b10001 ~ +b10001 )" +b10001 ." +b1100 } +b1100 (" +b1100 +" +b10100001111001100101011000 / +b10100001111001100101011000 K +b10100001111001100101011000 e +b10100001111001100101011000 "" +b10100001111001100101011000 0 +b10100001111001100101011000 M +b10100001111001100101011000 f +b10100001111001100101011000 !" +0. +1% +#8443500 +b11101 5 +b11101 L +b11101 W +b0 7 +b0 N +b0 V +06 +b101110110101001010000010101010 2 +b101110110101001010000010101010 I +b101110110101001010000010101010 Z +b101 3 +b101 J +b101 Y +11 +b11101 ' +b11101 D +b0 & +b0 C +0( +b101110110101001010000010101010 , +b101110110101001010000010101010 H +b101 + +b101 G +1- +1. +0% +#8444000 +0] +0i +b10100000110110011100111110110001 { +b10100000110110011100111110110001 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1>" +1@" +19" +1:" +05" +06" +07" +b11010 3" +1^ +1_ +b10 0" +0j +0o +1p +b11111 -" +0z +0u +1a +12" +0," +b100110001111111001000011010001 / +b100110001111111001000011010001 K +b100110001111111001000011010001 e +b100110001111111001000011010001 "" +b100000110010101110001101110110 0 +b100000110010101110001101110110 M +b100000110010101110001101110110 f +b100000110010101110001101110110 !" +b101110110101001010000010101010 &" +b101110110101001010000010101010 ?" +b101 %" +b101 *" +b101 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8444010 +b101110110101001010000010101010 H" +#8444500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b10000000001000101010111100100011 2 +b10000000001000101010111100100011 I +b10000000001000101010111100100011 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +b10000000001000101010111100100011 , +b10000000001000101010111100100011 H +b1111 + +b1111 G +1. +0% +#8445000 +0^ +1i +0s +b1101011011101011100111010111001 | +b1101011011101011100111010111001 B" +0\ +1=" +1g +09" +0:" +0;" +0r +0q +15" +17" +b10000 3" +1d +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10100 -" +1y +1u +1b +0m +0/" +1x +1w +1," +b10000000001000101010111100100011 &" +b10000000001000101010111100100011 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b10100000110110011100111110110001 / +b10100000110110011100111110110001 K +b10100000110110011100111110110001 e +b10100000110110011100111110110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8445010 +b10000000001000101010111100100011 R" +#8445500 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8446000 +1] +1s +1\ +1[ +0=" +0>" +0@" +1r +1q +05" +b11111 3" +0d +0_ +b11111 -" +0y +b11010010101011101000011110001010 | +b11010010101011101000011110001010 B" +0b +0a +02" +0x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101011011101011100111010111001 0 +b1101011011101011100111010111001 M +b1101011011101011100111010111001 f +b1101011011101011100111010111001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0. +1% +#8446500 +06 +0( +1. +0% +#8447000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +07" +0u +0," +0#" +b11010010101011101000011110001010 0 +b11010010101011101000011110001010 M +b11010010101011101000011110001010 f +b11010010101011101000011110001010 !" +0. +1% +#8447500 +b1 5 +b1 L +b1 W +14 +b11101 7 +b11101 N +b11101 V +16 +b1 ' +b1 D +1) +b11101 & +b11101 C +1( +1. +0% +#8448000 +b11111000110011011001111101001000 { +b11111000110011011001111101001000 A" +0s +b10100000110110011100111110110001 | +b10100000110110011100111110110001 B" +0g +1;" +0q +15" +16" +17" +b11110 0" +1k +b10 -" +0t +0y +1z +0u +14" +1v +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 ~ +b1 )" +b1 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#8448500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011011110011100111111001101110 2 +b11011011110011100111111001101110 I +b11011011110011100111111001101110 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011011110011100111111001101110 , +b11011011110011100111111001101110 H +b11001 + +b11001 G +1- +1. +0% +#8449000 +0] +1s +0[ +1=" +1@" +1g +0;" +1q +05" +06" +07" +b110 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0m +0/" +0w +0," +b11011011110011100111111001101110 &" +b11011011110011100111111001101110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111000110011011001111101001000 / +b11111000110011011001111101001000 K +b11111000110011011001111101001000 e +b11111000110011011001111101001000 "" +b10100000110110011100111110110001 0 +b10100000110110011100111110110001 M +b10100000110110011100111110110001 f +b10100000110110011100111110110001 !" +0. +1% +#8449010 +b11011011110011100111111001101110 \" +#8449500 +b1011 5 +b1011 L +b1011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8450000 +1] +0i +b1101011011101011100111010111001 { +b1101011011101011100111010111001 A" +1[ +0=" +0@" +0h +0g +19" +1;" +b11111 3" +0c +0<" +0` +b10100 0" +1o +1k +0a +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#8450500 +b1101 5 +b1101 L +b1101 W +b1101 ' +b1101 D +1. +0% +#8451000 +0o +1h +1:" +b10010 0" +1p +b11000001011011010111000010110001 { +b11000001011011010111000010110001 A" +0n +b1101 ~ +b1101 )" +b1101 ." +b1101011011101011100111010111001 / +b1101011011101011100111010111001 K +b1101011011101011100111010111001 e +b1101011011101011100111010111001 "" +0. +1% +#8451500 +b1100 5 +b1100 L +b1100 W +b1100 ' +b1100 D +1. +0% +#8452000 +1g +b10011 0" +b100000110010101110001101110110 { +b100000110010101110001101110110 A" +0m +b11000001011011010111000010110001 / +b11000001011011010111000010110001 K +b11000001011011010111000010110001 e +b11000001011011010111000010110001 "" +b1100 ~ +b1100 )" +b1100 ." +0. +1% +#8452500 +b11 5 +b11 L +b11 W +b11 ' +b11 D +1. +0% +#8453000 +1i +0h +0g +09" +0:" +b11100 0" +0p +b10100001111001100101011000 { +b10100001111001100101011000 A" +1n +1m +b11 ~ +b11 )" +b11 ." +b100000110010101110001101110110 / +b100000110010101110001101110110 K +b100000110010101110001101110110 e +b100000110010101110001101110110 "" +0. +1% +#8453500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b1101100000011001001100110100000 2 +b1101100000011001001100110100000 I +b1101100000011001001100110100000 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b1101100000011001001100110100000 , +b1101100000011001001100110100000 H +b1101 + +b1101 G +1- +1. +0% +#8454000 +0] +0s +b10010011100010011010010011111111 | +b10010011100010011010010011111111 B" +0[ +1=" +1>" +1@" +1h +1g +0;" +16" +17" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +1t +1u +1a +12" +0n +0m +0/" +1," +b10100001111001100101011000 / +b10100001111001100101011000 K +b10100001111001100101011000 e +b10100001111001100101011000 "" +b1101100000011001001100110100000 &" +b1101100000011001001100110100000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#8454010 +b1101100000011001001100110100000 P" +#8454500 +b1010 7 +b1010 N +b1010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 & +b1010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8455000 +15" +1] +1y +1[ +0=" +0>" +0@" +0r +06" +b11111 3" +0d +0_ +b10101 -" +0t +b11010101001110101001010111010111 | +b11010101001110101001010111010111 B" +0a +02" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 } +b1010 (" +b1010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010011100010011010010011111111 0 +b10010011100010011010010011111111 M +b10010011100010011010010011111111 f +b10010011100010011010010011111111 !" +0. +1% +#8455500 +b0 7 +b0 N +b0 V +06 +b10001000111110001110110101000111 2 +b10001000111110001110110101000111 I +b10001000111110001110110101000111 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 & +b0 C +0( +b10001000111110001110110101000111 , +b10001000111110001110110101000111 H +b11011 + +b11011 G +1- +1. +0% +#8456000 +0] +1s +0\ +0[ +1=" +1@" +1r +05" +07" +b100 3" +1c +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0," +b11010101001110101001010111010111 0 +b11010101001110101001010111010111 M +b11010101001110101001010111010111 f +b11010101001110101001010111010111 !" +b10001000111110001110110101000111 &" +b10001000111110001110110101000111 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8456010 +b10001000111110001110110101000111 ^" +#8456500 +b1100 5 +b1100 L +b1100 W +14 +b11111111011000101011100011100111 2 +b11111111011000101011100011100111 I +b11111111011000101011100011100111 Z +b1010 3 +b1010 J +b1010 Y +b1100 ' +b1100 D +1) +b11111111011000101011100011100111 , +b11111111011000101011100011100111 H +b1010 + +b1010 G +1. +0% +#8457000 +1_ +0i +b100000110010101110001101110110 { +b100000110010101110001101110110 A" +1[ +1@" +19" +1:" +1;" +b10101 3" +0<" +0` +b10011 0" +0j +0o +1p +1k +0a +1/" +b11111111011000101011100011100111 &" +b11111111011000101011100011100111 ?" +b1010 %" +b1010 *" +b1010 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8457010 +b11111111011000101011100011100111 M" +#8457500 +b10001 5 +b10001 L +b10001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8458000 +1] +0k +1i +1\ +0=" +0@" +0g +09" +0:" +b11111 3" +0c +0_ +b1110 0" +18" +1l +0p +b100110001111111001000011010001 { +b100110001111111001000011010001 A" +0b +02" +1m +b100000110010101110001101110110 / +b100000110010101110001101110110 K +b100000110010101110001101110110 e +b100000110010101110001101110110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +0. +1% +#8458500 +b1101 5 +b1101 L +b1101 W +b101 7 +b101 N +b101 V +16 +b1001010001110011100100101110100 2 +b1001010001110011100100101110100 I +b1001010001110011100100101110100 Z +b1111 3 +b1111 J +b1111 Y +11 +b1101 ' +b1101 D +b101 & +b101 C +1( +b1001010001110011100100101110100 , +b1001010001110011100100101110100 H +b1111 + +b1111 G +1- +1. +0% +#8459000 +0] +1k +0i +0s +b101110110101001010000010101010 | +b101110110101001010000010101010 B" +0\ +0[ +1=" +1>" +1@" +1;" +b1101100000011001001100110100000 { +b1101100000011001001100110100000 A" +19" +1:" +0q +16" +17" +b10000 3" +0^ +0c +1d +1_ +b10010 0" +08" +0l +0j +0o +1p +b11010 -" +1t +1u +1b +1a +12" +1w +1," +b1001010001110011100100101110100 &" +b1001010001110011100100101110100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +b101 } +b101 (" +b101 +" +1#" +b100110001111111001000011010001 / +b100110001111111001000011010001 K +b100110001111111001000011010001 e +b100110001111111001000011010001 "" +0. +1% +#8459010 +b1001010001110011100100101110100 R" +#8459500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8460000 +1] +1o +1s +1\ +1[ +0=" +0>" +0@" +0h +19" +0:" +1q +06" +07" +b11111 3" +0d +0_ +b10100 0" +0p +b1101011011101011100111010111001 { +b1101011011101011100111010111001 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1n +0w +0," +b1101100000011001001100110100000 / +b1101100000011001001100110100000 K +b1101100000011001001100110100000 e +b1101100000011001001100110100000 "" +b101110110101001010000010101010 0 +b101110110101001010000010101010 M +b101110110101001010000010101010 f +b101110110101001010000010101010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8460500 +b10100 5 +b10100 L +b10100 W +b10100 ' +b10100 D +1. +0% +#8461000 +1:" +0k +1j +1h +1g +09" +b1011 0" +18" +1l +0o +b11000011001011010001010000111001 { +b11000011001011010001010000111001 A" +0n +0m +b10100 ~ +b10100 )" +b10100 ." +b1101011011101011100111010111001 / +b1101011011101011100111010111001 K +b1101011011101011100111010111001 e +b1101011011101011100111010111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8461500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8462000 +1i +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0j +08" +0l +0/" +b11000011001011010001010000111001 / +b11000011001011010001010000111001 K +b11000011001011010001010000111001 e +b11000011001011010001010000111001 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8462500 +b101 5 +b101 L +b101 W +14 +b11011 7 +b11011 N +b11011 V +16 +b101 ' +b101 D +1) +b11011 & +b11011 C +1( +1. +0% +#8463000 +0i +b101110110101001010000010101010 { +b101110110101001010000010101010 A" +0s +b10001000111110001110110101000111 | +b10001000111110001110110101000111 B" +0g +1:" +1;" +0r +0q +15" +17" +b11010 0" +1j +1k +b100 -" +1y +0u +14" +1v +1m +1/" +1x +1w +1," +b101 ~ +b101 )" +b101 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8463500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8464000 +1i +1s +1g +0:" +0;" +1r +1q +05" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b101110110101001010000010101010 / +b101110110101001010000010101010 K +b101110110101001010000010101010 e +b101110110101001010000010101010 "" +b10001000111110001110110101000111 0 +b10001000111110001110110101000111 M +b10001000111110001110110101000111 f +b10001000111110001110110101000111 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8464500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#8465000 +0s +b10001000111110001110110101000111 | +b10001000111110001110110101000111 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8465500 +14 +b0 7 +b0 N +b0 V +06 +b110101010100111010011001101000 2 +b110101010100111010011001101000 I +b110101010100111010011001101000 Z +b111 3 +b111 J +b111 Y +11 +1) +b0 & +b0 C +0( +b110101010100111010011001101000 , +b110101010100111010011001101000 H +b111 + +b111 G +1- +1. +0% +#8466000 +0] +b11010010101011101000011110001010 { +b11010010101011101000011110001010 A" +1s +0\ +0[ +1>" +1@" +1;" +1r +1q +05" +07" +b11000 3" +1^ +1_ +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1/" +0x +0w +0," +b10001000111110001110110101000111 0 +b10001000111110001110110101000111 M +b10001000111110001110110101000111 f +b10001000111110001110110101000111 !" +b110101010100111010011001101000 &" +b110101010100111010011001101000 ?" +b111 %" +b111 *" +b111 1" +1'" +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8466010 +b110101010100111010011001101000 J" +#8466500 +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8467000 +1] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +1[ +0>" +0@" +0;" +b11111 3" +0^ +0_ +0k +0b +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0$" +b11010010101011101000011110001010 / +b11010010101011101000011110001010 K +b11010010101011101000011110001010 e +b11010010101011101000011110001010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8467500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#8468000 +0s +b110101010100111010011001101000 | +b110101010100111010011001101000 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#8468500 +b0 7 +b0 N +b0 V +06 +b10000100100001000100111101000111 2 +b10000100100001000100111101000111 I +b10000100100001000100111101000111 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 & +b0 C +0( +b10000100100001000100111101000111 , +b10000100100001000100111101000111 H +b1101 + +b1101 G +1- +1. +0% +#8469000 +0] +1s +0[ +1=" +1>" +1@" +1r +1q +06" +07" +b10010 3" +0^ +0c +1d +1_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0w +0," +b10000100100001000100111101000111 &" +b10000100100001000100111101000111 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b110101010100111010011001101000 0 +b110101010100111010011001101000 M +b110101010100111010011001101000 f +b110101010100111010011001101000 !" +0. +1% +#8469010 +b10000100100001000100111101000111 P" +#8469500 +b1001 5 +b1001 L +b1001 W +14 +b11101011101100001001000010011011 2 +b11101011101100001001000010011011 I +b11101011101100001001000010011011 Z +b111 3 +b111 J +b111 Y +b1001 ' +b1001 D +1) +b11101011101100001001000010011011 , +b11101011101100001001000010011011 H +b111 + +b111 G +1. +0% +#8470000 +1^ +0i +b11011101011001010100100001010 { +b11011101011001010100100001010 A" +0\ +0=" +1>" +0g +19" +1;" +b11000 3" +0d +b10110 0" +1o +1k +1b +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101011101100001001000010011011 &" +b11101011101100001001000010011011 ?" +b111 %" +b111 *" +b111 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#8470010 +b11101011101100001001000010011011 J" +#8470500 +b11101 5 +b11101 L +b11101 W +b10110 7 +b10110 N +b10110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +b10110 & +b10110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8471000 +1] +0k +0o +0s +b1111001011011011000000010110001 | +b1111001011011011000000010110001 B" +1\ +1[ +0>" +0@" +b10100000110110011100111110110001 { +b10100000110110011100111110110001 A" +1:" +0r +16" +17" +b11111 3" +0^ +0_ +b10 0" +18" +1l +1p +b1001 -" +1t +0u +14" +1v +0b +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +b10110 } +b10110 (" +b10110 +" +1#" +b11011101011001010100100001010 / +b11011101011001010100100001010 K +b11011101011001010100100001010 e +b11011101011001010100100001010 "" +0. +1% +#8471500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b100100010011011000101000111000 2 +b100100010011011000101000111000 I +b100100010011011000101000111000 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b100100010011011000101000111000 , +b100100010011011000101000111000 H +b101 + +b101 G +1- +1. +0% +#8472000 +0] +1i +1s +0[ +1>" +1@" +1g +09" +0:" +0;" +1r +06" +07" +b11010 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0m +0/" +0x +0," +b10100000110110011100111110110001 / +b10100000110110011100111110110001 K +b10100000110110011100111110110001 e +b10100000110110011100111110110001 "" +b1111001011011011000000010110001 0 +b1111001011011011000000010110001 M +b1111001011011011000000010110001 f +b1111001011011011000000010110001 !" +b100100010011011000101000111000 &" +b100100010011011000101000111000 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8472010 +b100100010011011000101000111000 H" +#8472500 +b1100 5 +b1100 L +b1100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8473000 +1] +0i +b100000110010101110001101110110 { +b100000110010101110001101110110 A" +1[ +0>" +0@" +19" +1:" +1;" +b11111 3" +0^ +0_ +b10011 0" +0j +0o +1p +1k +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8473500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8474000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0:" +0;" +b11111 0" +0p +0k +0/" +b100000110010101110001101110110 / +b100000110010101110001101110110 K +b100000110010101110001101110110 e +b100000110010101110001101110110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8474500 +b10001 7 +b10001 N +b10001 V +16 +b10001 & +b10001 C +1( +1. +0% +#8475000 +b100110001111111001000011010001 | +b100110001111111001000011010001 B" +0q +17" +b1110 -" +0u +14" +1v +1w +1," +b10001 } +b10001 (" +b10001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8475500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8476000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b100110001111111001000011010001 0 +b100110001111111001000011010001 M +b100110001111111001000011010001 f +b100110001111111001000011010001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8476500 +b100 5 +b100 L +b100 W +14 +b100 ' +b100 D +1) +1. +0% +#8477000 +0i +b10010011100010011010010011111111 { +b10010011100010011010010011111111 A" +1:" +1;" +b11011 0" +1j +1k +1/" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8477500 +b0 5 +b0 L +b0 W +04 +b10100110110100100100101100011011 2 +b10100110110100100100101100011011 I +b10100110110100100100101100011011 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10100110110100100100101100011011 , +b10100110110100100100101100011011 H +b1010 + +b1010 G +1- +1. +0% +#8478000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +1=" +1@" +0:" +0;" +b10101 3" +1c +1_ +b11111 0" +0j +0k +1b +12" +0/" +b10010011100010011010010011111111 / +b10010011100010011010010011111111 K +b10010011100010011010010011111111 e +b10010011100010011010010011111111 "" +b10100110110100100100101100011011 &" +b10100110110100100100101100011011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8478010 +b10100110110100100100101100011011 M" +#8478500 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8479000 +1] +0s +b10100110110100100100101100011011 | +b10100110110100100100101100011011 B" +1\ +0=" +0@" +0r +15" +17" +b11111 3" +0c +0_ +b10101 -" +1y +1u +0b +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8479500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b110101101101010110100011000111 2 +b110101101101010110100011000111 I +b110101101101010110100011000111 Z +b10101 3 +b10101 J +b10101 Y +11 +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b110101101101010110100011000111 , +b110101101101010110100011000111 H +b10101 + +b10101 G +1- +1. +0% +#8480000 +0] +0i +b11000011001011010001010000111001 { +b11000011001011010001010000111001 A" +1s +0[ +1>" +1@" +1:" +1;" +1r +05" +07" +b1010 3" +1^ +0_ +1<" +1` +b1011 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1/" +0x +0," +b10100110110100100100101100011011 0 +b10100110110100100100101100011011 M +b10100110110100100100101100011011 f +b10100110110100100100101100011011 !" +b110101101101010110100011000111 &" +b110101101101010110100011000111 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8480010 +b110101101101010110100011000111 X" +#8480500 +b11100 5 +b11100 L +b11100 W +b1111101101001000100101001101000 2 +b1111101101001000100101001101000 I +b1111101101001000100101001101000 Z +b10001 3 +b10001 J +b10001 Y +b11100 ' +b11100 D +b1111101101001000100101001101000 , +b1111101101001000100101001101000 H +b10001 + +b10001 G +1. +0% +#8481000 +1] +0j +b100010011011101101111011110100 { +b100010011011101101111011110100 A" +0>" +19" +b1110 3" +0^ +b11 0" +1p +b1111101101001000100101001101000 &" +b1111101101001000100101001101000 ?" +b10001 %" +b10001 *" +b10001 1" +b11100 ~ +b11100 )" +b11100 ." +b11000011001011010001010000111001 / +b11000011001011010001010000111001 K +b11000011001011010001010000111001 e +b11000011001011010001010000111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8481010 +b1111101101001000100101001101000 T" +#8481500 +b110 5 +b110 L +b110 W +b11011 7 +b11011 N +b11011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b11011 & +b11011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8482000 +1k +1j +0s +b10001000111110001110110101000111 | +b10001000111110001110110101000111 B" +1[ +0@" +0h +1;" +09" +1:" +0r +0q +15" +17" +b11111 3" +0<" +0` +b11001 0" +08" +0l +0p +b10010101111101011001001010001110 { +b10010101111101011001001010001110 A" +b100 -" +1y +0u +14" +1v +0a +02" +1n +1x +1w +1," +b100010011011101101111011110100 / +b100010011011101101111011110100 K +b100010011011101101111011110100 e +b100010011011101101111011110100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#8482500 +b100 5 +b100 L +b100 W +b110 7 +b110 N +b110 V +b100 ' +b100 D +b110 & +b110 C +1. +0% +#8483000 +16" +1u +1t +1h +1q +17" +05" +b11011 0" +b10010011100010011010010011111111 { +b10010011100010011010010011111111 A" +b11001 -" +04" +0v +0y +b10010101111101011001001010001110 | +b10010101111101011001001010001110 B" +0n +0w +b100 ~ +b100 )" +b100 ." +b110 } +b110 (" +b110 +" +b10010101111101011001001010001110 / +b10010101111101011001001010001110 K +b10010101111101011001001010001110 e +b10010101111101011001001010001110 "" +b10001000111110001110110101000111 0 +b10001000111110001110110101000111 M +b10001000111110001110110101000111 f +b10001000111110001110110101000111 !" +0. +1% +#8483500 +b10011 5 +b10011 L +b10011 W +b0 7 +b0 N +b0 V +06 +b10011 ' +b10011 D +b0 & +b0 C +0( +1. +0% +#8484000 +0k +1i +1s +0h +0g +0:" +1r +06" +07" +b1100 0" +18" +1l +0j +b1011101111101011001011110000100 { +b1011101111101011001011110000100 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +0x +0," +b10010011100010011010010011111111 / +b10010011100010011010010011111111 K +b10010011100010011010010011111111 e +b10010011100010011010010011111111 "" +b10010101111101011001001010001110 0 +b10010101111101011001001010001110 M +b10010101111101011001001010001110 f +b10010101111101011001001010001110 !" +b10011 ~ +b10011 )" +b10011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8484500 +b0 5 +b0 L +b0 W +04 +b1010111010111111011011011100000 2 +b1010111010111111011011011100000 I +b1010111010111111011011011100000 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b1010111010111111011011011100000 , +b1010111010111111011011011100000 H +b11011 + +b11011 G +1- +1. +0% +#8485000 +0] +0\ +0[ +1=" +1@" +1h +1g +0;" +b100 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0n +0m +0/" +b1010111010111111011011011100000 &" +b1010111010111111011011011100000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1011101111101011001011110000100 / +b1011101111101011001011110000100 K +b1011101111101011001011110000100 e +b1011101111101011001011110000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8485010 +b1010111010111111011011011100000 ^" +#8485500 +b10 5 +b10 L +b10 W +14 +b1001 7 +b1001 N +b1001 V +16 +b1011111101011110010101100011011 2 +b1011111101011110010101100011011 I +b1011111101011110010101100011011 Z +b11110 3 +b11110 J +b11110 Y +b10 ' +b10 D +1) +b1001 & +b1001 C +1( +b1011111101011110010101100011011 , +b1011111101011110010101100011011 H +b11110 + +b11110 G +1. +0% +#8486000 +0c +b11001101100101111010101111110011 { +b11001101100101111010101111110011 A" +0s +b11011101011001010100100001010 | +b11011101011001010100100001010 B" +1[ +1>" +0h +1;" +0q +15" +17" +b1 3" +1d +b11101 0" +1k +b10110 -" +1y +1u +0a +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011111101011110010101100011011 &" +b1011111101011110010101100011011 ?" +b11110 %" +b11110 *" +b11110 1" +b10 ~ +b10 )" +b10 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#8486010 +b1011111101011110010101100011011 a" +#8486500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1010101100100000011111000111100 2 +b1010101100100000011111000111100 I +b1010101100100000011111000111100 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1010101100100000011111000111100 , +b1010101100100000011111000111100 H +b11100 + +b11100 G +1. +0% +#8487000 +1s +1\ +1h +0;" +1q +05" +07" +b11 3" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0n +0/" +0w +0," +b1010101100100000011111000111100 &" +b1010101100100000011111000111100 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001101100101111010101111110011 / +b11001101100101111010101111110011 K +b11001101100101111010101111110011 e +b11001101100101111010101111110011 "" +b11011101011001010100100001010 0 +b11011101011001010100100001010 M +b11011101011001010100100001010 f +b11011101011001010100100001010 !" +0. +1% +#8487010 +b1010101100100000011111000111100 _" +#8487500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8488000 +1] +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8488500 +b10100 5 +b10100 L +b10100 W +14 +b10100 ' +b10100 D +1) +1. +0% +#8489000 +0i +b11000011001011010001010000111001 { +b11000011001011010001010000111001 A" +1:" +1;" +b1011 0" +1j +0k +18" +1l +1/" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#8489500 +b1 5 +b1 L +b1 W +b11111 7 +b11111 N +b11111 V +16 +b1 ' +b1 D +b11111 & +b11111 C +1( +1. +0% +#8490000 +1k +1i +0s +b1010110100110000111011000001001 | +b1010110100110000111011000001001 B" +0g +1;" +0:" +0r +0q +15" +16" +17" +b11110 0" +08" +0l +0j +b11111000110011011001111101001000 { +b11111000110011011001111101001000 A" +b0 -" +0t +0y +1z +0u +14" +1v +1m +1x +1w +1," +b11000011001011010001010000111001 / +b11000011001011010001010000111001 K +b11000011001011010001010000111001 e +b11000011001011010001010000111001 "" +b1 ~ +b1 )" +b1 ." +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#8490500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8491000 +1s +1g +0;" +1r +1q +05" +06" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111000110011011001111101001000 / +b11111000110011011001111101001000 K +b11111000110011011001111101001000 e +b11111000110011011001111101001000 "" +b1010110100110000111011000001001 0 +b1010110100110000111011000001001 M +b1010110100110000111011000001001 f +b1010110100110000111011000001001 !" +0. +1% +#8491500 +b1001 5 +b1001 L +b1001 W +14 +b110110100011111011001101010111 2 +b110110100011111011001101010111 I +b110110100011111011001101010111 Z +b10001 3 +b10001 J +b10001 Y +11 +b1001 ' +b1001 D +1) +b110110100011111011001101010111 , +b110110100011111011001101010111 H +b10001 + +b10001 G +1- +1. +0% +#8492000 +0i +b11011101011001010100100001010 { +b11011101011001010100100001010 A" +0[ +1@" +0g +19" +1;" +b1110 3" +0_ +1<" +1` +b10110 0" +1o +1k +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110110100011111011001101010111 &" +b110110100011111011001101010111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#8492010 +b110110100011111011001101010111 T" +#8492500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8493000 +1i +1[ +0@" +1g +09" +0;" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11011101011001010100100001010 / +b11011101011001010100100001010 K +b11011101011001010100100001010 e +b11011101011001010100100001010 "" +0. +1% +#8493500 +b11100 5 +b11100 L +b11100 W +14 +b1111 7 +b1111 N +b1111 V +16 +b11100 ' +b11100 D +1) +b1111 & +b1111 C +1( +1. +0% +#8494000 +0i +b1010101100100000011111000111100 { +b1010101100100000011111000111100 A" +0s +b1001010001110011100100101110100 | +b1001010001110011100100101110100 B" +19" +1:" +1;" +0r +0q +15" +16" +17" +b11 0" +0j +0o +1p +0k +18" +1l +b10000 -" +0t +0y +1z +1u +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100 ~ +b11100 )" +b11100 ." +1$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#8494500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8495000 +1i +1s +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +05" +06" +07" +b11111 0" +0p +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1010101100100000011111000111100 / +b1010101100100000011111000111100 K +b1010101100100000011111000111100 e +b1010101100100000011111000111100 "" +b1001010001110011100100101110100 0 +b1001010001110011100100101110100 M +b1001010001110011100100101110100 f +b1001010001110011100100101110100 !" +0. +1% +#8495500 +b1111111110110001010010100000001 2 +b1111111110110001010010100000001 I +b1111111110110001010010100000001 Z +11 +b1111111110110001010010100000001 , +b1111111110110001010010100000001 H +1- +1. +0% +#8496000 +1@" +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111111110110001010010100000001 &" +b1111111110110001010010100000001 ?" +1'" +0. +1% +#8496010 +b1111111110110001010010100000001 C" +#8496500 +b1101 5 +b1101 L +b1101 W +14 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +01 +b1101 ' +b1101 D +1) +b10011 & +b10011 C +1( +b0 , +b0 H +0- +1. +0% +#8497000 +0i +b10000100100001000100111101000111 { +b10000100100001000100111101000111 A" +b1011101111101011001011110000100 | +b1011101111101011001011110000100 B" +0@" +0g +19" +1:" +1;" +0r +0q +17" +0_ +b10010 0" +0j +0o +1p +1k +b1100 -" +0u +14" +1v +02" +1m +1/" +1x +1w +1," +b0 &" +b0 ?" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#8497500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b10 ' +b10 D +b0 & +b0 C +0( +1. +0% +#8498000 +1i +0h +1g +09" +0:" +1r +1q +07" +b11101 0" +0p +b11001101100101111010101111110011 { +b11001101100101111010101111110011 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0m +0x +0w +0," +b10000100100001000100111101000111 / +b10000100100001000100111101000111 K +b10000100100001000100111101000111 e +b10000100100001000100111101000111 "" +b1011101111101011001011110000100 0 +b1011101111101011001011110000100 M +b1011101111101011001011110000100 f +b1011101111101011001011110000100 !" +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8498500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +1. +0% +#8499000 +0s +b1010101100100000011111000111100 | +b1010101100100000011111000111100 B" +1h +0;" +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +0t +0y +1z +0u +14" +1v +0n +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +b11001101100101111010101111110011 / +b11001101100101111010101111110011 K +b11001101100101111010101111110011 e +b11001101100101111010101111110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8499500 +b1 7 +b1 N +b1 V +b1 & +b1 C +1. +0% +#8500000 +1u +1s +0q +17" +05" +06" +b11110 -" +04" +0v +0z +b11111000110011011001111101001000 | +b11111000110011011001111101001000 B" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010101100100000011111000111100 0 +b1010101100100000011111000111100 M +b1010101100100000011111000111100 f +b1010101100100000011111000111100 !" +b1 } +b1 (" +b1 +" +0. +1% +#8500500 +b10111 7 +b10111 N +b10111 V +b11010001100011101001100110010001 2 +b11010001100011101001100110010001 I +b11010001100011101001100110010001 Z +b111 3 +b111 J +b111 Y +11 +b10111 & +b10111 C +b11010001100011101001100110010001 , +b11010001100011101001100110010001 H +b111 + +b111 G +1- +1. +0% +#8501000 +0] +0u +0s +0\ +0[ +1>" +1@" +0r +16" +b11000 3" +1^ +1_ +b1000 -" +14" +1v +1t +b10100000110111100111111000001011 | +b10100000110111100111111000001011 B" +1b +1a +12" +1x +b11010001100011101001100110010001 &" +b11010001100011101001100110010001 ?" +b111 %" +b111 *" +b111 1" +1'" +b10111 } +b10111 (" +b10111 +" +b11111000110011011001111101001000 0 +b11111000110011011001111101001000 M +b11111000110011011001111101001000 f +b11111000110011011001111101001000 !" +0. +1% +#8501010 +b11010001100011101001100110010001 J" +#8501500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8502000 +1] +0i +b10010101111101011001001010001110 { +b10010101111101011001001010001110 A" +1s +1\ +1[ +0>" +0@" +0h +1:" +1;" +1r +1q +06" +07" +b11111 3" +0^ +0_ +b11001 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1n +1/" +0x +0w +0," +b10100000110111100111111000001011 0 +b10100000110111100111111000001011 M +b10100000110111100111111000001011 f +b10100000110111100111111000001011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8502500 +b0 5 +b0 L +b0 W +04 +b110100010111010100011101010111 2 +b110100010111010100011101010111 I +b110100010111010100011101010111 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b110100010111010100011101010111 , +b110100010111010100011101010111 H +b10100 + +b10100 G +1- +1. +0% +#8503000 +0] +1i +1>" +1@" +1h +0:" +0;" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0n +0/" +b110100010111010100011101010111 &" +b110100010111010100011101010111 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010101111101011001001010001110 / +b10010101111101011001001010001110 K +b10010101111101011001001010001110 e +b10010101111101011001001010001110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8503010 +b110100010111010100011101010111 W" +#8503500 +b1000 5 +b1000 L +b1000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8504000 +1] +0i +b1000010101001010001111110101 { +b1000010101001010001111110101 A" +0>" +0@" +19" +1;" +b11111 3" +0^ +0<" +0` +b10111 0" +1o +1k +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#8504500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +16 +b0 ' +b0 D +0) +b10100 & +b10100 C +1( +1. +0% +#8505000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b110100010111010100011101010111 | +b110100010111010100011101010111 B" +09" +0;" +16" +17" +b11111 0" +0o +0k +b1011 -" +1t +0u +14" +1v +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +1#" +b1000010101001010001111110101 / +b1000010101001010001111110101 K +b1000010101001010001111110101 e +b1000010101001010001111110101 "" +0. +1% +#8505500 +b0 7 +b0 N +b0 V +06 +b10011001000111000110111000100000 2 +b10011001000111000110111000100000 I +b10011001000111000110111000100000 Z +b10 3 +b10 J +b10 Y +11 +b0 & +b0 C +0( +b10011001000111000110111000100000 , +b10011001000111000110111000100000 H +b10 + +b10 G +1- +1. +0% +#8506000 +1s +0\ +1@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11101 3" +1_ +b11111 -" +0t +04" +0v +1b +12" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110100010111010100011101010111 0 +b110100010111010100011101010111 M +b110100010111010100011101010111 f +b110100010111010100011101010111 !" +b10011001000111000110111000100000 &" +b10011001000111000110111000100000 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8506010 +b10011001000111000110111000100000 E" +#8506500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8507000 +0i +b11010001111100001010001110010000 { +b11010001111100001010001110010000 A" +1\ +0@" +0h +19" +1;" +b11111 3" +0_ +b101 0" +1o +0k +18" +1l +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8507500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8508000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b11010001111100001010001110010000 / +b11010001111100001010001110010000 K +b11010001111100001010001110010000 e +b11010001111100001010001110010000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8508500 +b11111 5 +b11111 L +b11111 W +14 +b101011011111110111110001110110 2 +b101011011111110111110001110110 I +b101011011111110111110001110110 Z +b10 3 +b10 J +b10 Y +11 +b11111 ' +b11111 D +1) +b101011011111110111110001110110 , +b101011011111110111110001110110 H +b10 + +b10 G +1- +1. +0% +#8509000 +0i +b1010110100110000111011000001001 { +b1010110100110000111011000001001 A" +0\ +1@" +0h +0g +19" +1:" +1;" +b11101 3" +1_ +b0 0" +0j +0o +1p +0k +18" +1l +1b +12" +1n +1m +1/" +b101011011111110111110001110110 &" +b101011011111110111110001110110 ?" +b10 %" +b10 *" +b10 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8509010 +b101011011111110111110001110110 E" +#8509500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8510000 +1i +1\ +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0m +0/" +b1010110100110000111011000001001 / +b1010110100110000111011000001001 K +b1010110100110000111011000001001 e +b1010110100110000111011000001001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8510500 +1. +0% +#8511000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8511500 +14 +1) +1. +0% +#8512000 +b1111111110110001010010100000001 { +b1111111110110001010010100000001 A" +1;" +1k +1/" +1$" +0. +1% +#8512500 +04 +0) +1. +0% +#8513000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +0$" +b1111111110110001010010100000001 / +b1111111110110001010010100000001 K +b1111111110110001010010100000001 e +b1111111110110001010010100000001 "" +0. +1% +#8513500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#8514000 +0s +b110100010111010100011101010111 | +b110100010111010100011101010111 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#8514500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +1. +0% +#8515000 +0i +b10000100100001000100111101000111 { +b10000100100001000100111101000111 A" +1s +0g +19" +1:" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10010 0" +0j +0o +1p +1k +b11111 -" +0t +04" +0v +1m +1/" +0," +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110100010111010100011101010111 0 +b110100010111010100011101010111 M +b110100010111010100011101010111 f +b110100010111010100011101010111 !" +0. +1% +#8515500 +b0 5 +b0 L +b0 W +04 +b10110001000101101001000110000001 2 +b10110001000101101001000110000001 I +b10110001000101101001000110000001 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b10110001000101101001000110000001 , +b10110001000101101001000110000001 H +b1100 + +b1100 G +1- +1. +0% +#8516000 +0] +1i +1=" +1>" +1@" +1g +09" +0:" +0;" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +12" +0m +0/" +b10000100100001000100111101000111 / +b10000100100001000100111101000111 K +b10000100100001000100111101000111 e +b10000100100001000100111101000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110001000101101001000110000001 &" +b10110001000101101001000110000001 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8516010 +b10110001000101101001000110000001 O" +#8516500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8517000 +1] +b101011011111110111110001110110 | +b101011011111110111110001110110 B" +0=" +0>" +0@" +0r +17" +b11111 3" +0d +0_ +b11101 -" +1u +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8517500 +b1111 7 +b1111 N +b1111 V +b1010001011011011111111101011000 2 +b1010001011011011111111101011000 I +b1010001011011011111111101011000 Z +b1010 3 +b1010 J +b1010 Y +11 +b1111 & +b1111 C +b1010001011011011111111101011000 , +b1010001011011011111111101011000 H +b1010 + +b1010 G +1- +1. +0% +#8518000 +0] +0s +0\ +1=" +1@" +0q +15" +16" +b10101 3" +1c +1_ +b10000 -" +0t +0y +1z +b1001010001110011100100101110100 | +b1001010001110011100100101110100 B" +1b +12" +1w +b101011011111110111110001110110 0 +b101011011111110111110001110110 M +b101011011111110111110001110110 f +b101011011111110111110001110110 !" +b1010001011011011111111101011000 &" +b1010001011011011111111101011000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1111 } +b1111 (" +b1111 +" +0. +1% +#8518010 +b1010001011011011111111101011000 M" +#8518500 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8519000 +1] +1t +1\ +0=" +0@" +1r +1q +05" +16" +b11111 3" +0c +0_ +b11011 -" +0z +b10010011100010011010010011111111 | +b10010011100010011010010011111111 B" +0b +02" +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +b1001010001110011100100101110100 0 +b1001010001110011100100101110100 M +b1001010001110011100100101110100 f +b1001010001110011100100101110100 !" +0. +1% +#8519500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +1. +0% +#8520000 +0i +b10110001000101101001000110000001 { +b10110001000101101001000110000001 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +19" +1:" +1;" +06" +07" +b10011 0" +0j +0o +1p +1k +b11111 -" +0t +0u +1/" +0," +b10010011100010011010010011111111 0 +b10010011100010011010010011111111 M +b10010011100010011010010011111111 f +b10010011100010011010010011111111 !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8520500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b1000000001011000010001101010101 2 +b1000000001011000010001101010101 I +b1000000001011000010001101010101 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +b1000000001011000010001101010101 , +b1000000001011000010001101010101 H +b100 + +b100 G +1- +1. +0% +#8521000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1010110100110000111011000001001 | +b1010110100110000111011000001001 B" +1>" +1@" +09" +0:" +0;" +0r +0q +15" +16" +17" +b11011 3" +1^ +1_ +b11111 0" +0p +0k +b0 -" +0t +0y +1z +0u +14" +1v +12" +0/" +1x +1w +1," +b1000000001011000010001101010101 &" +b1000000001011000010001101010101 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b10110001000101101001000110000001 / +b10110001000101101001000110000001 K +b10110001000101101001000110000001 e +b10110001000101101001000110000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8521010 +b1000000001011000010001101010101 G" +#8521500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8522000 +1] +0i +b1010110100110000111011000001001 { +b1010110100110000111011000001001 A" +1u +1s +0>" +0@" +0h +0g +19" +1:" +1;" +1r +1q +17" +05" +06" +b11111 3" +0^ +0_ +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +04" +0v +0z +b1111111110110001010010100000001 | +b1111111110110001010010100000001 B" +02" +1n +1m +1/" +0x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010110100110000111011000001001 0 +b1010110100110000111011000001001 M +b1010110100110000111011000001001 f +b1010110100110000111011000001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0. +1% +#8522500 +b11000 5 +b11000 L +b11000 W +b1100 7 +b1100 N +b1100 V +b11000 ' +b11000 D +b1100 & +b1100 C +1. +0% +#8523000 +1o +0s +b10110001000101101001000110000001 | +b10110001000101101001000110000001 B" +1h +1g +19" +0:" +15" +16" +b111 0" +0p +b100001111011110000001000101 { +b100001111011110000001000101 A" +b10011 -" +0t +0y +1z +0n +0m +b11000 ~ +b11000 )" +b11000 ." +b1100 } +b1100 (" +b1100 +" +b1010110100110000111011000001001 / +b1010110100110000111011000001001 K +b1010110100110000111011000001001 e +b1010110100110000111011000001001 "" +b1111111110110001010010100000001 0 +b1111111110110001010010100000001 M +b1111111110110001010010100000001 f +b1111111110110001010010100000001 !" +0. +1% +#8523500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8524000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +05" +06" +07" +b11111 0" +0o +08" +0l +b11111 -" +0z +0u +0/" +0," +b100001111011110000001000101 / +b100001111011110000001000101 K +b100001111011110000001000101 e +b100001111011110000001000101 "" +b10110001000101101001000110000001 0 +b10110001000101101001000110000001 M +b10110001000101101001000110000001 f +b10110001000101101001000110000001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8524500 +b11011 7 +b11011 N +b11011 V +16 +b10101101001001000111100101110001 2 +b10101101001001000111100101110001 I +b10101101001001000111100101110001 Z +b10100 3 +b10100 J +b10100 Y +11 +b11011 & +b11011 C +1( +b10101101001001000111100101110001 , +b10101101001001000111100101110001 H +b10100 + +b10100 G +1- +1. +0% +#8525000 +0] +0s +b1010111010111111011011011100000 | +b1010111010111111011011011100000 B" +1>" +1@" +0r +0q +15" +17" +b1011 3" +1^ +0_ +1<" +1` +b100 -" +1y +0u +14" +1v +12" +1x +1w +1," +b10101101001001000111100101110001 &" +b10101101001001000111100101110001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8525010 +b10101101001001000111100101110001 W" +#8525500 +b0 7 +b0 N +b0 V +06 +b101010000011001111100101010101 2 +b101010000011001111100101010101 I +b101010000011001111100101010101 Z +b1000 3 +b1000 J +b1000 Y +b0 & +b0 C +0( +b101010000011001111100101010101 , +b101010000011001111100101010101 H +b1000 + +b1000 G +1. +0% +#8526000 +1=" +1_ +1c +1s +1@" +0>" +1r +1q +05" +07" +b10111 3" +0<" +0` +0^ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b1010111010111111011011011100000 0 +b1010111010111111011011011100000 M +b1010111010111111011011011100000 f +b1010111010111111011011011100000 !" +b101010000011001111100101010101 &" +b101010000011001111100101010101 ?" +b1000 %" +b1000 *" +b1000 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8526010 +b101010000011001111100101010101 K" +#8526500 +b1110 7 +b1110 N +b1110 V +16 +b11010010111101011000011100111001 2 +b11010010111101011000011100111001 I +b11010010111101011000011100111001 Z +b11000 3 +b11000 J +b11000 Y +b1110 & +b1110 C +1( +b11010010111101011000011100111001 , +b11010010111101011000011100111001 H +b11000 + +b11000 G +1. +0% +#8527000 +0_ +0s +b11110010111010110101110000000011 | +b11110010111010110101110000000011 B" +0r +15" +16" +17" +b111 3" +1<" +1` +b10001 -" +0t +0y +1z +1u +1x +1," +b11010010111101011000011100111001 &" +b11010010111101011000011100111001 ?" +b11000 %" +b11000 *" +b11000 1" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8527010 +b11010010111101011000011100111001 [" +#8527500 +b111 5 +b111 L +b111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8528000 +1] +0i +b11010001100011101001100110010001 { +b11010001100011101001100110010001 A" +1s +0=" +0@" +0h +0g +1:" +1;" +1r +05" +06" +07" +b11111 3" +0c +0<" +0` +b11000 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1n +1m +1/" +0x +0," +b11110010111010110101110000000011 0 +b11110010111010110101110000000011 M +b11110010111010110101110000000011 f +b11110010111010110101110000000011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8528500 +b0 5 +b0 L +b0 W +04 +b1000110111011010011100000000000 2 +b1000110111011010011100000000000 I +b1000110111011010011100000000000 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b1000110111011010011100000000000 , +b1000110111011010011100000000000 H +b1 + +b1 G +1- +1. +0% +#8529000 +1i +0[ +1@" +1h +1g +0:" +0;" +b11110 3" +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0m +0/" +b1000110111011010011100000000000 &" +b1000110111011010011100000000000 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11010001100011101001100110010001 / +b11010001100011101001100110010001 K +b11010001100011101001100110010001 e +b11010001100011101001100110010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8529010 +b1000110111011010011100000000000 D" +#8529500 +b1110 5 +b1110 L +b1110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8530000 +0i +b11110010111010110101110000000011 { +b11110010111010110101110000000011 A" +1[ +0@" +0h +19" +1:" +1;" +b11111 3" +0_ +b10001 0" +0j +0o +1p +1k +0a +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#8530500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#8531000 +1i +b1011101111101011001011110000100 | +b1011101111101011001011110000100 B" +1h +09" +0:" +0;" +0r +0q +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +0n +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b11110010111010110101110000000011 / +b11110010111010110101110000000011 K +b11110010111010110101110000000011 e +b11110010111010110101110000000011 "" +0. +1% +#8531500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8532000 +1r +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011101111101011001011110000100 0 +b1011101111101011001011110000100 M +b1011101111101011001011110000100 f +b1011101111101011001011110000100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8532500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#8533000 +0i +b10100000110110011100111110110001 { +b10100000110110011100111110110001 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8533500 +b1 5 +b1 L +b1 W +b1000100010000001010000111000110 2 +b1000100010000001010000111000110 I +b1000100010000001010000111000110 Z +11 +b1 ' +b1 D +b1000100010000001010000111000110 , +b1000100010000001010000111000110 H +1- +1. +0% +#8534000 +1k +1i +1@" +1;" +b1000110111011010011100000000000 { +b1000110111011010011100000000000 A" +09" +0:" +1_ +b11110 0" +08" +0l +0p +12" +b10100000110110011100111110110001 / +b10100000110110011100111110110001 K +b10100000110110011100111110110001 e +b10100000110110011100111110110001 "" +b1000100010000001010000111000110 &" +b1000100010000001010000111000110 ?" +1'" +b1 ~ +b1 )" +b1 ." +0. +1% +#8534010 +b1000100010000001010000111000110 C" +#8534500 +b10000 5 +b10000 L +b10000 W +b0 2 +b0 I +b0 Z +01 +b10000 ' +b10000 D +b0 , +b0 H +0- +1. +0% +#8535000 +0k +0@" +1g +0_ +b1111 0" +18" +1l +b1000111010110010011100011110001 { +b1000111010110010011100011110001 A" +02" +0m +b0 &" +b0 ?" +0'" +b10000 ~ +b10000 )" +b10000 ." +b1000110111011010011100000000000 / +b1000110111011010011100000000000 K +b1000110111011010011100000000000 e +b1000110111011010011100000000000 "" +0. +1% +#8535500 +b10010 5 +b10010 L +b10010 W +b10010 ' +b10010 D +1. +0% +#8536000 +0h +b1101 0" +b11000000101000110010001010001110 { +b11000000101000110010001010001110 A" +1n +b1000111010110010011100011110001 / +b1000111010110010011100011110001 K +b1000111010110010011100011110001 e +b1000111010110010011100011110001 "" +b10010 ~ +b10010 )" +b10010 ." +0. +1% +#8536500 +b11001 5 +b11001 L +b11001 W +b110111100110101000110110101111 2 +b110111100110101000110110101111 I +b110111100110101000110110101111 Z +b10010 3 +b10010 J +b10010 Y +11 +b11001 ' +b11001 D +b110111100110101000110110101111 , +b110111100110101000110110101111 H +b10010 + +b10010 G +1- +1. +0% +#8537000 +0i +0\ +1@" +1h +0g +19" +b1101 3" +0_ +1<" +1` +b110 0" +1o +b11011011110011100111111001101110 { +b11011011110011100111111001101110 A" +1b +12" +0n +1m +b110111100110101000110110101111 &" +b110111100110101000110110101111 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b11000000101000110010001010001110 / +b11000000101000110010001010001110 K +b11000000101000110010001010001110 e +b11000000101000110010001010001110 "" +0. +1% +#8537010 +b110111100110101000110110101111 U" +#8537500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8538000 +1i +0s +b1010001011011011111111101011000 | +b1010001011011011111111101011000 B" +1\ +0@" +1g +09" +0;" +0r +15" +17" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10101 -" +1y +1u +0b +02" +0m +0/" +1x +1," +b11011011110011100111111001101110 / +b11011011110011100111111001101110 K +b11011011110011100111111001101110 e +b11011011110011100111111001101110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#8538500 +b11001 7 +b11001 N +b11001 V +b1001000011001000000000100000111 2 +b1001000011001000000000100000111 I +b1001000011001000000000100000111 Z +b11010 3 +b11010 J +b11010 Y +11 +b11001 & +b11001 C +b1001000011001000000000100000111 , +b1001000011001000000000100000111 H +b11010 + +b11010 G +1- +1. +0% +#8539000 +0] +0u +0\ +1=" +1@" +1r +0q +b101 3" +1c +0_ +1<" +1` +b110 -" +14" +1v +b11011011110011100111111001101110 | +b11011011110011100111111001101110 B" +1b +12" +0x +1w +b1001000011001000000000100000111 &" +b1001000011001000000000100000111 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11001 } +b11001 (" +b11001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010001011011011111111101011000 0 +b1010001011011011111111101011000 M +b1010001011011011111111101011000 f +b1010001011011011111111101011000 !" +0. +1% +#8539010 +b1001000011001000000000100000111 ]" +#8539500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8540000 +1] +0i +b1001010001110011100100101110100 { +b1001010001110011100100101110100 A" +1s +1\ +0=" +0@" +0h +0g +19" +1:" +1;" +1q +05" +07" +b11111 3" +0c +0<" +0` +b10000 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1n +1m +1/" +0w +0," +b11011011110011100111111001101110 0 +b11011011110011100111111001101110 M +b11011011110011100111111001101110 f +b11011011110011100111111001101110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8540500 +b10 5 +b10 L +b10 W +b10 ' +b10 D +1. +0% +#8541000 +1i +1g +09" +0:" +b11101 0" +0p +b101011011111110111110001110110 { +b101011011111110111110001110110 A" +0m +b10 ~ +b10 )" +b10 ." +b1001010001110011100100101110100 / +b1001010001110011100100101110100 K +b1001010001110011100100101110100 e +b1001010001110011100100101110100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8541500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8542000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b101011011111110111110001110110 / +b101011011111110111110001110110 K +b101011011111110111110001110110 e +b101011011111110111110001110110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8542500 +1. +0% +#8543000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8543500 +b11110101101101001101111011011100 2 +b11110101101101001101111011011100 I +b11110101101101001101111011011100 Z +b11000 3 +b11000 J +b11000 Y +11 +b11110101101101001101111011011100 , +b11110101101101001101111011011100 H +b11000 + +b11000 G +1- +1. +0% +#8544000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b11110101101101001101111011011100 &" +b11110101101101001101111011011100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +0. +1% +#8544010 +b11110101101101001101111011011100 [" +#8544500 +b11100 5 +b11100 L +b11100 W +14 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +1) +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8545000 +1] +0i +b1010101100100000011111000111100 { +b1010101100100000011111000111100 A" +b101011011111110111110001110110 | +b101011011111110111110001110110 B" +0=" +0@" +19" +1:" +1;" +0r +17" +b11111 3" +0c +0<" +0` +b11 0" +0j +0o +1p +0k +18" +1l +b11101 -" +1u +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#8545500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001101001011111100010110101110 2 +b1001101001011111100010110101110 I +b1001101001011111100010110101110 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001101001011111100010110101110 , +b1001101001011111100010110101110 H +b11001 + +b11001 G +1- +1. +0% +#8546000 +0] +1i +0[ +1=" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +07" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0p +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0/" +0x +0," +b1010101100100000011111000111100 / +b1010101100100000011111000111100 K +b1010101100100000011111000111100 e +b1010101100100000011111000111100 "" +b101011011111110111110001110110 0 +b101011011111110111110001110110 M +b101011011111110111110001110110 f +b101011011111110111110001110110 !" +b1001101001011111100010110101110 &" +b1001101001011111100010110101110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8546010 +b1001101001011111100010110101110 \" +#8546500 +b11 5 +b11 L +b11 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8547000 +1] +b10100001111001100101011000 { +b10100001111001100101011000 A" +1[ +0=" +0@" +0h +0g +1;" +b11111 3" +0c +0<" +0` +b11100 0" +1k +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8547500 +b10 5 +b10 L +b10 W +b10110011010011010101010100101001 2 +b10110011010011010101010100101001 I +b10110011010011010101010100101001 Z +b1100 3 +b1100 J +b1100 Y +11 +b10 ' +b10 D +b10110011010011010101010100101001 , +b10110011010011010101010100101001 H +b1100 + +b1100 G +1- +1. +0% +#8548000 +0] +1=" +1>" +1@" +1g +b10011 3" +0^ +0c +1d +1_ +b11101 0" +b101011011111110111110001110110 { +b101011011111110111110001110110 A" +12" +0m +b10100001111001100101011000 / +b10100001111001100101011000 K +b10100001111001100101011000 e +b10100001111001100101011000 "" +b10110011010011010101010100101001 &" +b10110011010011010101010100101001 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b10 ~ +b10 )" +b10 ." +0. +1% +#8548010 +b10110011010011010101010100101001 O" +#8548500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b1001111011110101110110011001101 2 +b1001111011110101110110011001101 I +b1001111011110101110110011001101 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +b1001111011110101110110011001101 , +b1001111011110101110110011001101 H +b10000 + +b10000 G +1. +0% +#8549000 +0_ +1] +0s +b1101011011101011100111010111001 | +b1101011011101011100111010111001 B" +0=" +0>" +1h +0;" +0r +0q +15" +17" +b1111 3" +1<" +1` +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +1y +1u +0n +0/" +1x +1w +1," +b1001111011110101110110011001101 &" +b1001111011110101110110011001101 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b101011011111110111110001110110 / +b101011011111110111110001110110 K +b101011011111110111110001110110 e +b101011011111110111110001110110 "" +0. +1% +#8549010 +b1001111011110101110110011001101 S" +#8549500 +b10100 5 +b10100 L +b10100 W +14 +b1101 7 +b1101 N +b1101 V +b11100101101100001001000010011011 2 +b11100101101100001001000010011011 I +b11100101101100001001000010011011 Z +b11 3 +b11 J +b11 Y +b10100 ' +b10100 D +1) +b1101 & +b1101 C +b11100101101100001001000010011011 , +b11100101101100001001000010011011 H +b11 + +b11 G +1. +0% +#8550000 +1_ +0i +b10101101001001000111100101110001 { +b10101101001001000111100101110001 A" +0y +0\ +0[ +1@" +1:" +1;" +1r +16" +b11100 3" +0<" +0` +b1011 0" +1j +0k +18" +1l +b10010 -" +1z +b10000100100001000100111101000111 | +b10000100100001000100111101000111 B" +1b +1a +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101011011101011100111010111001 0 +b1101011011101011100111010111001 M +b1101011011101011100111010111001 f +b1101011011101011100111010111001 !" +b11100101101100001001000010011011 &" +b11100101101100001001000010011011 ?" +b11 %" +b11 *" +b11 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +b1101 } +b1101 (" +b1101 +" +0. +1% +#8550010 +b11100101101100001001000010011011 F" +#8550500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b11000101001010111100110011000111 2 +b11000101001010111100110011000111 I +b11000101001010111100110011000111 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b100 & +b100 C +b11000101001010111100110011000111 , +b11000101001010111100110011000111 H +b11100 + +b11100 G +1. +0% +#8551000 +0_ +0] +1i +1t +1\ +1[ +1=" +1>" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +16" +b11 3" +1<" +1` +0^ +0c +1d +b11111 0" +0j +08" +0l +b11011 -" +0z +b1000000001011000010001101010101 | +b1000000001011000010001101010101 B" +0b +0a +0/" +0w +b11000101001010111100110011000111 &" +b11000101001010111100110011000111 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +b10101101001001000111100101110001 / +b10101101001001000111100101110001 K +b10101101001001000111100101110001 e +b10101101001001000111100101110001 "" +b10000100100001000100111101000111 0 +b10000100100001000100111101000111 M +b10000100100001000100111101000111 f +b10000100100001000100111101000111 !" +0. +1% +#8551010 +b11000101001010111100110011000111 _" +#8551500 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8552000 +1] +0u +0t +0=" +0>" +0@" +0r +0q +15" +b11111 3" +0d +0<" +0` +b0 -" +14" +1v +1z +b1010110100110000111011000001001 | +b1010110100110000111011000001001 B" +02" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000000001011000010001101010101 0 +b1000000001011000010001101010101 M +b1000000001011000010001101010101 f +b1000000001011000010001101010101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +0. +1% +#8552500 +b1110 7 +b1110 N +b1110 V +b11101110000010001001100100001001 2 +b11101110000010001001100100001001 I +b11101110000010001001100100001001 Z +b1001 3 +b1001 J +b1001 Y +11 +b1110 & +b1110 C +b11101110000010001001100100001001 , +b11101110000010001001100100001001 H +b1001 + +b1001 G +1- +1. +0% +#8553000 +0] +1u +0[ +1=" +1@" +1q +17" +b10110 3" +1c +1_ +b10001 -" +04" +0v +b11110010111010110101110000000011 | +b11110010111010110101110000000011 B" +1a +12" +0w +b11101110000010001001100100001001 &" +b11101110000010001001100100001001 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b1110 } +b1110 (" +b1110 +" +b1010110100110000111011000001001 0 +b1010110100110000111011000001001 M +b1010110100110000111011000001001 f +b1010110100110000111011000001001 !" +0. +1% +#8553010 +b11101110000010001001100100001001 L" +#8553500 +b110 7 +b110 N +b110 V +b1011111010110110100110001001011 2 +b1011111010110110100110001001011 I +b1011111010110110100110001001011 Z +b10011 3 +b10011 J +b10011 Y +b110 & +b110 C +b1011111010110110100110001001011 , +b1011111010110110100110001001011 H +b10011 + +b10011 G +1. +0% +#8554000 +0_ +1] +1t +b10010101111101011001001010001110 | +b10010101111101011001001010001110 B" +0\ +0=" +05" +16" +b1100 3" +1<" +1` +0c +b11001 -" +0z +1b +b11110010111010110101110000000011 0 +b11110010111010110101110000000011 M +b11110010111010110101110000000011 f +b11110010111010110101110000000011 !" +b1011111010110110100110001001011 &" +b1011111010110110100110001001011 ?" +b10011 %" +b10011 *" +b10011 1" +b110 } +b110 (" +b110 +" +0. +1% +#8554010 +b1011111010110110100110001001011 V" +#8554500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b110000100111110000111100100010 2 +b110000100111110000111100100010 I +b110000100111110000111100100010 Z +b10101 3 +b10101 J +b10101 Y +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +b110000100111110000111100100010 , +b110000100111110000111100100010 H +b10101 + +b10101 G +1. +0% +#8555000 +0] +0i +b1111001011011011000000010110001 { +b1111001011011011000000010110001 A" +1s +1\ +1>" +0h +1:" +1;" +1r +06" +07" +b1010 3" +1^ +b1001 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1n +1/" +0x +0," +b110000100111110000111100100010 &" +b110000100111110000111100100010 ?" +b10101 %" +b10101 *" +b10101 1" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10010101111101011001001010001110 0 +b10010101111101011001001010001110 M +b10010101111101011001001010001110 f +b10010101111101011001001010001110 !" +0. +1% +#8555010 +b110000100111110000111100100010 X" +#8555500 +b0 5 +b0 L +b0 W +04 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8556000 +1] +1i +b1000100010000001010000111000110 | +b1000100010000001010000111000110 B" +1[ +0>" +0@" +1h +0:" +0;" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1u +0a +02" +0n +0/" +1," +b1111001011011011000000010110001 / +b1111001011011011000000010110001 K +b1111001011011011000000010110001 e +b1111001011011011000000010110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +0. +1% +#8556500 +06 +b10111100001100101110010110010010 2 +b10111100001100101110010110010010 I +b10111100001100101110010110010010 Z +b10100 3 +b10100 J +b10100 Y +11 +0( +b10111100001100101110010110010010 , +b10111100001100101110010110010010 H +b10100 + +b10100 G +1- +1. +0% +#8557000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1>" +1@" +07" +b1011 3" +1^ +0_ +1<" +1` +0u +12" +0," +b10111100001100101110010110010010 &" +b10111100001100101110010110010010 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000100010000001010000111000110 0 +b1000100010000001010000111000110 M +b1000100010000001010000111000110 f +b1000100010000001010000111000110 !" +0. +1% +#8557010 +b10111100001100101110010110010010 W" +#8557500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8558000 +1] +0>" +0@" +b11111 3" +0^ +0<" +0` +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8558500 +b11000 7 +b11000 N +b11000 V +16 +b11000 & +b11000 C +1( +1. +0% +#8559000 +0s +b11110101101101001101111011011100 | +b11110101101101001101111011011100 B" +15" +17" +b111 -" +1y +0u +14" +1v +1," +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#8559500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8560000 +1s +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0y +04" +0v +0," +b11110101101101001101111011011100 0 +b11110101101101001101111011011100 M +b11110101101101001101111011011100 f +b11110101101101001101111011011100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8560500 +1. +0% +#8561000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8561500 +b11101 5 +b11101 L +b11101 W +14 +b11111000000011100111001011010010 2 +b11111000000011100111001011010010 I +b11111000000011100111001011010010 Z +b10001 3 +b10001 J +b10001 Y +11 +b11101 ' +b11101 D +1) +b11111000000011100111001011010010 , +b11111000000011100111001011010010 H +b10001 + +b10001 G +1- +1. +0% +#8562000 +0i +b10100000110110011100111110110001 { +b10100000110110011100111110110001 A" +0[ +1@" +0g +19" +1:" +1;" +b1110 3" +0_ +1<" +1` +b10 0" +0j +0o +1p +0k +18" +1l +1a +12" +1m +1/" +b11111000000011100111001011010010 &" +b11111000000011100111001011010010 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#8562010 +b11111000000011100111001011010010 T" +#8562500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8563000 +1i +0s +b11010001100011101001100110010001 | +b11010001100011101001100110010001 B" +1[ +0@" +1g +09" +0:" +0;" +0r +0q +16" +17" +b11111 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +1t +1u +0a +02" +0m +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +b10100000110110011100111110110001 / +b10100000110110011100111110110001 K +b10100000110110011100111110110001 e +b10100000110110011100111110110001 "" +0. +1% +#8563500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8564000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010001100011101001100110010001 0 +b11010001100011101001100110010001 M +b11010001100011101001100110010001 f +b11010001100011101001100110010001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8564500 +b10110101101111011111010001001101 2 +b10110101101111011111010001001101 I +b10110101101111011111010001001101 Z +b10000 3 +b10000 J +b10000 Y +11 +b10110101101111011111010001001101 , +b10110101101111011111010001001101 H +b10000 + +b10000 G +1- +1. +0% +#8565000 +1@" +b1111 3" +0_ +1<" +1` +12" +b10110101101111011111010001001101 &" +b10110101101111011111010001001101 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8565010 +b10110101101111011111010001001101 S" +#8565500 +b111 5 +b111 L +b111 W +14 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8566000 +0i +b11010001100011101001100110010001 { +b11010001100011101001100110010001 A" +0s +b11110010111010110101110000000011 | +b11110010111010110101110000000011 B" +0@" +0h +0g +1:" +1;" +0r +15" +16" +17" +b11111 3" +0<" +0` +b11000 0" +1j +1k +b10001 -" +0t +0y +1z +1u +02" +1n +1m +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8566500 +b10100 5 +b10100 L +b10100 W +b0 7 +b0 N +b0 V +06 +b10100 ' +b10100 D +b0 & +b0 C +0( +1. +0% +#8567000 +0k +1s +1h +1g +1r +05" +06" +07" +b1011 0" +18" +1l +b10111100001100101110010110010010 { +b10111100001100101110010110010010 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0x +0," +b10100 ~ +b10100 )" +b10100 ." +b0 } +b0 (" +b0 +" +0#" +b11010001100011101001100110010001 / +b11010001100011101001100110010001 K +b11010001100011101001100110010001 e +b11010001100011101001100110010001 "" +b11110010111010110101110000000011 0 +b11110010111010110101110000000011 M +b11110010111010110101110000000011 f +b11110010111010110101110000000011 !" +0. +1% +#8567500 +b0 5 +b0 L +b0 W +04 +b111101101010110110101010011100 2 +b111101101010110110101010011100 I +b111101101010110110101010011100 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b111101101010110110101010011100 , +b111101101010110110101010011100 H +b1010 + +b1010 G +1- +1. +0% +#8568000 +0] +1i +0\ +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10101 3" +1c +1_ +b11111 0" +0j +08" +0l +1b +12" +0/" +b10111100001100101110010110010010 / +b10111100001100101110010110010010 K +b10111100001100101110010110010010 e +b10111100001100101110010110010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111101101010110110101010011100 &" +b111101101010110110101010011100 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8568010 +b111101101010110110101010011100 M" +#8568500 +b1001 5 +b1001 L +b1001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8569000 +1] +0i +b11101110000010001001100100001001 { +b11101110000010001001100100001001 A" +1\ +0=" +0@" +0g +19" +1;" +b11111 3" +0c +0_ +b10110 0" +1o +1k +0b +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8569500 +b11000 5 +b11000 L +b11000 W +b11000 ' +b11000 D +1. +0% +#8570000 +0k +1g +b111 0" +18" +1l +b11110101101101001101111011011100 { +b11110101101101001101111011011100 A" +0m +b11101110000010001001100100001001 / +b11101110000010001001100100001001 K +b11101110000010001001100100001001 e +b11101110000010001001100100001001 "" +b11000 ~ +b11000 )" +b11000 ." +0. +1% +#8570500 +b11 5 +b11 L +b11 W +b11 ' +b11 D +1. +0% +#8571000 +1k +1i +0h +0g +1;" +09" +b11100 0" +08" +0l +0o +b11100101101100001001000010011011 { +b11100101101100001001000010011011 A" +1n +1m +b11 ~ +b11 )" +b11 ." +b11110101101101001101111011011100 / +b11110101101101001101111011011100 K +b11110101101101001101111011011100 e +b11110101101101001101111011011100 "" +0. +1% +#8571500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +1. +0% +#8572000 +0s +b1010111010111111011011011100000 | +b1010111010111111011011011100000 B" +1h +1g +0;" +0r +0q +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b100 -" +1y +0u +14" +1v +0n +0m +0/" +1x +1w +1," +b11100101101100001001000010011011 / +b11100101101100001001000010011011 K +b11100101101100001001000010011011 e +b11100101101100001001000010011011 "" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#8572500 +b0 7 +b0 N +b0 V +06 +b10110111001110111110110111101110 2 +b10110111001110111110110111101110 I +b10110111001110111110110111101110 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 & +b0 C +0( +b10110111001110111110110111101110 , +b10110111001110111110110111101110 H +b1100 + +b1100 G +1- +1. +0% +#8573000 +0] +1s +1=" +1>" +1@" +1r +1q +05" +07" +b10011 3" +0^ +0c +1d +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0x +0w +0," +b10110111001110111110110111101110 &" +b10110111001110111110110111101110 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010111010111111011011011100000 0 +b1010111010111111011011011100000 M +b1010111010111111011011011100000 f +b1010111010111111011011011100000 !" +0. +1% +#8573010 +b10110111001110111110110111101110 O" +#8573500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8574000 +1] +0=" +0>" +0@" +b11111 3" +0d +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8574500 +b101 7 +b101 N +b101 V +16 +b101 & +b101 C +1( +1. +0% +#8575000 +0s +b100100010011011000101000111000 | +b100100010011011000101000111000 B" +0q +16" +17" +b11010 -" +1t +1u +1w +1," +b101 } +b101 (" +b101 +" +1#" +0. +1% +#8575500 +b1000 5 +b1000 L +b1000 W +14 +b11000 7 +b11000 N +b11000 V +b11101001001111101110011010000110 2 +b11101001001111101110011010000110 I +b11101001001111101110011010000110 Z +b1001 3 +b1001 J +b1001 Y +11 +b1000 ' +b1000 D +1) +b11000 & +b11000 C +b11101001001111101110011010000110 , +b11101001001111101110011010000110 H +b1001 + +b1001 G +1- +1. +0% +#8576000 +15" +0] +0i +b101010000011001111100101010101 { +b101010000011001111100101010101 A" +0u +1y +0[ +1=" +1@" +19" +1;" +1q +06" +b10110 3" +1c +1_ +b10111 0" +1o +1k +b111 -" +14" +1v +0t +b11110101101101001101111011011100 | +b11110101101101001101111011011100 B" +1a +12" +1/" +0w +b100100010011011000101000111000 0 +b100100010011011000101000111000 M +b100100010011011000101000111000 f +b100100010011011000101000111000 !" +b11101001001111101110011010000110 &" +b11101001001111101110011010000110 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b11000 } +b11000 (" +b11000 +" +0. +1% +#8576010 +b11101001001111101110011010000110 L" +#8576500 +b111 5 +b111 L +b111 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8577000 +1:" +1] +1j +1s +1[ +0=" +0@" +0h +0g +09" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0_ +b11000 0" +0o +b11010001100011101001100110010001 { +b11010001100011101001100110010001 A" +b11111 -" +0y +04" +0v +0a +02" +1n +1m +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +b0 } +b0 (" +b0 +" +0#" +b101010000011001111100101010101 / +b101010000011001111100101010101 K +b101010000011001111100101010101 e +b101010000011001111100101010101 "" +b11110101101101001101111011011100 0 +b11110101101101001101111011011100 M +b11110101101101001101111011011100 f +b11110101101101001101111011011100 !" +0. +1% +#8577500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +1. +0% +#8578000 +1i +b110111100110101000110110101111 | +b110111100110101000110110101111 B" +1h +1g +0:" +0;" +0r +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1101 -" +0u +14" +1v +0n +0m +0/" +1x +1," +b11010001100011101001100110010001 / +b11010001100011101001100110010001 K +b11010001100011101001100110010001 e +b11010001100011101001100110010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#8578500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8579000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110111100110101000110110101111 0 +b110111100110101000110110101111 M +b110111100110101000110110101111 f +b110111100110101000110110101111 !" +0. +1% +#8579500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#8580000 +0s +b11010001100011101001100110010001 | +b11010001100011101001100110010001 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#8580500 +b10100 5 +b10100 L +b10100 W +14 +b11100 7 +b11100 N +b11100 V +b100001111001100000110010111100 2 +b100001111001100000110010111100 I +b100001111001100000110010111100 Z +11 +b10100 ' +b10100 D +1) +b11100 & +b11100 C +b100001111001100000110010111100 , +b100001111001100000110010111100 H +1- +1. +0% +#8581000 +0i +b10111100001100101110010110010010 { +b10111100001100101110010110010010 A" +0u +0t +1@" +1:" +1;" +1r +1q +15" +1_ +b1011 0" +1j +0k +18" +1l +b11 -" +14" +1v +1z +b11000101001010111100110011000111 | +b11000101001010111100110011000111 B" +12" +1/" +0x +0w +b100001111001100000110010111100 &" +b100001111001100000110010111100 ?" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11100 } +b11100 (" +b11100 +" +b11010001100011101001100110010001 0 +b11010001100011101001100110010001 M +b11010001100011101001100110010001 f +b11010001100011101001100110010001 !" +0. +1% +#8581010 +b100001111001100000110010111100 C" +#8581500 +b1010 5 +b1010 L +b1010 W +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +01 +b1010 ' +b1010 D +b10 & +b10 C +b0 , +b0 H +0- +1. +0% +#8582000 +19" +1k +1o +1u +1s +0@" +0h +1;" +0:" +0r +17" +05" +06" +0_ +b10101 0" +08" +0l +0j +b111101101010110110101010011100 { +b111101101010110110101010011100 A" +b11101 -" +04" +0v +0z +b101011011111110111110001110110 | +b101011011111110111110001110110 B" +02" +1n +1x +b10111100001100101110010110010010 / +b10111100001100101110010110010010 K +b10111100001100101110010110010010 e +b10111100001100101110010110010010 "" +b11000101001010111100110011000111 0 +b11000101001010111100110011000111 M +b11000101001010111100110011000111 f +b11000101001010111100110011000111 !" +b0 &" +b0 ?" +0'" +b1010 ~ +b1010 )" +b1010 ." +b10 } +b10 (" +b10 +" +0. +1% +#8582500 +b11000 5 +b11000 L +b11000 W +b1101 7 +b1101 N +b1101 V +b11000 ' +b11000 D +b1101 & +b1101 C +1. +0% +#8583000 +0k +0s +1h +1r +0q +15" +16" +b111 0" +18" +1l +b11110101101101001101111011011100 { +b11110101101101001101111011011100 A" +b10010 -" +0t +0y +1z +b10000100100001000100111101000111 | +b10000100100001000100111101000111 B" +0n +0x +1w +b11000 ~ +b11000 )" +b11000 ." +b1101 } +b1101 (" +b1101 +" +b111101101010110110101010011100 / +b111101101010110110101010011100 K +b111101101010110110101010011100 e +b111101101010110110101010011100 "" +b101011011111110111110001110110 0 +b101011011111110111110001110110 M +b101011011111110111110001110110 f +b101011011111110111110001110110 !" +0. +1% +#8583500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8584000 +1i +1s +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +06" +07" +b11111 0" +0o +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0w +0," +b11110101101101001101111011011100 / +b11110101101101001101111011011100 K +b11110101101101001101111011011100 e +b11110101101101001101111011011100 "" +b10000100100001000100111101000111 0 +b10000100100001000100111101000111 M +b10000100100001000100111101000111 f +b10000100100001000100111101000111 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8584500 +b100 5 +b100 L +b100 W +14 +b10111000100111111111010110101101 2 +b10111000100111111111010110101101 I +b10111000100111111111010110101101 Z +b11000 3 +b11000 J +b11000 Y +11 +b100 ' +b100 D +1) +b10111000100111111111010110101101 , +b10111000100111111111010110101101 H +b11000 + +b11000 G +1- +1. +0% +#8585000 +0] +0i +b1000000001011000010001101010101 { +b1000000001011000010001101010101 A" +1=" +1@" +1:" +1;" +b111 3" +1c +0_ +1<" +1` +b11011 0" +1j +1k +12" +1/" +b10111000100111111111010110101101 &" +b10111000100111111111010110101101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8585010 +b10111000100111111111010110101101 [" +#8585500 +b10111 5 +b10111 L +b10111 W +b1 7 +b1 N +b1 V +16 +b11000010101010011100001100011001 2 +b11000010101010011100001100011001 I +b11000010101010011100001100011001 Z +b10000 3 +b10000 J +b10000 Y +b10111 ' +b10111 D +b1 & +b1 C +1( +b11000010101010011100001100011001 , +b11000010101010011100001100011001 H +b10000 + +b10000 G +1. +0% +#8586000 +1] +0k +b1000110111011010011100000000000 | +b1000110111011010011100000000000 B" +0=" +0h +0g +0q +17" +b1111 3" +0c +b1000 0" +18" +1l +b10100000110111100111111000001011 { +b10100000110111100111111000001011 A" +b11110 -" +1u +1n +1m +1w +1," +b1000000001011000010001101010101 / +b1000000001011000010001101010101 K +b1000000001011000010001101010101 e +b1000000001011000010001101010101 "" +b11000010101010011100001100011001 &" +b11000010101010011100001100011001 ?" +b10000 %" +b10000 *" +b10000 1" +b10111 ~ +b10111 )" +b10111 ." +b1 } +b1 (" +b1 +" +1#" +0. +1% +#8586010 +b11000010101010011100001100011001 S" +#8586500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b100110100100010001110111010011 2 +b100110100100010001110111010011 I +b100110100100010001110111010011 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b100110100100010001110111010011 , +b100110100100010001110111010011 H +b1 + +b1 G +1. +0% +#8587000 +1_ +1i +0[ +1@" +1h +1g +0:" +0;" +1q +07" +b11110 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0n +0m +0/" +0w +0," +b100110100100010001110111010011 &" +b100110100100010001110111010011 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10100000110111100111111000001011 / +b10100000110111100111111000001011 K +b10100000110111100111111000001011 e +b10100000110111100111111000001011 "" +b1000110111011010011100000000000 0 +b1000110111011010011100000000000 M +b1000110111011010011100000000000 f +b1000110111011010011100000000000 !" +0. +1% +#8587010 +b100110100100010001110111010011 D" +#8587500 +b1000 5 +b1000 L +b1000 W +14 +b1100 7 +b1100 N +b1100 V +16 +b1000010001111111011110001101100 2 +b1000010001111111011110001101100 I +b1000010001111111011110001101100 Z +b11011 3 +b11011 J +b11011 Y +b1000 ' +b1000 D +1) +b1100 & +b1100 C +1( +b1000010001111111011110001101100 , +b1000010001111111011110001101100 H +b11011 + +b11011 G +1. +0% +#8588000 +0_ +0] +0i +b101010000011001111100101010101 { +b101010000011001111100101010101 A" +0s +b10110111001110111110110111101110 | +b10110111001110111110110111101110 B" +0\ +1=" +19" +1;" +15" +16" +17" +b100 3" +1<" +1` +1c +b10111 0" +1o +1k +b10011 -" +0t +0y +1z +1u +1b +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000010001111111011110001101100 &" +b1000010001111111011110001101100 ?" +b11011 %" +b11011 *" +b11011 1" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#8588010 +b1000010001111111011110001101100 ^" +#8588500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b100101000101011111110101101000 2 +b100101000101011111110101101000 I +b100101000101011111110101101000 Z +b10101 3 +b10101 J +b10101 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b100101000101011111110101101000 , +b100101000101011111110101101000 H +b10101 + +b10101 G +1. +0% +#8589000 +1>" +1^ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +09" +0;" +05" +06" +07" +b1010 3" +0c +b11111 0" +0o +0k +b11111 -" +0z +0u +0b +0/" +0," +b100101000101011111110101101000 &" +b100101000101011111110101101000 ?" +b10101 %" +b10101 *" +b10101 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101010000011001111100101010101 / +b101010000011001111100101010101 K +b101010000011001111100101010101 e +b101010000011001111100101010101 "" +b10110111001110111110110111101110 0 +b10110111001110111110110111101110 M +b10110111001110111110110111101110 f +b10110111001110111110110111101110 !" +0. +1% +#8589010 +b100101000101011111110101101000 X" +#8589500 +b10000 7 +b10000 N +b10000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 & +b10000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8590000 +1] +b11000010101010011100001100011001 | +b11000010101010011100001100011001 B" +1[ +0>" +0@" +17" +b11111 3" +0^ +0<" +0` +b1111 -" +0u +14" +1v +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#8590500 +b11111 7 +b11111 N +b11111 V +b11111 & +b11111 C +1. +0% +#8591000 +0s +0r +0q +15" +16" +b0 -" +0t +0y +1z +b1010110100110000111011000001001 | +b1010110100110000111011000001001 B" +1x +1w +b11111 } +b11111 (" +b11111 +" +b11000010101010011100001100011001 0 +b11000010101010011100001100011001 M +b11000010101010011100001100011001 f +b11000010101010011100001100011001 !" +0. +1% +#8591500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8592000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b1010110100110000111011000001001 0 +b1010110100110000111011000001001 M +b1010110100110000111011000001001 f +b1010110100110000111011000001001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8592500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#8593000 +b1011111010110110100110001001011 { +b1011111010110110100110001001011 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8593500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8594000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b1011111010110110100110001001011 / +b1011111010110110100110001001011 K +b1011111010110110100110001001011 e +b1011111010110110100110001001011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8594500 +b1101 5 +b1101 L +b1101 W +14 +b1101 ' +b1101 D +1) +1. +0% +#8595000 +0i +b10000100100001000100111101000111 { +b10000100100001000100111101000111 A" +0g +19" +1:" +1;" +b10010 0" +0j +0o +1p +1k +1m +1/" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8595500 +b111 5 +b111 L +b111 W +b11010 7 +b11010 N +b11010 V +16 +b111 ' +b111 D +b11010 & +b11010 C +1( +1. +0% +#8596000 +1j +0s +b1001000011001000000000100000111 | +b1001000011001000000000100000111 B" +0h +09" +1:" +0r +15" +17" +b11000 0" +0p +b11010001100011101001100110010001 { +b11010001100011101001100110010001 A" +b101 -" +1y +0u +14" +1v +1n +1x +1," +b10000100100001000100111101000111 / +b10000100100001000100111101000111 K +b10000100100001000100111101000111 e +b10000100100001000100111101000111 "" +b111 ~ +b111 )" +b111 ." +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#8596500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +b0 & +b0 C +0( +1. +0% +#8597000 +19" +1o +1s +1h +1g +0:" +1r +05" +07" +b10111 0" +0j +b101010000011001111100101010101 { +b101010000011001111100101010101 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0x +0," +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +b11010001100011101001100110010001 / +b11010001100011101001100110010001 K +b11010001100011101001100110010001 e +b11010001100011101001100110010001 "" +b1001000011001000000000100000111 0 +b1001000011001000000000100000111 M +b1001000011001000000000100000111 f +b1001000011001000000000100000111 !" +0. +1% +#8597500 +b0 5 +b0 L +b0 W +04 +b110111110110011001110001010001 2 +b110111110110011001110001010001 I +b110111110110011001110001010001 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b110111110110011001110001010001 , +b110111110110011001110001010001 H +b1101 + +b1101 G +1- +1. +0% +#8598000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +1=" +1>" +1@" +09" +0;" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0o +0k +1a +12" +0/" +b101010000011001111100101010101 / +b101010000011001111100101010101 K +b101010000011001111100101010101 e +b101010000011001111100101010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110111110110011001110001010001 &" +b110111110110011001110001010001 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8598010 +b110111110110011001110001010001 P" +#8598500 +b1100 5 +b1100 L +b1100 W +14 +b11111 7 +b11111 N +b11111 V +16 +b1010010010000110111000110010011 2 +b1010010010000110111000110010011 I +b1010010010000110111000110010011 Z +b1011 3 +b1011 J +b1011 Y +b1100 ' +b1100 D +1) +b11111 & +b11111 C +1( +b1010010010000110111000110010011 , +b1010010010000110111000110010011 H +b1011 + +b1011 G +1. +0% +#8599000 +1c +0i +b10110111001110111110110111101110 { +b10110111001110111110110111101110 A" +0s +b1010110100110000111011000001001 | +b1010110100110000111011000001001 B" +0\ +1=" +0>" +19" +1:" +1;" +0r +0q +15" +16" +17" +b10100 3" +0d +b10011 0" +0j +0o +1p +1k +b0 -" +0t +0y +1z +0u +14" +1v +1b +1/" +1x +1w +1," +b1010010010000110111000110010011 &" +b1010010010000110111000110010011 ?" +b1011 %" +b1011 *" +b1011 1" +b1100 ~ +b1100 )" +b1100 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8599010 +b1010010010000110111000110010011 N" +#8599500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8600000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +1[ +0=" +0@" +09" +0:" +0;" +1r +1q +05" +06" +07" +b11111 3" +0c +0_ +b11111 0" +0p +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0/" +0x +0w +0," +b10110111001110111110110111101110 / +b10110111001110111110110111101110 K +b10110111001110111110110111101110 e +b10110111001110111110110111101110 "" +b1010110100110000111011000001001 0 +b1010110100110000111011000001001 M +b1010110100110000111011000001001 f +b1010110100110000111011000001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8600500 +b1 7 +b1 N +b1 V +16 +b1010011100010110000000100010111 2 +b1010011100010110000000100010111 I +b1010011100010110000000100010111 Z +b1011 3 +b1011 J +b1011 Y +11 +b1 & +b1 C +1( +b1010011100010110000000100010111 , +b1010011100010110000000100010111 H +b1011 + +b1011 G +1- +1. +0% +#8601000 +0] +b100110100100010001110111010011 | +b100110100100010001110111010011 B" +0\ +0[ +1=" +1@" +0q +17" +b10100 3" +1c +1_ +b11110 -" +1u +1b +1a +12" +1w +1," +b1010011100010110000000100010111 &" +b1010011100010110000000100010111 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8601010 +b1010011100010110000000100010111 N" +#8601500 +b10101 7 +b10101 N +b10101 V +b1100101110110001111000001110110 2 +b1100101110110001111000001110110 I +b1100101110110001111000001110110 Z +b1101 3 +b1101 J +b1101 Y +b10101 & +b10101 C +b1100101110110001111000001110110 , +b1100101110110001111000001110110 H +b1101 + +b1101 G +1. +0% +#8602000 +0c +0u +0s +1\ +1>" +b100101000101011111110101101000 | +b100101000101011111110101101000 B" +16" +b10010 3" +1d +b1010 -" +14" +1v +1t +0b +b100110100100010001110111010011 0 +b100110100100010001110111010011 M +b100110100100010001110111010011 f +b100110100100010001110111010011 !" +b1100101110110001111000001110110 &" +b1100101110110001111000001110110 ?" +b1101 %" +b1101 *" +b1101 1" +b10101 } +b10101 (" +b10101 +" +0. +1% +#8602010 +b1100101110110001111000001110110 P" +#8602500 +b10011 7 +b10011 N +b10011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 & +b10011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8603000 +1] +1s +1[ +0=" +0>" +0@" +0r +06" +b11111 3" +0d +0_ +b1100 -" +0t +b1011111010110110100110001001011 | +b1011111010110110100110001001011 B" +0a +02" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 } +b10011 (" +b10011 +" +b100101000101011111110101101000 0 +b100101000101011111110101101000 M +b100101000101011111110101101000 f +b100101000101011111110101101000 !" +0. +1% +#8603500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b101010100111101111010111101010 2 +b101010100111101111010111101010 I +b101010100111101111010111101010 Z +b1101 3 +b1101 J +b1101 Y +11 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +b101010100111101111010111101010 , +b101010100111101111010111101010 H +b1101 + +b1101 G +1- +1. +0% +#8604000 +0] +0i +b10111000100111111111010110101101 { +b10111000100111111111010110101101 A" +0[ +1=" +1>" +1@" +19" +1;" +1r +1q +07" +b10010 3" +0^ +0c +1d +1_ +b111 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +1/" +0x +0w +0," +b1011111010110110100110001001011 0 +b1011111010110110100110001001011 M +b1011111010110110100110001001011 f +b1011111010110110100110001001011 !" +b101010100111101111010111101010 &" +b101010100111101111010111101010 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8604010 +b101010100111101111010111101010 P" +#8604500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8605000 +1] +1i +0s +b1001000011001000000000100000111 | +b1001000011001000000000100000111 B" +1[ +0=" +0>" +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +15" +17" +b11111 3" +0d +0_ +b11111 0" +0o +08" +0l +b101 -" +1y +0u +14" +1v +0a +02" +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b10111000100111111111010110101101 / +b10111000100111111111010110101101 K +b10111000100111111111010110101101 e +b10111000100111111111010110101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8605500 +b11111 5 +b11111 L +b11111 W +14 +b110 7 +b110 N +b110 V +b11111 ' +b11111 D +1) +b110 & +b110 C +1. +0% +#8606000 +16" +0i +b1010110100110000111011000001001 { +b1010110100110000111011000001001 A" +1u +1t +0h +0g +19" +1:" +1;" +17" +b10010101111101011001001010001110 | +b10010101111101011001001010001110 B" +05" +b0 0" +0j +0o +1p +0k +18" +1l +b11001 -" +04" +0v +0y +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001000011001000000000100000111 0 +b1001000011001000000000100000111 M +b1001000011001000000000100000111 f +b1001000011001000000000100000111 !" +b11111 ~ +b11111 )" +b11111 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#8606500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b0 ' +b0 D +0) +b100 & +b100 C +1. +0% +#8607000 +1i +1h +1g +09" +0:" +0;" +1r +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +b1000000001011000010001101010101 | +b1000000001011000010001101010101 B" +0n +0m +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +b1010110100110000111011000001001 / +b1010110100110000111011000001001 K +b1010110100110000111011000001001 e +b1010110100110000111011000001001 "" +b10010101111101011001001010001110 0 +b10010101111101011001001010001110 M +b10010101111101011001001010001110 f +b10010101111101011001001010001110 !" +0. +1% +#8607500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8608000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +06" +07" +b11111 -" +0t +0u +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000000001011000010001101010101 0 +b1000000001011000010001101010101 M +b1000000001011000010001101010101 f +b1000000001011000010001101010101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8608500 +b1111 5 +b1111 L +b1111 W +14 +b10110001000011011110001001000 2 +b10110001000011011110001001000 I +b10110001000011011110001001000 Z +b10100 3 +b10100 J +b10100 Y +11 +b1111 ' +b1111 D +1) +b10110001000011011110001001000 , +b10110001000011011110001001000 H +b10100 + +b10100 G +1- +1. +0% +#8609000 +0] +0i +b1001010001110011100100101110100 { +b1001010001110011100100101110100 A" +1>" +1@" +0h +0g +19" +1:" +1;" +b1011 3" +1^ +0_ +1<" +1` +b10000 0" +0j +0o +1p +1k +12" +1n +1m +1/" +b10110001000011011110001001000 &" +b10110001000011011110001001000 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8609010 +b10110001000011011110001001000 W" +#8609500 +b1001 5 +b1001 L +b1001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8610000 +1] +1o +0>" +0@" +1h +19" +0:" +b11111 3" +0^ +0<" +0` +b10110 0" +0p +b11101001001111101110011010000110 { +b11101001001111101110011010000110 A" +02" +0n +b1001010001110011100100101110100 / +b1001010001110011100100101110100 K +b1001010001110011100100101110100 e +b1001010001110011100100101110100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +0. +1% +#8610500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +16 +b0 ' +b0 D +0) +b1011 & +b1011 C +1( +1. +0% +#8611000 +1i +0s +b1010011100010110000000100010111 | +b1010011100010110000000100010111 B" +1g +09" +0;" +0r +0q +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +1y +1u +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +1#" +b11101001001111101110011010000110 / +b11101001001111101110011010000110 K +b11101001001111101110011010000110 e +b11101001001111101110011010000110 "" +0. +1% +#8611500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8612000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010011100010110000000100010111 0 +b1010011100010110000000100010111 M +b1010011100010110000000100010111 f +b1010011100010110000000100010111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8612500 +1. +0% +#8613000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8613500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#8614000 +0i +b1010011100010110000000100010111 { +b1010011100010110000000100010111 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#8614500 +b100 5 +b100 L +b100 W +b1001110111100001010110101111000 2 +b1001110111100001010110101111000 I +b1001110111100001010110101111000 Z +b110 3 +b110 J +b110 Y +11 +b100 ' +b100 D +b1001110111100001010110101111000 , +b1001110111100001010110101111000 H +b110 + +b110 G +1- +1. +0% +#8615000 +1:" +0] +1j +0\ +1>" +1@" +1h +1g +09" +b11001 3" +1^ +1_ +b11011 0" +0o +b1000000001011000010001101010101 { +b1000000001011000010001101010101 A" +1b +12" +0n +0m +b1001110111100001010110101111000 &" +b1001110111100001010110101111000 ?" +b110 %" +b110 *" +b110 1" +1'" +b100 ~ +b100 )" +b100 ." +b1010011100010110000000100010111 / +b1010011100010110000000100010111 K +b1010011100010110000000100010111 e +b1010011100010110000000100010111 "" +0. +1% +#8615010 +b1001110111100001010110101111000 I" +#8615500 +b10111 5 +b10111 L +b10111 W +b11111 7 +b11111 N +b11111 V +16 +b10000011110111010001010000000000 2 +b10000011110111010001010000000000 I +b10000011110111010001010000000000 Z +b11011 3 +b11011 J +b11011 Y +b10111 ' +b10111 D +b11111 & +b11111 C +1( +b10000011110111010001010000000000 , +b10000011110111010001010000000000 H +b11011 + +b11011 G +1. +0% +#8616000 +1=" +0_ +1c +0k +0s +b1010110100110000111011000001001 | +b1010110100110000111011000001001 B" +0[ +0>" +0h +0g +0r +0q +15" +16" +17" +b100 3" +1<" +1` +0^ +b1000 0" +18" +1l +b10100000110111100111111000001011 { +b10100000110111100111111000001011 A" +b0 -" +0t +0y +1z +0u +14" +1v +1a +1n +1m +1x +1w +1," +b1000000001011000010001101010101 / +b1000000001011000010001101010101 K +b1000000001011000010001101010101 e +b1000000001011000010001101010101 "" +b10000011110111010001010000000000 &" +b10000011110111010001010000000000 ?" +b11011 %" +b11011 *" +b11011 1" +b10111 ~ +b10111 )" +b10111 ." +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#8616010 +b10000011110111010001010000000000 ^" +#8616500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b11100110110011010011110011010000 2 +b11100110110011010011110011010000 I +b11100110110011010011110011010000 Z +b10 3 +b10 J +b10 Y +b1000 ' +b1000 D +b0 & +b0 C +0( +b11100110110011010011110011010000 , +b11100110110011010011110011010000 H +b10 + +b10 G +1. +0% +#8617000 +19" +1_ +1] +1k +1o +1s +1[ +1@" +0=" +1h +1g +1;" +0:" +1r +1q +05" +06" +07" +b11101 3" +0<" +0` +0c +b10111 0" +08" +0l +0j +b101010000011001111100101010101 { +b101010000011001111100101010101 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +0n +0m +0x +0w +0," +b11100110110011010011110011010000 &" +b11100110110011010011110011010000 ?" +b10 %" +b10 *" +b10 1" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +b10100000110111100111111000001011 / +b10100000110111100111111000001011 K +b10100000110111100111111000001011 e +b10100000110111100111111000001011 "" +b1010110100110000111011000001001 0 +b1010110100110000111011000001001 M +b1010110100110000111011000001001 f +b1010110100110000111011000001001 !" +0. +1% +#8617010 +b11100110110011010011110011010000 E" +#8617500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b1110011100101010100011010101 2 +b1110011100101010100011010101 I +b1110011100101010100011010101 Z +b10011 3 +b10011 J +b10011 Y +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +b1110011100101010100011010101 , +b1110011100101010100011010101 H +b10011 + +b10011 G +1. +0% +#8618000 +0_ +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11101001001111101110011010000110 | +b11101001001111101110011010000110 B" +0[ +09" +0;" +0q +15" +17" +b1100 3" +1<" +1` +b11111 0" +0o +0k +b10110 -" +1y +1u +1a +0/" +1w +1," +b101010000011001111100101010101 / +b101010000011001111100101010101 K +b101010000011001111100101010101 e +b101010000011001111100101010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110011100101010100011010101 &" +b1110011100101010100011010101 ?" +b10011 %" +b10011 *" +b10011 1" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#8618010 +b1110011100101010100011010101 V" +#8618500 +b10011 5 +b10011 L +b10011 W +14 +b1101 7 +b1101 N +b1101 V +b1001100110101111011110110101110 2 +b1001100110101111011110110101110 I +b1001100110101111011110110101110 Z +b1111 3 +b1111 J +b1111 Y +b10011 ' +b10011 D +1) +b1101 & +b1101 C +b1001100110101111011110110101110 , +b1001100110101111011110110101110 H +b1111 + +b1111 G +1. +0% +#8619000 +1_ +0] +b1110011100101010100011010101 { +b1110011100101010100011010101 A" +0y +b101010100111101111010111101010 | +b101010100111101111010111101010 B" +1@" +1=" +1>" +0h +0g +1;" +16" +b10000 3" +0<" +0` +0^ +0c +1d +b1100 0" +0k +18" +1l +b10010 -" +1z +1n +1m +1/" +b1001100110101111011110110101110 &" +b1001100110101111011110110101110 ?" +b1111 %" +b1111 *" +b1111 1" +b10011 ~ +b10011 )" +b10011 ." +1$" +b1101 } +b1101 (" +b1101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101001001111101110011010000110 0 +b11101001001111101110011010000110 M +b11101001001111101110011010000110 f +b11101001001111101110011010000110 !" +0. +1% +#8619010 +b1001100110101111011110110101110 R" +#8619500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8620000 +1] +1s +1\ +1[ +0=" +0>" +0@" +1h +1g +0;" +1q +05" +06" +07" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +0m +0/" +0w +0," +b1110011100101010100011010101 / +b1110011100101010100011010101 K +b1110011100101010100011010101 e +b1110011100101010100011010101 "" +b101010100111101111010111101010 0 +b101010100111101111010111101010 M +b101010100111101111010111101010 f +b101010100111101111010111101010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8620500 +b10111 5 +b10111 L +b10111 W +14 +b10111 ' +b10111 D +1) +1. +0% +#8621000 +0i +b10100000110111100111111000001011 { +b10100000110111100111111000001011 A" +0h +0g +1:" +1;" +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8621500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8622000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b10100000110111100111111000001011 / +b10100000110111100111111000001011 K +b10100000110111100111111000001011 e +b10100000110111100111111000001011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8622500 +b111 5 +b111 L +b111 W +14 +b1001 7 +b1001 N +b1001 V +16 +b111 ' +b111 D +1) +b1001 & +b1001 C +1( +1. +0% +#8623000 +0i +b11010001100011101001100110010001 { +b11010001100011101001100110010001 A" +0s +b11101001001111101110011010000110 | +b11101001001111101110011010000110 B" +0h +0g +1:" +1;" +0q +15" +17" +b11000 0" +1j +1k +b10110 -" +1y +1u +1n +1m +1/" +1w +1," +b111 ~ +b111 )" +b111 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8623500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b10001101010011101100111000010000 2 +b10001101010011101100111000010000 I +b10001101010011101100111000010000 Z +b10100 3 +b10100 J +b10100 Y +11 +b11001 ' +b11001 D +b0 & +b0 C +0( +b10001101010011101100111000010000 , +b10001101010011101100111000010000 H +b10100 + +b10100 G +1- +1. +0% +#8624000 +19" +0] +0k +1o +1s +1>" +1@" +1h +0:" +1q +05" +07" +b1011 3" +1^ +0_ +1<" +1` +b110 0" +18" +1l +0j +b1001101001011111100010110101110 { +b1001101001011111100010110101110 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0n +0w +0," +b11010001100011101001100110010001 / +b11010001100011101001100110010001 K +b11010001100011101001100110010001 e +b11010001100011101001100110010001 "" +b11101001001111101110011010000110 0 +b11101001001111101110011010000110 M +b11101001001111101110011010000110 f +b11101001001111101110011010000110 !" +b10001101010011101100111000010000 &" +b10001101010011101100111000010000 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8624010 +b10001101010011101100111000010000 W" +#8624500 +b0 5 +b0 L +b0 W +04 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8625000 +1] +1i +0s +b111101101010110110101010011100 | +b111101101010110110101010011100 B" +0>" +0@" +1g +09" +0;" +0r +15" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10101 -" +1y +1u +02" +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010 } +b1010 (" +b1010 +" +1#" +b1001101001011111100010110101110 / +b1001101001011111100010110101110 K +b1001101001011111100010110101110 e +b1001101001011111100010110101110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8625500 +b0 7 +b0 N +b0 V +06 +b11011101110010001100011101001101 2 +b11011101110010001100011101001101 I +b11011101110010001100011101001101 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 & +b0 C +0( +b11011101110010001100011101001101 , +b11011101110010001100011101001101 H +b10111 + +b10111 G +1- +1. +0% +#8626000 +0] +1s +0\ +0[ +1>" +1@" +1r +05" +07" +b1000 3" +1^ +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111101101010110110101010011100 0 +b111101101010110110101010011100 M +b111101101010110110101010011100 f +b111101101010110110101010011100 !" +b11011101110010001100011101001101 &" +b11011101110010001100011101001101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8626010 +b11011101110010001100011101001101 Z" +#8626500 +b11110110111100010001011101011111 2 +b11110110111100010001011101011111 I +b11110110111100010001011101011111 Z +b11011 3 +b11011 J +b11011 Y +b11110110111100010001011101011111 , +b11110110111100010001011101011111 H +b11011 + +b11011 G +1. +0% +#8627000 +1=" +1c +0>" +b100 3" +0^ +b11110110111100010001011101011111 &" +b11110110111100010001011101011111 ?" +b11011 %" +b11011 *" +b11011 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8627010 +b11110110111100010001011101011111 ^" +#8627500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8628000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8628500 +b101 5 +b101 L +b101 W +14 +b100 7 +b100 N +b100 V +16 +b101 ' +b101 D +1) +b100 & +b100 C +1( +1. +0% +#8629000 +0i +b100100010011011000101000111000 { +b100100010011011000101000111000 A" +0s +b1000000001011000010001101010101 | +b1000000001011000010001101010101 B" +0g +1:" +1;" +16" +17" +b11010 0" +1j +1k +b11011 -" +1t +1u +1m +1/" +1," +b101 ~ +b101 )" +b101 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#8629500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b0 ' +b0 D +0) +b11011 & +b11011 C +1. +0% +#8630000 +15" +1i +0u +1y +1g +0:" +0;" +0r +0q +06" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b100 -" +14" +1v +0t +b11110110111100010001011101011111 | +b11110110111100010001011101011111 B" +0m +0/" +1x +1w +b100100010011011000101000111000 / +b100100010011011000101000111000 K +b100100010011011000101000111000 e +b100100010011011000101000111000 "" +b1000000001011000010001101010101 0 +b1000000001011000010001101010101 M +b1000000001011000010001101010101 f +b1000000001011000010001101010101 !" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#8630500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8631000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110111100010001011101011111 0 +b11110110111100010001011101011111 M +b11110110111100010001011101011111 f +b11110110111100010001011101011111 !" +0. +1% +#8631500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#8632000 +0i +b1001100110101111011110110101110 { +b1001100110101111011110110101110 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#8632500 +b0 5 +b0 L +b0 W +04 +b1111111011110001101101111011010 2 +b1111111011110001101101111011010 I +b1111111011110001101101111011010 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b1111111011110001101101111011010 , +b1111111011110001101101111011010 H +b11011 + +b11011 G +1- +1. +0% +#8633000 +0] +1i +0\ +0[ +1=" +1@" +1h +1g +09" +0:" +0;" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0m +0/" +b1111111011110001101101111011010 &" +b1111111011110001101101111011010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1001100110101111011110110101110 / +b1001100110101111011110110101110 K +b1001100110101111011110110101110 e +b1001100110101111011110110101110 "" +0. +1% +#8633010 +b1111111011110001101101111011010 ^" +#8633500 +b11000 5 +b11000 L +b11000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8634000 +1] +0i +b10111000100111111111010110101101 { +b10111000100111111111010110101101 A" +1\ +1[ +0=" +0@" +19" +1;" +b11111 3" +0c +0<" +0` +b111 0" +1o +0k +18" +1l +0b +0a +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#8634500 +b0 5 +b0 L +b0 W +04 +b101010001000111100110110011 2 +b101010001000111100110110011 I +b101010001000111100110110011 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b101010001000111100110110011 , +b101010001000111100110110011 H +b100 + +b100 G +1- +1. +0% +#8635000 +0] +1i +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11011 3" +1^ +1_ +b11111 0" +0o +08" +0l +12" +0/" +b101010001000111100110110011 &" +b101010001000111100110110011 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111000100111111111010110101101 / +b10111000100111111111010110101101 K +b10111000100111111111010110101101 e +b10111000100111111111010110101101 "" +0. +1% +#8635010 +b101010001000111100110110011 G" +#8635500 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8636000 +1] +0s +b11010001100011101001100110010001 | +b11010001100011101001100110010001 B" +0>" +0@" +0r +0q +16" +17" +b11111 3" +0^ +0_ +b11000 -" +1t +1u +02" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#8636500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8637000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11010001100011101001100110010001 0 +b11010001100011101001100110010001 M +b11010001100011101001100110010001 f +b11010001100011101001100110010001 !" +0. +1% +#8637500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#8638000 +0s +b1111111011110001101101111011010 | +b1111111011110001101101111011010 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#8638500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8639000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1111111011110001101101111011010 0 +b1111111011110001101101111011010 M +b1111111011110001101101111011010 f +b1111111011110001101101111011010 !" +0. +1% +#8639500 +b11000 5 +b11000 L +b11000 W +14 +b11000 ' +b11000 D +1) +1. +0% +#8640000 +0i +b10111000100111111111010110101101 { +b10111000100111111111010110101101 A" +19" +1;" +b111 0" +1o +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#8640500 +b0 5 +b0 L +b0 W +04 +b10011001110001000000110001011 2 +b10011001110001000000110001011 I +b10011001110001000000110001011 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b10011001110001000000110001011 , +b10011001110001000000110001011 H +b1 + +b1 G +1- +1. +0% +#8641000 +1i +0[ +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11110 3" +1_ +b11111 0" +0o +08" +0l +1a +12" +0/" +b10011001110001000000110001011 &" +b10011001110001000000110001011 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111000100111111111010110101101 / +b10111000100111111111010110101101 K +b10111000100111111111010110101101 e +b10111000100111111111010110101101 "" +0. +1% +#8641010 +b10011001110001000000110001011 D" +#8641500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8642000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8642500 +b101 7 +b101 N +b101 V +16 +b100111111110011001110000010110 2 +b100111111110011001110000010110 I +b100111111110011001110000010110 Z +b1001 3 +b1001 J +b1001 Y +11 +b101 & +b101 C +1( +b100111111110011001110000010110 , +b100111111110011001110000010110 H +b1001 + +b1001 G +1- +1. +0% +#8643000 +0] +0s +b100100010011011000101000111000 | +b100100010011011000101000111000 B" +0[ +1=" +1@" +0q +16" +17" +b10110 3" +1c +1_ +b11010 -" +1t +1u +1a +12" +1w +1," +b100111111110011001110000010110 &" +b100111111110011001110000010110 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#8643010 +b100111111110011001110000010110 L" +#8643500 +b110 5 +b110 L +b110 W +14 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +1) +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8644000 +15" +1] +0i +b1001110111100001010110101111000 { +b1001110111100001010110101111000 A" +0u +1y +1[ +0=" +0@" +0h +1:" +1;" +0r +06" +b11111 3" +0c +0_ +b11001 0" +1j +1k +b100 -" +14" +1v +0t +b1111111011110001101101111011010 | +b1111111011110001101101111011010 B" +0a +02" +1n +1/" +1x +b100100010011011000101000111000 0 +b100100010011011000101000111000 M +b100100010011011000101000111000 f +b100100010011011000101000111000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#8644500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b0 ' +b0 D +0) +b101 & +b101 C +1. +0% +#8645000 +16" +1i +1u +1t +1h +0:" +0;" +1r +17" +05" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11010 -" +04" +0v +0y +b100100010011011000101000111000 | +b100100010011011000101000111000 B" +0n +0/" +0x +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b1001110111100001010110101111000 / +b1001110111100001010110101111000 K +b1001110111100001010110101111000 e +b1001110111100001010110101111000 "" +b1111111011110001101101111011010 0 +b1111111011110001101101111011010 M +b1111111011110001101101111011010 f +b1111111011110001101101111011010 !" +0. +1% +#8645500 +b1100 7 +b1100 N +b1100 V +b1100 & +b1100 C +1. +0% +#8646000 +0t +1q +15" +b10011 -" +1z +b10110111001110111110110111101110 | +b10110111001110111110110111101110 B" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100100010011011000101000111000 0 +b100100010011011000101000111000 M +b100100010011011000101000111000 f +b100100010011011000101000111000 !" +b1100 } +b1100 (" +b1100 +" +0. +1% +#8646500 +b10100 5 +b10100 L +b10100 W +14 +b1111 7 +b1111 N +b1111 V +b101110111000000111110101001100 2 +b101110111000000111110101001100 I +b101110111000000111110101001100 Z +b11010 3 +b11010 J +b11010 Y +11 +b10100 ' +b10100 D +1) +b1111 & +b1111 C +b101110111000000111110101001100 , +b101110111000000111110101001100 H +b11010 + +b11010 G +1- +1. +0% +#8647000 +0] +0i +b10001101010011101100111000010000 { +b10001101010011101100111000010000 A" +0\ +1=" +1@" +1:" +1;" +0r +0q +b101 3" +1c +0_ +1<" +1` +b1011 0" +1j +0k +18" +1l +b10000 -" +b1001100110101111011110110101110 | +b1001100110101111011110110101110 B" +1b +12" +1/" +1x +1w +b101110111000000111110101001100 &" +b101110111000000111110101001100 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b1111 } +b1111 (" +b1111 +" +b10110111001110111110110111101110 0 +b10110111001110111110110111101110 M +b10110111001110111110110111101110 f +b10110111001110111110110111101110 !" +0. +1% +#8647010 +b101110111000000111110101001100 ]" +#8647500 +b110 5 +b110 L +b110 W +b110 7 +b110 N +b110 V +b1000100010010100110010101001 2 +b1000100010010100110010101001 I +b1000100010010100110010101001 Z +b10100 3 +b10100 J +b10100 Y +b110 ' +b110 D +b110 & +b110 C +b1000100010010100110010101001 , +b1000100010010100110010101001 H +b10100 + +b10100 G +1. +0% +#8648000 +1>" +1^ +1k +1t +1\ +0=" +0h +1;" +1q +05" +16" +b1011 3" +0c +b11001 0" +08" +0l +b1001110111100001010110101111000 { +b1001110111100001010110101111000 A" +b11001 -" +0z +b1001110111100001010110101111000 | +b1001110111100001010110101111000 B" +0b +1n +0w +b10001101010011101100111000010000 / +b10001101010011101100111000010000 K +b10001101010011101100111000010000 e +b10001101010011101100111000010000 "" +b1001100110101111011110110101110 0 +b1001100110101111011110110101110 M +b1001100110101111011110110101110 f +b1001100110101111011110110101110 !" +b1000100010010100110010101001 &" +b1000100010010100110010101001 ?" +b10100 %" +b10100 *" +b10100 1" +b110 ~ +b110 )" +b110 ." +b110 } +b110 (" +b110 +" +0. +1% +#8648010 +b1000100010010100110010101001 W" +#8648500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8649000 +1] +1i +1s +0>" +0@" +1h +0:" +0;" +1r +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001110111100001010110101111000 / +b1001110111100001010110101111000 K +b1001110111100001010110101111000 e +b1001110111100001010110101111000 "" +b1001110111100001010110101111000 0 +b1001110111100001010110101111000 M +b1001110111100001010110101111000 f +b1001110111100001010110101111000 !" +0. +1% +#8649500 +1. +0% +#8650000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8650500 +1. +0% +#8651000 +0. +1% +#8651500 +b1000 7 +b1000 N +b1000 V +16 +b1000 & +b1000 C +1( +1. +0% +#8652000 +0s +b101010000011001111100101010101 | +b101010000011001111100101010101 B" +15" +17" +b10111 -" +1y +1u +1," +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#8652500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b111010100101010100111101111001 2 +b111010100101010100111101111001 I +b111010100101010100111101111001 Z +b11011 3 +b11011 J +b11011 Y +11 +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +b111010100101010100111101111001 , +b111010100101010100111101111001 H +b11011 + +b11011 G +1- +1. +0% +#8653000 +0] +b11000010101010011100001100011001 { +b11000010101010011100001100011001 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1@" +1;" +05" +07" +b100 3" +1c +0_ +1<" +1` +b1111 0" +0k +18" +1l +b11111 -" +0y +0u +1b +1a +12" +1/" +0," +b111010100101010100111101111001 &" +b111010100101010100111101111001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b101010000011001111100101010101 0 +b101010000011001111100101010101 M +b101010000011001111100101010101 f +b101010000011001111100101010101 !" +0. +1% +#8653010 +b111010100101010100111101111001 ^" +#8653500 +b1 5 +b1 L +b1 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8654000 +1] +1k +1\ +1[ +0=" +0@" +0g +1;" +b11111 3" +0c +0<" +0` +b11110 0" +08" +0l +b10011001110001000000110001011 { +b10011001110001000000110001011 A" +0b +0a +02" +1m +b11000010101010011100001100011001 / +b11000010101010011100001100011001 K +b11000010101010011100001100011001 e +b11000010101010011100001100011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +0. +1% +#8654500 +b10101 5 +b10101 L +b10101 W +b10011100010110011111010111010110 2 +b10011100010110011111010111010110 I +b10011100010110011111010111010110 Z +b11111 3 +b11111 J +b11111 Y +11 +b10101 ' +b10101 D +b10011100010110011111010111010110 , +b10011100010110011111010111010110 H +b11111 + +b11111 G +1- +1. +0% +#8655000 +0] +0k +0i +0\ +0[ +1=" +1>" +1@" +b100101000101011111110101101000 { +b100101000101011111110101101000 A" +1:" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1010 0" +18" +1l +1j +1b +1a +12" +b10011100010110011111010111010110 &" +b10011100010110011111010111010110 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b10011001110001000000110001011 / +b10011001110001000000110001011 K +b10011001110001000000110001011 e +b10011001110001000000110001011 "" +0. +1% +#8655500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8656000 +1] +1i +0s +b1001110111100001010110101111000 | +b1001110111100001010110101111000 B" +1\ +1[ +0=" +0>" +0@" +1g +0:" +0;" +0r +16" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +1t +1u +0b +0a +02" +0m +0/" +1x +1," +b100101000101011111110101101000 / +b100101000101011111110101101000 K +b100101000101011111110101101000 e +b100101000101011111110101101000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#8656500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#8657000 +0i +b1111001011011011000000010110001 { +b1111001011011011000000010110001 A" +1s +0h +1:" +1;" +1r +06" +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0," +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001110111100001010110101111000 0 +b1001110111100001010110101111000 M +b1001110111100001010110101111000 f +b1001110111100001010110101111000 !" +0. +1% +#8657500 +b0 5 +b0 L +b0 W +04 +b100001011001010101011111111 2 +b100001011001010101011111111 I +b100001011001010101011111111 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b100001011001010101011111111 , +b100001011001010101011111111 H +b10011 + +b10011 G +1- +1. +0% +#8658000 +1i +0\ +0[ +1@" +1h +0:" +0;" +b1100 3" +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0n +0/" +b1111001011011011000000010110001 / +b1111001011011011000000010110001 K +b1111001011011011000000010110001 e +b1111001011011011000000010110001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100001011001010101011111111 &" +b100001011001010101011111111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8658010 +b100001011001010101011111111 V" +#8658500 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8659000 +0s +b10111000100111111111010110101101 | +b10111000100111111111010110101101 B" +1\ +1[ +0@" +15" +17" +b11111 3" +0<" +0` +b111 -" +1y +0u +14" +1v +0b +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8659500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +1. +0% +#8660000 +b110111100110101000110110101111 { +b110111100110101000110110101111 A" +1s +0h +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1101 0" +0k +18" +1l +b11111 -" +0y +04" +0v +1n +1/" +0," +b10111000100111111111010110101101 0 +b10111000100111111111010110101101 M +b10111000100111111111010110101101 f +b10111000100111111111010110101101 !" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8660500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8661000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b110111100110101000110110101111 / +b110111100110101000110110101111 K +b110111100110101000110110101111 e +b110111100110101000110110101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8661500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#8662000 +0i +b10100000110110011100111110110001 { +b10100000110110011100111110110001 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#8662500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8663000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10100000110110011100111110110001 / +b10100000110110011100111110110001 K +b10100000110110011100111110110001 e +b10100000110110011100111110110001 "" +0. +1% +#8663500 +b1100 7 +b1100 N +b1100 V +16 +b1100 & +b1100 C +1( +1. +0% +#8664000 +0s +b10110111001110111110110111101110 | +b10110111001110111110110111101110 B" +15" +16" +17" +b10011 -" +0t +0y +1z +1u +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#8664500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8665000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +05" +06" +07" +b11111 -" +0z +0u +0," +b0 } +b0 (" +b0 +" +0#" +b10110111001110111110110111101110 0 +b10110111001110111110110111101110 M +b10110111001110111110110111101110 f +b10110111001110111110110111101110 !" +0. +1% +#8665500 +b10000 5 +b10000 L +b10000 W +14 +b1110111111000010001010110001110 2 +b1110111111000010001010110001110 I +b1110111111000010001010110001110 Z +b10111 3 +b10111 J +b10111 Y +11 +b10000 ' +b10000 D +1) +b1110111111000010001010110001110 , +b1110111111000010001010110001110 H +b10111 + +b10111 G +1- +1. +0% +#8666000 +0] +b11000010101010011100001100011001 { +b11000010101010011100001100011001 A" +0\ +0[ +1>" +1@" +1;" +b1000 3" +1^ +0_ +1<" +1` +b1111 0" +0k +18" +1l +1b +1a +12" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110111111000010001010110001110 &" +b1110111111000010001010110001110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#8666010 +b1110111111000010001010110001110 Z" +#8666500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8667000 +1] +1\ +1[ +0>" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0^ +0<" +0` +b11111 0" +08" +0l +0b +0a +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000010101010011100001100011001 / +b11000010101010011100001100011001 K +b11000010101010011100001100011001 e +b11000010101010011100001100011001 "" +0. +1% +#8667500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#8668000 +0s +b100111111110011001110000010110 | +b100111111110011001110000010110 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#8668500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +1. +0% +#8669000 +0i +b101110111000000111110101001100 { +b101110111000000111110101001100 A" +1s +0h +19" +1;" +1q +05" +07" +b101 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0w +0," +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b100111111110011001110000010110 0 +b100111111110011001110000010110 M +b100111111110011001110000010110 f +b100111111110011001110000010110 !" +0. +1% +#8669500 +b1 5 +b1 L +b1 W +b1110 7 +b1110 N +b1110 V +16 +b1 ' +b1 D +b1110 & +b1110 C +1( +1. +0% +#8670000 +1k +1i +0s +b11110010111010110101110000000011 | +b11110010111010110101110000000011 B" +1h +0g +1;" +09" +0r +15" +16" +17" +b11110 0" +08" +0l +0o +b10011001110001000000110001011 { +b10011001110001000000110001011 A" +b10001 -" +0t +0y +1z +1u +0n +1m +1x +1," +b101110111000000111110101001100 / +b101110111000000111110101001100 K +b101110111000000111110101001100 e +b101110111000000111110101001100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 ~ +b1 )" +b1 ." +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8670500 +b101 5 +b101 L +b101 W +b1111 7 +b1111 N +b1111 V +b101 ' +b101 D +b1111 & +b1111 C +1. +0% +#8671000 +0i +b100100010011011000101000111000 { +b100100010011011000101000111000 A" +1:" +0q +b11010 0" +1j +b10000 -" +b1001100110101111011110110101110 | +b1001100110101111011110110101110 B" +1w +b101 ~ +b101 )" +b101 ." +b1111 } +b1111 (" +b1111 +" +b10011001110001000000110001011 / +b10011001110001000000110001011 K +b10011001110001000000110001011 e +b10011001110001000000110001011 "" +b11110010111010110101110000000011 0 +b11110010111010110101110000000011 M +b11110010111010110101110000000011 f +b11110010111010110101110000000011 !" +0. +1% +#8671500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +b0 ' +b0 D +0) +b1001 & +b1001 C +1. +0% +#8672000 +1i +1y +1g +0:" +0;" +1r +15" +06" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +0z +b100111111110011001110000010110 | +b100111111110011001110000010110 B" +0m +0/" +0x +b100100010011011000101000111000 / +b100100010011011000101000111000 K +b100100010011011000101000111000 e +b100100010011011000101000111000 "" +b1001100110101111011110110101110 0 +b1001100110101111011110110101110 M +b1001100110101111011110110101110 f +b1001100110101111011110110101110 !" +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +0. +1% +#8672500 +b11011 5 +b11011 L +b11011 W +14 +b11110 7 +b11110 N +b11110 V +b10001010010000011010100110101100 2 +b10001010010000011010100110101100 I +b10001010010000011010100110101100 Z +b10011 3 +b10011 J +b10011 Y +11 +b11011 ' +b11011 D +1) +b11110 & +b11110 C +b10001010010000011010100110101100 , +b10001010010000011010100110101100 H +b10011 + +b10011 G +1- +1. +0% +#8673000 +0i +b111010100101010100111101111001 { +b111010100101010100111101111001 A" +0u +0y +0\ +0[ +1@" +0h +0g +19" +1;" +0r +1q +16" +b1100 3" +0_ +1<" +1` +b100 0" +1o +0k +18" +1l +b1 -" +14" +1v +1z +b1011111101011110010101100011011 | +b1011111101011110010101100011011 B" +1b +1a +12" +1n +1m +1/" +1x +0w +b10001010010000011010100110101100 &" +b10001010010000011010100110101100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b11110 } +b11110 (" +b11110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100111111110011001110000010110 0 +b100111111110011001110000010110 M +b100111111110011001110000010110 f +b100111111110011001110000010110 !" +0. +1% +#8673010 +b10001010010000011010100110101100 V" +#8673500 +b11001 5 +b11001 L +b11001 W +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8674000 +1u +1t +1\ +1[ +0@" +1h +1r +0q +17" +05" +16" +b11111 3" +0<" +0` +b110 0" +b1001101001011111100010110101110 { +b1001101001011111100010110101110 A" +b11010 -" +04" +0v +0z +b100100010011011000101000111000 | +b100100010011011000101000111000 B" +0b +0a +02" +0n +0x +1w +b111010100101010100111101111001 / +b111010100101010100111101111001 K +b111010100101010100111101111001 e +b111010100101010100111101111001 "" +b1011111101011110010101100011011 0 +b1011111101011110010101100011011 M +b1011111101011110010101100011011 f +b1011111101011110010101100011011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +b101 } +b101 (" +b101 +" +0. +1% +#8674500 +b111 5 +b111 L +b111 W +b0 7 +b0 N +b0 V +06 +b111 ' +b111 D +b0 & +b0 C +0( +1. +0% +#8675000 +1:" +1k +1j +1s +0h +1;" +09" +1q +06" +07" +b11000 0" +08" +0l +0o +b11010001100011101001100110010001 { +b11010001100011101001100110010001 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +0w +0," +b111 ~ +b111 )" +b111 ." +b0 } +b0 (" +b0 +" +0#" +b1001101001011111100010110101110 / +b1001101001011111100010110101110 K +b1001101001011111100010110101110 e +b1001101001011111100010110101110 "" +b100100010011011000101000111000 0 +b100100010011011000101000111000 M +b100100010011011000101000111000 f +b100100010011011000101000111000 !" +0. +1% +#8675500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b0 ' +b0 D +0) +b1 & +b1 C +1( +1. +0% +#8676000 +1i +b10011001110001000000110001011 | +b10011001110001000000110001011 B" +1h +1g +0:" +0;" +0q +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11110 -" +1u +0n +0m +0/" +1w +1," +b11010001100011101001100110010001 / +b11010001100011101001100110010001 K +b11010001100011101001100110010001 e +b11010001100011101001100110010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#8676500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8677000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011001110001000000110001011 0 +b10011001110001000000110001011 M +b10011001110001000000110001011 f +b10011001110001000000110001011 !" +0. +1% +#8677500 +b10011 5 +b10011 L +b10011 W +14 +b10011 ' +b10011 D +1) +1. +0% +#8678000 +b10001010010000011010100110101100 { +b10001010010000011010100110101100 A" +0h +0g +1;" +b1100 0" +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011 ~ +b10011 )" +b10011 ." +1$" +0. +1% +#8678500 +b111 5 +b111 L +b111 W +b10000100000111110110000011010000 2 +b10000100000111110110000011010000 I +b10000100000111110110000011010000 Z +b11101 3 +b11101 J +b11101 Y +11 +b111 ' +b111 D +b10000100000111110110000011010000 , +b10000100000111110110000011010000 H +b11101 + +b11101 G +1- +1. +0% +#8679000 +0] +1k +0i +0[ +1=" +1>" +1@" +1;" +b11010001100011101001100110010001 { +b11010001100011101001100110010001 A" +1:" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11000 0" +08" +0l +1j +1a +12" +b10000100000111110110000011010000 &" +b10000100000111110110000011010000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b111 ~ +b111 )" +b111 ." +b10001010010000011010100110101100 / +b10001010010000011010100110101100 K +b10001010010000011010100110101100 e +b10001010010000011010100110101100 "" +0. +1% +#8679010 +b10000100000111110110000011010000 `" +#8679500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8680000 +1] +1i +0s +b1001101001011111100010110101110 | +b1001101001011111100010110101110 B" +1[ +0=" +0>" +0@" +1h +1g +0:" +0;" +0q +15" +17" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b110 -" +1y +0u +14" +1v +0a +02" +0n +0m +0/" +1w +1," +b11010001100011101001100110010001 / +b11010001100011101001100110010001 K +b11010001100011101001100110010001 e +b11010001100011101001100110010001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#8680500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8681000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001101001011111100010110101110 0 +b1001101001011111100010110101110 M +b1001101001011111100010110101110 f +b1001101001011111100010110101110 !" +0. +1% +#8681500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#8682000 +0i +b111101101010110110101010011100 { +b111101101010110110101010011100 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#8682500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8683000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b111101101010110110101010011100 / +b111101101010110110101010011100 K +b111101101010110110101010011100 e +b111101101010110110101010011100 "" +0. +1% +#8683500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#8684000 +0s +b111101101010110110101010011100 | +b111101101010110110101010011100 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#8684500 +b111 7 +b111 N +b111 V +b111 & +b111 C +1. +0% +#8685000 +16" +1t +0q +05" +b11000 -" +0y +b11010001100011101001100110010001 | +b11010001100011101001100110010001 B" +1w +b111 } +b111 (" +b111 +" +b111101101010110110101010011100 0 +b111101101010110110101010011100 M +b111101101010110110101010011100 f +b111101101010110110101010011100 !" +0. +1% +#8685500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8686000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b11010001100011101001100110010001 0 +b11010001100011101001100110010001 M +b11010001100011101001100110010001 f +b11010001100011101001100110010001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8686500 +1. +0% +#8687000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8687500 +1. +0% +#8688000 +0. +1% +#8688500 +b1101 5 +b1101 L +b1101 W +14 +b1101 ' +b1101 D +1) +1. +0% +#8689000 +0i +b101010100111101111010111101010 { +b101010100111101111010111101010 A" +0g +19" +1:" +1;" +b10010 0" +0j +0o +1p +1k +1m +1/" +b1101 ~ +b1101 )" +b1101 ." +1$" +0. +1% +#8689500 +b10101 5 +b10101 L +b10101 W +b1010 7 +b1010 N +b1010 V +16 +b10101 ' +b10101 D +b1010 & +b1010 C +1( +1. +0% +#8690000 +0k +1j +0s +b111101101010110110101010011100 | +b111101101010110110101010011100 B" +b100101000101011111110101101000 { +b100101000101011111110101101000 A" +09" +1:" +0r +15" +17" +b1010 0" +18" +1l +0p +b10101 -" +1y +1u +1x +1," +b101010100111101111010111101010 / +b101010100111101111010111101010 K +b101010100111101111010111101010 e +b101010100111101111010111101010 "" +b10101 ~ +b10101 )" +b10101 ." +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#8690500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b1010011000000000100010011000100 2 +b1010011000000000100010011000100 I +b1010011000000000100010011000100 Z +b11 3 +b11 J +b11 Y +11 +b1010 ' +b1010 D +b0 & +b0 C +0( +b1010011000000000100010011000100 , +b1010011000000000100010011000100 H +b11 + +b11 G +1- +1. +0% +#8691000 +19" +1k +1o +1s +0\ +0[ +1@" +0h +1g +1;" +0:" +1r +05" +07" +b11100 3" +1_ +b10101 0" +08" +0l +0j +b111101101010110110101010011100 { +b111101101010110110101010011100 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +0m +0x +0," +b1010011000000000100010011000100 &" +b1010011000000000100010011000100 ?" +b11 %" +b11 *" +b11 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +b100101000101011111110101101000 / +b100101000101011111110101101000 K +b100101000101011111110101101000 e +b100101000101011111110101101000 "" +b111101101010110110101010011100 0 +b111101101010110110101010011100 M +b111101101010110110101010011100 f +b111101101010110110101010011100 !" +0. +1% +#8691010 +b1010011000000000100010011000100 F" +#8691500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8692000 +1i +1\ +1[ +0@" +1h +09" +0;" +b11111 3" +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0/" +b111101101010110110101010011100 / +b111101101010110110101010011100 K +b111101101010110110101010011100 e +b111101101010110110101010011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8692500 +b10001101001100011000111011011110 2 +b10001101001100011000111011011110 I +b10001101001100011000111011011110 Z +b1011 3 +b1011 J +b1011 Y +11 +b10001101001100011000111011011110 , +b10001101001100011000111011011110 H +b1011 + +b1011 G +1- +1. +0% +#8693000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b10001101001100011000111011011110 &" +b10001101001100011000111011011110 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8693010 +b10001101001100011000111011011110 N" +#8693500 +b1010 7 +b1010 N +b1010 V +16 +b10000100110101000110101001100001 2 +b10000100110101000110101001100001 I +b10000100110101000110101001100001 Z +b1110 3 +b1110 J +b1110 Y +b1010 & +b1010 C +1( +b10000100110101000110101001100001 , +b10000100110101000110101001100001 H +b1110 + +b1110 G +1. +0% +#8694000 +0c +0s +b111101101010110110101010011100 | +b111101101010110110101010011100 B" +1[ +1>" +0r +15" +17" +b10001 3" +1d +b10101 -" +1y +1u +0a +1x +1," +b10000100110101000110101001100001 &" +b10000100110101000110101001100001 ?" +b1110 %" +b1110 *" +b1110 1" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#8694010 +b10000100110101000110101001100001 Q" +#8694500 +b0 7 +b0 N +b0 V +06 +b11001011110010001111101001111110 2 +b11001011110010001111101001111110 I +b11001011110010001111101001111110 Z +b11101 3 +b11101 J +b11101 Y +b0 & +b0 C +0( +b11001011110010001111101001111110 , +b11001011110010001111101001111110 H +b11101 + +b11101 G +1. +0% +#8695000 +0_ +1s +1\ +0[ +1r +05" +07" +b10 3" +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1a +0x +0," +b11001011110010001111101001111110 &" +b11001011110010001111101001111110 ?" +b11101 %" +b11101 *" +b11101 1" +b0 } +b0 (" +b0 +" +0#" +b111101101010110110101010011100 0 +b111101101010110110101010011100 M +b111101101010110110101010011100 f +b111101101010110110101010011100 !" +0. +1% +#8695010 +b11001011110010001111101001111110 `" +#8695500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8696000 +1] +1[ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8696500 +b1101 5 +b1101 L +b1101 W +14 +b11100 7 +b11100 N +b11100 V +16 +b1101 ' +b1101 D +1) +b11100 & +b11100 C +1( +1. +0% +#8697000 +0i +b101010100111101111010111101010 { +b101010100111101111010111101010 A" +0s +b11000101001010111100110011000111 | +b11000101001010111100110011000111 B" +0g +19" +1:" +1;" +15" +16" +17" +b10010 0" +0j +0o +1p +1k +b11 -" +0t +0y +1z +0u +14" +1v +1m +1/" +1," +b1101 ~ +b1101 )" +b1101 ." +1$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#8697500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b11 ' +b11 D +b0 & +b0 C +0( +1. +0% +#8698000 +1i +1s +0h +09" +0:" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11100 0" +0p +b1010011000000000100010011000100 { +b1010011000000000100010011000100 A" +b11111 -" +0z +04" +0v +1n +0," +b101010100111101111010111101010 / +b101010100111101111010111101010 K +b101010100111101111010111101010 e +b101010100111101111010111101010 "" +b11000101001010111100110011000111 0 +b11000101001010111100110011000111 M +b11000101001010111100110011000111 f +b11000101001010111100110011000111 !" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8698500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8699000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1010011000000000100010011000100 / +b1010011000000000100010011000100 K +b1010011000000000100010011000100 e +b1010011000000000100010011000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8699500 +b1110 7 +b1110 N +b1110 V +16 +b111101111101100100011001100 2 +b111101111101100100011001100 I +b111101111101100100011001100 Z +b111 3 +b111 J +b111 Y +11 +b1110 & +b1110 C +1( +b111101111101100100011001100 , +b111101111101100100011001100 H +b111 + +b111 G +1- +1. +0% +#8700000 +0] +0s +b10000100110101000110101001100001 | +b10000100110101000110101001100001 B" +0\ +0[ +1>" +1@" +0r +15" +16" +17" +b11000 3" +1^ +1_ +b10001 -" +0t +0y +1z +1u +1b +1a +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111101111101100100011001100 &" +b111101111101100100011001100 ?" +b111 %" +b111 *" +b111 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8700010 +b111101111101100100011001100 J" +#8700500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8701000 +1] +0i +b101010000011001111100101010101 { +b101010000011001111100101010101 A" +1s +1\ +1[ +0>" +0@" +19" +1;" +1r +05" +06" +07" +b11111 3" +0^ +0_ +b10111 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10000100110101000110101001100001 0 +b10000100110101000110101001100001 M +b10000100110101000110101001100001 f +b10000100110101000110101001100001 !" +0. +1% +#8701500 +b0 5 +b0 L +b0 W +04 +b111111010011000101000110111011 2 +b111111010011000101000110111011 I +b111111010011000101000110111011 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b111111010011000101000110111011 , +b111111010011000101000110111011 H +b10011 + +b10011 G +1- +1. +0% +#8702000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +0[ +1@" +09" +0;" +b1100 3" +0_ +1<" +1` +b11111 0" +0o +0k +1b +1a +12" +0/" +b101010000011001111100101010101 / +b101010000011001111100101010101 K +b101010000011001111100101010101 e +b101010000011001111100101010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111111010011000101000110111011 &" +b111111010011000101000110111011 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8702010 +b111111010011000101000110111011 V" +#8702500 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8703000 +0s +b1110111111000010001010110001110 | +b1110111111000010001010110001110 B" +1\ +1[ +0@" +0r +0q +16" +17" +b11111 3" +0<" +0` +b1000 -" +1t +0u +14" +1v +0b +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8703500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8704000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b1110111111000010001010110001110 0 +b1110111111000010001010110001110 M +b1110111111000010001010110001110 f +b1110111111000010001010110001110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8704500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#8705000 +b11000010101010011100001100011001 | +b11000010101010011100001100011001 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8705500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8706000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b11000010101010011100001100011001 0 +b11000010101010011100001100011001 M +b11000010101010011100001100011001 f +b11000010101010011100001100011001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8706500 +b11010 5 +b11010 L +b11010 W +14 +b11111011010110101111001001111110 2 +b11111011010110101111001001111110 I +b11111011010110101111001001111110 Z +b11111 3 +b11111 J +b11111 Y +11 +b11010 ' +b11010 D +1) +b11111011010110101111001001111110 , +b11111011010110101111001001111110 H +b11111 + +b11111 G +1- +1. +0% +#8707000 +0] +0i +b101110111000000111110101001100 { +b101110111000000111110101001100 A" +0\ +0[ +1=" +1>" +1@" +0h +19" +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b101 0" +1o +0k +18" +1l +1b +1a +12" +1n +1/" +b11111011010110101111001001111110 &" +b11111011010110101111001001111110 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8707500 +b10 5 +b10 L +b10 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8708000 +1] +1k +1i +1\ +1[ +0=" +0>" +0@" +1;" +b11100110110011010011110011010000 { +b11100110110011010011110011010000 A" +09" +b11111 3" +0d +0<" +0` +b11101 0" +08" +0l +0o +0b +0a +02" +b101110111000000111110101001100 / +b101110111000000111110101001100 K +b101110111000000111110101001100 e +b101110111000000111110101001100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +0. +1% +#8708500 +b10101 5 +b10101 L +b10101 W +b10101 ' +b10101 D +1. +0% +#8709000 +0k +0i +1h +0g +1:" +b1010 0" +18" +1l +1j +b100101000101011111110101101000 { +b100101000101011111110101101000 A" +0n +1m +b10101 ~ +b10101 )" +b10101 ." +b11100110110011010011110011010000 / +b11100110110011010011110011010000 K +b11100110110011010011110011010000 e +b11100110110011010011110011010000 "" +0. +1% +#8709500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b11000111001011010110101001100100 2 +b11000111001011010110101001100100 I +b11000111001011010110101001100100 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b11000111001011010110101001100100 , +b11000111001011010110101001100100 H +b10011 + +b10011 G +1- +1. +0% +#8710000 +1i +0s +b100101000101011111110101101000 | +b100101000101011111110101101000 B" +0\ +0[ +1@" +1g +0:" +0;" +0q +16" +17" +b1100 3" +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +1t +0u +14" +1v +1b +1a +12" +0m +0/" +1w +1," +b100101000101011111110101101000 / +b100101000101011111110101101000 K +b100101000101011111110101101000 e +b100101000101011111110101101000 "" +b11000111001011010110101001100100 &" +b11000111001011010110101001100100 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#8710010 +b11000111001011010110101001100100 V" +#8710500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8711000 +1s +1\ +1[ +0@" +1q +06" +07" +b11111 3" +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101000101011111110101101000 0 +b100101000101011111110101101000 M +b100101000101011111110101101000 f +b100101000101011111110101101000 !" +0. +1% +#8711500 +b10010 5 +b10010 L +b10010 W +14 +b1110001010111000110010010111110 2 +b1110001010111000110010010111110 I +b1110001010111000110010010111110 Z +b11001 3 +b11001 J +b11001 Y +11 +b10010 ' +b10010 D +1) +b1110001010111000110010010111110 , +b1110001010111000110010010111110 H +b11001 + +b11001 G +1- +1. +0% +#8712000 +0] +b110111100110101000110110101111 { +b110111100110101000110110101111 A" +0[ +1=" +1@" +0h +1;" +b110 3" +1c +0_ +1<" +1` +b1101 0" +0k +18" +1l +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110001010111000110010010111110 &" +b1110001010111000110010010111110 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#8712010 +b1110001010111000110010010111110 \" +#8712500 +b11111 5 +b11111 L +b11111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8713000 +1] +0i +1[ +0=" +0@" +0g +19" +1:" +b11111 3" +0c +0<" +0` +b0 0" +0j +0o +1p +b11111011010110101111001001111110 { +b11111011010110101111001001111110 A" +0a +02" +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +b110111100110101000110110101111 / +b110111100110101000110110101111 K +b110111100110101000110110101111 e +b110111100110101000110110101111 "" +0. +1% +#8713500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8714000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b11111011010110101111001001111110 / +b11111011010110101111001001111110 K +b11111011010110101111001001111110 e +b11111011010110101111001001111110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8714500 +b11001 7 +b11001 N +b11001 V +16 +b11001 & +b11001 C +1( +1. +0% +#8715000 +0s +b1110001010111000110010010111110 | +b1110001010111000110010010111110 B" +0q +15" +17" +b110 -" +1y +0u +14" +1v +1w +1," +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8715500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b10011001100010001110001101010100 2 +b10011001100010001110001101010100 I +b10011001100010001110001101010100 Z +11 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +b10011001100010001110001101010100 , +b10011001100010001110001101010100 H +1- +1. +0% +#8716000 +0i +b10111000100111111111010110101101 { +b10111000100111111111010110101101 A" +1s +1@" +19" +1;" +1q +05" +07" +1_ +b111 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1/" +0w +0," +b1110001010111000110010010111110 0 +b1110001010111000110010010111110 M +b1110001010111000110010010111110 f +b1110001010111000110010010111110 !" +b10011001100010001110001101010100 &" +b10011001100010001110001101010100 ?" +1'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8716010 +b10011001100010001110001101010100 C" +#8716500 +b10 5 +b10 L +b10 W +b1011 7 +b1011 N +b1011 V +16 +b100110000001101101111001001111 2 +b100110000001101101111001001111 I +b100110000001101101111001001111 Z +b1101 3 +b1101 J +b1101 Y +b10 ' +b10 D +b1011 & +b1011 C +1( +b100110000001101101111001001111 , +b100110000001101101111001001111 H +b1101 + +b1101 G +1. +0% +#8717000 +0] +1k +1i +0s +b10001101001100011000111011011110 | +b10001101001100011000111011011110 B" +0[ +1=" +1>" +0h +1;" +09" +0r +0q +15" +17" +b10010 3" +0^ +0c +1d +b11101 0" +08" +0l +0o +b11100110110011010011110011010000 { +b11100110110011010011110011010000 A" +b10100 -" +1y +1u +1a +1n +1x +1w +1," +b100110000001101101111001001111 &" +b100110000001101101111001001111 ?" +b1101 %" +b1101 *" +b1101 1" +b10 ~ +b10 )" +b10 ." +b1011 } +b1011 (" +b1011 +" +1#" +b10111000100111111111010110101101 / +b10111000100111111111010110101101 K +b10111000100111111111010110101101 e +b10111000100111111111010110101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8717010 +b100110000001101101111001001111 P" +#8717500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8718000 +1] +0i +1s +1[ +0=" +0>" +0@" +1h +0g +19" +1r +1q +05" +07" +b11111 3" +0d +0_ +b10110 0" +1o +b100111111110011001110000010110 { +b100111111110011001110000010110 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0n +1m +0x +0w +0," +b11100110110011010011110011010000 / +b11100110110011010011110011010000 K +b11100110110011010011110011010000 e +b11100110110011010011110011010000 "" +b10001101001100011000111011011110 0 +b10001101001100011000111011011110 M +b10001101001100011000111011011110 f +b10001101001100011000111011011110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8718500 +b11111 5 +b11111 L +b11111 W +b11111 ' +b11111 D +1. +0% +#8719000 +0k +0o +0h +1:" +b0 0" +18" +1l +1p +b11111011010110101111001001111110 { +b11111011010110101111001001111110 A" +1n +b11111 ~ +b11111 )" +b11111 ." +b100111111110011001110000010110 / +b100111111110011001110000010110 K +b100111111110011001110000010110 e +b100111111110011001110000010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8719500 +b0 5 +b0 L +b0 W +04 +b101000111000000011001010000011 2 +b101000111000000011001010000011 I +b101000111000000011001010000011 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b101000111000000011001010000011 , +b101000111000000011001010000011 H +b11110 + +b11110 G +1- +1. +0% +#8720000 +0] +1i +0\ +1=" +1>" +1@" +1h +1g +09" +0:" +0;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0m +0/" +b11111011010110101111001001111110 / +b11111011010110101111001001111110 K +b11111011010110101111001001111110 e +b11111011010110101111001001111110 "" +b101000111000000011001010000011 &" +b101000111000000011001010000011 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8720010 +b101000111000000011001010000011 a" +#8720500 +b1111 5 +b1111 L +b1111 W +14 +b101101101011111001001111100010 2 +b101101101011111001001111100010 I +b101101101011111001001111100010 Z +b11 3 +b11 J +b11 Y +b1111 ' +b1111 D +1) +b101101101011111001001111100010 , +b101101101011111001001111100010 H +b11 + +b11 G +1. +0% +#8721000 +1_ +1] +0i +b1001100110101111011110110101110 { +b1001100110101111011110110101110 A" +0[ +1@" +0=" +0>" +0h +0g +19" +1:" +1;" +b11100 3" +0<" +0` +0d +b10000 0" +0j +0o +1p +1k +1a +1n +1m +1/" +b101101101011111001001111100010 &" +b101101101011111001001111100010 ?" +b11 %" +b11 *" +b11 1" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8721010 +b101101101011111001001111100010 F" +#8721500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8722000 +1i +1\ +1[ +0@" +1h +1g +09" +0:" +0;" +b11111 3" +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0m +0/" +b1001100110101111011110110101110 / +b1001100110101111011110110101110 K +b1001100110101111011110110101110 e +b1001100110101111011110110101110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8722500 +b10000 5 +b10000 L +b10000 W +14 +b1110 7 +b1110 N +b1110 V +16 +b10000 ' +b10000 D +1) +b1110 & +b1110 C +1( +1. +0% +#8723000 +b11000010101010011100001100011001 { +b11000010101010011100001100011001 A" +0s +b10000100110101000110101001100001 | +b10000100110101000110101001100001 B" +1;" +0r +15" +16" +17" +b1111 0" +0k +18" +1l +b10001 -" +0t +0y +1z +1u +1/" +1x +1," +b10000 ~ +b10000 )" +b10000 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8723500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +b0 ' +b0 D +0) +b10111 & +b10111 C +1. +0% +#8724000 +0u +1t +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +05" +16" +b11111 0" +08" +0l +b1000 -" +14" +1v +0z +b1110111111000010001010110001110 | +b1110111111000010001010110001110 B" +0/" +1w +b11000010101010011100001100011001 / +b11000010101010011100001100011001 K +b11000010101010011100001100011001 e +b11000010101010011100001100011001 "" +b10000100110101000110101001100001 0 +b10000100110101000110101001100001 M +b10000100110101000110101001100001 f +b10000100110101000110101001100001 !" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +0. +1% +#8724500 +b11111 5 +b11111 L +b11111 W +14 +b10110 7 +b10110 N +b10110 V +b11111 ' +b11111 D +1) +b10110 & +b10110 C +1. +0% +#8725000 +0i +b11111011010110101111001001111110 { +b11111011010110101111001001111110 A" +0h +0g +19" +1:" +1;" +1q +b0 0" +0j +0o +1p +0k +18" +1l +b1001 -" +b1111001011011011000000010110001 | +b1111001011011011000000010110001 B" +1n +1m +1/" +0w +b11111 ~ +b11111 )" +b11111 ." +1$" +b10110 } +b10110 (" +b10110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110111111000010001010110001110 0 +b1110111111000010001010110001110 M +b1110111111000010001010110001110 f +b1110111111000010001010110001110 !" +0. +1% +#8725500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8726000 +1i +1s +1h +1g +09" +0:" +0;" +1r +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0," +b11111011010110101111001001111110 / +b11111011010110101111001001111110 K +b11111011010110101111001001111110 e +b11111011010110101111001001111110 "" +b1111001011011011000000010110001 0 +b1111001011011011000000010110001 M +b1111001011011011000000010110001 f +b1111001011011011000000010110001 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8726500 +1. +0% +#8727000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8727500 +1. +0% +#8728000 +0. +1% +#8728500 +1. +0% +#8729000 +0. +1% +#8729500 +1. +0% +#8730000 +0. +1% +#8730500 +b10000 5 +b10000 L +b10000 W +14 +b11011 7 +b11011 N +b11011 V +16 +b11011101101001010100010010100100 2 +b11011101101001010100010010100100 I +b11011101101001010100010010100100 Z +b10100 3 +b10100 J +b10100 Y +11 +b10000 ' +b10000 D +1) +b11011 & +b11011 C +1( +b11011101101001010100010010100100 , +b11011101101001010100010010100100 H +b10100 + +b10100 G +1- +1. +0% +#8731000 +0] +b11000010101010011100001100011001 { +b11000010101010011100001100011001 A" +0s +b111010100101010100111101111001 | +b111010100101010100111101111001 B" +1>" +1@" +1;" +0r +0q +15" +17" +b1011 3" +1^ +0_ +1<" +1` +b1111 0" +0k +18" +1l +b100 -" +1y +0u +14" +1v +12" +1/" +1x +1w +1," +b11011101101001010100010010100100 &" +b11011101101001010100010010100100 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#8731010 +b11011101101001010100010010100100 W" +#8731500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8732000 +1] +1s +0>" +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +05" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0/" +0x +0w +0," +b11000010101010011100001100011001 / +b11000010101010011100001100011001 K +b11000010101010011100001100011001 e +b11000010101010011100001100011001 "" +b111010100101010100111101111001 0 +b111010100101010100111101111001 M +b111010100101010100111101111001 f +b111010100101010100111101111001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8732500 +b10011 5 +b10011 L +b10011 W +14 +b11111011100010011000011010111000 2 +b11111011100010011000011010111000 I +b11111011100010011000011010111000 Z +b11011 3 +b11011 J +b11011 Y +11 +b10011 ' +b10011 D +1) +b11111011100010011000011010111000 , +b11111011100010011000011010111000 H +b11011 + +b11011 G +1- +1. +0% +#8733000 +0] +b11000111001011010110101001100100 { +b11000111001011010110101001100100 A" +0\ +0[ +1=" +1@" +0h +0g +1;" +b100 3" +1c +0_ +1<" +1` +b1100 0" +0k +18" +1l +1b +1a +12" +1n +1m +1/" +b11111011100010011000011010111000 &" +b11111011100010011000011010111000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8733010 +b11111011100010011000011010111000 ^" +#8733500 +b11011 5 +b11011 L +b11011 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8734000 +1] +0i +b11111011100010011000011010111000 { +b11111011100010011000011010111000 A" +1\ +1[ +0=" +0@" +19" +b11111 3" +0c +0<" +0` +b100 0" +1o +0b +0a +02" +b11000111001011010110101001100100 / +b11000111001011010110101001100100 K +b11000111001011010110101001100100 e +b11000111001011010110101001100100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +0. +1% +#8734500 +b0 5 +b0 L +b0 W +04 +b11001010110100111110000111000 2 +b11001010110100111110000111000 I +b11001010110100111110000111000 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b11001010110100111110000111000 , +b11001010110100111110000111000 H +b11100 + +b11100 G +1- +1. +0% +#8735000 +0] +1i +1=" +1>" +1@" +1h +1g +09" +0;" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0m +0/" +b11001010110100111110000111000 &" +b11001010110100111110000111000 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111011100010011000011010111000 / +b11111011100010011000011010111000 K +b11111011100010011000011010111000 e +b11111011100010011000011010111000 "" +0. +1% +#8735010 +b11001010110100111110000111000 _" +#8735500 +b11001 5 +b11001 L +b11001 W +14 +b1 7 +b1 N +b1 V +16 +b11001011110000010101001000010000 2 +b11001011110000010101001000010000 I +b11001011110000010101001000010000 Z +b11101 3 +b11101 J +b11101 Y +b11001 ' +b11001 D +1) +b1 & +b1 C +1( +b11001011110000010101001000010000 , +b11001011110000010101001000010000 H +b11101 + +b11101 G +1. +0% +#8736000 +0i +b1110001010111000110010010111110 { +b1110001010111000110010010111110 A" +b10011001110001000000110001011 | +b10011001110001000000110001011 B" +0[ +0g +19" +1;" +0q +17" +b10 3" +b110 0" +1o +0k +18" +1l +b11110 -" +1u +1a +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001011110000010101001000010000 &" +b11001011110000010101001000010000 ?" +b11101 %" +b11101 *" +b11101 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#8736010 +b11001011110000010101001000010000 `" +#8736500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8737000 +1] +1i +1[ +0=" +0>" +0@" +1g +09" +0;" +1q +07" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110001010111000110010010111110 / +b1110001010111000110010010111110 K +b1110001010111000110010010111110 e +b1110001010111000110010010111110 "" +b10011001110001000000110001011 0 +b10011001110001000000110001011 M +b10011001110001000000110001011 f +b10011001110001000000110001011 !" +0. +1% +#8737500 +1. +0% +#8738000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8738500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#8739000 +b101101101011111001001111100010 | +b101101101011111001001111100010 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b11 } +b11 (" +b11 +" +1#" +0. +1% +#8739500 +b1001 5 +b1001 L +b1001 W +14 +b110 7 +b110 N +b110 V +b1001 ' +b1001 D +1) +b110 & +b110 C +1. +0% +#8740000 +0i +b100111111110011001110000010110 { +b100111111110011001110000010110 A" +0s +0g +19" +1;" +1q +16" +b10110 0" +1o +1k +b11001 -" +1t +b1001110111100001010110101111000 | +b1001110111100001010110101111000 B" +1m +1/" +0w +b101101101011111001001111100010 0 +b101101101011111001001111100010 M +b101101101011111001001111100010 f +b101101101011111001001111100010 !" +b1001 ~ +b1001 )" +b1001 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#8740500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +b0 & +b0 C +0( +1. +0% +#8741000 +1s +1g +1r +06" +07" +b10111 0" +b101010000011001111100101010101 { +b101010000011001111100101010101 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0x +0," +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +b100111111110011001110000010110 / +b100111111110011001110000010110 K +b100111111110011001110000010110 e +b100111111110011001110000010110 "" +b1001110111100001010110101111000 0 +b1001110111100001010110101111000 M +b1001110111100001010110101111000 f +b1001110111100001010110101111000 !" +0. +1% +#8741500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8742000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +09" +0;" +b11111 0" +0o +0k +0/" +b101010000011001111100101010101 / +b101010000011001111100101010101 K +b101010000011001111100101010101 e +b101010000011001111100101010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8742500 +b11011 5 +b11011 L +b11011 W +14 +b1101 7 +b1101 N +b1101 V +16 +b110111001110111110001100011 2 +b110111001110111110001100011 I +b110111001110111110001100011 Z +b10100 3 +b10100 J +b10100 Y +11 +b11011 ' +b11011 D +1) +b1101 & +b1101 C +1( +b110111001110111110001100011 , +b110111001110111110001100011 H +b10100 + +b10100 G +1- +1. +0% +#8743000 +0] +0i +b11111011100010011000011010111000 { +b11111011100010011000011010111000 A" +0s +b100110000001101101111001001111 | +b100110000001101101111001001111 B" +1>" +1@" +0h +0g +19" +1;" +0q +15" +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b100 0" +1o +0k +18" +1l +b10010 -" +0t +0y +1z +1u +12" +1n +1m +1/" +1w +1," +b110111001110111110001100011 &" +b110111001110111110001100011 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8743010 +b110111001110111110001100011 W" +#8743500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8744000 +1] +1i +1s +0>" +0@" +1h +1g +09" +0;" +1q +05" +06" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0m +0/" +0w +0," +b11111011100010011000011010111000 / +b11111011100010011000011010111000 K +b11111011100010011000011010111000 e +b11111011100010011000011010111000 "" +b100110000001101101111001001111 0 +b100110000001101101111001001111 M +b100110000001101101111001001111 f +b100110000001101101111001001111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8744500 +b11100 5 +b11100 L +b11100 W +14 +b10101 7 +b10101 N +b10101 V +16 +b11100 ' +b11100 D +1) +b10101 & +b10101 C +1( +1. +0% +#8745000 +0i +b11001010110100111110000111000 { +b11001010110100111110000111000 A" +0s +b100101000101011111110101101000 | +b100101000101011111110101101000 B" +19" +1:" +1;" +0q +16" +17" +b11 0" +0j +0o +1p +0k +18" +1l +b1010 -" +1t +0u +14" +1v +1/" +1w +1," +b11100 ~ +b11100 )" +b11100 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8745500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b11111100111011101100101010100100 2 +b11111100111011101100101010100100 I +b11111100111011101100101010100100 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b1100 & +b1100 C +b11111100111011101100101010100100 , +b11111100111011101100101010100100 H +b11110 + +b11110 G +1- +1. +0% +#8746000 +0] +1i +1u +0t +0\ +1=" +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +17" +15" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +08" +0l +b10011 -" +04" +0v +1z +b10110111001110111110110111101110 | +b10110111001110111110110111101110 B" +1b +12" +0/" +0w +b11001010110100111110000111000 / +b11001010110100111110000111000 K +b11001010110100111110000111000 e +b11001010110100111110000111000 "" +b100101000101011111110101101000 0 +b100101000101011111110101101000 M +b100101000101011111110101101000 f +b100101000101011111110101101000 !" +b11111100111011101100101010100100 &" +b11111100111011101100101010100100 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#8746010 +b11111100111011101100101010100100 a" +#8746500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8747000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +0>" +0@" +05" +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0z +0u +0b +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110111001110111110110111101110 0 +b10110111001110111110110111101110 M +b10110111001110111110110111101110 f +b10110111001110111110110111101110 !" +0. +1% +#8747500 +b11010000111110101010100000010111 2 +b11010000111110101010100000010111 I +b11010000111110101010100000010111 Z +b10010 3 +b10010 J +b10010 Y +11 +b11010000111110101010100000010111 , +b11010000111110101010100000010111 H +b10010 + +b10010 G +1- +1. +0% +#8748000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010000111110101010100000010111 &" +b11010000111110101010100000010111 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +0. +1% +#8748010 +b11010000111110101010100000010111 U" +#8748500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8749000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8749500 +b1110 7 +b1110 N +b1110 V +16 +b11111111100011111011101010110110 2 +b11111111100011111011101010110110 I +b11111111100011111011101010110110 Z +b1 3 +b1 J +b1 Y +11 +b1110 & +b1110 C +1( +b11111111100011111011101010110110 , +b11111111100011111011101010110110 H +b1 + +b1 G +1- +1. +0% +#8750000 +0s +b10000100110101000110101001100001 | +b10000100110101000110101001100001 B" +0[ +1@" +0r +15" +16" +17" +b11110 3" +1_ +b10001 -" +0t +0y +1z +1u +1a +12" +1x +1," +b11111111100011111011101010110110 &" +b11111111100011111011101010110110 ?" +b1 %" +b1 *" +b1 1" +1'" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8750010 +b11111111100011111011101010110110 D" +#8750500 +b0 7 +b0 N +b0 V +06 +b11011000010100111111000010001011 2 +b11011000010100111111000010001011 I +b11011000010100111111000010001011 Z +b11 3 +b11 J +b11 Y +b0 & +b0 C +0( +b11011000010100111111000010001011 , +b11011000010100111111000010001011 H +b11 + +b11 G +1. +0% +#8751000 +1s +0\ +1r +05" +06" +07" +b11100 3" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0x +0," +b11011000010100111111000010001011 &" +b11011000010100111111000010001011 ?" +b11 %" +b11 *" +b11 1" +b0 } +b0 (" +b0 +" +0#" +b10000100110101000110101001100001 0 +b10000100110101000110101001100001 M +b10000100110101000110101001100001 f +b10000100110101000110101001100001 !" +0. +1% +#8751010 +b11011000010100111111000010001011 F" +#8751500 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8752000 +0s +b10110111001110111110110111101110 | +b10110111001110111110110111101110 B" +1\ +1[ +0@" +15" +16" +17" +b11111 3" +0_ +b10011 -" +0t +0y +1z +1u +0b +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#8752500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +1. +0% +#8753000 +0i +b100111111110011001110000010110 { +b100111111110011001110000010110 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +19" +1;" +05" +06" +07" +b10110 0" +1o +1k +b11111 -" +0z +0u +1m +1/" +0," +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10110111001110111110110111101110 0 +b10110111001110111110110111101110 M +b10110111001110111110110111101110 f +b10110111001110111110110111101110 !" +0. +1% +#8753500 +b1101 5 +b1101 L +b1101 W +b1101 ' +b1101 D +1. +0% +#8754000 +0o +b100110000001101101111001001111 { +b100110000001101101111001001111 A" +1:" +b10010 0" +1p +b100111111110011001110000010110 / +b100111111110011001110000010110 K +b100111111110011001110000010110 e +b100111111110011001110000010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101 ~ +b1101 )" +b1101 ." +0. +1% +#8754500 +b10111 5 +b10111 L +b10111 W +b11 7 +b11 N +b11 V +16 +b10111 ' +b10111 D +b11 & +b11 C +1( +1. +0% +#8755000 +0k +1j +b11011000010100111111000010001011 | +b11011000010100111111000010001011 B" +0h +09" +1:" +0r +0q +17" +b1000 0" +18" +1l +0p +b1110111111000010001010110001110 { +b1110111111000010001010110001110 A" +b11100 -" +1u +1n +1x +1w +1," +b10111 ~ +b10111 )" +b10111 ." +b11 } +b11 (" +b11 +" +1#" +b100110000001101101111001001111 / +b100110000001101101111001001111 K +b100110000001101101111001001111 e +b100110000001101101111001001111 "" +0. +1% +#8755500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b0 ' +b0 D +0) +b11011 & +b11011 C +1. +0% +#8756000 +1i +0u +0s +1h +1g +0:" +0;" +b11111011100010011000011010111000 | +b11111011100010011000011010111000 B" +15" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +14" +1v +1y +0n +0m +0/" +b1110111111000010001010110001110 / +b1110111111000010001010110001110 K +b1110111111000010001010110001110 e +b1110111111000010001010110001110 "" +b11011000010100111111000010001011 0 +b11011000010100111111000010001011 M +b11011000010100111111000010001011 f +b11011000010100111111000010001011 !" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#8756500 +b0 7 +b0 N +b0 V +06 +b11010111111110111001010100101111 2 +b11010111111110111001010100101111 I +b11010111111110111001010100101111 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b11010111111110111001010100101111 , +b11010111111110111001010100101111 H +b111 + +b111 G +1- +1. +0% +#8757000 +0] +1s +0\ +0[ +1>" +1@" +1r +1q +05" +07" +b11000 3" +1^ +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0w +0," +b11010111111110111001010100101111 &" +b11010111111110111001010100101111 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111011100010011000011010111000 0 +b11111011100010011000011010111000 M +b11111011100010011000011010111000 f +b11111011100010011000011010111000 !" +0. +1% +#8757010 +b11010111111110111001010100101111 J" +#8757500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8758000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#8758500 +1. +0% +#8759000 +0. +1% +#8759500 +1. +0% +#8760000 +0. +1% +#8760500 +1. +0% +#8761000 +0. +1% +#8761500 +b10000 5 +b10000 L +b10000 W +14 +b10000 ' +b10000 D +1) +1. +0% +#8762000 +b11000010101010011100001100011001 { +b11000010101010011100001100011001 A" +1;" +b1111 0" +0k +18" +1l +1/" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#8762500 +b101 5 +b101 L +b101 W +b101 ' +b101 D +1. +0% +#8763000 +1k +0i +0g +1;" +1:" +b11010 0" +08" +0l +1j +b100100010011011000101000111000 { +b100100010011011000101000111000 A" +1m +b101 ~ +b101 )" +b101 ." +b11000010101010011100001100011001 / +b11000010101010011100001100011001 K +b11000010101010011100001100011001 e +b11000010101010011100001100011001 "" +0. +1% +#8763500 +b10011 5 +b10011 L +b10011 W +b10011 ' +b10011 D +1. +0% +#8764000 +0k +1i +0h +0:" +b1100 0" +18" +1l +0j +b11000111001011010110101001100100 { +b11000111001011010110101001100100 A" +1n +b100100010011011000101000111000 / +b100100010011011000101000111000 K +b100100010011011000101000111000 e +b100100010011011000101000111000 "" +b10011 ~ +b10011 )" +b10011 ." +0. +1% +#8764500 +b1000 5 +b1000 L +b1000 W +b10011 7 +b10011 N +b10011 V +16 +b1000 ' +b1000 D +b10011 & +b10011 C +1( +1. +0% +#8765000 +1k +0i +b11000111001011010110101001100100 | +b11000111001011010110101001100100 B" +1h +1g +1;" +19" +0r +0q +17" +b10111 0" +08" +0l +1o +b101010000011001111100101010101 { +b101010000011001111100101010101 A" +b1100 -" +0u +14" +1v +0n +0m +1x +1w +1," +b1000 ~ +b1000 )" +b1000 ." +b10011 } +b10011 (" +b10011 +" +1#" +b11000111001011010110101001100100 / +b11000111001011010110101001100100 K +b11000111001011010110101001100100 e +b11000111001011010110101001100100 "" +0. +1% +#8765500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b11010101011000000100100100111100 2 +b11010101011000000100100100111100 I +b11010101011000000100100100111100 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b110 & +b110 C +b11010101011000000100100100111100 , +b11010101011000000100100100111100 H +b101 + +b101 G +1- +1. +0% +#8766000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1u +0s +0[ +1>" +1@" +09" +0;" +1q +17" +16" +b11010 3" +1^ +1_ +b11111 0" +0o +0k +b11001 -" +04" +0v +1t +b1001110111100001010110101111000 | +b1001110111100001010110101111000 B" +1a +12" +0/" +0w +b101010000011001111100101010101 / +b101010000011001111100101010101 K +b101010000011001111100101010101 e +b101010000011001111100101010101 "" +b11000111001011010110101001100100 0 +b11000111001011010110101001100100 M +b11000111001011010110101001100100 f +b11000111001011010110101001100100 !" +b11010101011000000100100100111100 &" +b11010101011000000100100100111100 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +0. +1% +#8766010 +b11010101011000000100100100111100 H" +#8766500 +b0 7 +b0 N +b0 V +06 +b110001111011000000100110000 2 +b110001111011000000100110000 I +b110001111011000000100110000 Z +b11111 3 +b11111 J +b11111 Y +b0 & +b0 C +0( +b110001111011000000100110000 , +b110001111011000000100110000 H +b11111 + +b11111 G +1. +0% +#8767000 +0_ +0^ +1s +0\ +1=" +1r +06" +07" +b0 3" +1<" +1` +1d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +0x +0," +b110001111011000000100110000 &" +b110001111011000000100110000 ?" +b11111 %" +b11111 *" +b11111 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001110111100001010110101111000 0 +b1001110111100001010110101111000 M +b1001110111100001010110101111000 f +b1001110111100001010110101111000 !" +0. +1% +#8767500 +b10000101000000111101011001 2 +b10000101000000111101011001 I +b10000101000000111101011001 Z +b110 3 +b110 J +b110 Y +b10000101000000111101011001 , +b10000101000000111101011001 H +b110 + +b110 G +1. +0% +#8768000 +1_ +1^ +1[ +1@" +0=" +1>" +b11001 3" +0<" +0` +0d +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000101000000111101011001 &" +b10000101000000111101011001 ?" +b110 %" +b110 *" +b110 1" +0. +1% +#8768010 +b10000101000000111101011001 I" +#8768500 +b1001 5 +b1001 L +b1001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8769000 +1] +0i +b100111111110011001110000010110 { +b100111111110011001110000010110 A" +1\ +0>" +0@" +0g +19" +1;" +b11111 3" +0^ +0_ +b10110 0" +1o +1k +0b +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#8769500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +1. +0% +#8770000 +1i +0s +b10110111001110111110110111101110 | +b10110111001110111110110111101110 B" +1g +09" +0;" +15" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10011 -" +0t +0y +1z +1u +0m +0/" +1," +b100111111110011001110000010110 / +b100111111110011001110000010110 K +b100111111110011001110000010110 e +b100111111110011001110000010110 "" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#8770500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b10 ' +b10 D +1) +b0 & +b0 C +0( +1. +0% +#8771000 +b11100110110011010011110011010000 { +b11100110110011010011110011010000 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +1;" +05" +06" +07" +b11101 0" +1k +b11111 -" +0z +0u +1n +1/" +0," +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110111001110111110110111101110 0 +b10110111001110111110110111101110 M +b10110111001110111110110111101110 f +b10110111001110111110110111101110 !" +0. +1% +#8771500 +b11000 5 +b11000 L +b11000 W +b1 7 +b1 N +b1 V +16 +b10011011001010000010001111111110 2 +b10011011001010000010001111111110 I +b10011011001010000010001111111110 Z +b10010 3 +b10010 J +b10010 Y +11 +b11000 ' +b11000 D +b1 & +b1 C +1( +b10011011001010000010001111111110 , +b10011011001010000010001111111110 H +b10010 + +b10010 G +1- +1. +0% +#8772000 +0k +0i +b11111111100011111011101010110110 | +b11111111100011111011101010110110 B" +0\ +1@" +1h +19" +0q +17" +b1101 3" +0_ +1<" +1` +b111 0" +18" +1l +1o +b10111000100111111111010110101101 { +b10111000100111111111010110101101 A" +b11110 -" +1u +1b +12" +0n +1w +1," +b11100110110011010011110011010000 / +b11100110110011010011110011010000 K +b11100110110011010011110011010000 e +b11100110110011010011110011010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011011001010000010001111111110 &" +b10011011001010000010001111111110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +b1 } +b1 (" +b1 +" +1#" +0. +1% +#8772010 +b10011011001010000010001111111110 U" +#8772500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8773000 +1i +1\ +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +07" +b11111 3" +0<" +0` +b11111 0" +0o +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10111000100111111111010110101101 / +b10111000100111111111010110101101 K +b10111000100111111111010110101101 e +b10111000100111111111010110101101 "" +b11111111100011111011101010110110 0 +b11111111100011111011101010110110 M +b11111111100011111011101010110110 f +b11111111100011111011101010110110 !" +0. +1% +#8773500 +b10001011001000000011010000010000 2 +b10001011001000000011010000010000 I +b10001011001000000011010000010000 Z +b11100 3 +b11100 J +b11100 Y +11 +b10001011001000000011010000010000 , +b10001011001000000011010000010000 H +b11100 + +b11100 G +1- +1. +0% +#8774000 +0] +1=" +1>" +1@" +b11 3" +0^ +0c +1d +0_ +1<" +1` +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10001011001000000011010000010000 &" +b10001011001000000011010000010000 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +0. +1% +#8774010 +b10001011001000000011010000010000 _" +#8774500 +b11011 5 +b11011 L +b11011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8775000 +1] +0i +b11111011100010011000011010111000 { +b11111011100010011000011010111000 A" +0=" +0>" +0@" +0h +0g +19" +1;" +b11111 3" +0d +0<" +0` +b100 0" +1o +0k +18" +1l +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#8775500 +b11010 5 +b11010 L +b11010 W +b1000010000011100101100111111110 2 +b1000010000011100101100111111110 I +b1000010000011100101100111111110 Z +b10110 3 +b10110 J +b10110 Y +11 +b11010 ' +b11010 D +b1000010000011100101100111111110 , +b1000010000011100101100111111110 H +b10110 + +b10110 G +1- +1. +0% +#8776000 +0] +0\ +1>" +1@" +1g +b1001 3" +1^ +0_ +1<" +1` +b101 0" +b101110111000000111110101001100 { +b101110111000000111110101001100 A" +1b +12" +0m +b11111011100010011000011010111000 / +b11111011100010011000011010111000 K +b11111011100010011000011010111000 e +b11111011100010011000011010111000 "" +b1000010000011100101100111111110 &" +b1000010000011100101100111111110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +0. +1% +#8776010 +b1000010000011100101100111111110 Y" +#8776500 +b0 5 +b0 L +b0 W +04 +b100110001111100101011110100111 2 +b100110001111100101011110100111 I +b100110001111100101011110100111 Z +b11110 3 +b11110 J +b11110 Y +b0 ' +b0 D +0) +b100110001111100101011110100111 , +b100110001111100101011110100111 H +b11110 + +b11110 G +1. +0% +#8777000 +0^ +1i +1=" +1h +09" +0;" +b1 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b100110001111100101011110100111 &" +b100110001111100101011110100111 ?" +b11110 %" +b11110 *" +b11110 1" +b0 ~ +b0 )" +b0 ." +0$" +b101110111000000111110101001100 / +b101110111000000111110101001100 K +b101110111000000111110101001100 e +b101110111000000111110101001100 "" +0. +1% +#8777010 +b100110001111100101011110100111 a" +#8777500 +b10001 7 +b10001 N +b10001 V +16 +b10011101110000001001011001110 2 +b10011101110000001001011001110 I +b10011101110000001001011001110 Z +b10001 & +b10001 C +1( +b10011101110000001001011001110 , +b10011101110000001001011001110 H +1. +0% +#8778000 +b11111000000011100111001011010010 | +b11111000000011100111001011010010 B" +0q +17" +b1110 -" +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011101110000001001011001110 &" +b10011101110000001001011001110 ?" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#8778010 +b10011101110000001001011001110 a" +#8778500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8779000 +1] +0i +b1110001010111000110010010111110 { +b1110001010111000110010010111110 A" +1\ +0=" +0>" +0@" +0g +19" +1;" +1q +07" +b11111 3" +0d +0<" +0` +b110 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1m +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11111000000011100111001011010010 0 +b11111000000011100111001011010010 M +b11111000000011100111001011010010 f +b11111000000011100111001011010010 !" +0. +1% +#8779500 +b1 5 +b1 L +b1 W +b11001 7 +b11001 N +b11001 V +16 +b1 ' +b1 D +b11001 & +b11001 C +1( +1. +0% +#8780000 +1k +1i +0s +b1110001010111000110010010111110 | +b1110001010111000110010010111110 B" +1;" +b11111111100011111011101010110110 { +b11111111100011111011101010110110 A" +09" +0q +15" +17" +b11110 0" +08" +0l +0o +b110 -" +1y +0u +14" +1v +1w +1," +b1110001010111000110010010111110 / +b1110001010111000110010010111110 K +b1110001010111000110010010111110 e +b1110001010111000110010010111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 ~ +b1 )" +b1 ." +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#8780500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b0 ' +b0 D +0) +b100 & +b100 C +1. +0% +#8781000 +16" +1u +1t +1g +0;" +1q +17" +05" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +04" +0v +0y +b101010001000111100110110011 | +b101010001000111100110110011 B" +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +b11111111100011111011101010110110 / +b11111111100011111011101010110110 K +b11111111100011111011101010110110 e +b11111111100011111011101010110110 "" +b1110001010111000110010010111110 0 +b1110001010111000110010010111110 M +b1110001010111000110010010111110 f +b1110001010111000110010010111110 !" +0. +1% +#8781500 +b10001 7 +b10001 N +b10001 V +b10001 & +b10001 C +1. +0% +#8782000 +0u +1s +0q +06" +b1110 -" +14" +1v +0t +b11111000000011100111001011010010 | +b11111000000011100111001011010010 B" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101010001000111100110110011 0 +b101010001000111100110110011 M +b101010001000111100110110011 f +b101010001000111100110110011 !" +b10001 } +b10001 (" +b10001 +" +0. +1% +#8782500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b10010101000110111001010111001111 2 +b10010101000110111001010111001111 I +b10010101000110111001010111001111 Z +b111 3 +b111 J +b111 Y +11 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b10010101000110111001010111001111 , +b10010101000110111001010111001111 H +b111 + +b111 G +1- +1. +0% +#8783000 +0] +0i +b100101000101011111110101101000 { +b100101000101011111110101101000 A" +0\ +0[ +1>" +1@" +0g +1:" +1;" +1q +07" +b11000 3" +1^ +1_ +b1010 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1m +1/" +0w +0," +b10010101000110111001010111001111 &" +b10010101000110111001010111001111 ?" +b111 %" +b111 *" +b111 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11111000000011100111001011010010 0 +b11111000000011100111001011010010 M +b11111000000011100111001011010010 f +b11111000000011100111001011010010 !" +0. +1% +#8783010 +b10010101000110111001010111001111 J" +#8783500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8784000 +1] +1i +0s +b100101000101011111110101101000 | +b100101000101011111110101101000 B" +1\ +1[ +0>" +0@" +1g +0:" +0;" +0q +16" +17" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +1t +0u +14" +1v +0b +0a +02" +0m +0/" +1w +1," +b100101000101011111110101101000 / +b100101000101011111110101101000 K +b100101000101011111110101101000 e +b100101000101011111110101101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#8784500 +b0 7 +b0 N +b0 V +06 +b10111011001011101000100101011101 2 +b10111011001011101000100101011101 I +b10111011001011101000100101011101 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 & +b0 C +0( +b10111011001011101000100101011101 , +b10111011001011101000100101011101 H +b11111 + +b11111 G +1- +1. +0% +#8785000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1q +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0w +0," +b10111011001011101000100101011101 &" +b10111011001011101000100101011101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101000101011111110101101000 0 +b100101000101011111110101101000 M +b100101000101011111110101101000 f +b100101000101011111110101101000 !" +0. +1% +#8785500 +b1101 7 +b1101 N +b1101 V +16 +b10111101101110011111110001101000 2 +b10111101101110011111110001101000 I +b10111101101110011111110001101000 Z +b10 3 +b10 J +b10 Y +b1101 & +b1101 C +1( +b10111101101110011111110001101000 , +b10111101101110011111110001101000 H +b10 + +b10 G +1. +0% +#8786000 +1_ +1] +0s +b100110000001101101111001001111 | +b100110000001101101111001001111 B" +1[ +1@" +0=" +0>" +0q +15" +16" +17" +b11101 3" +0<" +0` +0d +b10010 -" +0t +0y +1z +1u +0a +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111101101110011111110001101000 &" +b10111101101110011111110001101000 ?" +b10 %" +b10 *" +b10 1" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#8786010 +b10111101101110011111110001101000 E" +#8786500 +b0 7 +b0 N +b0 V +06 +b1110110001110100011100001100010 2 +b1110110001110100011100001100010 I +b1110110001110100011100001100010 Z +b1011 3 +b1011 J +b1011 Y +b0 & +b0 C +0( +b1110110001110100011100001100010 , +b1110110001110100011100001100010 H +b1011 + +b1011 G +1. +0% +#8787000 +0] +1s +0[ +1=" +1q +05" +06" +07" +b10100 3" +1c +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0w +0," +b1110110001110100011100001100010 &" +b1110110001110100011100001100010 ?" +b1011 %" +b1011 *" +b1011 1" +b0 } +b0 (" +b0 +" +0#" +b100110000001101101111001001111 0 +b100110000001101101111001001111 M +b100110000001101101111001001111 f +b100110000001101101111001001111 !" +0. +1% +#8787010 +b1110110001110100011100001100010 N" +#8787500 +b11011 5 +b11011 L +b11011 W +14 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8788000 +1] +0i +b11111011100010011000011010111000 { +b11111011100010011000011010111000 A" +b10011001100010001110001101010100 | +b10011001100010001110001101010100 B" +1\ +1[ +0=" +0@" +0h +0g +19" +1;" +17" +b11111 3" +0c +0_ +b100 0" +1o +0k +18" +1l +1u +0b +0a +02" +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +1#" +0. +1% +#8788500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#8789000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +1g +09" +0;" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0u +0n +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +0#" +b11111011100010011000011010111000 / +b11111011100010011000011010111000 K +b11111011100010011000011010111000 e +b11111011100010011000011010111000 "" +b10011001100010001110001101010100 0 +b10011001100010001110001101010100 M +b10011001100010001110001101010100 f +b10011001100010001110001101010100 !" +0. +1% +#8789500 +1. +0% +#8790000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8790500 +b1001011000001001110110110101101 2 +b1001011000001001110110110101101 I +b1001011000001001110110110101101 Z +b1000 3 +b1000 J +b1000 Y +11 +b1001011000001001110110110101101 , +b1001011000001001110110110101101 H +b1000 + +b1000 G +1- +1. +0% +#8791000 +0] +1=" +1@" +b10111 3" +1c +1_ +12" +b1001011000001001110110110101101 &" +b1001011000001001110110110101101 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +0. +1% +#8791010 +b1001011000001001110110110101101 K" +#8791500 +b10010 5 +b10010 L +b10010 W +14 +b10110000100011010100001110100110 2 +b10110000100011010100001110100110 I +b10110000100011010100001110100110 Z +b1111 3 +b1111 J +b1111 Y +b10010 ' +b10010 D +1) +b10110000100011010100001110100110 , +b10110000100011010100001110100110 H +b1111 + +b1111 G +1. +0% +#8792000 +0c +b10011011001010000010001111111110 { +b10011011001010000010001111111110 A" +0\ +0[ +1>" +0h +1;" +b10000 3" +1d +b1101 0" +0k +18" +1l +1b +1a +1n +1/" +b10110000100011010100001110100110 &" +b10110000100011010100001110100110 ?" +b1111 %" +b1111 *" +b1111 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#8792010 +b10110000100011010100001110100110 R" +#8792500 +b0 5 +b0 L +b0 W +04 +b10 7 +b10 N +b10 V +16 +b11110111111010010110111011101000 2 +b11110111111010010110111011101000 I +b11110111111010010110111011101000 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b10 & +b10 C +1( +b11110111111010010110111011101000 , +b11110111111010010110111011101000 H +b10110 + +b10110 G +1. +0% +#8793000 +0_ +1^ +b10111101101110011111110001101000 | +b10111101101110011111110001101000 B" +1[ +0=" +1>" +1h +0;" +0r +17" +b1001 3" +1<" +1` +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11101 -" +1u +0a +0n +0/" +1x +1," +b11110111111010010110111011101000 &" +b11110111111010010110111011101000 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10 } +b10 (" +b10 +" +1#" +b10011011001010000010001111111110 / +b10011011001010000010001111111110 K +b10011011001010000010001111111110 e +b10011011001010000010001111111110 "" +0. +1% +#8793010 +b11110111111010010110111011101000 Y" +#8793500 +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 & +b1000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8794000 +1] +0s +1\ +0>" +0@" +1r +15" +b11111 3" +0^ +0<" +0` +b10111 -" +1y +b1001011000001001110110110101101 | +b1001011000001001110110110101101 B" +0b +02" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111101101110011111110001101000 0 +b10111101101110011111110001101000 M +b10111101101110011111110001101000 f +b10111101101110011111110001101000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 } +b1000 (" +b1000 +" +0. +1% +#8794500 +b1100 5 +b1100 L +b1100 W +14 +b10010 7 +b10010 N +b10010 V +b1100 ' +b1100 D +1) +b10010 & +b10010 C +1. +0% +#8795000 +0i +b10110111001110111110110111101110 { +b10110111001110111110110111101110 A" +0u +1s +19" +1:" +1;" +0r +05" +b10011 0" +0j +0o +1p +1k +b1101 -" +14" +1v +0y +b10011011001010000010001111111110 | +b10011011001010000010001111111110 B" +1/" +1x +b1100 ~ +b1100 )" +b1100 ." +1$" +b10010 } +b10010 (" +b10010 +" +b1001011000001001110110110101101 0 +b1001011000001001110110110101101 M +b1001011000001001110110110101101 f +b1001011000001001110110110101101 !" +0. +1% +#8795500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b11101101100000010010110100110100 2 +b11101101100000010010110100110100 I +b11101101100000010010110100110100 Z +b11000 3 +b11000 J +b11000 Y +11 +b10101 ' +b10101 D +b0 & +b0 C +0( +b11101101100000010010110100110100 , +b11101101100000010010110100110100 H +b11000 + +b11000 G +1- +1. +0% +#8796000 +0] +0k +1j +1=" +1@" +0g +09" +1:" +1r +07" +b111 3" +1c +0_ +1<" +1` +b1010 0" +18" +1l +0p +b100101000101011111110101101000 { +b100101000101011111110101101000 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1m +0x +0," +b10110111001110111110110111101110 / +b10110111001110111110110111101110 K +b10110111001110111110110111101110 e +b10110111001110111110110111101110 "" +b10011011001010000010001111111110 0 +b10011011001010000010001111111110 M +b10011011001010000010001111111110 f +b10011011001010000010001111111110 !" +b11101101100000010010110100110100 &" +b11101101100000010010110100110100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8796010 +b11101101100000010010110100110100 [" +#8796500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8797000 +1] +1i +0s +b10000100110101000110101001100001 | +b10000100110101000110101001100001 B" +0=" +0@" +1g +0:" +0;" +0r +15" +16" +17" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10001 -" +0t +0y +1z +1u +02" +0m +0/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +b100101000101011111110101101000 / +b100101000101011111110101101000 K +b100101000101011111110101101000 e +b100101000101011111110101101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8797500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8798000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000100110101000110101001100001 0 +b10000100110101000110101001100001 M +b10000100110101000110101001100001 f +b10000100110101000110101001100001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8798500 +b11000 5 +b11000 L +b11000 W +14 +b1010 7 +b1010 N +b1010 V +16 +b11000 ' +b11000 D +1) +b1010 & +b1010 C +1( +1. +0% +#8799000 +0i +b11101101100000010010110100110100 { +b11101101100000010010110100110100 A" +0s +b111101101010110110101010011100 | +b111101101010110110101010011100 B" +19" +1;" +0r +15" +17" +b111 0" +1o +0k +18" +1l +b10101 -" +1y +1u +1/" +1x +1," +b11000 ~ +b11000 )" +b11000 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8799500 +b100 5 +b100 L +b100 W +b100 7 +b100 N +b100 V +b11110010101011111110100001011011 2 +b11110010101011111110100001011011 I +b11110010101011111110100001011011 Z +b10111 3 +b10111 J +b10111 Y +11 +b100 ' +b100 D +b100 & +b100 C +b11110010101011111110100001011011 , +b11110010101011111110100001011011 H +b10111 + +b10111 G +1- +1. +0% +#8800000 +1:" +16" +0] +1k +1j +1t +0\ +0[ +1>" +1@" +1;" +b101010001000111100110110011 { +b101010001000111100110110011 A" +09" +1r +05" +b1000 3" +1^ +0_ +1<" +1` +b11011 0" +08" +0l +0o +b11011 -" +0y +b101010001000111100110110011 | +b101010001000111100110110011 B" +1b +1a +12" +0x +b11101101100000010010110100110100 / +b11101101100000010010110100110100 K +b11101101100000010010110100110100 e +b11101101100000010010110100110100 "" +b111101101010110110101010011100 0 +b111101101010110110101010011100 M +b111101101010110110101010011100 f +b111101101010110110101010011100 !" +b11110010101011111110100001011011 &" +b11110010101011111110100001011011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b100 ~ +b100 )" +b100 ." +b100 } +b100 (" +b100 +" +0. +1% +#8800010 +b11110010101011111110100001011011 Z" +#8800500 +b11100 7 +b11100 N +b11100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 & +b11100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8801000 +1] +0u +0t +1\ +1[ +0>" +0@" +b10001011001000000011010000010000 | +b10001011001000000011010000010000 B" +15" +b11111 3" +0^ +0<" +0` +b11 -" +14" +1v +1z +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 } +b11100 (" +b11100 +" +b101010001000111100110110011 / +b101010001000111100110110011 K +b101010001000111100110110011 e +b101010001000111100110110011 "" +b101010001000111100110110011 0 +b101010001000111100110110011 M +b101010001000111100110110011 f +b101010001000111100110110011 !" +0. +1% +#8801500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8802000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0:" +0;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0j +0k +b11111 -" +0z +04" +0v +0/" +0," +b10001011001000000011010000010000 0 +b10001011001000000011010000010000 M +b10001011001000000011010000010000 f +b10001011001000000011010000010000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8802500 +b1100 5 +b1100 L +b1100 W +14 +b100010010100100111011011001 2 +b100010010100100111011011001 I +b100010010100100111011011001 Z +b101 3 +b101 J +b101 Y +11 +b1100 ' +b1100 D +1) +b100010010100100111011011001 , +b100010010100100111011011001 H +b101 + +b101 G +1- +1. +0% +#8803000 +0] +0i +b10110111001110111110110111101110 { +b10110111001110111110110111101110 A" +0[ +1>" +1@" +19" +1:" +1;" +b11010 3" +1^ +1_ +b10011 0" +0j +0o +1p +1k +1a +12" +1/" +b100010010100100111011011001 &" +b100010010100100111011011001 ?" +b101 %" +b101 *" +b101 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8803010 +b100010010100100111011011001 H" +#8803500 +b10100 5 +b10100 L +b10100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8804000 +1] +0k +1j +1[ +0>" +0@" +b110111001110111110001100011 { +b110111001110111110001100011 A" +09" +1:" +b11111 3" +0^ +0_ +b1011 0" +18" +1l +0p +0a +02" +b10110111001110111110110111101110 / +b10110111001110111110110111101110 K +b10110111001110111110110111101110 e +b10110111001110111110110111101110 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +0. +1% +#8804500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b10100100000010111011101010111001 2 +b10100100000010111011101010111001 I +b10100100000010111011101010111001 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +b10100100000010111011101010111001 , +b10100100000010111011101010111001 H +b1011 + +b1011 G +1- +1. +0% +#8805000 +0] +1i +b10011011001010000010001111111110 | +b10011011001010000010001111111110 B" +0\ +0[ +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +17" +b10100 3" +1c +1_ +b11111 0" +0j +08" +0l +b1101 -" +0u +14" +1v +1b +1a +12" +0/" +1x +1," +b10100100000010111011101010111001 &" +b10100100000010111011101010111001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +b110111001110111110001100011 / +b110111001110111110001100011 K +b110111001110111110001100011 e +b110111001110111110001100011 "" +0. +1% +#8805010 +b10100100000010111011101010111001 N" +#8805500 +b11101 7 +b11101 N +b11101 V +b10110000100110010011011110010100 2 +b10110000100110010011011110010100 I +b10110000100110010011011110010100 Z +b10010 3 +b10010 J +b10010 Y +b11101 & +b11101 C +b10110000100110010011011110010100 , +b10110000100110010011011110010100 H +b10010 + +b10010 G +1. +0% +#8806000 +0_ +1] +0s +1[ +0=" +1r +0q +15" +16" +b1101 3" +1<" +1` +0c +b10 -" +0t +0y +1z +b11001011110000010101001000010000 | +b11001011110000010101001000010000 B" +0a +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011001010000010001111111110 0 +b10011011001010000010001111111110 M +b10011011001010000010001111111110 f +b10011011001010000010001111111110 !" +b10110000100110010011011110010100 &" +b10110000100110010011011110010100 ?" +b10010 %" +b10010 *" +b10010 1" +b11101 } +b11101 (" +b11101 +" +0. +1% +#8806010 +b10110000100110010011011110010100 U" +#8806500 +b10110 5 +b10110 L +b10110 W +14 +b10010 7 +b10010 N +b10010 V +b11000101001100010101000101100010 2 +b11000101001100010101000101100010 I +b11000101001100010101000101100010 Z +b1101 3 +b1101 J +b1101 Y +b10110 ' +b10110 D +1) +b10010 & +b10010 C +b11000101001100010101000101100010 , +b11000101001100010101000101100010 H +b1101 + +b1101 G +1. +0% +#8807000 +1_ +0] +0i +b11110111111010010110111011101000 { +b11110111111010010110111011101000 A" +1s +1\ +0[ +1@" +1=" +1>" +0h +1:" +1;" +0r +1q +05" +06" +b10010 3" +0<" +0` +0^ +0c +1d +b1001 0" +1j +0k +18" +1l +b1101 -" +0z +b10110000100110010011011110010100 | +b10110000100110010011011110010100 B" +0b +1a +1n +1/" +1x +0w +b11000101001100010101000101100010 &" +b11000101001100010101000101100010 ?" +b1101 %" +b1101 *" +b1101 1" +b10110 ~ +b10110 )" +b10110 ." +1$" +b10010 } +b10010 (" +b10010 +" +b11001011110000010101001000010000 0 +b11001011110000010101001000010000 M +b11001011110000010101001000010000 f +b11001011110000010101001000010000 !" +0. +1% +#8807010 +b11000101001100010101000101100010 P" +#8807500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8808000 +1] +1k +1i +1[ +0=" +0>" +0@" +1;" +b10111101101110011111110001101000 { +b10111101101110011111110001101000 A" +0:" +1r +07" +b11111 3" +0d +0_ +b11101 0" +08" +0l +0j +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0x +0," +b11110111111010010110111011101000 / +b11110111111010010110111011101000 K +b11110111111010010110111011101000 e +b11110111111010010110111011101000 "" +b10110000100110010011011110010100 0 +b10110000100110010011011110010100 M +b10110000100110010011011110010100 f +b10110000100110010011011110010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8808500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8809000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10111101101110011111110001101000 / +b10111101101110011111110001101000 K +b10111101101110011111110001101000 e +b10111101101110011111110001101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8809500 +b10111 5 +b10111 L +b10111 W +14 +b10011 7 +b10011 N +b10011 V +16 +b10111 ' +b10111 D +1) +b10011 & +b10011 C +1( +1. +0% +#8810000 +0i +b11110010101011111110100001011011 { +b11110010101011111110100001011011 A" +b11000111001011010110101001100100 | +b11000111001011010110101001100100 B" +0h +0g +1:" +1;" +0r +0q +17" +b1000 0" +1j +0k +18" +1l +b1100 -" +0u +14" +1v +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#8810500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8811000 +1i +1h +1g +0:" +0;" +1r +1q +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110010101011111110100001011011 / +b11110010101011111110100001011011 K +b11110010101011111110100001011011 e +b11110010101011111110100001011011 "" +b11000111001011010110101001100100 0 +b11000111001011010110101001100100 M +b11000111001011010110101001100100 f +b11000111001011010110101001100100 !" +0. +1% +#8811500 +1. +0% +#8812000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8812500 +b11110001010010100000110100110 2 +b11110001010010100000110100110 I +b11110001010010100000110100110 Z +b100 3 +b100 J +b100 Y +11 +b11110001010010100000110100110 , +b11110001010010100000110100110 H +b100 + +b100 G +1- +1. +0% +#8813000 +0] +1>" +1@" +b11011 3" +1^ +1_ +12" +b11110001010010100000110100110 &" +b11110001010010100000110100110 ?" +b100 %" +b100 *" +b100 1" +1'" +0. +1% +#8813010 +b11110001010010100000110100110 G" +#8813500 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8814000 +1] +0s +b10111011001011101000100101011101 | +b10111011001011101000100101011101 B" +0>" +0@" +0r +0q +15" +16" +17" +b11111 3" +0^ +0_ +b0 -" +0t +0y +1z +0u +14" +1v +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#8814500 +b1000 5 +b1000 L +b1000 W +14 +b1001 7 +b1001 N +b1001 V +b10011110011111111100011000001101 2 +b10011110011111111100011000001101 I +b10011110011111111100011000001101 Z +b11111 3 +b11111 J +b11111 Y +11 +b1000 ' +b1000 D +1) +b1001 & +b1001 C +b10011110011111111100011000001101 , +b10011110011111111100011000001101 H +b11111 + +b11111 G +1- +1. +0% +#8815000 +0] +0i +b1001011000001001110110110101101 { +b1001011000001001110110110101101 A" +1u +1y +0\ +0[ +1=" +1>" +1@" +19" +1;" +1r +17" +15" +06" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10111 0" +1o +1k +b10110 -" +04" +0v +0z +b100111111110011001110000010110 | +b100111111110011001110000010110 B" +1b +1a +12" +1/" +0x +b10011110011111111100011000001101 &" +b10011110011111111100011000001101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1001 } +b1001 (" +b1001 +" +b10111011001011101000100101011101 0 +b10111011001011101000100101011101 M +b10111011001011101000100101011101 f +b10111011001011101000100101011101 !" +0. +1% +#8815500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8816000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +1[ +0=" +0>" +0@" +09" +0;" +1q +05" +07" +b11111 3" +0d +0<" +0` +b11111 0" +0o +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0/" +0w +0," +b1001011000001001110110110101101 / +b1001011000001001110110110101101 K +b1001011000001001110110110101101 e +b1001011000001001110110110101101 "" +b100111111110011001110000010110 0 +b100111111110011001110000010110 M +b100111111110011001110000010110 f +b100111111110011001110000010110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8816500 +1. +0% +#8817000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8817500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#8818000 +0s +b110111001110111110001100011 | +b110111001110111110001100011 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#8818500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b111011010100100101000010011111 2 +b111011010100100101000010011111 I +b111011010100100101000010011111 Z +b1110 3 +b1110 J +b1110 Y +11 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b111011010100100101000010011111 , +b111011010100100101000010011111 H +b1110 + +b1110 G +1- +1. +0% +#8819000 +0] +0i +b11001011110000010101001000010000 { +b11001011110000010101001000010000 A" +1s +0\ +1=" +1>" +1@" +0g +19" +1:" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10001 3" +0^ +0c +1d +1_ +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +04" +0v +1b +12" +1m +1/" +0," +b111011010100100101000010011111 &" +b111011010100100101000010011111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110111001110111110001100011 0 +b110111001110111110001100011 M +b110111001110111110001100011 f +b110111001110111110001100011 !" +0. +1% +#8819010 +b111011010100100101000010011111 Q" +#8819500 +b11110 5 +b11110 L +b11110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8820000 +1] +1\ +0=" +0>" +0@" +0h +1g +b11111 3" +0d +0_ +b1 0" +b10011101110000001001011001110 { +b10011101110000001001011001110 A" +0b +02" +1n +0m +b11001011110000010101001000010000 / +b11001011110000010101001000010000 K +b11001011110000010101001000010000 e +b11001011110000010101001000010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#8820500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b10100100001110110010110000010000 2 +b10100100001110110010110000010000 I +b10100100001110110010110000010000 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b101 & +b101 C +1( +b10100100001110110010110000010000 , +b10100100001110110010110000010000 H +b11111 + +b11111 G +1- +1. +0% +#8821000 +0] +1i +0s +b100010010100100111011011001 | +b100010010100100111011011001 B" +0\ +0[ +1=" +1>" +1@" +1h +09" +0:" +0;" +0q +16" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +1t +1u +1b +1a +12" +0n +0/" +1w +1," +b10100100001110110010110000010000 &" +b10100100001110110010110000010000 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +b10011101110000001001011001110 / +b10011101110000001001011001110 K +b10011101110000001001011001110 e +b10011101110000001001011001110 "" +0. +1% +#8821500 +b0 7 +b0 N +b0 V +06 +b1100010011011100101010101011011 2 +b1100010011011100101010101011011 I +b1100010011011100101010101011011 Z +b11 3 +b11 J +b11 Y +b0 & +b0 C +0( +b1100010011011100101010101011011 , +b1100010011011100101010101011011 H +b11 + +b11 G +1. +0% +#8822000 +1_ +1] +1s +1@" +0=" +0>" +1q +06" +07" +b11100 3" +0<" +0` +0d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010010100100111011011001 0 +b100010010100100111011011001 M +b100010010100100111011011001 f +b100010010100100111011011001 !" +b1100010011011100101010101011011 &" +b1100010011011100101010101011011 ?" +b11 %" +b11 *" +b11 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8822010 +b1100010011011100101010101011011 F" +#8822500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8823000 +0i +b101110111000000111110101001100 { +b101110111000000111110101001100 A" +1\ +1[ +0@" +0h +19" +1;" +b11111 3" +0_ +b101 0" +1o +0k +18" +1l +0b +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8823500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b0 ' +b0 D +0) +b101 & +b101 C +1( +1. +0% +#8824000 +1i +0s +b100010010100100111011011001 | +b100010010100100111011011001 B" +1h +09" +0;" +0q +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +1t +1u +0n +0/" +1w +1," +b101110111000000111110101001100 / +b101110111000000111110101001100 K +b101110111000000111110101001100 e +b101110111000000111110101001100 "" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#8824500 +b0 7 +b0 N +b0 V +06 +b11111000000010111011110101000001 2 +b11111000000010111011110101000001 I +b11111000000010111011110101000001 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 & +b0 C +0( +b11111000000010111011110101000001 , +b11111000000010111011110101000001 H +b11100 + +b11100 G +1- +1. +0% +#8825000 +0] +1s +1=" +1>" +1@" +1q +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0w +0," +b11111000000010111011110101000001 &" +b11111000000010111011110101000001 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010010100100111011011001 0 +b100010010100100111011011001 M +b100010010100100111011011001 f +b100010010100100111011011001 !" +0. +1% +#8825010 +b11111000000010111011110101000001 _" +#8825500 +b111 5 +b111 L +b111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 ' +b111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8826000 +1] +0i +b10010101000110111001010111001111 { +b10010101000110111001010111001111 A" +0=" +0>" +0@" +0h +0g +1:" +1;" +b11111 3" +0d +0<" +0` +b11000 0" +1j +1k +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#8826500 +b0 5 +b0 L +b0 W +04 +b1111001000010000110011000100111 2 +b1111001000010000110011000100111 I +b1111001000010000110011000100111 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b1111001000010000110011000100111 , +b1111001000010000110011000100111 H +b11001 + +b11001 G +1- +1. +0% +#8827000 +0] +1i +0[ +1=" +1@" +1h +1g +0:" +0;" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0n +0m +0/" +b1111001000010000110011000100111 &" +b1111001000010000110011000100111 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010101000110111001010111001111 / +b10010101000110111001010111001111 K +b10010101000110111001010111001111 e +b10010101000110111001010111001111 "" +0. +1% +#8827010 +b1111001000010000110011000100111 \" +#8827500 +b11001 5 +b11001 L +b11001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8828000 +1] +0i +b1111001000010000110011000100111 { +b1111001000010000110011000100111 A" +1[ +0=" +0@" +0g +19" +1;" +b11111 3" +0c +0<" +0` +b110 0" +1o +0k +18" +1l +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#8828500 +b11101 5 +b11101 L +b11101 W +b11101 ' +b11101 D +1. +0% +#8829000 +0o +b11001011110000010101001000010000 { +b11001011110000010101001000010000 A" +1:" +b10 0" +1p +b11101 ~ +b11101 )" +b11101 ." +b1111001000010000110011000100111 / +b1111001000010000110011000100111 K +b1111001000010000110011000100111 e +b1111001000010000110011000100111 "" +0. +1% +#8829500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8830000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11001011110000010101001000010000 / +b11001011110000010101001000010000 K +b11001011110000010101001000010000 e +b11001011110000010101001000010000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8830500 +b1101101100101011111101010000100 2 +b1101101100101011111101010000100 I +b1101101100101011111101010000100 Z +b11101 3 +b11101 J +b11101 Y +11 +b1101101100101011111101010000100 , +b1101101100101011111101010000100 H +b11101 + +b11101 G +1- +1. +0% +#8831000 +0] +0[ +1=" +1>" +1@" +b10 3" +0^ +0c +1d +0_ +1<" +1` +1a +12" +b1101101100101011111101010000100 &" +b1101101100101011111101010000100 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8831010 +b1101101100101011111101010000100 `" +#8831500 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8832000 +1] +0s +b111101101010110110101010011100 | +b111101101010110110101010011100 B" +1[ +0=" +0>" +0@" +0r +15" +17" +b11111 3" +0d +0<" +0` +b10101 -" +1y +1u +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#8832500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8833000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b111101101010110110101010011100 0 +b111101101010110110101010011100 M +b111101101010110110101010011100 f +b111101101010110110101010011100 !" +0. +1% +#8833500 +b11001 5 +b11001 L +b11001 W +14 +b10110100001111010101001111101010 2 +b10110100001111010101001111101010 I +b10110100001111010101001111101010 Z +b111 3 +b111 J +b111 Y +11 +b11001 ' +b11001 D +1) +b10110100001111010101001111101010 , +b10110100001111010101001111101010 H +b111 + +b111 G +1- +1. +0% +#8834000 +0] +0i +b1111001000010000110011000100111 { +b1111001000010000110011000100111 A" +0\ +0[ +1>" +1@" +0g +19" +1;" +b11000 3" +1^ +1_ +b110 0" +1o +0k +18" +1l +1b +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110100001111010101001111101010 &" +b10110100001111010101001111101010 ?" +b111 %" +b111 *" +b111 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#8834010 +b10110100001111010101001111101010 J" +#8834500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b1010000101011111001001011110100 2 +b1010000101011111001001011110100 I +b1010000101011111001001011110100 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b1010000101011111001001011110100 , +b1010000101011111001001011110100 H +b1110 + +b1110 G +1. +0% +#8835000 +0^ +1i +0s +b11111011100010011000011010111000 | +b11111011100010011000011010111000 B" +1[ +1=" +1g +09" +0;" +0r +0q +15" +17" +b10001 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +1y +0u +14" +1v +0a +0m +0/" +1x +1w +1," +b1010000101011111001001011110100 &" +b1010000101011111001001011110100 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +b1111001000010000110011000100111 / +b1111001000010000110011000100111 K +b1111001000010000110011000100111 e +b1111001000010000110011000100111 "" +0. +1% +#8835010 +b1010000101011111001001011110100 Q" +#8835500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8836000 +1] +0i +b11110010101011111110100001011011 { +b11110010101011111110100001011011 A" +1s +1\ +0=" +0>" +0@" +0h +0g +1:" +1;" +1r +1q +05" +07" +b11111 3" +0d +0_ +b1000 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +1n +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111011100010011000011010111000 0 +b11111011100010011000011010111000 M +b11111011100010011000011010111000 f +b11111011100010011000011010111000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8836500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +16 +b1101011111010101010001101001110 2 +b1101011111010101010001101001110 I +b1101011111010101010001101001110 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b10001 & +b10001 C +1( +b1101011111010101010001101001110 , +b1101011111010101010001101001110 H +b10111 + +b10111 G +1- +1. +0% +#8837000 +0] +1i +b11111000000011100111001011010010 | +b11111000000011100111001011010010 B" +0\ +0[ +1>" +1@" +1h +1g +0:" +0;" +0q +17" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1110 -" +0u +14" +1v +1b +1a +12" +0n +0m +0/" +1w +1," +b1101011111010101010001101001110 &" +b1101011111010101010001101001110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +1#" +b11110010101011111110100001011011 / +b11110010101011111110100001011011 K +b11110010101011111110100001011011 e +b11110010101011111110100001011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8837010 +b1101011111010101010001101001110 Z" +#8837500 +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8838000 +1] +0s +1\ +1[ +0>" +0@" +1q +15" +b11111 3" +0^ +0<" +0` +b111 -" +1y +b11101101100000010010110100110100 | +b11101101100000010010110100110100 B" +0b +0a +02" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111000000011100111001011010010 0 +b11111000000011100111001011010010 M +b11111000000011100111001011010010 f +b11111000000011100111001011010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 } +b11000 (" +b11000 +" +0. +1% +#8838500 +b1 7 +b1 N +b1 V +b1 & +b1 C +1. +0% +#8839000 +1u +1s +0q +17" +05" +b11110 -" +04" +0v +0y +b11111111100011111011101010110110 | +b11111111100011111011101010110110 B" +1w +b1 } +b1 (" +b1 +" +b11101101100000010010110100110100 0 +b11101101100000010010110100110100 M +b11101101100000010010110100110100 f +b11101101100000010010110100110100 !" +0. +1% +#8839500 +b11011 7 +b11011 N +b11011 V +b10100010001000010001101100000011 2 +b10100010001000010001101100000011 I +b10100010001000010001101100000011 Z +11 +b11011 & +b11011 C +b10100010001000010001101100000011 , +b10100010001000010001101100000011 H +1- +1. +0% +#8840000 +0u +0s +1@" +0r +15" +1_ +b100 -" +14" +1v +1y +b11111011100010011000011010111000 | +b11111011100010011000011010111000 B" +12" +1x +b11111111100011111011101010110110 0 +b11111111100011111011101010110110 M +b11111111100011111011101010110110 f +b11111111100011111011101010110110 !" +b10100010001000010001101100000011 &" +b10100010001000010001101100000011 ?" +1'" +b11011 } +b11011 (" +b11011 +" +0. +1% +#8840010 +b10100010001000010001101100000011 C" +#8840500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +01 +b0 & +b0 C +0( +b0 , +b0 H +0- +1. +0% +#8841000 +1s +0@" +1r +1q +05" +07" +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0w +0," +b0 &" +b0 ?" +0'" +b0 } +b0 (" +b0 +" +0#" +b11111011100010011000011010111000 0 +b11111011100010011000011010111000 M +b11111011100010011000011010111000 f +b11111011100010011000011010111000 !" +0. +1% +#8841500 +1. +0% +#8842000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8842500 +1. +0% +#8843000 +0. +1% +#8843500 +b10011110011000110001010011100010 2 +b10011110011000110001010011100010 I +b10011110011000110001010011100010 Z +b11011 3 +b11011 J +b11011 Y +11 +b10011110011000110001010011100010 , +b10011110011000110001010011100010 H +b11011 + +b11011 G +1- +1. +0% +#8844000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b10011110011000110001010011100010 &" +b10011110011000110001010011100010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0. +1% +#8844010 +b10011110011000110001010011100010 ^" +#8844500 +b11111 5 +b11111 L +b11111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8845000 +1] +0i +b10100100001110110010110000010000 { +b10100100001110110010110000010000 A" +1\ +1[ +0=" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0c +0<" +0` +b0 0" +0j +0o +1p +0k +18" +1l +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#8845500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +1. +0% +#8846000 +1i +0s +b1101101100101011111101010000100 | +b1101101100101011111101010000100 B" +1h +1g +09" +0:" +0;" +0q +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10 -" +0t +0y +1z +0u +14" +1v +0n +0m +0/" +1w +1," +b10100100001110110010110000010000 / +b10100100001110110010110000010000 K +b10100100001110110010110000010000 e +b10100100001110110010110000010000 "" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#8846500 +b0 7 +b0 N +b0 V +06 +b1110010001000100101011001000100 2 +b1110010001000100101011001000100 I +b1110010001000100101011001000100 Z +11 +b0 & +b0 C +0( +b1110010001000100101011001000100 , +b1110010001000100101011001000100 H +1- +1. +0% +#8847000 +1s +1@" +1q +05" +06" +07" +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0w +0," +b1110010001000100101011001000100 &" +b1110010001000100101011001000100 ?" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1101101100101011111101010000100 0 +b1101101100101011111101010000100 M +b1101101100101011111101010000100 f +b1101101100101011111101010000100 !" +0. +1% +#8847010 +b1110010001000100101011001000100 C" +#8847500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#8848000 +0@" +0_ +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +0'" +0. +1% +#8848500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#8849000 +0s +b110111001110111110001100011 | +b110111001110111110001100011 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#8849500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#8850000 +0i +b11110001010010100000110100110 { +b11110001010010100000110100110 A" +1s +1:" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11011 0" +1j +1k +b11111 -" +0t +04" +0v +1/" +0," +b110111001110111110001100011 0 +b110111001110111110001100011 M +b110111001110111110001100011 f +b110111001110111110001100011 !" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8850500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8851000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11110001010010100000110100110 / +b11110001010010100000110100110 K +b11110001010010100000110100110 e +b11110001010010100000110100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8851500 +b10 5 +b10 L +b10 W +14 +b10 ' +b10 D +1) +1. +0% +#8852000 +b10111101101110011111110001101000 { +b10111101101110011111110001101000 A" +0h +1;" +b11101 0" +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10 ~ +b10 )" +b10 ." +1$" +0. +1% +#8852500 +b0 5 +b0 L +b0 W +04 +b11111110000000111011010000111011 2 +b11111110000000111011010000111011 I +b11111110000000111011010000111011 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b11111110000000111011010000111011 , +b11111110000000111011010000111011 H +b11110 + +b11110 G +1- +1. +0% +#8853000 +0] +0\ +1=" +1>" +1@" +1h +0;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0/" +b11111110000000111011010000111011 &" +b11111110000000111011010000111011 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111101101110011111110001101000 / +b10111101101110011111110001101000 K +b10111101101110011111110001101000 e +b10111101101110011111110001101000 "" +0. +1% +#8853010 +b11111110000000111011010000111011 a" +#8853500 +b11000 5 +b11000 L +b11000 W +14 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8854000 +1] +0i +b11101101100000010010110100110100 { +b11101101100000010010110100110100 A" +0s +b10100100001110110010110000010000 | +b10100100001110110010110000010000 B" +1\ +0=" +0>" +0@" +19" +1;" +0r +0q +15" +16" +17" +b11111 3" +0d +0<" +0` +b111 0" +1o +0k +18" +1l +b0 -" +0t +0y +1z +0u +14" +1v +0b +02" +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#8854500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001110001110000000101001111000 2 +b1001110001110000000101001111000 I +b1001110001110000000101001111000 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001110001110000000101001111000 , +b1001110001110000000101001111000 H +b1100 + +b1100 G +1- +1. +0% +#8855000 +0] +1i +1s +1=" +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +05" +06" +07" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0o +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0/" +0x +0w +0," +b1001110001110000000101001111000 &" +b1001110001110000000101001111000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101101100000010010110100110100 / +b11101101100000010010110100110100 K +b11101101100000010010110100110100 e +b11101101100000010010110100110100 "" +b10100100001110110010110000010000 0 +b10100100001110110010110000010000 M +b10100100001110110010110000010000 f +b10100100001110110010110000010000 !" +0. +1% +#8855010 +b1001110001110000000101001111000 O" +#8855500 +b11000 5 +b11000 L +b11000 W +14 +b10000100110000011010110110010010 2 +b10000100110000011010110110010010 I +b10000100110000011010110110010010 Z +b11000 ' +b11000 D +1) +b10000100110000011010110110010010 , +b10000100110000011010110110010010 H +1. +0% +#8856000 +0i +b11101101100000010010110100110100 { +b11101101100000010010110100110100 A" +19" +1;" +b111 0" +1o +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000100110000011010110110010010 &" +b10000100110000011010110110010010 ?" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#8856010 +b10000100110000011010110110010010 O" +#8856500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8857000 +1] +1i +0=" +0>" +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0d +0_ +b11111 0" +0o +08" +0l +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101101100000010010110100110100 / +b11101101100000010010110100110100 K +b11101101100000010010110100110100 e +b11101101100000010010110100110100 "" +0. +1% +#8857500 +1. +0% +#8858000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8858500 +1. +0% +#8859000 +0. +1% +#8859500 +b10011 5 +b10011 L +b10011 W +14 +b10101 7 +b10101 N +b10101 V +16 +b10111100110001101100000101011110 2 +b10111100110001101100000101011110 I +b10111100110001101100000101011110 Z +b11100 3 +b11100 J +b11100 Y +11 +b10011 ' +b10011 D +1) +b10101 & +b10101 C +1( +b10111100110001101100000101011110 , +b10111100110001101100000101011110 H +b11100 + +b11100 G +1- +1. +0% +#8860000 +0] +b11000111001011010110101001100100 { +b11000111001011010110101001100100 A" +0s +b100101000101011111110101101000 | +b100101000101011111110101101000 B" +1=" +1>" +1@" +0h +0g +1;" +0q +16" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b1100 0" +0k +18" +1l +b1010 -" +1t +0u +14" +1v +12" +1n +1m +1/" +1w +1," +b10111100110001101100000101011110 &" +b10111100110001101100000101011110 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#8860010 +b10111100110001101100000101011110 _" +#8860500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011001000101011001101011001011 2 +b11011001000101011001101011001011 I +b11011001000101011001101011001011 Z +b10100 3 +b10100 J +b10100 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011001000101011001101011001011 , +b11011001000101011001101011001011 H +b10100 + +b10100 G +1. +0% +#8861000 +1^ +1s +0=" +1>" +1h +1g +0;" +1q +06" +07" +b1011 3" +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0m +0/" +0w +0," +b11011001000101011001101011001011 &" +b11011001000101011001101011001011 ?" +b10100 %" +b10100 *" +b10100 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000111001011010110101001100100 / +b11000111001011010110101001100100 K +b11000111001011010110101001100100 e +b11000111001011010110101001100100 "" +b100101000101011111110101101000 0 +b100101000101011111110101101000 M +b100101000101011111110101101000 f +b100101000101011111110101101000 !" +0. +1% +#8861010 +b11011001000101011001101011001011 W" +#8861500 +b11100111110010111100101011 2 +b11100111110010111100101011 I +b11100111110010111100101011 Z +b1001 3 +b1001 J +b1001 Y +b11100111110010111100101011 , +b11100111110010111100101011 H +b1001 + +b1001 G +1. +0% +#8862000 +1=" +1_ +1c +0[ +1@" +0>" +b10110 3" +0<" +0` +0^ +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100111110010111100101011 &" +b11100111110010111100101011 ?" +b1001 %" +b1001 *" +b1001 1" +0. +1% +#8862010 +b11100111110010111100101011 L" +#8862500 +b110 5 +b110 L +b110 W +14 +b110 7 +b110 N +b110 V +16 +b11100110001000001011011011000 2 +b11100110001000001011011011000 I +b11100110001000001011011011000 Z +b1000 3 +b1000 J +b1000 Y +b110 ' +b110 D +1) +b110 & +b110 C +1( +b11100110001000001011011011000 , +b11100110001000001011011011000 H +b1000 + +b1000 G +1. +0% +#8863000 +0i +b10000101000000111101011001 { +b10000101000000111101011001 A" +0s +b10000101000000111101011001 | +b10000101000000111101011001 B" +1[ +0h +1:" +1;" +0r +16" +17" +b10111 3" +b11001 0" +1j +1k +b11001 -" +1t +1u +0a +1n +1/" +1x +1," +b11100110001000001011011011000 &" +b11100110001000001011011011000 ?" +b1000 %" +b1000 *" +b1000 1" +b110 ~ +b110 )" +b110 ." +1$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#8863010 +b11100110001000001011011011000 K" +#8863500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8864000 +1] +1i +1s +0=" +0@" +1h +0:" +0;" +1r +06" +07" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0n +0/" +0x +0," +b10000101000000111101011001 / +b10000101000000111101011001 K +b10000101000000111101011001 e +b10000101000000111101011001 "" +b10000101000000111101011001 0 +b10000101000000111101011001 M +b10000101000000111101011001 f +b10000101000000111101011001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8864500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#8865000 +0s +b111101101010110110101010011100 | +b111101101010110110101010011100 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8865500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b11101101110000111001110100100010 2 +b11101101110000111001110100100010 I +b11101101110000111001110100100010 Z +b111 3 +b111 J +b111 Y +11 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b11101101110000111001110100100010 , +b11101101110000111001110100100010 H +b111 + +b111 G +1- +1. +0% +#8866000 +0] +0i +b10100100001110110010110000010000 { +b10100100001110110010110000010000 A" +1s +0\ +0[ +1>" +1@" +0h +0g +19" +1:" +1;" +1r +05" +07" +b11000 3" +1^ +1_ +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1m +1/" +0x +0," +b111101101010110110101010011100 0 +b111101101010110110101010011100 M +b111101101010110110101010011100 f +b111101101010110110101010011100 !" +b11101101110000111001110100100010 &" +b11101101110000111001110100100010 ?" +b111 %" +b111 *" +b111 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8866010 +b11101101110000111001110100100010 J" +#8866500 +b11101 5 +b11101 L +b11101 W +b10111010100111001000111000000010 2 +b10111010100111001000111000000010 I +b10111010100111001000111000000010 Z +b1010 3 +b1010 J +b1010 Y +b11101 ' +b11101 D +b10111010100111001000111000000010 , +b10111010100111001000111000000010 H +b1010 + +b1010 G +1. +0% +#8867000 +1=" +1c +1[ +0>" +1h +b10101 3" +0^ +b10 0" +b1101101100101011111101010000100 { +b1101101100101011111101010000100 A" +0a +0n +b10111010100111001000111000000010 &" +b10111010100111001000111000000010 ?" +b1010 %" +b1010 *" +b1010 1" +b11101 ~ +b11101 )" +b11101 ." +b10100100001110110010110000010000 / +b10100100001110110010110000010000 K +b10100100001110110010110000010000 e +b10100100001110110010110000010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8867010 +b10111010100111001000111000000010 M" +#8867500 +b101 5 +b101 L +b101 W +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8868000 +1] +1k +1j +b11000111001011010110101001100100 | +b11000111001011010110101001100100 B" +1\ +0=" +0@" +1;" +b100010010100100111011011001 { +b100010010100100111011011001 A" +09" +1:" +0r +0q +17" +b11111 3" +0c +0_ +b11010 0" +08" +0l +0p +b1100 -" +0u +14" +1v +0b +02" +1x +1w +1," +b1101101100101011111101010000100 / +b1101101100101011111101010000100 K +b1101101100101011111101010000100 e +b1101101100101011111101010000100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#8868500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8869000 +1i +1g +0:" +0;" +1r +1q +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b100010010100100111011011001 / +b100010010100100111011011001 K +b100010010100100111011011001 e +b100010010100100111011011001 "" +b11000111001011010110101001100100 0 +b11000111001011010110101001100100 M +b11000111001011010110101001100100 f +b11000111001011010110101001100100 !" +0. +1% +#8869500 +b10100 5 +b10100 L +b10100 W +14 +b10100 ' +b10100 D +1) +1. +0% +#8870000 +0i +b11011001000101011001101011001011 { +b11011001000101011001101011001011 A" +1:" +1;" +b1011 0" +1j +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100 ~ +b10100 )" +b10100 ." +1$" +0. +1% +#8870500 +b110 5 +b110 L +b110 W +b1111 7 +b1111 N +b1111 V +16 +b110 ' +b110 D +b1111 & +b1111 C +1( +1. +0% +#8871000 +1k +0s +b10110000100011010100001110100110 | +b10110000100011010100001110100110 B" +0h +1;" +0r +0q +15" +16" +17" +b11001 0" +08" +0l +b10000101000000111101011001 { +b10000101000000111101011001 A" +b10000 -" +0t +0y +1z +1u +1n +1x +1w +1," +b110 ~ +b110 )" +b110 ." +b1111 } +b1111 (" +b1111 +" +1#" +b11011001000101011001101011001011 / +b11011001000101011001101011001011 K +b11011001000101011001101011001011 e +b11011001000101011001101011001011 "" +0. +1% +#8871500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8872000 +1i +1s +1h +0:" +0;" +1r +1q +05" +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0w +0," +b10000101000000111101011001 / +b10000101000000111101011001 K +b10000101000000111101011001 e +b10000101000000111101011001 "" +b10110000100011010100001110100110 0 +b10110000100011010100001110100110 M +b10110000100011010100001110100110 f +b10110000100011010100001110100110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8872500 +b1011110010011110000001001100110 2 +b1011110010011110000001001100110 I +b1011110010011110000001001100110 Z +b11010 3 +b11010 J +b11010 Y +11 +b1011110010011110000001001100110 , +b1011110010011110000001001100110 H +b11010 + +b11010 G +1- +1. +0% +#8873000 +0] +0\ +1=" +1@" +b101 3" +1c +0_ +1<" +1` +1b +12" +b1011110010011110000001001100110 &" +b1011110010011110000001001100110 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8873010 +b1011110010011110000001001100110 ]" +#8873500 +b11000 5 +b11000 L +b11000 W +14 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8874000 +1] +0i +b11101101100000010010110100110100 { +b11101101100000010010110100110100 A" +b1100010011011100101010101011011 | +b1100010011011100101010101011011 B" +1\ +0=" +0@" +19" +1;" +0r +0q +17" +b11111 3" +0c +0<" +0` +b111 0" +1o +0k +18" +1l +b11100 -" +1u +0b +02" +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#8874500 +b11111 5 +b11111 L +b11111 W +b0 7 +b0 N +b0 V +06 +b1010100001001010101000101001011 2 +b1010100001001010101000101001011 I +b1010100001001010101000101001011 Z +b11000 3 +b11000 J +b11000 Y +11 +b11111 ' +b11111 D +b0 & +b0 C +0( +b1010100001001010101000101001011 , +b1010100001001010101000101001011 H +b11000 + +b11000 G +1- +1. +0% +#8875000 +0] +0o +1=" +1@" +0h +0g +1:" +1r +1q +07" +b111 3" +1c +0_ +1<" +1` +b0 0" +1p +b10100100001110110010110000010000 { +b10100100001110110010110000010000 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +1m +0x +0w +0," +b1010100001001010101000101001011 &" +b1010100001001010101000101001011 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +b0 } +b0 (" +b0 +" +0#" +b11101101100000010010110100110100 / +b11101101100000010010110100110100 K +b11101101100000010010110100110100 e +b11101101100000010010110100110100 "" +b1100010011011100101010101011011 0 +b1100010011011100101010101011011 M +b1100010011011100101010101011011 f +b1100010011011100101010101011011 !" +0. +1% +#8875010 +b1010100001001010101000101001011 [" +#8875500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b10010001101111000010010010111000 2 +b10010001101111000010010010111000 I +b10010001101111000010010010111000 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b11 & +b11 C +1( +b10010001101111000010010010111000 , +b10010001101111000010010010111000 H +b11001 + +b11001 G +1. +0% +#8876000 +1i +b1100010011011100101010101011011 | +b1100010011011100101010101011011 B" +0[ +1h +1g +09" +0:" +0;" +0r +0q +17" +b110 3" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11100 -" +1u +1a +0n +0m +0/" +1x +1w +1," +b10100100001110110010110000010000 / +b10100100001110110010110000010000 K +b10100100001110110010110000010000 e +b10100100001110110010110000010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010001101111000010010010111000 &" +b10010001101111000010010010111000 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#8876010 +b10010001101111000010010010111000 \" +#8876500 +b10111 5 +b10111 L +b10111 W +14 +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8877000 +1] +0i +b1101011111010101010001101001110 { +b1101011111010101010001101001110 A" +0u +0s +1[ +0=" +0@" +0h +0g +1:" +1;" +1r +1q +16" +b11111 3" +0c +0<" +0` +b1000 0" +1j +0k +18" +1l +b1011 -" +14" +1v +1t +b11011001000101011001101011001011 | +b11011001000101011001101011001011 B" +0a +02" +1n +1m +1/" +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b10100 } +b10100 (" +b10100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100010011011100101010101011011 0 +b1100010011011100101010101011011 M +b1100010011011100101010101011011 f +b1100010011011100101010101011011 !" +0. +1% +#8877500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +b11101110011010101110000010100111 2 +b11101110011010101110000010100111 I +b11101110011010101110000010100111 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b11 & +b11 C +b11101110011010101110000010100111 , +b11101110011010101110000010100111 H +b1110 + +b1110 G +1- +1. +0% +#8878000 +0] +1i +1u +1s +0\ +1=" +1>" +1@" +1h +1g +0:" +0;" +0r +0q +17" +06" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11100 -" +04" +0v +0t +b1100010011011100101010101011011 | +b1100010011011100101010101011011 B" +1b +12" +0n +0m +0/" +1x +1w +b1101011111010101010001101001110 / +b1101011111010101010001101001110 K +b1101011111010101010001101001110 e +b1101011111010101010001101001110 "" +b11011001000101011001101011001011 0 +b11011001000101011001101011001011 M +b11011001000101011001101011001011 f +b11011001000101011001101011001011 !" +b11101110011010101110000010100111 &" +b11101110011010101110000010100111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +0. +1% +#8878010 +b11101110011010101110000010100111 Q" +#8878500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8879000 +1] +1\ +0=" +0>" +0@" +1r +1q +07" +b11111 3" +0d +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100010011011100101010101011011 0 +b1100010011011100101010101011011 M +b1100010011011100101010101011011 f +b1100010011011100101010101011011 !" +0. +1% +#8879500 +b1010 7 +b1010 N +b1010 V +16 +b10110000010011010110011001000010 2 +b10110000010011010110011001000010 I +b10110000010011010110011001000010 Z +b10 3 +b10 J +b10 Y +11 +b1010 & +b1010 C +1( +b10110000010011010110011001000010 , +b10110000010011010110011001000010 H +b10 + +b10 G +1- +1. +0% +#8880000 +0s +b10111010100111001000111000000010 | +b10111010100111001000111000000010 B" +0\ +1@" +0r +15" +17" +b11101 3" +1_ +b10101 -" +1y +1u +1b +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110000010011010110011001000010 &" +b10110000010011010110011001000010 ?" +b10 %" +b10 *" +b10 1" +1'" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#8880010 +b10110000010011010110011001000010 E" +#8880500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8881000 +0i +b1010100001001010101000101001011 { +b1010100001001010101000101001011 A" +1s +1\ +0@" +19" +1;" +1r +05" +07" +b11111 3" +0_ +b111 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10111010100111001000111000000010 0 +b10111010100111001000111000000010 M +b10111010100111001000111000000010 f +b10111010100111001000111000000010 !" +0. +1% +#8881500 +b0 5 +b0 L +b0 W +04 +b11100111001110111001101000110001 2 +b11100111001110111001101000110001 I +b11100111001110111001101000110001 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +0) +b11100111001110111001101000110001 , +b11100111001110111001101000110001 H +b11010 + +b11010 G +1- +1. +0% +#8882000 +0] +1i +0\ +1=" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b101 3" +1c +0_ +1<" +1` +b11111 0" +0o +08" +0l +1b +12" +0/" +b1010100001001010101000101001011 / +b1010100001001010101000101001011 K +b1010100001001010101000101001011 e +b1010100001001010101000101001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100111001110111001101000110001 &" +b11100111001110111001101000110001 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8882010 +b11100111001110111001101000110001 ]" +#8882500 +b101001110011100001100101001101 2 +b101001110011100001100101001101 I +b101001110011100001100101001101 Z +b1000 3 +b1000 J +b1000 Y +b101001110011100001100101001101 , +b101001110011100001100101001101 H +b1000 + +b1000 G +1. +0% +#8883000 +1_ +1\ +1@" +b10111 3" +0<" +0` +0b +b101001110011100001100101001101 &" +b101001110011100001100101001101 ?" +b1000 %" +b1000 *" +b1000 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8883010 +b101001110011100001100101001101 K" +#8883500 +b111 5 +b111 L +b111 W +14 +b1101001101101101101111100000110 2 +b1101001101101101101111100000110 I +b1101001101101101101111100000110 Z +b1 3 +b1 J +b1 Y +b111 ' +b111 D +1) +b1101001101101101101111100000110 , +b1101001101101101101111100000110 H +b1 + +b1 G +1. +0% +#8884000 +1] +0i +b11101101110000111001110100100010 { +b11101101110000111001110100100010 A" +0[ +0=" +0h +0g +1:" +1;" +b11110 3" +0c +b11000 0" +1j +1k +1a +1n +1m +1/" +b1101001101101101101111100000110 &" +b1101001101101101101111100000110 ?" +b1 %" +b1 *" +b1 1" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#8884010 +b1101001101101101101111100000110 D" +#8884500 +b1100 5 +b1100 L +b1100 W +b1000101110001001000110011000010 2 +b1000101110001001000110011000010 I +b1000101110001001000110011000010 Z +b110 3 +b110 J +b110 Y +b1100 ' +b1100 D +b1000101110001001000110011000010 , +b1000101110001001000110011000010 H +b110 + +b110 G +1. +0% +#8885000 +0] +0j +0\ +1[ +1>" +1h +1g +19" +b11001 3" +1^ +b10011 0" +1p +b10000100110000011010110110010010 { +b10000100110000011010110110010010 A" +1b +0a +0n +0m +b1000101110001001000110011000010 &" +b1000101110001001000110011000010 ?" +b110 %" +b110 *" +b110 1" +b1100 ~ +b1100 )" +b1100 ." +b11101101110000111001110100100010 / +b11101101110000111001110100100010 K +b11101101110000111001110100100010 e +b11101101110000111001110100100010 "" +0. +1% +#8885010 +b1000101110001001000110011000010 I" +#8885500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8886000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1\ +0>" +0@" +09" +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0p +0k +0b +02" +0/" +b10000100110000011010110110010010 / +b10000100110000011010110110010010 K +b10000100110000011010110110010010 e +b10000100110000011010110110010010 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8886500 +b11110 5 +b11110 L +b11110 W +14 +b1101 7 +b1101 N +b1101 V +16 +b11110 ' +b11110 D +1) +b1101 & +b1101 C +1( +1. +0% +#8887000 +0i +b11111110000000111011010000111011 { +b11111110000000111011010000111011 A" +0s +b11000101001100010101000101100010 | +b11000101001100010101000101100010 B" +0h +19" +1:" +1;" +0q +15" +16" +17" +b1 0" +0j +0o +1p +0k +18" +1l +b10010 -" +0t +0y +1z +1u +1n +1/" +1w +1," +b11110 ~ +b11110 )" +b11110 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8887500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b111011011111010110001110100000 2 +b111011011111010110001110100000 I +b111011011111010110001110100000 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +b111011011111010110001110100000 , +b111011011111010110001110100000 H +b11101 + +b11101 G +1- +1. +0% +#8888000 +0] +1i +1t +0[ +1=" +1>" +1@" +1h +09" +0:" +0;" +1q +05" +16" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +0z +b11110001010010100000110100110 | +b11110001010010100000110100110 B" +1a +12" +0n +0/" +0w +b11111110000000111011010000111011 / +b11111110000000111011010000111011 K +b11111110000000111011010000111011 e +b11111110000000111011010000111011 "" +b11000101001100010101000101100010 0 +b11000101001100010101000101100010 M +b11000101001100010101000101100010 f +b11000101001100010101000101100010 !" +b111011011111010110001110100000 &" +b111011011111010110001110100000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +0. +1% +#8888010 +b111011011111010110001110100000 `" +#8888500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8889000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0=" +0>" +0@" +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0t +0u +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110001010010100000110100110 0 +b11110001010010100000110100110 M +b11110001010010100000110100110 f +b11110001010010100000110100110 !" +0. +1% +#8889500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#8890000 +0s +b11101101110000111001110100100010 | +b11101101110000111001110100100010 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#8890500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8891000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11101101110000111001110100100010 0 +b11101101110000111001110100100010 M +b11101101110000111001110100100010 f +b11101101110000111001110100100010 !" +0. +1% +#8891500 +b11111 5 +b11111 L +b11111 W +14 +b11111 ' +b11111 D +1) +1. +0% +#8892000 +0i +b10100100001110110010110000010000 { +b10100100001110110010110000010000 A" +0h +0g +19" +1:" +1;" +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#8892500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b11100000011010111010100100000000 2 +b11100000011010111010100100000000 I +b11100000011010111010100100000000 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b11100000011010111010100100000000 , +b11100000011010111010100100000000 H +b10010 + +b10010 G +1- +1. +0% +#8893000 +1i +b11000111001011010110101001100100 | +b11000111001011010110101001100100 B" +0\ +1@" +1h +1g +09" +0:" +0;" +0r +0q +17" +b1101 3" +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0u +14" +1v +1b +12" +0n +0m +0/" +1x +1w +1," +b11100000011010111010100100000000 &" +b11100000011010111010100100000000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b10100100001110110010110000010000 / +b10100100001110110010110000010000 K +b10100100001110110010110000010000 e +b10100100001110110010110000010000 "" +0. +1% +#8893010 +b11100000011010111010100100000000 U" +#8893500 +b1110 5 +b1110 L +b1110 W +14 +b100 7 +b100 N +b100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b100 & +b100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8894000 +0i +b11101110011010101110000010100111 { +b11101110011010101110000010100111 A" +1u +0s +1\ +0@" +0h +19" +1:" +1;" +1r +1q +17" +16" +b11111 3" +0<" +0` +b10001 0" +0j +0o +1p +1k +b11011 -" +04" +0v +1t +b11110001010010100000110100110 | +b11110001010010100000110100110 B" +0b +02" +1n +1/" +0x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000111001011010110101001100100 0 +b11000111001011010110101001100100 M +b11000111001011010110101001100100 f +b11000111001011010110101001100100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#8894500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#8895000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1h +09" +0:" +0;" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +0u +0n +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101110011010101110000010100111 / +b11101110011010101110000010100111 K +b11101110011010101110000010100111 e +b11101110011010101110000010100111 "" +b11110001010010100000110100110 0 +b11110001010010100000110100110 M +b11110001010010100000110100110 f +b11110001010010100000110100110 !" +0. +1% +#8895500 +b11011 5 +b11011 L +b11011 W +14 +b11011 ' +b11011 D +1) +1. +0% +#8896000 +0i +b10011110011000110001010011100010 { +b10011110011000110001010011100010 A" +0h +0g +19" +1;" +b100 0" +1o +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#8896500 +b1101 5 +b1101 L +b1101 W +b1101 ' +b1101 D +1. +0% +#8897000 +1k +0o +1h +1;" +1:" +b10010 0" +08" +0l +1p +b11000101001100010101000101100010 { +b11000101001100010101000101100010 A" +0n +b1101 ~ +b1101 )" +b1101 ." +b10011110011000110001010011100010 / +b10011110011000110001010011100010 K +b10011110011000110001010011100010 e +b10011110011000110001010011100010 "" +0. +1% +#8897500 +b0 5 +b0 L +b0 W +04 +b1111010111001001110010101110000 2 +b1111010111001001110010101110000 I +b1111010111001001110010101110000 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b1111010111001001110010101110000 , +b1111010111001001110010101110000 H +b10110 + +b10110 G +1- +1. +0% +#8898000 +0] +1i +0\ +1>" +1@" +1g +09" +0:" +0;" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0m +0/" +b11000101001100010101000101100010 / +b11000101001100010101000101100010 K +b11000101001100010101000101100010 e +b11000101001100010101000101100010 "" +b1111010111001001110010101110000 &" +b1111010111001001110010101110000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8898010 +b1111010111001001110010101110000 Y" +#8898500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8899000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8899500 +b1011 5 +b1011 L +b1011 W +14 +b10101110110101100010101010011000 2 +b10101110110101100010101010011000 I +b10101110110101100010101010011000 Z +b1001 3 +b1001 J +b1001 Y +11 +b1011 ' +b1011 D +1) +b10101110110101100010101010011000 , +b10101110110101100010101010011000 H +b1001 + +b1001 G +1- +1. +0% +#8900000 +0] +0i +b10100100000010111011101010111001 { +b10100100000010111011101010111001 A" +0[ +1=" +1@" +0h +0g +19" +1;" +b10110 3" +1c +1_ +b10100 0" +1o +1k +1a +12" +1n +1m +1/" +b10101110110101100010101010011000 &" +b10101110110101100010101010011000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#8900010 +b10101110110101100010101010011000 L" +#8900500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8901000 +1] +1i +1[ +0=" +0@" +1h +1g +09" +0;" +b11111 3" +0c +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10100100000010111011101010111001 / +b10100100000010111011101010111001 K +b10100100000010111011101010111001 e +b10100100000010111011101010111001 "" +0. +1% +#8901500 +b10000 7 +b10000 N +b10000 V +16 +b10101000011001111101100011101010 2 +b10101000011001111101100011101010 I +b10101000011001111101100011101010 Z +b1 3 +b1 J +b1 Y +11 +b10000 & +b10000 C +1( +b10101000011001111101100011101010 , +b10101000011001111101100011101010 H +b1 + +b1 G +1- +1. +0% +#8902000 +b11000010101010011100001100011001 | +b11000010101010011100001100011001 B" +0[ +1@" +17" +b11110 3" +1_ +b1111 -" +0u +14" +1v +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000011001111101100011101010 &" +b10101000011001111101100011101010 ?" +b1 %" +b1 *" +b1 1" +1'" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#8902010 +b10101000011001111101100011101010 D" +#8902500 +b10100 5 +b10100 L +b10100 W +14 +b0 7 +b0 N +b0 V +06 +b1101110101001010011000100100101 2 +b1101110101001010011000100100101 I +b1101110101001010011000100100101 Z +b1111 3 +b1111 J +b1111 Y +b10100 ' +b10100 D +1) +b0 & +b0 C +0( +b1101110101001010011000100100101 , +b1101110101001010011000100100101 H +b1111 + +b1111 G +1. +0% +#8903000 +0] +0i +b11011001000101011001101011001011 { +b11011001000101011001101011001011 A" +0\ +1=" +1>" +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10000 3" +0^ +0c +1d +b1011 0" +1j +0k +18" +1l +b11111 -" +04" +0v +1b +1/" +0," +b1101110101001010011000100100101 &" +b1101110101001010011000100100101 ?" +b1111 %" +b1111 *" +b1111 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11000010101010011100001100011001 0 +b11000010101010011100001100011001 M +b11000010101010011100001100011001 f +b11000010101010011100001100011001 !" +0. +1% +#8903010 +b1101110101001010011000100100101 R" +#8903500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b111100001001010110101011010101 2 +b111100001001010110101011010101 I +b111100001001010110101011010101 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b111100001001010110101011010101 , +b111100001001010110101011010101 H +b1110 + +b1110 G +1. +0% +#8904000 +1i +0s +b101001110011100001100101001101 | +b101001110011100001100101001101 B" +1[ +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +17" +b10001 3" +b11111 0" +0j +08" +0l +b10111 -" +1y +1u +0a +0/" +1," +b11011001000101011001101011001011 / +b11011001000101011001101011001011 K +b11011001000101011001101011001011 e +b11011001000101011001101011001011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111100001001010110101011010101 &" +b111100001001010110101011010101 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#8904010 +b111100001001010110101011010101 Q" +#8904500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8905000 +1] +b11111000000011100111001011010010 { +b11111000000011100111001011010010 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +0=" +0>" +0@" +0g +1;" +05" +07" +b11111 3" +0d +0_ +b1110 0" +0k +18" +1l +b11111 -" +0y +0u +0b +02" +1m +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101001110011100001100101001101 0 +b101001110011100001100101001101 M +b101001110011100001100101001101 f +b101001110011100001100101001101 !" +0. +1% +#8905500 +b10110 5 +b10110 L +b10110 W +b11 7 +b11 N +b11 V +16 +b10110 ' +b10110 D +b11 & +b11 C +1( +1. +0% +#8906000 +0i +b1100010011011100101010101011011 | +b1100010011011100101010101011011 B" +0h +1g +1:" +0r +0q +17" +b1001 0" +1j +b1111010111001001110010101110000 { +b1111010111001001110010101110000 A" +b11100 -" +1u +1n +0m +1x +1w +1," +b11111000000011100111001011010010 / +b11111000000011100111001011010010 K +b11111000000011100111001011010010 e +b11111000000011100111001011010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10110 ~ +b10110 )" +b10110 ." +b11 } +b11 (" +b11 +" +1#" +0. +1% +#8906500 +b1100 5 +b1100 L +b1100 W +b1011 7 +b1011 N +b1011 V +b1100 ' +b1100 D +b1011 & +b1011 C +1. +0% +#8907000 +1k +0j +0s +b10100100000010111011101010111001 | +b10100100000010111011101010111001 B" +1h +1;" +19" +15" +b10011 0" +08" +0l +1p +b10000100110000011010110110010010 { +b10000100110000011010110110010010 A" +b10100 -" +1y +0n +b1100 ~ +b1100 )" +b1100 ." +b1011 } +b1011 (" +b1011 +" +b1111010111001001110010101110000 / +b1111010111001001110010101110000 K +b1111010111001001110010101110000 e +b1111010111001001110010101110000 "" +b1100010011011100101010101011011 0 +b1100010011011100101010101011011 M +b1100010011011100101010101011011 f +b1100010011011100101010101011011 !" +0. +1% +#8907500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b111000001000100101111010011 2 +b111000001000100101111010011 I +b111000001000100101111010011 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b111000001000100101111010011 , +b111000001000100101111010011 H +b10001 + +b10001 G +1- +1. +0% +#8908000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0[ +1@" +09" +0:" +0;" +1r +1q +05" +07" +b1110 3" +0_ +1<" +1` +b11111 0" +0p +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0/" +0x +0w +0," +b10000100110000011010110110010010 / +b10000100110000011010110110010010 K +b10000100110000011010110110010010 e +b10000100110000011010110110010010 "" +b10100100000010111011101010111001 0 +b10100100000010111011101010111001 M +b10100100000010111011101010111001 f +b10100100000010111011101010111001 !" +b111000001000100101111010011 &" +b111000001000100101111010011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8908010 +b111000001000100101111010011 T" +#8908500 +b10001 5 +b10001 L +b10001 W +14 +b1101101000010100100111111011101 2 +b1101101000010100100111111011101 I +b1101101000010100100111111011101 Z +b100 3 +b100 J +b100 Y +b10001 ' +b10001 D +1) +b1101101000010100100111111011101 , +b1101101000010100100111111011101 H +b100 + +b100 G +1. +0% +#8909000 +1_ +0] +b111000001000100101111010011 { +b111000001000100101111010011 A" +1[ +1@" +1>" +0g +1;" +b11011 3" +0<" +0` +1^ +b1110 0" +0k +18" +1l +0a +1m +1/" +b1101101000010100100111111011101 &" +b1101101000010100100111111011101 ?" +b100 %" +b100 *" +b100 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8909010 +b1101101000010100100111111011101 G" +#8909500 +b11111 5 +b11111 L +b11111 W +b11110 7 +b11110 N +b11110 V +16 +b100001010111101101011110111010 2 +b100001010111101101011110111010 I +b100001010111101101011110111010 Z +b10111 3 +b10111 J +b10111 Y +b11111 ' +b11111 D +b11110 & +b11110 C +1( +b100001010111101101011110111010 , +b100001010111101101011110111010 H +b10111 + +b10111 G +1. +0% +#8910000 +0_ +0i +0s +b11111110000000111011010000111011 | +b11111110000000111011010000111011 B" +0\ +0[ +0h +19" +1:" +0r +15" +16" +17" +b1000 3" +1<" +1` +b0 0" +0j +0o +1p +b10100100001110110010110000010000 { +b10100100001110110010110000010000 A" +b1 -" +0t +0y +1z +0u +14" +1v +1b +1a +1n +1x +1," +b111000001000100101111010011 / +b111000001000100101111010011 K +b111000001000100101111010011 e +b111000001000100101111010011 "" +b100001010111101101011110111010 &" +b100001010111101101011110111010 ?" +b10111 %" +b10111 *" +b10111 1" +b11111 ~ +b11111 )" +b11111 ." +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#8910010 +b100001010111101101011110111010 Z" +#8910500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8911000 +1] +1i +1y +1\ +1[ +0>" +0@" +1h +1g +09" +0:" +0;" +1r +15" +06" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +0z +b1010100001001010101000101001011 | +b1010100001001010101000101001011 B" +0b +0a +02" +0n +0m +0/" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +b10100100001110110010110000010000 / +b10100100001110110010110000010000 K +b10100100001110110010110000010000 e +b10100100001110110010110000010000 "" +b11111110000000111011010000111011 0 +b11111110000000111011010000111011 M +b11111110000000111011010000111011 f +b11111110000000111011010000111011 !" +0. +1% +#8911500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +1. +0% +#8912000 +0i +b111100001001010110101011010101 { +b111100001001010110101011010101 A" +1s +0h +19" +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10001 0" +0j +0o +1p +1k +b11111 -" +0y +04" +0v +1n +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010100001001010101000101001011 0 +b1010100001001010101000101001011 M +b1010100001001010101000101001011 f +b1010100001001010101000101001011 !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8912500 +b0 5 +b0 L +b0 W +04 +b1001 7 +b1001 N +b1001 V +16 +b0 ' +b0 D +0) +b1001 & +b1001 C +1( +1. +0% +#8913000 +1i +0s +b10101110110101100010101010011000 | +b10101110110101100010101010011000 B" +1h +09" +0:" +0;" +0q +15" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10110 -" +1y +1u +0n +0/" +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1001 } +b1001 (" +b1001 +" +1#" +b111100001001010110101011010101 / +b111100001001010110101011010101 K +b111100001001010110101011010101 e +b111100001001010110101011010101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8913500 +b0 7 +b0 N +b0 V +06 +b11011000101100001000001100100000 2 +b11011000101100001000001100100000 I +b11011000101100001000001100100000 Z +11 +b0 & +b0 C +0( +b11011000101100001000001100100000 , +b11011000101100001000001100100000 H +1- +1. +0% +#8914000 +1s +1@" +1q +05" +07" +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101110110101100010101010011000 0 +b10101110110101100010101010011000 M +b10101110110101100010101010011000 f +b10101110110101100010101010011000 !" +b11011000101100001000001100100000 &" +b11011000101100001000001100100000 ?" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8914010 +b11011000101100001000001100100000 C" +#8914500 +b1000 5 +b1000 L +b1000 W +14 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +01 +b1000 ' +b1000 D +1) +b1 & +b1 C +1( +b0 , +b0 H +0- +1. +0% +#8915000 +0i +b101001110011100001100101001101 { +b101001110011100001100101001101 A" +b10101000011001111101100011101010 | +b10101000011001111101100011101010 B" +0@" +19" +1;" +0q +17" +0_ +b10111 0" +1o +1k +b11110 -" +1u +02" +1/" +1w +1," +b0 &" +b0 ?" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8915500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b11011110011101111100001110001100 2 +b11011110011101111100001110001100 I +b11011110011101111100001110001100 Z +b1100 3 +b1100 J +b1100 Y +11 +b1011 ' +b1011 D +b0 & +b0 C +0( +b11011110011101111100001110001100 , +b11011110011101111100001110001100 H +b1100 + +b1100 G +1- +1. +0% +#8916000 +0] +1=" +1>" +1@" +0h +0g +1q +07" +b10011 3" +0^ +0c +1d +1_ +b10100 0" +b10100100000010111011101010111001 { +b10100100000010111011101010111001 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +1m +0w +0," +b101001110011100001100101001101 / +b101001110011100001100101001101 K +b101001110011100001100101001101 e +b101001110011100001100101001101 "" +b10101000011001111101100011101010 0 +b10101000011001111101100011101010 M +b10101000011001111101100011101010 f +b10101000011001111101100011101010 !" +b11011110011101111100001110001100 &" +b11011110011101111100001110001100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8916010 +b11011110011101111100001110001100 O" +#8916500 +b110 5 +b110 L +b110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8917000 +1:" +1] +1j +0=" +0>" +0@" +1g +09" +b11111 3" +0d +0_ +b11001 0" +0o +b1000101110001001000110011000010 { +b1000101110001001000110011000010 A" +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b10100100000010111011101010111001 / +b10100100000010111011101010111001 K +b10100100000010111011101010111001 e +b10100100000010111011101010111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8917500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +1. +0% +#8918000 +1i +0s +b100101000101011111110101101000 | +b100101000101011111110101101000 B" +1h +0:" +0;" +0q +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1010 -" +1t +0u +14" +1v +0n +0/" +1w +1," +b1000101110001001000110011000010 / +b1000101110001001000110011000010 K +b1000101110001001000110011000010 e +b1000101110001001000110011000010 "" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#8918500 +b1010 7 +b1010 N +b1010 V +b1010 & +b1010 C +1. +0% +#8919000 +15" +1u +1y +0r +1q +17" +06" +b10101 -" +04" +0v +0t +b10111010100111001000111000000010 | +b10111010100111001000111000000010 B" +1x +0w +b1010 } +b1010 (" +b1010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100101000101011111110101101000 0 +b100101000101011111110101101000 M +b100101000101011111110101101000 f +b100101000101011111110101101000 !" +0. +1% +#8919500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8920000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b10111010100111001000111000000010 0 +b10111010100111001000111000000010 M +b10111010100111001000111000000010 f +b10111010100111001000111000000010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8920500 +b1 5 +b1 L +b1 W +14 +b1010 7 +b1010 N +b1010 V +16 +b11101011100111000110101010101011 2 +b11101011100111000110101010101011 I +b11101011100111000110101010101011 Z +b1110 3 +b1110 J +b1110 Y +11 +b1 ' +b1 D +1) +b1010 & +b1010 C +1( +b11101011100111000110101010101011 , +b11101011100111000110101010101011 H +b1110 + +b1110 G +1- +1. +0% +#8921000 +0] +b10101000011001111101100011101010 { +b10101000011001111101100011101010 A" +0s +b10111010100111001000111000000010 | +b10111010100111001000111000000010 B" +0\ +1=" +1>" +1@" +0g +1;" +0r +15" +17" +b10001 3" +0^ +0c +1d +1_ +b11110 0" +1k +b10101 -" +1y +1u +1b +12" +1m +1/" +1x +1," +b11101011100111000110101010101011 &" +b11101011100111000110101010101011 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8921010 +b11101011100111000110101010101011 Q" +#8921500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8922000 +1] +1s +1\ +0=" +0>" +0@" +1g +0;" +1r +05" +07" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0m +0/" +0x +0," +b10101000011001111101100011101010 / +b10101000011001111101100011101010 K +b10101000011001111101100011101010 e +b10101000011001111101100011101010 "" +b10111010100111001000111000000010 0 +b10111010100111001000111000000010 M +b10111010100111001000111000000010 f +b10111010100111001000111000000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8922500 +1. +0% +#8923000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8923500 +b10110 7 +b10110 N +b10110 V +16 +b11001111110011100110010111000000 2 +b11001111110011100110010111000000 I +b11001111110011100110010111000000 Z +b11101 3 +b11101 J +b11101 Y +11 +b10110 & +b10110 C +1( +b11001111110011100110010111000000 , +b11001111110011100110010111000000 H +b11101 + +b11101 G +1- +1. +0% +#8924000 +0] +0s +b1111010111001001110010101110000 | +b1111010111001001110010101110000 B" +0[ +1=" +1>" +1@" +0r +16" +17" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b1001 -" +1t +0u +14" +1v +1a +12" +1x +1," +b11001111110011100110010111000000 &" +b11001111110011100110010111000000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#8924010 +b11001111110011100110010111000000 `" +#8924500 +b0 7 +b0 N +b0 V +06 +b1010011101011001000100000100100 2 +b1010011101011001000100000100100 I +b1010011101011001000100000100100 Z +b11011 3 +b11011 J +b11011 Y +b0 & +b0 C +0( +b1010011101011001000100000100100 , +b1010011101011001000100000100100 H +b11011 + +b11011 G +1. +0% +#8925000 +1c +1s +0\ +1=" +0>" +1r +06" +07" +b100 3" +0d +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0x +0," +b1010011101011001000100000100100 &" +b1010011101011001000100000100100 ?" +b11011 %" +b11011 *" +b11011 1" +b0 } +b0 (" +b0 +" +0#" +b1111010111001001110010101110000 0 +b1111010111001001110010101110000 M +b1111010111001001110010101110000 f +b1111010111001001110010101110000 !" +0. +1% +#8925010 +b1010011101011001000100000100100 ^" +#8925500 +b11101000111111101101001011001000 2 +b11101000111111101101001011001000 I +b11101000111111101101001011001000 Z +b1011 3 +b1011 J +b1011 Y +b11101000111111101101001011001000 , +b11101000111111101101001011001000 H +b1011 + +b1011 G +1. +0% +#8926000 +1_ +1@" +b10100 3" +0<" +0` +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101000111111101101001011001000 &" +b11101000111111101101001011001000 ?" +b1011 %" +b1011 *" +b1011 1" +0. +1% +#8926010 +b11101000111111101101001011001000 N" +#8926500 +b10001 5 +b10001 L +b10001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8927000 +1] +b111000001000100101111010011 { +b111000001000100101111010011 A" +1\ +1[ +0=" +0@" +0g +1;" +b11111 3" +0c +0_ +b1110 0" +0k +18" +1l +0b +0a +02" +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#8927500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b11011011011100101001111111000 2 +b11011011011100101001111111000 I +b11011011011100101001111111000 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +b11011011011100101001111111000 , +b11011011011100101001111111000 H +b10110 + +b10110 G +1- +1. +0% +#8928000 +0] +0s +b10100100001110110010110000010000 | +b10100100001110110010110000010000 B" +0\ +1>" +1@" +1g +0;" +0r +0q +15" +16" +17" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +0t +0y +1z +0u +14" +1v +1b +12" +0m +0/" +1x +1w +1," +b111000001000100101111010011 / +b111000001000100101111010011 K +b111000001000100101111010011 e +b111000001000100101111010011 "" +b11011011011100101001111111000 &" +b11011011011100101001111111000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#8928010 +b11011011011100101001111111000 Y" +#8928500 +b11101 7 +b11101 N +b11101 V +b10010010011000011001011101000010 2 +b10010010011000011001011101000010 I +b10010010011000011001011101000010 Z +b1001 3 +b1001 J +b1001 Y +b11101 & +b11101 C +b10010010011000011001011101000010 , +b10010010011000011001011101000010 H +b1001 + +b1001 G +1. +0% +#8929000 +1=" +1_ +1c +1\ +0[ +1@" +0>" +1r +b10110 3" +0<" +0` +0^ +b10 -" +b11001111110011100110010111000000 | +b11001111110011100110010111000000 B" +0b +1a +0x +b10010010011000011001011101000010 &" +b10010010011000011001011101000010 ?" +b1001 %" +b1001 *" +b1001 1" +b11101 } +b11101 (" +b11101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100100001110110010110000010000 0 +b10100100001110110010110000010000 M +b10100100001110110010110000010000 f +b10100100001110110010110000010000 !" +0. +1% +#8929010 +b10010010011000011001011101000010 L" +#8929500 +b1110 5 +b1110 L +b1110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8930000 +1] +0i +b11101011100111000110101010101011 { +b11101011100111000110101010101011 A" +1s +1[ +0=" +0@" +0h +19" +1:" +1;" +1q +05" +06" +07" +b11111 3" +0c +0_ +b10001 0" +0j +0o +1p +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +1/" +0w +0," +b11001111110011100110010111000000 0 +b11001111110011100110010111000000 M +b11001111110011100110010111000000 f +b11001111110011100110010111000000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8930500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8931000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11101011100111000110101010101011 / +b11101011100111000110101010101011 K +b11101011100111000110101010101011 e +b11101011100111000110101010101011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8931500 +b10001010011100001010110111111000 2 +b10001010011100001010110111111000 I +b10001010011100001010110111111000 Z +b10110 3 +b10110 J +b10110 Y +11 +b10001010011100001010110111111000 , +b10001010011100001010110111111000 H +b10110 + +b10110 G +1- +1. +0% +#8932000 +0] +0\ +1>" +1@" +b1001 3" +1^ +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001010011100001010110111111000 &" +b10001010011100001010110111111000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +0. +1% +#8932010 +b10001010011100001010110111111000 Y" +#8932500 +b10100 5 +b10100 L +b10100 W +14 +b101 7 +b101 N +b101 V +16 +b11000100010001111100011001010000 2 +b11000100010001111100011001010000 I +b11000100010001111100011001010000 Z +b1111 3 +b1111 J +b1111 Y +b10100 ' +b10100 D +1) +b101 & +b101 C +1( +b11000100010001111100011001010000 , +b11000100010001111100011001010000 H +b1111 + +b1111 G +1. +0% +#8933000 +1_ +0^ +0i +b11011001000101011001101011001011 { +b11011001000101011001101011001011 A" +0s +b100010010100100111011011001 | +b100010010100100111011011001 B" +0[ +1@" +1=" +1:" +1;" +0q +16" +17" +b10000 3" +0<" +0` +1d +b1011 0" +1j +0k +18" +1l +b11010 -" +1t +1u +1a +1/" +1w +1," +b11000100010001111100011001010000 &" +b11000100010001111100011001010000 ?" +b1111 %" +b1111 *" +b1111 1" +b10100 ~ +b10100 )" +b10100 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#8933010 +b11000100010001111100011001010000 R" +#8933500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10110001010000011101110011110100 2 +b10110001010000011101110011110100 I +b10110001010000011101110011110100 Z +b1010 3 +b1010 J +b1010 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10110001010000011101110011110100 , +b10110001010000011101110011110100 H +b1010 + +b1010 G +1. +0% +#8934000 +1c +1i +1s +1[ +1=" +0>" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +06" +07" +b10101 3" +0d +b11111 0" +0j +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0/" +0w +0," +b11011001000101011001101011001011 / +b11011001000101011001101011001011 K +b11011001000101011001101011001011 e +b11011001000101011001101011001011 "" +b100010010100100111011011001 0 +b100010010100100111011011001 M +b100010010100100111011011001 f +b100010010100100111011011001 !" +b10110001010000011101110011110100 &" +b10110001010000011101110011110100 ?" +b1010 %" +b1010 *" +b1010 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8934010 +b10110001010000011101110011110100 M" +#8934500 +b11000 7 +b11000 N +b11000 V +16 +b11111000101111110111100001110000 2 +b11111000101111110111100001110000 I +b11111000101111110111100001110000 Z +b1101 3 +b1101 J +b1101 Y +b11000 & +b11000 C +1( +b11111000101111110111100001110000 , +b11111000101111110111100001110000 H +b1101 + +b1101 G +1. +0% +#8935000 +0c +0s +b1010100001001010101000101001011 | +b1010100001001010101000101001011 B" +1\ +0[ +1>" +15" +17" +b10010 3" +1d +b111 -" +1y +0u +14" +1v +0b +1a +1," +b11111000101111110111100001110000 &" +b11111000101111110111100001110000 ?" +b1101 %" +b1101 *" +b1101 1" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8935010 +b11111000101111110111100001110000 P" +#8935500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8936000 +1] +0i +b11111110000000111011010000111011 { +b11111110000000111011010000111011 A" +1s +1[ +0=" +0>" +0@" +0h +19" +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +04" +0v +0a +02" +1n +1/" +0," +b1010100001001010101000101001011 0 +b1010100001001010101000101001011 M +b1010100001001010101000101001011 f +b1010100001001010101000101001011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8936500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8937000 +1i +1h +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11111110000000111011010000111011 / +b11111110000000111011010000111011 K +b11111110000000111011010000111011 e +b11111110000000111011010000111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8937500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#8938000 +0i +b10110001010000011101110011110100 { +b10110001010000011101110011110100 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#8938500 +b1000 5 +b1000 L +b1000 W +b1000 ' +b1000 D +1. +0% +#8939000 +1h +b10111 0" +b101001110011100001100101001101 { +b101001110011100001100101001101 A" +0n +b1000 ~ +b1000 )" +b1000 ." +b10110001010000011101110011110100 / +b10110001010000011101110011110100 K +b10110001010000011101110011110100 e +b10110001010000011101110011110100 "" +0. +1% +#8939500 +b10001 5 +b10001 L +b10001 W +b1010 7 +b1010 N +b1010 V +16 +b10001 ' +b10001 D +b1010 & +b1010 C +1( +1. +0% +#8940000 +0k +1i +0s +b10110001010000011101110011110100 | +b10110001010000011101110011110100 B" +0g +09" +0r +15" +17" +b1110 0" +18" +1l +0o +b111000001000100101111010011 { +b111000001000100101111010011 A" +b10101 -" +1y +1u +1m +1x +1," +b101001110011100001100101001101 / +b101001110011100001100101001101 K +b101001110011100001100101001101 e +b101001110011100001100101001101 "" +b10001 ~ +b10001 )" +b10001 ." +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#8940500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b10011000001000001100100000 2 +b10011000001000001100100000 I +b10011000001000001100100000 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b110 & +b110 C +b10011000001000001100100000 , +b10011000001000001100100000 H +b10111 + +b10111 G +1- +1. +0% +#8941000 +16" +0] +1t +b1000101110001001000110011000010 | +b1000101110001001000110011000010 B" +0\ +0[ +1>" +1@" +1g +0;" +05" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +0y +1b +1a +12" +0m +0/" +b10011000001000001100100000 &" +b10011000001000001100100000 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +b111000001000100101111010011 / +b111000001000100101111010011 K +b111000001000100101111010011 e +b111000001000100101111010011 "" +b10110001010000011101110011110100 0 +b10110001010000011101110011110100 M +b10110001010000011101110011110100 f +b10110001010000011101110011110100 !" +0. +1% +#8941010 +b10011000001000001100100000 Z" +#8941500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8942000 +1] +0i +b100101000101011111110101101000 { +b100101000101011111110101101000 A" +1s +1\ +1[ +0>" +0@" +0g +1:" +1;" +1r +06" +07" +b11111 3" +0^ +0<" +0` +b1010 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000101110001001000110011000010 0 +b1000101110001001000110011000010 M +b1000101110001001000110011000010 f +b1000101110001001000110011000010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8942500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8943000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b100101000101011111110101101000 / +b100101000101011111110101101000 K +b100101000101011111110101101000 e +b100101000101011111110101101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8943500 +b10000100000110000100100101101100 2 +b10000100000110000100100101101100 I +b10000100000110000100100101101100 Z +b11101 3 +b11101 J +b11101 Y +11 +b10000100000110000100100101101100 , +b10000100000110000100100101101100 H +b11101 + +b11101 G +1- +1. +0% +#8944000 +0] +0[ +1=" +1>" +1@" +b10 3" +0^ +0c +1d +0_ +1<" +1` +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000100000110000100100101101100 &" +b10000100000110000100100101101100 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +0. +1% +#8944010 +b10000100000110000100100101101100 `" +#8944500 +b10 5 +b10 L +b10 W +14 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8945000 +1] +b10110000010011010110011001000010 { +b10110000010011010110011001000010 A" +0s +b10000100000110000100100101101100 | +b10000100000110000100100101101100 B" +1[ +0=" +0>" +0@" +0h +1;" +0q +15" +16" +17" +b11111 3" +0d +0<" +0` +b11101 0" +1k +b10 -" +0t +0y +1z +0u +14" +1v +0a +02" +1n +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#8945500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1000000101000110001001000000000 2 +b1000000101000110001001000000000 I +b1000000101000110001001000000000 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1000000101000110001001000000000 , +b1000000101000110001001000000000 H +b11011 + +b11011 G +1- +1. +0% +#8946000 +0] +1s +0\ +0[ +1=" +1@" +1h +0;" +1q +05" +06" +07" +b100 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0n +0/" +0w +0," +b10110000010011010110011001000010 / +b10110000010011010110011001000010 K +b10110000010011010110011001000010 e +b10110000010011010110011001000010 "" +b10000100000110000100100101101100 0 +b10000100000110000100100101101100 M +b10000100000110000100100101101100 f +b10000100000110000100100101101100 !" +b1000000101000110001001000000000 &" +b1000000101000110001001000000000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8946010 +b1000000101000110001001000000000 ^" +#8946500 +b1111001111000111010111111100110 2 +b1111001111000111010111111100110 I +b1111001111000111010111111100110 Z +b1000 3 +b1000 J +b1000 Y +b1111001111000111010111111100110 , +b1111001111000111010111111100110 H +b1000 + +b1000 G +1. +0% +#8947000 +1_ +1\ +1[ +1@" +b10111 3" +0<" +0` +0b +0a +b1111001111000111010111111100110 &" +b1111001111000111010111111100110 ?" +b1000 %" +b1000 *" +b1000 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8947010 +b1111001111000111010111111100110 K" +#8947500 +b1100000001011010111010000010010 2 +b1100000001011010111010000010010 I +b1100000001011010111010000010010 Z +b1011 3 +b1011 J +b1011 Y +b1100000001011010111010000010010 , +b1100000001011010111010000010010 H +b1011 + +b1011 G +1. +0% +#8948000 +0\ +0[ +b10100 3" +1b +1a +b1100000001011010111010000010010 &" +b1100000001011010111010000010010 ?" +b1011 %" +b1011 *" +b1011 1" +0. +1% +#8948010 +b1100000001011010111010000010010 N" +#8948500 +b10100 5 +b10100 L +b10100 W +14 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8949000 +1] +0i +b11011001000101011001101011001011 { +b11011001000101011001101011001011 A" +0s +b11101011100111000110101010101011 | +b11101011100111000110101010101011 B" +1\ +1[ +0=" +0@" +1:" +1;" +0r +15" +16" +17" +b11111 3" +0c +0_ +b1011 0" +1j +0k +18" +1l +b10001 -" +0t +0y +1z +1u +0b +0a +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#8949500 +b0 5 +b0 L +b0 W +04 +b11101111011011111000111101001010 2 +b11101111011011111000111101001010 I +b11101111011011111000111101001010 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b11101111011011111000111101001010 , +b11101111011011111000111101001010 H +b1100 + +b1100 G +1- +1. +0% +#8950000 +0] +1i +1=" +1>" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0j +08" +0l +12" +0/" +b11011001000101011001101011001011 / +b11011001000101011001101011001011 K +b11011001000101011001101011001011 e +b11011001000101011001101011001011 "" +b11101011100111000110101010101011 0 +b11101011100111000110101010101011 M +b11101011100111000110101010101011 f +b11101011100111000110101010101011 !" +b11101111011011111000111101001010 &" +b11101111011011111000111101001010 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8950010 +b11101111011011111000111101001010 O" +#8950500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8951000 +1] +0=" +0>" +0@" +b11111 3" +0d +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8951500 +b11100 5 +b11100 L +b11100 W +14 +b11 7 +b11 N +b11 V +b11110011101110110001100100101010 2 +b11110011101110110001100100101010 I +b11110011101110110001100100101010 Z +b11000 3 +b11000 J +b11000 Y +11 +b11100 ' +b11100 D +1) +b11 & +b11 C +b11110011101110110001100100101010 , +b11110011101110110001100100101010 H +b11000 + +b11000 G +1- +1. +0% +#8952000 +0] +0i +b10111100110001101100000101011110 { +b10111100110001101100000101011110 A" +1s +1=" +1@" +19" +1:" +1;" +0q +05" +06" +b111 3" +1c +0_ +1<" +1` +b11 0" +0j +0o +1p +0k +18" +1l +b11100 -" +0z +b1100010011011100101010101011011 | +b1100010011011100101010101011011 B" +12" +1/" +1w +b11110011101110110001100100101010 &" +b11110011101110110001100100101010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11 } +b11 (" +b11 +" +0. +1% +#8952010 +b11110011101110110001100100101010 [" +#8952500 +b1010 5 +b1010 L +b1010 W +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8953000 +1] +1k +1o +0=" +0@" +0h +1;" +19" +0:" +1q +b11111 3" +0c +0<" +0` +b10101 0" +08" +0l +0p +b10110001010000011101110011110100 { +b10110001010000011101110011110100 A" +b11101 -" +b10110000010011010110011001000010 | +b10110000010011010110011001000010 B" +02" +1n +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +b10 } +b10 (" +b10 +" +b10111100110001101100000101011110 / +b10111100110001101100000101011110 K +b10111100110001101100000101011110 e +b10111100110001101100000101011110 "" +b1100010011011100101010101011011 0 +b1100010011011100101010101011011 M +b1100010011011100101010101011011 f +b1100010011011100101010101011011 !" +0. +1% +#8953500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b11100001101101010110000111011001 2 +b11100001101101010110000111011001 I +b11100001101101010110000111011001 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +0) +b1110 & +b1110 C +b11100001101101010110000111011001 , +b11100001101101010110000111011001 H +b11010 + +b11010 G +1- +1. +0% +#8954000 +0] +1i +0s +b11101011100111000110101010101011 | +b11101011100111000110101010101011 B" +0\ +1=" +1@" +1h +09" +0;" +15" +16" +b101 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +0t +0y +1z +1b +12" +0n +0/" +b10110001010000011101110011110100 / +b10110001010000011101110011110100 K +b10110001010000011101110011110100 e +b10110001010000011101110011110100 "" +b10110000010011010110011001000010 0 +b10110000010011010110011001000010 M +b10110000010011010110011001000010 f +b10110000010011010110011001000010 !" +b11100001101101010110000111011001 &" +b11100001101101010110000111011001 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#8954010 +b11100001101101010110000111011001 ]" +#8954500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8955000 +1] +0i +b10011000001000001100100000 { +b10011000001000001100100000 A" +1s +1\ +0=" +0@" +0h +0g +1:" +1;" +1r +05" +06" +07" +b11111 3" +0c +0<" +0` +b1000 0" +1j +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1n +1m +1/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011100111000110101010101011 0 +b11101011100111000110101010101011 M +b11101011100111000110101010101011 f +b11101011100111000110101010101011 !" +0. +1% +#8955500 +b11011 5 +b11011 L +b11011 W +b10000 7 +b10000 N +b10000 V +16 +b10101000011111100010000111111011 2 +b10101000011111100010000111111011 I +b10101000011111100010000111111011 Z +b1 3 +b1 J +b1 Y +11 +b11011 ' +b11011 D +b10000 & +b10000 C +1( +b10101000011111100010000111111011 , +b10101000011111100010000111111011 H +b1 + +b1 G +1- +1. +0% +#8956000 +19" +1o +b1000000101000110001001000000000 { +b1000000101000110001001000000000 A" +b11000010101010011100001100011001 | +b11000010101010011100001100011001 B" +0[ +1@" +0:" +17" +b11110 3" +1_ +b100 0" +0j +b1111 -" +0u +14" +1v +1a +12" +1," +b10011000001000001100100000 / +b10011000001000001100100000 K +b10011000001000001100100000 e +b10011000001000001100100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101000011111100010000111111011 &" +b10101000011111100010000111111011 ?" +b1 %" +b1 *" +b1 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#8956010 +b10101000011111100010000111111011 D" +#8956500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b1001010111100001111110000100001 2 +b1001010111100001111110000100001 I +b1001010111100001111110000100001 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b11011 & +b11011 C +b1001010111100001111110000100001 , +b1001010111100001111110000100001 H +b11001 + +b11001 G +1. +0% +#8957000 +0_ +0] +1i +0s +1=" +1h +1g +09" +0;" +0r +0q +15" +b110 3" +1<" +1` +1c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b100 -" +1y +b1000000101000110001001000000000 | +b1000000101000110001001000000000 B" +0n +0m +0/" +1x +1w +b1001010111100001111110000100001 &" +b1001010111100001111110000100001 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +b1000000101000110001001000000000 / +b1000000101000110001001000000000 K +b1000000101000110001001000000000 e +b1000000101000110001001000000000 "" +b11000010101010011100001100011001 0 +b11000010101010011100001100011001 M +b11000010101010011100001100011001 f +b11000010101010011100001100011001 !" +0. +1% +#8957010 +b1001010111100001111110000100001 \" +#8957500 +b0 7 +b0 N +b0 V +06 +b1101110000110101010111001011010 2 +b1101110000110101010111001011010 I +b1101110000110101010111001011010 Z +b100 3 +b100 J +b100 Y +b0 & +b0 C +0( +b1101110000110101010111001011010 , +b1101110000110101010111001011010 H +b100 + +b100 G +1. +0% +#8958000 +1>" +1_ +1^ +1s +1[ +1@" +0=" +1r +1q +05" +07" +b11011 3" +0<" +0` +0c +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000000101000110001001000000000 0 +b1000000101000110001001000000000 M +b1000000101000110001001000000000 f +b1000000101000110001001000000000 !" +b1101110000110101010111001011010 &" +b1101110000110101010111001011010 ?" +b100 %" +b100 *" +b100 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8958010 +b1101110000110101010111001011010 G" +#8958500 +b10 5 +b10 L +b10 W +14 +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8959000 +1] +b10110000010011010110011001000010 { +b10110000010011010110011001000010 A" +b10101000011111100010000111111011 | +b10101000011111100010000111111011 B" +0>" +0@" +0h +1;" +0q +17" +b11111 3" +0^ +0_ +b11101 0" +1k +b11110 -" +1u +02" +1n +1/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8959500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11110110111001111000110010111 2 +b11110110111001111000110010111 I +b11110110111001111000110010111 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11110110111001111000110010111 , +b11110110111001111000110010111 H +b111 + +b111 G +1- +1. +0% +#8960000 +0] +0\ +0[ +1>" +1@" +1h +0;" +1q +07" +b11000 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0n +0/" +0w +0," +b10110000010011010110011001000010 / +b10110000010011010110011001000010 K +b10110000010011010110011001000010 e +b10110000010011010110011001000010 "" +b10101000011111100010000111111011 0 +b10101000011111100010000111111011 M +b10101000011111100010000111111011 f +b10101000011111100010000111111011 !" +b11110110111001111000110010111 &" +b11110110111001111000110010111 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8960010 +b11110110111001111000110010111 J" +#8960500 +b10110 5 +b10110 L +b10110 W +14 +b10011101100000100100000110100010 2 +b10011101100000100100000110100010 I +b10011101100000100100000110100010 Z +b10111 3 +b10111 J +b10111 Y +b10110 ' +b10110 D +1) +b10011101100000100100000110100010 , +b10011101100000100100000110100010 H +b10111 + +b10111 G +1. +0% +#8961000 +0_ +0i +b10001010011100001010110111111000 { +b10001010011100001010110111111000 A" +0h +1:" +1;" +b1000 3" +1<" +1` +b1001 0" +1j +0k +18" +1l +1n +1/" +b10011101100000100100000110100010 &" +b10011101100000100100000110100010 ?" +b10111 %" +b10111 *" +b10111 1" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8961010 +b10011101100000100100000110100010 Z" +#8961500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b111100100101110011010110100100 2 +b111100100101110011010110100100 I +b111100100101110011010110100100 Z +b100 3 +b100 J +b100 Y +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +b111100100101110011010110100100 , +b111100100101110011010110100100 H +b100 + +b100 G +1. +0% +#8962000 +1_ +1i +0s +b11000100010001111100011001010000 | +b11000100010001111100011001010000 B" +1\ +1[ +1@" +1h +0:" +0;" +0r +0q +15" +16" +17" +b11011 3" +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10000 -" +0t +0y +1z +1u +0b +0a +0n +0/" +1x +1w +1," +b10001010011100001010110111111000 / +b10001010011100001010110111111000 K +b10001010011100001010110111111000 e +b10001010011100001010110111111000 "" +b111100100101110011010110100100 &" +b111100100101110011010110100100 ?" +b100 %" +b100 *" +b100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#8962010 +b111100100101110011010110100100 G" +#8962500 +b111 7 +b111 N +b111 V +b11001001010010111110011101111111 2 +b11001001010010111110011101111111 I +b11001001010010111110011101111111 Z +b10101 3 +b10101 J +b10101 Y +b111 & +b111 C +b11001001010010111110011101111111 , +b11001001010010111110011101111111 H +b10101 + +b10101 G +1. +0% +#8963000 +0_ +1t +b11110110111001111000110010111 | +b11110110111001111000110010111 B" +0[ +05" +16" +b1010 3" +1<" +1` +b11000 -" +0z +1a +b11001001010010111110011101111111 &" +b11001001010010111110011101111111 ?" +b10101 %" +b10101 *" +b10101 1" +b111 } +b111 (" +b111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000100010001111100011001010000 0 +b11000100010001111100011001010000 M +b11000100010001111100011001010000 f +b11000100010001111100011001010000 !" +0. +1% +#8963010 +b11001001010010111110011101111111 X" +#8963500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8964000 +1] +1s +1[ +0>" +0@" +1r +1q +06" +07" +b11111 3" +0^ +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0w +0," +b11110110111001111000110010111 0 +b11110110111001111000110010111 M +b11110110111001111000110010111 f +b11110110111001111000110010111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8964500 +b11100 5 +b11100 L +b11100 W +14 +b11011 7 +b11011 N +b11011 V +16 +b10000001110100000000011101101000 2 +b10000001110100000000011101101000 I +b10000001110100000000011101101000 Z +b101 3 +b101 J +b101 Y +11 +b11100 ' +b11100 D +1) +b11011 & +b11011 C +1( +b10000001110100000000011101101000 , +b10000001110100000000011101101000 H +b101 + +b101 G +1- +1. +0% +#8965000 +0] +0i +b10111100110001101100000101011110 { +b10111100110001101100000101011110 A" +0s +b1000000101000110001001000000000 | +b1000000101000110001001000000000 B" +0[ +1>" +1@" +19" +1:" +1;" +0r +0q +15" +17" +b11010 3" +1^ +1_ +b11 0" +0j +0o +1p +0k +18" +1l +b100 -" +1y +0u +14" +1v +1a +12" +1/" +1x +1w +1," +b10000001110100000000011101101000 &" +b10000001110100000000011101101000 ?" +b101 %" +b101 *" +b101 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8965010 +b10000001110100000000011101101000 H" +#8965500 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8966000 +1] +1u +1[ +0>" +0@" +1r +17" +b11111 3" +0^ +0_ +b10110 -" +04" +0v +b10010010011000011001011101000010 | +b10010010011000011001011101000010 B" +0a +02" +0x +b10111100110001101100000101011110 / +b10111100110001101100000101011110 K +b10111100110001101100000101011110 e +b10111100110001101100000101011110 "" +b1000000101000110001001000000000 0 +b1000000101000110001001000000000 M +b1000000101000110001001000000000 f +b1000000101000110001001000000000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +0. +1% +#8966500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b1101010000010001100100001001 2 +b1101010000010001100100001001 I +b1101010000010001100100001001 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +b1101010000010001100100001001 , +b1101010000010001100100001001 H +b10100 + +b10100 G +1- +1. +0% +#8967000 +0] +1i +1s +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0p +08" +0l +b11111 -" +0y +b11011000101100001000001100100000 | +b11011000101100001000001100100000 B" +12" +0/" +0w +b1101010000010001100100001001 &" +b1101010000010001100100001001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +b10010010011000011001011101000010 0 +b10010010011000011001011101000010 M +b10010010011000011001011101000010 f +b10010010011000011001011101000010 !" +0. +1% +#8967010 +b1101010000010001100100001001 W" +#8967500 +b10 7 +b10 N +b10 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8968000 +1] +0>" +0@" +0r +b11111 3" +0^ +0<" +0` +b11101 -" +b10110000010011010110011001000010 | +b10110000010011010110011001000010 B" +02" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011000101100001000001100100000 0 +b11011000101100001000001100100000 M +b11011000101100001000001100100000 f +b11011000101100001000001100100000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +0. +1% +#8968500 +b0 7 +b0 N +b0 V +06 +b1000101011110100010101001111 2 +b1000101011110100010101001111 I +b1000101011110100010101001111 Z +b111 3 +b111 J +b111 Y +11 +b0 & +b0 C +0( +b1000101011110100010101001111 , +b1000101011110100010101001111 H +b111 + +b111 G +1- +1. +0% +#8969000 +0] +0\ +0[ +1>" +1@" +1r +07" +b11000 3" +1^ +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0," +b1000101011110100010101001111 &" +b1000101011110100010101001111 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10110000010011010110011001000010 0 +b10110000010011010110011001000010 M +b10110000010011010110011001000010 f +b10110000010011010110011001000010 !" +0. +1% +#8969010 +b1000101011110100010101001111 J" +#8969500 +b1001 5 +b1001 L +b1001 W +14 +b10 7 +b10 N +b10 V +16 +b11000100011100100101010101101100 2 +b11000100011100100101010101101100 I +b11000100011100100101010101101100 Z +b10110 3 +b10110 J +b10110 Y +b1001 ' +b1001 D +1) +b10 & +b10 C +1( +b11000100011100100101010101101100 , +b11000100011100100101010101101100 H +b10110 + +b10110 G +1. +0% +#8970000 +0_ +0i +b10010010011000011001011101000010 { +b10010010011000011001011101000010 A" +b10110000010011010110011001000010 | +b10110000010011010110011001000010 B" +1[ +0g +19" +1;" +0r +17" +b1001 3" +1<" +1` +b10110 0" +1o +1k +b11101 -" +1u +0a +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000100011100100101010101101100 &" +b11000100011100100101010101101100 ?" +b10110 %" +b10110 *" +b10110 1" +b1001 ~ +b1001 )" +b1001 ." +1$" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#8970010 +b11000100011100100101010101101100 Y" +#8970500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8971000 +1] +1i +1\ +0>" +0@" +1g +09" +0;" +1r +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0m +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10010010011000011001011101000010 / +b10010010011000011001011101000010 K +b10010010011000011001011101000010 e +b10010010011000011001011101000010 "" +b10110000010011010110011001000010 0 +b10110000010011010110011001000010 M +b10110000010011010110011001000010 f +b10110000010011010110011001000010 !" +0. +1% +#8971500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#8972000 +0i +b11101011100111000110101010101011 { +b11101011100111000110101010101011 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#8972500 +b1101 5 +b1101 L +b1101 W +b1101 ' +b1101 D +1. +0% +#8973000 +1h +0g +b10010 0" +b11111000101111110111100001110000 { +b11111000101111110111100001110000 A" +0n +1m +b1101 ~ +b1101 )" +b1101 ." +b11101011100111000110101010101011 / +b11101011100111000110101010101011 K +b11101011100111000110101010101011 e +b11101011100111000110101010101011 "" +0. +1% +#8973500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#8974000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b11111000101111110111100001110000 / +b11111000101111110111100001110000 K +b11111000101111110111100001110000 e +b11111000101111110111100001110000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8974500 +b10110 7 +b10110 N +b10110 V +16 +b10110 & +b10110 C +1( +1. +0% +#8975000 +0s +b11000100011100100101010101101100 | +b11000100011100100101010101101100 B" +0r +16" +17" +b1001 -" +1t +0u +14" +1v +1x +1," +b10110 } +b10110 (" +b10110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8975500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#8976000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b11000100011100100101010101101100 0 +b11000100011100100101010101101100 M +b11000100011100100101010101101100 f +b11000100011100100101010101101100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8976500 +b11010 7 +b11010 N +b11010 V +16 +b11010 & +b11010 C +1( +1. +0% +#8977000 +0s +b11100001101101010110000111011001 | +b11100001101101010110000111011001 B" +0r +15" +17" +b101 -" +1y +0u +14" +1v +1x +1," +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8977500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +1. +0% +#8978000 +0i +b10111100110001101100000101011110 { +b10111100110001101100000101011110 A" +1s +19" +1:" +1;" +1r +05" +07" +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0," +b11100001101101010110000111011001 0 +b11100001101101010110000111011001 M +b11100001101101010110000111011001 f +b11100001101101010110000111011001 !" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8978500 +b1010 5 +b1010 L +b1010 W +b10100100100011111101011101011011 2 +b10100100100011111101011101011011 I +b10100100100011111101011101011011 Z +b111 3 +b111 J +b111 Y +11 +b1010 ' +b1010 D +b10100100100011111101011101011011 , +b10100100100011111101011101011011 H +b111 + +b111 G +1- +1. +0% +#8979000 +0] +1k +1o +0\ +0[ +1>" +1@" +0h +1;" +19" +0:" +b11000 3" +1^ +1_ +b10101 0" +08" +0l +0p +b10110001010000011101110011110100 { +b10110001010000011101110011110100 A" +1b +1a +12" +1n +b10100100100011111101011101011011 &" +b10100100100011111101011101011011 ?" +b111 %" +b111 *" +b111 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b10111100110001101100000101011110 / +b10111100110001101100000101011110 K +b10111100110001101100000101011110 e +b10111100110001101100000101011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8979010 +b10100100100011111101011101011011 J" +#8979500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8980000 +1] +1i +1\ +1[ +0>" +0@" +1h +09" +0;" +b11111 3" +0^ +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0/" +b10110001010000011101110011110100 / +b10110001010000011101110011110100 K +b10110001010000011101110011110100 e +b10110001010000011101110011110100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#8980500 +b111000100001001011110100111110 2 +b111000100001001011110100111110 I +b111000100001001011110100111110 Z +b11000 3 +b11000 J +b11000 Y +11 +b111000100001001011110100111110 , +b111000100001001011110100111110 H +b11000 + +b11000 G +1- +1. +0% +#8981000 +0] +1=" +1@" +b111 3" +1c +0_ +1<" +1` +12" +b111000100001001011110100111110 &" +b111000100001001011110100111110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#8981010 +b111000100001001011110100111110 [" +#8981500 +b110011011010111000100000110 2 +b110011011010111000100000110 I +b110011011010111000100000110 Z +b111 3 +b111 J +b111 Y +b110011011010111000100000110 , +b110011011010111000100000110 H +b111 + +b111 G +1. +0% +#8982000 +1>" +1_ +1^ +0\ +0[ +1@" +0=" +b11000 3" +0<" +0` +0c +1b +1a +b110011011010111000100000110 &" +b110011011010111000100000110 ?" +b111 %" +b111 *" +b111 1" +0. +1% +#8982010 +b110011011010111000100000110 J" +#8982500 +14 +b10000 7 +b10000 N +b10000 V +16 +b11000011100011011001111101010011 2 +b11000011100011011001111101010011 I +b11000011100011011001111101010011 Z +b11010 3 +b11010 J +b11010 Y +1) +b10000 & +b10000 C +1( +b11000011100011011001111101010011 , +b11000011100011011001111101010011 H +b11010 + +b11010 G +1. +0% +#8983000 +1=" +0_ +1c +b11011000101100001000001100100000 { +b11011000101100001000001100100000 A" +b11000010101010011100001100011001 | +b11000010101010011100001100011001 B" +1[ +0>" +1;" +17" +b101 3" +1<" +1` +0^ +1k +b1111 -" +0u +14" +1v +0a +1/" +1," +b11000011100011011001111101010011 &" +b11000011100011011001111101010011 ?" +b11010 %" +b11010 *" +b11010 1" +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#8983010 +b11000011100011011001111101010011 ]" +#8983500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8984000 +1] +1\ +0=" +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0<" +0` +b11111 -" +04" +0v +0b +02" +0," +b11011000101100001000001100100000 / +b11011000101100001000001100100000 K +b11011000101100001000001100100000 e +b11011000101100001000001100100000 "" +b11000010101010011100001100011001 0 +b11000010101010011100001100011001 M +b11000010101010011100001100011001 f +b11000010101010011100001100011001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8984500 +04 +0) +1. +0% +#8985000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +0$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8985500 +b111 7 +b111 N +b111 V +16 +b11100001101010010111011110100000 2 +b11100001101010010111011110100000 I +b11100001101010010111011110100000 Z +b10010 3 +b10010 J +b10010 Y +11 +b111 & +b111 C +1( +b11100001101010010111011110100000 , +b11100001101010010111011110100000 H +b10010 + +b10010 G +1- +1. +0% +#8986000 +0s +b110011011010111000100000110 | +b110011011010111000100000110 B" +0\ +1@" +0r +0q +16" +17" +b1101 3" +0_ +1<" +1` +b11000 -" +1t +1u +1b +12" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100001101010010111011110100000 &" +b11100001101010010111011110100000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#8986010 +b11100001101010010111011110100000 U" +#8986500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8987000 +b11000010101010011100001100011001 { +b11000010101010011100001100011001 A" +1s +1\ +0@" +1;" +1r +1q +06" +07" +b11111 3" +0<" +0` +b1111 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +1/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110011011010111000100000110 0 +b110011011010111000100000110 M +b110011011010111000100000110 f +b110011011010111000100000110 !" +0. +1% +#8987500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b111011011000100000001110011010 2 +b111011011000100000001110011010 I +b111011011000100000001110011010 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b111011011000100000001110011010 , +b111011011000100000001110011010 H +b11111 + +b11111 G +1- +1. +0% +#8988000 +0] +b11000111001011010110101001100100 | +b11000111001011010110101001100100 B" +0\ +0[ +1=" +1>" +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +08" +0l +b1100 -" +0u +14" +1v +1b +1a +12" +0/" +1x +1w +1," +b11000010101010011100001100011001 / +b11000010101010011100001100011001 K +b11000010101010011100001100011001 e +b11000010101010011100001100011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111011011000100000001110011010 &" +b111011011000100000001110011010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#8988500 +b10000 7 +b10000 N +b10000 V +b11111100000110111011101100110101 2 +b11111100000110111011101100110101 I +b11111100000110111011101100110101 Z +b1110 3 +b1110 J +b1110 Y +b10000 & +b10000 C +b11111100000110111011101100110101 , +b11111100000110111011101100110101 H +b1110 + +b1110 G +1. +0% +#8989000 +1_ +1[ +1@" +1r +1q +b10001 3" +0<" +0` +b1111 -" +b11000010101010011100001100011001 | +b11000010101010011100001100011001 B" +0a +0x +0w +b11111100000110111011101100110101 &" +b11111100000110111011101100110101 ?" +b1110 %" +b1110 *" +b1110 1" +b10000 } +b10000 (" +b10000 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000111001011010110101001100100 0 +b11000111001011010110101001100100 M +b11000111001011010110101001100100 f +b11000111001011010110101001100100 !" +0. +1% +#8989010 +b11111100000110111011101100110101 Q" +#8989500 +b11110 7 +b11110 N +b11110 V +b10110101100101110000010110011011 2 +b10110101100101110000010110011011 I +b10110101100101110000010110011011 Z +b10000 3 +b10000 J +b10000 Y +b11110 & +b11110 C +b10110101100101110000010110011011 , +b10110101100101110000010110011011 H +b10000 + +b10000 G +1. +0% +#8990000 +0_ +1] +0s +1\ +0=" +0>" +0r +15" +16" +b1111 3" +1<" +1` +0d +b1 -" +0t +0y +1z +b11111110000000111011010000111011 | +b11111110000000111011010000111011 B" +0b +1x +b11000010101010011100001100011001 0 +b11000010101010011100001100011001 M +b11000010101010011100001100011001 f +b11000010101010011100001100011001 !" +b10110101100101110000010110011011 &" +b10110101100101110000010110011011 ?" +b10000 %" +b10000 *" +b10000 1" +b11110 } +b11110 (" +b11110 +" +0. +1% +#8990010 +b10110101100101110000010110011011 S" +#8990500 +b10111 5 +b10111 L +b10111 W +14 +b101 7 +b101 N +b101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b101 & +b101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8991000 +0i +b10011101100000100100000110100010 { +b10011101100000100100000110100010 A" +1u +1t +0@" +0h +0g +1:" +1;" +1r +0q +17" +05" +16" +b11111 3" +0<" +0` +b1000 0" +1j +0k +18" +1l +b11010 -" +04" +0v +0z +b10000001110100000000011101101000 | +b10000001110100000000011101101000 B" +02" +1n +1m +1/" +0x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b101 } +b101 (" +b101 +" +b11111110000000111011010000111011 0 +b11111110000000111011010000111011 M +b11111110000000111011010000111011 f +b11111110000000111011010000111011 !" +0. +1% +#8991500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10101111100010001011010000001111 2 +b10101111100010001011010000001111 I +b10101111100010001011010000001111 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10101111100010001011010000001111 , +b10101111100010001011010000001111 H +b10010 + +b10010 G +1- +1. +0% +#8992000 +1i +1s +0\ +1@" +1h +1g +0:" +0;" +1q +06" +07" +b1101 3" +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0n +0m +0/" +0w +0," +b10011101100000100100000110100010 / +b10011101100000100100000110100010 K +b10011101100000100100000110100010 e +b10011101100000100100000110100010 "" +b10000001110100000000011101101000 0 +b10000001110100000000011101101000 M +b10000001110100000000011101101000 f +b10000001110100000000011101101000 !" +b10101111100010001011010000001111 &" +b10101111100010001011010000001111 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#8992010 +b10101111100010001011010000001111 U" +#8992500 +b10100 5 +b10100 L +b10100 W +14 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8993000 +0i +b1101010000010001100100001001 { +b1101010000010001100100001001 A" +0s +b1000101110001001000110011000010 | +b1000101110001001000110011000010 B" +1\ +0@" +1:" +1;" +0r +16" +17" +b11111 3" +0<" +0` +b1011 0" +1j +0k +18" +1l +b11001 -" +1t +1u +0b +02" +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#8993500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b110101110011001110010100101001 2 +b110101110011001110010100101001 I +b110101110011001110010100101001 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +b1110 & +b1110 C +b110101110011001110010100101001 , +b110101110011001110010100101001 H +b1011 + +b1011 G +1- +1. +0% +#8994000 +0] +1i +0t +b11111100000110111011101100110101 | +b11111100000110111011101100110101 B" +0\ +0[ +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +15" +b10100 3" +1c +1_ +b11111 0" +0j +08" +0l +b10001 -" +1z +1b +1a +12" +0/" +b1101010000010001100100001001 / +b1101010000010001100100001001 K +b1101010000010001100100001001 e +b1101010000010001100100001001 "" +b1000101110001001000110011000010 0 +b1000101110001001000110011000010 M +b1000101110001001000110011000010 f +b1000101110001001000110011000010 !" +b110101110011001110010100101001 &" +b110101110011001110010100101001 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#8994010 +b110101110011001110010100101001 N" +#8994500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8995000 +1] +1s +1\ +1[ +0=" +0@" +1r +05" +06" +07" +b11111 3" +0c +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111100000110111011101100110101 0 +b11111100000110111011101100110101 M +b11111100000110111011101100110101 f +b11111100000110111011101100110101 !" +0. +1% +#8995500 +b10001 5 +b10001 L +b10001 W +14 +b11101000101011000111010001111011 2 +b11101000101011000111010001111011 I +b11101000101011000111010001111011 Z +b10111 3 +b10111 J +b10111 Y +11 +b10001 ' +b10001 D +1) +b11101000101011000111010001111011 , +b11101000101011000111010001111011 H +b10111 + +b10111 G +1- +1. +0% +#8996000 +0] +b111000001000100101111010011 { +b111000001000100101111010011 A" +0\ +0[ +1>" +1@" +0g +1;" +b1000 3" +1^ +0_ +1<" +1` +b1110 0" +0k +18" +1l +1b +1a +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101000101011000111010001111011 &" +b11101000101011000111010001111011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#8996010 +b11101000101011000111010001111011 Z" +#8996500 +b1000 5 +b1000 L +b1000 W +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#8997000 +1] +1k +0i +b11011000101100001000001100100000 | +b11011000101100001000001100100000 B" +1\ +1[ +0>" +0@" +1g +1;" +19" +17" +b11111 3" +0^ +0<" +0` +b10111 0" +08" +0l +1o +b1111001111000111010111111100110 { +b1111001111000111010111111100110 A" +1u +0b +0a +02" +0m +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1#" +b111000001000100101111010011 / +b111000001000100101111010011 K +b111000001000100101111010011 e +b111000001000100101111010011 "" +0. +1% +#8997500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +b1111100011111000001000000110 2 +b1111100011111000001000000110 I +b1111100011111000001000000110 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b1100 & +b1100 C +b1111100011111000001000000110 , +b1111100011111000001000000110 H +b10011 + +b10011 G +1- +1. +0% +#8998000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b11101111011011111000111101001010 | +b11101111011011111000111101001010 B" +0\ +0[ +1@" +09" +0;" +15" +16" +b1100 3" +0_ +1<" +1` +b11111 0" +0o +0k +b10011 -" +0t +0y +1z +1b +1a +12" +0/" +b1111001111000111010111111100110 / +b1111001111000111010111111100110 K +b1111001111000111010111111100110 e +b1111001111000111010111111100110 "" +b11011000101100001000001100100000 0 +b11011000101100001000001100100000 M +b11011000101100001000001100100000 f +b11011000101100001000001100100000 !" +b1111100011111000001000000110 &" +b1111100011111000001000000110 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#8998010 +b1111100011111000001000000110 V" +#8998500 +b0 7 +b0 N +b0 V +06 +b11011100110101110000101111011 2 +b11011100110101110000101111011 I +b11011100110101110000101111011 Z +b10110 3 +b10110 J +b10110 Y +b0 & +b0 C +0( +b11011100110101110000101111011 , +b11011100110101110000101111011 H +b10110 + +b10110 G +1. +0% +#8999000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +1>" +05" +06" +07" +b1001 3" +1^ +b11111 -" +0z +0u +0a +0," +b11011100110101110000101111011 &" +b11011100110101110000101111011 ?" +b10110 %" +b10110 *" +b10110 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101111011011111000111101001010 0 +b11101111011011111000111101001010 M +b11101111011011111000111101001010 f +b11101111011011111000111101001010 !" +0. +1% +#8999010 +b11011100110101110000101111011 Y" +#8999500 +b11010 5 +b11010 L +b11010 W +14 +b11001 7 +b11001 N +b11001 V +16 +b11000000111101100100000101000101 2 +b11000000111101100100000101000101 I +b11000000111101100100000101000101 Z +b11010 ' +b11010 D +1) +b11001 & +b11001 C +1( +b11000000111101100100000101000101 , +b11000000111101100100000101000101 H +1. +0% +#9000000 +0i +b11000011100011011001111101010011 { +b11000011100011011001111101010011 A" +0s +b1001010111100001111110000100001 | +b1001010111100001111110000100001 B" +0h +19" +1;" +0q +15" +17" +b101 0" +1o +0k +18" +1l +b110 -" +1y +0u +14" +1v +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000000111101100100000101000101 &" +b11000000111101100100000101000101 ?" +b11010 ~ +b11010 )" +b11010 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#9000010 +b11000000111101100100000101000101 Y" +#9000500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9001000 +1] +1i +1s +1\ +0>" +0@" +1h +09" +0;" +1q +05" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000011100011011001111101010011 / +b11000011100011011001111101010011 K +b11000011100011011001111101010011 e +b11000011100011011001111101010011 "" +b1001010111100001111110000100001 0 +b1001010111100001111110000100001 M +b1001010111100001111110000100001 f +b1001010111100001111110000100001 !" +0. +1% +#9001500 +b1100 7 +b1100 N +b1100 V +16 +b1100 & +b1100 C +1( +1. +0% +#9002000 +0s +b11101111011011111000111101001010 | +b11101111011011111000111101001010 B" +15" +16" +17" +b10011 -" +0t +0y +1z +1u +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#9002500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b1100100100001011010010111111011 2 +b1100100100001011010010111111011 I +b1100100100001011010010111111011 Z +b11010 3 +b11010 J +b11010 Y +11 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b1100100100001011010010111111011 , +b1100100100001011010010111111011 H +b11010 + +b11010 G +1- +1. +0% +#9003000 +0] +0i +b11111110000000111011010000111011 { +b11111110000000111011010000111011 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1=" +1@" +0h +19" +1:" +1;" +05" +06" +07" +b101 3" +1c +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +0u +1b +12" +1n +1/" +0," +b1100100100001011010010111111011 &" +b1100100100001011010010111111011 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11101111011011111000111101001010 0 +b11101111011011111000111101001010 M +b11101111011011111000111101001010 f +b11101111011011111000111101001010 !" +0. +1% +#9003010 +b1100100100001011010010111111011 ]" +#9003500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9004000 +1] +1i +1\ +0=" +0@" +1h +09" +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +02" +0n +0/" +b11111110000000111011010000111011 / +b11111110000000111011010000111011 K +b11111110000000111011010000111011 e +b11111110000000111011010000111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9004500 +1. +0% +#9005000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9005500 +1. +0% +#9006000 +0. +1% +#9006500 +b10111 7 +b10111 N +b10111 V +16 +b11111101100011111001011100100011 2 +b11111101100011111001011100100011 I +b11111101100011111001011100100011 Z +b101 3 +b101 J +b101 Y +11 +b10111 & +b10111 C +1( +b11111101100011111001011100100011 , +b11111101100011111001011100100011 H +b101 + +b101 G +1- +1. +0% +#9007000 +0] +0s +b11101000101011000111010001111011 | +b11101000101011000111010001111011 B" +0[ +1>" +1@" +0r +0q +16" +17" +b11010 3" +1^ +1_ +b1000 -" +1t +0u +14" +1v +1a +12" +1x +1w +1," +b11111101100011111001011100100011 &" +b11111101100011111001011100100011 ?" +b101 %" +b101 *" +b101 1" +1'" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#9007010 +b11111101100011111001011100100011 H" +#9007500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9008000 +1] +b10110000010011010110011001000010 { +b10110000010011010110011001000010 A" +1s +1[ +0>" +0@" +0h +1;" +1r +1q +06" +07" +b11111 3" +0^ +0_ +b11101 0" +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +1n +1/" +0x +0w +0," +b11101000101011000111010001111011 0 +b11101000101011000111010001111011 M +b11101000101011000111010001111011 f +b11101000101011000111010001111011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9008500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#9009000 +1h +b11111 0" +b11011000101100001000001100100000 { +b11011000101100001000001100100000 A" +0n +b0 ~ +b0 )" +b0 ." +b10110000010011010110011001000010 / +b10110000010011010110011001000010 K +b10110000010011010110011001000010 e +b10110000010011010110011001000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9009500 +04 +b11000100110001001111101000001110 2 +b11000100110001001111101000001110 I +b11000100110001001111101000001110 Z +11 +0) +b11000100110001001111101000001110 , +b11000100110001001111101000001110 H +1- +1. +0% +#9010000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1@" +0;" +1_ +0k +12" +0/" +b11011000101100001000001100100000 / +b11011000101100001000001100100000 K +b11011000101100001000001100100000 e +b11011000101100001000001100100000 "" +b11000100110001001111101000001110 &" +b11000100110001001111101000001110 ?" +1'" +0$" +0. +1% +#9010010 +b11000100110001001111101000001110 C" +#9010500 +b11001111111100100101010100111000 2 +b11001111111100100101010100111000 I +b11001111111100100101010100111000 Z +b11001 3 +b11001 J +b11001 Y +b11001111111100100101010100111000 , +b11001111111100100101010100111000 H +b11001 + +b11001 G +1. +0% +#9011000 +0_ +0] +0[ +1=" +b110 3" +1<" +1` +1c +1a +b11001111111100100101010100111000 &" +b11001111111100100101010100111000 ?" +b11001 %" +b11001 *" +b11001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9011010 +b11001111111100100101010100111000 \" +#9011500 +b1111000000011000001001001100101 2 +b1111000000011000001001001100101 I +b1111000000011000001001001100101 Z +b11100 3 +b11100 J +b11100 Y +b1111000000011000001001001100101 , +b1111000000011000001001001100101 H +b11100 + +b11100 G +1. +0% +#9012000 +0c +1[ +1>" +b11 3" +1d +0a +b1111000000011000001001001100101 &" +b1111000000011000001001001100101 ?" +b11100 %" +b11100 *" +b11100 1" +0. +1% +#9012010 +b1111000000011000001001001100101 _" +#9012500 +b100 7 +b100 N +b100 V +16 +b1110110010001010111010101010001 2 +b1110110010001010111010101010001 I +b1110110010001010111010101010001 Z +b10111 3 +b10111 J +b10111 Y +b100 & +b100 C +1( +b1110110010001010111010101010001 , +b1110110010001010111010101010001 H +b10111 + +b10111 G +1. +0% +#9013000 +1^ +0s +b111100100101110011010110100100 | +b111100100101110011010110100100 B" +0\ +0[ +0=" +1>" +16" +17" +b1000 3" +0d +b11011 -" +1t +1u +1b +1a +1," +b1110110010001010111010101010001 &" +b1110110010001010111010101010001 ?" +b10111 %" +b10111 *" +b10111 1" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#9013010 +b1110110010001010111010101010001 Z" +#9013500 +b11100 7 +b11100 N +b11100 V +b1110101000001000000111001101 2 +b1110101000001000000111001101 I +b1110101000001000000111001101 Z +b11000 3 +b11000 J +b11000 Y +b11100 & +b11100 C +b1110101000001000000111001101 , +b1110101000001000000111001101 H +b11000 + +b11000 G +1. +0% +#9014000 +1=" +1c +0u +0t +1\ +1[ +0>" +b1111000000011000001001001100101 | +b1111000000011000001001001100101 B" +15" +b111 3" +0^ +b11 -" +14" +1v +1z +0b +0a +b111100100101110011010110100100 0 +b111100100101110011010110100100 M +b111100100101110011010110100100 f +b111100100101110011010110100100 !" +b1110101000001000000111001101 &" +b1110101000001000000111001101 ?" +b11000 %" +b11000 *" +b11000 1" +b11100 } +b11100 (" +b11100 +" +0. +1% +#9014010 +b1110101000001000000111001101 [" +#9014500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9015000 +1] +1s +0=" +0@" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0<" +0` +b11111 -" +0z +04" +0v +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b1111000000011000001001001100101 0 +b1111000000011000001001001100101 M +b1111000000011000001001001100101 f +b1111000000011000001001001100101 !" +0. +1% +#9015500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#9016000 +0s +b110011011010111000100000110 | +b110011011010111000100000110 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#9016500 +b10111 5 +b10111 L +b10111 W +14 +b0 7 +b0 N +b0 V +06 +b10111 ' +b10111 D +1) +b0 & +b0 C +0( +1. +0% +#9017000 +0i +b1110110010001010111010101010001 { +b1110110010001010111010101010001 A" +1s +0h +0g +1:" +1;" +1r +1q +06" +07" +b1000 0" +1j +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0x +0w +0," +b10111 ~ +b10111 )" +b10111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110011011010111000100000110 0 +b110011011010111000100000110 M +b110011011010111000100000110 f +b110011011010111000100000110 !" +0. +1% +#9017500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b0 ' +b0 D +0) +b101 & +b101 C +1( +1. +0% +#9018000 +1i +0s +b11111101100011111001011100100011 | +b11111101100011111001011100100011 B" +1h +1g +0:" +0;" +0q +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +1t +1u +0n +0m +0/" +1w +1," +b1110110010001010111010101010001 / +b1110110010001010111010101010001 K +b1110110010001010111010101010001 e +b1110110010001010111010101010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#9018500 +b100 7 +b100 N +b100 V +b100 & +b100 C +1. +0% +#9019000 +1q +b11011 -" +b111100100101110011010110100100 | +b111100100101110011010110100100 B" +0w +b100 } +b100 (" +b100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101100011111001011100100011 0 +b11111101100011111001011100100011 M +b11111101100011111001011100100011 f +b11111101100011111001011100100011 !" +0. +1% +#9019500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11010010100001000000110111111111 2 +b11010010100001000000110111111111 I +b11010010100001000000110111111111 Z +b111 3 +b111 J +b111 Y +11 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b11010010100001000000110111111111 , +b11010010100001000000110111111111 H +b111 + +b111 G +1- +1. +0% +#9020000 +0] +0i +b10000100000110000100100101101100 { +b10000100000110000100100101101100 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1>" +1@" +0g +19" +1:" +1;" +06" +07" +b11000 3" +1^ +1_ +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +0u +1b +1a +12" +1m +1/" +0," +b111100100101110011010110100100 0 +b111100100101110011010110100100 M +b111100100101110011010110100100 f +b111100100101110011010110100100 !" +b11010010100001000000110111111111 &" +b11010010100001000000110111111111 ?" +b111 %" +b111 *" +b111 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9020010 +b11010010100001000000110111111111 J" +#9020500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +16 +b10011111100100001110111111110011 2 +b10011111100100001110111111110011 I +b10011111100100001110111111110011 Z +b11000 3 +b11000 J +b11000 Y +b0 ' +b0 D +0) +b1 & +b1 C +1( +b10011111100100001110111111110011 , +b10011111100100001110111111110011 H +b11000 + +b11000 G +1. +0% +#9021000 +1=" +0_ +1c +1i +b10101000011111100010000111111011 | +b10101000011111100010000111111011 B" +1\ +1[ +0>" +1g +09" +0:" +0;" +0q +17" +b111 3" +1<" +1` +0^ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +1u +0b +0a +0m +0/" +1w +1," +b10011111100100001110111111110011 &" +b10011111100100001110111111110011 ?" +b11000 %" +b11000 *" +b11000 1" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +1#" +b10000100000110000100100101101100 / +b10000100000110000100100101101100 K +b10000100000110000100100101101100 e +b10000100000110000100100101101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9021010 +b10011111100100001110111111110011 [" +#9021500 +b10011 7 +b10011 N +b10011 V +b1100011100001101001100000010 2 +b1100011100001101001100000010 I +b1100011100001101001100000010 Z +b11100 3 +b11100 J +b11100 Y +b10011 & +b10011 C +b1100011100001101001100000010 , +b1100011100001101001100000010 H +b11100 + +b11100 G +1. +0% +#9022000 +0c +0u +1>" +0r +b11 3" +1d +b1100 -" +14" +1v +b1111100011111000001000000110 | +b1111100011111000001000000110 B" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000011111100010000111111011 0 +b10101000011111100010000111111011 M +b10101000011111100010000111111011 f +b10101000011111100010000111111011 !" +b1100011100001101001100000010 &" +b1100011100001101001100000010 ?" +b11100 %" +b11100 *" +b11100 1" +b10011 } +b10011 (" +b10011 +" +0. +1% +#9022010 +b1100011100001101001100000010 _" +#9022500 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9023000 +1] +1u +0=" +0>" +0@" +1r +17" +b11111 3" +0d +0<" +0` +b11110 -" +04" +0v +b10101000011111100010000111111011 | +b10101000011111100010000111111011 B" +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +b1111100011111000001000000110 0 +b1111100011111000001000000110 M +b1111100011111000001000000110 f +b1111100011111000001000000110 !" +0. +1% +#9023500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9024000 +1q +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10101000011111100010000111111011 0 +b10101000011111100010000111111011 M +b10101000011111100010000111111011 f +b10101000011111100010000111111011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9024500 +b11000 5 +b11000 L +b11000 W +14 +b1010 7 +b1010 N +b1010 V +16 +b11000 ' +b11000 D +1) +b1010 & +b1010 C +1( +1. +0% +#9025000 +0i +b10011111100100001110111111110011 { +b10011111100100001110111111110011 A" +0s +b10110001010000011101110011110100 | +b10110001010000011101110011110100 B" +19" +1;" +0r +15" +17" +b111 0" +1o +0k +18" +1l +b10101 -" +1y +1u +1/" +1x +1," +b11000 ~ +b11000 )" +b11000 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9025500 +b10010 5 +b10010 L +b10010 W +b1111 7 +b1111 N +b1111 V +b10010 ' +b10010 D +b1111 & +b1111 C +1. +0% +#9026000 +1i +0y +0h +09" +0q +16" +b1101 0" +0o +b10101111100010001011010000001111 { +b10101111100010001011010000001111 A" +b10000 -" +1z +b11000100010001111100011001010000 | +b11000100010001111100011001010000 B" +1n +1w +b10011111100100001110111111110011 / +b10011111100100001110111111110011 K +b10011111100100001110111111110011 e +b10011111100100001110111111110011 "" +b10110001010000011101110011110100 0 +b10110001010000011101110011110100 M +b10110001010000011101110011110100 f +b10110001010000011101110011110100 !" +b10010 ~ +b10010 )" +b10010 ." +b1111 } +b1111 (" +b1111 +" +0. +1% +#9026500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9027000 +1s +1h +0;" +1r +1q +05" +06" +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10101111100010001011010000001111 / +b10101111100010001011010000001111 K +b10101111100010001011010000001111 e +b10101111100010001011010000001111 "" +b11000100010001111100011001010000 0 +b11000100010001111100011001010000 M +b11000100010001111100011001010000 f +b11000100010001111100011001010000 !" +0. +1% +#9027500 +b1111 5 +b1111 L +b1111 W +14 +b1011 7 +b1011 N +b1011 V +16 +b1111 ' +b1111 D +1) +b1011 & +b1011 C +1( +1. +0% +#9028000 +0i +b11000100010001111100011001010000 { +b11000100010001111100011001010000 A" +0s +b110101110011001110010100101001 | +b110101110011001110010100101001 B" +0h +0g +19" +1:" +1;" +0r +0q +15" +17" +b10000 0" +0j +0o +1p +1k +b10100 -" +1y +1u +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111 ~ +b1111 )" +b1111 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#9028500 +b10001 5 +b10001 L +b10001 W +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +b0 & +b0 C +0( +1. +0% +#9029000 +0k +1i +1s +1h +09" +0:" +1r +1q +05" +07" +b1110 0" +18" +1l +0p +b111000001000100101111010011 { +b111000001000100101111010011 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0x +0w +0," +b10001 ~ +b10001 )" +b10001 ." +b0 } +b0 (" +b0 +" +0#" +b11000100010001111100011001010000 / +b11000100010001111100011001010000 K +b11000100010001111100011001010000 e +b11000100010001111100011001010000 "" +b110101110011001110010100101001 0 +b110101110011001110010100101001 M +b110101110011001110010100101001 f +b110101110011001110010100101001 !" +0. +1% +#9029500 +b1010 5 +b1010 L +b1010 W +b1010 ' +b1010 D +1. +0% +#9030000 +1k +0i +0h +1g +1;" +19" +b10101 0" +08" +0l +1o +b10110001010000011101110011110100 { +b10110001010000011101110011110100 A" +1n +0m +b111000001000100101111010011 / +b111000001000100101111010011 K +b111000001000100101111010011 e +b111000001000100101111010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010 ~ +b1010 )" +b1010 ." +0. +1% +#9030500 +b0 5 +b0 L +b0 W +04 +b1110011111110000011101001000110 2 +b1110011111110000011101001000110 I +b1110011111110000011101001000110 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b1110011111110000011101001000110 , +b1110011111110000011101001000110 H +b111 + +b111 G +1- +1. +0% +#9031000 +0] +1i +0\ +0[ +1>" +1@" +1h +09" +0;" +b11000 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0/" +b1110011111110000011101001000110 &" +b1110011111110000011101001000110 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110001010000011101110011110100 / +b10110001010000011101110011110100 K +b10110001010000011101110011110100 e +b10110001010000011101110011110100 "" +0. +1% +#9031010 +b1110011111110000011101001000110 J" +#9031500 +b11100101111111101000011111100 2 +b11100101111111101000011111100 I +b11100101111111101000011111100 Z +b1011 3 +b1011 J +b1011 Y +b11100101111111101000011111100 , +b11100101111111101000011111100 H +b1011 + +b1011 G +1. +0% +#9032000 +1=" +1c +0>" +b10100 3" +0^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100101111111101000011111100 &" +b11100101111111101000011111100 ?" +b1011 %" +b1011 *" +b1011 1" +0. +1% +#9032010 +b11100101111111101000011111100 N" +#9032500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9033000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9033500 +b11001 7 +b11001 N +b11001 V +16 +b1010100111100110010100101000111 2 +b1010100111100110010100101000111 I +b1010100111100110010100101000111 Z +b1100 3 +b1100 J +b1100 Y +11 +b11001 & +b11001 C +1( +b1010100111100110010100101000111 , +b1010100111100110010100101000111 H +b1100 + +b1100 G +1- +1. +0% +#9034000 +0] +0s +b11001111111100100101010100111000 | +b11001111111100100101010100111000 B" +1=" +1>" +1@" +0q +15" +17" +b10011 3" +0^ +0c +1d +1_ +b110 -" +1y +0u +14" +1v +12" +1w +1," +b1010100111100110010100101000111 &" +b1010100111100110010100101000111 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#9034010 +b1010100111100110010100101000111 O" +#9034500 +b0 7 +b0 N +b0 V +06 +b11100100011100000010000111001001 2 +b11100100011100000010000111001001 I +b11100100011100000010000111001001 Z +b1110 3 +b1110 J +b1110 Y +b0 & +b0 C +0( +b11100100011100000010000111001001 , +b11100100011100000010000111001001 H +b1110 + +b1110 G +1. +0% +#9035000 +1s +0\ +1q +05" +07" +b10001 3" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0w +0," +b11100100011100000010000111001001 &" +b11100100011100000010000111001001 ?" +b1110 %" +b1110 *" +b1110 1" +b0 } +b0 (" +b0 +" +0#" +b11001111111100100101010100111000 0 +b11001111111100100101010100111000 M +b11001111111100100101010100111000 f +b11001111111100100101010100111000 !" +0. +1% +#9035010 +b11100100011100000010000111001001 Q" +#9035500 +14 +b11010011010110000101101111111000 2 +b11010011010110000101101111111000 I +b11010011010110000101101111111000 Z +b110 3 +b110 J +b110 Y +1) +b11010011010110000101101111111000 , +b11010011010110000101101111111000 H +b110 + +b110 G +1. +0% +#9036000 +1^ +b11000100110001001111101000001110 { +b11000100110001001111101000001110 A" +0=" +1>" +1;" +b11001 3" +0d +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11010011010110000101101111111000 &" +b11010011010110000101101111111000 ?" +b110 %" +b110 *" +b110 1" +1$" +0. +1% +#9036010 +b11010011010110000101101111111000 I" +#9036500 +b11000 5 +b11000 L +b11000 W +b10111 7 +b10111 N +b10111 V +16 +b111100110011111110100010111101 2 +b111100110011111110100010111101 I +b111100110011111110100010111101 Z +b1010 3 +b1010 J +b1010 Y +b11000 ' +b11000 D +b10111 & +b10111 C +1( +b111100110011111110100010111101 , +b111100110011111110100010111101 H +b1010 + +b1010 G +1. +0% +#9037000 +1=" +1c +0k +0i +0s +b1110110010001010111010101010001 | +b1110110010001010111010101010001 B" +0>" +b10011111100100001110111111110011 { +b10011111100100001110111111110011 A" +19" +0r +0q +16" +17" +b10101 3" +0^ +b111 0" +18" +1l +1o +b1000 -" +1t +0u +14" +1v +1x +1w +1," +b111100110011111110100010111101 &" +b111100110011111110100010111101 ?" +b1010 %" +b1010 *" +b1010 1" +b11000 ~ +b11000 )" +b11000 ." +b10111 } +b10111 (" +b10111 +" +1#" +b11000100110001001111101000001110 / +b11000100110001001111101000001110 K +b11000100110001001111101000001110 e +b11000100110001001111101000001110 "" +0. +1% +#9037010 +b111100110011111110100010111101 M" +#9037500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9038000 +1] +1i +1s +1\ +0=" +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +06" +07" +b11111 3" +0c +0_ +b11111 0" +0o +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0/" +0x +0w +0," +b10011111100100001110111111110011 / +b10011111100100001110111111110011 K +b10011111100100001110111111110011 e +b10011111100100001110111111110011 "" +b1110110010001010111010101010001 0 +b1110110010001010111010101010001 M +b1110110010001010111010101010001 f +b1110110010001010111010101010001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9038500 +b11 5 +b11 L +b11 W +14 +b110 7 +b110 N +b110 V +16 +b10000100001011101010010111100101 2 +b10000100001011101010010111100101 I +b10000100001011101010010111100101 Z +b1111 3 +b1111 J +b1111 Y +11 +b11 ' +b11 D +1) +b110 & +b110 C +1( +b10000100001011101010010111100101 , +b10000100001011101010010111100101 H +b1111 + +b1111 G +1- +1. +0% +#9039000 +0] +b1100010011011100101010101011011 { +b1100010011011100101010101011011 A" +0s +b11010011010110000101101111111000 | +b11010011010110000101101111111000 B" +0\ +0[ +1=" +1>" +1@" +0h +0g +1;" +0r +16" +17" +b10000 3" +0^ +0c +1d +1_ +b11100 0" +1k +b11001 -" +1t +1u +1b +1a +12" +1n +1m +1/" +1x +1," +b10000100001011101010010111100101 &" +b10000100001011101010010111100101 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9039010 +b10000100001011101010010111100101 R" +#9039500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9040000 +1] +1s +1\ +1[ +0=" +0>" +0@" +1h +1g +0;" +1r +06" +07" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +0m +0/" +0x +0," +b1100010011011100101010101011011 / +b1100010011011100101010101011011 K +b1100010011011100101010101011011 e +b1100010011011100101010101011011 "" +b11010011010110000101101111111000 0 +b11010011010110000101101111111000 M +b11010011010110000101101111111000 f +b11010011010110000101101111111000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9040500 +b11111 5 +b11111 L +b11111 W +14 +b11111 ' +b11111 D +1) +1. +0% +#9041000 +0i +b111011011000100000001110011010 { +b111011011000100000001110011010 A" +0h +0g +19" +1:" +1;" +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9041500 +b11100 5 +b11100 L +b11100 W +b110 7 +b110 N +b110 V +16 +b11100 ' +b11100 D +b110 & +b110 C +1( +1. +0% +#9042000 +0s +b11010011010110000101101111111000 | +b11010011010110000101101111111000 B" +1h +1g +0r +16" +17" +b11 0" +b1100011100001101001100000010 { +b1100011100001101001100000010 A" +b11001 -" +1t +1u +0n +0m +1x +1," +b111011011000100000001110011010 / +b111011011000100000001110011010 K +b111011011000100000001110011010 e +b111011011000100000001110011010 "" +b11100 ~ +b11100 )" +b11100 ." +b110 } +b110 (" +b110 +" +1#" +0. +1% +#9042500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +b11010111011101000011110100011010 2 +b11010111011101000011110100011010 I +b11010111011101000011110100011010 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b11010 & +b11010 C +b11010111011101000011110100011010 , +b11010111011101000011110100011010 H +b10110 + +b10110 G +1- +1. +0% +#9043000 +15" +0] +1i +0u +1y +0\ +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b1100100100001011010010111111011 | +b1100100100001011010010111111011 B" +06" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0p +08" +0l +b101 -" +14" +1v +0t +1b +12" +0/" +b11010111011101000011110100011010 &" +b11010111011101000011110100011010 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +b1100011100001101001100000010 / +b1100011100001101001100000010 K +b1100011100001101001100000010 e +b1100011100001101001100000010 "" +b11010011010110000101101111111000 0 +b11010011010110000101101111111000 M +b11010011010110000101101111111000 f +b11010011010110000101101111111000 !" +0. +1% +#9043010 +b11010111011101000011110100011010 Y" +#9043500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b10010001001010110111001010001110 2 +b10010001001010110111001010001110 I +b10010001001010110111001010001110 Z +b11011 3 +b11011 J +b11011 Y +b101 ' +b101 D +1) +b0 & +b0 C +0( +b10010001001010110111001010001110 , +b10010001001010110111001010001110 H +b11011 + +b11011 G +1. +0% +#9044000 +1=" +1c +0i +b11111101100011111001011100100011 { +b11111101100011111001011100100011 A" +1s +0[ +0>" +0g +1:" +1;" +1r +05" +07" +b100 3" +0^ +b11010 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +1m +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100100100001011010010111111011 0 +b1100100100001011010010111111011 M +b1100100100001011010010111111011 f +b1100100100001011010010111111011 !" +b10010001001010110111001010001110 &" +b10010001001010110111001010001110 ?" +b11011 %" +b11011 *" +b11011 1" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9044010 +b10010001001010110111001010001110 ^" +#9044500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9045000 +1] +1i +1\ +1[ +0=" +0@" +1g +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111101100011111001011100100011 / +b11111101100011111001011100100011 K +b11111101100011111001011100100011 e +b11111101100011111001011100100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9045500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#9046000 +0i +b11100101111111101000011111100 { +b11100101111111101000011111100 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#9046500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9047000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11100101111111101000011111100 / +b11100101111111101000011111100 K +b11100101111111101000011111100 e +b11100101111111101000011111100 "" +0. +1% +#9047500 +b11101 5 +b11101 L +b11101 W +14 +b11101 7 +b11101 N +b11101 V +16 +b1001001111011110010001100010100 2 +b1001001111011110010001100010100 I +b1001001111011110010001100010100 Z +b11001 3 +b11001 J +b11001 Y +11 +b11101 ' +b11101 D +1) +b11101 & +b11101 C +1( +b1001001111011110010001100010100 , +b1001001111011110010001100010100 H +b11001 + +b11001 G +1- +1. +0% +#9048000 +0] +0i +b10000100000110000100100101101100 { +b10000100000110000100100101101100 A" +0s +b10000100000110000100100101101100 | +b10000100000110000100100101101100 B" +0[ +1=" +1@" +0g +19" +1:" +1;" +0q +15" +16" +17" +b110 3" +1c +0_ +1<" +1` +b10 0" +0j +0o +1p +0k +18" +1l +b10 -" +0t +0y +1z +0u +14" +1v +1a +12" +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001001111011110010001100010100 &" +b1001001111011110010001100010100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#9048010 +b1001001111011110010001100010100 \" +#9048500 +b1 5 +b1 L +b1 W +b0 7 +b0 N +b0 V +06 +b10011001100011100110110001110101 2 +b10011001100011100110110001110101 I +b10011001100011100110110001110101 Z +b11101 3 +b11101 J +b11101 Y +b1 ' +b1 D +b0 & +b0 C +0( +b10011001100011100110110001110101 , +b10011001100011100110110001110101 H +b11101 + +b11101 G +1. +0% +#9049000 +0c +1k +1i +1s +1>" +1;" +b10101000011111100010000111111011 { +b10101000011111100010000111111011 A" +09" +0:" +1q +05" +06" +07" +b10 3" +1d +b11110 0" +08" +0l +0p +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b10011001100011100110110001110101 &" +b10011001100011100110110001110101 ?" +b11101 %" +b11101 *" +b11101 1" +b1 ~ +b1 )" +b1 ." +b0 } +b0 (" +b0 +" +0#" +b10000100000110000100100101101100 / +b10000100000110000100100101101100 K +b10000100000110000100100101101100 e +b10000100000110000100100101101100 "" +b10000100000110000100100101101100 0 +b10000100000110000100100101101100 M +b10000100000110000100100101101100 f +b10000100000110000100100101101100 !" +0. +1% +#9049010 +b10011001100011100110110001110101 `" +#9049500 +b11010 5 +b11010 L +b11010 W +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9050000 +1] +0k +0i +0s +b11111101100011111001011100100011 | +b11111101100011111001011100100011 B" +1[ +0=" +0>" +0@" +0h +1g +19" +0q +16" +17" +b11111 3" +0d +0<" +0` +b101 0" +18" +1l +1o +b1100100100001011010010111111011 { +b1100100100001011010010111111011 A" +b11010 -" +1t +1u +0a +02" +1n +0m +1w +1," +b10101000011111100010000111111011 / +b10101000011111100010000111111011 K +b10101000011111100010000111111011 e +b10101000011111100010000111111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +b101 } +b101 (" +b101 +" +1#" +0. +1% +#9050500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10110011001111010010100100011101 2 +b10110011001111010010100100011101 I +b10110011001111010010100100011101 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10110011001111010010100100011101 , +b10110011001111010010100100011101 H +b11000 + +b11000 G +1- +1. +0% +#9051000 +0] +1i +1s +1=" +1@" +1h +09" +0;" +1q +06" +07" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0n +0/" +0w +0," +b10110011001111010010100100011101 &" +b10110011001111010010100100011101 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100100100001011010010111111011 / +b1100100100001011010010111111011 K +b1100100100001011010010111111011 e +b1100100100001011010010111111011 "" +b11111101100011111001011100100011 0 +b11111101100011111001011100100011 M +b11111101100011111001011100100011 f +b11111101100011111001011100100011 !" +0. +1% +#9051010 +b10110011001111010010100100011101 [" +#9051500 +b10111 5 +b10111 L +b10111 W +14 +b1000010001000111010110000110011 2 +b1000010001000111010110000110011 I +b1000010001000111010110000110011 Z +b1000 3 +b1000 J +b1000 Y +b10111 ' +b10111 D +1) +b1000010001000111010110000110011 , +b1000010001000111010110000110011 H +b1000 + +b1000 G +1. +0% +#9052000 +1_ +0i +b1110110010001010111010101010001 { +b1110110010001010111010101010001 A" +1@" +0h +0g +1:" +1;" +b10111 3" +0<" +0` +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000010001000111010110000110011 &" +b1000010001000111010110000110011 ?" +b1000 %" +b1000 *" +b1000 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#9052010 +b1000010001000111010110000110011 K" +#9052500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9053000 +1] +1i +0=" +0@" +1h +1g +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1110110010001010111010101010001 / +b1110110010001010111010101010001 K +b1110110010001010111010101010001 e +b1110110010001010111010101010001 "" +0. +1% +#9053500 +b1110 7 +b1110 N +b1110 V +16 +b1110 & +b1110 C +1( +1. +0% +#9054000 +0s +b11100100011100000010000111001001 | +b11100100011100000010000111001001 B" +0r +15" +16" +17" +b10001 -" +0t +0y +1z +1u +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#9054500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9055000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b11100100011100000010000111001001 0 +b11100100011100000010000111001001 M +b11100100011100000010000111001001 f +b11100100011100000010000111001001 !" +0. +1% +#9055500 +b11110 7 +b11110 N +b11110 V +16 +b1101110010011000110110001010101 2 +b1101110010011000110110001010101 I +b1101110010011000110110001010101 Z +b111 3 +b111 J +b111 Y +11 +b11110 & +b11110 C +1( +b1101110010011000110110001010101 , +b1101110010011000110110001010101 H +b111 + +b111 G +1- +1. +0% +#9056000 +0] +0s +b11111110000000111011010000111011 | +b11111110000000111011010000111011 B" +0\ +0[ +1>" +1@" +0r +15" +16" +17" +b11000 3" +1^ +1_ +b1 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101110010011000110110001010101 &" +b1101110010011000110110001010101 ?" +b111 %" +b111 *" +b111 1" +1'" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#9056010 +b1101110010011000110110001010101 J" +#9056500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9057000 +1] +1s +1\ +1[ +0>" +0@" +1r +05" +06" +07" +b11111 3" +0^ +0_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11111110000000111011010000111011 0 +b11111110000000111011010000111011 M +b11111110000000111011010000111011 f +b11111110000000111011010000111011 !" +0. +1% +#9057500 +16 +1( +1. +0% +#9058000 +b11000100110001001111101000001110 | +b11000100110001001111101000001110 B" +17" +1u +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +1#" +0. +1% +#9058500 +b11010 5 +b11010 L +b11010 W +14 +06 +b11010 ' +b11010 D +1) +0( +1. +0% +#9059000 +0i +b1100100100001011010010111111011 { +b1100100100001011010010111111011 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +19" +1;" +07" +b101 0" +1o +0k +18" +1l +0u +1n +1/" +0," +b11010 ~ +b11010 )" +b11010 ." +1$" +0#" +b11000100110001001111101000001110 0 +b11000100110001001111101000001110 M +b11000100110001001111101000001110 f +b11000100110001001111101000001110 !" +0. +1% +#9059500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +1. +0% +#9060000 +1i +0s +b111011011000100000001110011010 | +b111011011000100000001110011010 B" +1h +09" +0;" +0r +0q +15" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +0t +0y +1z +0u +14" +1v +0n +0/" +1x +1w +1," +b1100100100001011010010111111011 / +b1100100100001011010010111111011 K +b1100100100001011010010111111011 e +b1100100100001011010010111111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#9060500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +1. +0% +#9061000 +0i +b111011011000100000001110011010 { +b111011011000100000001110011010 A" +1s +0h +0g +19" +1:" +1;" +1r +1q +05" +06" +07" +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1m +1/" +0x +0w +0," +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111011011000100000001110011010 0 +b111011011000100000001110011010 M +b111011011000100000001110011010 f +b111011011000100000001110011010 !" +0. +1% +#9061500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9062000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b111011011000100000001110011010 / +b111011011000100000001110011010 K +b111011011000100000001110011010 e +b111011011000100000001110011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9062500 +b100010111011010011100110010001 2 +b100010111011010011100110010001 I +b100010111011010011100110010001 Z +b11110 3 +b11110 J +b11110 Y +11 +b100010111011010011100110010001 , +b100010111011010011100110010001 H +b11110 + +b11110 G +1- +1. +0% +#9063000 +0] +0\ +1=" +1>" +1@" +b1 3" +0^ +0c +1d +0_ +1<" +1` +1b +12" +b100010111011010011100110010001 &" +b100010111011010011100110010001 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9063010 +b100010111011010011100110010001 a" +#9063500 +b1111 5 +b1111 L +b1111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9064000 +1] +0i +b10000100001011101010010111100101 { +b10000100001011101010010111100101 A" +1\ +0=" +0>" +0@" +0h +0g +19" +1:" +1;" +b11111 3" +0d +0<" +0` +b10000 0" +0j +0o +1p +1k +0b +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#9064500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9065000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10000100001011101010010111100101 / +b10000100001011101010010111100101 K +b10000100001011101010010111100101 e +b10000100001011101010010111100101 "" +0. +1% +#9065500 +b10001 7 +b10001 N +b10001 V +16 +b10001 & +b10001 C +1( +1. +0% +#9066000 +b111000001000100101111010011 | +b111000001000100101111010011 B" +0q +17" +b1110 -" +0u +14" +1v +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#9066500 +b10000 7 +b10000 N +b10000 V +b10100011100101110101101100111110 2 +b10100011100101110101101100111110 I +b10100011100101110101101100111110 Z +b10010 3 +b10010 J +b10010 Y +11 +b10000 & +b10000 C +b10100011100101110101101100111110 , +b10100011100101110101101100111110 H +b10010 + +b10010 G +1- +1. +0% +#9067000 +0\ +1@" +1q +b1101 3" +0_ +1<" +1` +b1111 -" +b10110101100101110000010110011011 | +b10110101100101110000010110011011 B" +1b +12" +0w +b10100011100101110101101100111110 &" +b10100011100101110101101100111110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b10000 } +b10000 (" +b10000 +" +b111000001000100101111010011 0 +b111000001000100101111010011 M +b111000001000100101111010011 f +b111000001000100101111010011 !" +0. +1% +#9067010 +b10100011100101110101101100111110 U" +#9067500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9068000 +0i +b10011001100011100110110001110101 { +b10011001100011100110110001110101 A" +1\ +0@" +0g +19" +1:" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +04" +0v +0b +02" +1m +1/" +0," +b10110101100101110000010110011011 0 +b10110101100101110000010110011011 M +b10110101100101110000010110011011 f +b10110101100101110000010110011011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9068500 +b0 5 +b0 L +b0 W +04 +b10010001011001101110100000000110 2 +b10010001011001101110100000000110 I +b10010001011001101110100000000110 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b10010001011001101110100000000110 , +b10010001011001101110100000000110 H +b11101 + +b11101 G +1- +1. +0% +#9069000 +0] +1i +0[ +1=" +1>" +1@" +1g +09" +0:" +0;" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0m +0/" +b10010001011001101110100000000110 &" +b10010001011001101110100000000110 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011001100011100110110001110101 / +b10011001100011100110110001110101 K +b10011001100011100110110001110101 e +b10011001100011100110110001110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9069010 +b10010001011001101110100000000110 `" +#9069500 +b11110 7 +b11110 N +b11110 V +16 +b1010100100011001100100110111101 2 +b1010100100011001100100110111101 I +b1010100100011001100100110111101 Z +b0 3 +b0 J +b0 Y +b11110 & +b11110 C +1( +b1010100100011001100100110111101 , +b1010100100011001100100110111101 H +b0 + +b0 G +1. +0% +#9070000 +1_ +1] +0s +b100010111011010011100110010001 | +b100010111011010011100110010001 B" +1[ +1@" +0=" +0>" +0r +15" +16" +17" +b11111 3" +0<" +0` +0d +b1 -" +0t +0y +1z +0u +14" +1v +0a +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010100100011001100100110111101 &" +b1010100100011001100100110111101 ?" +b0 %" +b0 *" +b0 1" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#9070010 +b1010100100011001100100110111101 C" +#9070500 +b0 7 +b0 N +b0 V +06 +b11101100101101011111010110010110 2 +b11101100101101011111010110010110 I +b11101100101101011111010110010110 Z +b0 & +b0 C +0( +b11101100101101011111010110010110 , +b11101100101101011111010110010110 H +1. +0% +#9071000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b11101100101101011111010110010110 &" +b11101100101101011111010110010110 ?" +b0 } +b0 (" +b0 +" +0#" +b100010111011010011100110010001 0 +b100010111011010011100110010001 M +b100010111011010011100110010001 f +b100010111011010011100110010001 !" +0. +1% +#9071010 +b11101100101101011111010110010110 C" +#9071500 +b1101111111011001000110101100 2 +b1101111111011001000110101100 I +b1101111111011001000110101100 Z +b11011 3 +b11011 J +b11011 Y +b1101111111011001000110101100 , +b1101111111011001000110101100 H +b11011 + +b11011 G +1. +0% +#9072000 +0_ +0] +0\ +0[ +1=" +b100 3" +1<" +1` +1c +1b +1a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101111111011001000110101100 &" +b1101111111011001000110101100 ?" +b11011 %" +b11011 *" +b11011 1" +0. +1% +#9072010 +b1101111111011001000110101100 ^" +#9072500 +b11101 7 +b11101 N +b11101 V +16 +b11111101100100010010011010101101 2 +b11111101100100010010011010101101 I +b11111101100100010010011010101101 Z +b11001 3 +b11001 J +b11001 Y +b11101 & +b11101 C +1( +b11111101100100010010011010101101 , +b11111101100100010010011010101101 H +b11001 + +b11001 G +1. +0% +#9073000 +0s +b10010001011001101110100000000110 | +b10010001011001101110100000000110 B" +1\ +0q +15" +16" +17" +b110 3" +b10 -" +0t +0y +1z +0u +14" +1v +0b +1w +1," +b11111101100100010010011010101101 &" +b11111101100100010010011010101101 ?" +b11001 %" +b11001 *" +b11001 1" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#9073010 +b11111101100100010010011010101101 \" +#9073500 +b0 7 +b0 N +b0 V +06 +b110101001100000110101101001 2 +b110101001100000110101101001 I +b110101001100000110101101001 Z +b1111 3 +b1111 J +b1111 Y +b0 & +b0 C +0( +b110101001100000110101101001 , +b110101001100000110101101001 H +b1111 + +b1111 G +1. +0% +#9074000 +1_ +0c +1s +0\ +1@" +1>" +1q +05" +06" +07" +b10000 3" +0<" +0` +1d +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0w +0," +b10010001011001101110100000000110 0 +b10010001011001101110100000000110 M +b10010001011001101110100000000110 f +b10010001011001101110100000000110 !" +b110101001100000110101101001 &" +b110101001100000110101101001 ?" +b1111 %" +b1111 *" +b1111 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9074010 +b110101001100000110101101001 R" +#9074500 +b10000 5 +b10000 L +b10000 W +14 +b10010010011110110001111111000111 2 +b10010010011110110001111111000111 I +b10010010011110110001111111000111 Z +b10111 3 +b10111 J +b10111 Y +b10000 ' +b10000 D +1) +b10010010011110110001111111000111 , +b10010010011110110001111111000111 H +b10111 + +b10111 G +1. +0% +#9075000 +0_ +1^ +b10110101100101110000010110011011 { +b10110101100101110000010110011011 A" +0=" +1>" +1;" +b1000 3" +1<" +1` +0d +b1111 0" +0k +18" +1l +1/" +b10010010011110110001111111000111 &" +b10010010011110110001111111000111 ?" +b10111 %" +b10111 *" +b10111 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9075010 +b10010010011110110001111111000111 Z" +#9075500 +b11000 5 +b11000 L +b11000 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9076000 +1] +0i +b10110011001111010010100100011101 { +b10110011001111010010100100011101 A" +1\ +1[ +0>" +0@" +19" +b11111 3" +0^ +0<" +0` +b111 0" +1o +0b +0a +02" +b10110101100101110000010110011011 / +b10110101100101110000010110011011 K +b10110101100101110000010110011011 e +b10110101100101110000010110011011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +0. +1% +#9076500 +b10100 5 +b10100 L +b10100 W +b10100 ' +b10100 D +1. +0% +#9077000 +1:" +1j +b1101010000010001100100001001 { +b1101010000010001100100001001 A" +09" +b1011 0" +0o +b10100 ~ +b10100 )" +b10100 ." +b10110011001111010010100100011101 / +b10110011001111010010100100011101 K +b10110011001111010010100100011101 e +b10110011001111010010100100011101 "" +0. +1% +#9077500 +b0 5 +b0 L +b0 W +04 +b10010100101000001101011001010011 2 +b10010100101000001101011001010011 I +b10010100101000001101011001010011 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10010100101000001101011001010011 , +b10010100101000001101011001010011 H +b1010 + +b1010 G +1- +1. +0% +#9078000 +0] +1i +0\ +1=" +1@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10101 3" +1c +1_ +b11111 0" +0j +08" +0l +1b +12" +0/" +b1101010000010001100100001001 / +b1101010000010001100100001001 K +b1101010000010001100100001001 e +b1101010000010001100100001001 "" +b10010100101000001101011001010011 &" +b10010100101000001101011001010011 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9078010 +b10010100101000001101011001010011 M" +#9078500 +b10010011110000100110011111011101 2 +b10010011110000100110011111011101 I +b10010011110000100110011111011101 Z +b10001 3 +b10001 J +b10001 Y +b10010011110000100110011111011101 , +b10010011110000100110011111011101 H +b10001 + +b10001 G +1. +0% +#9079000 +0_ +1] +1\ +0[ +0=" +b1110 3" +1<" +1` +0c +0b +1a +b10010011110000100110011111011101 &" +b10010011110000100110011111011101 ?" +b10001 %" +b10001 *" +b10001 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9079010 +b10010011110000100110011111011101 T" +#9079500 +b11110111001101000100000110010111 2 +b11110111001101000100000110010111 I +b11110111001101000100000110010111 Z +b11001 3 +b11001 J +b11001 Y +b11110111001101000100000110010111 , +b11110111001101000100000110010111 H +b11001 + +b11001 G +1. +0% +#9080000 +0] +1=" +b110 3" +1c +b11110111001101000100000110010111 &" +b11110111001101000100000110010111 ?" +b11001 %" +b11001 *" +b11001 1" +0. +1% +#9080010 +b11110111001101000100000110010111 \" +#9080500 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9081000 +1] +b10100011100101110101101100111110 | +b10100011100101110101101100111110 B" +1[ +0=" +0@" +0r +17" +b11111 3" +0c +0<" +0` +b1101 -" +0u +14" +1v +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#9081500 +b11000 5 +b11000 L +b11000 W +14 +b10010001101011101000100010000010 2 +b10010001101011101000100010000010 I +b10010001101011101000100010000010 Z +b10101 3 +b10101 J +b10101 Y +11 +b11000 ' +b11000 D +1) +b10010001101011101000100010000010 , +b10010001101011101000100010000010 H +b10101 + +b10101 G +1- +1. +0% +#9082000 +0] +0i +b10110011001111010010100100011101 { +b10110011001111010010100100011101 A" +0[ +1>" +1@" +19" +1;" +b1010 3" +1^ +0_ +1<" +1` +b111 0" +1o +0k +18" +1l +1a +12" +1/" +b10100011100101110101101100111110 0 +b10100011100101110101101100111110 M +b10100011100101110101101100111110 f +b10100011100101110101101100111110 !" +b10010001101011101000100010000010 &" +b10010001101011101000100010000010 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#9082010 +b10010001101011101000100010000010 X" +#9082500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9083000 +1] +1i +1[ +0>" +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0/" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10110011001111010010100100011101 / +b10110011001111010010100100011101 K +b10110011001111010010100100011101 e +b10110011001111010010100100011101 "" +0. +1% +#9083500 +b1 7 +b1 N +b1 V +16 +b1 & +b1 C +1( +1. +0% +#9084000 +b10101000011111100010000111111011 | +b10101000011111100010000111111011 B" +0q +17" +b11110 -" +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#9084500 +b11000 5 +b11000 L +b11000 W +14 +b1100 7 +b1100 N +b1100 V +b11000 ' +b11000 D +1) +b1100 & +b1100 C +1. +0% +#9085000 +0i +b10110011001111010010100100011101 { +b10110011001111010010100100011101 A" +0s +19" +1;" +1q +15" +16" +b111 0" +1o +0k +18" +1l +b10011 -" +0t +0y +1z +b1010100111100110010100101000111 | +b1010100111100110010100101000111 B" +1/" +0w +b11000 ~ +b11000 )" +b11000 ." +1$" +b1100 } +b1100 (" +b1100 +" +b10101000011111100010000111111011 0 +b10101000011111100010000111111011 M +b10101000011111100010000111111011 f +b10101000011111100010000111111011 !" +0. +1% +#9085500 +b1110 7 +b1110 N +b1110 V +b1110 & +b1110 C +1. +0% +#9086000 +0r +b10001 -" +b11100100011100000010000111001001 | +b11100100011100000010000111001001 B" +1x +b10110011001111010010100100011101 / +b10110011001111010010100100011101 K +b10110011001111010010100100011101 e +b10110011001111010010100100011101 "" +b1010100111100110010100101000111 0 +b1010100111100110010100101000111 M +b1010100111100110010100101000111 f +b1010100111100110010100101000111 !" +b1110 } +b1110 (" +b1110 +" +0. +1% +#9086500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10110001110100011010010000101000 2 +b10110001110100011010010000101000 I +b10110001110100011010010000101000 Z +b10101 3 +b10101 J +b10101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10110001110100011010010000101000 , +b10110001110100011010010000101000 H +b10101 + +b10101 G +1- +1. +0% +#9087000 +0] +1i +1s +0[ +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +05" +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b11111 0" +0o +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0/" +0x +0," +b10110001110100011010010000101000 &" +b10110001110100011010010000101000 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11100100011100000010000111001001 0 +b11100100011100000010000111001001 M +b11100100011100000010000111001001 f +b11100100011100000010000111001001 !" +0. +1% +#9087010 +b10110001110100011010010000101000 X" +#9087500 +b1001 7 +b1001 N +b1001 V +16 +b10101001010010101111100111101 2 +b10101001010010101111100111101 I +b10101001010010101111100111101 Z +b110 3 +b110 J +b110 Y +b1001 & +b1001 C +1( +b10101001010010101111100111101 , +b10101001010010101111100111101 H +b110 + +b110 G +1. +0% +#9088000 +1_ +0s +b10010010011000011001011101000010 | +b10010010011000011001011101000010 B" +0\ +1[ +1@" +0q +15" +17" +b11001 3" +0<" +0` +b10110 -" +1y +1u +1b +0a +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101001010010101111100111101 &" +b10101001010010101111100111101 ?" +b110 %" +b110 *" +b110 1" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#9088010 +b10101001010010101111100111101 I" +#9088500 +b111 7 +b111 N +b111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b111 & +b111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9089000 +16" +1] +1t +1\ +0>" +0@" +0r +05" +b11111 3" +0^ +0_ +b11000 -" +0y +b1101110010011000110110001010101 | +b1101110010011000110110001010101 B" +0b +02" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b111 } +b111 (" +b111 +" +b10010010011000011001011101000010 0 +b10010010011000011001011101000010 M +b10010010011000011001011101000010 f +b10010010011000011001011101000010 !" +0. +1% +#9089500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9090000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b1101110010011000110110001010101 0 +b1101110010011000110110001010101 M +b1101110010011000110110001010101 f +b1101110010011000110110001010101 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9090500 +b1101011001101110010010111010011 2 +b1101011001101110010010111010011 I +b1101011001101110010010111010011 Z +b110 3 +b110 J +b110 Y +11 +b1101011001101110010010111010011 , +b1101011001101110010010111010011 H +b110 + +b110 G +1- +1. +0% +#9091000 +0] +0\ +1>" +1@" +b11001 3" +1^ +1_ +1b +12" +b1101011001101110010010111010011 &" +b1101011001101110010010111010011 ?" +b110 %" +b110 *" +b110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9091010 +b1101011001101110010010111010011 I" +#9091500 +b10001 7 +b10001 N +b10001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 & +b10001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9092000 +1] +b10010011110000100110011111011101 | +b10010011110000100110011111011101 B" +1\ +0>" +0@" +0q +17" +b11111 3" +0^ +0_ +b1110 -" +0u +14" +1v +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#9092500 +b1000 5 +b1000 L +b1000 W +14 +b10011 7 +b10011 N +b10011 V +b1011000100111111111010000011001 2 +b1011000100111111111010000011001 I +b1011000100111111111010000011001 Z +b11000 3 +b11000 J +b11000 Y +11 +b1000 ' +b1000 D +1) +b10011 & +b10011 C +b1011000100111111111010000011001 , +b1011000100111111111010000011001 H +b11000 + +b11000 G +1- +1. +0% +#9093000 +0] +0i +b1000010001000111010110000110011 { +b1000010001000111010110000110011 A" +1=" +1@" +19" +1;" +0r +b111 3" +1c +0_ +1<" +1` +b10111 0" +1o +1k +b1100 -" +b1111100011111000001000000110 | +b1111100011111000001000000110 B" +12" +1/" +1x +b1011000100111111111010000011001 &" +b1011000100111111111010000011001 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b10011 } +b10011 (" +b10011 +" +b10010011110000100110011111011101 0 +b10010011110000100110011111011101 M +b10010011110000100110011111011101 f +b10010011110000100110011111011101 !" +0. +1% +#9093010 +b1011000100111111111010000011001 [" +#9093500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b11001001110111011101010101100011 2 +b11001001110111011101010101100011 I +b11001001110111011101010101100011 Z +b11011 3 +b11011 J +b11011 Y +b0 ' +b0 D +0) +b10110 & +b10110 C +b11001001110111011101010101100011 , +b11001001110111011101010101100011 H +b11011 + +b11011 G +1. +0% +#9094000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +0\ +0[ +09" +0;" +1q +16" +b100 3" +b11111 0" +0o +0k +b1001 -" +1t +b11010111011101000011110100011010 | +b11010111011101000011110100011010 B" +1b +1a +0/" +0w +b1000010001000111010110000110011 / +b1000010001000111010110000110011 K +b1000010001000111010110000110011 e +b1000010001000111010110000110011 "" +b1111100011111000001000000110 0 +b1111100011111000001000000110 M +b1111100011111000001000000110 f +b1111100011111000001000000110 !" +b11001001110111011101010101100011 &" +b11001001110111011101010101100011 ?" +b11011 %" +b11011 *" +b11011 1" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#9094010 +b11001001110111011101010101100011 ^" +#9094500 +b1000 5 +b1000 L +b1000 W +14 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9095000 +1] +0i +b1000010001000111010110000110011 { +b1000010001000111010110000110011 A" +0t +1\ +1[ +0=" +0@" +19" +1;" +1r +0q +15" +b11111 3" +0c +0<" +0` +b10111 0" +1o +1k +b10 -" +1z +b10010001011001101110100000000110 | +b10010001011001101110100000000110 B" +0b +0a +02" +1/" +0x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b11101 } +b11101 (" +b11101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11010111011101000011110100011010 0 +b11010111011101000011110100011010 M +b11010111011101000011110100011010 f +b11010111011101000011110100011010 !" +0. +1% +#9095500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b0 ' +b0 D +0) +b10011 & +b10011 C +1. +0% +#9096000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0;" +0r +05" +06" +b11111 0" +0o +0k +b1100 -" +0z +b1111100011111000001000000110 | +b1111100011111000001000000110 B" +0/" +1x +b1000010001000111010110000110011 / +b1000010001000111010110000110011 K +b1000010001000111010110000110011 e +b1000010001000111010110000110011 "" +b10010001011001101110100000000110 0 +b10010001011001101110100000000110 M +b10010001011001101110100000000110 f +b10010001011001101110100000000110 !" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +0. +1% +#9096500 +b1101 5 +b1101 L +b1101 W +14 +b11111 7 +b11111 N +b11111 V +b1101 ' +b1101 D +1) +b11111 & +b11111 C +1. +0% +#9097000 +0i +b11111000101111110111100001110000 { +b11111000101111110111100001110000 A" +0s +b111011011000100000001110011010 | +b111011011000100000001110011010 B" +0g +19" +1:" +1;" +15" +16" +b10010 0" +0j +0o +1p +1k +b0 -" +0t +0y +1z +1m +1/" +b1101 ~ +b1101 )" +b1101 ." +1$" +b11111 } +b11111 (" +b11111 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111100011111000001000000110 0 +b1111100011111000001000000110 M +b1111100011111000001000000110 f +b1111100011111000001000000110 !" +0. +1% +#9097500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9098000 +1i +1s +1g +09" +0:" +0;" +1r +1q +05" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0w +0," +b11111000101111110111100001110000 / +b11111000101111110111100001110000 K +b11111000101111110111100001110000 e +b11111000101111110111100001110000 "" +b111011011000100000001110011010 0 +b111011011000100000001110011010 M +b111011011000100000001110011010 f +b111011011000100000001110011010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9098500 +b11111 7 +b11111 N +b11111 V +16 +b11111 & +b11111 C +1( +1. +0% +#9099000 +0s +b111011011000100000001110011010 | +b111011011000100000001110011010 B" +0r +0q +15" +16" +17" +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9099500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9100000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b111011011000100000001110011010 0 +b111011011000100000001110011010 M +b111011011000100000001110011010 f +b111011011000100000001110011010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9100500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#9101000 +0i +b10010010011000011001011101000010 { +b10010010011000011001011101000010 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9101500 +b10101 5 +b10101 L +b10101 W +b10101 ' +b10101 D +1. +0% +#9102000 +1:" +0k +1j +b10110001110100011010010000101000 { +b10110001110100011010010000101000 A" +09" +b1010 0" +18" +1l +0o +b10010010011000011001011101000010 / +b10010010011000011001011101000010 K +b10010010011000011001011101000010 e +b10010010011000011001011101000010 "" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#9102500 +b1110 5 +b1110 L +b1110 W +b1010 7 +b1010 N +b1010 V +16 +b11101011000101101011111011100110 2 +b11101011000101101011111011100110 I +b11101011000101101011111011100110 Z +b100 3 +b100 J +b100 Y +11 +b1110 ' +b1110 D +b1010 & +b1010 C +1( +b11101011000101101011111011100110 , +b11101011000101101011111011100110 H +b100 + +b100 G +1- +1. +0% +#9103000 +0] +1k +0j +0s +b10010100101000001101011001010011 | +b10010100101000001101011001010011 B" +1>" +1@" +0h +1g +1;" +19" +0r +15" +17" +b11011 3" +1^ +1_ +b10001 0" +08" +0l +1p +b11100100011100000010000111001001 { +b11100100011100000010000111001001 A" +b10101 -" +1y +1u +12" +1n +0m +1x +1," +b11101011000101101011111011100110 &" +b11101011000101101011111011100110 ?" +b100 %" +b100 *" +b100 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b1010 } +b1010 (" +b1010 +" +1#" +b10110001110100011010010000101000 / +b10110001110100011010010000101000 K +b10110001110100011010010000101000 e +b10110001110100011010010000101000 "" +0. +1% +#9103010 +b11101011000101101011111011100110 G" +#9103500 +b1010 5 +b1010 L +b1010 W +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9104000 +16" +1] +1o +b10010100101000001101011001010011 { +b10010100101000001101011001010011 A" +0u +1t +0>" +0@" +19" +0:" +1r +05" +b11111 3" +0^ +0_ +b10101 0" +0p +b1011 -" +14" +1v +0y +b1101010000010001100100001001 | +b1101010000010001100100001001 B" +02" +0x +b11100100011100000010000111001001 / +b11100100011100000010000111001001 K +b11100100011100000010000111001001 e +b11100100011100000010000111001001 "" +b10010100101000001101011001010011 0 +b10010100101000001101011001010011 M +b10010100101000001101011001010011 f +b10010100101000001101011001010011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +b10100 } +b10100 (" +b10100 +" +0. +1% +#9104500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +b10010010110111000010110010000010 2 +b10010010110111000010110010000010 I +b10010010110111000010110010000010 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b10011 & +b10011 C +b10010010110111000010110010000010 , +b10010010110111000010110010000010 H +b111 + +b111 G +1- +1. +0% +#9105000 +0] +1i +1s +0\ +0[ +1>" +1@" +1h +09" +0;" +0r +0q +06" +b11000 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0t +b1111100011111000001000000110 | +b1111100011111000001000000110 B" +1b +1a +12" +0n +0/" +1x +1w +b10010010110111000010110010000010 &" +b10010010110111000010110010000010 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +b10010100101000001101011001010011 / +b10010100101000001101011001010011 K +b10010100101000001101011001010011 e +b10010100101000001101011001010011 "" +b1101010000010001100100001001 0 +b1101010000010001100100001001 M +b1101010000010001100100001001 f +b1101010000010001100100001001 !" +0. +1% +#9105010 +b10010010110111000010110010000010 J" +#9105500 +b10011 5 +b10011 L +b10011 W +14 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9106000 +1] +b1111100011111000001000000110 { +b1111100011111000001000000110 A" +1u +1\ +1[ +0>" +0@" +0h +0g +1;" +1r +17" +b11111 3" +0^ +0_ +b1100 0" +0k +18" +1l +b11110 -" +04" +0v +b10101000011111100010000111111011 | +b10101000011111100010000111111011 B" +0b +0a +02" +1n +1m +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111100011111000001000000110 0 +b1111100011111000001000000110 M +b1111100011111000001000000110 f +b1111100011111000001000000110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b1 } +b1 (" +b1 +" +0. +1% +#9106500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9107000 +1h +1g +0;" +1q +07" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1111100011111000001000000110 / +b1111100011111000001000000110 K +b1111100011111000001000000110 e +b1111100011111000001000000110 "" +b10101000011111100010000111111011 0 +b10101000011111100010000111111011 M +b10101000011111100010000111111011 f +b10101000011111100010000111111011 !" +0. +1% +#9107500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#9108000 +b1100010011011100101010101011011 | +b1100010011011100101010101011011 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#9108500 +b0 7 +b0 N +b0 V +06 +b101001101111001001101111100101 2 +b101001101111001001101111100101 I +b101001101111001001101111100101 Z +b11111 3 +b11111 J +b11111 Y +11 +b0 & +b0 C +0( +b101001101111001001101111100101 , +b101001101111001001101111100101 H +b11111 + +b11111 G +1- +1. +0% +#9109000 +0] +0\ +0[ +1=" +1>" +1@" +1r +1q +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0x +0w +0," +b101001101111001001101111100101 &" +b101001101111001001101111100101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b1100010011011100101010101011011 0 +b1100010011011100101010101011011 M +b1100010011011100101010101011011 f +b1100010011011100101010101011011 !" +0. +1% +#9109500 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9110000 +1] +0s +b1000010001000111010110000110011 | +b1000010001000111010110000110011 B" +1\ +1[ +0=" +0>" +0@" +15" +17" +b11111 3" +0d +0<" +0` +b10111 -" +1y +1u +0b +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#9110500 +b1001 7 +b1001 N +b1001 V +b1001 & +b1001 C +1. +0% +#9111000 +0q +b10110 -" +b10010010011000011001011101000010 | +b10010010011000011001011101000010 B" +1w +b1001 } +b1001 (" +b1001 +" +b1000010001000111010110000110011 0 +b1000010001000111010110000110011 M +b1000010001000111010110000110011 f +b1000010001000111010110000110011 !" +0. +1% +#9111500 +14 +b0 7 +b0 N +b0 V +06 +b10100110111101010101001010000101 2 +b10100110111101010101001010000101 I +b10100110111101010101001010000101 Z +b10010 3 +b10010 J +b10010 Y +11 +1) +b0 & +b0 C +0( +b10100110111101010101001010000101 , +b10100110111101010101001010000101 H +b10010 + +b10010 G +1- +1. +0% +#9112000 +b11101100101101011111010110010110 { +b11101100101101011111010110010110 A" +1s +0\ +1@" +1;" +1q +05" +07" +b1101 3" +0_ +1<" +1` +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1/" +0w +0," +b10010010011000011001011101000010 0 +b10010010011000011001011101000010 M +b10010010011000011001011101000010 f +b10010010011000011001011101000010 !" +b10100110111101010101001010000101 &" +b10100110111101010101001010000101 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9112010 +b10100110111101010101001010000101 U" +#9112500 +b10 5 +b10 L +b10 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9113000 +1\ +0@" +0h +b11111 3" +0<" +0` +b11101 0" +b10110000010011010110011001000010 { +b10110000010011010110011001000010 A" +0b +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b11101100101101011111010110010110 / +b11101100101101011111010110010110 K +b11101100101101011111010110010110 e +b11101100101101011111010110010110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9113500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +1. +0% +#9114000 +0s +b100010111011010011100110010001 | +b100010111011010011100110010001 B" +1h +0;" +0r +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0n +0/" +1x +1," +b10110000010011010110011001000010 / +b10110000010011010110011001000010 K +b10110000010011010110011001000010 e +b10110000010011010110011001000010 "" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#9114500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9115000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100010111011010011100110010001 0 +b100010111011010011100110010001 M +b100010111011010011100110010001 f +b100010111011010011100110010001 !" +0. +1% +#9115500 +1. +0% +#9116000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9116500 +1. +0% +#9117000 +0. +1% +#9117500 +b11111 7 +b11111 N +b11111 V +16 +b11111 & +b11111 C +1( +1. +0% +#9118000 +0s +b101001101111001001101111100101 | +b101001101111001001101111100101 B" +0r +0q +15" +16" +17" +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#9118500 +b0 7 +b0 N +b0 V +b0 & +b0 C +1. +0% +#9119000 +1u +1s +1r +1q +17" +05" +06" +b11111 -" +04" +0v +0z +b11101100101101011111010110010110 | +b11101100101101011111010110010110 B" +0x +0w +b0 } +b0 (" +b0 +" +b101001101111001001101111100101 0 +b101001101111001001101111100101 M +b101001101111001001101111100101 f +b101001101111001001101111100101 !" +0. +1% +#9119500 +06 +0( +1. +0% +#9120000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +07" +0u +0," +b11101100101101011111010110010110 0 +b11101100101101011111010110010110 M +b11101100101101011111010110010110 f +b11101100101101011111010110010110 !" +0#" +0. +1% +#9120500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#9121000 +0i +b10010001011001101110100000000110 { +b10010001011001101110100000000110 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9121500 +b11010 5 +b11010 L +b11010 W +b11010 ' +b11010 D +1. +0% +#9122000 +1o +0h +1g +19" +0:" +b101 0" +0p +b1100100100001011010010111111011 { +b1100100100001011010010111111011 A" +1n +0m +b10010001011001101110100000000110 / +b10010001011001101110100000000110 K +b10010001011001101110100000000110 e +b10010001011001101110100000000110 "" +b11010 ~ +b11010 )" +b11010 ." +0. +1% +#9122500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +16 +b0 ' +b0 D +0) +b11111 & +b11111 C +1( +1. +0% +#9123000 +1i +0s +b101001101111001001101111100101 | +b101001101111001001101111100101 B" +1h +09" +0;" +0r +0q +15" +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b0 -" +0t +0y +1z +0u +14" +1v +0n +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +1#" +b1100100100001011010010111111011 / +b1100100100001011010010111111011 K +b1100100100001011010010111111011 e +b1100100100001011010010111111011 "" +0. +1% +#9123500 +b0 7 +b0 N +b0 V +06 +b1111110010011111101101000101010 2 +b1111110010011111101101000101010 I +b1111110010011111101101000101010 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 & +b0 C +0( +b1111110010011111101101000101010 , +b1111110010011111101101000101010 H +b1011 + +b1011 G +1- +1. +0% +#9124000 +0] +1s +0\ +0[ +1=" +1@" +1r +1q +05" +06" +07" +b10100 3" +1c +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b101001101111001001101111100101 0 +b101001101111001001101111100101 M +b101001101111001001101111100101 f +b101001101111001001101111100101 !" +b1111110010011111101101000101010 &" +b1111110010011111101101000101010 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9124010 +b1111110010011111101101000101010 N" +#9124500 +b100 5 +b100 L +b100 W +14 +b111 7 +b111 N +b111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b111 & +b111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9125000 +1] +0i +b11101011000101101011111011100110 { +b11101011000101101011111011100110 A" +0s +b10010010110111000010110010000010 | +b10010010110111000010110010000010 B" +1\ +1[ +0=" +0@" +1:" +1;" +0r +0q +16" +17" +b11111 3" +0c +0_ +b11011 0" +1j +1k +b11000 -" +1t +1u +0b +0a +02" +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9125500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9126000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +0:" +0;" +1r +1q +06" +07" +b11111 0" +0j +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0w +0," +b11101011000101101011111011100110 / +b11101011000101101011111011100110 K +b11101011000101101011111011100110 e +b11101011000101101011111011100110 "" +b10010010110111000010110010000010 0 +b10010010110111000010110010000010 M +b10010010110111000010110010000010 f +b10010010110111000010110010000010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9126500 +1. +0% +#9127000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9127500 +1. +0% +#9128000 +0. +1% +#9128500 +1. +0% +#9129000 +0. +1% +#9129500 +b11110 7 +b11110 N +b11110 V +16 +b11110 & +b11110 C +1( +1. +0% +#9130000 +0s +b100010111011010011100110010001 | +b100010111011010011100110010001 B" +0r +15" +16" +17" +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#9130500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9131000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0 } +b0 (" +b0 +" +0#" +b100010111011010011100110010001 0 +b100010111011010011100110010001 M +b100010111011010011100110010001 f +b100010111011010011100110010001 !" +0. +1% +#9131500 +1. +0% +#9132000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9132500 +b10100 7 +b10100 N +b10100 V +16 +b10100 & +b10100 C +1( +1. +0% +#9133000 +0s +b1101010000010001100100001001 | +b1101010000010001100100001001 B" +16" +17" +b1011 -" +1t +0u +14" +1v +1," +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#9133500 +b11000 5 +b11000 L +b11000 W +14 +b0 7 +b0 N +b0 V +06 +b11000 ' +b11000 D +1) +b0 & +b0 C +0( +1. +0% +#9134000 +0i +b1011000100111111111010000011001 { +b1011000100111111111010000011001 A" +1s +19" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b111 0" +1o +0k +18" +1l +b11111 -" +0t +04" +0v +1/" +0," +b1101010000010001100100001001 0 +b1101010000010001100100001001 M +b1101010000010001100100001001 f +b1101010000010001100100001001 !" +b11000 ~ +b11000 )" +b11000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9134500 +b0 5 +b0 L +b0 W +04 +b11101001111001011000011100111000 2 +b11101001111001011000011100111000 I +b11101001111001011000011100111000 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b11101001111001011000011100111000 , +b11101001111001011000011100111000 H +b1101 + +b1101 G +1- +1. +0% +#9135000 +0] +1i +0[ +1=" +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0o +08" +0l +1a +12" +0/" +b11101001111001011000011100111000 &" +b11101001111001011000011100111000 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1011000100111111111010000011001 / +b1011000100111111111010000011001 K +b1011000100111111111010000011001 e +b1011000100111111111010000011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9135010 +b11101001111001011000011100111000 P" +#9135500 +b1010 7 +b1010 N +b1010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 & +b1010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9136000 +1] +0s +b10010100101000001101011001010011 | +b10010100101000001101011001010011 B" +1[ +0=" +0>" +0@" +0r +15" +17" +b11111 3" +0d +0_ +b10101 -" +1y +1u +0a +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#9136500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b1000101011100111100011101110 2 +b1000101011100111100011101110 I +b1000101011100111100011101110 Z +b110 3 +b110 J +b110 Y +11 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b1000101011100111100011101110 , +b1000101011100111100011101110 H +b110 + +b110 G +1- +1. +0% +#9137000 +0] +0i +b10110001110100011010010000101000 { +b10110001110100011010010000101000 A" +1s +0\ +1>" +1@" +0g +1:" +1;" +1r +05" +07" +b11001 3" +1^ +1_ +b1010 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +1/" +0x +0," +b1000101011100111100011101110 &" +b1000101011100111100011101110 ?" +b110 %" +b110 *" +b110 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10010100101000001101011001010011 0 +b10010100101000001101011001010011 M +b10010100101000001101011001010011 f +b10010100101000001101011001010011 !" +0. +1% +#9137010 +b1000101011100111100011101110 I" +#9137500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9138000 +1] +1i +b10100110111101010101001010000101 | +b10100110111101010101001010000101 B" +1\ +0>" +0@" +1g +0:" +0;" +0r +17" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +0u +14" +1v +0b +02" +0m +0/" +1x +1," +b10110001110100011010010000101000 / +b10110001110100011010010000101000 K +b10110001110100011010010000101000 e +b10110001110100011010010000101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +0. +1% +#9138500 +b0 7 +b0 N +b0 V +06 +b1110000000111110110100010101101 2 +b1110000000111110110100010101101 I +b1110000000111110110100010101101 Z +b11 3 +b11 J +b11 Y +11 +b0 & +b0 C +0( +b1110000000111110110100010101101 , +b1110000000111110110100010101101 H +b11 + +b11 G +1- +1. +0% +#9139000 +0\ +0[ +1@" +1r +07" +b11100 3" +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0x +0," +b1110000000111110110100010101101 &" +b1110000000111110110100010101101 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100110111101010101001010000101 0 +b10100110111101010101001010000101 M +b10100110111101010101001010000101 f +b10100110111101010101001010000101 !" +0. +1% +#9139010 +b1110000000111110110100010101101 F" +#9139500 +b1111 5 +b1111 L +b1111 W +14 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +1) +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9140000 +0i +b110101001100000110101101001 { +b110101001100000110101101001 A" +0s +b1101010000010001100100001001 | +b1101010000010001100100001001 B" +1\ +1[ +0@" +0h +0g +19" +1:" +1;" +16" +17" +b11111 3" +0_ +b10000 0" +0j +0o +1p +1k +b1011 -" +1t +0u +14" +1v +0b +0a +02" +1n +1m +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#9140500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b10101 ' +b10101 D +b0 & +b0 C +0( +1. +0% +#9141000 +0k +1j +1s +1h +09" +1:" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1010 0" +18" +1l +0p +b10110001110100011010010000101000 { +b10110001110100011010010000101000 A" +b11111 -" +0t +04" +0v +0n +0," +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +b110101001100000110101101001 / +b110101001100000110101101001 K +b110101001100000110101101001 e +b110101001100000110101101001 "" +b1101010000010001100100001001 0 +b1101010000010001100100001001 M +b1101010000010001100100001001 f +b1101010000010001100100001001 !" +0. +1% +#9141500 +b11001 5 +b11001 L +b11001 W +b11001 ' +b11001 D +1. +0% +#9142000 +19" +1o +b11110111001101000100000110010111 { +b11110111001101000100000110010111 A" +0:" +b110 0" +0j +b10110001110100011010010000101000 / +b10110001110100011010010000101000 K +b10110001110100011010010000101000 e +b10110001110100011010010000101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 ~ +b11001 )" +b11001 ." +0. +1% +#9142500 +b0 5 +b0 L +b0 W +04 +b11101111111101110111001100011011 2 +b11101111111101110111001100011011 I +b11101111111101110111001100011011 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b11101111111101110111001100011011 , +b11101111111101110111001100011011 H +b11101 + +b11101 G +1- +1. +0% +#9143000 +0] +1i +0[ +1=" +1>" +1@" +1g +09" +0;" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0m +0/" +b11101111111101110111001100011011 &" +b11101111111101110111001100011011 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110111001101000100000110010111 / +b11110111001101000100000110010111 K +b11110111001101000100000110010111 e +b11110111001101000100000110010111 "" +0. +1% +#9143010 +b11101111111101110111001100011011 `" +#9143500 +b101 5 +b101 L +b101 W +14 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 ' +b101 D +1) +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9144000 +1] +0i +b11111101100011111001011100100011 { +b11111101100011111001011100100011 A" +0s +b11111101100011111001011100100011 | +b11111101100011111001011100100011 B" +1[ +0=" +0>" +0@" +0g +1:" +1;" +0q +16" +17" +b11111 3" +0d +0<" +0` +b11010 0" +1j +1k +b11010 -" +1t +1u +0a +02" +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 ~ +b101 )" +b101 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#9144500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9145000 +1i +1s +1g +0:" +0;" +1q +06" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11111101100011111001011100100011 / +b11111101100011111001011100100011 K +b11111101100011111001011100100011 e +b11111101100011111001011100100011 "" +b11111101100011111001011100100011 0 +b11111101100011111001011100100011 M +b11111101100011111001011100100011 f +b11111101100011111001011100100011 !" +0. +1% +#9145500 +1. +0% +#9146000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9146500 +b10101 5 +b10101 L +b10101 W +14 +b10101 ' +b10101 D +1) +1. +0% +#9147000 +0i +b10110001110100011010010000101000 { +b10110001110100011010010000101000 A" +0g +1:" +1;" +b1010 0" +1j +0k +18" +1l +1m +1/" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#9147500 +b11 5 +b11 L +b11 W +b11 ' +b11 D +1. +0% +#9148000 +1k +1i +0h +1;" +0:" +b11100 0" +08" +0l +0j +b1110000000111110110100010101101 { +b1110000000111110110100010101101 A" +1n +b10110001110100011010010000101000 / +b10110001110100011010010000101000 K +b10110001110100011010010000101000 e +b10110001110100011010010000101000 "" +b11 ~ +b11 )" +b11 ." +0. +1% +#9148500 +b1010 5 +b1010 L +b1010 W +b1010 ' +b1010 D +1. +0% +#9149000 +0i +1g +19" +b10101 0" +1o +b10010100101000001101011001010011 { +b10010100101000001101011001010011 A" +0m +b1010 ~ +b1010 )" +b1010 ." +b1110000000111110110100010101101 / +b1110000000111110110100010101101 K +b1110000000111110110100010101101 e +b1110000000111110110100010101101 "" +0. +1% +#9149500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9150000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b10010100101000001101011001010011 / +b10010100101000001101011001010011 K +b10010100101000001101011001010011 e +b10010100101000001101011001010011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9150500 +b100 7 +b100 N +b100 V +16 +b100 & +b100 C +1( +1. +0% +#9151000 +0s +b11101011000101101011111011100110 | +b11101011000101101011111011100110 B" +16" +17" +b11011 -" +1t +1u +1," +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9151500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +1. +0% +#9152000 +0i +b11101111111101110111001100011011 { +b11101111111101110111001100011011 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +19" +1:" +1;" +06" +07" +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +0u +1m +1/" +0," +b11101011000101101011111011100110 0 +b11101011000101101011111011100110 M +b11101011000101101011111011100110 f +b11101011000101101011111011100110 !" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9152500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9153000 +1i +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11101111111101110111001100011011 / +b11101111111101110111001100011011 K +b11101111111101110111001100011011 e +b11101111111101110111001100011011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9153500 +b1110 5 +b1110 L +b1110 W +14 +b11111 7 +b11111 N +b11111 V +16 +b1110 ' +b1110 D +1) +b11111 & +b11111 C +1( +1. +0% +#9154000 +0i +b11100100011100000010000111001001 { +b11100100011100000010000111001001 A" +0s +b101001101111001001101111100101 | +b101001101111001001101111100101 B" +0h +19" +1:" +1;" +0r +0q +15" +16" +17" +b10001 0" +0j +0o +1p +1k +b0 -" +0t +0y +1z +0u +14" +1v +1n +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110 ~ +b1110 )" +b1110 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#9154500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b1011 ' +b1011 D +b0 & +b0 C +0( +1. +0% +#9155000 +1o +1s +0g +19" +0:" +1r +1q +05" +06" +07" +b10100 0" +0p +b1111110010011111101101000101010 { +b1111110010011111101101000101010 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +0x +0w +0," +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +b11100100011100000010000111001001 / +b11100100011100000010000111001001 K +b11100100011100000010000111001001 e +b11100100011100000010000111001001 "" +b101001101111001001101111100101 0 +b101001101111001001101111100101 M +b101001101111001001101111100101 f +b101001101111001001101111100101 !" +0. +1% +#9155500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9156000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1111110010011111101101000101010 / +b1111110010011111101101000101010 K +b1111110010011111101101000101010 e +b1111110010011111101101000101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9156500 +1. +0% +#9157000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9157500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#9158000 +0i +b110101001100000110101101001 { +b110101001100000110101101001 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b1111 ~ +b1111 )" +b1111 ." +1$" +0. +1% +#9158500 +b11001 5 +b11001 L +b11001 W +b11001100010100111000001001011001 2 +b11001100010100111000001001011001 I +b11001100010100111000001001011001 Z +b1110 3 +b1110 J +b1110 Y +11 +b11001 ' +b11001 D +b11001100010100111000001001011001 , +b11001100010100111000001001011001 H +b1110 + +b1110 G +1- +1. +0% +#9159000 +0] +0k +1o +0\ +1=" +1>" +1@" +1h +19" +0:" +b10001 3" +0^ +0c +1d +1_ +b110 0" +18" +1l +0p +b11110111001101000100000110010111 { +b11110111001101000100000110010111 A" +1b +12" +0n +b11001100010100111000001001011001 &" +b11001100010100111000001001011001 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +b110101001100000110101101001 / +b110101001100000110101101001 K +b110101001100000110101101001 e +b110101001100000110101101001 "" +0. +1% +#9159010 +b11001100010100111000001001011001 Q" +#9159500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9160000 +1] +1i +0s +b11101111111101110111001100011011 | +b11101111111101110111001100011011 B" +1\ +0=" +0>" +0@" +1g +09" +0;" +0q +15" +16" +17" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10 -" +0t +0y +1z +0u +14" +1v +0b +02" +0m +0/" +1w +1," +b11110111001101000100000110010111 / +b11110111001101000100000110010111 K +b11110111001101000100000110010111 e +b11110111001101000100000110010111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#9160500 +b11011 5 +b11011 L +b11011 W +14 +b1110 7 +b1110 N +b1110 V +b11010100110101011101110110100 2 +b11010100110101011101110110100 I +b11010100110101011101110110100 Z +b1100 3 +b1100 J +b1100 Y +11 +b11011 ' +b11011 D +1) +b1110 & +b1110 C +b11010100110101011101110110100 , +b11010100110101011101110110100 H +b1100 + +b1100 G +1- +1. +0% +#9161000 +0] +0i +b11001001110111011101010101100011 { +b11001001110111011101010101100011 A" +1u +1=" +1>" +1@" +0h +0g +19" +1;" +0r +1q +17" +b10011 3" +0^ +0c +1d +1_ +b100 0" +1o +0k +18" +1l +b10001 -" +04" +0v +b11001100010100111000001001011001 | +b11001100010100111000001001011001 B" +12" +1n +1m +1/" +1x +0w +b11010100110101011101110110100 &" +b11010100110101011101110110100 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b1110 } +b1110 (" +b1110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101111111101110111001100011011 0 +b11101111111101110111001100011011 M +b11101111111101110111001100011011 f +b11101111111101110111001100011011 !" +0. +1% +#9161010 +b11010100110101011101110110100 O" +#9161500 +b1010 5 +b1010 L +b1010 W +b0 7 +b0 N +b0 V +06 +b1110010101010110001100100100101 2 +b1110010101010110001100100100101 I +b1110010101010110001100100100101 Z +b1001 3 +b1001 J +b1001 Y +b1010 ' +b1010 D +b0 & +b0 C +0( +b1110010101010110001100100100101 , +b1110010101010110001100100100101 H +b1001 + +b1001 G +1. +0% +#9162000 +1c +1k +1s +0[ +1=" +0>" +1g +1;" +1r +05" +06" +07" +b10110 3" +0d +b10101 0" +08" +0l +b10010100101000001101011001010011 { +b10010100101000001101011001010011 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0m +0x +0," +b11001001110111011101010101100011 / +b11001001110111011101010101100011 K +b11001001110111011101010101100011 e +b11001001110111011101010101100011 "" +b11001100010100111000001001011001 0 +b11001100010100111000001001011001 M +b11001100010100111000001001011001 f +b11001100010100111000001001011001 !" +b1110010101010110001100100100101 &" +b1110010101010110001100100100101 ?" +b1001 %" +b1001 *" +b1001 1" +b1010 ~ +b1010 )" +b1010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9162010 +b1110010101010110001100100100101 L" +#9162500 +b1101 5 +b1101 L +b1101 W +b1001 7 +b1001 N +b1001 V +16 +b11011001000000010000100110011001 2 +b11011001000000010000100110011001 I +b11011001000000010000100110011001 Z +b1111 3 +b1111 J +b1111 Y +b1101 ' +b1101 D +b1001 & +b1001 C +1( +b11011001000000010000100110011001 , +b11011001000000010000100110011001 H +b1111 + +b1111 G +1. +0% +#9163000 +0c +0o +0s +b1110010101010110001100100100101 | +b1110010101010110001100100100101 B" +0\ +1>" +1h +0g +1:" +0q +15" +17" +b10000 3" +1d +b10010 0" +1p +b11101001111001011000011100111000 { +b11101001111001011000011100111000 A" +b10110 -" +1y +1u +1b +0n +1m +1w +1," +b11011001000000010000100110011001 &" +b11011001000000010000100110011001 ?" +b1111 %" +b1111 *" +b1111 1" +b1101 ~ +b1101 )" +b1101 ." +b1001 } +b1001 (" +b1001 +" +1#" +b10010100101000001101011001010011 / +b10010100101000001101011001010011 K +b10010100101000001101011001010011 e +b10010100101000001101011001010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9163010 +b11011001000000010000100110011001 R" +#9163500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11000100011000011000001000100111 2 +b11000100011000011000001000100111 I +b11000100011000011000001000100111 Z +b1101 3 +b1101 J +b1101 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11000100011000011000001000100111 , +b11000100011000011000001000100111 H +b1101 + +b1101 G +1. +0% +#9164000 +1i +1s +1\ +1g +09" +0:" +0;" +1q +05" +07" +b10010 3" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0m +0/" +0w +0," +b11101001111001011000011100111000 / +b11101001111001011000011100111000 K +b11101001111001011000011100111000 e +b11101001111001011000011100111000 "" +b1110010101010110001100100100101 0 +b1110010101010110001100100100101 M +b1110010101010110001100100100101 f +b1110010101010110001100100100101 !" +b11000100011000011000001000100111 &" +b11000100011000011000001000100111 ?" +b1101 %" +b1101 *" +b1101 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9164010 +b11000100011000011000001000100111 P" +#9164500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9165000 +1] +b10110000010011010110011001000010 | +b10110000010011010110011001000010 B" +1[ +0=" +0>" +0@" +0r +17" +b11111 3" +0d +0_ +b11101 -" +1u +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9165500 +b1001 7 +b1001 N +b1001 V +b11100000010001010100010111001001 2 +b11100000010001010100010111001001 I +b11100000010001010100010111001001 Z +b10101 3 +b10101 J +b10101 Y +11 +b1001 & +b1001 C +b11100000010001010100010111001001 , +b11100000010001010100010111001001 H +b10101 + +b10101 G +1- +1. +0% +#9166000 +0] +0s +0[ +1>" +1@" +1r +0q +15" +b1010 3" +1^ +0_ +1<" +1` +b10110 -" +1y +b1110010101010110001100100100101 | +b1110010101010110001100100100101 B" +1a +12" +0x +1w +b10110000010011010110011001000010 0 +b10110000010011010110011001000010 M +b10110000010011010110011001000010 f +b10110000010011010110011001000010 !" +b11100000010001010100010111001001 &" +b11100000010001010100010111001001 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1001 } +b1001 (" +b1001 +" +0. +1% +#9166010 +b11100000010001010100010111001001 X" +#9166500 +b0 7 +b0 N +b0 V +06 +b11010101101000001111001000000001 2 +b11010101101000001111001000000001 I +b11010101101000001111001000000001 Z +b1101 3 +b1101 J +b1101 Y +b0 & +b0 C +0( +b11010101101000001111001000000001 , +b11010101101000001111001000000001 H +b1101 + +b1101 G +1. +0% +#9167000 +1_ +0^ +1s +1@" +1=" +1q +05" +07" +b10010 3" +0<" +0` +1d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b11010101101000001111001000000001 &" +b11010101101000001111001000000001 ?" +b1101 %" +b1101 *" +b1101 1" +b0 } +b0 (" +b0 +" +0#" +b1110010101010110001100100100101 0 +b1110010101010110001100100100101 M +b1110010101010110001100100100101 f +b1110010101010110001100100100101 !" +0. +1% +#9167010 +b11010101101000001111001000000001 P" +#9167500 +b10101 5 +b10101 L +b10101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9168000 +1] +0i +b11100000010001010100010111001001 { +b11100000010001010100010111001001 A" +1[ +0=" +0>" +0@" +0g +1:" +1;" +b11111 3" +0d +0_ +b1010 0" +1j +0k +18" +1l +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#9168500 +b11011 5 +b11011 L +b11011 W +b10111 7 +b10111 N +b10111 V +16 +b11011 ' +b11011 D +b10111 & +b10111 C +1( +1. +0% +#9169000 +19" +1o +0s +b10010010011110110001111111000111 | +b10010010011110110001111111000111 B" +0h +0:" +0r +0q +16" +17" +b100 0" +0j +b11001001110111011101010101100011 { +b11001001110111011101010101100011 A" +b1000 -" +1t +0u +14" +1v +1n +1x +1w +1," +b11011 ~ +b11011 )" +b11011 ." +b10111 } +b10111 (" +b10111 +" +1#" +b11100000010001010100010111001001 / +b11100000010001010100010111001001 K +b11100000010001010100010111001001 e +b11100000010001010100010111001001 "" +0. +1% +#9169500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b11001111001100000011110110000110 2 +b11001111001100000011110110000110 I +b11001111001100000011110110000110 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 ' +b0 D +0) +b1 & +b1 C +b11001111001100000011110110000110 , +b11001111001100000011110110000110 H +b10010 + +b10010 G +1- +1. +0% +#9170000 +1i +1u +1s +0\ +1@" +1h +1g +09" +0;" +1r +17" +06" +b1101 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11110 -" +04" +0v +0t +b10101000011111100010000111111011 | +b10101000011111100010000111111011 B" +1b +12" +0n +0m +0/" +0x +b11001001110111011101010101100011 / +b11001001110111011101010101100011 K +b11001001110111011101010101100011 e +b11001001110111011101010101100011 "" +b10010010011110110001111111000111 0 +b10010010011110110001111111000111 M +b10010010011110110001111111000111 f +b10010010011110110001111111000111 !" +b11001111001100000011110110000110 &" +b11001111001100000011110110000110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +0. +1% +#9170010 +b11001111001100000011110110000110 U" +#9170500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9171000 +1\ +0@" +1q +07" +b11111 3" +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101000011111100010000111111011 0 +b10101000011111100010000111111011 M +b10101000011111100010000111111011 f +b10101000011111100010000111111011 !" +0. +1% +#9171500 +1. +0% +#9172000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9172500 +1. +0% +#9173000 +0. +1% +#9173500 +b11000 5 +b11000 L +b11000 W +14 +b1101 7 +b1101 N +b1101 V +16 +b11000 ' +b11000 D +1) +b1101 & +b1101 C +1( +1. +0% +#9174000 +0i +b1011000100111111111010000011001 { +b1011000100111111111010000011001 A" +0s +b11010101101000001111001000000001 | +b11010101101000001111001000000001 B" +19" +1;" +0q +15" +16" +17" +b111 0" +1o +0k +18" +1l +b10010 -" +0t +0y +1z +1u +1/" +1w +1," +b11000 ~ +b11000 )" +b11000 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#9174500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b10001110011111010101000110001110 2 +b10001110011111010101000110001110 I +b10001110011111010101000110001110 Z +b10011 3 +b10011 J +b10011 Y +11 +b1011 ' +b1011 D +b0 & +b0 C +0( +b10001110011111010101000110001110 , +b10001110011111010101000110001110 H +b10011 + +b10011 G +1- +1. +0% +#9175000 +1k +1s +0\ +0[ +1@" +0h +0g +1;" +1q +05" +06" +07" +b1100 3" +0_ +1<" +1` +b10100 0" +08" +0l +b1111110010011111101101000101010 { +b1111110010011111101101000101010 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1m +0w +0," +b10001110011111010101000110001110 &" +b10001110011111010101000110001110 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +b1011000100111111111010000011001 / +b1011000100111111111010000011001 K +b1011000100111111111010000011001 e +b1011000100111111111010000011001 "" +b11010101101000001111001000000001 0 +b11010101101000001111001000000001 M +b11010101101000001111001000000001 f +b11010101101000001111001000000001 !" +0. +1% +#9175010 +b10001110011111010101000110001110 V" +#9175500 +b11 5 +b11 L +b11 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9176000 +1i +b1110000000111110110100010101101 { +b1110000000111110110100010101101 A" +1\ +1[ +0@" +09" +b11111 3" +0<" +0` +b11100 0" +0o +0b +0a +02" +b1111110010011111101101000101010 / +b1111110010011111101101000101010 K +b1111110010011111101101000101010 e +b1111110010011111101101000101010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +0. +1% +#9176500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9177000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1110000000111110110100010101101 / +b1110000000111110110100010101101 K +b1110000000111110110100010101101 e +b1110000000111110110100010101101 "" +0. +1% +#9177500 +b1101 5 +b1101 L +b1101 W +14 +b11111 7 +b11111 N +b11111 V +16 +b11011101101011111000110011101000 2 +b11011101101011111000110011101000 I +b11011101101011111000110011101000 Z +b11011 3 +b11011 J +b11011 Y +11 +b1101 ' +b1101 D +1) +b11111 & +b11111 C +1( +b11011101101011111000110011101000 , +b11011101101011111000110011101000 H +b11011 + +b11011 G +1- +1. +0% +#9178000 +0] +0i +b11010101101000001111001000000001 { +b11010101101000001111001000000001 A" +0s +b101001101111001001101111100101 | +b101001101111001001101111100101 B" +0\ +0[ +1=" +1@" +0g +19" +1:" +1;" +0r +0q +15" +16" +17" +b100 3" +1c +0_ +1<" +1` +b10010 0" +0j +0o +1p +1k +b0 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011101101011111000110011101000 &" +b11011101101011111000110011101000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#9178010 +b11011101101011111000110011101000 ^" +#9178500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1110 & +b1110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9179000 +1] +1i +1u +1\ +1[ +0=" +0@" +1g +09" +0:" +0;" +1q +17" +b11111 3" +0c +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +04" +0v +b11001100010100111000001001011001 | +b11001100010100111000001001011001 B" +0b +0a +02" +0m +0/" +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +b11010101101000001111001000000001 / +b11010101101000001111001000000001 K +b11010101101000001111001000000001 e +b11010101101000001111001000000001 "" +b101001101111001001101111100101 0 +b101001101111001001101111100101 M +b101001101111001001101111100101 f +b101001101111001001101111100101 !" +0. +1% +#9179500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#9180000 +0u +1r +0q +b10 -" +14" +1v +b11101111111101110111001100011011 | +b11101111111101110111001100011011 B" +0x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001100010100111000001001011001 0 +b11001100010100111000001001011001 M +b11001100010100111000001001011001 f +b11001100010100111000001001011001 !" +b11101 } +b11101 (" +b11101 +" +0. +1% +#9180500 +b1101 7 +b1101 N +b1101 V +b110010100100100000100001100011 2 +b110010100100100000100001100011 I +b110010100100100000100001100011 Z +b10111 3 +b10111 J +b10111 Y +11 +b1101 & +b1101 C +b110010100100100000100001100011 , +b110010100100100000100001100011 H +b10111 + +b10111 G +1- +1. +0% +#9181000 +0] +1u +0\ +0[ +1>" +1@" +17" +b11010101101000001111001000000001 | +b11010101101000001111001000000001 B" +b1000 3" +1^ +0_ +1<" +1` +b10010 -" +04" +0v +1b +1a +12" +b110010100100100000100001100011 &" +b110010100100100000100001100011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1101 } +b1101 (" +b1101 +" +b11101111111101110111001100011011 0 +b11101111111101110111001100011011 M +b11101111111101110111001100011011 f +b11101111111101110111001100011011 !" +0. +1% +#9181010 +b110010100100100000100001100011 Z" +#9181500 +b1000 7 +b1000 N +b1000 V +b1011110111001101001101100011000 2 +b1011110111001101001101100011000 I +b1011110111001101001101100011000 Z +b11010 3 +b11010 J +b11010 Y +b1000 & +b1000 C +b1011110111001101001101100011000 , +b1011110111001101001101100011000 H +b11010 + +b11010 G +1. +0% +#9182000 +1=" +1c +1y +1[ +0>" +1q +15" +06" +b101 3" +0^ +b10111 -" +0z +b1000010001000111010110000110011 | +b1000010001000111010110000110011 B" +0a +0w +b11010101101000001111001000000001 0 +b11010101101000001111001000000001 M +b11010101101000001111001000000001 f +b11010101101000001111001000000001 !" +b1011110111001101001101100011000 &" +b1011110111001101001101100011000 ?" +b11010 %" +b11010 *" +b11010 1" +b1000 } +b1000 (" +b1000 +" +0. +1% +#9182010 +b1011110111001101001101100011000 ]" +#9182500 +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9183000 +16" +1] +1t +1\ +0=" +0@" +0r +05" +b11111 3" +0c +0<" +0` +b11001 -" +0y +b1000101011100111100011101110 | +b1000101011100111100011101110 B" +0b +02" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 } +b110 (" +b110 +" +b1000010001000111010110000110011 0 +b1000010001000111010110000110011 M +b1000010001000111010110000110011 f +b1000010001000111010110000110011 !" +0. +1% +#9183500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +1. +0% +#9184000 +0i +b1000010001000111010110000110011 { +b1000010001000111010110000110011 A" +1s +19" +1;" +1r +06" +07" +b10111 0" +1o +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0x +0," +b1000101011100111100011101110 0 +b1000101011100111100011101110 M +b1000101011100111100011101110 f +b1000101011100111100011101110 !" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9184500 +b1111 5 +b1111 L +b1111 W +b1111 ' +b1111 D +1. +0% +#9185000 +0o +0h +0g +1:" +b10000 0" +1p +b11011001000000010000100110011001 { +b11011001000000010000100110011001 A" +1n +1m +b1111 ~ +b1111 )" +b1111 ." +b1000010001000111010110000110011 / +b1000010001000111010110000110011 K +b1000010001000111010110000110011 e +b1000010001000111010110000110011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9185500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +1. +0% +#9186000 +1i +0s +b11001100010100111000001001011001 | +b11001100010100111000001001011001 B" +1h +1g +09" +0:" +0;" +0r +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +0t +0y +1z +1u +0n +0m +0/" +1x +1," +b11011001000000010000100110011001 / +b11011001000000010000100110011001 K +b11011001000000010000100110011001 e +b11011001000000010000100110011001 "" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#9186500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9187000 +1s +1r +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001100010100111000001001011001 0 +b11001100010100111000001001011001 M +b11001100010100111000001001011001 f +b11001100010100111000001001011001 !" +0. +1% +#9187500 +1. +0% +#9188000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9188500 +b11000110101101111101111110001 2 +b11000110101101111101111110001 I +b11000110101101111101111110001 Z +b10010 3 +b10010 J +b10010 Y +11 +b11000110101101111101111110001 , +b11000110101101111101111110001 H +b10010 + +b10010 G +1- +1. +0% +#9189000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b11000110101101111101111110001 &" +b11000110101101111101111110001 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +0. +1% +#9189010 +b11000110101101111101111110001 U" +#9189500 +b10101010111111111001010110111 2 +b10101010111111111001010110111 I +b10101010111111111001010110111 Z +b10 3 +b10 J +b10 Y +b10101010111111111001010110111 , +b10101010111111111001010110111 H +b10 + +b10 G +1. +0% +#9190000 +1_ +1@" +b11101 3" +0<" +0` +b10101010111111111001010110111 &" +b10101010111111111001010110111 ?" +b10 %" +b10 *" +b10 1" +0. +1% +#9190010 +b10101010111111111001010110111 E" +#9190500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9191000 +0i +b1011110111001101001101100011000 { +b1011110111001101001101100011000 A" +1\ +0@" +0h +19" +1;" +b11111 3" +0_ +b101 0" +1o +0k +18" +1l +0b +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#9191500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9192000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b1011110111001101001101100011000 / +b1011110111001101001101100011000 K +b1011110111001101001101100011000 e +b1011110111001101001101100011000 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9192500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#9193000 +0i +b1000010001000111010110000110011 { +b1000010001000111010110000110011 A" +19" +1;" +b10111 0" +1o +1k +1/" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9193500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +1. +0% +#9194000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1011000100111111111010000011001 | +b1011000100111111111010000011001 B" +09" +0;" +15" +17" +b11111 0" +0o +0k +b111 -" +1y +0u +14" +1v +0/" +1," +b1000010001000111010110000110011 / +b1000010001000111010110000110011 K +b1000010001000111010110000110011 e +b1000010001000111010110000110011 "" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#9194500 +b101 5 +b101 L +b101 W +14 +b10010 7 +b10010 N +b10010 V +b11100100000110010111100010000000 2 +b11100100000110010111100010000000 I +b11100100000110010111100010000000 Z +b11110 3 +b11110 J +b11110 Y +11 +b101 ' +b101 D +1) +b10010 & +b10010 C +b11100100000110010111100010000000 , +b11100100000110010111100010000000 H +b11110 + +b11110 G +1- +1. +0% +#9195000 +0] +0i +b11111101100011111001011100100011 { +b11111101100011111001011100100011 A" +1s +0\ +1=" +1>" +1@" +0g +1:" +1;" +0r +05" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11010 0" +1j +1k +b1101 -" +0y +b11000110101101111101111110001 | +b11000110101101111101111110001 B" +1b +12" +1m +1/" +1x +b11100100000110010111100010000000 &" +b11100100000110010111100010000000 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b10010 } +b10010 (" +b10010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011000100111111111010000011001 0 +b1011000100111111111010000011001 M +b1011000100111111111010000011001 f +b1011000100111111111010000011001 !" +0. +1% +#9195010 +b11100100000110010111100010000000 a" +#9195500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10000 & +b10000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9196000 +1] +1i +1\ +0=" +0>" +0@" +1g +0:" +0;" +1r +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +b10110101100101110000010110011011 | +b10110101100101110000010110011011 B" +0b +02" +0m +0/" +0x +b11111101100011111001011100100011 / +b11111101100011111001011100100011 K +b11111101100011111001011100100011 e +b11111101100011111001011100100011 "" +b11000110101101111101111110001 0 +b11000110101101111101111110001 M +b11000110101101111101111110001 f +b11000110101101111101111110001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +0. +1% +#9196500 +b10101 7 +b10101 N +b10101 V +b11010001110101101110111010010110 2 +b11010001110101101110111010010110 I +b11010001110101101110111010010110 Z +b10111 3 +b10111 J +b10111 Y +11 +b10101 & +b10101 C +b11010001110101101110111010010110 , +b11010001110101101110111010010110 H +b10111 + +b10111 G +1- +1. +0% +#9197000 +0] +0s +0\ +0[ +1>" +1@" +0q +16" +b1000 3" +1^ +0_ +1<" +1` +b1010 -" +1t +b11100000010001010100010111001001 | +b11100000010001010100010111001001 B" +1b +1a +12" +1w +b11010001110101101110111010010110 &" +b11010001110101101110111010010110 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10101 } +b10101 (" +b10101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110101100101110000010110011011 0 +b10110101100101110000010110011011 M +b10110101100101110000010110011011 f +b10110101100101110000010110011011 !" +0. +1% +#9197010 +b11010001110101101110111010010110 Z" +#9197500 +b1101 5 +b1101 L +b1101 W +14 +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9198000 +1] +0i +b11010101101000001111001000000001 { +b11010101101000001111001000000001 A" +1u +1\ +1[ +0>" +0@" +0g +19" +1:" +1;" +0r +1q +17" +b11111 3" +0^ +0<" +0` +b10010 0" +0j +0o +1p +1k +b11001 -" +04" +0v +b1000101011100111100011101110 | +b1000101011100111100011101110 B" +0b +0a +02" +1m +1/" +1x +0w +b11100000010001010100010111001001 0 +b11100000010001010100010111001001 M +b11100000010001010100010111001001 f +b11100000010001010100010111001001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b110 } +b110 (" +b110 +" +0. +1% +#9198500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11000011111011000101101110110000 2 +b11000011111011000101101110110000 I +b11000011111011000101101110110000 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11000011111011000101101110110000 , +b11000011111011000101101110110000 H +b1111 + +b1111 G +1- +1. +0% +#9199000 +0] +1i +1s +0\ +0[ +1=" +1>" +1@" +1g +09" +0:" +0;" +1r +06" +07" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0m +0/" +0x +0," +b11000011111011000101101110110000 &" +b11000011111011000101101110110000 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11010101101000001111001000000001 / +b11010101101000001111001000000001 K +b11010101101000001111001000000001 e +b11010101101000001111001000000001 "" +b1000101011100111100011101110 0 +b1000101011100111100011101110 M +b1000101011100111100011101110 f +b1000101011100111100011101110 !" +0. +1% +#9199010 +b11000011111011000101101110110000 R" +#9199500 +b11001110011111011001100000101111 2 +b11001110011111011001100000101111 I +b11001110011111011001100000101111 Z +b10101 3 +b10101 J +b10101 Y +b11001110011111011001100000101111 , +b11001110011111011001100000101111 H +b10101 + +b10101 G +1. +0% +#9200000 +0_ +1^ +1\ +0=" +1>" +b1010 3" +1<" +1` +0d +0b +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001110011111011001100000101111 &" +b11001110011111011001100000101111 ?" +b10101 %" +b10101 *" +b10101 1" +0. +1% +#9200010 +b11001110011111011001100000101111 X" +#9200500 +b10111101001100101101101110110 2 +b10111101001100101101101110110 I +b10111101001100101101101110110 Z +b10011 3 +b10011 J +b10011 Y +b10111101001100101101101110110 , +b10111101001100101101101110110 H +b10011 + +b10011 G +1. +0% +#9201000 +1] +0\ +0>" +b1100 3" +0^ +1b +b10111101001100101101101110110 &" +b10111101001100101101101110110 ?" +b10011 %" +b10011 *" +b10011 1" +0. +1% +#9201010 +b10111101001100101101101110110 V" +#9201500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9202000 +1\ +1[ +0@" +b11111 3" +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9202500 +b11111 7 +b11111 N +b11111 V +16 +b11111 & +b11111 C +1( +1. +0% +#9203000 +0s +b101001101111001001101111100101 | +b101001101111001001101111100101 B" +0r +0q +15" +16" +17" +b0 -" +0t +0y +1z +0u +14" +1v +1x +1w +1," +b11111 } +b11111 (" +b11111 +" +1#" +0. +1% +#9203500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +1. +0% +#9204000 +0i +b1110010101010110001100100100101 { +b1110010101010110001100100100101 A" +1s +0g +19" +1;" +1r +1q +05" +06" +07" +b10110 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0w +0," +b101001101111001001101111100101 0 +b101001101111001001101111100101 M +b101001101111001001101111100101 f +b101001101111001001101111100101 !" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9204500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9205000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1110010101010110001100100100101 / +b1110010101010110001100100100101 K +b1110010101010110001100100100101 e +b1110010101010110001100100100101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9205500 +1. +0% +#9206000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9206500 +b1001100101000000110001100000 2 +b1001100101000000110001100000 I +b1001100101000000110001100000 Z +b1 3 +b1 J +b1 Y +11 +b1001100101000000110001100000 , +b1001100101000000110001100000 H +b1 + +b1 G +1- +1. +0% +#9207000 +0[ +1@" +b11110 3" +1_ +1a +12" +b1001100101000000110001100000 &" +b1001100101000000110001100000 ?" +b1 %" +b1 *" +b1 1" +1'" +0. +1% +#9207010 +b1001100101000000110001100000 D" +#9207500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9208000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9208500 +b100001111101011001101100011111 2 +b100001111101011001101100011111 I +b100001111101011001101100011111 Z +11 +b100001111101011001101100011111 , +b100001111101011001101100011111 H +1- +1. +0% +#9209000 +1@" +1_ +12" +b100001111101011001101100011111 &" +b100001111101011001101100011111 ?" +1'" +0. +1% +#9209010 +b100001111101011001101100011111 C" +#9209500 +b0 2 +b0 I +b0 Z +01 +b0 , +b0 H +0- +1. +0% +#9210000 +0@" +0_ +02" +b0 &" +b0 ?" +0'" +0. +1% +#9210500 +16 +b11111110011101010011011010001110 2 +b11111110011101010011011010001110 I +b11111110011101010011011010001110 Z +b1 3 +b1 J +b1 Y +11 +1( +b11111110011101010011011010001110 , +b11111110011101010011011010001110 H +b1 + +b1 G +1- +1. +0% +#9211000 +b100001111101011001101100011111 | +b100001111101011001101100011111 B" +0[ +1@" +17" +b11110 3" +1_ +1u +1a +12" +1," +b11111110011101010011011010001110 &" +b11111110011101010011011010001110 ?" +b1 %" +b1 *" +b1 1" +1'" +1#" +0. +1% +#9211010 +b11111110011101010011011010001110 D" +#9211500 +b101 7 +b101 N +b101 V +b10000000001000010101110011110111 2 +b10000000001000010101110011110111 I +b10000000001000010101110011110111 Z +b1000 3 +b1000 J +b1000 Y +b101 & +b101 C +b10000000001000010101110011110111 , +b10000000001000010101110011110111 H +b1000 + +b1000 G +1. +0% +#9212000 +0] +0s +1[ +1=" +0q +16" +b10111 3" +1c +b11010 -" +1t +b11111101100011111001011100100011 | +b11111101100011111001011100100011 B" +0a +1w +b100001111101011001101100011111 0 +b100001111101011001101100011111 M +b100001111101011001101100011111 f +b100001111101011001101100011111 !" +b10000000001000010101110011110111 &" +b10000000001000010101110011110111 ?" +b1000 %" +b1000 *" +b1000 1" +b101 } +b101 (" +b101 +" +0. +1% +#9212010 +b10000000001000010101110011110111 K" +#9212500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9213000 +1] +1s +0=" +0@" +1q +06" +07" +b11111 3" +0c +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11111101100011111001011100100011 0 +b11111101100011111001011100100011 M +b11111101100011111001011100100011 f +b11111101100011111001011100100011 !" +0. +1% +#9213500 +1. +0% +#9214000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9214500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#9215000 +0i +b1110010101010110001100100100101 { +b1110010101010110001100100100101 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#9215500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9216000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b1110010101010110001100100100101 / +b1110010101010110001100100100101 K +b1110010101010110001100100100101 e +b1110010101010110001100100100101 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9216500 +b10010111111111000111001011101110 2 +b10010111111111000111001011101110 I +b10010111111111000111001011101110 Z +b10110 3 +b10110 J +b10110 Y +11 +b10010111111111000111001011101110 , +b10010111111111000111001011101110 H +b10110 + +b10110 G +1- +1. +0% +#9217000 +0] +0\ +1>" +1@" +b1001 3" +1^ +0_ +1<" +1` +1b +12" +b10010111111111000111001011101110 &" +b10010111111111000111001011101110 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9217010 +b10010111111111000111001011101110 Y" +#9217500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9218000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9218500 +b10110001000111111010011110001101 2 +b10110001000111111010011110001101 I +b10110001000111111010011110001101 Z +b1111 3 +b1111 J +b1111 Y +11 +b10110001000111111010011110001101 , +b10110001000111111010011110001101 H +b1111 + +b1111 G +1- +1. +0% +#9219000 +0] +0\ +0[ +1=" +1>" +1@" +b10000 3" +0^ +0c +1d +1_ +1b +1a +12" +b10110001000111111010011110001101 &" +b10110001000111111010011110001101 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +0. +1% +#9219010 +b10110001000111111010011110001101 R" +#9219500 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9220000 +1] +0s +b11101111111101110111001100011011 | +b11101111111101110111001100011011 B" +1\ +1[ +0=" +0>" +0@" +0q +15" +16" +17" +b11111 3" +0d +0_ +b10 -" +0t +0y +1z +0u +14" +1v +0b +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#9220500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9221000 +1s +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11101111111101110111001100011011 0 +b11101111111101110111001100011011 M +b11101111111101110111001100011011 f +b11101111111101110111001100011011 !" +0. +1% +#9221500 +b1001 5 +b1001 L +b1001 W +14 +b1001 ' +b1001 D +1) +1. +0% +#9222000 +0i +b1110010101010110001100100100101 { +b1110010101010110001100100100101 A" +0g +19" +1;" +b10110 0" +1o +1k +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#9222500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +16 +b0 ' +b0 D +0) +b111 & +b111 C +1( +1. +0% +#9223000 +1i +0s +b10010010110111000010110010000010 | +b10010010110111000010110010000010 B" +1g +09" +0;" +0r +0q +16" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11000 -" +1t +1u +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +1#" +b1110010101010110001100100100101 / +b1110010101010110001100100100101 K +b1110010101010110001100100100101 e +b1110010101010110001100100100101 "" +0. +1% +#9223500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9224000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010010110111000010110010000010 0 +b10010010110111000010110010000010 M +b10010010110111000010110010000010 f +b10010010110111000010110010000010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9224500 +1. +0% +#9225000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9225500 +b10010 5 +b10010 L +b10010 W +14 +b10010 ' +b10010 D +1) +1. +0% +#9226000 +b11000110101101111101111110001 { +b11000110101101111101111110001 A" +0h +1;" +b1101 0" +0k +18" +1l +1n +1/" +b10010 ~ +b10010 )" +b10010 ." +1$" +0. +1% +#9226500 +b11110 5 +b11110 L +b11110 W +b11100100101101110001010011000011 2 +b11100100101101110001010011000011 I +b11100100101101110001010011000011 Z +b11010 3 +b11010 J +b11010 Y +11 +b11110 ' +b11110 D +b11100100101101110001010011000011 , +b11100100101101110001010011000011 H +b11010 + +b11010 G +1- +1. +0% +#9227000 +0] +0i +b11100100000110010111100010000000 { +b11100100000110010111100010000000 A" +0\ +1=" +1@" +19" +1:" +b101 3" +1c +0_ +1<" +1` +b1 0" +0j +0o +1p +1b +12" +b11100100101101110001010011000011 &" +b11100100101101110001010011000011 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +b11000110101101111101111110001 / +b11000110101101111101111110001 K +b11000110101101111101111110001 e +b11000110101101111101111110001 "" +0. +1% +#9227010 +b11100100101101110001010011000011 ]" +#9227500 +b111 5 +b111 L +b111 W +b11111110011001011000110001110101 2 +b11111110011001011000110001110101 I +b11111110011001011000110001110101 Z +b11110 3 +b11110 J +b11110 Y +b111 ' +b111 D +b11111110011001011000110001110101 , +b11111110011001011000110001110101 H +b11110 + +b11110 G +1. +0% +#9228000 +0c +1k +1j +1>" +0g +1;" +09" +1:" +b1 3" +1d +b11000 0" +08" +0l +0p +b10010010110111000010110010000010 { +b10010010110111000010110010000010 A" +1m +b11100100000110010111100010000000 / +b11100100000110010111100010000000 K +b11100100000110010111100010000000 e +b11100100000110010111100010000000 "" +b11111110011001011000110001110101 &" +b11111110011001011000110001110101 ?" +b11110 %" +b11110 *" +b11110 1" +b111 ~ +b111 )" +b111 ." +0. +1% +#9228010 +b11111110011001011000110001110101 a" +#9228500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9229000 +1] +1i +1\ +0=" +0>" +0@" +1h +1g +0:" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010010110111000010110010000010 / +b10010010110111000010110010000010 K +b10010010110111000010110010000010 e +b10010010110111000010110010000010 "" +0. +1% +#9229500 +b11001 5 +b11001 L +b11001 W +14 +b11001 ' +b11001 D +1) +1. +0% +#9230000 +0i +b11110111001101000100000110010111 { +b11110111001101000100000110010111 A" +0g +19" +1;" +b110 0" +1o +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#9230500 +b1010 5 +b1010 L +b1010 W +b11101011010110110010101001011100 2 +b11101011010110110010101001011100 I +b11101011010110110010101001011100 Z +b10000 3 +b10000 J +b10000 Y +11 +b1010 ' +b1010 D +b11101011010110110010101001011100 , +b11101011010110110010101001011100 H +b10000 + +b10000 G +1- +1. +0% +#9231000 +1k +1@" +0h +1g +1;" +b1111 3" +0_ +1<" +1` +b10101 0" +08" +0l +b10010100101000001101011001010011 { +b10010100101000001101011001010011 A" +12" +1n +0m +b11101011010110110010101001011100 &" +b11101011010110110010101001011100 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +b11110111001101000100000110010111 / +b11110111001101000100000110010111 K +b11110111001101000100000110010111 e +b11110111001101000100000110010111 "" +0. +1% +#9231010 +b11101011010110110010101001011100 S" +#9231500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9232000 +1i +0@" +1h +09" +0;" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0/" +b10010100101000001101011001010011 / +b10010100101000001101011001010011 K +b10010100101000001101011001010011 e +b10010100101000001101011001010011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9232500 +b10000101011111010000101100100000 2 +b10000101011111010000101100100000 I +b10000101011111010000101100100000 Z +b1011 3 +b1011 J +b1011 Y +11 +b10000101011111010000101100100000 , +b10000101011111010000101100100000 H +b1011 + +b1011 G +1- +1. +0% +#9233000 +0] +0\ +0[ +1=" +1@" +b10100 3" +1c +1_ +1b +1a +12" +b10000101011111010000101100100000 &" +b10000101011111010000101100100000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9233010 +b10000101011111010000101100100000 N" +#9233500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9234000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9234500 +b10101 5 +b10101 L +b10101 W +14 +b10101 ' +b10101 D +1) +1. +0% +#9235000 +0i +b11001110011111011001100000101111 { +b11001110011111011001100000101111 A" +0g +1:" +1;" +b1010 0" +1j +0k +18" +1l +1m +1/" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#9235500 +b10011 5 +b10011 L +b10011 W +16 +b10011 ' +b10011 D +1( +1. +0% +#9236000 +1i +b100001111101011001101100011111 | +b100001111101011001101100011111 B" +0h +0:" +17" +b1100 0" +0j +b10111101001100101101101110110 { +b10111101001100101101101110110 A" +1u +1n +1," +b11001110011111011001100000101111 / +b11001110011111011001100000101111 K +b11001110011111011001100000101111 e +b11001110011111011001100000101111 "" +b10011 ~ +b10011 )" +b10011 ." +1#" +0. +1% +#9236500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b1011111010001101001100111111111 2 +b1011111010001101001100111111111 I +b1011111010001101001100111111111 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b10010 & +b10010 C +b1011111010001101001100111111111 , +b1011111010001101001100111111111 H +b10110 + +b10110 G +1- +1. +0% +#9237000 +0] +0u +0\ +1>" +1@" +1h +1g +0;" +0r +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +14" +1v +b11000110101101111101111110001 | +b11000110101101111101111110001 B" +1b +12" +0n +0m +0/" +1x +b1011111010001101001100111111111 &" +b1011111010001101001100111111111 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +b10111101001100101101101110110 / +b10111101001100101101101110110 K +b10111101001100101101101110110 e +b10111101001100101101101110110 "" +b100001111101011001101100011111 0 +b100001111101011001101100011111 M +b100001111101011001101100011111 f +b100001111101011001101100011111 !" +0. +1% +#9237010 +b1011111010001101001100111111111 Y" +#9237500 +b10111 5 +b10111 L +b10111 W +14 +b1010 7 +b1010 N +b1010 V +b11100000011001001101100001110110 2 +b11100000011001001101100001110110 I +b11100000011001001101100001110110 Z +b10010 3 +b10010 J +b10010 Y +b10111 ' +b10111 D +1) +b1010 & +b1010 C +b11100000011001001101100001110110 , +b11100000011001001101100001110110 H +b10010 + +b10010 G +1. +0% +#9238000 +1] +0i +b11010001110101101110111010010110 { +b11010001110101101110111010010110 A" +1u +0s +0>" +0h +0g +1:" +1;" +17" +b10010100101000001101011001010011 | +b10010100101000001101011001010011 B" +15" +b1101 3" +0^ +b1000 0" +1j +0k +18" +1l +b10101 -" +04" +0v +1y +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000110101101111101111110001 0 +b11000110101101111101111110001 M +b11000110101101111101111110001 f +b11000110101101111101111110001 !" +b11100000011001001101100001110110 &" +b11100000011001001101100001110110 ?" +b10010 %" +b10010 *" +b10010 1" +b10111 ~ +b10111 )" +b10111 ." +1$" +b1010 } +b1010 (" +b1010 +" +0. +1% +#9238010 +b11100000011001001101100001110110 U" +#9238500 +b10000 5 +b10000 L +b10000 W +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9239000 +1i +0u +0y +1\ +0@" +1h +1g +0:" +1r +0q +16" +b11111 3" +0<" +0` +b1111 0" +0j +b11101011010110110010101001011100 { +b11101011010110110010101001011100 A" +b10 -" +14" +1v +1z +b11101111111101110111001100011011 | +b11101111111101110111001100011011 B" +0b +02" +0n +0m +0x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +b11101 } +b11101 (" +b11101 +" +b11010001110101101110111010010110 / +b11010001110101101110111010010110 K +b11010001110101101110111010010110 e +b11010001110101101110111010010110 "" +b10010100101000001101011001010011 0 +b10010100101000001101011001010011 M +b10010100101000001101011001010011 f +b10010100101000001101011001010011 !" +0. +1% +#9239500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b0 ' +b0 D +0) +b100 & +b100 C +1. +0% +#9240000 +1u +1t +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +17" +05" +16" +b11111 0" +08" +0l +b11011 -" +04" +0v +0z +b11101011000101101011111011100110 | +b11101011000101101011111011100110 B" +0/" +0w +b11101011010110110010101001011100 / +b11101011010110110010101001011100 K +b11101011010110110010101001011100 e +b11101011010110110010101001011100 "" +b11101111111101110111001100011011 0 +b11101111111101110111001100011011 M +b11101111111101110111001100011011 f +b11101111111101110111001100011011 !" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +0. +1% +#9240500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9241000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +06" +07" +b11111 -" +0t +0u +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011000101101011111011100110 0 +b11101011000101101011111011100110 M +b11101011000101101011111011100110 f +b11101011000101101011111011100110 !" +0. +1% +#9241500 +b100000000110111011111010111001 2 +b100000000110111011111010111001 I +b100000000110111011111010111001 Z +b10011 3 +b10011 J +b10011 Y +11 +b100000000110111011111010111001 , +b100000000110111011111010111001 H +b10011 + +b10011 G +1- +1. +0% +#9242000 +0\ +0[ +1@" +b1100 3" +0_ +1<" +1` +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100000000110111011111010111001 &" +b100000000110111011111010111001 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +0. +1% +#9242010 +b100000000110111011111010111001 V" +#9242500 +b10111 5 +b10111 L +b10111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9243000 +0i +b11010001110101101110111010010110 { +b11010001110101101110111010010110 A" +1\ +1[ +0@" +0h +0g +1:" +1;" +b11111 3" +0<" +0` +b1000 0" +1j +0k +18" +1l +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#9243500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9244000 +1i +1h +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b11010001110101101110111010010110 / +b11010001110101101110111010010110 K +b11010001110101101110111010010110 e +b11010001110101101110111010010110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9244500 +b1100 5 +b1100 L +b1100 W +14 +b1100 ' +b1100 D +1) +1. +0% +#9245000 +0i +b11010100110101011101110110100 { +b11010100110101011101110110100 A" +19" +1:" +1;" +b10011 0" +0j +0o +1p +1k +1/" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9245500 +b0 5 +b0 L +b0 W +04 +b11111110011011001000010001011110 2 +b11111110011011001000010001011110 I +b11111110011011001000010001011110 Z +b1000 3 +b1000 J +b1000 Y +11 +b0 ' +b0 D +0) +b11111110011011001000010001011110 , +b11111110011011001000010001011110 H +b1000 + +b1000 G +1- +1. +0% +#9246000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1=" +1@" +09" +0:" +0;" +b10111 3" +1c +1_ +b11111 0" +0p +0k +12" +0/" +b11010100110101011101110110100 / +b11010100110101011101110110100 K +b11010100110101011101110110100 e +b11010100110101011101110110100 "" +b11111110011011001000010001011110 &" +b11111110011011001000010001011110 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9246010 +b11111110011011001000010001011110 K" +#9246500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9247000 +1] +0=" +0@" +b11111 3" +0c +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9247500 +b1110 7 +b1110 N +b1110 V +16 +b1110 & +b1110 C +1( +1. +0% +#9248000 +0s +b11001100010100111000001001011001 | +b11001100010100111000001001011001 B" +0r +15" +16" +17" +b10001 -" +0t +0y +1z +1u +1x +1," +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#9248500 +b1010 5 +b1010 L +b1010 W +14 +b0 7 +b0 N +b0 V +06 +b1010 ' +b1010 D +1) +b0 & +b0 C +0( +1. +0% +#9249000 +0i +b10010100101000001101011001010011 { +b10010100101000001101011001010011 A" +1s +0h +19" +1;" +1r +05" +06" +07" +b10101 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0," +b1010 ~ +b1010 )" +b1010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11001100010100111000001001011001 0 +b11001100010100111000001001011001 M +b11001100010100111000001001011001 f +b11001100010100111000001001011001 !" +0. +1% +#9249500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b10011000011010101001111011100 2 +b10011000011010101001111011100 I +b10011000011010101001111011100 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b11 & +b11 C +1( +b10011000011010101001111011100 , +b10011000011010101001111011100 H +b11011 + +b11011 G +1- +1. +0% +#9250000 +0] +1i +b1110000000111110110100010101101 | +b1110000000111110110100010101101 B" +0\ +0[ +1=" +1@" +1h +09" +0;" +0r +0q +17" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11100 -" +1u +1b +1a +12" +0n +0/" +1x +1w +1," +b10010100101000001101011001010011 / +b10010100101000001101011001010011 K +b10010100101000001101011001010011 e +b10010100101000001101011001010011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10011000011010101001111011100 &" +b10011000011010101001111011100 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#9250010 +b10011000011010101001111011100 ^" +#9250500 +b1 7 +b1 N +b1 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 & +b1 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9251000 +1] +1\ +1[ +0=" +0@" +1r +b11111 3" +0c +0<" +0` +b11110 -" +b11111110011101010011011010001110 | +b11111110011101010011011010001110 B" +0b +0a +02" +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 } +b1 (" +b1 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110000000111110110100010101101 0 +b1110000000111110110100010101101 M +b1110000000111110110100010101101 f +b1110000000111110110100010101101 !" +0. +1% +#9251500 +b10 5 +b10 L +b10 W +14 +b111 7 +b111 N +b111 V +b1101000100111000001111101110100 2 +b1101000100111000001111101110100 I +b1101000100111000001111101110100 Z +b1101 3 +b1101 J +b1101 Y +11 +b10 ' +b10 D +1) +b111 & +b111 C +b1101000100111000001111101110100 , +b1101000100111000001111101110100 H +b1101 + +b1101 G +1- +1. +0% +#9252000 +0] +b10101010111111111001010110111 { +b10101010111111111001010110111 A" +0s +0[ +1=" +1>" +1@" +0h +1;" +0r +16" +b10010 3" +0^ +0c +1d +1_ +b11101 0" +1k +b11000 -" +1t +b10010010110111000010110010000010 | +b10010010110111000010110010000010 B" +1a +12" +1n +1/" +1x +b11111110011101010011011010001110 0 +b11111110011101010011011010001110 M +b11111110011101010011011010001110 f +b11111110011101010011011010001110 !" +b1101000100111000001111101110100 &" +b1101000100111000001111101110100 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b111 } +b111 (" +b111 +" +0. +1% +#9252010 +b1101000100111000001111101110100 P" +#9252500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10000 & +b10000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9253000 +1] +0u +1s +1[ +0=" +0>" +0@" +1h +0;" +1r +1q +06" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1111 -" +14" +1v +0t +b11101011010110110010101001011100 | +b11101011010110110010101001011100 B" +0a +02" +0n +0/" +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +b10101010111111111001010110111 / +b10101010111111111001010110111 K +b10101010111111111001010110111 e +b10101010111111111001010110111 "" +b10010010110111000010110010000010 0 +b10010010110111000010110010000010 M +b10010010110111000010110010000010 f +b10010010110111000010110010000010 !" +0. +1% +#9253500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +1. +0% +#9254000 +b11101011010110110010101001011100 { +b11101011010110110010101001011100 A" +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1111 0" +0k +18" +1l +b11111 -" +04" +0v +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101011010110110010101001011100 0 +b11101011010110110010101001011100 M +b11101011010110110010101001011100 f +b11101011010110110010101001011100 !" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9254500 +b1000 5 +b1000 L +b1000 W +b11110 7 +b11110 N +b11110 V +16 +b1000111000100011001011001111101 2 +b1000111000100011001011001111101 I +b1000111000100011001011001111101 Z +b10000 3 +b10000 J +b10000 Y +11 +b1000 ' +b1000 D +b11110 & +b11110 C +1( +b1000111000100011001011001111101 , +b1000111000100011001011001111101 H +b10000 + +b10000 G +1- +1. +0% +#9255000 +1k +0i +0s +b11111110011001011000110001110101 | +b11111110011001011000110001110101 B" +1@" +1;" +b11111110011011001000010001011110 { +b11111110011011001000010001011110 A" +19" +0r +15" +16" +17" +b1111 3" +0_ +1<" +1` +b10111 0" +08" +0l +1o +b1 -" +0t +0y +1z +0u +14" +1v +12" +1x +1," +b1000111000100011001011001111101 &" +b1000111000100011001011001111101 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +b11110 } +b11110 (" +b11110 +" +1#" +b11101011010110110010101001011100 / +b11101011010110110010101001011100 K +b11101011010110110010101001011100 e +b11101011010110110010101001011100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9255010 +b1000111000100011001011001111101 S" +#9255500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10010 & +b10010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9256000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +b11100000011001001101100001110110 | +b11100000011001001101100001110110 B" +0@" +09" +0;" +05" +06" +b11111 3" +0<" +0` +b11111 0" +0o +0k +b1101 -" +0z +02" +0/" +b11111110011011001000010001011110 / +b11111110011011001000010001011110 K +b11111110011011001000010001011110 e +b11111110011011001000010001011110 "" +b11111110011001011000110001110101 0 +b11111110011001011000110001110101 M +b11111110011001011000110001110101 f +b11111110011001011000110001110101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#9256500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#9257000 +0i +b11101011000101101011111011100110 { +b11101011000101101011111011100110 A" +1:" +1;" +1r +07" +b11011 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0x +0," +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100000011001001101100001110110 0 +b11100000011001001101100001110110 M +b11100000011001001101100001110110 f +b11100000011001001101100001110110 !" +0. +1% +#9257500 +b1000 5 +b1000 L +b1000 W +b100001001110101001010010010011 2 +b100001001110101001010010010011 I +b100001001110101001010010010011 Z +b100 3 +b100 J +b100 Y +11 +b1000 ' +b1000 D +b100001001110101001010010010011 , +b100001001110101001010010010011 H +b100 + +b100 G +1- +1. +0% +#9258000 +19" +0] +1o +b11111110011011001000010001011110 { +b11111110011011001000010001011110 A" +1>" +1@" +0:" +b11011 3" +1^ +1_ +b10111 0" +0j +12" +b11101011000101101011111011100110 / +b11101011000101101011111011100110 K +b11101011000101101011111011100110 e +b11101011000101101011111011100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100001001110101001010010010011 &" +b100001001110101001010010010011 ?" +b100 %" +b100 *" +b100 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +0. +1% +#9258010 +b100001001110101001010010010011 G" +#9258500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9259000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0>" +0@" +09" +0;" +b11111 3" +0^ +0_ +b11111 0" +0o +0k +02" +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111110011011001000010001011110 / +b11111110011011001000010001011110 K +b11111110011011001000010001011110 e +b11111110011011001000010001011110 "" +0. +1% +#9259500 +b1011 5 +b1011 L +b1011 W +14 +b11001101000011100011110101111010 2 +b11001101000011100011110101111010 I +b11001101000011100011110101111010 Z +b11111 3 +b11111 J +b11111 Y +11 +b1011 ' +b1011 D +1) +b11001101000011100011110101111010 , +b11001101000011100011110101111010 H +b11111 + +b11111 G +1- +1. +0% +#9260000 +0] +0i +b10000101011111010000101100100000 { +b10000101011111010000101100100000 A" +0\ +0[ +1=" +1>" +1@" +0h +0g +19" +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b10100 0" +1o +1k +1b +1a +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001101000011100011110101111010 &" +b11001101000011100011110101111010 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#9260500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9261000 +1] +1i +1\ +1[ +0=" +0>" +0@" +1h +1g +09" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10000101011111010000101100100000 / +b10000101011111010000101100100000 K +b10000101011111010000101100100000 e +b10000101011111010000101100100000 "" +0. +1% +#9261500 +b10011111100101100010100001101011 2 +b10011111100101100010100001101011 I +b10011111100101100010100001101011 Z +b1001 3 +b1001 J +b1001 Y +11 +b10011111100101100010100001101011 , +b10011111100101100010100001101011 H +b1001 + +b1001 G +1- +1. +0% +#9262000 +0] +0[ +1=" +1@" +b10110 3" +1c +1_ +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011111100101100010100001101011 &" +b10011111100101100010100001101011 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +0. +1% +#9262010 +b10011111100101100010100001101011 L" +#9262500 +b1110 5 +b1110 L +b1110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9263000 +1] +0i +b11001100010100111000001001011001 { +b11001100010100111000001001011001 A" +1[ +0=" +0@" +0h +19" +1:" +1;" +b11111 3" +0c +0_ +b10001 0" +0j +0o +1p +1k +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#9263500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +1. +0% +#9264000 +1i +b100000000110111011111010111001 | +b100000000110111011111010111001 B" +1h +09" +0:" +0;" +0r +0q +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1100 -" +0u +14" +1v +0n +0/" +1x +1w +1," +b11001100010100111000001001011001 / +b11001100010100111000001001011001 K +b11001100010100111000001001011001 e +b11001100010100111000001001011001 "" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +0. +1% +#9264500 +b101 5 +b101 L +b101 W +14 +b1011 7 +b1011 N +b1011 V +b11100001111011100011001101010110 2 +b11100001111011100011001101010110 I +b11100001111011100011001101010110 Z +b11000 3 +b11000 J +b11000 Y +11 +b101 ' +b101 D +1) +b1011 & +b1011 C +b11100001111011100011001101010110 , +b11100001111011100011001101010110 H +b11000 + +b11000 G +1- +1. +0% +#9265000 +0] +0i +b11111101100011111001011100100011 { +b11111101100011111001011100100011 A" +1u +0s +1=" +1@" +0g +1:" +1;" +17" +b10000101011111010000101100100000 | +b10000101011111010000101100100000 B" +15" +b111 3" +1c +0_ +1<" +1` +b11010 0" +1j +1k +b10100 -" +04" +0v +1y +12" +1m +1/" +b11100001111011100011001101010110 &" +b11100001111011100011001101010110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b1011 } +b1011 (" +b1011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100000000110111011111010111001 0 +b100000000110111011111010111001 M +b100000000110111011111010111001 f +b100000000110111011111010111001 !" +0. +1% +#9265010 +b11100001111011100011001101010110 [" +#9265500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9266000 +1] +1i +1s +0=" +0@" +1g +0:" +0;" +1r +1q +05" +07" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0m +0/" +0x +0w +0," +b11111101100011111001011100100011 / +b11111101100011111001011100100011 K +b11111101100011111001011100100011 e +b11111101100011111001011100100011 "" +b10000101011111010000101100100000 0 +b10000101011111010000101100100000 M +b10000101011111010000101100100000 f +b10000101011111010000101100100000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9266500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#9267000 +0i +b1100011100001101001100000010 { +b1100011100001101001100000010 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9267500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9268000 +1i +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +0p +08" +0l +0/" +b1100011100001101001100000010 / +b1100011100001101001100000010 K +b1100011100001101001100000010 e +b1100011100001101001100000010 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9268500 +1. +0% +#9269000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9269500 +16 +b11110001001111100101011011000010 2 +b11110001001111100101011011000010 I +b11110001001111100101011011000010 Z +b110 3 +b110 J +b110 Y +11 +1( +b11110001001111100101011011000010 , +b11110001001111100101011011000010 H +b110 + +b110 G +1- +1. +0% +#9270000 +0] +b100001111101011001101100011111 | +b100001111101011001101100011111 B" +0\ +1>" +1@" +17" +b11001 3" +1^ +1_ +1u +1b +12" +1," +b11110001001111100101011011000010 &" +b11110001001111100101011011000010 ?" +b110 %" +b110 *" +b110 1" +1'" +1#" +0. +1% +#9270010 +b11110001001111100101011011000010 I" +#9270500 +b10001 7 +b10001 N +b10001 V +b11000100010110110010111010010101 2 +b11000100010110110010111010010101 I +b11000100010110110010111010010101 Z +b10101 3 +b10101 J +b10101 Y +b10001 & +b10001 C +b11000100010110110010111010010101 , +b11000100010110110010111010010101 H +b10101 + +b10101 G +1. +0% +#9271000 +0_ +0u +1\ +0[ +0q +b1010 3" +1<" +1` +b1110 -" +14" +1v +b10010011110000100110011111011101 | +b10010011110000100110011111011101 B" +0b +1a +1w +b11000100010110110010111010010101 &" +b11000100010110110010111010010101 ?" +b10101 %" +b10101 *" +b10101 1" +b10001 } +b10001 (" +b10001 +" +b100001111101011001101100011111 0 +b100001111101011001101100011111 M +b100001111101011001101100011111 f +b100001111101011001101100011111 !" +0. +1% +#9271010 +b11000100010110110010111010010101 X" +#9271500 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9272000 +1] +1u +0s +1[ +0>" +0@" +17" +b10011111100101100010100001101011 | +b10011111100101100010100001101011 B" +15" +b11111 3" +0^ +0<" +0` +b10110 -" +04" +0v +1y +0a +02" +b10010011110000100110011111011101 0 +b10010011110000100110011111011101 M +b10010011110000100110011111011101 f +b10010011110000100110011111011101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +0. +1% +#9272500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b1011000110110000000010111100010 2 +b1011000110110000000010111100010 I +b1011000110110000000010111100010 Z +b11000 3 +b11000 J +b11000 Y +11 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +b1011000110110000000010111100010 , +b1011000110110000000010111100010 H +b11000 + +b11000 G +1- +1. +0% +#9273000 +0] +0i +b11111110011011001000010001011110 { +b11111110011011001000010001011110 A" +1s +1=" +1@" +19" +1;" +1q +05" +07" +b111 3" +1c +0_ +1<" +1` +b10111 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1/" +0w +0," +b1011000110110000000010111100010 &" +b1011000110110000000010111100010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10011111100101100010100001101011 0 +b10011111100101100010100001101011 M +b10011111100101100010100001101011 f +b10011111100101100010100001101011 !" +0. +1% +#9273010 +b1011000110110000000010111100010 [" +#9273500 +b10001 5 +b10001 L +b10001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9274000 +1] +0k +1i +0=" +0@" +0g +09" +b11111 3" +0c +0<" +0` +b1110 0" +18" +1l +0o +b10010011110000100110011111011101 { +b10010011110000100110011111011101 A" +02" +1m +b11111110011011001000010001011110 / +b11111110011011001000010001011110 K +b11111110011011001000010001011110 e +b11111110011011001000010001011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +0. +1% +#9274500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9275000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10010011110000100110011111011101 / +b10010011110000100110011111011101 K +b10010011110000100110011111011101 e +b10010011110000100110011111011101 "" +0. +1% +#9275500 +b1000 5 +b1000 L +b1000 W +14 +b1101 7 +b1101 N +b1101 V +16 +b1100011010000100101011010011111 2 +b1100011010000100101011010011111 I +b1100011010000100101011010011111 Z +b101 3 +b101 J +b101 Y +11 +b1000 ' +b1000 D +1) +b1101 & +b1101 C +1( +b1100011010000100101011010011111 , +b1100011010000100101011010011111 H +b101 + +b101 G +1- +1. +0% +#9276000 +0] +0i +b11111110011011001000010001011110 { +b11111110011011001000010001011110 A" +0s +b1101000100111000001111101110100 | +b1101000100111000001111101110100 B" +0[ +1>" +1@" +19" +1;" +0q +15" +16" +17" +b11010 3" +1^ +1_ +b10111 0" +1o +1k +b10010 -" +0t +0y +1z +1u +1a +12" +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100011010000100101011010011111 &" +b1100011010000100101011010011111 ?" +b101 %" +b101 *" +b101 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#9276010 +b1100011010000100101011010011111 H" +#9276500 +b10100 5 +b10100 L +b10100 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9277000 +1:" +1] +0k +1j +1s +1[ +0>" +0@" +b1101010000010001100100001001 { +b1101010000010001100100001001 A" +09" +1q +05" +06" +07" +b11111 3" +0^ +0_ +b1011 0" +18" +1l +0o +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +b0 } +b0 (" +b0 +" +0#" +b11111110011011001000010001011110 / +b11111110011011001000010001011110 K +b11111110011011001000010001011110 e +b11111110011011001000010001011110 "" +b1101000100111000001111101110100 0 +b1101000100111000001111101110100 M +b1101000100111000001111101110100 f +b1101000100111000001111101110100 !" +0. +1% +#9277500 +b1111 5 +b1111 L +b1111 W +b1100 7 +b1100 N +b1100 V +16 +b1111 ' +b1111 D +b1100 & +b1100 C +1( +1. +0% +#9278000 +1k +0j +0s +b11010100110101011101110110100 | +b11010100110101011101110110100 B" +0h +0g +1;" +19" +15" +16" +17" +b10000 0" +08" +0l +1p +b10110001000111111010011110001101 { +b10110001000111111010011110001101 A" +b10011 -" +0t +0y +1z +1u +1n +1m +1," +b1101010000010001100100001001 / +b1101010000010001100100001001 K +b1101010000010001100100001001 e +b1101010000010001100100001001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1111 ~ +b1111 )" +b1111 ." +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#9278500 +b1011 5 +b1011 L +b1011 W +b0 7 +b0 N +b0 V +06 +b1011 ' +b1011 D +b0 & +b0 C +0( +1. +0% +#9279000 +1o +b10000101011111010000101100100000 { +b10000101011111010000101100100000 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +19" +0:" +05" +06" +07" +b10100 0" +0p +b11111 -" +0z +0u +0," +b1011 ~ +b1011 )" +b1011 ." +b0 } +b0 (" +b0 +" +0#" +b10110001000111111010011110001101 / +b10110001000111111010011110001101 K +b10110001000111111010011110001101 e +b10110001000111111010011110001101 "" +b11010100110101011101110110100 0 +b11010100110101011101110110100 M +b11010100110101011101110110100 f +b11010100110101011101110110100 !" +0. +1% +#9279500 +b10101 5 +b10101 L +b10101 W +b10101 ' +b10101 D +1. +0% +#9280000 +1:" +0k +1j +1h +09" +b1010 0" +18" +1l +0o +b11000100010110110010111010010101 { +b11000100010110110010111010010101 A" +0n +b10000101011111010000101100100000 / +b10000101011111010000101100100000 K +b10000101011111010000101100100000 e +b10000101011111010000101100100000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#9280500 +b1 5 +b1 L +b1 W +b1110 7 +b1110 N +b1110 V +16 +b1 ' +b1 D +b1110 & +b1110 C +1( +1. +0% +#9281000 +1k +1i +0s +b11001100010100111000001001011001 | +b11001100010100111000001001011001 B" +1;" +b11111110011101010011011010001110 { +b11111110011101010011011010001110 A" +0:" +0r +15" +16" +17" +b11110 0" +08" +0l +0j +b10001 -" +0t +0y +1z +1u +1x +1," +b1 ~ +b1 )" +b1 ." +b1110 } +b1110 (" +b1110 +" +1#" +b11000100010110110010111010010101 / +b11000100010110110010111010010101 K +b11000100010110110010111010010101 e +b11000100010110110010111010010101 "" +0. +1% +#9281500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +b0 ' +b0 D +0) +b11011 & +b11011 C +1. +0% +#9282000 +0u +1y +1g +0;" +0q +15" +06" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b100 -" +14" +1v +0z +b10011000011010101001111011100 | +b10011000011010101001111011100 B" +0m +0/" +1w +b11111110011101010011011010001110 / +b11111110011101010011011010001110 K +b11111110011101010011011010001110 e +b11111110011101010011011010001110 "" +b11001100010100111000001001011001 0 +b11001100010100111000001001011001 M +b11001100010100111000001001011001 f +b11001100010100111000001001011001 !" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#9282500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9283000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011000011010101001111011100 0 +b10011000011010101001111011100 M +b10011000011010101001111011100 f +b10011000011010101001111011100 !" +0. +1% +#9283500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#9284000 +0i +b11101111111101110111001100011011 { +b11101111111101110111001100011011 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#9284500 +b10101 5 +b10101 L +b10101 W +b10011010110111010101010101110001 2 +b10011010110111010101010101110001 I +b10011010110111010101010101110001 Z +b10011 3 +b10011 J +b10011 Y +11 +b10101 ' +b10101 D +b10011010110111010101010101110001 , +b10011010110111010101010101110001 H +b10011 + +b10011 G +1- +1. +0% +#9285000 +1j +b11000100010110110010111010010101 { +b11000100010110110010111010010101 A" +0\ +0[ +1@" +09" +1:" +b1100 3" +0_ +1<" +1` +b1010 0" +0p +1b +1a +12" +b10011010110111010101010101110001 &" +b10011010110111010101010101110001 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b11101111111101110111001100011011 / +b11101111111101110111001100011011 K +b11101111111101110111001100011011 e +b11101111111101110111001100011011 "" +0. +1% +#9285010 +b10011010110111010101010101110001 V" +#9285500 +b0 5 +b0 L +b0 W +04 +b100111100011110111001111011000 2 +b100111100011110111001111011000 I +b100111100011110111001111011000 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b100111100011110111001111011000 , +b100111100011110111001111011000 H +b11100 + +b11100 G +1. +0% +#9286000 +0] +1i +1\ +1[ +1=" +1>" +1g +0:" +0;" +b11 3" +0^ +0c +1d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +0m +0/" +b11000100010110110010111010010101 / +b11000100010110110010111010010101 K +b11000100010110110010111010010101 e +b11000100010110110010111010010101 "" +b100111100011110111001111011000 &" +b100111100011110111001111011000 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9286010 +b100111100011110111001111011000 _" +#9286500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9287000 +1] +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9287500 +b11101 5 +b11101 L +b11101 W +14 +b11101 ' +b11101 D +1) +1. +0% +#9288000 +0i +b11101111111101110111001100011011 { +b11101111111101110111001100011011 A" +0g +19" +1:" +1;" +b10 0" +0j +0o +1p +0k +18" +1l +1m +1/" +b11101 ~ +b11101 )" +b11101 ." +1$" +0. +1% +#9288500 +b0 5 +b0 L +b0 W +04 +b10110111000000010100010011000110 2 +b10110111000000010100010011000110 I +b10110111000000010100010011000110 Z +b10 3 +b10 J +b10 Y +11 +b0 ' +b0 D +0) +b10110111000000010100010011000110 , +b10110111000000010100010011000110 H +b10 + +b10 G +1- +1. +0% +#9289000 +1i +0\ +1@" +1g +09" +0:" +0;" +b11101 3" +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0m +0/" +b10110111000000010100010011000110 &" +b10110111000000010100010011000110 ?" +b10 %" +b10 *" +b10 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101111111101110111001100011011 / +b11101111111101110111001100011011 K +b11101111111101110111001100011011 e +b11101111111101110111001100011011 "" +0. +1% +#9289010 +b10110111000000010100010011000110 E" +#9289500 +b10101 5 +b10101 L +b10101 W +14 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9290000 +0i +b11000100010110110010111010010101 { +b11000100010110110010111010010101 A" +0s +b1100011010000100101011010011111 | +b1100011010000100101011010011111 B" +1\ +0@" +0g +1:" +1;" +0q +16" +17" +b11111 3" +0_ +b1010 0" +1j +0k +18" +1l +b11010 -" +1t +1u +0b +02" +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#9290500 +b10001 5 +b10001 L +b10001 W +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +b0 & +b0 C +0( +1. +0% +#9291000 +1i +b10010011110000100110011111011101 { +b10010011110000100110011111011101 A" +1s +0:" +1q +06" +07" +b1110 0" +0j +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0w +0," +b10001 ~ +b10001 )" +b10001 ." +b0 } +b0 (" +b0 +" +0#" +b11000100010110110010111010010101 / +b11000100010110110010111010010101 K +b11000100010110110010111010010101 e +b11000100010110110010111010010101 "" +b1100011010000100101011010011111 0 +b1100011010000100101011010011111 M +b1100011010000100101011010011111 f +b1100011010000100101011010011111 !" +0. +1% +#9291500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9292000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b10010011110000100110011111011101 / +b10010011110000100110011111011101 K +b10010011110000100110011111011101 e +b10010011110000100110011111011101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9292500 +b1000100101111100011010111110110 2 +b1000100101111100011010111110110 I +b1000100101111100011010111110110 Z +b10 3 +b10 J +b10 Y +11 +b1000100101111100011010111110110 , +b1000100101111100011010111110110 H +b10 + +b10 G +1- +1. +0% +#9293000 +0\ +1@" +b11101 3" +1_ +1b +12" +b1000100101111100011010111110110 &" +b1000100101111100011010111110110 ?" +b10 %" +b10 *" +b10 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9293010 +b1000100101111100011010111110110 E" +#9293500 +b1100 5 +b1100 L +b1100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9294000 +0i +b11010100110101011101110110100 { +b11010100110101011101110110100 A" +1\ +0@" +19" +1:" +1;" +b11111 3" +0_ +b10011 0" +0j +0o +1p +1k +0b +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#9294500 +b0 5 +b0 L +b0 W +04 +b11010000011000010111101001111101 2 +b11010000011000010111101001111101 I +b11010000011000010111101001111101 Z +b10000 3 +b10000 J +b10000 Y +11 +b0 ' +b0 D +0) +b11010000011000010111101001111101 , +b11010000011000010111101001111101 H +b10000 + +b10000 G +1- +1. +0% +#9295000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1@" +09" +0:" +0;" +b1111 3" +0_ +1<" +1` +b11111 0" +0p +0k +12" +0/" +b11010000011000010111101001111101 &" +b11010000011000010111101001111101 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11010100110101011101110110100 / +b11010100110101011101110110100 K +b11010100110101011101110110100 e +b11010100110101011101110110100 "" +0. +1% +#9295010 +b11010000011000010111101001111101 S" +#9295500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9296000 +0@" +b11111 3" +0<" +0` +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9296500 +b1 5 +b1 L +b1 W +14 +b1 ' +b1 D +1) +1. +0% +#9297000 +b11111110011101010011011010001110 { +b11111110011101010011011010001110 A" +0g +1;" +b11110 0" +1k +1m +1/" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#9297500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9298000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0m +0/" +b11111110011101010011011010001110 / +b11111110011101010011011010001110 K +b11111110011101010011011010001110 e +b11111110011101010011011010001110 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9298500 +b11010 7 +b11010 N +b11010 V +16 +b1110000001111101101000010101110 2 +b1110000001111101101000010101110 I +b1110000001111101101000010101110 Z +b10000 3 +b10000 J +b10000 Y +11 +b11010 & +b11010 C +1( +b1110000001111101101000010101110 , +b1110000001111101101000010101110 H +b10000 + +b10000 G +1- +1. +0% +#9299000 +0s +b11100100101101110001010011000011 | +b11100100101101110001010011000011 B" +1@" +0r +15" +17" +b1111 3" +0_ +1<" +1` +b101 -" +1y +0u +14" +1v +12" +1x +1," +b1110000001111101101000010101110 &" +b1110000001111101101000010101110 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9299010 +b1110000001111101101000010101110 S" +#9299500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9300000 +1s +0@" +1r +05" +07" +b11111 3" +0<" +0` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0," +b11100100101101110001010011000011 0 +b11100100101101110001010011000011 M +b11100100101101110001010011000011 f +b11100100101101110001010011000011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9300500 +1. +0% +#9301000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9301500 +b11 5 +b11 L +b11 W +14 +b11 ' +b11 D +1) +1. +0% +#9302000 +b1110000000111110110100010101101 { +b1110000000111110110100010101101 A" +0h +0g +1;" +b11100 0" +1k +1n +1m +1/" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#9302500 +b1101 5 +b1101 L +b1101 W +b1101 ' +b1101 D +1. +0% +#9303000 +0i +1h +19" +1:" +b10010 0" +0j +0o +1p +b1101000100111000001111101110100 { +b1101000100111000001111101110100 A" +0n +b1101 ~ +b1101 )" +b1101 ." +b1110000000111110110100010101101 / +b1110000000111110110100010101101 K +b1110000000111110110100010101101 e +b1110000000111110110100010101101 "" +0. +1% +#9303500 +b10000 5 +b10000 L +b10000 W +b10111 7 +b10111 N +b10111 V +16 +b111001011011100100000111010000 2 +b111001011011100100000111010000 I +b111001011011100100000111010000 Z +b100 3 +b100 J +b100 Y +11 +b10000 ' +b10000 D +b10111 & +b10111 C +1( +b111001011011100100000111010000 , +b111001011011100100000111010000 H +b100 + +b100 G +1- +1. +0% +#9304000 +0] +0k +1i +0s +b11010001110101101110111010010110 | +b11010001110101101110111010010110 B" +1>" +1@" +1g +09" +0:" +0r +0q +16" +17" +b11011 3" +1^ +1_ +b1111 0" +18" +1l +0p +b1110000001111101101000010101110 { +b1110000001111101101000010101110 A" +b1000 -" +1t +0u +14" +1v +12" +0m +1x +1w +1," +b1101000100111000001111101110100 / +b1101000100111000001111101110100 K +b1101000100111000001111101110100 e +b1101000100111000001111101110100 "" +b111001011011100100000111010000 &" +b111001011011100100000111010000 ?" +b100 %" +b100 *" +b100 1" +1'" +b10000 ~ +b10000 )" +b10000 ." +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#9304010 +b111001011011100100000111010000 G" +#9304500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011000011000011000011100111101 2 +b11011000011000011000011100111101 I +b11011000011000011000011100111101 Z +b1111 3 +b1111 J +b1111 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011000011000011000011100111101 , +b11011000011000011000011100111101 H +b1111 + +b1111 G +1. +0% +#9305000 +0^ +1s +0\ +0[ +1=" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +06" +07" +b10000 3" +1d +b11111 0" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +0/" +0x +0w +0," +b11011000011000011000011100111101 &" +b11011000011000011000011100111101 ?" +b1111 %" +b1111 *" +b1111 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110000001111101101000010101110 / +b1110000001111101101000010101110 K +b1110000001111101101000010101110 e +b1110000001111101101000010101110 "" +b11010001110101101110111010010110 0 +b11010001110101101110111010010110 M +b11010001110101101110111010010110 f +b11010001110101101110111010010110 !" +0. +1% +#9305010 +b11011000011000011000011100111101 R" +#9305500 +b10010 5 +b10010 L +b10010 W +14 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9306000 +1] +b11100000011001001101100001110110 { +b11100000011001001101100001110110 A" +b1110000000111110110100010101101 | +b1110000000111110110100010101101 B" +1\ +1[ +0=" +0>" +0@" +0h +1;" +0r +0q +17" +b11111 3" +0d +0_ +b1101 0" +0k +18" +1l +b11100 -" +1u +0b +0a +02" +1n +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#9306500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b0 ' +b0 D +0) +b111 & +b111 C +1. +0% +#9307000 +0s +b10010010110111000010110010000010 | +b10010010110111000010110010000010 B" +1h +0;" +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +1t +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +b11100000011001001101100001110110 / +b11100000011001001101100001110110 K +b11100000011001001101100001110110 e +b11100000011001001101100001110110 "" +b1110000000111110110100010101101 0 +b1110000000111110110100010101101 M +b1110000000111110110100010101101 f +b1110000000111110110100010101101 !" +0. +1% +#9307500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9308000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010010110111000010110010000010 0 +b10010010110111000010110010000010 M +b10010010110111000010110010000010 f +b10010010110111000010110010000010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9308500 +b11 5 +b11 L +b11 W +14 +b10100000001010011110010011000 2 +b10100000001010011110010011000 I +b10100000001010011110010011000 Z +b10011 3 +b10011 J +b10011 Y +11 +b11 ' +b11 D +1) +b10100000001010011110010011000 , +b10100000001010011110010011000 H +b10011 + +b10011 G +1- +1. +0% +#9309000 +b1110000000111110110100010101101 { +b1110000000111110110100010101101 A" +0\ +0[ +1@" +0h +0g +1;" +b1100 3" +0_ +1<" +1` +b11100 0" +1k +1b +1a +12" +1n +1m +1/" +b10100000001010011110010011000 &" +b10100000001010011110010011000 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9309010 +b10100000001010011110010011000 V" +#9309500 +b11110 5 +b11110 L +b11110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11110 ' +b11110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9310000 +0k +0i +1\ +1[ +0@" +1g +19" +1:" +b11111 3" +0<" +0` +b1 0" +18" +1l +0j +0o +1p +b11111110011001011000110001110101 { +b11111110011001011000110001110101 A" +0b +0a +02" +0m +b1110000000111110110100010101101 / +b1110000000111110110100010101101 K +b1110000000111110110100010101101 e +b1110000000111110110100010101101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#9310500 +b100 5 +b100 L +b100 W +b11110010111101001100001011010100 2 +b11110010111101001100001011010100 I +b11110010111101001100001011010100 Z +b10000 3 +b10000 J +b10000 Y +11 +b100 ' +b100 D +b11110010111101001100001011010100 , +b11110010111101001100001011010100 H +b10000 + +b10000 G +1- +1. +0% +#9311000 +1k +1j +1@" +1h +1;" +09" +1:" +b1111 3" +0_ +1<" +1` +b11011 0" +08" +0l +0p +b111001011011100100000111010000 { +b111001011011100100000111010000 A" +12" +0n +b11110010111101001100001011010100 &" +b11110010111101001100001011010100 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b100 ~ +b100 )" +b100 ." +b11111110011001011000110001110101 / +b11111110011001011000110001110101 K +b11111110011001011000110001110101 e +b11111110011001011000110001110101 "" +0. +1% +#9311010 +b11110010111101001100001011010100 S" +#9311500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9312000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b100111100011110111001111011000 | +b100111100011110111001111011000 B" +0@" +0:" +0;" +15" +16" +17" +b11111 3" +0<" +0` +b11111 0" +0j +0k +b11 -" +0t +0y +1z +0u +14" +1v +02" +0/" +1," +b111001011011100100000111010000 / +b111001011011100100000111010000 K +b111001011011100100000111010000 e +b111001011011100100000111010000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#9312500 +b11011 7 +b11011 N +b11011 V +b11011 & +b11011 C +1. +0% +#9313000 +1y +0r +0q +15" +06" +b100 -" +0z +b10011000011010101001111011100 | +b10011000011010101001111011100 B" +1x +1w +b11011 } +b11011 (" +b11011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100111100011110111001111011000 0 +b100111100011110111001111011000 M +b100111100011110111001111011000 f +b100111100011110111001111011000 !" +0. +1% +#9313500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9314000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b10011000011010101001111011100 0 +b10011000011010101001111011100 M +b10011000011010101001111011100 f +b10011000011010101001111011100 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9314500 +b1100 5 +b1100 L +b1100 W +14 +b1 7 +b1 N +b1 V +16 +b1100 ' +b1100 D +1) +b1 & +b1 C +1( +1. +0% +#9315000 +0i +b11010100110101011101110110100 { +b11010100110101011101110110100 A" +b11111110011101010011011010001110 | +b11111110011101010011011010001110 B" +19" +1:" +1;" +0q +17" +b10011 0" +0j +0o +1p +1k +b11110 -" +1u +1/" +1w +1," +b1100 ~ +b1100 )" +b1100 ." +1$" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9315500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +b100101001000011101010110100110 2 +b100101001000011101010110100110 I +b100101001000011101010110100110 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b11000 & +b11000 C +b100101001000011101010110100110 , +b100101001000011101010110100110 H +b10011 + +b10011 G +1- +1. +0% +#9316000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0u +0s +0\ +0[ +1@" +09" +0:" +0;" +1q +15" +b1100 3" +0_ +1<" +1` +b11111 0" +0p +0k +b111 -" +14" +1v +1y +b1011000110110000000010111100010 | +b1011000110110000000010111100010 B" +1b +1a +12" +0/" +0w +b11010100110101011101110110100 / +b11010100110101011101110110100 K +b11010100110101011101110110100 e +b11010100110101011101110110100 "" +b11111110011101010011011010001110 0 +b11111110011101010011011010001110 M +b11111110011101010011011010001110 f +b11111110011101010011011010001110 !" +b100101001000011101010110100110 &" +b100101001000011101010110100110 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +0. +1% +#9316010 +b100101001000011101010110100110 V" +#9316500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9317000 +b11100000011001001101100001110110 { +b11100000011001001101100001110110 A" +1s +1\ +1[ +0@" +0h +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b1101 0" +0k +18" +1l +b11111 -" +0y +04" +0v +0b +0a +02" +1n +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011000110110000000010111100010 0 +b1011000110110000000010111100010 M +b1011000110110000000010111100010 f +b1011000110110000000010111100010 !" +0. +1% +#9317500 +b0 5 +b0 L +b0 W +04 +b1101010101001111101101010100111 2 +b1101010101001111101101010100111 I +b1101010101001111101101010100111 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b1101010101001111101101010100111 , +b1101010101001111101101010100111 H +b1 + +b1 G +1- +1. +0% +#9318000 +0[ +1@" +1h +0;" +b11110 3" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0n +0/" +b11100000011001001101100001110110 / +b11100000011001001101100001110110 K +b11100000011001001101100001110110 e +b11100000011001001101100001110110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101010101001111101101010100111 &" +b1101010101001111101101010100111 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9318010 +b1101010101001111101101010100111 D" +#9318500 +b10110 5 +b10110 L +b10110 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9319000 +0i +b1011111010001101001100111111111 { +b1011111010001101001100111111111 A" +1[ +0@" +0h +1:" +1;" +b11111 3" +0_ +b1001 0" +1j +0k +18" +1l +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9319500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9320000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b1011111010001101001100111111111 / +b1011111010001101001100111111111 K +b1011111010001101001100111111111 e +b1011111010001101001100111111111 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9320500 +b1001 7 +b1001 N +b1001 V +16 +b1001 & +b1001 C +1( +1. +0% +#9321000 +0s +b10011111100101100010100001101011 | +b10011111100101100010100001101011 B" +0q +15" +17" +b10110 -" +1y +1u +1w +1," +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9321500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b1100010010101010100101101010101 2 +b1100010010101010100101101010101 I +b1100010010101010100101101010101 Z +b10111 3 +b10111 J +b10111 Y +11 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b1100010010101010100101101010101 , +b1100010010101010100101101010101 H +b10111 + +b10111 G +1- +1. +0% +#9322000 +0] +b11100000011001001101100001110110 { +b11100000011001001101100001110110 A" +1s +0\ +0[ +1>" +1@" +0h +1;" +1q +05" +07" +b1000 3" +1^ +0_ +1<" +1` +b1101 0" +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1/" +0w +0," +b10011111100101100010100001101011 0 +b10011111100101100010100001101011 M +b10011111100101100010100001101011 f +b10011111100101100010100001101011 !" +b1100010010101010100101101010101 &" +b1100010010101010100101101010101 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9322010 +b1100010010101010100101101010101 Z" +#9322500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9323000 +1] +0s +b11110111001101000100000110010111 | +b11110111001101000100000110010111 B" +1\ +1[ +0>" +0@" +1h +0;" +0q +15" +17" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b110 -" +1y +0u +14" +1v +0b +0a +02" +0n +0/" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +b11100000011001001101100001110110 / +b11100000011001001101100001110110 K +b11100000011001001101100001110110 e +b11100000011001001101100001110110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9323500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9324000 +1s +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110111001101000100000110010111 0 +b11110111001101000100000110010111 M +b11110111001101000100000110010111 f +b11110111001101000100000110010111 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9324500 +1. +0% +#9325000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9325500 +1. +0% +#9326000 +0. +1% +#9326500 +b1 5 +b1 L +b1 W +14 +b1 ' +b1 D +1) +1. +0% +#9327000 +b1101010101001111101101010100111 { +b1101010101001111101101010100111 A" +0g +1;" +b11110 0" +1k +1m +1/" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#9327500 +b111 5 +b111 L +b111 W +b1111 7 +b1111 N +b1111 V +16 +b1010000000001101110111010100100 2 +b1010000000001101110111010100100 I +b1010000000001101110111010100100 Z +b11111 3 +b11111 J +b11111 Y +11 +b111 ' +b111 D +b1111 & +b1111 C +1( +b1010000000001101110111010100100 , +b1010000000001101110111010100100 H +b11111 + +b11111 G +1- +1. +0% +#9328000 +0] +0i +0s +b11011000011000011000011100111101 | +b11011000011000011000011100111101 B" +0\ +0[ +1=" +1>" +1@" +0h +1:" +0r +0q +15" +16" +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11000 0" +1j +b10010010110111000010110010000010 { +b10010010110111000010110010000010 A" +b10000 -" +0t +0y +1z +1u +1b +1a +12" +1n +1x +1w +1," +b1101010101001111101101010100111 / +b1101010101001111101101010100111 K +b1101010101001111101101010100111 e +b1101010101001111101101010100111 "" +b1010000000001101110111010100100 &" +b1010000000001101110111010100100 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b111 ~ +b111 )" +b111 ." +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#9328500 +b1000 5 +b1000 L +b1000 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9329000 +19" +1] +1o +1s +1\ +1[ +0=" +0>" +0@" +1h +1g +0:" +1r +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b10111 0" +0j +b11111110011011001000010001011110 { +b11111110011011001000010001011110 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +0m +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +b0 } +b0 (" +b0 +" +0#" +b10010010110111000010110010000010 / +b10010010110111000010110010000010 K +b10010010110111000010110010000010 e +b10010010110111000010110010000010 "" +b11011000011000011000011100111101 0 +b11011000011000011000011100111101 M +b11011000011000011000011100111101 f +b11011000011000011000011100111101 !" +0. +1% +#9329500 +b100 5 +b100 L +b100 W +b110 7 +b110 N +b110 V +16 +b100 ' +b100 D +b110 & +b110 C +1( +1. +0% +#9330000 +1:" +1j +b111001011011100100000111010000 { +b111001011011100100000111010000 A" +0s +b11110001001111100101011011000010 | +b11110001001111100101011011000010 B" +09" +0r +16" +17" +b11011 0" +0o +b11001 -" +1t +1u +1x +1," +b11111110011011001000010001011110 / +b11111110011011001000010001011110 K +b11111110011011001000010001011110 e +b11111110011011001000010001011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100 ~ +b100 )" +b100 ." +b110 } +b110 (" +b110 +" +1#" +0. +1% +#9330500 +b111 5 +b111 L +b111 W +b11011 7 +b11011 N +b11011 V +b111 ' +b111 D +b11011 & +b11011 C +1. +0% +#9331000 +15" +0u +1y +0h +0g +0q +06" +b11000 0" +b10010010110111000010110010000010 { +b10010010110111000010110010000010 A" +b100 -" +14" +1v +0t +b10011000011010101001111011100 | +b10011000011010101001111011100 B" +1n +1m +1w +b111 ~ +b111 )" +b111 ." +b11011 } +b11011 (" +b11011 +" +b111001011011100100000111010000 / +b111001011011100100000111010000 K +b111001011011100100000111010000 e +b111001011011100100000111010000 "" +b11110001001111100101011011000010 0 +b11110001001111100101011011000010 M +b11110001001111100101011011000010 f +b11110001001111100101011011000010 !" +0. +1% +#9331500 +b11010 5 +b11010 L +b11010 W +b11001 7 +b11001 N +b11001 V +b11010 ' +b11010 D +b11001 & +b11001 C +1. +0% +#9332000 +19" +0k +1o +1g +0:" +1r +b101 0" +18" +1l +0j +b11100100101101110001010011000011 { +b11100100101101110001010011000011 A" +b110 -" +b11110111001101000100000110010111 | +b11110111001101000100000110010111 B" +0m +0x +b10010010110111000010110010000010 / +b10010010110111000010110010000010 K +b10010010110111000010110010000010 e +b10010010110111000010110010000010 "" +b10011000011010101001111011100 0 +b10011000011010101001111011100 M +b10011000011010101001111011100 f +b10011000011010101001111011100 !" +b11010 ~ +b11010 )" +b11010 ." +b11001 } +b11001 (" +b11001 +" +0. +1% +#9332500 +b10111 5 +b10111 L +b10111 W +b11000 7 +b11000 N +b11000 V +b10111 ' +b10111 D +b11000 & +b11000 C +1. +0% +#9333000 +1:" +1j +0g +09" +1q +b1000 0" +0o +b1100010010101010100101101010101 { +b1100010010101010100101101010101 A" +b111 -" +b1011000110110000000010111100010 | +b1011000110110000000010111100010 B" +1m +0w +b10111 ~ +b10111 )" +b10111 ." +b11000 } +b11000 (" +b11000 +" +b11100100101101110001010011000011 / +b11100100101101110001010011000011 K +b11100100101101110001010011000011 e +b11100100101101110001010011000011 "" +b11110111001101000100000110010111 0 +b11110111001101000100000110010111 M +b11110111001101000100000110010111 f +b11110111001101000100000110010111 !" +0. +1% +#9333500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9334000 +1i +1s +1h +1g +0:" +0;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +04" +0v +0n +0m +0/" +0," +b1100010010101010100101101010101 / +b1100010010101010100101101010101 K +b1100010010101010100101101010101 e +b1100010010101010100101101010101 "" +b1011000110110000000010111100010 0 +b1011000110110000000010111100010 M +b1011000110110000000010111100010 f +b1011000110110000000010111100010 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9334500 +1. +0% +#9335000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9335500 +b10 7 +b10 N +b10 V +16 +b10 & +b10 C +1( +1. +0% +#9336000 +b1000100101111100011010111110110 | +b1000100101111100011010111110110 B" +0r +17" +b11101 -" +1u +1x +1," +b10 } +b10 (" +b10 +" +1#" +0. +1% +#9336500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +1. +0% +#9337000 +0i +b1011111010001101001100111111111 { +b1011111010001101001100111111111 A" +0h +1:" +1;" +1r +07" +b1001 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0," +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1000100101111100011010111110110 0 +b1000100101111100011010111110110 M +b1000100101111100011010111110110 f +b1000100101111100011010111110110 !" +0. +1% +#9337500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9338000 +1i +1h +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b1011111010001101001100111111111 / +b1011111010001101001100111111111 K +b1011111010001101001100111111111 e +b1011111010001101001100111111111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9338500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#9339000 +b11110010111101001100001011010100 | +b11110010111101001100001011010100 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9339500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +b1101 ' +b1101 D +1) +b0 & +b0 C +1. +0% +#9340000 +0i +b1101000100111000001111101110100 { +b1101000100111000001111101110100 A" +1u +0g +19" +1:" +1;" +17" +b100001111101011001101100011111 | +b100001111101011001101100011111 B" +b10010 0" +0j +0o +1p +1k +b11111 -" +04" +0v +1m +1/" +b11110010111101001100001011010100 0 +b11110010111101001100001011010100 M +b11110010111101001100001011010100 f +b11110010111101001100001011010100 !" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0. +1% +#9340500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b11001010010111011000101111001111 2 +b11001010010111011000101111001111 I +b11001010010111011000101111001111 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10110 & +b10110 C +b11001010010111011000101111001111 , +b11001010010111011000101111001111 H +b1010 + +b1010 G +1- +1. +0% +#9341000 +0] +1i +0u +0s +0\ +1=" +1@" +1g +09" +0:" +0;" +0r +16" +b10101 3" +1c +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1001 -" +14" +1v +1t +b1011111010001101001100111111111 | +b1011111010001101001100111111111 B" +1b +12" +0m +0/" +1x +b11001010010111011000101111001111 &" +b11001010010111011000101111001111 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +b1101000100111000001111101110100 / +b1101000100111000001111101110100 K +b1101000100111000001111101110100 e +b1101000100111000001111101110100 "" +b100001111101011001101100011111 0 +b100001111101011001101100011111 M +b100001111101011001101100011111 f +b100001111101011001101100011111 !" +0. +1% +#9341010 +b11001010010111011000101111001111 M" +#9341500 +b0 7 +b0 N +b0 V +06 +b1011011000011000100110110100 2 +b1011011000011000100110110100 I +b1011011000011000100110110100 Z +b10 3 +b10 J +b10 Y +b0 & +b0 C +0( +b1011011000011000100110110100 , +b1011011000011000100110110100 H +b10 + +b10 G +1. +0% +#9342000 +1] +1s +0=" +1r +06" +07" +b11101 3" +0c +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011111010001101001100111111111 0 +b1011111010001101001100111111111 M +b1011111010001101001100111111111 f +b1011111010001101001100111111111 !" +b1011011000011000100110110100 &" +b1011011000011000100110110100 ?" +b10 %" +b10 *" +b10 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9342010 +b1011011000011000100110110100 E" +#9342500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9343000 +1\ +0@" +b11111 3" +0_ +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9343500 +1. +0% +#9344000 +0. +1% +#9344500 +b1100000101000000011011111111100 2 +b1100000101000000011011111111100 I +b1100000101000000011011111111100 Z +b11001 3 +b11001 J +b11001 Y +11 +b1100000101000000011011111111100 , +b1100000101000000011011111111100 H +b11001 + +b11001 G +1- +1. +0% +#9345000 +0] +0[ +1=" +1@" +b110 3" +1c +0_ +1<" +1` +1a +12" +b1100000101000000011011111111100 &" +b1100000101000000011011111111100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +0. +1% +#9345010 +b1100000101000000011011111111100 \" +#9345500 +b11011 5 +b11011 L +b11011 W +14 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +1) +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9346000 +1] +0i +b10011000011010101001111011100 { +b10011000011010101001111011100 A" +0s +b11001100010100111000001001011001 | +b11001100010100111000001001011001 B" +1[ +0=" +0@" +0h +0g +19" +1;" +0r +15" +16" +17" +b11111 3" +0c +0<" +0` +b100 0" +1o +0k +18" +1l +b10001 -" +0t +0y +1z +1u +0a +02" +1n +1m +1/" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#9346500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9347000 +1i +1s +1h +1g +09" +0;" +1r +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10011000011010101001111011100 / +b10011000011010101001111011100 K +b10011000011010101001111011100 e +b10011000011010101001111011100 "" +b11001100010100111000001001011001 0 +b11001100010100111000001001011001 M +b11001100010100111000001001011001 f +b11001100010100111000001001011001 !" +0. +1% +#9347500 +b11001001001011100110101010110 2 +b11001001001011100110101010110 I +b11001001001011100110101010110 Z +b11 3 +b11 J +b11 Y +11 +b11001001001011100110101010110 , +b11001001001011100110101010110 H +b11 + +b11 G +1- +1. +0% +#9348000 +0\ +0[ +1@" +b11100 3" +1_ +1b +1a +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001001001011100110101010110 &" +b11001001001011100110101010110 ?" +b11 %" +b11 *" +b11 1" +1'" +0. +1% +#9348010 +b11001001001011100110101010110 F" +#9348500 +b10000 5 +b10000 L +b10000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10000 ' +b10000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9349000 +b11110010111101001100001011010100 { +b11110010111101001100001011010100 A" +1\ +1[ +0@" +1;" +b11111 3" +0_ +b1111 0" +0k +18" +1l +0b +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10000 ~ +b10000 )" +b10000 ." +1$" +0. +1% +#9349500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9350000 +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 0" +08" +0l +0/" +b11110010111101001100001011010100 / +b11110010111101001100001011010100 K +b11110010111101001100001011010100 e +b11110010111101001100001011010100 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9350500 +b10000001011100100100001000011000 2 +b10000001011100100100001000011000 I +b10000001011100100100001000011000 Z +b11011 3 +b11011 J +b11011 Y +11 +b10000001011100100100001000011000 , +b10000001011100100100001000011000 H +b11011 + +b11011 G +1- +1. +0% +#9351000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b10000001011100100100001000011000 &" +b10000001011100100100001000011000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9351010 +b10000001011100100100001000011000 ^" +#9351500 +b110011101001001101110101010000 2 +b110011101001001101110101010000 I +b110011101001001101110101010000 Z +b110011101001001101110101010000 , +b110011101001001101110101010000 H +1. +0% +#9352000 +b110011101001001101110101010000 &" +b110011101001001101110101010000 ?" +0. +1% +#9352010 +b110011101001001101110101010000 ^" +#9352500 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9353000 +1] +0s +b1101010000010001100100001001 | +b1101010000010001100100001001 B" +1\ +1[ +0=" +0@" +16" +17" +b11111 3" +0c +0<" +0` +b1011 -" +1t +0u +14" +1v +0b +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#9353500 +b0 7 +b0 N +b0 V +06 +b10010111101010001100101110011001 2 +b10010111101010001100101110011001 I +b10010111101010001100101110011001 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 & +b0 C +0( +b10010111101010001100101110011001 , +b10010111101010001100101110011001 H +b11101 + +b11101 G +1- +1. +0% +#9354000 +0] +1s +0[ +1=" +1>" +1@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0t +04" +0v +1a +12" +0," +b1101010000010001100100001001 0 +b1101010000010001100100001001 M +b1101010000010001100100001001 f +b1101010000010001100100001001 !" +b10010111101010001100101110011001 &" +b10010111101010001100101110011001 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9354010 +b10010111101010001100101110011001 `" +#9354500 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9355000 +1] +0s +b111001011011100100000111010000 | +b111001011011100100000111010000 B" +1[ +0=" +0>" +0@" +16" +17" +b11111 3" +0d +0<" +0` +b11011 -" +1t +1u +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9355500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +1. +0% +#9356000 +0i +b1010000000001101110111010100100 { +b1010000000001101110111010100100 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0h +0g +19" +1:" +1;" +06" +07" +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +0u +1n +1m +1/" +0," +b111001011011100100000111010000 0 +b111001011011100100000111010000 M +b111001011011100100000111010000 f +b111001011011100100000111010000 !" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9356500 +b10001 5 +b10001 L +b10001 W +b10001 ' +b10001 D +1. +0% +#9357000 +1i +1h +09" +0:" +b1110 0" +0p +b10010011110000100110011111011101 { +b10010011110000100110011111011101 A" +0n +b10001 ~ +b10001 )" +b10001 ." +b1010000000001101110111010100100 / +b1010000000001101110111010100100 K +b1010000000001101110111010100100 e +b1010000000001101110111010100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9357500 +b11111 5 +b11111 L +b11111 W +b11000 7 +b11000 N +b11000 V +16 +b11111 ' +b11111 D +b11000 & +b11000 C +1( +1. +0% +#9358000 +0i +0s +b1011000110110000000010111100010 | +b1011000110110000000010111100010 B" +0h +19" +1:" +15" +17" +b0 0" +0j +0o +1p +b1010000000001101110111010100100 { +b1010000000001101110111010100100 A" +b111 -" +1y +0u +14" +1v +1n +1," +b10010011110000100110011111011101 / +b10010011110000100110011111011101 K +b10010011110000100110011111011101 e +b10010011110000100110011111011101 "" +b11111 ~ +b11111 )" +b11111 ." +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#9358500 +b1011 7 +b1011 N +b1011 V +b1011 & +b1011 C +1. +0% +#9359000 +1u +0r +0q +17" +b10100 -" +04" +0v +b10000101011111010000101100100000 | +b10000101011111010000101100100000 B" +1x +1w +b1011 } +b1011 (" +b1011 +" +b1010000000001101110111010100100 / +b1010000000001101110111010100100 K +b1010000000001101110111010100100 e +b1010000000001101110111010100100 "" +b1011000110110000000010111100010 0 +b1011000110110000000010111100010 M +b1011000110110000000010111100010 f +b1011000110110000000010111100010 !" +0. +1% +#9359500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b0 ' +b0 D +0) +b0 & +b0 C +1. +0% +#9360000 +1i +1s +1h +1g +09" +0:" +0;" +1r +1q +05" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b100001111101011001101100011111 | +b100001111101011001101100011111 B" +0n +0m +0/" +0x +0w +b10000101011111010000101100100000 0 +b10000101011111010000101100100000 M +b10000101011111010000101100100000 f +b10000101011111010000101100100000 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0. +1% +#9360500 +06 +b1001010000100101001010100101101 2 +b1001010000100101001010100101101 I +b1001010000100101001010100101101 Z +b11011 3 +b11011 J +b11011 Y +11 +0( +b1001010000100101001010100101101 , +b1001010000100101001010100101101 H +b11011 + +b11011 G +1- +1. +0% +#9361000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1@" +07" +b100 3" +1c +0_ +1<" +1` +0u +1b +1a +12" +0," +b1001010000100101001010100101101 &" +b1001010000100101001010100101101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100001111101011001101100011111 0 +b100001111101011001101100011111 M +b100001111101011001101100011111 f +b100001111101011001101100011111 !" +0. +1% +#9361010 +b1001010000100101001010100101101 ^" +#9361500 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9362000 +1] +0s +b11001100010100111000001001011001 | +b11001100010100111000001001011001 B" +1\ +1[ +0=" +0@" +0r +15" +16" +17" +b11111 3" +0c +0<" +0` +b10001 -" +0t +0y +1z +1u +0b +0a +02" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#9362500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +1. +0% +#9363000 +0i +b10010111101010001100101110011001 { +b10010111101010001100101110011001 A" +1s +0g +19" +1:" +1;" +1r +05" +06" +07" +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1m +1/" +0x +0," +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11001100010100111000001001011001 0 +b11001100010100111000001001011001 M +b11001100010100111000001001011001 f +b11001100010100111000001001011001 !" +0. +1% +#9363500 +b11001 5 +b11001 L +b11001 W +b1101 7 +b1101 N +b1101 V +16 +b11001 ' +b11001 D +b1101 & +b1101 C +1( +1. +0% +#9364000 +1o +b1100000101000000011011111111100 { +b1100000101000000011011111111100 A" +0s +b1101000100111000001111101110100 | +b1101000100111000001111101110100 B" +19" +0:" +0q +15" +16" +17" +b110 0" +0p +b10010 -" +0t +0y +1z +1u +1w +1," +b10010111101010001100101110011001 / +b10010111101010001100101110011001 K +b10010111101010001100101110011001 e +b10010111101010001100101110011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001 ~ +b11001 )" +b11001 ." +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#9364500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10001000011001110100010000000 2 +b10001000011001110100010000000 I +b10001000011001110100010000000 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10001000011001110100010000000 , +b10001000011001110100010000000 H +b10110 + +b10110 G +1- +1. +0% +#9365000 +0] +1i +1s +0\ +1>" +1@" +1g +09" +0;" +1q +05" +06" +07" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0m +0/" +0w +0," +b10001000011001110100010000000 &" +b10001000011001110100010000000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100000101000000011011111111100 / +b1100000101000000011011111111100 K +b1100000101000000011011111111100 e +b1100000101000000011011111111100 "" +b1101000100111000001111101110100 0 +b1101000100111000001111101110100 M +b1101000100111000001111101110100 f +b1101000100111000001111101110100 !" +0. +1% +#9365010 +b10001000011001110100010000000 Y" +#9365500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9366000 +1] +1\ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9366500 +b10111101011100111100110111010011 2 +b10111101011100111100110111010011 I +b10111101011100111100110111010011 Z +b11011 3 +b11011 J +b11011 Y +11 +b10111101011100111100110111010011 , +b10111101011100111100110111010011 H +b11011 + +b11011 G +1- +1. +0% +#9367000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b10111101011100111100110111010011 &" +b10111101011100111100110111010011 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0. +1% +#9367010 +b10111101011100111100110111010011 ^" +#9367500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9368000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9368500 +b1110 7 +b1110 N +b1110 V +16 +b1110 & +b1110 C +1( +1. +0% +#9369000 +0s +b11001100010100111000001001011001 | +b11001100010100111000001001011001 B" +0r +15" +16" +17" +b10001 -" +0t +0y +1z +1u +1x +1," +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#9369500 +b1101 7 +b1101 N +b1101 V +b1101 & +b1101 C +1. +0% +#9370000 +1r +0q +b10010 -" +b1101000100111000001111101110100 | +b1101000100111000001111101110100 B" +0x +1w +b11001100010100111000001001011001 0 +b11001100010100111000001001011001 M +b11001100010100111000001001011001 f +b11001100010100111000001001011001 !" +b1101 } +b1101 (" +b1101 +" +0. +1% +#9370500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b11010000100100100111011011000100 2 +b11010000100100100111011011000100 I +b11010000100100100111011011000100 Z +b1011 3 +b1011 J +b1011 Y +11 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b11010000100100100111011011000100 , +b11010000100100100111011011000100 H +b1011 + +b1011 G +1- +1. +0% +#9371000 +0] +0i +b11110001001111100101011011000010 { +b11110001001111100101011011000010 A" +1s +0\ +0[ +1=" +1@" +0h +1:" +1;" +1q +05" +06" +07" +b10100 3" +1c +1_ +b11001 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1/" +0w +0," +b11010000100100100111011011000100 &" +b11010000100100100111011011000100 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1101000100111000001111101110100 0 +b1101000100111000001111101110100 M +b1101000100111000001111101110100 f +b1101000100111000001111101110100 !" +0. +1% +#9371010 +b11010000100100100111011011000100 N" +#9371500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9372000 +1] +1i +1\ +1[ +0=" +0@" +1h +0:" +0;" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0n +0/" +b11110001001111100101011011000010 / +b11110001001111100101011011000010 K +b11110001001111100101011011000010 e +b11110001001111100101011011000010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9372500 +b11110 7 +b11110 N +b11110 V +16 +b10110000010100010011111001110001 2 +b10110000010100010011111001110001 I +b10110000010100010011111001110001 Z +b10001 3 +b10001 J +b10001 Y +11 +b11110 & +b11110 C +1( +b10110000010100010011111001110001 , +b10110000010100010011111001110001 H +b10001 + +b10001 G +1- +1. +0% +#9373000 +0s +b11111110011001011000110001110101 | +b11111110011001011000110001110101 B" +0[ +1@" +0r +15" +16" +17" +b1110 3" +0_ +1<" +1` +b1 -" +0t +0y +1z +0u +14" +1v +1a +12" +1x +1," +b10110000010100010011111001110001 &" +b10110000010100010011111001110001 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11110 } +b11110 (" +b11110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9373010 +b10110000010100010011111001110001 T" +#9373500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b1010101101110111001110111000 2 +b1010101101110111001110111000 I +b1010101101110111001110111000 Z +b1110 3 +b1110 J +b1110 Y +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +b1010101101110111001110111000 , +b1010101101110111001110111000 H +b1110 + +b1110 G +1. +0% +#9374000 +1_ +0] +0i +b10010111101010001100101110011001 { +b10010111101010001100101110011001 A" +1s +0\ +1[ +1@" +1=" +1>" +0g +19" +1:" +1;" +1r +05" +06" +07" +b10001 3" +0<" +0` +0^ +0c +1d +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +0a +1m +1/" +0x +0," +b11111110011001011000110001110101 0 +b11111110011001011000110001110101 M +b11111110011001011000110001110101 f +b11111110011001011000110001110101 !" +b1010101101110111001110111000 &" +b1010101101110111001110111000 ?" +b1110 %" +b1110 *" +b1110 1" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9374010 +b1010101101110111001110111000 Q" +#9374500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9375000 +1] +1i +0s +b11111110011011001000010001011110 | +b11111110011011001000010001011110 B" +1\ +0=" +0>" +0@" +1g +09" +0:" +0;" +15" +17" +b11111 3" +0d +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +1u +0b +02" +0m +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +b10010111101010001100101110011001 / +b10010111101010001100101110011001 K +b10010111101010001100101110011001 e +b10010111101010001100101110011001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9375500 +b10110 5 +b10110 L +b10110 W +14 +b11110 7 +b11110 N +b11110 V +b10110 ' +b10110 D +1) +b11110 & +b11110 C +1. +0% +#9376000 +0i +b10001000011001110100010000000 { +b10001000011001110100010000000 A" +0u +0y +0h +1:" +1;" +0r +16" +b1001 0" +1j +0k +18" +1l +b1 -" +14" +1v +1z +b11111110011001011000110001110101 | +b11111110011001011000110001110101 B" +1n +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111110011011001000010001011110 0 +b11111110011011001000010001011110 M +b11111110011011001000010001011110 f +b11111110011011001000010001011110 !" +b10110 ~ +b10110 )" +b10110 ." +1$" +b11110 } +b11110 (" +b11110 +" +0. +1% +#9376500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1101111010001111111011011110011 2 +b1101111010001111111011011110011 I +b1101111010001111111011011110011 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1101111010001111111011011110011 , +b1101111010001111111011011110011 H +b111 + +b111 G +1- +1. +0% +#9377000 +0] +1i +1s +0\ +0[ +1>" +1@" +1h +0:" +0;" +1r +05" +06" +07" +b11000 3" +1^ +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0n +0/" +0x +0," +b1101111010001111111011011110011 &" +b1101111010001111111011011110011 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10001000011001110100010000000 / +b10001000011001110100010000000 K +b10001000011001110100010000000 e +b10001000011001110100010000000 "" +b11111110011001011000110001110101 0 +b11111110011001011000110001110101 M +b11111110011001011000110001110101 f +b11111110011001011000110001110101 !" +0. +1% +#9377010 +b1101111010001111111011011110011 J" +#9377500 +b11011 5 +b11011 L +b11011 W +14 +b1010 7 +b1010 N +b1010 V +16 +b10100111001000000001111101101000 2 +b10100111001000000001111101101000 I +b10100111001000000001111101101000 Z +b10110 3 +b10110 J +b10110 Y +b11011 ' +b11011 D +1) +b1010 & +b1010 C +1( +b10100111001000000001111101101000 , +b10100111001000000001111101101000 H +b10110 + +b10110 G +1. +0% +#9378000 +0_ +0i +b10111101011100111100110111010011 { +b10111101011100111100110111010011 A" +0s +b11001010010111011000101111001111 | +b11001010010111011000101111001111 B" +1[ +0h +0g +19" +1;" +0r +15" +17" +b1001 3" +1<" +1` +b100 0" +1o +0k +18" +1l +b10101 -" +1y +1u +0a +1n +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10100111001000000001111101101000 &" +b10100111001000000001111101101000 ?" +b10110 %" +b10110 *" +b10110 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#9378010 +b10100111001000000001111101101000 Y" +#9378500 +b11100 5 +b11100 L +b11100 W +b1 7 +b1 N +b1 V +b1111000101111000100100011010 2 +b1111000101111000100100011010 I +b1111000101111000100100011010 Z +b11011 3 +b11011 J +b11011 Y +b11100 ' +b11100 D +b1 & +b1 C +b1111000101111000100100011010 , +b1111000101111000100100011010 H +b11011 + +b11011 G +1. +0% +#9379000 +1=" +1c +0o +1s +0[ +0>" +1h +1g +1:" +1r +0q +05" +b100 3" +0^ +b11 0" +1p +b100111100011110111001111011000 { +b100111100011110111001111011000 A" +b11110 -" +0y +b1101010101001111101101010100111 | +b1101010101001111101101010100111 B" +1a +0n +0m +0x +1w +b1111000101111000100100011010 &" +b1111000101111000100100011010 ?" +b11011 %" +b11011 *" +b11011 1" +b11100 ~ +b11100 )" +b11100 ." +b1 } +b1 (" +b1 +" +b10111101011100111100110111010011 / +b10111101011100111100110111010011 K +b10111101011100111100110111010011 e +b10111101011100111100110111010011 "" +b11001010010111011000101111001111 0 +b11001010010111011000101111001111 M +b11001010010111011000101111001111 f +b11001010010111011000101111001111 !" +0. +1% +#9379010 +b1111000101111000100100011010 ^" +#9379500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10100001010110101100010101111110 2 +b10100001010110101100010101111110 I +b10100001010110101100010101111110 Z +b11000 3 +b11000 J +b11000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10100001010110101100010101111110 , +b10100001010110101100010101111110 H +b11000 + +b11000 G +1. +0% +#9380000 +1i +1\ +1[ +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +07" +b111 3" +b11111 0" +0p +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +0/" +0w +0," +b100111100011110111001111011000 / +b100111100011110111001111011000 K +b100111100011110111001111011000 e +b100111100011110111001111011000 "" +b1101010101001111101101010100111 0 +b1101010101001111101101010100111 M +b1101010101001111101101010100111 f +b1101010101001111101101010100111 !" +b10100001010110101100010101111110 &" +b10100001010110101100010101111110 ?" +b11000 %" +b11000 *" +b11000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9380010 +b10100001010110101100010101111110 [" +#9380500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9381000 +1] +0=" +0@" +b11111 3" +0c +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9381500 +b1000 5 +b1000 L +b1000 W +14 +b1000 ' +b1000 D +1) +1. +0% +#9382000 +0i +b11111110011011001000010001011110 { +b11111110011011001000010001011110 A" +19" +1;" +b10111 0" +1o +1k +1/" +b1000 ~ +b1000 )" +b1000 ." +1$" +0. +1% +#9382500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +16 +b1111011010000001111011110011001 2 +b1111011010000001111011110011001 I +b1111011010000001111011110011001 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b1110 & +b1110 C +1( +b1111011010000001111011110011001 , +b1111011010000001111011110011001 H +b110 + +b110 G +1- +1. +0% +#9383000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b1010101101110111001110111000 | +b1010101101110111001110111000 B" +0\ +1>" +1@" +09" +0;" +0r +15" +16" +17" +b11001 3" +1^ +1_ +b11111 0" +0o +0k +b10001 -" +0t +0y +1z +1u +1b +12" +0/" +1x +1," +b1111011010000001111011110011001 &" +b1111011010000001111011110011001 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +1#" +b11111110011011001000010001011110 / +b11111110011011001000010001011110 K +b11111110011011001000010001011110 e +b11111110011011001000010001011110 "" +0. +1% +#9383010 +b1111011010000001111011110011001 I" +#9383500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b1110110101000100000111000111 2 +b1110110101000100000111000111 I +b1110110101000100000111000111 Z +b1011 3 +b1011 J +b1011 Y +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b1110110101000100000111000111 , +b1110110101000100000111000111 H +b1011 + +b1011 G +1. +0% +#9384000 +1=" +1c +0i +b11100100101101110001010011000011 { +b11100100101101110001010011000011 A" +1s +0[ +0>" +0h +19" +1;" +1r +05" +06" +07" +b10100 3" +0^ +b101 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +1n +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1010101101110111001110111000 0 +b1010101101110111001110111000 M +b1010101101110111001110111000 f +b1010101101110111001110111000 !" +b1110110101000100000111000111 &" +b1110110101000100000111000111 ?" +b1011 %" +b1011 *" +b1011 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9384010 +b1110110101000100000111000111 N" +#9384500 +b0 5 +b0 L +b0 W +04 +b10011011100111101011101011101101 2 +b10011011100111101011101011101101 I +b10011011100111101011101011101101 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b10011011100111101011101011101101 , +b10011011100111101011101011101101 H +b11 + +b11 G +1. +0% +#9385000 +1] +1i +0=" +1h +09" +0;" +b11100 3" +0c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b10011011100111101011101011101101 &" +b10011011100111101011101011101101 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +b11100100101101110001010011000011 / +b11100100101101110001010011000011 K +b11100100101101110001010011000011 e +b11100100101101110001010011000011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9385010 +b10011011100111101011101011101101 F" +#9385500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9386000 +1\ +1[ +0@" +b11111 3" +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9386500 +1. +0% +#9387000 +0. +1% +#9387500 +b1110010010001101100001010001101 2 +b1110010010001101100001010001101 I +b1110010010001101100001010001101 Z +b1101 3 +b1101 J +b1101 Y +11 +b1110010010001101100001010001101 , +b1110010010001101100001010001101 H +b1101 + +b1101 G +1- +1. +0% +#9388000 +0] +0[ +1=" +1>" +1@" +b10010 3" +0^ +0c +1d +1_ +1a +12" +b1110010010001101100001010001101 &" +b1110010010001101100001010001101 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +0. +1% +#9388010 +b1110010010001101100001010001101 P" +#9388500 +b10111011111011010110100101000100 2 +b10111011111011010110100101000100 I +b10111011111011010110100101000100 Z +b11111 3 +b11111 J +b11111 Y +b10111011111011010110100101000100 , +b10111011111011010110100101000100 H +b11111 + +b11111 G +1. +0% +#9389000 +0_ +0\ +b0 3" +1<" +1` +1b +b10111011111011010110100101000100 &" +b10111011111011010110100101000100 ?" +b11111 %" +b11111 *" +b11111 1" +0. +1% +#9389500 +b10110 7 +b10110 N +b10110 V +16 +b11100011011000111001010001010101 2 +b11100011011000111001010001010101 I +b11100011011000111001010001010101 Z +b1010 3 +b1010 J +b1010 Y +b10110 & +b10110 C +1( +b11100011011000111001010001010101 , +b11100011011000111001010001010101 H +b1010 + +b1010 G +1. +0% +#9390000 +1_ +1c +0s +b10100111001000000001111101101000 | +b10100111001000000001111101101000 B" +1[ +1@" +1=" +0>" +0r +16" +17" +b10101 3" +0<" +0` +0d +b1001 -" +1t +0u +14" +1v +0a +1x +1," +b11100011011000111001010001010101 &" +b11100011011000111001010001010101 ?" +b1010 %" +b1010 *" +b1010 1" +b10110 } +b10110 (" +b10110 +" +1#" +0. +1% +#9390010 +b11100011011000111001010001010101 M" +#9390500 +b11011 7 +b11011 N +b11011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 & +b11011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9391000 +15" +1] +1y +1\ +0=" +0@" +0q +06" +b11111 3" +0c +0_ +b100 -" +0t +b1111000101111000100100011010 | +b1111000101111000100100011010 B" +0b +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 } +b11011 (" +b11011 +" +b10100111001000000001111101101000 0 +b10100111001000000001111101101000 M +b10100111001000000001111101101000 f +b10100111001000000001111101101000 !" +0. +1% +#9391500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9392000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b1111000101111000100100011010 0 +b1111000101111000100100011010 M +b1111000101111000100100011010 f +b1111000101111000100100011010 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9392500 +b11 5 +b11 L +b11 W +14 +b101111011010111010101111011000 2 +b101111011010111010101111011000 I +b101111011010111010101111011000 Z +b100 3 +b100 J +b100 Y +11 +b11 ' +b11 D +1) +b101111011010111010101111011000 , +b101111011010111010101111011000 H +b100 + +b100 G +1- +1. +0% +#9393000 +0] +b10011011100111101011101011101101 { +b10011011100111101011101011101101 A" +1>" +1@" +0h +0g +1;" +b11011 3" +1^ +1_ +b11100 0" +1k +12" +1n +1m +1/" +b101111011010111010101111011000 &" +b101111011010111010101111011000 ?" +b100 %" +b100 *" +b100 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9393010 +b101111011010111010101111011000 G" +#9393500 +b1001 5 +b1001 L +b1001 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9394000 +1] +0i +0>" +0@" +1h +19" +b11111 3" +0^ +0_ +b10110 0" +1o +b10011111100101100010100001101011 { +b10011111100101100010100001101011 A" +02" +0n +b10011011100111101011101011101101 / +b10011011100111101011101011101101 K +b10011011100111101011101011101101 e +b10011011100111101011101011101101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +0. +1% +#9394500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b0 ' +b0 D +0) +b11 & +b11 C +1( +1. +0% +#9395000 +1i +b10011011100111101011101011101101 | +b10011011100111101011101011101101 B" +1g +09" +0;" +0r +0q +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11100 -" +1u +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +b10011111100101100010100001101011 / +b10011111100101100010100001101011 K +b10011111100101100010100001101011 e +b10011111100101100010100001101011 "" +0. +1% +#9395500 +b100 5 +b100 L +b100 W +14 +b10 7 +b10 N +b10 V +b100 ' +b100 D +1) +b10 & +b10 C +1. +0% +#9396000 +0i +b101111011010111010101111011000 { +b101111011010111010101111011000 A" +1:" +1;" +1q +b11011 0" +1j +1k +b11101 -" +b1011011000011000100110110100 | +b1011011000011000100110110100 B" +1/" +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10011011100111101011101011101101 0 +b10011011100111101011101011101101 M +b10011011100111101011101011101101 f +b10011011100111101011101011101101 !" +b100 ~ +b100 )" +b100 ." +1$" +b10 } +b10 (" +b10 +" +0. +1% +#9396500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9397000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +1r +07" +b11111 0" +0j +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b101111011010111010101111011000 / +b101111011010111010101111011000 K +b101111011010111010101111011000 e +b101111011010111010101111011000 "" +b1011011000011000100110110100 0 +b1011011000011000100110110100 M +b1011011000011000100110110100 f +b1011011000011000100110110100 !" +0. +1% +#9397500 +b11 5 +b11 L +b11 W +14 +b11 ' +b11 D +1) +1. +0% +#9398000 +b10011011100111101011101011101101 { +b10011011100111101011101011101101 A" +0h +0g +1;" +b11100 0" +1k +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#9398500 +b0 5 +b0 L +b0 W +04 +b10010000000111111110010011110101 2 +b10010000000111111110010011110101 I +b10010000000111111110010011110101 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b10010000000111111110010011110101 , +b10010000000111111110010011110101 H +b111 + +b111 G +1- +1. +0% +#9399000 +0] +0\ +0[ +1>" +1@" +1h +1g +0;" +b11000 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0m +0/" +b10010000000111111110010011110101 &" +b10010000000111111110010011110101 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10011011100111101011101011101101 / +b10011011100111101011101011101101 K +b10011011100111101011101011101101 e +b10011011100111101011101011101101 "" +0. +1% +#9399010 +b10010000000111111110010011110101 J" +#9399500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9400000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9400500 +b1 5 +b1 L +b1 W +14 +b1101 7 +b1101 N +b1101 V +16 +b11100101011011100101011011101100 2 +b11100101011011100101011011101100 I +b11100101011011100101011011101100 Z +b10101 3 +b10101 J +b10101 Y +11 +b1 ' +b1 D +1) +b1101 & +b1101 C +1( +b11100101011011100101011011101100 , +b11100101011011100101011011101100 H +b10101 + +b10101 G +1- +1. +0% +#9401000 +0] +b1101010101001111101101010100111 { +b1101010101001111101101010100111 A" +0s +b1110010010001101100001010001101 | +b1110010010001101100001010001101 B" +0[ +1>" +1@" +0g +1;" +0q +15" +16" +17" +b1010 3" +1^ +0_ +1<" +1` +b11110 0" +1k +b10010 -" +0t +0y +1z +1u +1a +12" +1m +1/" +1w +1," +b11100101011011100101011011101100 &" +b11100101011011100101011011101100 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#9401010 +b11100101011011100101011011101100 X" +#9401500 +b0 5 +b0 L +b0 W +b0 7 +b0 N +b0 V +06 +b11011011100101110010101010011001 2 +b11011011100101110010101010011001 I +b11011011100101110010101010011001 Z +b1000 3 +b1000 J +b1000 Y +b0 ' +b0 D +b0 & +b0 C +0( +b11011011100101110010101010011001 , +b11011011100101110010101010011001 H +b1000 + +b1000 G +1. +0% +#9402000 +1=" +1_ +1c +1s +1[ +1@" +0>" +1g +1q +05" +06" +07" +b10111 3" +0<" +0` +0^ +b11111 0" +b100001111101011001101100011111 { +b100001111101011001101100011111 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +0m +0w +0," +b1101010101001111101101010100111 / +b1101010101001111101101010100111 K +b1101010101001111101101010100111 e +b1101010101001111101101010100111 "" +b1110010010001101100001010001101 0 +b1110010010001101100001010001101 M +b1110010010001101100001010001101 f +b1110010010001101100001010001101 !" +b11011011100101110010101010011001 &" +b11011011100101110010101010011001 ?" +b1000 %" +b1000 *" +b1000 1" +b0 ~ +b0 )" +b0 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9402010 +b11011011100101110010101010011001 K" +#9402500 +b1011 5 +b1011 L +b1011 W +b10101011110101100000111100100111 2 +b10101011110101100000111100100111 I +b10101011110101100000111100100111 Z +b0 3 +b0 J +b0 Y +b1011 ' +b1011 D +b10101011110101100000111100100111 , +b10101011110101100000111100100111 H +b0 + +b0 G +1. +0% +#9403000 +1] +0i +0=" +0h +0g +19" +b11111 3" +0c +b10100 0" +1o +b1110110101000100000111000111 { +b1110110101000100000111000111 A" +1n +1m +b10101011110101100000111100100111 &" +b10101011110101100000111100100111 ?" +b0 %" +b0 *" +b0 1" +b1011 ~ +b1011 )" +b1011 ." +b100001111101011001101100011111 / +b100001111101011001101100011111 K +b100001111101011001101100011111 e +b100001111101011001101100011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9403010 +b10101011110101100000111100100111 C" +#9403500 +b0 5 +b0 L +b0 W +04 +16 +b0 2 +b0 I +b0 Z +01 +b0 ' +b0 D +0) +1( +b0 , +b0 H +0- +1. +0% +#9404000 +1i +b10101011110101100000111100100111 | +b10101011110101100000111100100111 B" +0@" +1h +1g +09" +0;" +17" +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1u +02" +0n +0m +0/" +1," +b1110110101000100000111000111 / +b1110110101000100000111000111 K +b1110110101000100000111000111 e +b1110110101000100000111000111 "" +b0 &" +b0 ?" +0'" +b0 ~ +b0 )" +b0 ." +0$" +1#" +0. +1% +#9404500 +b11 7 +b11 N +b11 V +b11 & +b11 C +1. +0% +#9405000 +0r +0q +b11100 -" +b10011011100111101011101011101101 | +b10011011100111101011101011101101 B" +1x +1w +b11 } +b11 (" +b11 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101011110101100000111100100111 0 +b10101011110101100000111100100111 M +b10101011110101100000111100100111 f +b10101011110101100000111100100111 !" +0. +1% +#9405500 +b0 7 +b0 N +b0 V +06 +b1110101001011000111100101011010 2 +b1110101001011000111100101011010 I +b1110101001011000111100101011010 Z +b100 3 +b100 J +b100 Y +11 +b0 & +b0 C +0( +b1110101001011000111100101011010 , +b1110101001011000111100101011010 H +b100 + +b100 G +1- +1. +0% +#9406000 +0] +1>" +1@" +1r +1q +07" +b11011 3" +1^ +1_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0x +0w +0," +b10011011100111101011101011101101 0 +b10011011100111101011101011101101 M +b10011011100111101011101011101101 f +b10011011100111101011101011101101 !" +b1110101001011000111100101011010 &" +b1110101001011000111100101011010 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9406010 +b1110101001011000111100101011010 G" +#9406500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9407000 +1] +0>" +0@" +b11111 3" +0^ +0_ +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9407500 +b10000 5 +b10000 L +b10000 W +14 +b10100 7 +b10100 N +b10100 V +16 +b10000 ' +b10000 D +1) +b10100 & +b10100 C +1( +1. +0% +#9408000 +b11110010111101001100001011010100 { +b11110010111101001100001011010100 A" +0s +b1101010000010001100100001001 | +b1101010000010001100100001001 B" +1;" +16" +17" +b1111 0" +0k +18" +1l +b1011 -" +1t +0u +14" +1v +1/" +1," +b10000 ~ +b10000 )" +b10000 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#9408500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9409000 +1s +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 0" +08" +0l +b11111 -" +0t +04" +0v +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110010111101001100001011010100 / +b11110010111101001100001011010100 K +b11110010111101001100001011010100 e +b11110010111101001100001011010100 "" +b1101010000010001100100001001 0 +b1101010000010001100100001001 M +b1101010000010001100100001001 f +b1101010000010001100100001001 !" +0. +1% +#9409500 +b10 5 +b10 L +b10 W +14 +b1110 7 +b1110 N +b1110 V +16 +b111001101000111111000000100011 2 +b111001101000111111000000100011 I +b111001101000111111000000100011 Z +b1111 3 +b1111 J +b1111 Y +11 +b10 ' +b10 D +1) +b1110 & +b1110 C +1( +b111001101000111111000000100011 , +b111001101000111111000000100011 H +b1111 + +b1111 G +1- +1. +0% +#9410000 +0] +b1011011000011000100110110100 { +b1011011000011000100110110100 A" +0s +b1010101101110111001110111000 | +b1010101101110111001110111000 B" +0\ +0[ +1=" +1>" +1@" +0h +1;" +0r +15" +16" +17" +b10000 3" +0^ +0c +1d +1_ +b11101 0" +1k +b10001 -" +0t +0y +1z +1u +1b +1a +12" +1n +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111001101000111111000000100011 &" +b111001101000111111000000100011 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b10 ~ +b10 )" +b10 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#9410010 +b111001101000111111000000100011 R" +#9410500 +b11001 5 +b11001 L +b11001 W +b0 7 +b0 N +b0 V +06 +b10101011000001111111001001100101 2 +b10101011000001111111001001100101 I +b10101011000001111111001001100101 Z +b10001 3 +b10001 J +b10001 Y +b11001 ' +b11001 D +b0 & +b0 C +0( +b10101011000001111111001001100101 , +b10101011000001111111001001100101 H +b10001 + +b10001 G +1. +0% +#9411000 +0_ +1] +0k +0i +1s +1\ +0=" +0>" +1h +0g +19" +1r +05" +06" +07" +b1110 3" +1<" +1` +0d +b110 0" +18" +1l +1o +b1100000101000000011011111111100 { +b1100000101000000011011111111100 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0n +1m +0x +0," +b10101011000001111111001001100101 &" +b10101011000001111111001001100101 ?" +b10001 %" +b10001 *" +b10001 1" +b11001 ~ +b11001 )" +b11001 ." +b0 } +b0 (" +b0 +" +0#" +b1011011000011000100110110100 / +b1011011000011000100110110100 K +b1011011000011000100110110100 e +b1011011000011000100110110100 "" +b1010101101110111001110111000 0 +b1010101101110111001110111000 M +b1010101101110111001110111000 f +b1010101101110111001110111000 !" +0. +1% +#9411010 +b10101011000001111111001001100101 T" +#9411500 +b10101 5 +b10101 L +b10101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9412000 +1:" +1j +b11100101011011100101011011101100 { +b11100101011011100101011011101100 A" +1[ +0@" +09" +b11111 3" +0<" +0` +b1010 0" +0o +0a +02" +b1100000101000000011011111111100 / +b1100000101000000011011111111100 K +b1100000101000000011011111111100 e +b1100000101000000011011111111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#9412500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +1. +0% +#9413000 +1i +0s +b10100001010110101100010101111110 | +b10100001010110101100010101111110 B" +1g +0:" +0;" +15" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b111 -" +1y +0u +14" +1v +0m +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +b11100101011011100101011011101100 / +b11100101011011100101011011101100 K +b11100101011011100101011011101100 e +b11100101011011100101011011101100 "" +0. +1% +#9413500 +b11100 5 +b11100 L +b11100 W +14 +b0 7 +b0 N +b0 V +06 +b110111010111101111101000111001 2 +b110111010111101111101000111001 I +b110111010111101111101000111001 Z +b111 3 +b111 J +b111 Y +11 +b11100 ' +b11100 D +1) +b0 & +b0 C +0( +b110111010111101111101000111001 , +b110111010111101111101000111001 H +b111 + +b111 G +1- +1. +0% +#9414000 +0] +0i +b100111100011110111001111011000 { +b100111100011110111001111011000 A" +1s +0\ +0[ +1>" +1@" +19" +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11000 3" +1^ +1_ +b11 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +04" +0v +1b +1a +12" +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100001010110101100010101111110 0 +b10100001010110101100010101111110 M +b10100001010110101100010101111110 f +b10100001010110101100010101111110 !" +b110111010111101111101000111001 &" +b110111010111101111101000111001 ?" +b111 %" +b111 *" +b111 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9414010 +b110111010111101111101000111001 J" +#9414500 +b101 5 +b101 L +b101 W +b11101110110011010101001000111000 2 +b11101110110011010101001000111000 I +b11101110110011010101001000111000 Z +b11000 3 +b11000 J +b11000 Y +b101 ' +b101 D +b11101110110011010101001000111000 , +b11101110110011010101001000111000 H +b11000 + +b11000 G +1. +0% +#9415000 +1=" +0_ +1c +1k +1j +1\ +1[ +0>" +0g +1;" +09" +1:" +b111 3" +1<" +1` +0^ +b11010 0" +08" +0l +0p +b1100011010000100101011010011111 { +b1100011010000100101011010011111 A" +0b +0a +1m +b11101110110011010101001000111000 &" +b11101110110011010101001000111000 ?" +b11000 %" +b11000 *" +b11000 1" +b101 ~ +b101 )" +b101 ." +b100111100011110111001111011000 / +b100111100011110111001111011000 K +b100111100011110111001111011000 e +b100111100011110111001111011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9415010 +b11101110110011010101001000111000 [" +#9415500 +b10110 5 +b10110 L +b10110 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9416000 +1] +0k +0=" +0@" +0h +1g +b11111 3" +0c +0<" +0` +b1001 0" +18" +1l +b10100111001000000001111101101000 { +b10100111001000000001111101101000 A" +02" +1n +0m +b1100011010000100101011010011111 / +b1100011010000100101011010011111 K +b1100011010000100101011010011111 e +b1100011010000100101011010011111 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +0. +1% +#9416500 +b0 5 +b0 L +b0 W +04 +b10010 7 +b10010 N +b10010 V +16 +b0 ' +b0 D +0) +b10010 & +b10010 C +1( +1. +0% +#9417000 +1i +b11100000011001001101100001110110 | +b11100000011001001101100001110110 B" +1h +0:" +0;" +0r +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1101 -" +0u +14" +1v +0n +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b10010 } +b10010 (" +b10010 +" +1#" +b10100111001000000001111101101000 / +b10100111001000000001111101101000 K +b10100111001000000001111101101000 e +b10100111001000000001111101101000 "" +0. +1% +#9417500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +1. +0% +#9418000 +0i +b11100100101101110001010011000011 { +b11100100101101110001010011000011 A" +0h +19" +1;" +1r +07" +b101 0" +1o +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100000011001001101100001110110 0 +b11100000011001001101100001110110 M +b11100000011001001101100001110110 f +b11100000011001001101100001110110 !" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9418500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +16 +b100010011110111110000000010111 2 +b100010011110111110000000010111 I +b100010011110111110000000010111 Z +b1110 3 +b1110 J +b1110 Y +11 +b0 ' +b0 D +0) +b101 & +b101 C +1( +b100010011110111110000000010111 , +b100010011110111110000000010111 H +b1110 + +b1110 G +1- +1. +0% +#9419000 +0] +1i +0s +b1100011010000100101011010011111 | +b1100011010000100101011010011111 B" +0\ +1=" +1>" +1@" +1h +09" +0;" +0q +16" +17" +b10001 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11010 -" +1t +1u +1b +12" +0n +0/" +1w +1," +b100010011110111110000000010111 &" +b100010011110111110000000010111 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +1#" +b11100100101101110001010011000011 / +b11100100101101110001010011000011 K +b11100100101101110001010011000011 e +b11100100101101110001010011000011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9419010 +b100010011110111110000000010111 Q" +#9419500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9420000 +1] +1s +1\ +0=" +0>" +0@" +1q +06" +07" +b11111 3" +0d +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +02" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100011010000100101011010011111 0 +b1100011010000100101011010011111 M +b1100011010000100101011010011111 f +b1100011010000100101011010011111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9420500 +1. +0% +#9421000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9421500 +b11000001111000101001100011001110 2 +b11000001111000101001100011001110 I +b11000001111000101001100011001110 Z +b10010 3 +b10010 J +b10010 Y +11 +b11000001111000101001100011001110 , +b11000001111000101001100011001110 H +b10010 + +b10010 G +1- +1. +0% +#9422000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b11000001111000101001100011001110 &" +b11000001111000101001100011001110 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +0. +1% +#9422010 +b11000001111000101001100011001110 U" +#9422500 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9423000 +0s +b10010111101010001100101110011001 | +b10010111101010001100101110011001 B" +1\ +0@" +0q +15" +16" +17" +b11111 3" +0<" +0` +b10 -" +0t +0y +1z +0u +14" +1v +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#9423500 +b1001 7 +b1001 N +b1001 V +b1001 & +b1001 C +1. +0% +#9424000 +1u +1y +17" +b10011111100101100010100001101011 | +b10011111100101100010100001101011 B" +15" +06" +b10110 -" +04" +0v +0z +b10010111101010001100101110011001 0 +b10010111101010001100101110011001 M +b10010111101010001100101110011001 f +b10010111101010001100101110011001 !" +b1001 } +b1001 (" +b1001 +" +0. +1% +#9424500 +b0 7 +b0 N +b0 V +06 +b10010101000111101001001101100001 2 +b10010101000111101001001101100001 I +b10010101000111101001001101100001 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 & +b0 C +0( +b10010101000111101001001101100001 , +b10010101000111101001001101100001 H +b1111 + +b1111 G +1- +1. +0% +#9425000 +0] +1s +0\ +0[ +1=" +1>" +1@" +1q +05" +07" +b10000 3" +0^ +0c +1d +1_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b10010101000111101001001101100001 &" +b10010101000111101001001101100001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10011111100101100010100001101011 0 +b10011111100101100010100001101011 M +b10011111100101100010100001101011 f +b10011111100101100010100001101011 !" +0. +1% +#9425010 +b10010101000111101001001101100001 R" +#9425500 +b10101 5 +b10101 L +b10101 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9426000 +1] +0i +b11100101011011100101011011101100 { +b11100101011011100101011011101100 A" +1\ +1[ +0=" +0>" +0@" +0g +1:" +1;" +b11111 3" +0d +0_ +b1010 0" +1j +0k +18" +1l +0b +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#9426500 +b11010 5 +b11010 L +b11010 W +b1011 7 +b1011 N +b1011 V +16 +b11010 ' +b11010 D +b1011 & +b1011 C +1( +1. +0% +#9427000 +19" +1o +0s +b1110110101000100000111000111 | +b1110110101000100000111000111 B" +0h +1g +0:" +0r +0q +15" +17" +b101 0" +0j +b11100100101101110001010011000011 { +b11100100101101110001010011000011 A" +b10100 -" +1y +1u +1n +0m +1x +1w +1," +b11010 ~ +b11010 )" +b11010 ." +b1011 } +b1011 (" +b1011 +" +1#" +b11100101011011100101011011101100 / +b11100101011011100101011011101100 K +b11100101011011100101011011101100 e +b11100101011011100101011011101100 "" +0. +1% +#9427500 +b11100 5 +b11100 L +b11100 W +b0 7 +b0 N +b0 V +06 +b11100 ' +b11100 D +b0 & +b0 C +0( +1. +0% +#9428000 +0o +1s +1h +1:" +1r +1q +05" +07" +b11 0" +1p +b100111100011110111001111011000 { +b100111100011110111001111011000 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0x +0w +0," +b11100100101101110001010011000011 / +b11100100101101110001010011000011 K +b11100100101101110001010011000011 e +b11100100101101110001010011000011 "" +b1110110101000100000111000111 0 +b1110110101000100000111000111 M +b1110110101000100000111000111 f +b1110110101000100000111000111 !" +b11100 ~ +b11100 )" +b11100 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9428500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +1. +0% +#9429000 +1i +0s +b10010101000111101001001101100001 | +b10010101000111101001001101100001 B" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +16" +17" +b11111 0" +0p +08" +0l +b10000 -" +0t +0y +1z +1u +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +b100111100011110111001111011000 / +b100111100011110111001111011000 K +b100111100011110111001111011000 e +b100111100011110111001111011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9429500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9430000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010101000111101001001101100001 0 +b10010101000111101001001101100001 M +b10010101000111101001001101100001 f +b10010101000111101001001101100001 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9430500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#9431000 +0i +b100010011110111110000000010111 { +b100010011110111110000000010111 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b1110 ~ +b1110 )" +b1110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9431500 +b0 5 +b0 L +b0 W +04 +b1111 7 +b1111 N +b1111 V +16 +b0 ' +b0 D +0) +b1111 & +b1111 C +1( +1. +0% +#9432000 +1i +0s +b10010101000111101001001101100001 | +b10010101000111101001001101100001 B" +1h +09" +0:" +0;" +0r +0q +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10000 -" +0t +0y +1z +1u +0n +0/" +1x +1w +1," +b100010011110111110000000010111 / +b100010011110111110000000010111 K +b100010011110111110000000010111 e +b100010011110111110000000010111 "" +b0 ~ +b0 )" +b0 ." +0$" +b1111 } +b1111 (" +b1111 +" +1#" +0. +1% +#9432500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b1100111010111000110000010010001 2 +b1100111010111000110000010010001 I +b1100111010111000110000010010001 Z +b10110 3 +b10110 J +b10110 Y +11 +b101 ' +b101 D +1) +b0 & +b0 C +0( +b1100111010111000110000010010001 , +b1100111010111000110000010010001 H +b10110 + +b10110 G +1- +1. +0% +#9433000 +0] +0i +b1100011010000100101011010011111 { +b1100011010000100101011010011111 A" +1s +0\ +1>" +1@" +0g +1:" +1;" +1r +1q +05" +06" +07" +b1001 3" +1^ +0_ +1<" +1` +b11010 0" +1j +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +1m +1/" +0x +0w +0," +b1100111010111000110000010010001 &" +b1100111010111000110000010010001 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010101000111101001001101100001 0 +b10010101000111101001001101100001 M +b10010101000111101001001101100001 f +b10010101000111101001001101100001 !" +0. +1% +#9433010 +b1100111010111000110000010010001 Y" +#9433500 +b1100 5 +b1100 L +b1100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9434000 +1] +0j +1\ +0>" +0@" +1g +19" +b11111 3" +0^ +0<" +0` +b10011 0" +1p +b11010100110101011101110110100 { +b11010100110101011101110110100 A" +0b +02" +0m +b1100011010000100101011010011111 / +b1100011010000100101011010011111 K +b1100011010000100101011010011111 e +b1100011010000100101011010011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +0. +1% +#9434500 +b10011 5 +b10011 L +b10011 W +b11011 7 +b11011 N +b11011 V +16 +b10011 ' +b10011 D +b11011 & +b11011 C +1( +1. +0% +#9435000 +0k +1i +0s +b1111000101111000100100011010 | +b1111000101111000100100011010 B" +0h +0g +09" +0:" +0r +0q +15" +17" +b1100 0" +18" +1l +0p +b100101001000011101010110100110 { +b100101001000011101010110100110 A" +b100 -" +1y +0u +14" +1v +1n +1m +1x +1w +1," +b10011 ~ +b10011 )" +b10011 ." +b11011 } +b11011 (" +b11011 +" +1#" +b11010100110101011101110110100 / +b11010100110101011101110110100 K +b11010100110101011101110110100 e +b11010100110101011101110110100 "" +0. +1% +#9435500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +b10100000010010001101110111101100 2 +b10100000010010001101110111101100 I +b10100000010010001101110111101100 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +b10100000010010001101110111101100 , +b10100000010010001101110111101100 H +b11011 + +b11011 G +1- +1. +0% +#9436000 +16" +0] +1u +1t +0\ +0[ +1=" +1@" +1h +1g +0;" +1r +1q +17" +05" +b100 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +04" +0v +0y +b1110101001011000111100101011010 | +b1110101001011000111100101011010 B" +1b +1a +12" +0n +0m +0/" +0x +0w +b100101001000011101010110100110 / +b100101001000011101010110100110 K +b100101001000011101010110100110 e +b100101001000011101010110100110 "" +b1111000101111000100100011010 0 +b1111000101111000100100011010 M +b1111000101111000100100011010 f +b1111000101111000100100011010 !" +b10100000010010001101110111101100 &" +b10100000010010001101110111101100 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +0. +1% +#9436010 +b10100000010010001101110111101100 ^" +#9436500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9437000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0=" +0@" +06" +07" +b11111 3" +0c +0<" +0` +b11111 -" +0t +0u +0b +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110101001011000111100101011010 0 +b1110101001011000111100101011010 M +b1110101001011000111100101011010 f +b1110101001011000111100101011010 !" +0. +1% +#9437500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#9438000 +0s +b11100011011000111001010001010101 | +b11100011011000111001010001010101 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#9438500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9439000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b11100011011000111001010001010101 0 +b11100011011000111001010001010101 M +b11100011011000111001010001010101 f +b11100011011000111001010001010101 !" +0. +1% +#9439500 +b11110 5 +b11110 L +b11110 W +14 +b11001 7 +b11001 N +b11001 V +16 +b11110 ' +b11110 D +1) +b11001 & +b11001 C +1( +1. +0% +#9440000 +0i +b11111110011001011000110001110101 { +b11111110011001011000110001110101 A" +0s +b1100000101000000011011111111100 | +b1100000101000000011011111111100 B" +0h +19" +1:" +1;" +0q +15" +17" +b1 0" +0j +0o +1p +0k +18" +1l +b110 -" +1y +0u +14" +1v +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110 ~ +b11110 )" +b11110 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#9440500 +b1 5 +b1 L +b1 W +b0 7 +b0 N +b0 V +06 +b1 ' +b1 D +b0 & +b0 C +0( +1. +0% +#9441000 +1k +1i +1s +1h +0g +1;" +09" +0:" +1q +05" +07" +b11110 0" +08" +0l +0p +b1101010101001111101101010100111 { +b1101010101001111101101010100111 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +1m +0w +0," +b1 ~ +b1 )" +b1 ." +b0 } +b0 (" +b0 +" +0#" +b11111110011001011000110001110101 / +b11111110011001011000110001110101 K +b11111110011001011000110001110101 e +b11111110011001011000110001110101 "" +b1100000101000000011011111111100 0 +b1100000101000000011011111111100 M +b1100000101000000011011111111100 f +b1100000101000000011011111111100 !" +0. +1% +#9441500 +b1010 5 +b1010 L +b1010 W +b11000110000111110110010011000101 2 +b11000110000111110110010011000101 I +b11000110000111110110010011000101 Z +b11101 3 +b11101 J +b11101 Y +11 +b1010 ' +b1010 D +b11000110000111110110010011000101 , +b11000110000111110110010011000101 H +b11101 + +b11101 G +1- +1. +0% +#9442000 +0] +0i +0[ +1=" +1>" +1@" +0h +1g +19" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b10101 0" +1o +b11100011011000111001010001010101 { +b11100011011000111001010001010101 A" +1a +12" +1n +0m +b1101010101001111101101010100111 / +b1101010101001111101101010100111 K +b1101010101001111101101010100111 e +b1101010101001111101101010100111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000110000111110110010011000101 &" +b11000110000111110110010011000101 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b1010 ~ +b1010 )" +b1010 ." +0. +1% +#9442010 +b11000110000111110110010011000101 `" +#9442500 +b1111 5 +b1111 L +b1111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9443000 +1] +0o +1[ +0=" +0>" +0@" +0g +1:" +b11111 3" +0d +0<" +0` +b10000 0" +1p +b10010101000111101001001101100001 { +b10010101000111101001001101100001 A" +0a +02" +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +b11100011011000111001010001010101 / +b11100011011000111001010001010101 K +b11100011011000111001010001010101 e +b11100011011000111001010001010101 "" +0. +1% +#9443500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +16 +b11000001000101011010010001110000 2 +b11000001000101011010010001110000 I +b11000001000101011010010001110000 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b11101 & +b11101 C +1( +b11000001000101011010010001110000 , +b11000001000101011010010001110000 H +b11 + +b11 G +1- +1. +0% +#9444000 +1i +0s +b11000110000111110110010011000101 | +b11000110000111110110010011000101 B" +0\ +0[ +1@" +1h +1g +09" +0:" +0;" +0q +15" +16" +17" +b11100 3" +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +0t +0y +1z +0u +14" +1v +1b +1a +12" +0n +0m +0/" +1w +1," +b10010101000111101001001101100001 / +b10010101000111101001001101100001 K +b10010101000111101001001101100001 e +b10010101000111101001001101100001 "" +b11000001000101011010010001110000 &" +b11000001000101011010010001110000 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#9444010 +b11000001000101011010010001110000 F" +#9444500 +b0 7 +b0 N +b0 V +06 +b11011110011101101000001010101101 2 +b11011110011101101000001010101101 I +b11011110011101101000001010101101 Z +b11010 3 +b11010 J +b11010 Y +b0 & +b0 C +0( +b11011110011101101000001010101101 , +b11011110011101101000001010101101 H +b11010 + +b11010 G +1. +0% +#9445000 +0_ +0] +1s +1[ +1=" +1q +05" +06" +07" +b101 3" +1<" +1` +1c +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +0w +0," +b11011110011101101000001010101101 &" +b11011110011101101000001010101101 ?" +b11010 %" +b11010 *" +b11010 1" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000110000111110110010011000101 0 +b11000110000111110110010011000101 M +b11000110000111110110010011000101 f +b11000110000111110110010011000101 !" +0. +1% +#9445010 +b11011110011101101000001010101101 ]" +#9445500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9446000 +1] +1\ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9446500 +b1001010001101111000011010011111 2 +b1001010001101111000011010011111 I +b1001010001101111000011010011111 Z +b10001 3 +b10001 J +b10001 Y +11 +b1001010001101111000011010011111 , +b1001010001101111000011010011111 H +b10001 + +b10001 G +1- +1. +0% +#9447000 +0[ +1@" +b1110 3" +0_ +1<" +1` +1a +12" +b1001010001101111000011010011111 &" +b1001010001101111000011010011111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0. +1% +#9447010 +b1001010001101111000011010011111 T" +#9447500 +b1011 5 +b1011 L +b1011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9448000 +0i +b1110110101000100000111000111 { +b1110110101000100000111000111 A" +1[ +0@" +0h +0g +19" +1;" +b11111 3" +0<" +0` +b10100 0" +1o +1k +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#9448500 +b11 5 +b11 L +b11 W +b10000111000001100010111101110110 2 +b10000111000001100010111101110110 I +b10000111000001100010111101110110 Z +b1110 3 +b1110 J +b1110 Y +11 +b11 ' +b11 D +b10000111000001100010111101110110 , +b10000111000001100010111101110110 H +b1110 + +b1110 G +1- +1. +0% +#9449000 +0] +1i +b11000001000101011010010001110000 { +b11000001000101011010010001110000 A" +0\ +1=" +1>" +1@" +09" +b10001 3" +0^ +0c +1d +1_ +b11100 0" +0o +1b +12" +b10000111000001100010111101110110 &" +b10000111000001100010111101110110 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11 ~ +b11 )" +b11 ." +b1110110101000100000111000111 / +b1110110101000100000111000111 K +b1110110101000100000111000111 e +b1110110101000100000111000111 "" +0. +1% +#9449010 +b10000111000001100010111101110110 Q" +#9449500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9450000 +1] +1\ +0=" +0>" +0@" +1h +1g +0;" +b11111 3" +0d +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b11000001000101011010010001110000 / +b11000001000101011010010001110000 K +b11000001000101011010010001110000 e +b11000001000101011010010001110000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9450500 +b101 5 +b101 L +b101 W +14 +b1011001101000100000101101110011 2 +b1011001101000100000101101110011 I +b1011001101000100000101101110011 Z +b11111 3 +b11111 J +b11111 Y +11 +b101 ' +b101 D +1) +b1011001101000100000101101110011 , +b1011001101000100000101101110011 H +b11111 + +b11111 G +1- +1. +0% +#9451000 +0] +0i +b1100011010000100101011010011111 { +b1100011010000100101011010011111 A" +0\ +0[ +1=" +1>" +1@" +0g +1:" +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11010 0" +1j +1k +1b +1a +12" +1m +1/" +b1011001101000100000101101110011 &" +b1011001101000100000101101110011 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b101 ~ +b101 )" +b101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9451500 +b0 5 +b0 L +b0 W +04 +b1101111011010101100100011 2 +b1101111011010101100100011 I +b1101111011010101100100011 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b1101111011010101100100011 , +b1101111011010101100100011 H +b10 + +b10 G +1. +0% +#9452000 +1_ +1] +1i +1[ +1@" +0=" +0>" +1g +0:" +0;" +b11101 3" +0<" +0` +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0a +0m +0/" +b1100011010000100101011010011111 / +b1100011010000100101011010011111 K +b1100011010000100101011010011111 e +b1100011010000100101011010011111 "" +b1101111011010101100100011 &" +b1101111011010101100100011 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9452010 +b1101111011010101100100011 E" +#9452500 +b1000101011011001110101100011000 2 +b1000101011011001110101100011000 I +b1000101011011001110101100011000 Z +b1010 3 +b1010 J +b1010 Y +b1000101011011001110101100011000 , +b1000101011011001110101100011000 H +b1010 + +b1010 G +1. +0% +#9453000 +0] +1=" +b10101 3" +1c +b1000101011011001110101100011000 &" +b1000101011011001110101100011000 ?" +b1010 %" +b1010 *" +b1010 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9453010 +b1000101011011001110101100011000 M" +#9453500 +b10000 5 +b10000 L +b10000 W +14 +b10000 7 +b10000 N +b10000 V +16 +b10100011111101100010100111110110 2 +b10100011111101100010100111110110 I +b10100011111101100010100111110110 Z +b10011 3 +b10011 J +b10011 Y +b10000 ' +b10000 D +1) +b10000 & +b10000 C +1( +b10100011111101100010100111110110 , +b10100011111101100010100111110110 H +b10011 + +b10011 G +1. +0% +#9454000 +0_ +1] +b11110010111101001100001011010100 { +b11110010111101001100001011010100 A" +b11110010111101001100001011010100 | +b11110010111101001100001011010100 B" +0[ +0=" +1;" +17" +b1100 3" +1<" +1` +0c +b1111 0" +0k +18" +1l +b1111 -" +0u +14" +1v +1a +1/" +1," +b10100011111101100010100111110110 &" +b10100011111101100010100111110110 ?" +b10011 %" +b10011 *" +b10011 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#9454010 +b10100011111101100010100111110110 V" +#9454500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b10100000010001010001000100010101 2 +b10100000010001010001000100010101 I +b10100000010001010001000100010101 Z +b101 3 +b101 J +b101 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b10100000010001010001000100010101 , +b10100000010001010001000100010101 H +b101 + +b101 G +1. +0% +#9455000 +1_ +0] +1\ +1@" +1>" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11010 3" +0<" +0` +1^ +b11111 0" +08" +0l +b11111 -" +04" +0v +0b +0/" +0," +b10100000010001010001000100010101 &" +b10100000010001010001000100010101 ?" +b101 %" +b101 *" +b101 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11110010111101001100001011010100 / +b11110010111101001100001011010100 K +b11110010111101001100001011010100 e +b11110010111101001100001011010100 "" +b11110010111101001100001011010100 0 +b11110010111101001100001011010100 M +b11110010111101001100001011010100 f +b11110010111101001100001011010100 !" +0. +1% +#9455010 +b10100000010001010001000100010101 H" +#9455500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9456000 +1] +1[ +0>" +0@" +b11111 3" +0^ +0_ +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9456500 +b11001 5 +b11001 L +b11001 W +14 +b10101110111110010001010001100100 2 +b10101110111110010001010001100100 I +b10101110111110010001010001100100 Z +b10010 3 +b10010 J +b10010 Y +11 +b11001 ' +b11001 D +1) +b10101110111110010001010001100100 , +b10101110111110010001010001100100 H +b10010 + +b10010 G +1- +1. +0% +#9457000 +0i +b1100000101000000011011111111100 { +b1100000101000000011011111111100 A" +0\ +1@" +0g +19" +1;" +b1101 3" +0_ +1<" +1` +b110 0" +1o +0k +18" +1l +1b +12" +1m +1/" +b10101110111110010001010001100100 &" +b10101110111110010001010001100100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11001 ~ +b11001 )" +b11001 ." +1$" +0. +1% +#9457010 +b10101110111110010001010001100100 U" +#9457500 +b0 5 +b0 L +b0 W +04 +b10111100000101101001111011001010 2 +b10111100000101101001111011001010 I +b10111100000101101001111011001010 Z +b0 ' +b0 D +0) +b10111100000101101001111011001010 , +b10111100000101101001111011001010 H +1. +0% +#9458000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b1100000101000000011011111111100 / +b1100000101000000011011111111100 K +b1100000101000000011011111111100 e +b1100000101000000011011111111100 "" +b10111100000101101001111011001010 &" +b10111100000101101001111011001010 ?" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9458010 +b10111100000101101001111011001010 U" +#9458500 +b10 5 +b10 L +b10 W +14 +b101110011110111101111101110101 2 +b101110011110111101111101110101 I +b101110011110111101111101110101 Z +b1010 3 +b1010 J +b1010 Y +b10 ' +b10 D +1) +b101110011110111101111101110101 , +b101110011110111101111101110101 H +b1010 + +b1010 G +1. +0% +#9459000 +1_ +0] +b1101111011010101100100011 { +b1101111011010101100100011 A" +1@" +1=" +0h +1;" +b10101 3" +0<" +0` +1c +b11101 0" +1k +1n +1/" +b101110011110111101111101110101 &" +b101110011110111101111101110101 ?" +b1010 %" +b1010 *" +b1010 1" +b10 ~ +b10 )" +b10 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9459010 +b101110011110111101111101110101 M" +#9459500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9460000 +1] +1\ +0=" +0@" +1h +0;" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0/" +b1101111011010101100100011 / +b1101111011010101100100011 K +b1101111011010101100100011 e +b1101111011010101100100011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9460500 +1. +0% +#9461000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9461500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#9462000 +b11110010111101001100001011010100 | +b11110010111101001100001011010100 B" +17" +b1111 -" +0u +14" +1v +1," +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#9462500 +b1 5 +b1 L +b1 W +14 +b0 7 +b0 N +b0 V +06 +b1111000001011011001100011111101 2 +b1111000001011011001100011111101 I +b1111000001011011001100011111101 Z +b11010 3 +b11010 J +b11010 Y +11 +b1 ' +b1 D +1) +b0 & +b0 C +0( +b1111000001011011001100011111101 , +b1111000001011011001100011111101 H +b11010 + +b11010 G +1- +1. +0% +#9463000 +0] +b1101010101001111101101010100111 { +b1101010101001111101101010100111 A" +0\ +1=" +1@" +0g +1;" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b101 3" +1c +0_ +1<" +1` +b11110 0" +1k +b11111 -" +04" +0v +1b +12" +1m +1/" +0," +b1111000001011011001100011111101 &" +b1111000001011011001100011111101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11110010111101001100001011010100 0 +b11110010111101001100001011010100 M +b11110010111101001100001011010100 f +b11110010111101001100001011010100 !" +0. +1% +#9463010 +b1111000001011011001100011111101 ]" +#9463500 +b0 5 +b0 L +b0 W +04 +b110011010011101001010111011010 2 +b110011010011101001010111011010 I +b110011010011101001010111011010 Z +b11101 3 +b11101 J +b11101 Y +b0 ' +b0 D +0) +b110011010011101001010111011010 , +b110011010011101001010111011010 H +b11101 + +b11101 G +1. +0% +#9464000 +0c +1\ +0[ +1>" +1g +0;" +b10 3" +1d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +1a +0m +0/" +b1101010101001111101101010100111 / +b1101010101001111101101010100111 K +b1101010101001111101101010100111 e +b1101010101001111101101010100111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110011010011101001010111011010 &" +b110011010011101001010111011010 ?" +b11101 %" +b11101 *" +b11101 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9464010 +b110011010011101001010111011010 `" +#9464500 +b10001 5 +b10001 L +b10001 W +14 +b11101110111000011011001011010011 2 +b11101110111000011011001011010011 I +b11101110111000011011001011010011 Z +b11001 3 +b11001 J +b11001 Y +b10001 ' +b10001 D +1) +b11101110111000011011001011010011 , +b11101110111000011011001011010011 H +b11001 + +b11001 G +1. +0% +#9465000 +1c +b1001010001101111000011010011111 { +b1001010001101111000011010011111 A" +1=" +0>" +0g +1;" +b110 3" +0d +b1110 0" +0k +18" +1l +1m +1/" +b11101110111000011011001011010011 &" +b11101110111000011011001011010011 ?" +b11001 %" +b11001 *" +b11001 1" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9465010 +b11101110111000011011001011010011 \" +#9465500 +b0 5 +b0 L +b0 W +04 +b1000010000101110011011010011011 2 +b1000010000101110011011010011011 I +b1000010000101110011011010011011 Z +b10011 3 +b10011 J +b10011 Y +b0 ' +b0 D +0) +b1000010000101110011011010011011 , +b1000010000101110011011010011011 H +b10011 + +b10011 G +1. +0% +#9466000 +1] +0\ +0=" +1g +0;" +b1100 3" +0c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +0m +0/" +b1001010001101111000011010011111 / +b1001010001101111000011010011111 K +b1001010001101111000011010011111 e +b1001010001101111000011010011111 "" +b1000010000101110011011010011011 &" +b1000010000101110011011010011011 ?" +b10011 %" +b10011 *" +b10011 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9466010 +b1000010000101110011011010011011 V" +#9466500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9467000 +1\ +1[ +0@" +b11111 3" +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9467500 +b11 5 +b11 L +b11 W +14 +b1000 7 +b1000 N +b1000 V +16 +b11 ' +b11 D +1) +b1000 & +b1000 C +1( +1. +0% +#9468000 +b11000001000101011010010001110000 { +b11000001000101011010010001110000 A" +0s +b11011011100101110010101010011001 | +b11011011100101110010101010011001 B" +0h +0g +1;" +15" +17" +b11100 0" +1k +b10111 -" +1y +1u +1n +1m +1/" +1," +b11 ~ +b11 )" +b11 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#9468500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +b0 ' +b0 D +0) +b1011 & +b1011 C +1. +0% +#9469000 +1h +1g +0;" +0r +0q +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +b1110110101000100000111000111 | +b1110110101000100000111000111 B" +0n +0m +0/" +1x +1w +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +b11000001000101011010010001110000 / +b11000001000101011010010001110000 K +b11000001000101011010010001110000 e +b11000001000101011010010001110000 "" +b11011011100101110010101010011001 0 +b11011011100101110010101010011001 M +b11011011100101110010101010011001 f +b11011011100101110010101010011001 !" +0. +1% +#9469500 +b1011 5 +b1011 L +b1011 W +14 +b0 7 +b0 N +b0 V +06 +b110101110101011100001001011000 2 +b110101110101011100001001011000 I +b110101110101011100001001011000 Z +b100 3 +b100 J +b100 Y +11 +b1011 ' +b1011 D +1) +b0 & +b0 C +0( +b110101110101011100001001011000 , +b110101110101011100001001011000 H +b100 + +b100 G +1- +1. +0% +#9470000 +0] +0i +b1110110101000100000111000111 { +b1110110101000100000111000111 A" +1s +1>" +1@" +0h +0g +19" +1;" +1r +1q +05" +07" +b11011 3" +1^ +1_ +b10100 0" +1o +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +1n +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110110101000100000111000111 0 +b1110110101000100000111000111 M +b1110110101000100000111000111 f +b1110110101000100000111000111 !" +b110101110101011100001001011000 &" +b110101110101011100001001011000 ?" +b100 %" +b100 *" +b100 1" +1'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9470010 +b110101110101011100001001011000 G" +#9470500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9471000 +1] +1i +0>" +0@" +1h +1g +09" +0;" +b11111 3" +0^ +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1110110101000100000111000111 / +b1110110101000100000111000111 K +b1110110101000100000111000111 e +b1110110101000100000111000111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9471500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#9472000 +b11110010111101001100001011010100 | +b11110010111101001100001011010100 B" +17" +b1111 -" +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#9472500 +b0 7 +b0 N +b0 V +06 +b1111001101010101111010001110111 2 +b1111001101010101111010001110111 I +b1111001101010101111010001110111 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 & +b0 C +0( +b1111001101010101111010001110111 , +b1111001101010101111010001110111 H +b10011 + +b10011 G +1- +1. +0% +#9473000 +0\ +0[ +1@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1100 3" +0_ +1<" +1` +b11111 -" +04" +0v +1b +1a +12" +0," +b1111001101010101111010001110111 &" +b1111001101010101111010001110111 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11110010111101001100001011010100 0 +b11110010111101001100001011010100 M +b11110010111101001100001011010100 f +b11110010111101001100001011010100 !" +0. +1% +#9473010 +b1111001101010101111010001110111 V" +#9473500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9474000 +1\ +1[ +0@" +b11111 3" +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9474500 +b100 5 +b100 L +b100 W +14 +b100 ' +b100 D +1) +1. +0% +#9475000 +0i +b110101110101011100001001011000 { +b110101110101011100001001011000 A" +1:" +1;" +b11011 0" +1j +1k +1/" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#9475500 +b0 5 +b0 L +b0 W +04 +b11111010100000110000000111101100 2 +b11111010100000110000000111101100 I +b11111010100000110000000111101100 Z +b10111 3 +b10111 J +b10111 Y +11 +b0 ' +b0 D +0) +b11111010100000110000000111101100 , +b11111010100000110000000111101100 H +b10111 + +b10111 G +1- +1. +0% +#9476000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0\ +0[ +1>" +1@" +0:" +0;" +b1000 3" +1^ +0_ +1<" +1` +b11111 0" +0j +0k +1b +1a +12" +0/" +b110101110101011100001001011000 / +b110101110101011100001001011000 K +b110101110101011100001001011000 e +b110101110101011100001001011000 "" +b11111010100000110000000111101100 &" +b11111010100000110000000111101100 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9476010 +b11111010100000110000000111101100 Z" +#9476500 +b1011 5 +b1011 L +b1011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9477000 +1] +0i +b1110110101000100000111000111 { +b1110110101000100000111000111 A" +1\ +1[ +0>" +0@" +0h +0g +19" +1;" +b11111 3" +0^ +0<" +0` +b10100 0" +1o +1k +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9477500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b1111000011101011011111110010 2 +b1111000011101011011111110010 I +b1111000011101011011111110010 Z +b10011 3 +b10011 J +b10011 Y +11 +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b1111000011101011011111110010 , +b1111000011101011011111110010 H +b10011 + +b10011 G +1- +1. +0% +#9478000 +1i +0s +b11011011100101110010101010011001 | +b11011011100101110010101010011001 B" +0\ +0[ +1@" +1h +1g +09" +0;" +15" +17" +b1100 3" +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10111 -" +1y +1u +1b +1a +12" +0n +0m +0/" +1," +b1110110101000100000111000111 / +b1110110101000100000111000111 K +b1110110101000100000111000111 e +b1110110101000100000111000111 "" +b1111000011101011011111110010 &" +b1111000011101011011111110010 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#9478010 +b1111000011101011011111110010 V" +#9478500 +b1010 5 +b1010 L +b1010 W +14 +b1100 7 +b1100 N +b1100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1010 ' +b1010 D +1) +b1100 & +b1100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9479000 +0i +b101110011110111101111101110101 { +b101110011110111101111101110101 A" +0y +b11010100110101011101110110100 | +b11010100110101011101110110100 B" +1\ +1[ +0@" +0h +19" +1;" +16" +b11111 3" +0<" +0` +b10101 0" +1o +1k +b10011 -" +1z +0b +0a +02" +1n +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1010 ~ +b1010 )" +b1010 ." +1$" +b1100 } +b1100 (" +b1100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011011100101110010101010011001 0 +b11011011100101110010101010011001 M +b11011011100101110010101010011001 f +b11011011100101110010101010011001 !" +0. +1% +#9479500 +b1000 5 +b1000 L +b1000 W +b1101 7 +b1101 N +b1101 V +b1000 ' +b1000 D +b1101 & +b1101 C +1. +0% +#9480000 +1h +0q +b10111 0" +b11011011100101110010101010011001 { +b11011011100101110010101010011001 A" +b10010 -" +b1110010010001101100001010001101 | +b1110010010001101100001010001101 B" +0n +1w +b101110011110111101111101110101 / +b101110011110111101111101110101 K +b101110011110111101111101110101 e +b101110011110111101111101110101 "" +b11010100110101011101110110100 0 +b11010100110101011101110110100 M +b11010100110101011101110110100 f +b11010100110101011101110110100 !" +b1000 ~ +b1000 )" +b1000 ." +b1101 } +b1101 (" +b1101 +" +0. +1% +#9480500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b0 ' +b0 D +0) +b110 & +b110 C +1. +0% +#9481000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1t +09" +0;" +0r +1q +05" +16" +b11111 0" +0o +0k +b11001 -" +0z +b1111011010000001111011110011001 | +b1111011010000001111011110011001 B" +0/" +1x +0w +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +b11011011100101110010101010011001 / +b11011011100101110010101010011001 K +b11011011100101110010101010011001 e +b11011011100101110010101010011001 "" +b1110010010001101100001010001101 0 +b1110010010001101100001010001101 M +b1110010010001101100001010001101 f +b1110010010001101100001010001101 !" +0. +1% +#9481500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b100110110011101111000110111 2 +b100110110011101111000110111 I +b100110110011101111000110111 Z +b101 3 +b101 J +b101 Y +11 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b100110110011101111000110111 , +b100110110011101111000110111 H +b101 + +b101 G +1- +1. +0% +#9482000 +0] +0i +b11111110011001011000110001110101 { +b11111110011001011000110001110101 A" +1s +0[ +1>" +1@" +0h +19" +1:" +1;" +1r +06" +07" +b11010 3" +1^ +1_ +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1n +1/" +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111011010000001111011110011001 0 +b1111011010000001111011110011001 M +b1111011010000001111011110011001 f +b1111011010000001111011110011001 !" +b100110110011101111000110111 &" +b100110110011101111000110111 ?" +b101 %" +b101 *" +b101 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9482010 +b100110110011101111000110111 H" +#9482500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9483000 +1] +1i +1[ +0>" +0@" +1h +09" +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111110011001011000110001110101 / +b11111110011001011000110001110101 K +b11111110011001011000110001110101 e +b11111110011001011000110001110101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9483500 +b11 5 +b11 L +b11 W +14 +b1 7 +b1 N +b1 V +16 +b11 ' +b11 D +1) +b1 & +b1 C +1( +1. +0% +#9484000 +b11000001000101011010010001110000 { +b11000001000101011010010001110000 A" +b1101010101001111101101010100111 | +b1101010101001111101101010100111 B" +0h +0g +1;" +0q +17" +b11100 0" +1k +b11110 -" +1u +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11 ~ +b11 )" +b11 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#9484500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b110000010010110110101100010110 2 +b110000010010110110101100010110 I +b110000010010110110101100010110 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b110000010010110110101100010110 , +b110000010010110110101100010110 H +b11011 + +b11011 G +1- +1. +0% +#9485000 +0] +0\ +0[ +1=" +1@" +1h +1g +0;" +1q +07" +b100 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0n +0m +0/" +0w +0," +b110000010010110110101100010110 &" +b110000010010110110101100010110 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000001000101011010010001110000 / +b11000001000101011010010001110000 K +b11000001000101011010010001110000 e +b11000001000101011010010001110000 "" +b1101010101001111101101010100111 0 +b1101010101001111101101010100111 M +b1101010101001111101101010100111 f +b1101010101001111101101010100111 !" +0. +1% +#9485010 +b110000010010110110101100010110 ^" +#9485500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9486000 +1] +1\ +1[ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9486500 +1. +0% +#9487000 +0. +1% +#9487500 +b1100 5 +b1100 L +b1100 W +14 +b1010011000000111101110001110 2 +b1010011000000111101110001110 I +b1010011000000111101110001110 Z +b1000 3 +b1000 J +b1000 Y +11 +b1100 ' +b1100 D +1) +b1010011000000111101110001110 , +b1010011000000111101110001110 H +b1000 + +b1000 G +1- +1. +0% +#9488000 +0] +0i +b11010100110101011101110110100 { +b11010100110101011101110110100 A" +1=" +1@" +19" +1:" +1;" +b10111 3" +1c +1_ +b10011 0" +0j +0o +1p +1k +12" +1/" +b1010011000000111101110001110 &" +b1010011000000111101110001110 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#9488010 +b1010011000000111101110001110 K" +#9488500 +b11100 5 +b11100 L +b11100 W +b1100111010101100011011001110110 2 +b1100111010101100011011001110110 I +b1100111010101100011011001110110 Z +b1100 3 +b1100 J +b1100 Y +b11100 ' +b11100 D +b1100111010101100011011001110110 , +b1100111010101100011011001110110 H +b1100 + +b1100 G +1. +0% +#9489000 +0c +0k +1>" +b100111100011110111001111011000 { +b100111100011110111001111011000 A" +b10011 3" +1d +b11 0" +18" +1l +b1100111010101100011011001110110 &" +b1100111010101100011011001110110 ?" +b1100 %" +b1100 *" +b1100 1" +b11100 ~ +b11100 )" +b11100 ." +b11010100110101011101110110100 / +b11010100110101011101110110100 K +b11010100110101011101110110100 e +b11010100110101011101110110100 "" +0. +1% +#9489010 +b1100111010101100011011001110110 O" +#9489500 +b1110 5 +b1110 L +b1110 W +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9490000 +1] +1k +0s +b10011111100101100010100001101011 | +b10011111100101100010100001101011 B" +0=" +0>" +0@" +0h +1;" +0q +15" +17" +b11111 3" +0d +0_ +b10001 0" +08" +0l +b10000111000001100010111101110110 { +b10000111000001100010111101110110 A" +b10110 -" +1y +1u +02" +1n +1w +1," +b100111100011110111001111011000 / +b100111100011110111001111011000 K +b100111100011110111001111011000 e +b100111100011110111001111011000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#9490500 +b11 5 +b11 L +b11 W +b1000011011001101010011101111000 2 +b1000011011001101010011101111000 I +b1000011011001101010011101111000 Z +b111 3 +b111 J +b111 Y +11 +b11 ' +b11 D +b1000011011001101010011101111000 , +b1000011011001101010011101111000 H +b111 + +b111 G +1- +1. +0% +#9491000 +0] +1i +0\ +0[ +1>" +1@" +0g +09" +0:" +b11000 3" +1^ +1_ +b11100 0" +0p +b11000001000101011010010001110000 { +b11000001000101011010010001110000 A" +1b +1a +12" +1m +b1000011011001101010011101111000 &" +b1000011011001101010011101111000 ?" +b111 %" +b111 *" +b111 1" +1'" +b11 ~ +b11 )" +b11 ." +b10000111000001100010111101110110 / +b10000111000001100010111101110110 K +b10000111000001100010111101110110 e +b10000111000001100010111101110110 "" +b10011111100101100010100001101011 0 +b10011111100101100010100001101011 M +b10011111100101100010100001101011 f +b10011111100101100010100001101011 !" +0. +1% +#9491010 +b1000011011001101010011101111000 J" +#9491500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9492000 +1] +1s +1\ +1[ +0>" +0@" +1h +1g +0;" +1q +05" +07" +b11111 3" +0^ +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +0m +0/" +0w +0," +b11000001000101011010010001110000 / +b11000001000101011010010001110000 K +b11000001000101011010010001110000 e +b11000001000101011010010001110000 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9492500 +b1110 5 +b1110 L +b1110 W +14 +b100 7 +b100 N +b100 V +16 +b1110 ' +b1110 D +1) +b100 & +b100 C +1( +1. +0% +#9493000 +0i +b10000111000001100010111101110110 { +b10000111000001100010111101110110 A" +0s +b110101110101011100001001011000 | +b110101110101011100001001011000 B" +0h +19" +1:" +1;" +16" +17" +b10001 0" +0j +0o +1p +1k +b11011 -" +1t +1u +1n +1/" +1," +b1110 ~ +b1110 )" +b1110 ." +1$" +b100 } +b100 (" +b100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9493500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +06 +b1101101101100111000001110110000 2 +b1101101101100111000001110110000 I +b1101101101100111000001110110000 Z +b11011 3 +b11011 J +b11011 Y +11 +b10101 ' +b10101 D +b0 & +b0 C +0( +b1101101101100111000001110110000 , +b1101101101100111000001110110000 H +b11011 + +b11011 G +1- +1. +0% +#9494000 +0] +0k +1j +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1@" +1h +0g +09" +1:" +06" +07" +b100 3" +1c +0_ +1<" +1` +b1010 0" +18" +1l +0p +b11100101011011100101011011101100 { +b11100101011011100101011011101100 A" +b11111 -" +0t +0u +1b +1a +12" +0n +1m +0," +b10000111000001100010111101110110 / +b10000111000001100010111101110110 K +b10000111000001100010111101110110 e +b10000111000001100010111101110110 "" +b110101110101011100001001011000 0 +b110101110101011100001001011000 M +b110101110101011100001001011000 f +b110101110101011100001001011000 !" +b1101101101100111000001110110000 &" +b1101101101100111000001110110000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9494010 +b1101101101100111000001110110000 ^" +#9494500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9495000 +1] +1i +1\ +1[ +0=" +0@" +1g +0:" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11100101011011100101011011101100 / +b11100101011011100101011011101100 K +b11100101011011100101011011101100 e +b11100101011011100101011011101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9495500 +b10101 5 +b10101 L +b10101 W +14 +b10101 ' +b10101 D +1) +1. +0% +#9496000 +0i +b11100101011011100101011011101100 { +b11100101011011100101011011101100 A" +0g +1:" +1;" +b1010 0" +1j +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#9496500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9497000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11100101011011100101011011101100 / +b11100101011011100101011011101100 K +b11100101011011100101011011101100 e +b11100101011011100101011011101100 "" +0. +1% +#9497500 +1. +0% +#9498000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9498500 +1. +0% +#9499000 +0. +1% +#9499500 +b11110110101001100001101100011011 2 +b11110110101001100001101100011011 I +b11110110101001100001101100011011 Z +b10011 3 +b10011 J +b10011 Y +11 +b11110110101001100001101100011011 , +b11110110101001100001101100011011 H +b10011 + +b10011 G +1- +1. +0% +#9500000 +0\ +0[ +1@" +b1100 3" +0_ +1<" +1` +1b +1a +12" +b11110110101001100001101100011011 &" +b11110110101001100001101100011011 ?" +b10011 %" +b10011 *" +b10011 1" +1'" +0. +1% +#9500010 +b11110110101001100001101100011011 V" +#9500500 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9501000 +b10101011110101100000111100100111 | +b10101011110101100000111100100111 B" +1\ +1[ +0@" +17" +b11111 3" +0<" +0` +1u +0b +0a +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +1#" +0. +1% +#9501500 +b100 5 +b100 L +b100 W +14 +06 +b100 ' +b100 D +1) +0( +1. +0% +#9502000 +0i +b110101110101011100001001011000 { +b110101110101011100001001011000 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1:" +1;" +07" +b11011 0" +1j +1k +0u +1/" +0," +b10101011110101100000111100100111 0 +b10101011110101100000111100100111 M +b10101011110101100000111100100111 f +b10101011110101100000111100100111 !" +b100 ~ +b100 )" +b100 ." +1$" +0#" +0. +1% +#9502500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9503000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b0 ~ +b0 )" +b0 ." +0$" +b110101110101011100001001011000 / +b110101110101011100001001011000 K +b110101110101011100001001011000 e +b110101110101011100001001011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9503500 +b11 7 +b11 N +b11 V +16 +b11 & +b11 C +1( +1. +0% +#9504000 +b11000001000101011010010001110000 | +b11000001000101011010010001110000 B" +0r +0q +17" +b11100 -" +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#9504500 +b10 5 +b10 L +b10 W +14 +b0 7 +b0 N +b0 V +06 +b10 ' +b10 D +1) +b0 & +b0 C +0( +1. +0% +#9505000 +b1101111011010101100100011 { +b1101111011010101100100011 A" +0h +1;" +1r +1q +07" +b11101 0" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0w +0," +b10 ~ +b10 )" +b10 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11000001000101011010010001110000 0 +b11000001000101011010010001110000 M +b11000001000101011010010001110000 f +b11000001000101011010010001110000 !" +0. +1% +#9505500 +b10010 5 +b10010 L +b10010 W +b1110 7 +b1110 N +b1110 V +16 +b110101010101110001010011010011 2 +b110101010101110001010011010011 I +b110101010101110001010011010011 Z +b10100 3 +b10100 J +b10100 Y +11 +b10010 ' +b10010 D +b1110 & +b1110 C +1( +b110101010101110001010011010011 , +b110101010101110001010011010011 H +b10100 + +b10100 G +1- +1. +0% +#9506000 +0] +0k +0s +b10000111000001100010111101110110 | +b10000111000001100010111101110110 B" +1>" +1@" +b10111100000101101001111011001010 { +b10111100000101101001111011001010 A" +0r +15" +16" +17" +b1011 3" +1^ +0_ +1<" +1` +b1101 0" +18" +1l +b10001 -" +0t +0y +1z +1u +12" +1x +1," +b1101111011010101100100011 / +b1101111011010101100100011 K +b1101111011010101100100011 e +b1101111011010101100100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110101010101110001010011010011 &" +b110101010101110001010011010011 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#9506010 +b110101010101110001010011010011 W" +#9506500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10101 & +b10101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9507000 +1] +0u +1t +0>" +0@" +1h +0;" +1r +0q +05" +16" +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +14" +1v +0z +b11100101011011100101011011101100 | +b11100101011011100101011011101100 B" +02" +0n +0/" +0x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +b10111100000101101001111011001010 / +b10111100000101101001111011001010 K +b10111100000101101001111011001010 e +b10111100000101101001111011001010 "" +b10000111000001100010111101110110 0 +b10000111000001100010111101110110 M +b10000111000001100010111101110110 f +b10000111000001100010111101110110 !" +0. +1% +#9507500 +b11111 7 +b11111 N +b11111 V +b11111 & +b11111 C +1. +0% +#9508000 +0t +0r +15" +b0 -" +1z +b1011001101000100000101101110011 | +b1011001101000100000101101110011 B" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100101011011100101011011101100 0 +b11100101011011100101011011101100 M +b11100101011011100101011011101100 f +b11100101011011100101011011101100 !" +b11111 } +b11111 (" +b11111 +" +0. +1% +#9508500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9509000 +1s +1r +1q +05" +06" +07" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b1011001101000100000101101110011 0 +b1011001101000100000101101110011 M +b1011001101000100000101101110011 f +b1011001101000100000101101110011 !" +0. +1% +#9509500 +b10111010001101100101101110100100 2 +b10111010001101100101101110100100 I +b10111010001101100101101110100100 Z +b10010 3 +b10010 J +b10010 Y +11 +b10111010001101100101101110100100 , +b10111010001101100101101110100100 H +b10010 + +b10010 G +1- +1. +0% +#9510000 +0\ +1@" +b1101 3" +0_ +1<" +1` +1b +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111010001101100101101110100100 &" +b10111010001101100101101110100100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +0. +1% +#9510010 +b10111010001101100101101110100100 U" +#9510500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9511000 +1\ +0@" +b11111 3" +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9511500 +1. +0% +#9512000 +0. +1% +#9512500 +b10101 5 +b10101 L +b10101 W +14 +b10101 ' +b10101 D +1) +1. +0% +#9513000 +0i +b11100101011011100101011011101100 { +b11100101011011100101011011101100 A" +0g +1:" +1;" +b1010 0" +1j +0k +18" +1l +1m +1/" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#9513500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9514000 +1i +1g +0:" +0;" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11100101011011100101011011101100 / +b11100101011011100101011011101100 K +b11100101011011100101011011101100 e +b11100101011011100101011011101100 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9514500 +b11110 5 +b11110 L +b11110 W +14 +b1100 7 +b1100 N +b1100 V +16 +b11110 ' +b11110 D +1) +b1100 & +b1100 C +1( +1. +0% +#9515000 +0i +b11111110011001011000110001110101 { +b11111110011001011000110001110101 A" +0s +b1100111010101100011011001110110 | +b1100111010101100011011001110110 B" +0h +19" +1:" +1;" +15" +16" +17" +b1 0" +0j +0o +1p +0k +18" +1l +b10011 -" +0t +0y +1z +1u +1n +1/" +1," +b11110 ~ +b11110 )" +b11110 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9515500 +b11100 5 +b11100 L +b11100 W +b10111 7 +b10111 N +b10111 V +b1101101011001010100010011010101 2 +b1101101011001010100010011010101 I +b1101101011001010100010011010101 Z +b1011 3 +b1011 J +b1011 Y +11 +b11100 ' +b11100 D +b10111 & +b10111 C +b1101101011001010100010011010101 , +b1101101011001010100010011010101 H +b1011 + +b1011 G +1- +1. +0% +#9516000 +0] +0u +1t +0\ +0[ +1=" +1@" +1h +0r +0q +05" +16" +b10100 3" +1c +1_ +b11 0" +b100111100011110111001111011000 { +b100111100011110111001111011000 A" +b1000 -" +14" +1v +0z +b11111010100000110000000111101100 | +b11111010100000110000000111101100 B" +1b +1a +12" +0n +1x +1w +b11111110011001011000110001110101 / +b11111110011001011000110001110101 K +b11111110011001011000110001110101 e +b11111110011001011000110001110101 "" +b1100111010101100011011001110110 0 +b1100111010101100011011001110110 M +b1100111010101100011011001110110 f +b1100111010101100011011001110110 !" +b1101101011001010100010011010101 &" +b1101101011001010100010011010101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +b10111 } +b10111 (" +b10111 +" +0. +1% +#9516010 +b1101101011001010100010011010101 N" +#9516500 +b11101 5 +b11101 L +b11101 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9517000 +1] +1s +1\ +1[ +0=" +0@" +0g +1r +1q +06" +07" +b11111 3" +0c +0_ +b10 0" +b110011010011101001010111011010 { +b110011010011101001010111011010 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1m +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +b0 } +b0 (" +b0 +" +0#" +b100111100011110111001111011000 / +b100111100011110111001111011000 K +b100111100011110111001111011000 e +b100111100011110111001111011000 "" +b11111010100000110000000111101100 0 +b11111010100000110000000111101100 M +b11111010100000110000000111101100 f +b11111010100000110000000111101100 !" +0. +1% +#9517500 +b0 5 +b0 L +b0 W +04 +b1000001101001111001001010101000 2 +b1000001101001111001001010101000 I +b1000001101001111001001010101000 Z +b10110 3 +b10110 J +b10110 Y +11 +b0 ' +b0 D +0) +b1000001101001111001001010101000 , +b1000001101001111001001010101000 H +b10110 + +b10110 G +1- +1. +0% +#9518000 +0] +1i +0\ +1>" +1@" +1g +09" +0:" +0;" +b1001 3" +1^ +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0m +0/" +b110011010011101001010111011010 / +b110011010011101001010111011010 K +b110011010011101001010111011010 e +b110011010011101001010111011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000001101001111001001010101000 &" +b1000001101001111001001010101000 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9518010 +b1000001101001111001001010101000 Y" +#9518500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9519000 +1] +0s +b10011111100101100010100001101011 | +b10011111100101100010100001101011 B" +1\ +0>" +0@" +0q +15" +17" +b11111 3" +0^ +0<" +0` +b10110 -" +1y +1u +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9519500 +b1110 5 +b1110 L +b1110 W +14 +b1100 7 +b1100 N +b1100 V +b1110 ' +b1110 D +1) +b1100 & +b1100 C +1. +0% +#9520000 +0i +b10000111000001100010111101110110 { +b10000111000001100010111101110110 A" +0y +0h +19" +1:" +1;" +1q +16" +b10001 0" +0j +0o +1p +1k +b10011 -" +1z +b1100111010101100011011001110110 | +b1100111010101100011011001110110 B" +1n +1/" +0w +b10011111100101100010100001101011 0 +b10011111100101100010100001101011 M +b10011111100101100010100001101011 f +b10011111100101100010100001101011 !" +b1110 ~ +b1110 )" +b1110 ." +1$" +b1100 } +b1100 (" +b1100 +" +0. +1% +#9520500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +b0 ' +b0 D +0) +b10111 & +b10111 C +1. +0% +#9521000 +1i +0u +1t +1h +09" +0:" +0;" +0r +0q +05" +16" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1000 -" +14" +1v +0z +b11111010100000110000000111101100 | +b11111010100000110000000111101100 B" +0n +0/" +1x +1w +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +b10000111000001100010111101110110 / +b10000111000001100010111101110110 K +b10000111000001100010111101110110 e +b10000111000001100010111101110110 "" +b1100111010101100011011001110110 0 +b1100111010101100011011001110110 M +b1100111010101100011011001110110 f +b1100111010101100011011001110110 !" +0. +1% +#9521500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#9522000 +0t +1r +15" +b10 -" +1z +b110011010011101001010111011010 | +b110011010011101001010111011010 B" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111010100000110000000111101100 0 +b11111010100000110000000111101100 M +b11111010100000110000000111101100 f +b11111010100000110000000111101100 !" +b11101 } +b11101 (" +b11101 +" +0. +1% +#9522500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +1. +0% +#9523000 +b10111010001101100101101110100100 { +b10111010001101100101101110100100 A" +1s +0h +1;" +1q +05" +06" +07" +b1101 0" +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +1/" +0w +0," +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110011010011101001010111011010 0 +b110011010011101001010111011010 M +b110011010011101001010111011010 f +b110011010011101001010111011010 !" +0. +1% +#9523500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9524000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b10111010001101100101101110100100 / +b10111010001101100101101110100100 K +b10111010001101100101101110100100 e +b10111010001101100101101110100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9524500 +1. +0% +#9525000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9525500 +b111 5 +b111 L +b111 W +14 +b11101011101011111011111111011101 2 +b11101011101011111011111111011101 I +b11101011101011111011111111011101 Z +b1000 3 +b1000 J +b1000 Y +11 +b111 ' +b111 D +1) +b11101011101011111011111111011101 , +b11101011101011111011111111011101 H +b1000 + +b1000 G +1- +1. +0% +#9526000 +0] +0i +b1000011011001101010011101111000 { +b1000011011001101010011101111000 A" +1=" +1@" +0h +0g +1:" +1;" +b10111 3" +1c +1_ +b11000 0" +1j +1k +12" +1n +1m +1/" +b11101011101011111011111111011101 &" +b11101011101011111011111111011101 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +0. +1% +#9526010 +b11101011101011111011111111011101 K" +#9526500 +b0 5 +b0 L +b0 W +04 +b11101111011100101000010011 2 +b11101111011100101000010011 I +b11101111011100101000010011 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b11101111011100101000010011 , +b11101111011100101000010011 H +b1011 + +b1011 G +1. +0% +#9527000 +1i +0\ +0[ +1h +1g +0:" +0;" +b10100 3" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +0n +0m +0/" +b11101111011100101000010011 &" +b11101111011100101000010011 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b1000011011001101010011101111000 / +b1000011011001101010011101111000 K +b1000011011001101010011101111000 e +b1000011011001101010011101111000 "" +0. +1% +#9527010 +b11101111011100101000010011 N" +#9527500 +b11000000011010101000111110110100 2 +b11000000011010101000111110110100 I +b11000000011010101000111110110100 Z +b10000 3 +b10000 J +b10000 Y +b11000000011010101000111110110100 , +b11000000011010101000111110110100 H +b10000 + +b10000 G +1. +0% +#9528000 +0_ +1] +1\ +1[ +0=" +b1111 3" +1<" +1` +0c +0b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000000011010101000111110110100 &" +b11000000011010101000111110110100 ?" +b10000 %" +b10000 *" +b10000 1" +0. +1% +#9528010 +b11000000011010101000111110110100 S" +#9528500 +b100 5 +b100 L +b100 W +14 +b1101010011001010001110101111111 2 +b1101010011001010001110101111111 I +b1101010011001010001110101111111 Z +b1 3 +b1 J +b1 Y +b100 ' +b100 D +1) +b1101010011001010001110101111111 , +b1101010011001010001110101111111 H +b1 + +b1 G +1. +0% +#9529000 +1_ +0i +b110101110101011100001001011000 { +b110101110101011100001001011000 A" +0[ +1@" +1:" +1;" +b11110 3" +0<" +0` +b11011 0" +1j +1k +1a +1/" +b1101010011001010001110101111111 &" +b1101010011001010001110101111111 ?" +b1 %" +b1 *" +b1 1" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#9529010 +b1101010011001010001110101111111 D" +#9529500 +b0 5 +b0 L +b0 W +04 +b110100110000101011101101100110 2 +b110100110000101011101101100110 I +b110100110000101011101101100110 Z +b11001 3 +b11001 J +b11001 Y +b0 ' +b0 D +0) +b110100110000101011101101100110 , +b110100110000101011101101100110 H +b11001 + +b11001 G +1. +0% +#9530000 +0_ +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1=" +0:" +0;" +b110 3" +1<" +1` +1c +b11111 0" +0j +0k +0/" +b110101110101011100001001011000 / +b110101110101011100001001011000 K +b110101110101011100001001011000 e +b110101110101011100001001011000 "" +b110100110000101011101101100110 &" +b110100110000101011101101100110 ?" +b11001 %" +b11001 *" +b11001 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9530010 +b110100110000101011101101100110 \" +#9530500 +b1110 5 +b1110 L +b1110 W +14 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9531000 +1] +0i +b10000111000001100010111101110110 { +b10000111000001100010111101110110 A" +0s +b11101110110011010101001000111000 | +b11101110110011010101001000111000 B" +1[ +0=" +0@" +0h +19" +1:" +1;" +15" +17" +b11111 3" +0c +0<" +0` +b10001 0" +0j +0o +1p +1k +b111 -" +1y +0u +14" +1v +0a +02" +1n +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9531500 +b11110 5 +b11110 L +b11110 W +b11110 7 +b11110 N +b11110 V +b1110000110100010011111110000010 2 +b1110000110100010011111110000010 I +b1110000110100010011111110000010 Z +b1010 3 +b1010 J +b1010 Y +11 +b11110 ' +b11110 D +b11110 & +b11110 C +b1110000110100010011111110000010 , +b1110000110100010011111110000010 H +b1010 + +b1010 G +1- +1. +0% +#9532000 +0] +0k +0y +0\ +1=" +1@" +b11111110011001011000110001110101 { +b11111110011001011000110001110101 A" +0r +16" +b10101 3" +1c +1_ +b1 0" +18" +1l +b1 -" +1z +b11111110011001011000110001110101 | +b11111110011001011000110001110101 B" +1b +12" +1x +b10000111000001100010111101110110 / +b10000111000001100010111101110110 K +b10000111000001100010111101110110 e +b10000111000001100010111101110110 "" +b11101110110011010101001000111000 0 +b11101110110011010101001000111000 M +b11101110110011010101001000111000 f +b11101110110011010101001000111000 !" +b1110000110100010011111110000010 &" +b1110000110100010011111110000010 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +b11110 } +b11110 (" +b11110 +" +0. +1% +#9532010 +b1110000110100010011111110000010 M" +#9532500 +b11100 5 +b11100 L +b11100 W +b0 7 +b0 N +b0 V +06 +b11110111000100000101100011001100 2 +b11110111000100000101100011001100 I +b11110111000100000101100011001100 Z +b10101 3 +b10101 J +b10101 Y +b11100 ' +b11100 D +b0 & +b0 C +0( +b11110111000100000101100011001100 , +b11110111000100000101100011001100 H +b10101 + +b10101 G +1. +0% +#9533000 +1>" +0_ +1^ +1s +1\ +0[ +0=" +1h +1r +05" +06" +07" +b1010 3" +1<" +1` +0c +b11 0" +b100111100011110111001111011000 { +b100111100011110111001111011000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1a +0n +0x +0," +b11110111000100000101100011001100 &" +b11110111000100000101100011001100 ?" +b10101 %" +b10101 *" +b10101 1" +b11100 ~ +b11100 )" +b11100 ." +b0 } +b0 (" +b0 +" +0#" +b11111110011001011000110001110101 / +b11111110011001011000110001110101 K +b11111110011001011000110001110101 e +b11111110011001011000110001110101 "" +b11111110011001011000110001110101 0 +b11111110011001011000110001110101 M +b11111110011001011000110001110101 f +b11111110011001011000110001110101 !" +0. +1% +#9533010 +b11110111000100000101100011001100 X" +#9533500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9534000 +1] +1i +1[ +0>" +0@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +08" +0l +0a +02" +0/" +b100111100011110111001111011000 / +b100111100011110111001111011000 K +b100111100011110111001111011000 e +b100111100011110111001111011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9534500 +b110 7 +b110 N +b110 V +16 +b10101100001011110011011001000101 2 +b10101100001011110011011001000101 I +b10101100001011110011011001000101 Z +b11110 3 +b11110 J +b11110 Y +11 +b110 & +b110 C +1( +b10101100001011110011011001000101 , +b10101100001011110011011001000101 H +b11110 + +b11110 G +1- +1. +0% +#9535000 +0] +0s +b1111011010000001111011110011001 | +b1111011010000001111011110011001 B" +0\ +1=" +1>" +1@" +0r +16" +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11001 -" +1t +1u +1b +12" +1x +1," +b10101100001011110011011001000101 &" +b10101100001011110011011001000101 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b110 } +b110 (" +b110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9535010 +b10101100001011110011011001000101 a" +#9535500 +b11001 7 +b11001 N +b11001 V +b10001101010010001111110001001 2 +b10001101010010001111110001001 I +b10001101010010001111110001001 Z +b10111 3 +b10111 J +b10111 Y +b11001 & +b11001 C +b10001101010010001111110001001 , +b10001101010010001111110001001 H +b10111 + +b10111 G +1. +0% +#9536000 +15" +1^ +0u +1y +0[ +0=" +1>" +1r +0q +06" +b1000 3" +0d +b110 -" +14" +1v +0t +b110100110000101011101101100110 | +b110100110000101011101101100110 B" +1a +0x +1w +b1111011010000001111011110011001 0 +b1111011010000001111011110011001 M +b1111011010000001111011110011001 f +b1111011010000001111011110011001 !" +b10001101010010001111110001001 &" +b10001101010010001111110001001 ?" +b10111 %" +b10111 *" +b10111 1" +b11001 } +b11001 (" +b11001 +" +0. +1% +#9536010 +b10001101010010001111110001001 Z" +#9536500 +b10110 5 +b10110 L +b10110 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9537000 +1] +0i +b1000001101001111001001010101000 { +b1000001101001111001001010101000 A" +1s +1\ +1[ +0>" +0@" +0h +1:" +1;" +1q +05" +07" +b11111 3" +0^ +0<" +0` +b1001 0" +1j +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1n +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110100110000101011101101100110 0 +b110100110000101011101101100110 M +b110100110000101011101101100110 f +b110100110000101011101101100110 !" +0. +1% +#9537500 +b10 5 +b10 L +b10 W +b10 ' +b10 D +1. +0% +#9538000 +1k +1i +1;" +b1101111011010101100100011 { +b1101111011010101100100011 A" +0:" +b11101 0" +08" +0l +0j +b1000001101001111001001010101000 / +b1000001101001111001001010101000 K +b1000001101001111001001010101000 e +b1000001101001111001001010101000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10 ~ +b10 )" +b10 ." +0. +1% +#9538500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9539000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b1101111011010101100100011 / +b1101111011010101100100011 K +b1101111011010101100100011 e +b1101111011010101100100011 "" +0. +1% +#9539500 +b11100 7 +b11100 N +b11100 V +16 +b11100 & +b11100 C +1( +1. +0% +#9540000 +0s +b100111100011110111001111011000 | +b100111100011110111001111011000 B" +15" +16" +17" +b11 -" +0t +0y +1z +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#9540500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9541000 +1s +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +0z +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b100111100011110111001111011000 0 +b100111100011110111001111011000 M +b100111100011110111001111011000 f +b100111100011110111001111011000 !" +0. +1% +#9541500 +b1001 5 +b1001 L +b1001 W +14 +b10101011011011100111011001100000 2 +b10101011011011100111011001100000 I +b10101011011011100111011001100000 Z +b1110 3 +b1110 J +b1110 Y +11 +b1001 ' +b1001 D +1) +b10101011011011100111011001100000 , +b10101011011011100111011001100000 H +b1110 + +b1110 G +1- +1. +0% +#9542000 +0] +0i +b10011111100101100010100001101011 { +b10011111100101100010100001101011 A" +0\ +1=" +1>" +1@" +0g +19" +1;" +b10001 3" +0^ +0c +1d +1_ +b10110 0" +1o +1k +1b +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101011011011100111011001100000 &" +b10101011011011100111011001100000 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#9542010 +b10101011011011100111011001100000 Q" +#9542500 +b10111 5 +b10111 L +b10111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9543000 +1:" +1] +0k +1j +1\ +0=" +0>" +0@" +0h +09" +b11111 3" +0d +0_ +b1000 0" +18" +1l +0o +b10001101010010001111110001001 { +b10001101010010001111110001001 A" +0b +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +b10011111100101100010100001101011 / +b10011111100101100010100001101011 K +b10011111100101100010100001101011 e +b10011111100101100010100001101011 "" +0. +1% +#9543500 +b1110 5 +b1110 L +b1110 W +b1011 7 +b1011 N +b1011 V +16 +b1001000011110011010010111010100 2 +b1001000011110011010010111010100 I +b1001000011110011010010111010100 Z +b10010 3 +b10010 J +b10010 Y +11 +b1110 ' +b1110 D +b1011 & +b1011 C +1( +b1001000011110011010010111010100 , +b1001000011110011010010111010100 H +b10010 + +b10010 G +1- +1. +0% +#9544000 +1k +0j +0s +b11101111011100101000010011 | +b11101111011100101000010011 B" +0\ +1@" +1g +1;" +19" +0r +0q +15" +17" +b1101 3" +0_ +1<" +1` +b10001 0" +08" +0l +1p +b10101011011011100111011001100000 { +b10101011011011100111011001100000 A" +b10100 -" +1y +1u +1b +12" +0m +1x +1w +1," +b10001101010010001111110001001 / +b10001101010010001111110001001 K +b10001101010010001111110001001 e +b10001101010010001111110001001 "" +b1001000011110011010010111010100 &" +b1001000011110011010010111010100 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#9544010 +b1001000011110011010010111010100 U" +#9544500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +b10001011010010000001011100000000 2 +b10001011010010000001011100000000 I +b10001011010010000001011100000000 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b10111 & +b10111 C +b10001011010010000001011100000000 , +b10001011010010000001011100000000 H +b11010 + +b11010 G +1. +0% +#9545000 +16" +0] +1i +0u +1t +1=" +1h +09" +0:" +0;" +b10001101010010001111110001001 | +b10001101010010001111110001001 B" +05" +b101 3" +1c +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1000 -" +14" +1v +0y +0n +0/" +b10001011010010000001011100000000 &" +b10001011010010000001011100000000 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +b10101011011011100111011001100000 / +b10101011011011100111011001100000 K +b10101011011011100111011001100000 e +b10101011011011100111011001100000 "" +b11101111011100101000010011 0 +b11101111011100101000010011 M +b11101111011100101000010011 f +b11101111011100101000010011 !" +0. +1% +#9545010 +b10001011010010000001011100000000 ]" +#9545500 +b100 7 +b100 N +b100 V +b1001010000100000101100110100101 2 +b1001010000100000101100110100101 I +b1001010000100000101100110100101 Z +b11000 3 +b11000 J +b11000 Y +b100 & +b100 C +b1001010000100000101100110100101 , +b1001010000100000101100110100101 H +b11000 + +b11000 G +1. +0% +#9546000 +1u +1\ +1r +1q +17" +b111 3" +b11011 -" +04" +0v +b110101110101011100001001011000 | +b110101110101011100001001011000 B" +0b +0x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001101010010001111110001001 0 +b10001101010010001111110001001 M +b10001101010010001111110001001 f +b10001101010010001111110001001 !" +b1001010000100000101100110100101 &" +b1001010000100000101100110100101 ?" +b11000 %" +b11000 *" +b11000 1" +b100 } +b100 (" +b100 +" +0. +1% +#9546010 +b1001010000100000101100110100101 [" +#9546500 +b1000 5 +b1000 L +b1000 W +14 +b11110 7 +b11110 N +b11110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b11110 & +b11110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9547000 +1] +0i +b11101011101011111011111111011101 { +b11101011101011111011111111011101 A" +0u +0t +0=" +0@" +19" +1;" +0r +15" +b11111 3" +0c +0<" +0` +b10111 0" +1o +1k +b1 -" +14" +1v +1z +b10101100001011110011011001000101 | +b10101100001011110011011001000101 B" +02" +1/" +1x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b11110 } +b11110 (" +b11110 +" +b110101110101011100001001011000 0 +b110101110101011100001001011000 M +b110101110101011100001001011000 f +b110101110101011100001001011000 !" +0. +1% +#9547500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9548000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +09" +0;" +1r +05" +06" +07" +b11111 0" +0o +0k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0/" +0x +0," +b11101011101011111011111111011101 / +b11101011101011111011111111011101 K +b11101011101011111011111111011101 e +b11101011101011111011111111011101 "" +b10101100001011110011011001000101 0 +b10101100001011110011011001000101 M +b10101100001011110011011001000101 f +b10101100001011110011011001000101 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9548500 +14 +1) +1. +0% +#9549000 +b10101011110101100000111100100111 { +b10101011110101100000111100100111 A" +1;" +1k +1/" +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9549500 +04 +16 +0) +1( +1. +0% +#9550000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b10101011110101100000111100100111 | +b10101011110101100000111100100111 B" +0;" +17" +0k +1u +0/" +1," +b10101011110101100000111100100111 / +b10101011110101100000111100100111 K +b10101011110101100000111100100111 e +b10101011110101100000111100100111 "" +0$" +1#" +0. +1% +#9550500 +06 +0( +1. +0% +#9551000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +07" +0u +0," +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101011110101100000111100100111 0 +b10101011110101100000111100100111 M +b10101011110101100000111100100111 f +b10101011110101100000111100100111 !" +0. +1% +#9551500 +1. +0% +#9552000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9552500 +b10111 5 +b10111 L +b10111 W +14 +b10111 ' +b10111 D +1) +1. +0% +#9553000 +0i +b10001101010010001111110001001 { +b10001101010010001111110001001 A" +0h +0g +1:" +1;" +b1000 0" +1j +0k +18" +1l +1n +1m +1/" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#9553500 +b10000 5 +b10000 L +b10000 W +b10000 ' +b10000 D +1. +0% +#9554000 +1i +1h +1g +0:" +b1111 0" +0j +b11000000011010101000111110110100 { +b11000000011010101000111110110100 A" +0n +0m +b10001101010010001111110001001 / +b10001101010010001111110001001 K +b10001101010010001111110001001 e +b10001101010010001111110001001 "" +b10000 ~ +b10000 )" +b10000 ." +0. +1% +#9554500 +b0 5 +b0 L +b0 W +04 +b11010 7 +b11010 N +b11010 V +16 +b0 ' +b0 D +0) +b11010 & +b11010 C +1( +1. +0% +#9555000 +0s +b10001011010010000001011100000000 | +b10001011010010000001011100000000 B" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +15" +17" +b11111 0" +08" +0l +b101 -" +1y +0u +14" +1v +0/" +1x +1," +b0 ~ +b0 )" +b0 ." +0$" +b11010 } +b11010 (" +b11010 +" +1#" +b11000000011010101000111110110100 / +b11000000011010101000111110110100 K +b11000000011010101000111110110100 e +b11000000011010101000111110110100 "" +0. +1% +#9555500 +b11111 5 +b11111 L +b11111 W +14 +b100 7 +b100 N +b100 V +b10011111110100010011010101000011 2 +b10011111110100010011010101000011 I +b10011111110100010011010101000011 Z +b11101 3 +b11101 J +b11101 Y +11 +b11111 ' +b11111 D +1) +b100 & +b100 C +b10011111110100010011010101000011 , +b10011111110100010011010101000011 H +b11101 + +b11101 G +1- +1. +0% +#9556000 +16" +0] +0i +b1011001101000100000101101110011 { +b1011001101000100000101101110011 A" +1u +1t +0[ +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +1r +17" +05" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b0 0" +0j +0o +1p +0k +18" +1l +b11011 -" +04" +0v +0y +b110101110101011100001001011000 | +b110101110101011100001001011000 B" +1a +12" +1n +1m +1/" +0x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001011010010000001011100000000 0 +b10001011010010000001011100000000 M +b10001011010010000001011100000000 f +b10001011010010000001011100000000 !" +b10011111110100010011010101000011 &" +b10011111110100010011010101000011 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b100 } +b100 (" +b100 +" +0. +1% +#9556010 +b10011111110100010011010101000011 `" +#9556500 +b11 5 +b11 L +b11 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11 ' +b11 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9557000 +1] +1k +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0=" +0>" +0@" +1;" +b11000001000101011010010001110000 { +b11000001000101011010010001110000 A" +09" +0:" +06" +07" +b11111 3" +0d +0<" +0` +b11100 0" +08" +0l +0p +b11111 -" +0t +0u +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11 ~ +b11 )" +b11 ." +b0 } +b0 (" +b0 +" +0#" +b1011001101000100000101101110011 / +b1011001101000100000101101110011 K +b1011001101000100000101101110011 e +b1011001101000100000101101110011 "" +b110101110101011100001001011000 0 +b110101110101011100001001011000 M +b110101110101011100001001011000 f +b110101110101011100001001011000 !" +0. +1% +#9557500 +b0 5 +b0 L +b0 W +04 +b11000 7 +b11000 N +b11000 V +16 +b0 ' +b0 D +0) +b11000 & +b11000 C +1( +1. +0% +#9558000 +0s +b1001010000100000101100110100101 | +b1001010000100000101100110100101 B" +1h +1g +0;" +15" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b111 -" +1y +0u +14" +1v +0n +0m +0/" +1," +b11000001000101011010010001110000 / +b11000001000101011010010001110000 K +b11000001000101011010010001110000 e +b11000001000101011010010001110000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#9558500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#9559000 +0i +b110101110101011100001001011000 { +b110101110101011100001001011000 A" +1s +1:" +1;" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11011 0" +1j +1k +b11111 -" +0y +04" +0v +1/" +0," +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001010000100000101100110100101 0 +b1001010000100000101100110100101 M +b1001010000100000101100110100101 f +b1001010000100000101100110100101 !" +0. +1% +#9559500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9560000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +b11111 0" +0j +0k +0/" +b110101110101011100001001011000 / +b110101110101011100001001011000 K +b110101110101011100001001011000 e +b110101110101011100001001011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9560500 +b11111 5 +b11111 L +b11111 W +14 +b11111 ' +b11111 D +1) +1. +0% +#9561000 +0i +b1011001101000100000101101110011 { +b1011001101000100000101101110011 A" +0h +0g +19" +1:" +1;" +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9561500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9562000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b1011001101000100000101101110011 / +b1011001101000100000101101110011 K +b1011001101000100000101101110011 e +b1011001101000100000101101110011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9562500 +1. +0% +#9563000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9563500 +b10111 5 +b10111 L +b10111 W +14 +b1110 7 +b1110 N +b1110 V +16 +b10111 ' +b10111 D +1) +b1110 & +b1110 C +1( +1. +0% +#9564000 +0i +b10001101010010001111110001001 { +b10001101010010001111110001001 A" +0s +b10101011011011100111011001100000 | +b10101011011011100111011001100000 B" +0h +0g +1:" +1;" +0r +15" +16" +17" +b1000 0" +1j +0k +18" +1l +b10001 -" +0t +0y +1z +1u +1n +1m +1/" +1x +1," +b10111 ~ +b10111 )" +b10111 ." +1$" +b1110 } +b1110 (" +b1110 +" +1#" +0. +1% +#9564500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b10001011011110010111001010101111 2 +b10001011011110010111001010101111 I +b10001011011110010111001010101111 Z +b11100 3 +b11100 J +b11100 Y +11 +b10 ' +b10 D +b0 & +b0 C +0( +b10001011011110010111001010101111 , +b10001011011110010111001010101111 H +b11100 + +b11100 G +1- +1. +0% +#9565000 +0] +1k +1i +1s +1=" +1>" +1@" +1g +1;" +0:" +1r +05" +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11101 0" +08" +0l +0j +b1101111011010101100100011 { +b1101111011010101100100011 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0m +0x +0," +b10001011011110010111001010101111 &" +b10001011011110010111001010101111 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +b10001101010010001111110001001 / +b10001101010010001111110001001 K +b10001101010010001111110001001 e +b10001101010010001111110001001 "" +b10101011011011100111011001100000 0 +b10101011011011100111011001100000 M +b10101011011011100111011001100000 f +b10101011011011100111011001100000 !" +0. +1% +#9565010 +b10001011011110010111001010101111 _" +#9565500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9566000 +1] +0=" +0>" +0@" +1h +0;" +b11111 3" +0d +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +02" +0n +0/" +b1101111011010101100100011 / +b1101111011010101100100011 K +b1101111011010101100100011 e +b1101111011010101100100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9566500 +b11110 5 +b11110 L +b11110 W +14 +b11110 ' +b11110 D +1) +1. +0% +#9567000 +0i +b10101100001011110011011001000101 { +b10101100001011110011011001000101 A" +0h +19" +1:" +1;" +b1 0" +0j +0o +1p +0k +18" +1l +1n +1/" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9567500 +b110 5 +b110 L +b110 W +b111 7 +b111 N +b111 V +16 +b11001011010100010000010011000110 2 +b11001011010100010000010011000110 I +b11001011010100010000010011000110 Z +b1011 3 +b1011 J +b1011 Y +11 +b110 ' +b110 D +b111 & +b111 C +1( +b11001011010100010000010011000110 , +b11001011010100010000010011000110 H +b1011 + +b1011 G +1- +1. +0% +#9568000 +0] +1k +1j +0s +b1000011011001101010011101111000 | +b1000011011001101010011101111000 B" +0\ +0[ +1=" +1@" +1;" +b1111011010000001111011110011001 { +b1111011010000001111011110011001 A" +09" +1:" +0r +0q +16" +17" +b10100 3" +1c +1_ +b11001 0" +08" +0l +0p +b11000 -" +1t +1u +1b +1a +12" +1x +1w +1," +b10101100001011110011011001000101 / +b10101100001011110011011001000101 K +b10101100001011110011011001000101 e +b10101100001011110011011001000101 "" +b11001011010100010000010011000110 &" +b11001011010100010000010011000110 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b110 ~ +b110 )" +b110 ." +b111 } +b111 (" +b111 +" +1#" +0. +1% +#9568010 +b11001011010100010000010011000110 N" +#9568500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9569000 +1] +1i +1s +1\ +1[ +0=" +0@" +1h +0:" +0;" +1r +1q +06" +07" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0n +0/" +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1111011010000001111011110011001 / +b1111011010000001111011110011001 K +b1111011010000001111011110011001 e +b1111011010000001111011110011001 "" +b1000011011001101010011101111000 0 +b1000011011001101010011101111000 M +b1000011011001101010011101111000 f +b1000011011001101010011101111000 !" +0. +1% +#9569500 +b10000 7 +b10000 N +b10000 V +16 +b10000 & +b10000 C +1( +1. +0% +#9570000 +b11000000011010101000111110110100 | +b11000000011010101000111110110100 B" +17" +b1111 -" +0u +14" +1v +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#9570500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9571000 +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 -" +04" +0v +0," +b0 } +b0 (" +b0 +" +0#" +b11000000011010101000111110110100 0 +b11000000011010101000111110110100 M +b11000000011010101000111110110100 f +b11000000011010101000111110110100 !" +0. +1% +#9571500 +b1 5 +b1 L +b1 W +14 +b11110 7 +b11110 N +b11110 V +16 +b11111010011000011100100000111101 2 +b11111010011000011100100000111101 I +b11111010011000011100100000111101 Z +b10101 3 +b10101 J +b10101 Y +11 +b1 ' +b1 D +1) +b11110 & +b11110 C +1( +b11111010011000011100100000111101 , +b11111010011000011100100000111101 H +b10101 + +b10101 G +1- +1. +0% +#9572000 +0] +b1101010011001010001110101111111 { +b1101010011001010001110101111111 A" +0s +b10101100001011110011011001000101 | +b10101100001011110011011001000101 B" +0[ +1>" +1@" +0g +1;" +0r +15" +16" +17" +b1010 3" +1^ +0_ +1<" +1` +b11110 0" +1k +b1 -" +0t +0y +1z +0u +14" +1v +1a +12" +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11111010011000011100100000111101 &" +b11111010011000011100100000111101 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b1 ~ +b1 )" +b1 ." +1$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#9572010 +b11111010011000011100100000111101 X" +#9572500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11111 & +b11111 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9573000 +1] +1[ +0>" +0@" +1g +0;" +0q +b11111 3" +0^ +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b0 -" +b1011001101000100000101101110011 | +b1011001101000100000101101110011 B" +0a +02" +0m +0/" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +b1101010011001010001110101111111 / +b1101010011001010001110101111111 K +b1101010011001010001110101111111 e +b1101010011001010001110101111111 "" +b10101100001011110011011001000101 0 +b10101100001011110011011001000101 M +b10101100001011110011011001000101 f +b10101100001011110011011001000101 !" +0. +1% +#9573500 +b10110 5 +b10110 L +b10110 W +14 +b11011 7 +b11011 N +b11011 V +b10110 ' +b10110 D +1) +b11011 & +b11011 C +1. +0% +#9574000 +0i +b1000001101001111001001010101000 { +b1000001101001111001001010101000 A" +1y +b1101101101100111000001110110000 | +b1101101101100111000001110110000 B" +0h +1:" +1;" +15" +06" +b1001 0" +1j +0k +18" +1l +b100 -" +0z +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011001101000100000101101110011 0 +b1011001101000100000101101110011 M +b1011001101000100000101101110011 f +b1011001101000100000101101110011 !" +b10110 ~ +b10110 )" +b10110 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#9574500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9575000 +1i +1s +1h +0:" +0;" +1r +1q +05" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000001101001111001001010101000 / +b1000001101001111001001010101000 K +b1000001101001111001001010101000 e +b1000001101001111001001010101000 "" +b1101101101100111000001110110000 0 +b1101101101100111000001110110000 M +b1101101101100111000001110110000 f +b1101101101100111000001110110000 !" +0. +1% +#9575500 +b11010 5 +b11010 L +b11010 W +14 +b11000 7 +b11000 N +b11000 V +16 +b11101100111010011011011010110111 2 +b11101100111010011011011010110111 I +b11101100111010011011011010110111 Z +b10001 3 +b10001 J +b10001 Y +11 +b11010 ' +b11010 D +1) +b11000 & +b11000 C +1( +b11101100111010011011011010110111 , +b11101100111010011011011010110111 H +b10001 + +b10001 G +1- +1. +0% +#9576000 +0i +b10001011010010000001011100000000 { +b10001011010010000001011100000000 A" +0s +b1001010000100000101100110100101 | +b1001010000100000101100110100101 B" +0[ +1@" +0h +19" +1;" +15" +17" +b1110 3" +0_ +1<" +1` +b101 0" +1o +0k +18" +1l +b111 -" +1y +0u +14" +1v +1a +12" +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11101100111010011011011010110111 &" +b11101100111010011011011010110111 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#9576010 +b11101100111010011011011010110111 T" +#9576500 +b11100 5 +b11100 L +b11100 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11100 ' +b11100 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9577000 +0o +1s +1[ +0@" +1h +1:" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11 0" +1p +b10001011011110010111001010101111 { +b10001011011110010111001010101111 A" +b11111 -" +0y +04" +0v +0a +02" +0n +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11100 ~ +b11100 )" +b11100 ." +b0 } +b0 (" +b0 +" +0#" +b10001011010010000001011100000000 / +b10001011010010000001011100000000 K +b10001011010010000001011100000000 e +b10001011010010000001011100000000 "" +b1001010000100000101100110100101 0 +b1001010000100000101100110100101 M +b1001010000100000101100110100101 f +b1001010000100000101100110100101 !" +0. +1% +#9577500 +b0 5 +b0 L +b0 W +04 +b1001000001101110101010100111001 2 +b1001000001101110101010100111001 I +b1001000001101110101010100111001 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b1001000001101110101010100111001 , +b1001000001101110101010100111001 H +b101 + +b101 G +1- +1. +0% +#9578000 +0] +1i +0[ +1>" +1@" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11010 3" +1^ +1_ +b11111 0" +0p +08" +0l +1a +12" +0/" +b10001011011110010111001010101111 / +b10001011011110010111001010101111 K +b10001011011110010111001010101111 e +b10001011011110010111001010101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001000001101110101010100111001 &" +b1001000001101110101010100111001 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9578010 +b1001000001101110101010100111001 H" +#9578500 +b1101110000101100010011101110001 2 +b1101110000101100010011101110001 I +b1101110000101100010011101110001 Z +b1100 3 +b1100 J +b1100 Y +b1101110000101100010011101110001 , +b1101110000101100010011101110001 H +b1100 + +b1100 G +1. +0% +#9579000 +0^ +1[ +1=" +b10011 3" +1d +0a +b1101110000101100010011101110001 &" +b1101110000101100010011101110001 ?" +b1100 %" +b1100 *" +b1100 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9579010 +b1101110000101100010011101110001 O" +#9579500 +b11001 5 +b11001 L +b11001 W +14 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 ' +b11001 D +1) +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9580000 +1] +0i +b110100110000101011101101100110 { +b110100110000101011101101100110 A" +0s +b110101110101011100001001011000 | +b110101110101011100001001011000 B" +0=" +0>" +0@" +0g +19" +1;" +16" +17" +b11111 3" +0d +0_ +b110 0" +1o +0k +18" +1l +b11011 -" +1t +1u +02" +1m +1/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 ~ +b11001 )" +b11001 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#9580500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b0 ' +b0 D +0) +b10110 & +b10110 C +1. +0% +#9581000 +1i +0u +1g +09" +0;" +0r +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1001 -" +14" +1v +b1000001101001111001001010101000 | +b1000001101001111001001010101000 B" +0m +0/" +1x +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +b110100110000101011101101100110 / +b110100110000101011101101100110 K +b110100110000101011101101100110 e +b110100110000101011101101100110 "" +b110101110101011100001001011000 0 +b110101110101011100001001011000 M +b110101110101011100001001011000 f +b110101110101011100001001011000 !" +0. +1% +#9581500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9582000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000001101001111001001010101000 0 +b1000001101001111001001010101000 M +b1000001101001111001001010101000 f +b1000001101001111001001010101000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9582500 +b11001 7 +b11001 N +b11001 V +16 +b11100110100100000100011100001100 2 +b11100110100100000100011100001100 I +b11100110100100000100011100001100 Z +b11000 3 +b11000 J +b11000 Y +11 +b11001 & +b11001 C +1( +b11100110100100000100011100001100 , +b11100110100100000100011100001100 H +b11000 + +b11000 G +1- +1. +0% +#9583000 +0] +0s +b110100110000101011101101100110 | +b110100110000101011101101100110 B" +1=" +1@" +0q +15" +17" +b111 3" +1c +0_ +1<" +1` +b110 -" +1y +0u +14" +1v +12" +1w +1," +b11100110100100000100011100001100 &" +b11100110100100000100011100001100 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9583010 +b11100110100100000100011100001100 [" +#9583500 +b0 7 +b0 N +b0 V +06 +b1100001001010110100101110101101 2 +b1100001001010110100101110101101 I +b1100001001010110100101110101101 Z +b11111 3 +b11111 J +b11111 Y +b0 & +b0 C +0( +b1100001001010110100101110101101 , +b1100001001010110100101110101101 H +b11111 + +b11111 G +1. +0% +#9584000 +0c +1s +0\ +0[ +1>" +1q +05" +07" +b0 3" +1d +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +0w +0," +b110100110000101011101101100110 0 +b110100110000101011101101100110 M +b110100110000101011101101100110 f +b110100110000101011101101100110 !" +b1100001001010110100101110101101 &" +b1100001001010110100101110101101 ?" +b11111 %" +b11111 *" +b11111 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9584500 +b10111 5 +b10111 L +b10111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9585000 +1] +0i +b10001101010010001111110001001 { +b10001101010010001111110001001 A" +1\ +1[ +0=" +0>" +0@" +0h +0g +1:" +1;" +b11111 3" +0d +0<" +0` +b1000 0" +1j +0k +18" +1l +0b +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9585500 +b110 5 +b110 L +b110 W +b110010011100011010000000111011 2 +b110010011100011010000000111011 I +b110010011100011010000000111011 Z +b10010 3 +b10010 J +b10010 Y +11 +b110 ' +b110 D +b110010011100011010000000111011 , +b110010011100011010000000111011 H +b10010 + +b10010 G +1- +1. +0% +#9586000 +1k +0\ +1@" +1g +1;" +b1101 3" +0_ +1<" +1` +b11001 0" +08" +0l +b1111011010000001111011110011001 { +b1111011010000001111011110011001 A" +1b +12" +0m +b10001101010010001111110001001 / +b10001101010010001111110001001 K +b10001101010010001111110001001 e +b10001101010010001111110001001 "" +b110010011100011010000000111011 &" +b110010011100011010000000111011 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b110 ~ +b110 )" +b110 ." +0. +1% +#9586010 +b110010011100011010000000111011 U" +#9586500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b1010110010011100001010100110 2 +b1010110010011100001010100110 I +b1010110010011100001010100110 Z +b1010 3 +b1010 J +b1010 Y +b0 ' +b0 D +0) +b100 & +b100 C +1( +b1010110010011100001010100110 , +b1010110010011100001010100110 H +b1010 + +b1010 G +1. +0% +#9587000 +1_ +0] +1i +0s +b110101110101011100001001011000 | +b110101110101011100001001011000 B" +1@" +1=" +1h +0:" +0;" +16" +17" +b10101 3" +0<" +0` +1c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +1t +1u +0n +0/" +1," +b1010110010011100001010100110 &" +b1010110010011100001010100110 ?" +b1010 %" +b1010 *" +b1010 1" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +b1111011010000001111011110011001 / +b1111011010000001111011110011001 K +b1111011010000001111011110011001 e +b1111011010000001111011110011001 "" +0. +1% +#9587010 +b1010110010011100001010100110 M" +#9587500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b10010110011010001011000111100 2 +b10010110011010001011000111100 I +b10010110011010001011000111100 Z +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +b10010110011010001011000111100 , +b10010110011010001011000111100 H +1. +0% +#9588000 +0i +b110100110000101011101101100110 { +b110100110000101011101101100110 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0g +19" +1;" +06" +07" +b110 0" +1o +0k +18" +1l +b11111 -" +0t +0u +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110101110101011100001001011000 0 +b110101110101011100001001011000 M +b110101110101011100001001011000 f +b110101110101011100001001011000 !" +b10010110011010001011000111100 &" +b10010110011010001011000111100 ?" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9588010 +b10010110011010001011000111100 M" +#9588500 +b11011 5 +b11011 L +b11011 W +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11011 ' +b11011 D +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9589000 +1] +0s +b1101110000101100010011101110001 | +b1101110000101100010011101110001 B" +1\ +0=" +0@" +0h +15" +16" +17" +b11111 3" +0c +0_ +b100 0" +b1101101101100111000001110110000 { +b1101101101100111000001110110000 A" +b10011 -" +0t +0y +1z +1u +0b +02" +1n +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11011 ~ +b11011 )" +b11011 ." +b1100 } +b1100 (" +b1100 +" +1#" +b110100110000101011101101100110 / +b110100110000101011101101100110 K +b110100110000101011101101100110 e +b110100110000101011101101100110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9589500 +b101 5 +b101 L +b101 W +b10111 7 +b10111 N +b10111 V +b101 ' +b101 D +b10111 & +b10111 C +1. +0% +#9590000 +1:" +1k +1j +0u +1t +1h +1;" +09" +0r +0q +05" +16" +b11010 0" +08" +0l +0o +b1001000001101110101010100111001 { +b1001000001101110101010100111001 A" +b1000 -" +14" +1v +0z +b10001101010010001111110001001 | +b10001101010010001111110001001 B" +0n +1x +1w +b1101101101100111000001110110000 / +b1101101101100111000001110110000 K +b1101101101100111000001110110000 e +b1101101101100111000001110110000 "" +b1101110000101100010011101110001 0 +b1101110000101100010011101110001 M +b1101110000101100010011101110001 f +b1101110000101100010011101110001 !" +b101 ~ +b101 )" +b101 ." +b10111 } +b10111 (" +b10111 +" +0. +1% +#9590500 +b111 5 +b111 L +b111 W +b0 7 +b0 N +b0 V +06 +b110011111010001000101011111111 2 +b110011111010001000101011111111 I +b110011111010001000101011111111 Z +b1100 3 +b1100 J +b1100 Y +11 +b111 ' +b111 D +b0 & +b0 C +0( +b110011111010001000101011111111 , +b110011111010001000101011111111 H +b1100 + +b1100 G +1- +1. +0% +#9591000 +0] +1s +1=" +1>" +1@" +0h +1r +1q +06" +07" +b10011 3" +0^ +0c +1d +1_ +b11000 0" +b1000011011001101010011101111000 { +b1000011011001101010011101111000 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +1n +0x +0w +0," +b110011111010001000101011111111 &" +b110011111010001000101011111111 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b111 ~ +b111 )" +b111 ." +b0 } +b0 (" +b0 +" +0#" +b1001000001101110101010100111001 / +b1001000001101110101010100111001 K +b1001000001101110101010100111001 e +b1001000001101110101010100111001 "" +b10001101010010001111110001001 0 +b10001101010010001111110001001 M +b10001101010010001111110001001 f +b10001101010010001111110001001 !" +0. +1% +#9591010 +b110011111010001000101011111111 O" +#9591500 +b11101 5 +b11101 L +b11101 W +b1 7 +b1 N +b1 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 ' +b11101 D +b1 & +b1 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9592000 +1] +0k +0j +b1101010011001010001110101111111 | +b1101010011001010001110101111111 B" +0=" +0>" +0@" +1h +19" +0q +17" +b11111 3" +0d +0_ +b10 0" +18" +1l +1p +b10011111110100010011010101000011 { +b10011111110100010011010101000011 A" +b11110 -" +1u +02" +0n +1w +1," +b1000011011001101010011101111000 / +b1000011011001101010011101111000 K +b1000011011001101010011101111000 e +b1000011011001101010011101111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 ~ +b11101 )" +b11101 ." +b1 } +b1 (" +b1 +" +1#" +0. +1% +#9592500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +b0 ' +b0 D +0) +b10100 & +b10100 C +1. +0% +#9593000 +1i +0u +0s +1g +09" +0:" +0;" +1q +16" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +14" +1v +1t +b110101010101110001010011010011 | +b110101010101110001010011010011 B" +0m +0/" +0w +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +b10011111110100010011010101000011 / +b10011111110100010011010101000011 K +b10011111110100010011010101000011 e +b10011111110100010011010101000011 "" +b1101010011001010001110101111111 0 +b1101010011001010001110101111111 M +b1101010011001010001110101111111 f +b1101010011001010001110101111111 !" +0. +1% +#9593500 +b1001 5 +b1001 L +b1001 W +14 +b0 7 +b0 N +b0 V +06 +b1100110010110010000000111011010 2 +b1100110010110010000000111011010 I +b1100110010110010000000111011010 Z +b11011 3 +b11011 J +b11011 Y +11 +b1001 ' +b1001 D +1) +b0 & +b0 C +0( +b1100110010110010000000111011010 , +b1100110010110010000000111011010 H +b11011 + +b11011 G +1- +1. +0% +#9594000 +0] +0i +b10011111100101100010100001101011 { +b10011111100101100010100001101011 A" +1s +0\ +0[ +1=" +1@" +0g +19" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b100 3" +1c +0_ +1<" +1` +b10110 0" +1o +1k +b11111 -" +0t +04" +0v +1b +1a +12" +1m +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110101010101110001010011010011 0 +b110101010101110001010011010011 M +b110101010101110001010011010011 f +b110101010101110001010011010011 !" +b1100110010110010000000111011010 &" +b1100110010110010000000111011010 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9594010 +b1100110010110010000000111011010 ^" +#9594500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9595000 +1] +1i +1\ +1[ +0=" +0@" +1g +09" +0;" +b11111 3" +0c +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011111100101100010100001101011 / +b10011111100101100010100001101011 K +b10011111100101100010100001101011 e +b10011111100101100010100001101011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9595500 +1. +0% +#9596000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9596500 +b11 7 +b11 N +b11 V +16 +b10100100010101010001110100011010 2 +b10100100010101010001110100011010 I +b10100100010101010001110100011010 Z +b1101 3 +b1101 J +b1101 Y +11 +b11 & +b11 C +1( +b10100100010101010001110100011010 , +b10100100010101010001110100011010 H +b1101 + +b1101 G +1- +1. +0% +#9597000 +0] +b11000001000101011010010001110000 | +b11000001000101011010010001110000 B" +0[ +1=" +1>" +1@" +0r +0q +17" +b10010 3" +0^ +0c +1d +1_ +b11100 -" +1u +1a +12" +1x +1w +1," +b10100100010101010001110100011010 &" +b10100100010101010001110100011010 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#9597010 +b10100100010101010001110100011010 P" +#9597500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9598000 +1] +1[ +0=" +0>" +0@" +1r +1q +07" +b11111 3" +0d +0_ +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0a +02" +0x +0w +0," +b11000001000101011010010001110000 0 +b11000001000101011010010001110000 M +b11000001000101011010010001110000 f +b11000001000101011010010001110000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9598500 +b11110001101101101111111101001000 2 +b11110001101101101111111101001000 I +b11110001101101101111111101001000 Z +b11101 3 +b11101 J +b11101 Y +11 +b11110001101101101111111101001000 , +b11110001101101101111111101001000 H +b11101 + +b11101 G +1- +1. +0% +#9599000 +0] +0[ +1=" +1>" +1@" +b10 3" +0^ +0c +1d +0_ +1<" +1` +1a +12" +b11110001101101101111111101001000 &" +b11110001101101101111111101001000 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9599010 +b11110001101101101111111101001000 `" +#9599500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9600000 +1] +0s +b10011111100101100010100001101011 | +b10011111100101100010100001101011 B" +1[ +0=" +0>" +0@" +0q +15" +17" +b11111 3" +0d +0<" +0` +b10110 -" +1y +1u +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#9600500 +b1111 5 +b1111 L +b1111 W +14 +b0 7 +b0 N +b0 V +06 +b1111 ' +b1111 D +1) +b0 & +b0 C +0( +1. +0% +#9601000 +0i +b10010101000111101001001101100001 { +b10010101000111101001001101100001 A" +1s +0h +0g +19" +1:" +1;" +1q +05" +07" +b10000 0" +0j +0o +1p +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0w +0," +b1111 ~ +b1111 )" +b1111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10011111100101100010100001101011 0 +b10011111100101100010100001101011 M +b10011111100101100010100001101011 f +b10011111100101100010100001101011 !" +0. +1% +#9601500 +b0 5 +b0 L +b0 W +04 +b11100111110010000101011001111111 2 +b11100111110010000101011001111111 I +b11100111110010000101011001111111 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b11100111110010000101011001111111 , +b11100111110010000101011001111111 H +b110 + +b110 G +1- +1. +0% +#9602000 +0] +1i +0\ +1>" +1@" +1h +1g +09" +0:" +0;" +b11001 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0m +0/" +b10010101000111101001001101100001 / +b10010101000111101001001101100001 K +b10010101000111101001001101100001 e +b10010101000111101001001101100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100111110010000101011001111111 &" +b11100111110010000101011001111111 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9602010 +b11100111110010000101011001111111 I" +#9602500 +b11011 7 +b11011 N +b11011 V +16 +b1110111010100000101000101011100 2 +b1110111010100000101000101011100 I +b1110111010100000101000101011100 Z +b1011 3 +b1011 J +b1011 Y +b11011 & +b11011 C +1( +b1110111010100000101000101011100 , +b1110111010100000101000101011100 H +b1011 + +b1011 G +1. +0% +#9603000 +1=" +1c +0s +b1100110010110010000000111011010 | +b1100110010110010000000111011010 B" +0[ +0>" +0r +0q +15" +17" +b10100 3" +0^ +b100 -" +1y +0u +14" +1v +1a +1x +1w +1," +b1110111010100000101000101011100 &" +b1110111010100000101000101011100 ?" +b1011 %" +b1011 *" +b1011 1" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9603010 +b1110111010100000101000101011100 N" +#9603500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9604000 +1] +1s +1\ +1[ +0=" +0@" +1r +1q +05" +07" +b11111 3" +0c +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0w +0," +b1100110010110010000000111011010 0 +b1100110010110010000000111011010 M +b1100110010110010000000111011010 f +b1100110010110010000000111011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9604500 +1. +0% +#9605000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9605500 +1. +0% +#9606000 +0. +1% +#9606500 +b1011 5 +b1011 L +b1011 W +14 +b1011 ' +b1011 D +1) +1. +0% +#9607000 +0i +b1110111010100000101000101011100 { +b1110111010100000101000101011100 A" +0h +0g +19" +1;" +b10100 0" +1o +1k +1n +1m +1/" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#9607500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9608000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b1110111010100000101000101011100 / +b1110111010100000101000101011100 K +b1110111010100000101000101011100 e +b1110111010100000101000101011100 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9608500 +b111 5 +b111 L +b111 W +14 +b10011 7 +b10011 N +b10011 V +16 +b10000001000110011000001001010010 2 +b10000001000110011000001001010010 I +b10000001000110011000001001010010 Z +b1000 3 +b1000 J +b1000 Y +11 +b111 ' +b111 D +1) +b10011 & +b10011 C +1( +b10000001000110011000001001010010 , +b10000001000110011000001001010010 H +b1000 + +b1000 G +1- +1. +0% +#9609000 +0] +0i +b1000011011001101010011101111000 { +b1000011011001101010011101111000 A" +b11110110101001100001101100011011 | +b11110110101001100001101100011011 B" +1=" +1@" +0h +0g +1:" +1;" +0r +0q +17" +b10111 3" +1c +1_ +b11000 0" +1j +1k +b1100 -" +0u +14" +1v +12" +1n +1m +1/" +1x +1w +1," +b10000001000110011000001001010010 &" +b10000001000110011000001001010010 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b111 ~ +b111 )" +b111 ." +1$" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9609010 +b10000001000110011000001001010010 K" +#9609500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9610000 +1] +1i +0=" +0@" +1h +1g +0:" +0;" +1r +1q +07" +b11111 3" +0c +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0n +0m +0/" +0x +0w +0," +b1000011011001101010011101111000 / +b1000011011001101010011101111000 K +b1000011011001101010011101111000 e +b1000011011001101010011101111000 "" +b11110110101001100001101100011011 0 +b11110110101001100001101100011011 M +b11110110101001100001101100011011 f +b11110110101001100001101100011011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9610500 +b1111 5 +b1111 L +b1111 W +14 +b1111 ' +b1111 D +1) +1. +0% +#9611000 +0i +b10010101000111101001001101100001 { +b10010101000111101001001101100001 A" +0h +0g +19" +1:" +1;" +b10000 0" +0j +0o +1p +1k +1n +1m +1/" +b1111 ~ +b1111 )" +b1111 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9611500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9612000 +1i +1h +1g +09" +0:" +0;" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b10010101000111101001001101100001 / +b10010101000111101001001101100001 K +b10010101000111101001001101100001 e +b10010101000111101001001101100001 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9612500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#9613000 +0s +b1000011011001101010011101111000 | +b1000011011001101010011101111000 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9613500 +b1101 7 +b1101 N +b1101 V +b1101 & +b1101 C +1. +0% +#9614000 +0t +1r +15" +b10010 -" +1z +b10100100010101010001110100011010 | +b10100100010101010001110100011010 B" +0x +b1000011011001101010011101111000 0 +b1000011011001101010011101111000 M +b1000011011001101010011101111000 f +b1000011011001101010011101111000 !" +b1101 } +b1101 (" +b1101 +" +0. +1% +#9614500 +b0 7 +b0 N +b0 V +06 +b11101010001001111001010100100010 2 +b11101010001001111001010100100010 I +b11101010001001111001010100100010 Z +b11 3 +b11 J +b11 Y +11 +b0 & +b0 C +0( +b11101010001001111001010100100010 , +b11101010001001111001010100100010 H +b11 + +b11 G +1- +1. +0% +#9615000 +1s +0\ +0[ +1@" +1q +05" +06" +07" +b11100 3" +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +0w +0," +b11101010001001111001010100100010 &" +b11101010001001111001010100100010 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b10100100010101010001110100011010 0 +b10100100010101010001110100011010 M +b10100100010101010001110100011010 f +b10100100010101010001110100011010 !" +0. +1% +#9615010 +b11101010001001111001010100100010 F" +#9615500 +b11100011011110101010101101001010 2 +b11100011011110101010101101001010 I +b11100011011110101010101101001010 Z +b10111 3 +b10111 J +b10111 Y +b11100011011110101010101101001010 , +b11100011011110101010101101001010 H +b10111 + +b10111 G +1. +0% +#9616000 +0_ +0] +1>" +b1000 3" +1<" +1` +1^ +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100011011110101010101101001010 &" +b11100011011110101010101101001010 ?" +b10111 %" +b10111 *" +b10111 1" +0. +1% +#9616010 +b11100011011110101010101101001010 Z" +#9616500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9617000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0<" +0` +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9617500 +b10111011101111110011111110111001 2 +b10111011101111110011111110111001 I +b10111011101111110011111110111001 Z +b11101 3 +b11101 J +b11101 Y +11 +b10111011101111110011111110111001 , +b10111011101111110011111110111001 H +b11101 + +b11101 G +1- +1. +0% +#9618000 +0] +0[ +1=" +1>" +1@" +b10 3" +0^ +0c +1d +0_ +1<" +1` +1a +12" +b10111011101111110011111110111001 &" +b10111011101111110011111110111001 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +0. +1% +#9618010 +b10111011101111110011111110111001 `" +#9618500 +b101 7 +b101 N +b101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b101 & +b101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9619000 +1] +0s +b1001000001101110101010100111001 | +b1001000001101110101010100111001 B" +1[ +0=" +0>" +0@" +0q +16" +17" +b11111 3" +0d +0<" +0` +b11010 -" +1t +1u +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#9619500 +b0 7 +b0 N +b0 V +06 +b11111110010100001100111100101011 2 +b11111110010100001100111100101011 I +b11111110010100001100111100101011 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 & +b0 C +0( +b11111110010100001100111100101011 , +b11111110010100001100111100101011 H +b10100 + +b10100 G +1- +1. +0% +#9620000 +0] +1s +1>" +1@" +1q +06" +07" +b1011 3" +1^ +0_ +1<" +1` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0w +0," +b1001000001101110101010100111001 0 +b1001000001101110101010100111001 M +b1001000001101110101010100111001 f +b1001000001101110101010100111001 !" +b11111110010100001100111100101011 &" +b11111110010100001100111100101011 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9620010 +b11111110010100001100111100101011 W" +#9620500 +b11010 7 +b11010 N +b11010 V +16 +b1110001010100110010011111000000 2 +b1110001010100110010011111000000 I +b1110001010100110010011111000000 Z +b11000 3 +b11000 J +b11000 Y +b11010 & +b11010 C +1( +b1110001010100110010011111000000 , +b1110001010100110010011111000000 H +b11000 + +b11000 G +1. +0% +#9621000 +1=" +1c +0s +b10001011010010000001011100000000 | +b10001011010010000001011100000000 B" +0>" +0r +15" +17" +b111 3" +0^ +b101 -" +1y +0u +14" +1v +1x +1," +b1110001010100110010011111000000 &" +b1110001010100110010011111000000 ?" +b11000 %" +b11000 *" +b11000 1" +b11010 } +b11010 (" +b11010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9621010 +b1110001010100110010011111000000 [" +#9621500 +b10100 5 +b10100 L +b10100 W +14 +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 ' +b10100 D +1) +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9622000 +1] +0i +b11111110010100001100111100101011 { +b11111110010100001100111100101011 A" +0=" +0@" +1:" +1;" +1r +b11111 3" +0c +0<" +0` +b1011 0" +1j +0k +18" +1l +b111 -" +b1110001010100110010011111000000 | +b1110001010100110010011111000000 B" +02" +1/" +0x +b10001011010010000001011100000000 0 +b10001011010010000001011100000000 M +b10001011010010000001011100000000 f +b10001011010010000001011100000000 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 ~ +b10100 )" +b10100 ." +1$" +b11000 } +b11000 (" +b11000 +" +0. +1% +#9622500 +b0 5 +b0 L +b0 W +04 +b101 7 +b101 N +b101 V +b0 ' +b0 D +0) +b101 & +b101 C +1. +0% +#9623000 +16" +1i +1u +1t +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0q +17" +05" +b11111 0" +0j +08" +0l +b11010 -" +04" +0v +0y +b1001000001101110101010100111001 | +b1001000001101110101010100111001 B" +0/" +1w +b0 ~ +b0 )" +b0 ." +0$" +b101 } +b101 (" +b101 +" +b11111110010100001100111100101011 / +b11111110010100001100111100101011 K +b11111110010100001100111100101011 e +b11111110010100001100111100101011 "" +b1110001010100110010011111000000 0 +b1110001010100110010011111000000 M +b1110001010100110010011111000000 f +b1110001010100110010011111000000 !" +0. +1% +#9623500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +1. +0% +#9624000 +0i +b110011111010001000101011111111 { +b110011111010001000101011111111 A" +1s +19" +1:" +1;" +1q +06" +07" +b10011 0" +0j +0o +1p +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001000001101110101010100111001 0 +b1001000001101110101010100111001 M +b1001000001101110101010100111001 f +b1001000001101110101010100111001 !" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9624500 +b10 5 +b10 L +b10 W +b10 ' +b10 D +1. +0% +#9625000 +1i +0h +09" +0:" +b11101 0" +0p +b1101111011010101100100011 { +b1101111011010101100100011 A" +1n +b10 ~ +b10 )" +b10 ." +b110011111010001000101011111111 / +b110011111010001000101011111111 K +b110011111010001000101011111111 e +b110011111010001000101011111111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9625500 +b1001 5 +b1001 L +b1001 W +b1001 ' +b1001 D +1. +0% +#9626000 +0i +1h +0g +19" +b10110 0" +1o +b10011111100101100010100001101011 { +b10011111100101100010100001101011 A" +0n +1m +b1101111011010101100100011 / +b1101111011010101100100011 K +b1101111011010101100100011 e +b1101111011010101100100011 "" +b1001 ~ +b1001 )" +b1001 ." +0. +1% +#9626500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +1. +0% +#9627000 +1i +0s +b1100110010110010000000111011010 | +b1100110010110010000000111011010 B" +1g +09" +0;" +0r +0q +15" +17" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b100 -" +1y +0u +14" +1v +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +b10011111100101100010100001101011 / +b10011111100101100010100001101011 K +b10011111100101100010100001101011 e +b10011111100101100010100001101011 "" +0. +1% +#9627500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +1. +0% +#9628000 +0i +b10111011101111110011111110111001 { +b10111011101111110011111110111001 A" +1s +0g +19" +1:" +1;" +1r +1q +05" +07" +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100110010110010000000111011010 0 +b1100110010110010000000111011010 M +b1100110010110010000000111011010 f +b1100110010110010000000111011010 !" +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9628500 +b0 5 +b0 L +b0 W +04 +b1110010011001010110010110111110 2 +b1110010011001010110010110111110 I +b1110010011001010110010110111110 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b1110010011001010110010110111110 , +b1110010011001010110010110111110 H +b11011 + +b11011 G +1- +1. +0% +#9629000 +0] +1i +0\ +0[ +1=" +1@" +1g +09" +0:" +0;" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0m +0/" +b1110010011001010110010110111110 &" +b1110010011001010110010110111110 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10111011101111110011111110111001 / +b10111011101111110011111110111001 K +b10111011101111110011111110111001 e +b10111011101111110011111110111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9629010 +b1110010011001010110010110111110 ^" +#9629500 +b10110 5 +b10110 L +b10110 W +14 +b10000 7 +b10000 N +b10000 V +16 +b10001011110101100101101101001 2 +b10001011110101100101101101001 I +b10001011110101100101101101001 Z +b1001 3 +b1001 J +b1001 Y +b10110 ' +b10110 D +1) +b10000 & +b10000 C +1( +b10001011110101100101101101001 , +b10001011110101100101101101001 H +b1001 + +b1001 G +1. +0% +#9630000 +1_ +0i +b1000001101001111001001010101000 { +b1000001101001111001001010101000 A" +b11000000011010101000111110110100 | +b11000000011010101000111110110100 B" +1\ +1@" +0h +1:" +1;" +17" +b10110 3" +0<" +0` +b1001 0" +1j +0k +18" +1l +b1111 -" +0u +14" +1v +0b +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001011110101100101101101001 &" +b10001011110101100101101101001 ?" +b1001 %" +b1001 *" +b1001 1" +b10110 ~ +b10110 )" +b10110 ." +1$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#9630010 +b10001011110101100101101101001 L" +#9630500 +b11000 5 +b11000 L +b11000 W +b11 7 +b11 N +b11 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +b11 & +b11 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9631000 +19" +1] +1o +1u +1[ +0=" +0@" +1h +0:" +0r +0q +17" +b11111 3" +0c +0_ +b111 0" +0j +b1110001010100110010011111000000 { +b1110001010100110010011111000000 A" +b11100 -" +04" +0v +b11101010001001111001010100100010 | +b11101010001001111001010100100010 B" +0a +02" +0n +1x +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +b11 } +b11 (" +b11 +" +b1000001101001111001001010101000 / +b1000001101001111001001010101000 K +b1000001101001111001001010101000 e +b1000001101001111001001010101000 "" +b11000000011010101000111110110100 0 +b11000000011010101000111110110100 M +b11000000011010101000111110110100 f +b11000000011010101000111110110100 !" +0. +1% +#9631500 +b0 5 +b0 L +b0 W +04 +b10001 7 +b10001 N +b10001 V +b0 ' +b0 D +0) +b10001 & +b10001 C +1. +0% +#9632000 +1i +0u +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +b11111 0" +0o +08" +0l +b1110 -" +14" +1v +b11101100111010011011011010110111 | +b11101100111010011011011010110111 B" +0/" +0x +b1110001010100110010011111000000 / +b1110001010100110010011111000000 K +b1110001010100110010011111000000 e +b1110001010100110010011111000000 "" +b11101010001001111001010100100010 0 +b11101010001001111001010100100010 M +b11101010001001111001010100100010 f +b11101010001001111001010100100010 !" +b0 ~ +b0 )" +b0 ." +0$" +b10001 } +b10001 (" +b10001 +" +0. +1% +#9632500 +14 +b0 7 +b0 N +b0 V +06 +1) +b0 & +b0 C +0( +1. +0% +#9633000 +b10101011110101100000111100100111 { +b10101011110101100000111100100111 A" +1;" +1q +07" +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1/" +0w +0," +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101100111010011011011010110111 0 +b11101100111010011011011010110111 M +b11101100111010011011011010110111 f +b11101100111010011011011010110111 !" +0. +1% +#9633500 +04 +b10001 7 +b10001 N +b10001 V +16 +0) +b10001 & +b10001 C +1( +1. +0% +#9634000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11101100111010011011011010110111 | +b11101100111010011011011010110111 B" +0;" +0q +17" +0k +b1110 -" +0u +14" +1v +0/" +1w +1," +b10101011110101100000111100100111 / +b10101011110101100000111100100111 K +b10101011110101100000111100100111 e +b10101011110101100000111100100111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#9634500 +b11110 5 +b11110 L +b11110 W +14 +b1100 7 +b1100 N +b1100 V +b11110 ' +b11110 D +1) +b1100 & +b1100 C +1. +0% +#9635000 +0i +b10101100001011110011011001000101 { +b10101100001011110011011001000101 A" +1u +0s +0h +19" +1:" +1;" +1q +17" +15" +16" +b1 0" +0j +0o +1p +0k +18" +1l +b10011 -" +04" +0v +0t +0y +1z +b110011111010001000101011111111 | +b110011111010001000101011111111 B" +1n +1/" +0w +b11110 ~ +b11110 )" +b11110 ." +1$" +b1100 } +b1100 (" +b1100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11101100111010011011011010110111 0 +b11101100111010011011011010110111 M +b11101100111010011011011010110111 f +b11101100111010011011011010110111 !" +0. +1% +#9635500 +b0 5 +b0 L +b0 W +04 +b111 7 +b111 N +b111 V +b0 ' +b0 D +0) +b111 & +b111 C +1. +0% +#9636000 +1i +1t +1h +09" +0:" +0;" +0r +0q +05" +16" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11000 -" +0z +b1000011011001101010011101111000 | +b1000011011001101010011101111000 B" +0n +0/" +1x +1w +b10101100001011110011011001000101 / +b10101100001011110011011001000101 K +b10101100001011110011011001000101 e +b10101100001011110011011001000101 "" +b110011111010001000101011111111 0 +b110011111010001000101011111111 M +b110011111010001000101011111111 f +b110011111010001000101011111111 !" +b0 ~ +b0 )" +b0 ." +0$" +b111 } +b111 (" +b111 +" +0. +1% +#9636500 +b110 5 +b110 L +b110 W +14 +b0 7 +b0 N +b0 V +06 +b11001001110101101010001100111101 2 +b11001001110101101010001100111101 I +b11001001110101101010001100111101 Z +b1011 3 +b1011 J +b1011 Y +11 +b110 ' +b110 D +1) +b0 & +b0 C +0( +b11001001110101101010001100111101 , +b11001001110101101010001100111101 H +b1011 + +b1011 G +1- +1. +0% +#9637000 +0] +0i +b11100111110010000101011001111111 { +b11100111110010000101011001111111 A" +1s +0\ +0[ +1=" +1@" +0h +1:" +1;" +1r +1q +06" +07" +b10100 3" +1c +1_ +b11001 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1n +1/" +0x +0w +0," +b11001001110101101010001100111101 &" +b11001001110101101010001100111101 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000011011001101010011101111000 0 +b1000011011001101010011101111000 M +b1000011011001101010011101111000 f +b1000011011001101010011101111000 !" +0. +1% +#9637010 +b11001001110101101010001100111101 N" +#9637500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b1101000011010100110111100101011 2 +b1101000011010100110111100101011 I +b1101000011010100110111100101011 Z +b110 3 +b110 J +b110 Y +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +b1101000011010100110111100101011 , +b1101000011010100110111100101011 H +b110 + +b110 G +1. +0% +#9638000 +1>" +1^ +1i +0s +b10001011011110010111001010101111 | +b10001011011110010111001010101111 B" +1[ +0=" +1h +0:" +0;" +15" +16" +17" +b11001 3" +0c +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11 -" +0t +0y +1z +0u +14" +1v +0a +0n +0/" +1," +b11100111110010000101011001111111 / +b11100111110010000101011001111111 K +b11100111110010000101011001111111 e +b11100111110010000101011001111111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1101000011010100110111100101011 &" +b1101000011010100110111100101011 ?" +b110 %" +b110 *" +b110 1" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#9638010 +b1101000011010100110111100101011 I" +#9638500 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9639000 +1] +1u +1y +1\ +0>" +0@" +0q +17" +15" +06" +b11111 3" +0^ +0_ +b10110 -" +04" +0v +0z +b10001011110101100101101101001 | +b10001011110101100101101101001 B" +0b +02" +1w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001011011110010111001010101111 0 +b10001011011110010111001010101111 M +b10001011011110010111001010101111 f +b10001011011110010111001010101111 !" +0. +1% +#9639500 +b0 7 +b0 N +b0 V +06 +b111000001011100010010000100001 2 +b111000001011100010010000100001 I +b111000001011100010010000100001 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 & +b0 C +0( +b111000001011100010010000100001 , +b111000001011100010010000100001 H +b11010 + +b11010 G +1- +1. +0% +#9640000 +0] +1s +0\ +1=" +1@" +1q +05" +07" +b101 3" +1c +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +12" +0w +0," +b10001011110101100101101101001 0 +b10001011110101100101101101001 M +b10001011110101100101101101001 f +b10001011110101100101101101001 !" +b111000001011100010010000100001 &" +b111000001011100010010000100001 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9640010 +b111000001011100010010000100001 ]" +#9640500 +b10101 5 +b10101 L +b10101 W +14 +b1101100111010111101010101001111 2 +b1101100111010111101010101001111 I +b1101100111010111101010101001111 Z +b1110 3 +b1110 J +b1110 Y +b10101 ' +b10101 D +1) +b1101100111010111101010101001111 , +b1101100111010111101010101001111 H +b1110 + +b1110 G +1. +0% +#9641000 +1_ +0c +0i +b11111010011000011100100000111101 { +b11111010011000011100100000111101 A" +1@" +1>" +0g +1:" +1;" +b10001 3" +0<" +0` +1d +b1010 0" +1j +0k +18" +1l +1m +1/" +b1101100111010111101010101001111 &" +b1101100111010111101010101001111 ?" +b1110 %" +b1110 *" +b1110 1" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9641010 +b1101100111010111101010101001111 Q" +#9641500 +b0 5 +b0 L +b0 W +04 +b1000 7 +b1000 N +b1000 V +16 +b1010000110100011001010000011000 2 +b1010000110100011001010000011000 I +b1010000110100011001010000011000 Z +b10101 3 +b10101 J +b10101 Y +b0 ' +b0 D +0) +b1000 & +b1000 C +1( +b1010000110100011001010000011000 , +b1010000110100011001010000011000 H +b10101 + +b10101 G +1. +0% +#9642000 +0_ +1^ +1i +0s +b10000001000110011000001001010010 | +b10000001000110011000001001010010 B" +1\ +0[ +0=" +1>" +1g +0:" +0;" +15" +17" +b1010 3" +1<" +1` +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10111 -" +1y +1u +0b +1a +0m +0/" +1," +b11111010011000011100100000111101 / +b11111010011000011100100000111101 K +b11111010011000011100100000111101 e +b11111010011000011100100000111101 "" +b1010000110100011001010000011000 &" +b1010000110100011001010000011000 ?" +b10101 %" +b10101 *" +b10101 1" +b0 ~ +b0 )" +b0 ." +0$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#9642010 +b1010000110100011001010000011000 X" +#9642500 +b1101 7 +b1101 N +b1101 V +b10011101010010010011110111011010 2 +b10011101010010010011110111011010 I +b10011101010010010011110111011010 Z +b110 3 +b110 J +b110 Y +b1101 & +b1101 C +b10011101010010010011110111011010 , +b10011101010010010011110111011010 H +b110 + +b110 G +1. +0% +#9643000 +1_ +0y +0\ +1[ +1@" +0q +16" +b11001 3" +0<" +0` +b10010 -" +1z +b10100100010101010001110100011010 | +b10100100010101010001110100011010 B" +1b +0a +1w +b10011101010010010011110111011010 &" +b10011101010010010011110111011010 ?" +b110 %" +b110 *" +b110 1" +b1101 } +b1101 (" +b1101 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000001000110011000001001010010 0 +b10000001000110011000001001010010 M +b10000001000110011000001001010010 f +b10000001000110011000001001010010 !" +0. +1% +#9643010 +b10011101010010010011110111011010 I" +#9643500 +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9644000 +1] +1y +1\ +0>" +0@" +0r +15" +06" +b11111 3" +0^ +0_ +b10100 -" +0z +b11001001110101101010001100111101 | +b11001001110101101010001100111101 B" +0b +02" +1x +b10100100010101010001110100011010 0 +b10100100010101010001110100011010 M +b10100100010101010001110100011010 f +b10100100010101010001110100011010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 } +b1011 (" +b1011 +" +0. +1% +#9644500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9645000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b11001001110101101010001100111101 0 +b11001001110101101010001100111101 M +b11001001110101101010001100111101 f +b11001001110101101010001100111101 !" +0. +1% +#9645500 +1. +0% +#9646000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9646500 +b10 7 +b10 N +b10 V +16 +b10 & +b10 C +1( +1. +0% +#9647000 +b1101111011010101100100011 | +b1101111011010101100100011 B" +0r +17" +b11101 -" +1u +1x +1," +b10 } +b10 (" +b10 +" +1#" +0. +1% +#9647500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b11101110100001100111001001001 2 +b11101110100001100111001001001 I +b11101110100001100111001001001 Z +b10111 3 +b10111 J +b10111 Y +11 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +b11101110100001100111001001001 , +b11101110100001100111001001001 H +b10111 + +b10111 G +1- +1. +0% +#9648000 +0] +0i +b10000001000110011000001001010010 { +b10000001000110011000001001010010 A" +0\ +0[ +1>" +1@" +19" +1;" +1r +07" +b1000 3" +1^ +0_ +1<" +1` +b10111 0" +1o +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +12" +1/" +0x +0," +b1101111011010101100100011 0 +b1101111011010101100100011 M +b1101111011010101100100011 f +b1101111011010101100100011 !" +b11101110100001100111001001001 &" +b11101110100001100111001001001 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9648010 +b11101110100001100111001001001 Z" +#9648500 +b100 5 +b100 L +b100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9649000 +1:" +1] +1j +b110101110101011100001001011000 { +b110101110101011100001001011000 A" +1\ +1[ +0>" +0@" +09" +b11111 3" +0^ +0<" +0` +b11011 0" +0o +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +b10000001000110011000001001010010 / +b10000001000110011000001001010010 K +b10000001000110011000001001010010 e +b10000001000110011000001001010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9649500 +b11000 5 +b11000 L +b11000 W +b1011 7 +b1011 N +b1011 V +16 +b11000 ' +b11000 D +b1011 & +b1011 C +1( +1. +0% +#9650000 +19" +0k +1o +0s +b11001001110101101010001100111101 | +b11001001110101101010001100111101 B" +b1110001010100110010011111000000 { +b1110001010100110010011111000000 A" +0:" +0r +0q +15" +17" +b111 0" +18" +1l +0j +b10100 -" +1y +1u +1x +1w +1," +b110101110101011100001001011000 / +b110101110101011100001001011000 K +b110101110101011100001001011000 e +b110101110101011100001001011000 "" +b11000 ~ +b11000 )" +b11000 ." +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#9650500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9651000 +1i +1s +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +05" +07" +b11111 0" +0o +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0/" +0x +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110001010100110010011111000000 / +b1110001010100110010011111000000 K +b1110001010100110010011111000000 e +b1110001010100110010011111000000 "" +b11001001110101101010001100111101 0 +b11001001110101101010001100111101 M +b11001001110101101010001100111101 f +b11001001110101101010001100111101 !" +0. +1% +#9651500 +b1111 5 +b1111 L +b1111 W +14 +b11 7 +b11 N +b11 V +16 +b10010010111001110000101101010011 2 +b10010010111001110000101101010011 I +b10010010111001110000101101010011 Z +b10111 3 +b10111 J +b10111 Y +11 +b1111 ' +b1111 D +1) +b11 & +b11 C +1( +b10010010111001110000101101010011 , +b10010010111001110000101101010011 H +b10111 + +b10111 G +1- +1. +0% +#9652000 +0] +0i +b10010101000111101001001101100001 { +b10010101000111101001001101100001 A" +b11101010001001111001010100100010 | +b11101010001001111001010100100010 B" +0\ +0[ +1>" +1@" +0h +0g +19" +1:" +1;" +0r +0q +17" +b1000 3" +1^ +0_ +1<" +1` +b10000 0" +0j +0o +1p +1k +b11100 -" +1u +1b +1a +12" +1n +1m +1/" +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10010010111001110000101101010011 &" +b10010010111001110000101101010011 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1111 ~ +b1111 )" +b1111 ." +1$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#9652010 +b10010010111001110000101101010011 Z" +#9652500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b10110011100110111011001111111111 2 +b10110011100110111011001111111111 I +b10110011100110111011001111111111 Z +b11101 3 +b11101 J +b11101 Y +b110 ' +b110 D +b0 & +b0 C +0( +b10110011100110111011001111111111 , +b10110011100110111011001111111111 H +b11101 + +b11101 G +1. +0% +#9653000 +0^ +1j +1\ +1=" +1g +09" +1:" +1r +1q +07" +b10 3" +1d +b11001 0" +0p +b10011101010010010011110111011010 { +b10011101010010010011110111011010 A" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0m +0x +0w +0," +b10110011100110111011001111111111 &" +b10110011100110111011001111111111 ?" +b11101 %" +b11101 *" +b11101 1" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +b10010101000111101001001101100001 / +b10010101000111101001001101100001 K +b10010101000111101001001101100001 e +b10010101000111101001001101100001 "" +b11101010001001111001010100100010 0 +b11101010001001111001010100100010 M +b11101010001001111001010100100010 f +b11101010001001111001010100100010 !" +0. +1% +#9653010 +b10110011100110111011001111111111 `" +#9653500 +b0 5 +b0 L +b0 W +04 +b1010110010101000100000010000000 2 +b1010110010101000100000010000000 I +b1010110010101000100000010000000 Z +b10110 3 +b10110 J +b10110 Y +b0 ' +b0 D +0) +b1010110010101000100000010000000 , +b1010110010101000100000010000000 H +b10110 + +b10110 G +1. +0% +#9654000 +1^ +1i +0\ +1[ +0=" +1>" +1h +0:" +0;" +b1001 3" +0d +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0a +0n +0/" +b10011101010010010011110111011010 / +b10011101010010010011110111011010 K +b10011101010010010011110111011010 e +b10011101010010010011110111011010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010110010101000100000010000000 &" +b1010110010101000100000010000000 ?" +b10110 %" +b10110 *" +b10110 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9654010 +b1010110010101000100000010000000 Y" +#9654500 +b1010 5 +b1010 L +b1010 W +14 +b11110001100000100010000000111100 2 +b11110001100000100010000000111100 I +b11110001100000100010000000111100 Z +b11100 3 +b11100 J +b11100 Y +b1010 ' +b1010 D +1) +b11110001100000100010000000111100 , +b11110001100000100010000000111100 H +b11100 + +b11100 G +1. +0% +#9655000 +0^ +0i +b10010110011010001011000111100 { +b10010110011010001011000111100 A" +1\ +1=" +0h +19" +1;" +b11 3" +1d +b10101 0" +1o +1k +0b +1n +1/" +b11110001100000100010000000111100 &" +b11110001100000100010000000111100 ?" +b11100 %" +b11100 *" +b11100 1" +b1010 ~ +b1010 )" +b1010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9655010 +b11110001100000100010000000111100 _" +#9655500 +b0 5 +b0 L +b0 W +04 +b11010110011000110000110011110110 2 +b11010110011000110000110011110110 I +b11010110011000110000110011110110 Z +b0 ' +b0 D +0) +b11010110011000110000110011110110 , +b11010110011000110000110011110110 H +1. +0% +#9656000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b10010110011010001011000111100 / +b10010110011010001011000111100 K +b10010110011010001011000111100 e +b10010110011010001011000111100 "" +b11010110011000110000110011110110 &" +b11010110011000110000110011110110 ?" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9656010 +b11010110011000110000110011110110 _" +#9656500 +b10100 7 +b10100 N +b10100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10100 & +b10100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9657000 +1] +0s +b11111110010100001100111100101011 | +b11111110010100001100111100101011 B" +0=" +0>" +0@" +16" +17" +b11111 3" +0d +0<" +0` +b1011 -" +1t +0u +14" +1v +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9657500 +b0 7 +b0 N +b0 V +06 +b1110100010011000011011101111000 2 +b1110100010011000011011101111000 I +b1110100010011000011011101111000 Z +b10010 3 +b10010 J +b10010 Y +11 +b0 & +b0 C +0( +b1110100010011000011011101111000 , +b1110100010011000011011101111000 H +b10010 + +b10010 G +1- +1. +0% +#9658000 +1s +0\ +1@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1101 3" +0_ +1<" +1` +b11111 -" +0t +04" +0v +1b +12" +0," +b11111110010100001100111100101011 0 +b11111110010100001100111100101011 M +b11111110010100001100111100101011 f +b11111110010100001100111100101011 !" +b1110100010011000011011101111000 &" +b1110100010011000011011101111000 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9658010 +b1110100010011000011011101111000 U" +#9658500 +b1100 7 +b1100 N +b1100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 & +b1100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9659000 +0s +b110011111010001000101011111111 | +b110011111010001000101011111111 B" +1\ +0@" +15" +16" +17" +b11111 3" +0<" +0` +b10011 -" +0t +0y +1z +1u +0b +02" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9659500 +b0 7 +b0 N +b0 V +06 +b11101010000100111011000010010000 2 +b11101010000100111011000010010000 I +b11101010000100111011000010010000 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 & +b0 C +0( +b11101010000100111011000010010000 , +b11101010000100111011000010010000 H +b1001 + +b1001 G +1- +1. +0% +#9660000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1=" +1@" +05" +06" +07" +b10110 3" +1c +1_ +b11111 -" +0z +0u +1a +12" +0," +b110011111010001000101011111111 0 +b110011111010001000101011111111 M +b110011111010001000101011111111 f +b110011111010001000101011111111 !" +b11101010000100111011000010010000 &" +b11101010000100111011000010010000 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9660010 +b11101010000100111011000010010000 L" +#9660500 +b11110000101010100011011011001000 2 +b11110000101010100011011011001000 I +b11110000101010100011011011001000 Z +b11100 3 +b11100 J +b11100 Y +b11110000101010100011011011001000 , +b11110000101010100011011011001000 H +b11100 + +b11100 G +1. +0% +#9661000 +0_ +0c +1[ +1>" +b11 3" +1<" +1` +1d +0a +b11110000101010100011011011001000 &" +b11110000101010100011011011001000 ?" +b11100 %" +b11100 *" +b11100 1" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9661010 +b11110000101010100011011011001000 _" +#9661500 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9662000 +1] +0s +b110100110000101011101101100110 | +b110100110000101011101101100110 B" +0=" +0>" +0@" +0q +15" +17" +b11111 3" +0d +0<" +0` +b110 -" +1y +0u +14" +1v +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#9662500 +b11111 5 +b11111 L +b11111 W +14 +b0 7 +b0 N +b0 V +06 +b10010100011100100000110011101100 2 +b10010100011100100000110011101100 I +b10010100011100100000110011101100 Z +b1111 3 +b1111 J +b1111 Y +11 +b11111 ' +b11111 D +1) +b0 & +b0 C +0( +b10010100011100100000110011101100 , +b10010100011100100000110011101100 H +b1111 + +b1111 G +1- +1. +0% +#9663000 +0] +0i +b1100001001010110100101110101101 { +b1100001001010110100101110101101 A" +1s +0\ +0[ +1=" +1>" +1@" +0h +0g +19" +1:" +1;" +1q +05" +07" +b10000 3" +0^ +0c +1d +1_ +b0 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +1n +1m +1/" +0w +0," +b10010100011100100000110011101100 &" +b10010100011100100000110011101100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b110100110000101011101101100110 0 +b110100110000101011101101100110 M +b110100110000101011101101100110 f +b110100110000101011101101100110 !" +0. +1% +#9663010 +b10010100011100100000110011101100 R" +#9663500 +b10110 5 +b10110 L +b10110 W +b1100001110010101111101011111110 2 +b1100001110010101111101011111110 I +b1100001110010101111101011111110 Z +b11101 3 +b11101 J +b11101 Y +b10110 ' +b10110 D +b1100001110010101111101011111110 , +b1100001110010101111101011111110 H +b11101 + +b11101 G +1. +0% +#9664000 +0_ +1j +1\ +1g +09" +1:" +b10 3" +1<" +1` +b1001 0" +0p +b1010110010101000100000010000000 { +b1010110010101000100000010000000 A" +0b +0m +b1100001001010110100101110101101 / +b1100001001010110100101110101101 K +b1100001001010110100101110101101 e +b1100001001010110100101110101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100001110010101111101011111110 &" +b1100001110010101111101011111110 ?" +b11101 %" +b11101 *" +b11101 1" +b10110 ~ +b10110 )" +b10110 ." +0. +1% +#9664010 +b1100001110010101111101011111110 `" +#9664500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9665000 +1] +1i +1[ +0=" +0>" +0@" +1h +0:" +0;" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010110010101000100000010000000 / +b1010110010101000100000010000000 K +b1010110010101000100000010000000 e +b1010110010101000100000010000000 "" +0. +1% +#9665500 +b1001 5 +b1001 L +b1001 W +14 +b110001110001011100010011111001 2 +b110001110001011100010011111001 I +b110001110001011100010011111001 Z +b1010 3 +b1010 J +b1010 Y +11 +b1001 ' +b1001 D +1) +b110001110001011100010011111001 , +b110001110001011100010011111001 H +b1010 + +b1010 G +1- +1. +0% +#9666000 +0] +0i +b11101010000100111011000010010000 { +b11101010000100111011000010010000 A" +0\ +1=" +1@" +0g +19" +1;" +b10101 3" +1c +1_ +b10110 0" +1o +1k +1b +12" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110001110001011100010011111001 &" +b110001110001011100010011111001 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b1001 ~ +b1001 )" +b1001 ." +1$" +0. +1% +#9666010 +b110001110001011100010011111001 M" +#9666500 +b0 5 +b0 L +b0 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9667000 +1] +1i +1\ +0=" +0@" +1g +09" +b11111 3" +0c +0_ +b11111 0" +0o +b10101011110101100000111100100111 { +b10101011110101100000111100100111 A" +0b +02" +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +b11101010000100111011000010010000 / +b11101010000100111011000010010000 K +b11101010000100111011000010010000 e +b11101010000100111011000010010000 "" +0. +1% +#9667500 +04 +b1001111110011001010111000100011 2 +b1001111110011001010111000100011 I +b1001111110011001010111000100011 Z +b10001 3 +b10001 J +b10001 Y +11 +0) +b1001111110011001010111000100011 , +b1001111110011001010111000100011 H +b10001 + +b10001 G +1- +1. +0% +#9668000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +1@" +0;" +b1110 3" +0_ +1<" +1` +0k +1a +12" +0/" +b10101011110101100000111100100111 / +b10101011110101100000111100100111 K +b10101011110101100000111100100111 e +b10101011110101100000111100100111 "" +b1001111110011001010111000100011 &" +b1001111110011001010111000100011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +0$" +0. +1% +#9668010 +b1001111110011001010111000100011 T" +#9668500 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9669000 +0s +b1100001110010101111101011111110 | +b1100001110010101111101011111110 B" +1[ +0@" +0q +15" +16" +17" +b11111 3" +0<" +0` +b10 -" +0t +0y +1z +0u +14" +1v +0a +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9669500 +b11100 5 +b11100 L +b11100 W +14 +b1010 7 +b1010 N +b1010 V +b11100 ' +b11100 D +1) +b1010 & +b1010 C +1. +0% +#9670000 +0i +b11110000101010100011011011001000 { +b11110000101010100011011011001000 A" +1u +1y +19" +1:" +1;" +0r +1q +17" +15" +06" +b11 0" +0j +0o +1p +0k +18" +1l +b10101 -" +04" +0v +0z +b110001110001011100010011111001 | +b110001110001011100010011111001 B" +1/" +1x +0w +b1100001110010101111101011111110 0 +b1100001110010101111101011111110 M +b1100001110010101111101011111110 f +b1100001110010101111101011111110 !" +b11100 ~ +b11100 )" +b11100 ." +1$" +b1010 } +b1010 (" +b1010 +" +0. +1% +#9670500 +b10101 5 +b10101 L +b10101 W +b100 7 +b100 N +b100 V +b10101 ' +b10101 D +b100 & +b100 C +1. +0% +#9671000 +16" +1j +1t +0g +09" +1:" +1r +05" +b1010 0" +0p +b1010000110100011001010000011000 { +b1010000110100011001010000011000 A" +b11011 -" +0y +b110101110101011100001001011000 | +b110101110101011100001001011000 B" +1m +0x +b10101 ~ +b10101 )" +b10101 ." +b100 } +b100 (" +b100 +" +b11110000101010100011011011001000 / +b11110000101010100011011011001000 K +b11110000101010100011011011001000 e +b11110000101010100011011011001000 "" +b110001110001011100010011111001 0 +b110001110001011100010011111001 M +b110001110001011100010011111001 f +b110001110001011100010011111001 !" +0. +1% +#9671500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1001011111001101000011000011000 2 +b1001011111001101000011000011000 I +b1001011111001101000011000011000 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1001011111001101000011000011000 , +b1001011111001101000011000011000 H +b11110 + +b11110 G +1- +1. +0% +#9672000 +0] +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1=" +1>" +1@" +1g +0:" +0;" +06" +07" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +0u +1b +12" +0m +0/" +0," +b1010000110100011001010000011000 / +b1010000110100011001010000011000 K +b1010000110100011001010000011000 e +b1010000110100011001010000011000 "" +b110101110101011100001001011000 0 +b110101110101011100001001011000 M +b110101110101011100001001011000 f +b110101110101011100001001011000 !" +b1001011111001101000011000011000 &" +b1001011111001101000011000011000 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9672010 +b1001011111001101000011000011000 a" +#9672500 +b1000 7 +b1000 N +b1000 V +16 +b10010010111010101101001110110000 2 +b10010010111010101101001110110000 I +b10010010111010101101001110110000 Z +b10000 3 +b10000 J +b10000 Y +b1000 & +b1000 C +1( +b10010010111010101101001110110000 , +b10010010111010101101001110110000 H +b10000 + +b10000 G +1. +0% +#9673000 +1] +0s +b10000001000110011000001001010010 | +b10000001000110011000001001010010 B" +1\ +0=" +0>" +15" +17" +b1111 3" +0d +b10111 -" +1y +1u +0b +1," +b10010010111010101101001110110000 &" +b10010010111010101101001110110000 ?" +b10000 %" +b10000 *" +b10000 1" +b1000 } +b1000 (" +b1000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9673010 +b10010010111010101101001110110000 S" +#9673500 +b1101 5 +b1101 L +b1101 W +14 +b10010 7 +b10010 N +b10010 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b10010 & +b10010 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9674000 +0i +b10100100010101010001110100011010 { +b10100100010101010001110100011010 A" +0u +1s +0@" +0g +19" +1:" +1;" +0r +05" +b11111 3" +0<" +0` +b10010 0" +0j +0o +1p +1k +b1101 -" +14" +1v +0y +b1110100010011000011011101111000 | +b1110100010011000011011101111000 B" +02" +1m +1/" +1x +b10000001000110011000001001010010 0 +b10000001000110011000001001010010 M +b10000001000110011000001001010010 f +b10000001000110011000001001010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b10010 } +b10010 (" +b10010 +" +0. +1% +#9674500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9675000 +1i +1g +09" +0:" +0;" +1r +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b10100100010101010001110100011010 / +b10100100010101010001110100011010 K +b10100100010101010001110100011010 e +b10100100010101010001110100011010 "" +b1110100010011000011011101111000 0 +b1110100010011000011011101111000 M +b1110100010011000011011101111000 f +b1110100010011000011011101111000 !" +0. +1% +#9675500 +16 +1( +1. +0% +#9676000 +b10101011110101100000111100100111 | +b10101011110101100000111100100111 B" +17" +1u +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +1#" +0. +1% +#9676500 +06 +b10110000001101011101010010010100 2 +b10110000001101011101010010010100 I +b10110000001101011101010010010100 Z +b10110 3 +b10110 J +b10110 Y +11 +0( +b10110000001101011101010010010100 , +b10110000001101011101010010010100 H +b10110 + +b10110 G +1- +1. +0% +#9677000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1>" +1@" +07" +b1001 3" +1^ +0_ +1<" +1` +0u +1b +12" +0," +b10110000001101011101010010010100 &" +b10110000001101011101010010010100 ?" +b10110 %" +b10110 *" +b10110 1" +1'" +0#" +b10101011110101100000111100100111 0 +b10101011110101100000111100100111 M +b10101011110101100000111100100111 f +b10101011110101100000111100100111 !" +0. +1% +#9677010 +b10110000001101011101010010010100 Y" +#9677500 +b11010 5 +b11010 L +b11010 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11010 ' +b11010 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9678000 +1] +0i +b111000001011100010010000100001 { +b111000001011100010010000100001 A" +1\ +0>" +0@" +0h +19" +1;" +b11111 3" +0^ +0<" +0` +b101 0" +1o +0k +18" +1l +0b +02" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#9678500 +b11111 5 +b11111 L +b11111 W +b11001 7 +b11001 N +b11001 V +16 +b10001100101111000011111000110101 2 +b10001100101111000011111000110101 I +b10001100101111000011111000110101 Z +b1110 3 +b1110 J +b1110 Y +11 +b11111 ' +b11111 D +b11001 & +b11001 C +1( +b10001100101111000011111000110101 , +b10001100101111000011111000110101 H +b1110 + +b1110 G +1- +1. +0% +#9679000 +0] +0o +0s +b110100110000101011101101100110 | +b110100110000101011101101100110 B" +0\ +1=" +1>" +1@" +0g +1:" +0q +15" +17" +b10001 3" +0^ +0c +1d +1_ +b0 0" +1p +b1100001001010110100101110101101 { +b1100001001010110100101110101101 A" +b110 -" +1y +0u +14" +1v +1b +12" +1m +1w +1," +b10001100101111000011111000110101 &" +b10001100101111000011111000110101 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11111 ~ +b11111 )" +b11111 ." +b11001 } +b11001 (" +b11001 +" +1#" +b111000001011100010010000100001 / +b111000001011100010010000100001 K +b111000001011100010010000100001 e +b111000001011100010010000100001 "" +0. +1% +#9679010 +b10001100101111000011111000110101 Q" +#9679500 +b10111 5 +b10111 L +b10111 W +b1011 7 +b1011 N +b1011 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +b1011 & +b1011 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9680000 +1] +1j +b10010010111001110000101101010011 { +b10010010111001110000101101010011 A" +1u +1\ +0=" +0>" +0@" +09" +1:" +0r +17" +b11111 3" +0d +0_ +b1000 0" +0p +b10100 -" +04" +0v +b11001001110101101010001100111101 | +b11001001110101101010001100111101 B" +0b +02" +1x +b1100001001010110100101110101101 / +b1100001001010110100101110101101 K +b1100001001010110100101110101101 e +b1100001001010110100101110101101 "" +b110100110000101011101101100110 0 +b110100110000101011101101100110 M +b110100110000101011101101100110 f +b110100110000101011101101100110 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +b1011 } +b1011 (" +b1011 +" +0. +1% +#9680500 +b1111 5 +b1111 L +b1111 W +b0 7 +b0 N +b0 V +06 +b1111 ' +b1111 D +b0 & +b0 C +0( +1. +0% +#9681000 +1k +0j +1s +1;" +b10010100011100100000110011101100 { +b10010100011100100000110011101100 A" +19" +1r +1q +05" +07" +b10000 0" +08" +0l +1p +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b1111 ~ +b1111 )" +b1111 ." +b0 } +b0 (" +b0 +" +0#" +b10010010111001110000101101010011 / +b10010010111001110000101101010011 K +b10010010111001110000101101010011 e +b10010010111001110000101101010011 "" +b11001001110101101010001100111101 0 +b11001001110101101010001100111101 M +b11001001110101101010001100111101 f +b11001001110101101010001100111101 !" +0. +1% +#9681500 +b1001 5 +b1001 L +b1001 W +b1100 7 +b1100 N +b1100 V +16 +b1001 ' +b1001 D +b1100 & +b1100 C +1( +1. +0% +#9682000 +1o +0s +b110011111010001000101011111111 | +b110011111010001000101011111111 B" +1h +19" +0:" +15" +16" +17" +b10110 0" +0p +b11101010000100111011000010010000 { +b11101010000100111011000010010000 A" +b10011 -" +0t +0y +1z +1u +0n +1," +b10010100011100100000110011101100 / +b10010100011100100000110011101100 K +b10010100011100100000110011101100 e +b10010100011100100000110011101100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001 ~ +b1001 )" +b1001 ." +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#9682500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9683000 +1i +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1g +09" +0;" +05" +06" +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0z +0u +0m +0/" +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101010000100111011000010010000 / +b11101010000100111011000010010000 K +b11101010000100111011000010010000 e +b11101010000100111011000010010000 "" +b110011111010001000101011111111 0 +b110011111010001000101011111111 M +b110011111010001000101011111111 f +b110011111010001000101011111111 !" +0. +1% +#9683500 +b1011 5 +b1011 L +b1011 W +14 +b1 7 +b1 N +b1 V +16 +b1011 ' +b1011 D +1) +b1 & +b1 C +1( +1. +0% +#9684000 +0i +b11001001110101101010001100111101 { +b11001001110101101010001100111101 A" +b1101010011001010001110101111111 | +b1101010011001010001110101111111 B" +0h +0g +19" +1;" +0q +17" +b10100 0" +1o +1k +b11110 -" +1u +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011 ~ +b1011 )" +b1011 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#9684500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9685000 +1i +1h +1g +09" +0;" +1q +07" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11001001110101101010001100111101 / +b11001001110101101010001100111101 K +b11001001110101101010001100111101 e +b11001001110101101010001100111101 "" +b1101010011001010001110101111111 0 +b1101010011001010001110101111111 M +b1101010011001010001110101111111 f +b1101010011001010001110101111111 !" +0. +1% +#9685500 +b11010 5 +b11010 L +b11010 W +14 +b1011111011110001100010011000001 2 +b1011111011110001100010011000001 I +b1011111011110001100010011000001 Z +b1 3 +b1 J +b1 Y +11 +b11010 ' +b11010 D +1) +b1011111011110001100010011000001 , +b1011111011110001100010011000001 H +b1 + +b1 G +1- +1. +0% +#9686000 +0i +b111000001011100010010000100001 { +b111000001011100010010000100001 A" +0[ +1@" +0h +19" +1;" +b11110 3" +1_ +b101 0" +1o +0k +18" +1l +1a +12" +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1011111011110001100010011000001 &" +b1011111011110001100010011000001 ?" +b1 %" +b1 *" +b1 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#9686010 +b1011111011110001100010011000001 D" +#9686500 +b0 5 +b0 L +b0 W +04 +b10011 7 +b10011 N +b10011 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b10011 & +b10011 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9687000 +1i +b11110110101001100001101100011011 | +b11110110101001100001101100011011 B" +1[ +0@" +1h +09" +0;" +0r +0q +17" +b11111 3" +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1100 -" +0u +14" +1v +0a +02" +0n +0/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b10011 } +b10011 (" +b10011 +" +1#" +b111000001011100010010000100001 / +b111000001011100010010000100001 K +b111000001011100010010000100001 e +b111000001011100010010000100001 "" +0. +1% +#9687500 +b101 5 +b101 L +b101 W +14 +b0 7 +b0 N +b0 V +06 +b101 ' +b101 D +1) +b0 & +b0 C +0( +1. +0% +#9688000 +0i +b1001000001101110101010100111001 { +b1001000001101110101010100111001 A" +0g +1:" +1;" +1r +1q +07" +b11010 0" +1j +1k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110110101001100001101100011011 0 +b11110110101001100001101100011011 M +b11110110101001100001101100011011 f +b11110110101001100001101100011011 !" +b101 ~ +b101 )" +b101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9688500 +b11101 5 +b11101 L +b11101 W +b11101 ' +b11101 D +1. +0% +#9689000 +0k +0j +b1100001110010101111101011111110 { +b1100001110010101111101011111110 A" +19" +b10 0" +18" +1l +1p +b11101 ~ +b11101 )" +b11101 ." +b1001000001101110101010100111001 / +b1001000001101110101010100111001 K +b1001000001101110101010100111001 e +b1001000001101110101010100111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9689500 +b11111 5 +b11111 L +b11111 W +b1101 7 +b1101 N +b1101 V +16 +b11111 ' +b11111 D +b1101 & +b1101 C +1( +1. +0% +#9690000 +0s +b10100100010101010001110100011010 | +b10100100010101010001110100011010 B" +0h +0q +15" +16" +17" +b0 0" +b1100001001010110100101110101101 { +b1100001001010110100101110101101 A" +b10010 -" +0t +0y +1z +1u +1n +1w +1," +b1100001110010101111101011111110 / +b1100001110010101111101011111110 K +b1100001110010101111101011111110 e +b1100001110010101111101011111110 "" +b11111 ~ +b11111 )" +b11111 ." +b1101 } +b1101 (" +b1101 +" +1#" +0. +1% +#9690500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9691000 +1i +1s +1h +1g +09" +0:" +0;" +1q +05" +06" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0w +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1100001001010110100101110101101 / +b1100001001010110100101110101101 K +b1100001001010110100101110101101 e +b1100001001010110100101110101101 "" +b10100100010101010001110100011010 0 +b10100100010101010001110100011010 M +b10100100010101010001110100011010 f +b10100100010101010001110100011010 !" +0. +1% +#9691500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#9692000 +0s +b1000011011001101010011101111000 | +b1000011011001101010011101111000 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111 } +b111 (" +b111 +" +1#" +0. +1% +#9692500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b111101110110100110010101000100 2 +b111101110110100110010101000100 I +b111101110110100110010101000100 Z +b10101 3 +b10101 J +b10101 Y +11 +b100 ' +b100 D +1) +b0 & +b0 C +0( +b111101110110100110010101000100 , +b111101110110100110010101000100 H +b10101 + +b10101 G +1- +1. +0% +#9693000 +0] +0i +b110101110101011100001001011000 { +b110101110101011100001001011000 A" +1s +0[ +1>" +1@" +1:" +1;" +1r +1q +06" +07" +b1010 3" +1^ +0_ +1<" +1` +b11011 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +1/" +0x +0w +0," +b111101110110100110010101000100 &" +b111101110110100110010101000100 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1000011011001101010011101111000 0 +b1000011011001101010011101111000 M +b1000011011001101010011101111000 f +b1000011011001101010011101111000 !" +0. +1% +#9693010 +b111101110110100110010101000100 X" +#9693500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9694000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1[ +0>" +0@" +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0j +0k +0a +02" +0/" +b110101110101011100001001011000 / +b110101110101011100001001011000 K +b110101110101011100001001011000 e +b110101110101011100001001011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9694500 +b10010 7 +b10010 N +b10010 V +16 +b10010 & +b10010 C +1( +1. +0% +#9695000 +b1110100010011000011011101111000 | +b1110100010011000011011101111000 B" +0r +17" +b1101 -" +0u +14" +1v +1x +1," +b10010 } +b10010 (" +b10010 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9695500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9696000 +1r +07" +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0," +b1110100010011000011011101111000 0 +b1110100010011000011011101111000 M +b1110100010011000011011101111000 f +b1110100010011000011011101111000 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9696500 +b1001011100011100001001010100000 2 +b1001011100011100001001010100000 I +b1001011100011100001001010100000 Z +b110 3 +b110 J +b110 Y +11 +b1001011100011100001001010100000 , +b1001011100011100001001010100000 H +b110 + +b110 G +1- +1. +0% +#9697000 +0] +0\ +1>" +1@" +b11001 3" +1^ +1_ +1b +12" +b1001011100011100001001010100000 &" +b1001011100011100001001010100000 ?" +b110 %" +b110 *" +b110 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9697010 +b1001011100011100001001010100000 I" +#9697500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9698000 +1] +0s +b11101010000100111011000010010000 | +b11101010000100111011000010010000 B" +1\ +0>" +0@" +0q +15" +17" +b11111 3" +0^ +0_ +b10110 -" +1y +1u +0b +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#9698500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#9699000 +0i +b110101110101011100001001011000 { +b110101110101011100001001011000 A" +1s +1:" +1;" +1q +05" +07" +b11011 0" +1j +1k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0w +0," +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11101010000100111011000010010000 0 +b11101010000100111011000010010000 M +b11101010000100111011000010010000 f +b11101010000100111011000010010000 !" +0. +1% +#9699500 +b0 5 +b0 L +b0 W +04 +b11001 7 +b11001 N +b11001 V +16 +b0 ' +b0 D +0) +b11001 & +b11001 C +1( +1. +0% +#9700000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b110100110000101011101101100110 | +b110100110000101011101101100110 B" +0:" +0;" +0q +15" +17" +b11111 0" +0j +0k +b110 -" +1y +0u +14" +1v +0/" +1w +1," +b110101110101011100001001011000 / +b110101110101011100001001011000 K +b110101110101011100001001011000 e +b110101110101011100001001011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#9700500 +b11101 5 +b11101 L +b11101 W +14 +b0 7 +b0 N +b0 V +06 +b11101 ' +b11101 D +1) +b0 & +b0 C +0( +1. +0% +#9701000 +0i +b1100001110010101111101011111110 { +b1100001110010101111101011111110 A" +1s +0g +19" +1:" +1;" +1q +05" +07" +b10 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0w +0," +b11101 ~ +b11101 )" +b11101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110100110000101011101101100110 0 +b110100110000101011101101100110 M +b110100110000101011101101100110 f +b110100110000101011101101100110 !" +0. +1% +#9701500 +b0 5 +b0 L +b0 W +04 +b10101001000100110011111101110110 2 +b10101001000100110011111101110110 I +b10101001000100110011111101110110 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b10101001000100110011111101110110 , +b10101001000100110011111101110110 H +b111 + +b111 G +1- +1. +0% +#9702000 +0] +1i +0\ +0[ +1>" +1@" +1g +09" +0:" +0;" +b11000 3" +1^ +1_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0m +0/" +b1100001110010101111101011111110 / +b1100001110010101111101011111110 K +b1100001110010101111101011111110 e +b1100001110010101111101011111110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101001000100110011111101110110 &" +b10101001000100110011111101110110 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9702010 +b10101001000100110011111101110110 J" +#9702500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9703000 +1] +1\ +1[ +0>" +0@" +b11111 3" +0^ +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9703500 +b11101010111100011001010110000100 2 +b11101010111100011001010110000100 I +b11101010111100011001010110000100 Z +b1111 3 +b1111 J +b1111 Y +11 +b11101010111100011001010110000100 , +b11101010111100011001010110000100 H +b1111 + +b1111 G +1- +1. +0% +#9704000 +0] +0\ +0[ +1=" +1>" +1@" +b10000 3" +0^ +0c +1d +1_ +1b +1a +12" +b11101010111100011001010110000100 &" +b11101010111100011001010110000100 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +0. +1% +#9704010 +b11101010111100011001010110000100 R" +#9704500 +b11011 5 +b11011 L +b11011 W +14 +b11101110001100111110011000011111 2 +b11101110001100111110011000011111 I +b11101110001100111110011000011111 Z +b0 3 +b0 J +b0 Y +b11011 ' +b11011 D +1) +b11101110001100111110011000011111 , +b11101110001100111110011000011111 H +b0 + +b0 G +1. +0% +#9705000 +1] +0i +b1110010011001010110010110111110 { +b1110010011001010110010110111110 A" +1\ +1[ +0=" +0>" +0h +0g +19" +1;" +b11111 3" +0d +b100 0" +1o +0k +18" +1l +0b +0a +1n +1m +1/" +b11101110001100111110011000011111 &" +b11101110001100111110011000011111 ?" +b0 %" +b0 *" +b0 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#9705010 +b11101110001100111110011000011111 C" +#9705500 +b0 5 +b0 L +b0 W +04 +b10001101101101000001111110010010 2 +b10001101101101000001111110010010 I +b10001101101101000001111110010010 Z +b1 3 +b1 J +b1 Y +b0 ' +b0 D +0) +b10001101101101000001111110010010 , +b10001101101101000001111110010010 H +b1 + +b1 G +1. +0% +#9706000 +1i +0[ +1h +1g +09" +0;" +b11110 3" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +0n +0m +0/" +b1110010011001010110010110111110 / +b1110010011001010110010110111110 K +b1110010011001010110010110111110 e +b1110010011001010110010110111110 "" +b10001101101101000001111110010010 &" +b10001101101101000001111110010010 ?" +b1 %" +b1 *" +b1 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9706010 +b10001101101101000001111110010010 D" +#9706500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9707000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9707500 +b11111 5 +b11111 L +b11111 W +14 +b11111 ' +b11111 D +1) +1. +0% +#9708000 +0i +b1100001001010110100101110101101 { +b1100001001010110100101110101101 A" +0h +0g +19" +1:" +1;" +b0 0" +0j +0o +1p +0k +18" +1l +1n +1m +1/" +b11111 ~ +b11111 )" +b11111 ." +1$" +0. +1% +#9708500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +1. +0% +#9709000 +1i +0s +b11110000101010100011011011001000 | +b11110000101010100011011011001000 B" +1h +1g +09" +0:" +0;" +15" +16" +17" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +0t +0y +1z +0u +14" +1v +0n +0m +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +b1100001001010110100101110101101 / +b1100001001010110100101110101101 K +b1100001001010110100101110101101 e +b1100001001010110100101110101101 "" +0. +1% +#9709500 +b11110 5 +b11110 L +b11110 W +14 +b0 7 +b0 N +b0 V +06 +b1111011011001010011110111011001 2 +b1111011011001010011110111011001 I +b1111011011001010011110111011001 Z +b10100 3 +b10100 J +b10100 Y +11 +b11110 ' +b11110 D +1) +b0 & +b0 C +0( +b1111011011001010011110111011001 , +b1111011011001010011110111011001 H +b10100 + +b10100 G +1- +1. +0% +#9710000 +0] +0i +b1001011111001101000011000011000 { +b1001011111001101000011000011000 A" +1s +1>" +1@" +0h +19" +1:" +1;" +05" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1011 3" +1^ +0_ +1<" +1` +b1 0" +0j +0o +1p +0k +18" +1l +b11111 -" +0z +04" +0v +12" +1n +1/" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110000101010100011011011001000 0 +b11110000101010100011011011001000 M +b11110000101010100011011011001000 f +b11110000101010100011011011001000 !" +b1111011011001010011110111011001 &" +b1111011011001010011110111011001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9710010 +b1111011011001010011110111011001 W" +#9710500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9711000 +1] +1i +0>" +0@" +1h +09" +0:" +0;" +b11111 3" +0^ +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1001011111001101000011000011000 / +b1001011111001101000011000011000 K +b1001011111001101000011000011000 e +b1001011111001101000011000011000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9711500 +b11100001000001001001001011100010 2 +b11100001000001001001001011100010 I +b11100001000001001001001011100010 Z +11 +b11100001000001001001001011100010 , +b11100001000001001001001011100010 H +1- +1. +0% +#9712000 +1@" +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11100001000001001001001011100010 &" +b11100001000001001001001011100010 ?" +1'" +0. +1% +#9712010 +b11100001000001001001001011100010 C" +#9712500 +b10011 5 +b10011 L +b10011 W +14 +b1 7 +b1 N +b1 V +16 +b1101111110100100000101111111 2 +b1101111110100100000101111111 I +b1101111110100100000101111111 Z +b10100 3 +b10100 J +b10100 Y +b10011 ' +b10011 D +1) +b1 & +b1 C +1( +b1101111110100100000101111111 , +b1101111110100100000101111111 H +b10100 + +b10100 G +1. +0% +#9713000 +0_ +0] +b11110110101001100001101100011011 { +b11110110101001100001101100011011 A" +b10001101101101000001111110010010 | +b10001101101101000001111110010010 B" +1>" +0h +0g +1;" +0q +17" +b1011 3" +1<" +1` +1^ +b1100 0" +0k +18" +1l +b11110 -" +1u +1n +1m +1/" +1w +1," +b1101111110100100000101111111 &" +b1101111110100100000101111111 ?" +b10100 %" +b10100 *" +b10100 1" +b10011 ~ +b10011 )" +b10011 ." +1$" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#9713010 +b1101111110100100000101111111 W" +#9713500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1100111001110100111011001110100 2 +b1100111001110100111011001110100 I +b1100111001110100111011001110100 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1100111001110100111011001110100 , +b1100111001110100111011001110100 H +b10000 + +b10000 G +1. +0% +#9714000 +1] +0>" +1h +1g +0;" +1q +07" +b1111 3" +0^ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0w +0," +b11110110101001100001101100011011 / +b11110110101001100001101100011011 K +b11110110101001100001101100011011 e +b11110110101001100001101100011011 "" +b10001101101101000001111110010010 0 +b10001101101101000001111110010010 M +b10001101101101000001111110010010 f +b10001101101101000001111110010010 !" +b1100111001110100111011001110100 &" +b1100111001110100111011001110100 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9714010 +b1100111001110100111011001110100 S" +#9714500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9715000 +0@" +b11111 3" +0<" +0` +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9715500 +b1 5 +b1 L +b1 W +14 +b1 ' +b1 D +1) +1. +0% +#9716000 +b10001101101101000001111110010010 { +b10001101101101000001111110010010 A" +0g +1;" +b11110 0" +1k +1m +1/" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#9716500 +b0 5 +b0 L +b0 W +04 +b1001001100100101010011100101001 2 +b1001001100100101010011100101001 I +b1001001100100101010011100101001 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b1001001100100101010011100101001 , +b1001001100100101010011100101001 H +b11001 + +b11001 G +1- +1. +0% +#9717000 +0] +0[ +1=" +1@" +1g +0;" +b110 3" +1c +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +12" +0m +0/" +b1001001100100101010011100101001 &" +b1001001100100101010011100101001 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10001101101101000001111110010010 / +b10001101101101000001111110010010 K +b10001101101101000001111110010010 e +b10001101101101000001111110010010 "" +0. +1% +#9717010 +b1001001100100101010011100101001 \" +#9717500 +b11011 5 +b11011 L +b11011 W +14 +b11010 7 +b11010 N +b11010 V +16 +b100011101001010010111011010001 2 +b100011101001010010111011010001 I +b100011101001010010111011010001 Z +b10010 3 +b10010 J +b10010 Y +b11011 ' +b11011 D +1) +b11010 & +b11010 C +1( +b100011101001010010111011010001 , +b100011101001010010111011010001 H +b10010 + +b10010 G +1. +0% +#9718000 +1] +0i +b1110010011001010110010110111110 { +b1110010011001010110010110111110 A" +0s +b111000001011100010010000100001 | +b111000001011100010010000100001 B" +0\ +1[ +0=" +0h +0g +19" +1;" +0r +15" +17" +b1101 3" +0c +b100 0" +1o +0k +18" +1l +b101 -" +1y +0u +14" +1v +1b +0a +1n +1m +1/" +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100011101001010010111011010001 &" +b100011101001010010111011010001 ?" +b10010 %" +b10010 *" +b10010 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#9718010 +b100011101001010010111011010001 U" +#9718500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1110101010011011000010101110 2 +b1110101010011011000010101110 I +b1110101010011011000010101110 Z +b1000 3 +b1000 J +b1000 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1110101010011011000010101110 , +b1110101010011011000010101110 H +b1000 + +b1000 G +1. +0% +#9719000 +1_ +0] +1i +1s +1\ +1@" +1=" +1h +1g +09" +0;" +1r +05" +07" +b10111 3" +0<" +0` +1c +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0n +0m +0/" +0x +0," +b1110101010011011000010101110 &" +b1110101010011011000010101110 ?" +b1000 %" +b1000 *" +b1000 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1110010011001010110010110111110 / +b1110010011001010110010110111110 K +b1110010011001010110010110111110 e +b1110010011001010110010110111110 "" +b111000001011100010010000100001 0 +b111000001011100010010000100001 M +b111000001011100010010000100001 f +b111000001011100010010000100001 !" +0. +1% +#9719010 +b1110101010011011000010101110 K" +#9719500 +b11 5 +b11 L +b11 W +14 +b10101 7 +b10101 N +b10101 V +16 +b100100000111100001001100010011 2 +b100100000111100001001100010011 I +b100100000111100001001100010011 Z +b11010 3 +b11010 J +b11010 Y +b11 ' +b11 D +1) +b10101 & +b10101 C +1( +b100100000111100001001100010011 , +b100100000111100001001100010011 H +b11010 + +b11010 G +1. +0% +#9720000 +0_ +b11101010001001111001010100100010 { +b11101010001001111001010100100010 A" +0s +b111101110110100110010101000100 | +b111101110110100110010101000100 B" +0\ +0h +0g +1;" +0q +16" +17" +b101 3" +1<" +1` +b11100 0" +1k +b1010 -" +1t +0u +14" +1v +1b +1n +1m +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b100100000111100001001100010011 &" +b100100000111100001001100010011 ?" +b11010 %" +b11010 *" +b11010 1" +b11 ~ +b11 )" +b11 ." +1$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#9720010 +b100100000111100001001100010011 ]" +#9720500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9721000 +1] +1s +1\ +0=" +0@" +1h +1g +0;" +1q +06" +07" +b11111 3" +0c +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0n +0m +0/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11101010001001111001010100100010 / +b11101010001001111001010100100010 K +b11101010001001111001010100100010 e +b11101010001001111001010100100010 "" +b111101110110100110010101000100 0 +b111101110110100110010101000100 M +b111101110110100110010101000100 f +b111101110110100110010101000100 !" +0. +1% +#9721500 +b1110 5 +b1110 L +b1110 W +14 +b1110 ' +b1110 D +1) +1. +0% +#9722000 +0i +b10001100101111000011111000110101 { +b10001100101111000011111000110101 A" +0h +19" +1:" +1;" +b10001 0" +0j +0o +1p +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110 ~ +b1110 )" +b1110 ." +1$" +0. +1% +#9722500 +b11001 5 +b11001 L +b11001 W +b11001 ' +b11001 D +1. +0% +#9723000 +0k +1o +1h +0g +19" +0:" +b110 0" +18" +1l +0p +b1001001100100101010011100101001 { +b1001001100100101010011100101001 A" +0n +1m +b11001 ~ +b11001 )" +b11001 ." +b10001100101111000011111000110101 / +b10001100101111000011111000110101 K +b10001100101111000011111000110101 e +b10001100101111000011111000110101 "" +0. +1% +#9723500 +b0 5 +b0 L +b0 W +04 +b10010001011101110100101010010011 2 +b10010001011101110100101010010011 I +b10010001011101110100101010010011 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b10010001011101110100101010010011 , +b10010001011101110100101010010011 H +b101 + +b101 G +1- +1. +0% +#9724000 +0] +1i +0[ +1>" +1@" +1g +09" +0;" +b11010 3" +1^ +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1a +12" +0m +0/" +b1001001100100101010011100101001 / +b1001001100100101010011100101001 K +b1001001100100101010011100101001 e +b1001001100100101010011100101001 "" +b10010001011101110100101010010011 &" +b10010001011101110100101010010011 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9724010 +b10010001011101110100101010010011 H" +#9724500 +b1110 7 +b1110 N +b1110 V +16 +b11011010111110001111001011110100 2 +b11011010111110001111001011110100 I +b11011010111110001111001011110100 Z +b10100 3 +b10100 J +b10100 Y +b1110 & +b1110 C +1( +b11011010111110001111001011110100 , +b11011010111110001111001011110100 H +b10100 + +b10100 G +1. +0% +#9725000 +0_ +0s +b10001100101111000011111000110101 | +b10001100101111000011111000110101 B" +1[ +0r +15" +16" +17" +b1011 3" +1<" +1` +b10001 -" +0t +0y +1z +1u +0a +1x +1," +b11011010111110001111001011110100 &" +b11011010111110001111001011110100 ?" +b10100 %" +b10100 *" +b10100 1" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9725010 +b11011010111110001111001011110100 W" +#9725500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9726000 +1] +1s +0>" +0@" +1r +05" +06" +07" +b11111 3" +0^ +0<" +0` +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0x +0," +b10001100101111000011111000110101 0 +b10001100101111000011111000110101 M +b10001100101111000011111000110101 f +b10001100101111000011111000110101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9726500 +b1 7 +b1 N +b1 V +16 +b100001000100101011010101111011 2 +b100001000100101011010101111011 I +b100001000100101011010101111011 Z +b10000 3 +b10000 J +b10000 Y +11 +b1 & +b1 C +1( +b100001000100101011010101111011 , +b100001000100101011010101111011 H +b10000 + +b10000 G +1- +1. +0% +#9727000 +b10001101101101000001111110010010 | +b10001101101101000001111110010010 B" +1@" +0q +17" +b1111 3" +0_ +1<" +1` +b11110 -" +1u +12" +1w +1," +b100001000100101011010101111011 &" +b100001000100101011010101111011 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b1 } +b1 (" +b1 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9727010 +b100001000100101011010101111011 S" +#9727500 +b10101 5 +b10101 L +b10101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9728000 +0i +b111101110110100110010101000100 { +b111101110110100110010101000100 A" +0@" +0g +1:" +1;" +1q +07" +b11111 3" +0<" +0` +b1010 0" +1j +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +1m +1/" +0w +0," +b10001101101101000001111110010010 0 +b10001101101101000001111110010010 M +b10001101101101000001111110010010 f +b10001101101101000001111110010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9728500 +b0 5 +b0 L +b0 W +04 +b1001001000000100101001100101111 2 +b1001001000000100101001100101111 I +b1001001000000100101001100101111 Z +b110 3 +b110 J +b110 Y +11 +b0 ' +b0 D +0) +b1001001000000100101001100101111 , +b1001001000000100101001100101111 H +b110 + +b110 G +1- +1. +0% +#9729000 +0] +1i +0\ +1>" +1@" +1g +0:" +0;" +b11001 3" +1^ +1_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0m +0/" +b1001001000000100101001100101111 &" +b1001001000000100101001100101111 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b111101110110100110010101000100 / +b111101110110100110010101000100 K +b111101110110100110010101000100 e +b111101110110100110010101000100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9729010 +b1001001000000100101001100101111 I" +#9729500 +b11000 5 +b11000 L +b11000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9730000 +1] +0i +b1110001010100110010011111000000 { +b1110001010100110010011111000000 A" +1\ +0>" +0@" +19" +1;" +b11111 3" +0^ +0_ +b111 0" +1o +0k +18" +1l +0b +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#9730500 +b0 5 +b0 L +b0 W +04 +b11000100000101010110100101100110 2 +b11000100000101010110100101100110 I +b11000100000101010110100101100110 Z +b11000 3 +b11000 J +b11000 Y +11 +b0 ' +b0 D +0) +b11000100000101010110100101100110 , +b11000100000101010110100101100110 H +b11000 + +b11000 G +1- +1. +0% +#9731000 +0] +1i +1=" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b111 3" +1c +0_ +1<" +1` +b11111 0" +0o +08" +0l +12" +0/" +b11000100000101010110100101100110 &" +b11000100000101010110100101100110 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1110001010100110010011111000000 / +b1110001010100110010011111000000 K +b1110001010100110010011111000000 e +b1110001010100110010011111000000 "" +0. +1% +#9731010 +b11000100000101010110100101100110 [" +#9731500 +b11010 7 +b11010 N +b11010 V +16 +b111001110101100111011011011100 2 +b111001110101100111011011011100 I +b111001110101100111011011011100 Z +b1010 3 +b1010 J +b1010 Y +b11010 & +b11010 C +1( +b111001110101100111011011011100 , +b111001110101100111011011011100 H +b1010 + +b1010 G +1. +0% +#9732000 +1_ +0s +b100100000111100001001100010011 | +b100100000111100001001100010011 B" +0\ +1@" +0r +15" +17" +b10101 3" +0<" +0` +b101 -" +1y +0u +14" +1v +1b +1x +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111001110101100111011011011100 &" +b111001110101100111011011011100 ?" +b1010 %" +b1010 *" +b1010 1" +b11010 } +b11010 (" +b11010 +" +1#" +0. +1% +#9732010 +b111001110101100111011011011100 M" +#9732500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9733000 +1] +1s +1\ +0=" +0@" +1r +05" +07" +b11111 3" +0c +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b100100000111100001001100010011 0 +b100100000111100001001100010011 M +b100100000111100001001100010011 f +b100100000111100001001100010011 !" +0. +1% +#9733500 +b11100 5 +b11100 L +b11100 W +14 +b11100 ' +b11100 D +1) +1. +0% +#9734000 +0i +b11110000101010100011011011001000 { +b11110000101010100011011011001000 A" +19" +1:" +1;" +b11 0" +0j +0o +1p +0k +18" +1l +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#9734500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#9735000 +1k +1i +1;" +b11100001000001001001001011100010 { +b11100001000001001001001011100010 A" +09" +0:" +b11111 0" +08" +0l +0p +b0 ~ +b0 )" +b0 ." +b11110000101010100011011011001000 / +b11110000101010100011011011001000 K +b11110000101010100011011011001000 e +b11110000101010100011011011001000 "" +0. +1% +#9735500 +04 +0) +1. +0% +#9736000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0;" +0k +0/" +b11100001000001001001001011100010 / +b11100001000001001001001011100010 K +b11100001000001001001001011100010 e +b11100001000001001001001011100010 "" +0$" +0. +1% +#9736500 +b1101 7 +b1101 N +b1101 V +16 +b111000100101111111110101100100 2 +b111000100101111111110101100100 I +b111000100101111111110101100100 Z +11 +b1101 & +b1101 C +1( +b111000100101111111110101100100 , +b111000100101111111110101100100 H +1- +1. +0% +#9737000 +0s +b10100100010101010001110100011010 | +b10100100010101010001110100011010 B" +1@" +0q +15" +16" +17" +1_ +b10010 -" +0t +0y +1z +1u +12" +1w +1," +b111000100101111111110101100100 &" +b111000100101111111110101100100 ?" +1'" +b1101 } +b1101 (" +b1101 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9737010 +b111000100101111111110101100100 C" +#9737500 +b11001 5 +b11001 L +b11001 W +14 +b111 7 +b111 N +b111 V +b100001001011100110001001010100 2 +b100001001011100110001001010100 I +b100001001011100110001001010100 Z +b1011 3 +b1011 J +b1011 Y +b11001 ' +b11001 D +1) +b111 & +b111 C +b100001001011100110001001010100 , +b100001001011100110001001010100 H +b1011 + +b1011 G +1. +0% +#9738000 +0] +0i +b1001001100100101010011100101001 { +b1001001100100101010011100101001 A" +1t +0\ +0[ +1=" +0g +19" +1;" +0r +05" +16" +b10100 3" +1c +b110 0" +1o +0k +18" +1l +b11000 -" +0z +b10101001000100110011111101110110 | +b10101001000100110011111101110110 B" +1b +1a +1m +1/" +1x +b10100100010101010001110100011010 0 +b10100100010101010001110100011010 M +b10100100010101010001110100011010 f +b10100100010101010001110100011010 !" +b100001001011100110001001010100 &" +b100001001011100110001001010100 ?" +b1011 %" +b1011 *" +b1011 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b111 } +b111 (" +b111 +" +0. +1% +#9738010 +b100001001011100110001001010100 N" +#9738500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1010100100110010111101101110010 2 +b1010100100110010111101101110010 I +b1010100100110010111101101110010 Z +b11100 3 +b11100 J +b11100 Y +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1010100100110010111101101110010 , +b1010100100110010111101101110010 H +b11100 + +b11100 G +1. +0% +#9739000 +0_ +0c +1i +1s +1\ +1[ +1>" +1g +09" +0;" +1r +1q +06" +07" +b11 3" +1<" +1` +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +0m +0/" +0x +0w +0," +b1010100100110010111101101110010 &" +b1010100100110010111101101110010 ?" +b11100 %" +b11100 *" +b11100 1" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001001100100101010011100101001 / +b1001001100100101010011100101001 K +b1001001100100101010011100101001 e +b1001001100100101010011100101001 "" +b10101001000100110011111101110110 0 +b10101001000100110011111101110110 M +b10101001000100110011111101110110 f +b10101001000100110011111101110110 !" +0. +1% +#9739010 +b1010100100110010111101101110010 _" +#9739500 +b101 7 +b101 N +b101 V +16 +b11110000101011101110110101011010 2 +b11110000101011101110110101011010 I +b11110000101011101110110101011010 Z +b11 3 +b11 J +b11 Y +b101 & +b101 C +1( +b11110000101011101110110101011010 , +b11110000101011101110110101011010 H +b11 + +b11 G +1. +0% +#9740000 +1_ +1] +0s +b10010001011101110100101010010011 | +b10010001011101110100101010010011 B" +0\ +0[ +1@" +0=" +0>" +0q +16" +17" +b11100 3" +0<" +0` +0d +b11010 -" +1t +1u +1b +1a +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11110000101011101110110101011010 &" +b11110000101011101110110101011010 ?" +b11 %" +b11 *" +b11 1" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#9740010 +b11110000101011101110110101011010 F" +#9740500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9741000 +1s +1\ +1[ +0@" +1q +06" +07" +b11111 3" +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10010001011101110100101010010011 0 +b10010001011101110100101010010011 M +b10010001011101110100101010010011 f +b10010001011101110100101010010011 !" +0. +1% +#9741500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#9742000 +0s +b1110010011001010110010110111110 | +b1110010011001010110010110111110 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#9742500 +b11000 7 +b11000 N +b11000 V +b11000 & +b11000 C +1. +0% +#9743000 +1r +1q +b111 -" +b11000100000101010110100101100110 | +b11000100000101010110100101100110 B" +0x +0w +b11000 } +b11000 (" +b11000 +" +b1110010011001010110010110111110 0 +b1110010011001010110010110111110 M +b1110010011001010110010110111110 f +b1110010011001010110010110111110 !" +0. +1% +#9743500 +b10011 5 +b10011 L +b10011 W +14 +b11111 7 +b11111 N +b11111 V +b11010001100011000011111111011111 2 +b11010001100011000011111111011111 I +b11010001100011000011111111011111 Z +b11001 3 +b11001 J +b11001 Y +11 +b10011 ' +b10011 D +1) +b11111 & +b11111 C +b11010001100011000011111111011111 , +b11010001100011000011111111011111 H +b11001 + +b11001 G +1- +1. +0% +#9744000 +0] +b11110110101001100001101100011011 { +b11110110101001100001101100011011 A" +0y +0[ +1=" +1@" +0h +0g +1;" +0r +0q +16" +b110 3" +1c +0_ +1<" +1` +b1100 0" +0k +18" +1l +b0 -" +1z +b1100001001010110100101110101101 | +b1100001001010110100101110101101 B" +1a +12" +1n +1m +1/" +1x +1w +b11000100000101010110100101100110 0 +b11000100000101010110100101100110 M +b11000100000101010110100101100110 f +b11000100000101010110100101100110 !" +b11010001100011000011111111011111 &" +b11010001100011000011111111011111 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b11111 } +b11111 (" +b11111 +" +0. +1% +#9744010 +b11010001100011000011111111011111 \" +#9744500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 ' +b1001 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9745000 +1] +1k +0i +1s +1[ +0=" +0@" +1h +1;" +19" +1r +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b10110 0" +08" +0l +1o +b11101010000100111011000010010000 { +b11101010000100111011000010010000 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +02" +0n +0x +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +b11110110101001100001101100011011 / +b11110110101001100001101100011011 K +b11110110101001100001101100011011 e +b11110110101001100001101100011011 "" +b1100001001010110100101110101101 0 +b1100001001010110100101110101101 M +b1100001001010110100101110101101 f +b1100001001010110100101110101101 !" +0. +1% +#9745500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b11001111010111111111101001101011 2 +b11001111010111111111101001101011 I +b11001111010111111111101001101011 Z +b1 3 +b1 J +b1 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b11001111010111111111101001101011 , +b11001111010111111111101001101011 H +b1 + +b1 G +1- +1. +0% +#9746000 +1i +0s +b110101110101011100001001011000 | +b110101110101011100001001011000 B" +0[ +1@" +1g +09" +0;" +16" +17" +b11110 3" +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11011 -" +1t +1u +1a +12" +0m +0/" +1," +b11101010000100111011000010010000 / +b11101010000100111011000010010000 K +b11101010000100111011000010010000 e +b11101010000100111011000010010000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11001111010111111111101001101011 &" +b11001111010111111111101001101011 ?" +b1 %" +b1 *" +b1 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#9746010 +b11001111010111111111101001101011 D" +#9746500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9747000 +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1[ +0@" +06" +07" +b11111 3" +0_ +b11111 -" +0t +0u +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b110101110101011100001001011000 0 +b110101110101011100001001011000 M +b110101110101011100001001011000 f +b110101110101011100001001011000 !" +0. +1% +#9747500 +b1010 5 +b1010 L +b1010 W +14 +b1010 ' +b1010 D +1) +1. +0% +#9748000 +0i +b111001110101100111011011011100 { +b111001110101100111011011011100 A" +0h +19" +1;" +b10101 0" +1o +1k +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010 ~ +b1010 )" +b1010 ." +1$" +0. +1% +#9748500 +b0 5 +b0 L +b0 W +b0 ' +b0 D +1. +0% +#9749000 +1i +1h +09" +b11111 0" +0o +b111000100101111111110101100100 { +b111000100101111111110101100100 A" +0n +b0 ~ +b0 )" +b0 ." +b111001110101100111011011011100 / +b111001110101100111011011011100 K +b111001110101100111011011011100 e +b111001110101100111011011011100 "" +0. +1% +#9749500 +04 +b10001 7 +b10001 N +b10001 V +16 +0) +b10001 & +b10001 C +1( +1. +0% +#9750000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b1001111110011001010111000100011 | +b1001111110011001010111000100011 B" +0;" +0q +17" +0k +b1110 -" +0u +14" +1v +0/" +1w +1," +b111000100101111111110101100100 / +b111000100101111111110101100100 K +b111000100101111111110101100100 e +b111000100101111111110101100100 "" +0$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#9750500 +b10100 7 +b10100 N +b10100 V +b10100 & +b10100 C +1. +0% +#9751000 +0s +1q +16" +b1011 -" +1t +b11011010111110001111001011110100 | +b11011010111110001111001011110100 B" +0w +b10100 } +b10100 (" +b10100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1001111110011001010111000100011 0 +b1001111110011001010111000100011 M +b1001111110011001010111000100011 f +b1001111110011001010111000100011 !" +0. +1% +#9751500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +1. +0% +#9752000 +b1001111110011001010111000100011 { +b1001111110011001010111000100011 A" +1s +0g +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1110 0" +0k +18" +1l +b11111 -" +0t +04" +0v +1m +1/" +0," +b11011010111110001111001011110100 0 +b11011010111110001111001011110100 M +b11011010111110001111001011110100 f +b11011010111110001111001011110100 !" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9752500 +b0 5 +b0 L +b0 W +04 +b10111 7 +b10111 N +b10111 V +16 +b0 ' +b0 D +0) +b10111 & +b10111 C +1( +1. +0% +#9753000 +0s +b10010010111001110000101101010011 | +b10010010111001110000101101010011 B" +1g +0;" +0r +0q +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1000 -" +1t +0u +14" +1v +0m +0/" +1x +1w +1," +b0 ~ +b0 )" +b0 ." +0$" +b10111 } +b10111 (" +b10111 +" +1#" +b1001111110011001010111000100011 / +b1001111110011001010111000100011 K +b1001111110011001010111000100011 e +b1001111110011001010111000100011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9753500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9754000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10010010111001110000101101010011 0 +b10010010111001110000101101010011 M +b10010010111001110000101101010011 f +b10010010111001110000101101010011 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9754500 +b11010 5 +b11010 L +b11010 W +14 +b1110101100110100000100101111101 2 +b1110101100110100000100101111101 I +b1110101100110100000100101111101 Z +b10 3 +b10 J +b10 Y +11 +b11010 ' +b11010 D +1) +b1110101100110100000100101111101 , +b1110101100110100000100101111101 H +b10 + +b10 G +1- +1. +0% +#9755000 +0i +b100100000111100001001100010011 { +b100100000111100001001100010011 A" +0\ +1@" +0h +19" +1;" +b11101 3" +1_ +b101 0" +1o +0k +18" +1l +1b +12" +1n +1/" +b1110101100110100000100101111101 &" +b1110101100110100000100101111101 ?" +b10 %" +b10 *" +b10 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9755010 +b1110101100110100000100101111101 E" +#9755500 +b0 5 +b0 L +b0 W +04 +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9756000 +1i +b11110000101011101110110101011010 | +b11110000101011101110110101011010 B" +1\ +0@" +1h +09" +0;" +0r +0q +17" +b11111 3" +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11100 -" +1u +0b +02" +0n +0/" +1x +1w +1," +b100100000111100001001100010011 / +b100100000111100001001100010011 K +b100100000111100001001100010011 e +b100100000111100001001100010011 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11 } +b11 (" +b11 +" +1#" +0. +1% +#9756500 +b1110 7 +b1110 N +b1110 V +b1111000010101000100110000100 2 +b1111000010101000100110000100 I +b1111000010101000100110000100 Z +b11001 3 +b11001 J +b11001 Y +11 +b1110 & +b1110 C +b1111000010101000100110000100 , +b1111000010101000100110000100 H +b11001 + +b11001 G +1- +1. +0% +#9757000 +0] +0s +0[ +1=" +1@" +1q +15" +16" +b110 3" +1c +0_ +1<" +1` +b10001 -" +0t +0y +1z +b10001100101111000011111000110101 | +b10001100101111000011111000110101 B" +1a +12" +0w +b1111000010101000100110000100 &" +b1111000010101000100110000100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b1110 } +b1110 (" +b1110 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110000101011101110110101011010 0 +b11110000101011101110110101011010 M +b11110000101011101110110101011010 f +b11110000101011101110110101011010 !" +0. +1% +#9757010 +b1111000010101000100110000100 \" +#9757500 +b0 7 +b0 N +b0 V +06 +b10110111011100010001000011001110 2 +b10110111011100010001000011001110 I +b10110111011100010001000011001110 Z +b10001 3 +b10001 J +b10001 Y +b0 & +b0 C +0( +b10110111011100010001000011001110 , +b10110111011100010001000011001110 H +b10001 + +b10001 G +1. +0% +#9758000 +1] +1s +0=" +1r +05" +06" +07" +b1110 3" +0c +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b10001100101111000011111000110101 0 +b10001100101111000011111000110101 M +b10001100101111000011111000110101 f +b10001100101111000011111000110101 !" +b10110111011100010001000011001110 &" +b10110111011100010001000011001110 ?" +b10001 %" +b10001 *" +b10001 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9758010 +b10110111011100010001000011001110 T" +#9758500 +b1011 5 +b1011 L +b1011 W +14 +b10000000101001011001001010111000 2 +b10000000101001011001001010111000 I +b10000000101001011001001010111000 Z +b10101 3 +b10101 J +b10101 Y +b1011 ' +b1011 D +1) +b10000000101001011001001010111000 , +b10000000101001011001001010111000 H +b10101 + +b10101 G +1. +0% +#9759000 +0] +0i +b100001001011100110001001010100 { +b100001001011100110001001010100 A" +1>" +0h +0g +19" +1;" +b1010 3" +1^ +b10100 0" +1o +1k +1n +1m +1/" +b10000000101001011001001010111000 &" +b10000000101001011001001010111000 ?" +b10101 %" +b10101 *" +b10101 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9759010 +b10000000101001011001001010111000 X" +#9759500 +b0 5 +b0 L +b0 W +04 +b110100110010101001001101101111 2 +b110100110010101001001101101111 I +b110100110010101001001101101111 Z +b1001 3 +b1001 J +b1001 Y +b0 ' +b0 D +0) +b110100110010101001001101101111 , +b110100110010101001001101101111 H +b1001 + +b1001 G +1. +0% +#9760000 +1=" +1_ +1c +1i +1@" +0>" +1h +1g +09" +0;" +b10110 3" +0<" +0` +0^ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b100001001011100110001001010100 / +b100001001011100110001001010100 K +b100001001011100110001001010100 e +b100001001011100110001001010100 "" +b110100110010101001001101101111 &" +b110100110010101001001101101111 ?" +b1001 %" +b1001 *" +b1001 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9760010 +b110100110010101001001101101111 L" +#9760500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9761000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9761500 +1. +0% +#9762000 +0. +1% +#9762500 +1. +0% +#9763000 +0. +1% +#9763500 +b110 5 +b110 L +b110 W +14 +b10001 7 +b10001 N +b10001 V +16 +b10001001011101000001011101000001 2 +b10001001011101000001011101000001 I +b10001001011101000001011101000001 Z +b100 3 +b100 J +b100 Y +11 +b110 ' +b110 D +1) +b10001 & +b10001 C +1( +b10001001011101000001011101000001 , +b10001001011101000001011101000001 H +b100 + +b100 G +1- +1. +0% +#9764000 +0] +0i +b1001001000000100101001100101111 { +b1001001000000100101001100101111 A" +b10110111011100010001000011001110 | +b10110111011100010001000011001110 B" +1>" +1@" +0h +1:" +1;" +0q +17" +b11011 3" +1^ +1_ +b11001 0" +1j +1k +b1110 -" +0u +14" +1v +12" +1n +1/" +1w +1," +b10001001011101000001011101000001 &" +b10001001011101000001011101000001 ?" +b100 %" +b100 *" +b100 1" +1'" +b110 ~ +b110 )" +b110 ." +1$" +b10001 } +b10001 (" +b10001 +" +1#" +0. +1% +#9764010 +b10001001011101000001011101000001 G" +#9764500 +b0 5 +b0 L +b0 W +04 +b11101 7 +b11101 N +b11101 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b11101 & +b11101 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9765000 +1] +1i +0s +b1100001110010101111101011111110 | +b1100001110010101111101011111110 B" +0>" +0@" +1h +0:" +0;" +15" +16" +b11111 3" +0^ +0_ +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10 -" +0t +0y +1z +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11101 } +b11101 (" +b11101 +" +b1001001000000100101001100101111 / +b1001001000000100101001100101111 K +b1001001000000100101001100101111 e +b1001001000000100101001100101111 "" +b10110111011100010001000011001110 0 +b10110111011100010001000011001110 M +b10110111011100010001000011001110 f +b10110111011100010001000011001110 !" +0. +1% +#9765500 +b11000 5 +b11000 L +b11000 W +14 +b1110 7 +b1110 N +b1110 V +b11000 ' +b11000 D +1) +b1110 & +b1110 C +1. +0% +#9766000 +0i +b11000100000101010110100101100110 { +b11000100000101010110100101100110 A" +1u +19" +1;" +0r +1q +17" +b111 0" +1o +0k +18" +1l +b10001 -" +04" +0v +b10001100101111000011111000110101 | +b10001100101111000011111000110101 B" +1/" +1x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100001110010101111101011111110 0 +b1100001110010101111101011111110 M +b1100001110010101111101011111110 f +b1100001110010101111101011111110 !" +b11000 ~ +b11000 )" +b11000 ." +1$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#9766500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +b11110101101011011000010101110100 2 +b11110101101011011000010101110100 I +b11110101101011011000010101110100 Z +b11101 3 +b11101 J +b11101 Y +11 +b0 ' +b0 D +0) +b10101 & +b10101 C +b11110101101011011000010101110100 , +b11110101101011011000010101110100 H +b11101 + +b11101 G +1- +1. +0% +#9767000 +0] +1i +0u +1t +0[ +1=" +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +0q +05" +16" +b10 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +08" +0l +b1010 -" +14" +1v +0z +b10000000101001011001001010111000 | +b10000000101001011001001010111000 B" +1a +12" +0/" +0x +1w +b11110101101011011000010101110100 &" +b11110101101011011000010101110100 ?" +b11101 %" +b11101 *" +b11101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +b11000100000101010110100101100110 / +b11000100000101010110100101100110 K +b11000100000101010110100101100110 e +b11000100000101010110100101100110 "" +b10001100101111000011111000110101 0 +b10001100101111000011111000110101 M +b10001100101111000011111000110101 f +b10001100101111000011111000110101 !" +0. +1% +#9767010 +b11110101101011011000010101110100 `" +#9767500 +b111 7 +b111 N +b111 V +b11110110110011010011100010100111 2 +b11110110110011010011100010100111 I +b11110110110011010011100010100111 Z +b1 3 +b1 J +b1 Y +b111 & +b111 C +b11110110110011010011100010100111 , +b11110110110011010011100010100111 H +b1 + +b1 G +1. +0% +#9768000 +1_ +1] +1u +1@" +0=" +0>" +0r +17" +b11110 3" +0<" +0` +0d +b11000 -" +04" +0v +b10101001000100110011111101110110 | +b10101001000100110011111101110110 B" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000000101001011001001010111000 0 +b10000000101001011001001010111000 M +b10000000101001011001001010111000 f +b10000000101001011001001010111000 !" +b11110110110011010011100010100111 &" +b11110110110011010011100010100111 ?" +b1 %" +b1 *" +b1 1" +b111 } +b111 (" +b111 +" +0. +1% +#9768010 +b11110110110011010011100010100111 D" +#9768500 +b10000 5 +b10000 L +b10000 W +14 +b0 7 +b0 N +b0 V +06 +b1010011011111110000001000010010 2 +b1010011011111110000001000010010 I +b1010011011111110000001000010010 Z +b11 3 +b11 J +b11 Y +b10000 ' +b10000 D +1) +b0 & +b0 C +0( +b1010011011111110000001000010010 , +b1010011011111110000001000010010 H +b11 + +b11 G +1. +0% +#9769000 +b100001000100101011010101111011 { +b100001000100101011010101111011 A" +1s +0\ +1;" +1r +1q +06" +07" +b11100 3" +b1111 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1/" +0x +0w +0," +b1010011011111110000001000010010 &" +b1010011011111110000001000010010 ?" +b11 %" +b11 *" +b11 1" +b10000 ~ +b10000 )" +b10000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10101001000100110011111101110110 0 +b10101001000100110011111101110110 M +b10101001000100110011111101110110 f +b10101001000100110011111101110110 !" +0. +1% +#9769010 +b1010011011111110000001000010010 F" +#9769500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9770000 +1\ +1[ +0@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +b11111 3" +0_ +b11111 0" +08" +0l +0b +0a +02" +0/" +b100001000100101011010101111011 / +b100001000100101011010101111011 K +b100001000100101011010101111011 e +b100001000100101011010101111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9770500 +b1100 7 +b1100 N +b1100 V +16 +b1100 & +b1100 C +1( +1. +0% +#9771000 +0s +b110011111010001000101011111111 | +b110011111010001000101011111111 B" +15" +16" +17" +b10011 -" +0t +0y +1z +1u +1," +b1100 } +b1100 (" +b1100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9771500 +b0 7 +b0 N +b0 V +06 +b1101000000000100011000001100110 2 +b1101000000000100011000001100110 I +b1101000000000100011000001100110 Z +b101 3 +b101 J +b101 Y +11 +b0 & +b0 C +0( +b1101000000000100011000001100110 , +b1101000000000100011000001100110 H +b101 + +b101 G +1- +1. +0% +#9772000 +0] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1>" +1@" +05" +06" +07" +b11010 3" +1^ +1_ +b11111 -" +0z +0u +1a +12" +0," +b110011111010001000101011111111 0 +b110011111010001000101011111111 M +b110011111010001000101011111111 f +b110011111010001000101011111111 !" +b1101000000000100011000001100110 &" +b1101000000000100011000001100110 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9772010 +b1101000000000100011000001100110 H" +#9772500 +b1011 5 +b1011 L +b1011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1011 ' +b1011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9773000 +1] +0i +b100001001011100110001001010100 { +b100001001011100110001001010100 A" +1[ +0>" +0@" +0h +0g +19" +1;" +b11111 3" +0^ +0_ +b10100 0" +1o +1k +0a +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9773500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9774000 +1i +1h +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b100001001011100110001001010100 / +b100001001011100110001001010100 K +b100001001011100110001001010100 e +b100001001011100110001001010100 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9774500 +b11010 5 +b11010 L +b11010 W +14 +b11010 ' +b11010 D +1) +1. +0% +#9775000 +0i +b100100000111100001001100010011 { +b100100000111100001001100010011 A" +0h +19" +1;" +b101 0" +1o +0k +18" +1l +1n +1/" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9775500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9776000 +1i +1h +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b100100000111100001001100010011 / +b100100000111100001001100010011 K +b100100000111100001001100010011 e +b100100000111100001001100010011 "" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9776500 +b111 7 +b111 N +b111 V +16 +b111 & +b111 C +1( +1. +0% +#9777000 +0s +b10101001000100110011111101110110 | +b10101001000100110011111101110110 B" +0r +0q +16" +17" +b11000 -" +1t +1u +1x +1w +1," +b111 } +b111 (" +b111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9777500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9778000 +1s +1r +1q +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0w +0," +b10101001000100110011111101110110 0 +b10101001000100110011111101110110 M +b10101001000100110011111101110110 f +b10101001000100110011111101110110 !" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9778500 +b10111101000000001011100101111001 2 +b10111101000000001011100101111001 I +b10111101000000001011100101111001 Z +b10 3 +b10 J +b10 Y +11 +b10111101000000001011100101111001 , +b10111101000000001011100101111001 H +b10 + +b10 G +1- +1. +0% +#9779000 +0\ +1@" +b11101 3" +1_ +1b +12" +b10111101000000001011100101111001 &" +b10111101000000001011100101111001 ?" +b10 %" +b10 *" +b10 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9779010 +b10111101000000001011100101111001 E" +#9779500 +b1 7 +b1 N +b1 V +16 +b10100011101111010100101001011 2 +b10100011101111010100101001011 I +b10100011101111010100101001011 Z +b10100 3 +b10100 J +b10100 Y +b1 & +b1 C +1( +b10100011101111010100101001011 , +b10100011101111010100101001011 H +b10100 + +b10100 G +1. +0% +#9780000 +0_ +0] +b11110110110011010011100010100111 | +b11110110110011010011100010100111 B" +1\ +1>" +0q +17" +b1011 3" +1<" +1` +1^ +b11110 -" +1u +0b +1w +1," +b10100011101111010100101001011 &" +b10100011101111010100101001011 ?" +b10100 %" +b10100 *" +b10100 1" +b1 } +b1 (" +b1 +" +1#" +0. +1% +#9780010 +b10100011101111010100101001011 W" +#9780500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9781000 +1] +0>" +0@" +1q +07" +b11111 3" +0^ +0<" +0` +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b11110110110011010011100010100111 0 +b11110110110011010011100010100111 M +b11110110110011010011100010100111 f +b11110110110011010011100010100111 !" +0. +1% +#9781500 +1. +0% +#9782000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9782500 +b10 7 +b10 N +b10 V +16 +b11111011111000101001001010010110 2 +b11111011111000101001001010010110 I +b11111011111000101001001010010110 Z +b11 3 +b11 J +b11 Y +11 +b10 & +b10 C +1( +b11111011111000101001001010010110 , +b11111011111000101001001010010110 H +b11 + +b11 G +1- +1. +0% +#9783000 +b10111101000000001011100101111001 | +b10111101000000001011100101111001 B" +0\ +0[ +1@" +0r +17" +b11100 3" +1_ +b11101 -" +1u +1b +1a +12" +1x +1," +b11111011111000101001001010010110 &" +b11111011111000101001001010010110 ?" +b11 %" +b11 *" +b11 1" +1'" +b10 } +b10 (" +b10 +" +1#" +0. +1% +#9783010 +b11111011111000101001001010010110 F" +#9783500 +b10010 5 +b10010 L +b10010 W +14 +b0 7 +b0 N +b0 V +06 +b10100000101111001100110000001010 2 +b10100000101111001100110000001010 I +b10100000101111001100110000001010 Z +b10001 3 +b10001 J +b10001 Y +b10010 ' +b10010 D +1) +b0 & +b0 C +0( +b10100000101111001100110000001010 , +b10100000101111001100110000001010 H +b10001 + +b10001 G +1. +0% +#9784000 +0_ +b100011101001010010111011010001 { +b100011101001010010111011010001 A" +1\ +0h +1;" +1r +07" +b1110 3" +1<" +1` +b1101 0" +0k +18" +1l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +1n +1/" +0x +0," +b10111101000000001011100101111001 0 +b10111101000000001011100101111001 M +b10111101000000001011100101111001 f +b10111101000000001011100101111001 !" +b10100000101111001100110000001010 &" +b10100000101111001100110000001010 ?" +b10001 %" +b10001 *" +b10001 1" +b10010 ~ +b10010 )" +b10010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9784010 +b10100000101111001100110000001010 T" +#9784500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9785000 +0s +b10001001011101000001011101000001 | +b10001001011101000001011101000001 B" +1[ +0@" +1h +0;" +16" +17" +b11111 3" +0<" +0` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11011 -" +1t +1u +0a +02" +0n +0/" +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +b100011101001010010111011010001 / +b100011101001010010111011010001 K +b100011101001010010111011010001 e +b100011101001010010111011010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9785500 +b11001 5 +b11001 L +b11001 W +14 +b1011 7 +b1011 N +b1011 V +b11001 ' +b11001 D +1) +b1011 & +b1011 C +1. +0% +#9786000 +15" +0i +b1111000010101000100110000100 { +b1111000010101000100110000100 A" +1y +0g +19" +1;" +0r +0q +06" +b110 0" +1o +0k +18" +1l +b10100 -" +0t +b100001001011100110001001010100 | +b100001001011100110001001010100 B" +1m +1/" +1x +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10001001011101000001011101000001 0 +b10001001011101000001011101000001 M +b10001001011101000001011101000001 f +b10001001011101000001011101000001 !" +b11001 ~ +b11001 )" +b11001 ." +1$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#9786500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b111101010000111101001001011001 2 +b111101010000111101001001011001 I +b111101010000111101001001011001 Z +b1001 3 +b1001 J +b1001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b111101010000111101001001011001 , +b111101010000111101001001011001 H +b1001 + +b1001 G +1- +1. +0% +#9787000 +0] +1i +1s +0[ +1=" +1@" +1g +09" +0;" +1r +1q +05" +07" +b10110 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0m +0/" +0x +0w +0," +b111101010000111101001001011001 &" +b111101010000111101001001011001 ?" +b1001 %" +b1001 *" +b1001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1111000010101000100110000100 / +b1111000010101000100110000100 K +b1111000010101000100110000100 e +b1111000010101000100110000100 "" +b100001001011100110001001010100 0 +b100001001011100110001001010100 M +b100001001011100110001001010100 f +b100001001011100110001001010100 !" +0. +1% +#9787010 +b111101010000111101001001011001 L" +#9787500 +b10110 5 +b10110 L +b10110 W +14 +b11100 7 +b11100 N +b11100 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10110 ' +b10110 D +1) +b11100 & +b11100 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9788000 +1] +0i +b10110000001101011101010010010100 { +b10110000001101011101010010010100 A" +0s +b1010100100110010111101101110010 | +b1010100100110010111101101110010 B" +1[ +0=" +0@" +0h +1:" +1;" +15" +16" +17" +b11111 3" +0c +0_ +b1001 0" +1j +0k +18" +1l +b11 -" +0t +0y +1z +0u +14" +1v +0a +02" +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10110 ~ +b10110 )" +b10110 ." +1$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#9788500 +b0 5 +b0 L +b0 W +b1111 7 +b1111 N +b1111 V +b11000100110101110011100111011 2 +b11000100110101110011100111011 I +b11000100110101110011100111011 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +b1111 & +b1111 C +b11000100110101110011100111011 , +b11000100110101110011100111011 H +b11011 + +b11011 G +1- +1. +0% +#9789000 +0] +1k +1i +1u +0\ +0[ +1=" +1@" +1h +1;" +0:" +0r +0q +17" +b100 3" +1c +0_ +1<" +1` +b11111 0" +08" +0l +0j +b111000100101111111110101100100 { +b111000100101111111110101100100 A" +b10000 -" +04" +0v +b11101010111100011001010110000100 | +b11101010111100011001010110000100 B" +1b +1a +12" +0n +1x +1w +b11000100110101110011100111011 &" +b11000100110101110011100111011 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +b1111 } +b1111 (" +b1111 +" +b10110000001101011101010010010100 / +b10110000001101011101010010010100 K +b10110000001101011101010010010100 e +b10110000001101011101010010010100 "" +b1010100100110010111101101110010 0 +b1010100100110010111101101110010 M +b1010100100110010111101101110010 f +b1010100100110010111101101110010 !" +0. +1% +#9789010 +b11000100110101110011100111011 ^" +#9789500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9790000 +1] +1s +1\ +1[ +0=" +0@" +0h +1r +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b11101 0" +b10111101000000001011100101111001 { +b10111101000000001011100101111001 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +1n +0x +0w +0," +b111000100101111111110101100100 / +b111000100101111111110101100100 K +b111000100101111111110101100100 e +b111000100101111111110101100100 "" +b11101010111100011001010110000100 0 +b11101010111100011001010110000100 M +b11101010111100011001010110000100 f +b11101010111100011001010110000100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9790500 +b11011 5 +b11011 L +b11011 W +b11011 ' +b11011 D +1. +0% +#9791000 +0k +0i +0g +19" +b100 0" +18" +1l +1o +b11000100110101110011100111011 { +b11000100110101110011100111011 A" +1m +b11011 ~ +b11011 )" +b11011 ." +b10111101000000001011100101111001 / +b10111101000000001011100101111001 K +b10111101000000001011100101111001 e +b10111101000000001011100101111001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9791500 +b1010 5 +b1010 L +b1010 W +b1010 ' +b1010 D +1. +0% +#9792000 +1k +1g +1;" +b10101 0" +08" +0l +b111001110101100111011011011100 { +b111001110101100111011011011100 A" +0m +b11000100110101110011100111011 / +b11000100110101110011100111011 K +b11000100110101110011100111011 e +b11000100110101110011100111011 "" +b1010 ~ +b1010 )" +b1010 ." +0. +1% +#9792500 +b0 5 +b0 L +b0 W +04 +b11100001110100111001101100001111 2 +b11100001110100111001101100001111 I +b11100001110100111001101100001111 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 ' +b0 D +0) +b11100001110100111001101100001111 , +b11100001110100111001101100001111 H +b11110 + +b11110 G +1- +1. +0% +#9793000 +0] +1i +0\ +1=" +1>" +1@" +1h +09" +0;" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +12" +0n +0/" +b11100001110100111001101100001111 &" +b11100001110100111001101100001111 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b111001110101100111011011011100 / +b111001110101100111011011011100 K +b111001110101100111011011011100 e +b111001110101100111011011011100 "" +0. +1% +#9793010 +b11100001110100111001101100001111 a" +#9793500 +b1 5 +b1 L +b1 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9794000 +1] +b11110110110011010011100010100111 { +b11110110110011010011100010100111 A" +1\ +0=" +0>" +0@" +0g +1;" +b11111 3" +0d +0<" +0` +b11110 0" +1k +0b +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +1$" +0. +1% +#9794500 +b0 5 +b0 L +b0 W +04 +b11111001101001010111000010011101 2 +b11111001101001010111000010011101 I +b11111001101001010111000010011101 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b11111001101001010111000010011101 , +b11111001101001010111000010011101 H +b1111 + +b1111 G +1- +1. +0% +#9795000 +0] +0\ +0[ +1=" +1>" +1@" +1g +0;" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0m +0/" +b11111001101001010111000010011101 &" +b11111001101001010111000010011101 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110110110011010011100010100111 / +b11110110110011010011100010100111 K +b11110110110011010011100010100111 e +b11110110110011010011100010100111 "" +0. +1% +#9795010 +b11111001101001010111000010011101 R" +#9795500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9796000 +1] +1\ +1[ +0=" +0>" +0@" +b11111 3" +0d +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9796500 +b10100 7 +b10100 N +b10100 V +16 +b11001010001111110101110101111011 2 +b11001010001111110101110101111011 I +b11001010001111110101110101111011 Z +b10001 3 +b10001 J +b10001 Y +11 +b10100 & +b10100 C +1( +b11001010001111110101110101111011 , +b11001010001111110101110101111011 H +b10001 + +b10001 G +1- +1. +0% +#9797000 +0s +b10100011101111010100101001011 | +b10100011101111010100101001011 B" +0[ +1@" +16" +17" +b1110 3" +0_ +1<" +1` +b1011 -" +1t +0u +14" +1v +1a +12" +1," +b11001010001111110101110101111011 &" +b11001010001111110101110101111011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#9797010 +b11001010001111110101110101111011 T" +#9797500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9798000 +1s +1[ +0@" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0<" +0` +b11111 -" +0t +04" +0v +0a +02" +0," +b10100011101111010100101001011 0 +b10100011101111010100101001011 M +b10100011101111010100101001011 f +b10100011101111010100101001011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9798500 +b10011 7 +b10011 N +b10011 V +16 +b1101110111110110101101100001 2 +b1101110111110110101101100001 I +b1101110111110110101101100001 Z +b11011 3 +b11011 J +b11011 Y +11 +b10011 & +b10011 C +1( +b1101110111110110101101100001 , +b1101110111110110101101100001 H +b11011 + +b11011 G +1- +1. +0% +#9799000 +0] +b11110110101001100001101100011011 | +b11110110101001100001101100011011 B" +0\ +0[ +1=" +1@" +0r +0q +17" +b100 3" +1c +0_ +1<" +1` +b1100 -" +0u +14" +1v +1b +1a +12" +1x +1w +1," +b1101110111110110101101100001 &" +b1101110111110110101101100001 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b10011 } +b10011 (" +b10011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9799010 +b1101110111110110101101100001 ^" +#9799500 +b11010 7 +b11010 N +b11010 V +b1101110010000100010100000001000 2 +b1101110010000100010100000001000 I +b1101110010000100010100000001000 Z +b111 3 +b111 J +b111 Y +b11010 & +b11010 C +b1101110010000100010100000001000 , +b1101110010000100010100000001000 H +b111 + +b111 G +1. +0% +#9800000 +1>" +1_ +1^ +0s +1@" +0=" +1q +15" +b11000 3" +0<" +0` +0c +b101 -" +1y +b100100000111100001001100010011 | +b100100000111100001001100010011 B" +0w +b11110110101001100001101100011011 0 +b11110110101001100001101100011011 M +b11110110101001100001101100011011 f +b11110110101001100001101100011011 !" +b1101110010000100010100000001000 &" +b1101110010000100010100000001000 ?" +b111 %" +b111 *" +b111 1" +b11010 } +b11010 (" +b11010 +" +0. +1% +#9800010 +b1101110010000100010100000001000 J" +#9800500 +b0 7 +b0 N +b0 V +06 +b100101110011001010110000001111 2 +b100101110011001010110000001111 I +b100101110011001010110000001111 Z +b10000 3 +b10000 J +b10000 Y +b0 & +b0 C +0( +b100101110011001010110000001111 , +b100101110011001010110000001111 H +b10000 + +b10000 G +1. +0% +#9801000 +0_ +1] +1s +1\ +1[ +0>" +1r +05" +07" +b1111 3" +1<" +1` +0^ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +0x +0," +b100101110011001010110000001111 &" +b100101110011001010110000001111 ?" +b10000 %" +b10000 *" +b10000 1" +b0 } +b0 (" +b0 +" +0#" +b100100000111100001001100010011 0 +b100100000111100001001100010011 M +b100100000111100001001100010011 f +b100100000111100001001100010011 !" +0. +1% +#9801010 +b100101110011001010110000001111 S" +#9801500 +b11000 5 +b11000 L +b11000 W +14 +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9802000 +0i +b11000100000101010110100101100110 { +b11000100000101010110100101100110 A" +b111000100101111111110101100100 | +b111000100101111111110101100100 B" +0@" +19" +1;" +17" +b11111 3" +0<" +0` +b111 0" +1o +0k +18" +1l +1u +02" +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +1#" +0. +1% +#9802500 +b0 5 +b0 L +b0 W +04 +06 +b101110100100101010001011101000 2 +b101110100100101010001011101000 I +b101110100100101010001011101000 Z +b1011 3 +b1011 J +b1011 Y +11 +b0 ' +b0 D +0) +0( +b101110100100101010001011101000 , +b101110100100101010001011101000 H +b1011 + +b1011 G +1- +1. +0% +#9803000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +07" +b10100 3" +1c +1_ +b11111 0" +0o +08" +0l +0u +1b +1a +12" +0/" +0," +b101110100100101010001011101000 &" +b101110100100101010001011101000 ?" +b1011 %" +b1011 *" +b1011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0#" +b11000100000101010110100101100110 / +b11000100000101010110100101100110 K +b11000100000101010110100101100110 e +b11000100000101010110100101100110 "" +b111000100101111111110101100100 0 +b111000100101111111110101100100 M +b111000100101111111110101100100 f +b111000100101111111110101100100 !" +0. +1% +#9803010 +b101110100100101010001011101000 N" +#9803500 +b1011 5 +b1011 L +b1011 W +14 +b11011010111010110011100001010011 2 +b11011010111010110011100001010011 I +b11011010111010110011100001010011 Z +b1 3 +b1 J +b1 Y +b1011 ' +b1011 D +1) +b11011010111010110011100001010011 , +b11011010111010110011100001010011 H +b1 + +b1 G +1. +0% +#9804000 +1] +0i +b101110100100101010001011101000 { +b101110100100101010001011101000 A" +1\ +0=" +0h +0g +19" +1;" +b11110 3" +0c +b10100 0" +1o +1k +0b +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011010111010110011100001010011 &" +b11011010111010110011100001010011 ?" +b1 %" +b1 *" +b1 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +0. +1% +#9804010 +b11011010111010110011100001010011 D" +#9804500 +b11101 5 +b11101 L +b11101 W +b1100011101110111000110001101001 2 +b1100011101110111000110001101001 I +b1100011101110111000110001101001 Z +b1100 3 +b1100 J +b1100 Y +b11101 ' +b11101 D +b1100011101110111000110001101001 , +b1100011101110111000110001101001 H +b1100 + +b1100 G +1. +0% +#9805000 +0] +0k +0o +1[ +1=" +1>" +1h +1:" +b10011 3" +0^ +0c +1d +b10 0" +18" +1l +1p +b11110101101011011000010101110100 { +b11110101101011011000010101110100 A" +0a +0n +b1100011101110111000110001101001 &" +b1100011101110111000110001101001 ?" +b1100 %" +b1100 *" +b1100 1" +b11101 ~ +b11101 )" +b11101 ." +b101110100100101010001011101000 / +b101110100100101010001011101000 K +b101110100100101010001011101000 e +b101110100100101010001011101000 "" +0. +1% +#9805010 +b1100011101110111000110001101001 O" +#9805500 +b1100 5 +b1100 L +b1100 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9806000 +1] +1k +0=" +0>" +0@" +1g +1;" +b11111 3" +0d +0_ +b10011 0" +08" +0l +b1100011101110111000110001101001 { +b1100011101110111000110001101001 A" +02" +0m +b11110101101011011000010101110100 / +b11110101101011011000010101110100 K +b11110101101011011000010101110100 e +b11110101101011011000010101110100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +0. +1% +#9806500 +b1110 5 +b1110 L +b1110 W +b11001 7 +b11001 N +b11001 V +16 +b1110 ' +b1110 D +b11001 & +b11001 C +1( +1. +0% +#9807000 +0s +b1111000010101000100110000100 | +b1111000010101000100110000100 B" +0h +0q +15" +17" +b10001 0" +b10001100101111000011111000110101 { +b10001100101111000011111000110101 A" +b110 -" +1y +0u +14" +1v +1n +1w +1," +b1110 ~ +b1110 )" +b1110 ." +b11001 } +b11001 (" +b11001 +" +1#" +b1100011101110111000110001101001 / +b1100011101110111000110001101001 K +b1100011101110111000110001101001 e +b1100011101110111000110001101001 "" +0. +1% +#9807500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9808000 +1i +1s +1h +09" +0:" +0;" +1q +05" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0n +0/" +0w +0," +b10001100101111000011111000110101 / +b10001100101111000011111000110101 K +b10001100101111000011111000110101 e +b10001100101111000011111000110101 "" +b1111000010101000100110000100 0 +b1111000010101000100110000100 M +b1111000010101000100110000100 f +b1111000010101000100110000100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9808500 +16 +1( +1. +0% +#9809000 +b111000100101111111110101100100 | +b111000100101111111110101100100 B" +17" +1u +1," +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9809500 +06 +b1011110101011111011000000011010 2 +b1011110101011111011000000011010 I +b1011110101011111011000000011010 Z +b1 3 +b1 J +b1 Y +11 +0( +b1011110101011111011000000011010 , +b1011110101011111011000000011010 H +b1 + +b1 G +1- +1. +0% +#9810000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0[ +1@" +07" +b11110 3" +1_ +0u +1a +12" +0," +b111000100101111111110101100100 0 +b111000100101111111110101100100 M +b111000100101111111110101100100 f +b111000100101111111110101100100 !" +b1011110101011111011000000011010 &" +b1011110101011111011000000011010 ?" +b1 %" +b1 *" +b1 1" +1'" +0#" +0. +1% +#9810010 +b1011110101011111011000000011010 D" +#9810500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9811000 +1[ +0@" +b11111 3" +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9811500 +1. +0% +#9812000 +0. +1% +#9812500 +b11110 5 +b11110 L +b11110 W +14 +b11110 ' +b11110 D +1) +1. +0% +#9813000 +0i +b11100001110100111001101100001111 { +b11100001110100111001101100001111 A" +0h +19" +1:" +1;" +b1 0" +0j +0o +1p +0k +18" +1l +1n +1/" +b11110 ~ +b11110 )" +b11110 ." +1$" +0. +1% +#9813500 +b11101 5 +b11101 L +b11101 W +b101010111000110100001101110101 2 +b101010111000110100001101110101 I +b101010111000110100001101110101 Z +b111 3 +b111 J +b111 Y +11 +b11101 ' +b11101 D +b101010111000110100001101110101 , +b101010111000110100001101110101 H +b111 + +b111 G +1- +1. +0% +#9814000 +0] +0\ +0[ +1>" +1@" +1h +0g +b11000 3" +1^ +1_ +b10 0" +b11110101101011011000010101110100 { +b11110101101011011000010101110100 A" +1b +1a +12" +0n +1m +b11100001110100111001101100001111 / +b11100001110100111001101100001111 K +b11100001110100111001101100001111 e +b11100001110100111001101100001111 "" +b101010111000110100001101110101 &" +b101010111000110100001101110101 ?" +b111 %" +b111 *" +b111 1" +1'" +b11101 ~ +b11101 )" +b11101 ." +0. +1% +#9814010 +b101010111000110100001101110101 J" +#9814500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9815000 +1] +1i +1\ +1[ +0>" +0@" +1g +09" +0:" +0;" +b11111 3" +0^ +0_ +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b11110101101011011000010101110100 / +b11110101101011011000010101110100 K +b11110101101011011000010101110100 e +b11110101101011011000010101110100 "" +0. +1% +#9815500 +1. +0% +#9816000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9816500 +1. +0% +#9817000 +0. +1% +#9817500 +b1011 7 +b1011 N +b1011 V +16 +b1011 & +b1011 C +1( +1. +0% +#9818000 +0s +b101110100100101010001011101000 | +b101110100100101010001011101000 B" +0r +0q +15" +17" +b10100 -" +1y +1u +1x +1w +1," +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#9818500 +b0 7 +b0 N +b0 V +06 +b11010011100000100010001111100100 2 +b11010011100000100010001111100100 I +b11010011100000100010001111100100 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 & +b0 C +0( +b11010011100000100010001111100100 , +b11010011100000100010001111100100 H +b11001 + +b11001 G +1- +1. +0% +#9819000 +0] +1s +0[ +1=" +1@" +1r +1q +05" +07" +b110 3" +1c +0_ +1<" +1` +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0x +0w +0," +b11010011100000100010001111100100 &" +b11010011100000100010001111100100 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b101110100100101010001011101000 0 +b101110100100101010001011101000 M +b101110100100101010001011101000 f +b101110100100101010001011101000 !" +0. +1% +#9819010 +b11010011100000100010001111100100 \" +#9819500 +b10100 7 +b10100 N +b10100 V +16 +b111101110111110011110110011110 2 +b111101110111110011110110011110 I +b111101110111110011110110011110 Z +b11010 3 +b11010 J +b11010 Y +b10100 & +b10100 C +1( +b111101110111110011110110011110 , +b111101110111110011110110011110 H +b11010 + +b11010 G +1. +0% +#9820000 +0s +b10100011101111010100101001011 | +b10100011101111010100101001011 B" +0\ +1[ +16" +17" +b101 3" +b1011 -" +1t +0u +14" +1v +1b +0a +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b111101110111110011110110011110 &" +b111101110111110011110110011110 ?" +b11010 %" +b11010 *" +b11010 1" +b10100 } +b10100 (" +b10100 +" +1#" +0. +1% +#9820010 +b111101110111110011110110011110 ]" +#9820500 +b0 7 +b0 N +b0 V +06 +b11001001110101100101010010110100 2 +b11001001110101100101010010110100 I +b11001001110101100101010010110100 Z +b11110 3 +b11110 J +b11110 Y +b0 & +b0 C +0( +b11001001110101100101010010110100 , +b11001001110101100101010010110100 H +b11110 + +b11110 G +1. +0% +#9821000 +0c +1s +1>" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1 3" +1d +b11111 -" +0t +04" +0v +0," +b11001001110101100101010010110100 &" +b11001001110101100101010010110100 ?" +b11110 %" +b11110 *" +b11110 1" +b0 } +b0 (" +b0 +" +0#" +b10100011101111010100101001011 0 +b10100011101111010100101001011 M +b10100011101111010100101001011 f +b10100011101111010100101001011 !" +0. +1% +#9821010 +b11001001110101100101010010110100 a" +#9821500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9822000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9822500 +b1101000010011101110111110010000 2 +b1101000010011101110111110010000 I +b1101000010011101110111110010000 Z +b1 3 +b1 J +b1 Y +11 +b1101000010011101110111110010000 , +b1101000010011101110111110010000 H +b1 + +b1 G +1- +1. +0% +#9823000 +0[ +1@" +b11110 3" +1_ +1a +12" +b1101000010011101110111110010000 &" +b1101000010011101110111110010000 ?" +b1 %" +b1 *" +b1 1" +1'" +0. +1% +#9823010 +b1101000010011101110111110010000 D" +#9823500 +b11110010100011101011001001010111 2 +b11110010100011101011001001010111 I +b11110010100011101011001001010111 Z +b10101 3 +b10101 J +b10101 Y +b11110010100011101011001001010111 , +b11110010100011101011001001010111 H +b10101 + +b10101 G +1. +0% +#9824000 +0_ +0] +1>" +b1010 3" +1<" +1` +1^ +b11110010100011101011001001010111 &" +b11110010100011101011001001010111 ?" +b10101 %" +b10101 *" +b10101 1" +0. +1% +#9824010 +b11110010100011101011001001010111 X" +#9824500 +b10101 7 +b10101 N +b10101 V +16 +b10110000000110110101101101101011 2 +b10110000000110110101101101101011 I +b10110000000110110101101101101011 Z +b1011 3 +b1011 J +b1011 Y +b10101 & +b10101 C +1( +b10110000000110110101101101101011 , +b10110000000110110101101101101011 H +b1011 + +b1011 G +1. +0% +#9825000 +1=" +1_ +1c +0s +b11110010100011101011001001010111 | +b11110010100011101011001001010111 B" +0\ +1@" +0>" +0q +16" +17" +b10100 3" +0<" +0` +0^ +b1010 -" +1t +0u +14" +1v +1b +1w +1," +b10110000000110110101101101101011 &" +b10110000000110110101101101101011 ?" +b1011 %" +b1011 *" +b1011 1" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#9825010 +b10110000000110110101101101101011 N" +#9825500 +b10001 5 +b10001 L +b10001 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9826000 +1] +b11001010001111110101110101111011 { +b11001010001111110101110101111011 A" +1s +1\ +1[ +0=" +0@" +0g +1;" +1q +06" +07" +b11111 3" +0c +0_ +b1110 0" +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +1m +1/" +0w +0," +b11110010100011101011001001010111 0 +b11110010100011101011001001010111 M +b11110010100011101011001001010111 f +b11110010100011101011001001010111 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9826500 +b0 5 +b0 L +b0 W +04 +b1100 7 +b1100 N +b1100 V +16 +b10110101001111111011111100000100 2 +b10110101001111111011111100000100 I +b10110101001111111011111100000100 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b1100 & +b1100 C +1( +b10110101001111111011111100000100 , +b10110101001111111011111100000100 H +b11100 + +b11100 G +1- +1. +0% +#9827000 +0] +0s +b1100011101110111000110001101001 | +b1100011101110111000110001101001 B" +1=" +1>" +1@" +1g +0;" +15" +16" +17" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10011 -" +0t +0y +1z +1u +12" +0m +0/" +1," +b10110101001111111011111100000100 &" +b10110101001111111011111100000100 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1100 } +b1100 (" +b1100 +" +1#" +b11001010001111110101110101111011 / +b11001010001111110101110101111011 K +b11001010001111110101110101111011 e +b11001010001111110101110101111011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9827010 +b10110101001111111011111100000100 _" +#9827500 +b110 7 +b110 N +b110 V +b11011011010000100111111001011110 2 +b11011011010000100111111001011110 I +b11011011010000100111111001011110 Z +b1011 3 +b1011 J +b1011 Y +b110 & +b110 C +b11011011010000100111111001011110 , +b11011011010000100111111001011110 H +b1011 + +b1011 G +1. +0% +#9828000 +1_ +1c +1t +0\ +0[ +1@" +1=" +0>" +0r +05" +16" +b10100 3" +0<" +0` +0d +b11001 -" +0z +b1001001000000100101001100101111 | +b1001001000000100101001100101111 B" +1b +1a +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100011101110111000110001101001 0 +b1100011101110111000110001101001 M +b1100011101110111000110001101001 f +b1100011101110111000110001101001 !" +b11011011010000100111111001011110 &" +b11011011010000100111111001011110 ?" +b1011 %" +b1011 *" +b1011 1" +b110 } +b110 (" +b110 +" +0. +1% +#9828010 +b11011011010000100111111001011110 N" +#9828500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b10101001010110001111010110000000 2 +b10101001010110001111010110000000 I +b10101001010110001111010110000000 Z +b1000 3 +b1000 J +b1000 Y +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b10101001010110001111010110000000 , +b10101001010110001111010110000000 H +b1000 + +b1000 G +1. +0% +#9829000 +0i +b111101110111110011110110011110 { +b111101110111110011110110011110 A" +1s +1\ +1[ +0h +19" +1;" +1r +06" +07" +b10111 3" +b101 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +1n +1/" +0x +0," +b10101001010110001111010110000000 &" +b10101001010110001111010110000000 ?" +b1000 %" +b1000 *" +b1000 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1001001000000100101001100101111 0 +b1001001000000100101001100101111 M +b1001001000000100101001100101111 f +b1001001000000100101001100101111 !" +0. +1% +#9829010 +b10101001010110001111010110000000 K" +#9829500 +b1 5 +b1 L +b1 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9830000 +1] +1k +1i +0=" +0@" +1h +0g +1;" +09" +b11111 3" +0c +0_ +b11110 0" +08" +0l +0o +b1101000010011101110111110010000 { +b1101000010011101110111110010000 A" +02" +0n +1m +b111101110111110011110110011110 / +b111101110111110011110110011110 K +b111101110111110011110110011110 e +b111101110111110011110110011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +0. +1% +#9830500 +b0 5 +b0 L +b0 W +04 +b1010010011001100100101000100100 2 +b1010010011001100100101000100100 I +b1010010011001100100101000100100 Z +b11 3 +b11 J +b11 Y +11 +b0 ' +b0 D +0) +b1010010011001100100101000100100 , +b1010010011001100100101000100100 H +b11 + +b11 G +1- +1. +0% +#9831000 +0\ +0[ +1@" +1g +0;" +b11100 3" +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0m +0/" +b1010010011001100100101000100100 &" +b1010010011001100100101000100100 ?" +b11 %" +b11 *" +b11 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101000010011101110111110010000 / +b1101000010011101110111110010000 K +b1101000010011101110111110010000 e +b1101000010011101110111110010000 "" +0. +1% +#9831010 +b1010010011001100100101000100100 F" +#9831500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9832000 +1\ +1[ +0@" +b11111 3" +0_ +0b +0a +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9832500 +b10111 5 +b10111 L +b10111 W +14 +b1000000111011011000101100000001 2 +b1000000111011011000101100000001 I +b1000000111011011000101100000001 Z +b110 3 +b110 J +b110 Y +11 +b10111 ' +b10111 D +1) +b1000000111011011000101100000001 , +b1000000111011011000101100000001 H +b110 + +b110 G +1- +1. +0% +#9833000 +0] +0i +b10010010111001110000101101010011 { +b10010010111001110000101101010011 A" +0\ +1>" +1@" +0h +0g +1:" +1;" +b11001 3" +1^ +1_ +b1000 0" +1j +0k +18" +1l +1b +12" +1n +1m +1/" +b1000000111011011000101100000001 &" +b1000000111011011000101100000001 ?" +b110 %" +b110 *" +b110 1" +1'" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#9833010 +b1000000111011011000101100000001 I" +#9833500 +b10100 5 +b10100 L +b10100 W +b1001 7 +b1001 N +b1001 V +16 +b1010010110100011110000111000 2 +b1010010110100011110000111000 I +b1010010110100011110000111000 Z +b1110 3 +b1110 J +b1110 Y +b10100 ' +b10100 D +b1001 & +b1001 C +1( +b1010010110100011110000111000 , +b1010010110100011110000111000 H +b1110 + +b1110 G +1. +0% +#9834000 +0^ +0s +b111101010000111101001001011001 | +b111101010000111101001001011001 B" +1=" +1h +1g +0q +15" +17" +b10001 3" +1d +b1011 0" +b10100011101111010100101001011 { +b10100011101111010100101001011 A" +b10110 -" +1y +1u +0n +0m +1w +1," +b10010010111001110000101101010011 / +b10010010111001110000101101010011 K +b10010010111001110000101101010011 e +b10010010111001110000101101010011 "" +b1010010110100011110000111000 &" +b1010010110100011110000111000 ?" +b1110 %" +b1110 *" +b1110 1" +b10100 ~ +b10100 )" +b10100 ." +b1001 } +b1001 (" +b1001 +" +1#" +0. +1% +#9834010 +b1010010110100011110000111000 Q" +#9834500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b110 & +b110 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9835000 +16" +1] +1i +1t +1\ +0=" +0>" +0@" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +1q +05" +b11111 3" +0d +0_ +b11111 0" +0j +08" +0l +b11001 -" +0y +b1000000111011011000101100000001 | +b1000000111011011000101100000001 B" +0b +02" +0/" +1x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +b10100011101111010100101001011 / +b10100011101111010100101001011 K +b10100011101111010100101001011 e +b10100011101111010100101001011 "" +b111101010000111101001001011001 0 +b111101010000111101001001011001 M +b111101010000111101001001011001 f +b111101010000111101001001011001 !" +0. +1% +#9835500 +b11001 5 +b11001 L +b11001 W +14 +b11011 7 +b11011 N +b11011 V +b11001 ' +b11001 D +1) +b11011 & +b11011 C +1. +0% +#9836000 +15" +0i +b11010011100000100010001111100100 { +b11010011100000100010001111100100 A" +0u +1y +0g +19" +1;" +0q +06" +b110 0" +1o +0k +18" +1l +b100 -" +14" +1v +0t +b1101110111110110101101100001 | +b1101110111110110101101100001 B" +1m +1/" +1w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000000111011011000101100000001 0 +b1000000111011011000101100000001 M +b1000000111011011000101100000001 f +b1000000111011011000101100000001 !" +b11001 ~ +b11001 )" +b11001 ." +1$" +b11011 } +b11011 (" +b11011 +" +0. +1% +#9836500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +b0 & +b0 C +0( +1. +0% +#9837000 +1i +1s +0h +1g +09" +1r +1q +05" +07" +b1101 0" +0o +b100011101001010010111011010001 { +b100011101001010010111011010001 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0m +0x +0w +0," +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +b11010011100000100010001111100100 / +b11010011100000100010001111100100 K +b11010011100000100010001111100100 e +b11010011100000100010001111100100 "" +b1101110111110110101101100001 0 +b1101110111110110101101100001 M +b1101110111110110101101100001 f +b1101110111110110101101100001 !" +0. +1% +#9837500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9838000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0/" +b100011101001010010111011010001 / +b100011101001010010111011010001 K +b100011101001010010111011010001 e +b100011101001010010111011010001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9838500 +b10001 5 +b10001 L +b10001 W +14 +b11111101010011101100001001110100 2 +b11111101010011101100001001110100 I +b11111101010011101100001001110100 Z +11 +b10001 ' +b10001 D +1) +b11111101010011101100001001110100 , +b11111101010011101100001001110100 H +1- +1. +0% +#9839000 +b11001010001111110101110101111011 { +b11001010001111110101110101111011 A" +1@" +0g +1;" +1_ +b1110 0" +0k +18" +1l +12" +1m +1/" +b11111101010011101100001001110100 &" +b11111101010011101100001001110100 ?" +1'" +b10001 ~ +b10001 )" +b10001 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9839010 +b11111101010011101100001001110100 C" +#9839500 +b11110 5 +b11110 L +b11110 W +b0 2 +b0 I +b0 Z +01 +b11110 ' +b11110 D +b0 , +b0 H +0- +1. +0% +#9840000 +0i +0@" +0h +1g +19" +1:" +0_ +b1 0" +0j +0o +1p +b11001001110101100101010010110100 { +b11001001110101100101010010110100 A" +02" +1n +0m +b11001010001111110101110101111011 / +b11001010001111110101110101111011 K +b11001010001111110101110101111011 e +b11001010001111110101110101111011 "" +b0 &" +b0 ?" +0'" +b11110 ~ +b11110 )" +b11110 ." +0. +1% +#9840500 +b11011 5 +b11011 L +b11011 W +b11011 ' +b11011 D +1. +0% +#9841000 +1o +0g +19" +0:" +b100 0" +0p +b1101110111110110101101100001 { +b1101110111110110101101100001 A" +1m +b11011 ~ +b11011 )" +b11011 ." +b11001001110101100101010010110100 / +b11001001110101100101010010110100 K +b11001001110101100101010010110100 e +b11001001110101100101010010110100 "" +0. +1% +#9841500 +b0 5 +b0 L +b0 W +04 +b10110101001000010010100101001000 2 +b10110101001000010010100101001000 I +b10110101001000010010100101001000 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 ' +b0 D +0) +b10110101001000010010100101001000 , +b10110101001000010010100101001000 H +b1100 + +b1100 G +1- +1. +0% +#9842000 +0] +1i +1=" +1>" +1@" +1h +1g +09" +0;" +b10011 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +12" +0n +0m +0/" +b1101110111110110101101100001 / +b1101110111110110101101100001 K +b1101110111110110101101100001 e +b1101110111110110101101100001 "" +b10110101001000010010100101001000 &" +b10110101001000010010100101001000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9842010 +b10110101001000010010100101001000 O" +#9842500 +b11111 7 +b11111 N +b11111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 & +b11111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9843000 +1] +0s +b1100001001010110100101110101101 | +b1100001001010110100101110101101 B" +0=" +0>" +0@" +0r +0q +15" +16" +17" +b11111 3" +0d +0_ +b0 -" +0t +0y +1z +0u +14" +1v +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 } +b11111 (" +b11111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9843500 +b1100 5 +b1100 L +b1100 W +14 +b0 7 +b0 N +b0 V +06 +b11001100010000101010010101000110 2 +b11001100010000101010010101000110 I +b11001100010000101010010101000110 Z +b1110 3 +b1110 J +b1110 Y +11 +b1100 ' +b1100 D +1) +b0 & +b0 C +0( +b11001100010000101010010101000110 , +b11001100010000101010010101000110 H +b1110 + +b1110 G +1- +1. +0% +#9844000 +0] +0i +b10110101001000010010100101001000 { +b10110101001000010010100101001000 A" +1s +0\ +1=" +1>" +1@" +19" +1:" +1;" +1r +1q +05" +06" +07" +b10001 3" +0^ +0c +1d +1_ +b10011 0" +0j +0o +1p +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +1/" +0x +0w +0," +b1100001001010110100101110101101 0 +b1100001001010110100101110101101 M +b1100001001010110100101110101101 f +b1100001001010110100101110101101 !" +b11001100010000101010010101000110 &" +b11001100010000101010010101000110 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b1100 ~ +b1100 )" +b1100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9844010 +b11001100010000101010010101000110 Q" +#9844500 +b10 5 +b10 L +b10 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9845000 +1] +1i +1\ +0=" +0>" +0@" +0h +09" +0:" +b11111 3" +0d +0_ +b11101 0" +0p +b10111101000000001011100101111001 { +b10111101000000001011100101111001 A" +0b +02" +1n +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b10110101001000010010100101001000 / +b10110101001000010010100101001000 K +b10110101001000010010100101001000 e +b10110101001000010010100101001000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9845500 +b0 5 +b0 L +b0 W +04 +b11110 7 +b11110 N +b11110 V +16 +b0 ' +b0 D +0) +b11110 & +b11110 C +1( +1. +0% +#9846000 +0s +b11001001110101100101010010110100 | +b11001001110101100101010010110100 B" +1h +0;" +0r +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b1 -" +0t +0y +1z +0u +14" +1v +0n +0/" +1x +1," +b10111101000000001011100101111001 / +b10111101000000001011100101111001 K +b10111101000000001011100101111001 e +b10111101000000001011100101111001 "" +b0 ~ +b0 )" +b0 ." +0$" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#9846500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +1. +0% +#9847000 +0i +b11010011100000100010001111100100 { +b11010011100000100010001111100100 A" +1s +0g +19" +1;" +1r +05" +06" +07" +b110 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1m +1/" +0x +0," +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11001001110101100101010010110100 0 +b11001001110101100101010010110100 M +b11001001110101100101010010110100 f +b11001001110101100101010010110100 !" +0. +1% +#9847500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9848000 +1i +1g +09" +0;" +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b11010011100000100010001111100100 / +b11010011100000100010001111100100 K +b11010011100000100010001111100100 e +b11010011100000100010001111100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9848500 +b1001010100010011010101100101101 2 +b1001010100010011010101100101101 I +b1001010100010011010101100101101 Z +b100 3 +b100 J +b100 Y +11 +b1001010100010011010101100101101 , +b1001010100010011010101100101101 H +b100 + +b100 G +1- +1. +0% +#9849000 +0] +1>" +1@" +b11011 3" +1^ +1_ +12" +b1001010100010011010101100101101 &" +b1001010100010011010101100101101 ?" +b100 %" +b100 *" +b100 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9849010 +b1001010100010011010101100101101 G" +#9849500 +b111111010001010010110011011111 2 +b111111010001010010110011011111 I +b111111010001010010110011011111 Z +b1001 3 +b1001 J +b1001 Y +b111111010001010010110011011111 , +b111111010001010010110011011111 H +b1001 + +b1001 G +1. +0% +#9850000 +1=" +1c +0[ +0>" +b10110 3" +0^ +1a +b111111010001010010110011011111 &" +b111111010001010010110011011111 ?" +b1001 %" +b1001 *" +b1001 1" +0. +1% +#9850010 +b111111010001010010110011011111 L" +#9850500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9851000 +1] +1[ +0=" +0@" +b11111 3" +0c +0_ +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9851500 +b10100000010010111100100110101000 2 +b10100000010010111100100110101000 I +b10100000010010111100100110101000 Z +b11011 3 +b11011 J +b11011 Y +11 +b10100000010010111100100110101000 , +b10100000010010111100100110101000 H +b11011 + +b11011 G +1- +1. +0% +#9852000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b10100000010010111100100110101000 &" +b10100000010010111100100110101000 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +0. +1% +#9852010 +b10100000010010111100100110101000 ^" +#9852500 +b1100 5 +b1100 L +b1100 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1100 ' +b1100 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9853000 +1] +0i +b10110101001000010010100101001000 { +b10110101001000010010100101001000 A" +1\ +1[ +0=" +0@" +19" +1:" +1;" +b11111 3" +0c +0<" +0` +b10011 0" +0j +0o +1p +1k +0b +0a +02" +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1100 ~ +b1100 )" +b1100 ." +1$" +0. +1% +#9853500 +b0 5 +b0 L +b0 W +04 +b100100011011001000011011101101 2 +b100100011011001000011011101101 I +b100100011011001000011011101101 Z +b11001 3 +b11001 J +b11001 Y +11 +b0 ' +b0 D +0) +b100100011011001000011011101101 , +b100100011011001000011011101101 H +b11001 + +b11001 G +1- +1. +0% +#9854000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +1=" +1@" +09" +0:" +0;" +b110 3" +1c +0_ +1<" +1` +b11111 0" +0p +0k +1a +12" +0/" +b10110101001000010010100101001000 / +b10110101001000010010100101001000 K +b10110101001000010010100101001000 e +b10110101001000010010100101001000 "" +b100100011011001000011011101101 &" +b100100011011001000011011101101 ?" +b11001 %" +b11001 *" +b11001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9854010 +b100100011011001000011011101101 \" +#9854500 +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9855000 +1] +b10111101000000001011100101111001 | +b10111101000000001011100101111001 B" +1[ +0=" +0@" +0r +17" +b11111 3" +0c +0<" +0` +b11101 -" +1u +0a +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 } +b10 (" +b10 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9855500 +b110 5 +b110 L +b110 W +14 +b11001 7 +b11001 N +b11001 V +b110 ' +b110 D +1) +b11001 & +b11001 C +1. +0% +#9856000 +0i +b1000000111011011000101100000001 { +b1000000111011011000101100000001 A" +0u +0s +0h +1:" +1;" +1r +0q +15" +b11001 0" +1j +1k +b110 -" +14" +1v +1y +b100100011011001000011011101101 | +b100100011011001000011011101101 B" +1n +1/" +0x +1w +b10111101000000001011100101111001 0 +b10111101000000001011100101111001 M +b10111101000000001011100101111001 f +b10111101000000001011100101111001 !" +b110 ~ +b110 )" +b110 ." +1$" +b11001 } +b11001 (" +b11001 +" +0. +1% +#9856500 +b10100 5 +b10100 L +b10100 W +b10100 7 +b10100 N +b10100 V +b1110010011011101111000100100010 2 +b1110010011011101111000100100010 I +b1110010011011101111000100100010 Z +b10111 3 +b10111 J +b10111 Y +11 +b10100 ' +b10100 D +b10100 & +b10100 C +b1110010011011101111000100100010 , +b1110010011011101111000100100010 H +b10111 + +b10111 G +1- +1. +0% +#9857000 +16" +0] +0k +1t +0\ +0[ +1>" +1@" +1h +1q +05" +b1000 3" +1^ +0_ +1<" +1` +b1011 0" +18" +1l +b10100011101111010100101001011 { +b10100011101111010100101001011 A" +b1011 -" +0y +b10100011101111010100101001011 | +b10100011101111010100101001011 B" +1b +1a +12" +0n +0w +b1110010011011101111000100100010 &" +b1110010011011101111000100100010 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b10100 ~ +b10100 )" +b10100 ." +b10100 } +b10100 (" +b10100 +" +b1000000111011011000101100000001 / +b1000000111011011000101100000001 K +b1000000111011011000101100000001 e +b1000000111011011000101100000001 "" +b100100011011001000011011101101 0 +b100100011011001000011011101101 M +b100100011011001000011011101101 f +b100100011011001000011011101101 !" +0. +1% +#9857010 +b1110010011011101111000100100010 Z" +#9857500 +b1000 5 +b1000 L +b1000 W +b10111 7 +b10111 N +b10111 V +b1100000110110100000100001100111 2 +b1100000110110100000100001100111 I +b1100000110110100000100001100111 Z +b100 3 +b100 J +b100 Y +b1000 ' +b1000 D +b10111 & +b10111 C +b1100000110110100000100001100111 , +b1100000110110100000100001100111 H +b100 + +b100 G +1. +0% +#9858000 +19" +1_ +1k +1o +1\ +1[ +1@" +1;" +b10101001010110001111010110000000 { +b10101001010110001111010110000000 A" +0:" +0r +0q +b11011 3" +0<" +0` +b10111 0" +08" +0l +0j +b1000 -" +b1110010011011101111000100100010 | +b1110010011011101111000100100010 B" +0b +0a +1x +1w +b10100011101111010100101001011 / +b10100011101111010100101001011 K +b10100011101111010100101001011 e +b10100011101111010100101001011 "" +b10100011101111010100101001011 0 +b10100011101111010100101001011 M +b10100011101111010100101001011 f +b10100011101111010100101001011 !" +b1100000110110100000100001100111 &" +b1100000110110100000100001100111 ?" +b100 %" +b100 *" +b100 1" +b1000 ~ +b1000 )" +b1000 ." +b10111 } +b10111 (" +b10111 +" +0. +1% +#9858010 +b1100000110110100000100001100111 G" +#9858500 +b0 5 +b0 L +b0 W +04 +b11111 7 +b11111 N +b11111 V +b10000110110110010000100010100001 2 +b10000110110110010000100010100001 I +b10000110110110010000100010100001 Z +b10000 3 +b10000 J +b10000 Y +b0 ' +b0 D +0) +b11111 & +b11111 C +b10000110110110010000100010100001 , +b10000110110110010000100010100001 H +b10000 + +b10000 G +1. +0% +#9859000 +0_ +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0t +b1100001001010110100101110101101 | +b1100001001010110100101110101101 B" +0>" +09" +0;" +15" +b1111 3" +1<" +1` +0^ +b11111 0" +0o +0k +b0 -" +1z +0/" +b10000110110110010000100010100001 &" +b10000110110110010000100010100001 ?" +b10000 %" +b10000 *" +b10000 1" +b0 ~ +b0 )" +b0 ." +0$" +b11111 } +b11111 (" +b11111 +" +b10101001010110001111010110000000 / +b10101001010110001111010110000000 K +b10101001010110001111010110000000 e +b10101001010110001111010110000000 "" +b1110010011011101111000100100010 0 +b1110010011011101111000100100010 M +b1110010011011101111000100100010 f +b1110010011011101111000100100010 !" +0. +1% +#9859010 +b10000110110110010000100010100001 S" +#9859500 +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9860000 +1y +0@" +1r +1q +15" +06" +b11111 3" +0<" +0` +b111 -" +0z +b11000100000101010110100101100110 | +b11000100000101010110100101100110 B" +02" +0x +0w +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100001001010110100101110101101 0 +b1100001001010110100101110101101 M +b1100001001010110100101110101101 f +b1100001001010110100101110101101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 } +b11000 (" +b11000 +" +0. +1% +#9860500 +b0 7 +b0 N +b0 V +06 +b1011101111101010110100111101111 2 +b1011101111101010110100111101111 I +b1011101111101010110100111101111 Z +b11110 3 +b11110 J +b11110 Y +11 +b0 & +b0 C +0( +b1011101111101010110100111101111 , +b1011101111101010110100111101111 H +b11110 + +b11110 G +1- +1. +0% +#9861000 +0] +1s +0\ +1=" +1>" +1@" +05" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b11111 -" +0y +04" +0v +1b +12" +0," +b1011101111101010110100111101111 &" +b1011101111101010110100111101111 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11000100000101010110100101100110 0 +b11000100000101010110100101100110 M +b11000100000101010110100101100110 f +b11000100000101010110100101100110 !" +0. +1% +#9861010 +b1011101111101010110100111101111 a" +#9861500 +b100 5 +b100 L +b100 W +14 +b1000100100010110101011000111101 2 +b1000100100010110101011000111101 I +b1000100100010110101011000111101 Z +b10010 3 +b10010 J +b10010 Y +b100 ' +b100 D +1) +b1000100100010110101011000111101 , +b1000100100010110101011000111101 H +b10010 + +b10010 G +1. +0% +#9862000 +1] +0i +b1100000110110100000100001100111 { +b1100000110110100000100001100111 A" +0=" +0>" +1:" +1;" +b1101 3" +0d +b11011 0" +1j +1k +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1000100100010110101011000111101 &" +b1000100100010110101011000111101 ?" +b10010 %" +b10010 *" +b10010 1" +b100 ~ +b100 )" +b100 ." +1$" +0. +1% +#9862010 +b1000100100010110101011000111101 U" +#9862500 +b10110 5 +b10110 L +b10110 W +b110101101101100100110000010100 2 +b110101101101100100110000010100 I +b110101101101100100110000010100 Z +b11000 3 +b11000 J +b11000 Y +b10110 ' +b10110 D +b110101101101100100110000010100 , +b110101101101100100110000010100 H +b11000 + +b11000 G +1. +0% +#9863000 +0] +0k +1\ +1=" +0h +b111 3" +1c +b1001 0" +18" +1l +b10110000001101011101010010010100 { +b10110000001101011101010010010100 A" +0b +1n +b110101101101100100110000010100 &" +b110101101101100100110000010100 ?" +b11000 %" +b11000 *" +b11000 1" +b10110 ~ +b10110 )" +b10110 ." +b1100000110110100000100001100111 / +b1100000110110100000100001100111 K +b1100000110110100000100001100111 e +b1100000110110100000100001100111 "" +0. +1% +#9863010 +b110101101101100100110000010100 [" +#9863500 +b10101 5 +b10101 L +b10101 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9864000 +1] +0=" +0@" +1h +0g +b11111 3" +0c +0<" +0` +b1010 0" +b11110010100011101011001001010111 { +b11110010100011101011001001010111 A" +02" +0n +1m +b10110000001101011101010010010100 / +b10110000001101011101010010010100 K +b10110000001101011101010010010100 e +b10110000001101011101010010010100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +0. +1% +#9864500 +b0 5 +b0 L +b0 W +04 +b1000111000110010110010111101100 2 +b1000111000110010110010111101100 I +b1000111000110010110010111101100 Z +b11011 3 +b11011 J +b11011 Y +11 +b0 ' +b0 D +0) +b1000111000110010110010111101100 , +b1000111000110010110010111101100 H +b11011 + +b11011 G +1- +1. +0% +#9865000 +0] +1i +0\ +0[ +1=" +1@" +1g +0:" +0;" +b100 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +1a +12" +0m +0/" +b1000111000110010110010111101100 &" +b1000111000110010110010111101100 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110010100011101011001001010111 / +b11110010100011101011001001010111 K +b11110010100011101011001001010111 e +b11110010100011101011001001010111 "" +0. +1% +#9865010 +b1000111000110010110010111101100 ^" +#9865500 +b11011 5 +b11011 L +b11011 W +14 +b100011100000010100100101000011 2 +b100011100000010100100101000011 I +b100011100000010100100101000011 Z +b1000 3 +b1000 J +b1000 Y +b11011 ' +b11011 D +1) +b100011100000010100100101000011 , +b100011100000010100100101000011 H +b1000 + +b1000 G +1. +0% +#9866000 +1_ +0i +b1000111000110010110010111101100 { +b1000111000110010110010111101100 A" +1\ +1[ +1@" +0h +0g +19" +1;" +b10111 3" +0<" +0` +b100 0" +1o +0k +18" +1l +0b +0a +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100011100000010100100101000011 &" +b100011100000010100100101000011 ?" +b1000 %" +b1000 *" +b1000 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +0. +1% +#9866010 +b100011100000010100100101000011 K" +#9866500 +b1111 5 +b1111 L +b1111 W +b11110 7 +b11110 N +b11110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 ' +b1111 D +b11110 & +b11110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9867000 +1] +1k +0o +0s +b1011101111101010110100111101111 | +b1011101111101010110100111101111 B" +0=" +0@" +1;" +b11111001101001010111000010011101 { +b11111001101001010111000010011101 A" +1:" +0r +15" +16" +17" +b11111 3" +0c +0_ +b10000 0" +08" +0l +1p +b1 -" +0t +0y +1z +0u +14" +1v +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 ~ +b1111 )" +b1111 ." +b11110 } +b11110 (" +b11110 +" +1#" +b1000111000110010110010111101100 / +b1000111000110010110010111101100 K +b1000111000110010110010111101100 e +b1000111000110010110010111101100 "" +0. +1% +#9867500 +b11110 5 +b11110 L +b11110 W +b0 7 +b0 N +b0 V +06 +b11001010000110111001000011011110 2 +b11001010000110111001000011011110 I +b11001010000110111001000011011110 Z +b11111 3 +b11111 J +b11111 Y +11 +b11110 ' +b11110 D +b0 & +b0 C +0( +b11001010000110111001000011011110 , +b11001010000110111001000011011110 H +b11111 + +b11111 G +1- +1. +0% +#9868000 +0] +0k +1s +0\ +0[ +1=" +1>" +1@" +1g +1r +05" +06" +07" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1 0" +18" +1l +b1011101111101010110100111101111 { +b1011101111101010110100111101111 A" +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +1a +12" +0m +0x +0," +b11111001101001010111000010011101 / +b11111001101001010111000010011101 K +b11111001101001010111000010011101 e +b11111001101001010111000010011101 "" +b1011101111101010110100111101111 0 +b1011101111101010110100111101111 M +b1011101111101010110100111101111 f +b1011101111101010110100111101111 !" +b11001010000110111001000011011110 &" +b11001010000110111001000011011110 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11110 ~ +b11110 )" +b11110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9868500 +b11011 5 +b11011 L +b11011 W +b1001101110111000010001111010101 2 +b1001101110111000010001111010101 I +b1001101110111000010001111010101 Z +b100 3 +b100 J +b100 Y +b11011 ' +b11011 D +b1001101110111000010001111010101 , +b1001101110111000010001111010101 H +b100 + +b100 G +1. +0% +#9869000 +1_ +1^ +1o +1\ +1[ +1@" +0=" +1>" +0g +19" +0:" +b11011 3" +0<" +0` +0d +b100 0" +0p +b1000111000110010110010111101100 { +b1000111000110010110010111101100 A" +0b +0a +1m +b1001101110111000010001111010101 &" +b1001101110111000010001111010101 ?" +b100 %" +b100 *" +b100 1" +b11011 ~ +b11011 )" +b11011 ." +b1011101111101010110100111101111 / +b1011101111101010110100111101111 K +b1011101111101010110100111101111 e +b1011101111101010110100111101111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9869010 +b1001101110111000010001111010101 G" +#9869500 +b100 5 +b100 L +b100 W +b10010100100100110011100101101111 2 +b10010100100100110011100101101111 I +b10010100100100110011100101101111 Z +b1 3 +b1 J +b1 Y +b100 ' +b100 D +b10010100100100110011100101101111 , +b10010100100100110011100101101111 H +b1 + +b1 G +1. +0% +#9870000 +1:" +1] +1k +1j +0[ +0>" +1h +1g +1;" +09" +b11110 3" +0^ +b11011 0" +08" +0l +0o +b1001101110111000010001111010101 { +b1001101110111000010001111010101 A" +1a +0n +0m +b1000111000110010110010111101100 / +b1000111000110010110010111101100 K +b1000111000110010110010111101100 e +b1000111000110010110010111101100 "" +b10010100100100110011100101101111 &" +b10010100100100110011100101101111 ?" +b1 %" +b1 *" +b1 1" +b100 ~ +b100 )" +b100 ." +0. +1% +#9870010 +b10010100100100110011100101101111 D" +#9870500 +b110 5 +b110 L +b110 W +b11 7 +b11 N +b11 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b110 ' +b110 D +b11 & +b11 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9871000 +b1010010011001100100101000100100 | +b1010010011001100100101000100100 B" +1[ +0@" +0h +0r +0q +17" +b11111 3" +0_ +b11001 0" +b1000000111011011000101100000001 { +b1000000111011011000101100000001 A" +b11100 -" +1u +0a +02" +1n +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b110 ~ +b110 )" +b110 ." +b11 } +b11 (" +b11 +" +1#" +b1001101110111000010001111010101 / +b1001101110111000010001111010101 K +b1001101110111000010001111010101 e +b1001101110111000010001111010101 "" +0. +1% +#9871500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9872000 +1i +1h +0:" +0;" +1r +1q +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0/" +0x +0w +0," +b1000000111011011000101100000001 / +b1000000111011011000101100000001 K +b1000000111011011000101100000001 e +b1000000111011011000101100000001 "" +b1010010011001100100101000100100 0 +b1010010011001100100101000100100 M +b1010010011001100100101000100100 f +b1010010011001100100101000100100 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9872500 +b1011011111101100111010111000101 2 +b1011011111101100111010111000101 I +b1011011111101100111010111000101 Z +b11011 3 +b11011 J +b11011 Y +11 +b1011011111101100111010111000101 , +b1011011111101100111010111000101 H +b11011 + +b11011 G +1- +1. +0% +#9873000 +0] +0\ +0[ +1=" +1@" +b100 3" +1c +0_ +1<" +1` +1b +1a +12" +b1011011111101100111010111000101 &" +b1011011111101100111010111000101 ?" +b11011 %" +b11011 *" +b11011 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9873010 +b1011011111101100111010111000101 ^" +#9873500 +b11110 7 +b11110 N +b11110 V +16 +b1001011100101110101001100000111 2 +b1001011100101110101001100000111 I +b1001011100101110101001100000111 Z +b10011 3 +b10011 J +b10011 Y +b11110 & +b11110 C +1( +b1001011100101110101001100000111 , +b1001011100101110101001100000111 H +b10011 + +b10011 G +1. +0% +#9874000 +1] +0s +b1011101111101010110100111101111 | +b1011101111101010110100111101111 B" +0=" +0r +15" +16" +17" +b1100 3" +0c +b1 -" +0t +0y +1z +0u +14" +1v +1x +1," +b1001011100101110101001100000111 &" +b1001011100101110101001100000111 ?" +b10011 %" +b10011 *" +b10011 1" +b11110 } +b11110 (" +b11110 +" +1#" +0. +1% +#9874010 +b1001011100101110101001100000111 V" +#9874500 +b11001 5 +b11001 L +b11001 W +14 +b0 7 +b0 N +b0 V +06 +b111111110010101001111101100110 2 +b111111110010101001111101100110 I +b111111110010101001111101100110 Z +b10001 3 +b10001 J +b10001 Y +b11001 ' +b11001 D +1) +b0 & +b0 C +0( +b111111110010101001111101100110 , +b111111110010101001111101100110 H +b10001 + +b10001 G +1. +0% +#9875000 +0i +b100100011011001000011011101101 { +b100100011011001000011011101101 A" +1s +1\ +0g +19" +1;" +1r +05" +06" +07" +b1110 3" +b110 0" +1o +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1m +1/" +0x +0," +b111111110010101001111101100110 &" +b111111110010101001111101100110 ?" +b10001 %" +b10001 *" +b10001 1" +b11001 ~ +b11001 )" +b11001 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1011101111101010110100111101111 0 +b1011101111101010110100111101111 M +b1011101111101010110100111101111 f +b1011101111101010110100111101111 !" +0. +1% +#9875010 +b111111110010101001111101100110 T" +#9875500 +b0 5 +b0 L +b0 W +04 +b1001111011110011011111000 2 +b1001111011110011011111000 I +b1001111011110011011111000 Z +b1101 3 +b1101 J +b1101 Y +b0 ' +b0 D +0) +b1001111011110011011111000 , +b1001111011110011011111000 H +b1101 + +b1101 G +1. +0% +#9876000 +1_ +0] +1i +1@" +1=" +1>" +1g +09" +0;" +b10010 3" +0<" +0` +0^ +0c +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b100100011011001000011011101101 / +b100100011011001000011011101101 K +b100100011011001000011011101101 e +b100100011011001000011011101101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001111011110011011111000 &" +b1001111011110011011111000 ?" +b1101 %" +b1101 *" +b1101 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9876010 +b1001111011110011011111000 P" +#9876500 +b1000 5 +b1000 L +b1000 W +14 +b11011 7 +b11011 N +b11011 V +16 +b10000011000100110010001111010011 2 +b10000011000100110010001111010011 I +b10000011000100110010001111010011 Z +b10111 3 +b10111 J +b10111 Y +b1000 ' +b1000 D +1) +b11011 & +b11011 C +1( +b10000011000100110010001111010011 , +b10000011000100110010001111010011 H +b10111 + +b10111 G +1. +0% +#9877000 +0_ +1^ +0i +b100011100000010100100101000011 { +b100011100000010100100101000011 A" +0s +b1011011111101100111010111000101 | +b1011011111101100111010111000101 B" +0\ +0=" +1>" +19" +1;" +0r +0q +15" +17" +b1000 3" +1<" +1` +0d +b10111 0" +1o +1k +b100 -" +1y +0u +14" +1v +1b +1/" +1x +1w +1," +b10000011000100110010001111010011 &" +b10000011000100110010001111010011 ?" +b10111 %" +b10111 *" +b10111 1" +b1000 ~ +b1000 )" +b1000 ." +1$" +b11011 } +b11011 (" +b11011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9877010 +b10000011000100110010001111010011 Z" +#9877500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9878000 +1] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1s +1\ +1[ +0>" +0@" +09" +0;" +1r +1q +05" +07" +b11111 3" +0^ +0<" +0` +b11111 0" +0o +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0/" +0x +0w +0," +b100011100000010100100101000011 / +b100011100000010100100101000011 K +b100011100000010100100101000011 e +b100011100000010100100101000011 "" +b1011011111101100111010111000101 0 +b1011011111101100111010111000101 M +b1011011111101100111010111000101 f +b1011011111101100111010111000101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9878500 +b11100 5 +b11100 L +b11100 W +14 +b1000 7 +b1000 N +b1000 V +16 +b111001001101001000101010100111 2 +b111001001101001000101010100111 I +b111001001101001000101010100111 Z +b1100 3 +b1100 J +b1100 Y +11 +b11100 ' +b11100 D +1) +b1000 & +b1000 C +1( +b111001001101001000101010100111 , +b111001001101001000101010100111 H +b1100 + +b1100 G +1- +1. +0% +#9879000 +0] +0i +b10110101001111111011111100000100 { +b10110101001111111011111100000100 A" +0s +b100011100000010100100101000011 | +b100011100000010100100101000011 B" +1=" +1>" +1@" +19" +1:" +1;" +15" +17" +b10011 3" +0^ +0c +1d +1_ +b11 0" +0j +0o +1p +0k +18" +1l +b10111 -" +1y +1u +12" +1/" +1," +b111001001101001000101010100111 &" +b111001001101001000101010100111 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9879010 +b111001001101001000101010100111 O" +#9879500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9880000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0=" +0>" +0@" +05" +07" +b11111 3" +0d +0_ +b11111 -" +0y +0u +02" +0," +b10110101001111111011111100000100 / +b10110101001111111011111100000100 K +b10110101001111111011111100000100 e +b10110101001111111011111100000100 "" +b100011100000010100100101000011 0 +b100011100000010100100101000011 M +b100011100000010100100101000011 f +b100011100000010100100101000011 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9880500 +b0 5 +b0 L +b0 W +04 +16 +b0 ' +b0 D +0) +1( +1. +0% +#9881000 +1i +b11111101010011101100001001110100 | +b11111101010011101100001001110100 B" +09" +0:" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +17" +b11111 0" +0p +08" +0l +1u +0/" +1," +b0 ~ +b0 )" +b0 ." +0$" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9881500 +b1101 5 +b1101 L +b1101 W +14 +b1010 7 +b1010 N +b1010 V +b1101 ' +b1101 D +1) +b1010 & +b1010 C +1. +0% +#9882000 +0i +b1001111011110011011111000 { +b1001111011110011011111000 A" +0s +0g +19" +1:" +1;" +0r +15" +b10010 0" +0j +0o +1p +1k +b10101 -" +1y +b111001110101100111011011011100 | +b111001110101100111011011011100 B" +1m +1/" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101010011101100001001110100 0 +b11111101010011101100001001110100 M +b11111101010011101100001001110100 f +b11111101010011101100001001110100 !" +b1101 ~ +b1101 )" +b1101 ." +1$" +b1010 } +b1010 (" +b1010 +" +0. +1% +#9882500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9883000 +1i +1s +1g +09" +0:" +0;" +1r +05" +07" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0/" +0x +0," +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1001111011110011011111000 / +b1001111011110011011111000 K +b1001111011110011011111000 e +b1001111011110011011111000 "" +b111001110101100111011011011100 0 +b111001110101100111011011011100 M +b111001110101100111011011011100 f +b111001110101100111011011011100 !" +0. +1% +#9883500 +b101 7 +b101 N +b101 V +16 +b101 & +b101 C +1( +1. +0% +#9884000 +0s +b1101000000000100011000001100110 | +b1101000000000100011000001100110 B" +0q +16" +17" +b11010 -" +1t +1u +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101 } +b101 (" +b101 +" +1#" +0. +1% +#9884500 +b10111 5 +b10111 L +b10111 W +14 +b1011 7 +b1011 N +b1011 V +b10111 ' +b10111 D +1) +b1011 & +b1011 C +1. +0% +#9885000 +15" +0i +b10000011000100110010001111010011 { +b10000011000100110010001111010011 A" +1y +0h +0g +1:" +1;" +0r +06" +b1000 0" +1j +0k +18" +1l +b10100 -" +0t +b11011011010000100111111001011110 | +b11011011010000100111111001011110 B" +1n +1m +1/" +1x +b10111 ~ +b10111 )" +b10111 ." +1$" +b1011 } +b1011 (" +b1011 +" +b1101000000000100011000001100110 0 +b1101000000000100011000001100110 M +b1101000000000100011000001100110 f +b1101000000000100011000001100110 !" +0. +1% +#9885500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 ' +b0 D +0) +b0 & +b0 C +0( +1. +0% +#9886000 +1i +1s +1h +1g +0:" +0;" +1r +1q +05" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0n +0m +0/" +0x +0w +0," +b10000011000100110010001111010011 / +b10000011000100110010001111010011 K +b10000011000100110010001111010011 e +b10000011000100110010001111010011 "" +b11011011010000100111111001011110 0 +b11011011010000100111111001011110 M +b11011011010000100111111001011110 f +b11011011010000100111111001011110 !" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9886500 +1. +0% +#9887000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9887500 +1. +0% +#9888000 +0. +1% +#9888500 +b1010101001110100110011011010100 2 +b1010101001110100110011011010100 I +b1010101001110100110011011010100 Z +b10111 3 +b10111 J +b10111 Y +11 +b1010101001110100110011011010100 , +b1010101001110100110011011010100 H +b10111 + +b10111 G +1- +1. +0% +#9889000 +0] +0\ +0[ +1>" +1@" +b1000 3" +1^ +0_ +1<" +1` +1b +1a +12" +b1010101001110100110011011010100 &" +b1010101001110100110011011010100 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +0. +1% +#9889010 +b1010101001110100110011011010100 Z" +#9889500 +b110 7 +b110 N +b110 V +16 +b1100011010101001000100110001 2 +b1100011010101001000100110001 I +b1100011010101001000100110001 Z +b11100 3 +b11100 J +b11100 Y +b110 & +b110 C +1( +b1100011010101001000100110001 , +b1100011010101001000100110001 H +b11100 + +b11100 G +1. +0% +#9890000 +0^ +0s +b1000000111011011000101100000001 | +b1000000111011011000101100000001 B" +1\ +1[ +1=" +0r +16" +17" +b11 3" +1d +b11001 -" +1t +1u +0b +0a +1x +1," +b1100011010101001000100110001 &" +b1100011010101001000100110001 ?" +b11100 %" +b11100 *" +b11100 1" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#9890010 +b1100011010101001000100110001 _" +#9890500 +b11010 5 +b11010 L +b11010 W +14 +b0 7 +b0 N +b0 V +06 +b11010101001101010001011010011 2 +b11010101001101010001011010011 I +b11010101001101010001011010011 Z +b100 3 +b100 J +b100 Y +b11010 ' +b11010 D +1) +b0 & +b0 C +0( +b11010101001101010001011010011 , +b11010101001101010001011010011 H +b100 + +b100 G +1. +0% +#9891000 +1_ +1^ +0i +b111101110111110011110110011110 { +b111101110111110011110110011110 A" +1s +1@" +0=" +1>" +0h +19" +1;" +1r +06" +07" +b11011 3" +0<" +0` +0d +b101 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1/" +0x +0," +b11010101001101010001011010011 &" +b11010101001101010001011010011 ?" +b100 %" +b100 *" +b100 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b1000000111011011000101100000001 0 +b1000000111011011000101100000001 M +b1000000111011011000101100000001 f +b1000000111011011000101100000001 !" +0. +1% +#9891010 +b11010101001101010001011010011 G" +#9891500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b110 & +b110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9892000 +1] +1i +0s +b1000000111011011000101100000001 | +b1000000111011011000101100000001 B" +0>" +0@" +1h +09" +0;" +0r +16" +17" +b11111 3" +0^ +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +1t +1u +02" +0n +0/" +1x +1," +b111101110111110011110110011110 / +b111101110111110011110110011110 K +b111101110111110011110110011110 e +b111101110111110011110110011110 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#9892500 +b100 7 +b100 N +b100 V +b11000100000001001110101110111010 2 +b11000100000001001110101110111010 I +b11000100000001001110101110111010 Z +b11010 3 +b11010 J +b11010 Y +11 +b100 & +b100 C +b11000100000001001110101110111010 , +b11000100000001001110101110111010 H +b11010 + +b11010 G +1- +1. +0% +#9893000 +0] +0\ +1=" +1@" +1r +b101 3" +1c +0_ +1<" +1` +b11011 -" +b11010101001101010001011010011 | +b11010101001101010001011010011 B" +1b +12" +0x +b11000100000001001110101110111010 &" +b11000100000001001110101110111010 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b100 } +b100 (" +b100 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000000111011011000101100000001 0 +b1000000111011011000101100000001 M +b1000000111011011000101100000001 f +b1000000111011011000101100000001 !" +0. +1% +#9893010 +b11000100000001001110101110111010 ]" +#9893500 +b0 7 +b0 N +b0 V +06 +b11010011100001101001101100001001 2 +b11010011100001101001101100001001 I +b11010011100001101001101100001001 Z +b11100 3 +b11100 J +b11100 Y +b0 & +b0 C +0( +b11010011100001101001101100001001 , +b11010011100001101001101100001001 H +b11100 + +b11100 G +1. +0% +#9894000 +0c +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1>" +06" +07" +b11 3" +1d +b11111 -" +0t +0u +0b +0," +b11010101001101010001011010011 0 +b11010101001101010001011010011 M +b11010101001101010001011010011 f +b11010101001101010001011010011 !" +b11010011100001101001101100001001 &" +b11010011100001101001101100001001 ?" +b11100 %" +b11100 *" +b11100 1" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9894010 +b11010011100001101001101100001001 _" +#9894500 +b1001 7 +b1001 N +b1001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9895000 +1] +0s +b111111010001010010110011011111 | +b111111010001010010110011011111 B" +0=" +0>" +0@" +0q +15" +17" +b11111 3" +0d +0<" +0` +b10110 -" +1y +1u +02" +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9895500 +b11101 7 +b11101 N +b11101 V +b11101 & +b11101 C +1. +0% +#9896000 +0u +0y +b11110101101011011000010101110100 | +b11110101101011011000010101110100 B" +16" +b10 -" +14" +1v +1z +b111111010001010010110011011111 0 +b111111010001010010110011011111 M +b111111010001010010110011011111 f +b111111010001010010110011011111 !" +b11101 } +b11101 (" +b11101 +" +0. +1% +#9896500 +b10100001001010001000011011110100 2 +b10100001001010001000011011110100 I +b10100001001010001000011011110100 Z +b1 3 +b1 J +b1 Y +11 +b10100001001010001000011011110100 , +b10100001001010001000011011110100 H +b1 + +b1 G +1- +1. +0% +#9897000 +0[ +1@" +b11110 3" +1_ +1a +12" +b10100001001010001000011011110100 &" +b10100001001010001000011011110100 ?" +b1 %" +b1 *" +b1 1" +1'" +b11110101101011011000010101110100 0 +b11110101101011011000010101110100 M +b11110101101011011000010101110100 f +b11110101101011011000010101110100 !" +0. +1% +#9897010 +b10100001001010001000011011110100 D" +#9897500 +b11 5 +b11 L +b11 W +14 +b0 7 +b0 N +b0 V +06 +b111110010110001000110011101011 2 +b111110010110001000110011101011 I +b111110010110001000110011101011 Z +b10000 3 +b10000 J +b10000 Y +b11 ' +b11 D +1) +b0 & +b0 C +0( +b111110010110001000110011101011 , +b111110010110001000110011101011 H +b10000 + +b10000 G +1. +0% +#9898000 +0_ +b1010010011001100100101000100100 { +b1010010011001100100101000100100 A" +1s +1[ +0h +0g +1;" +1q +05" +06" +07" +b1111 3" +1<" +1` +b11100 0" +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0a +1n +1m +1/" +0w +0," +b111110010110001000110011101011 &" +b111110010110001000110011101011 ?" +b10000 %" +b10000 *" +b10000 1" +b11 ~ +b11 )" +b11 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9898010 +b111110010110001000110011101011 S" +#9898500 +b0 5 +b0 L +b0 W +04 +b1101100010000110101101010111111 2 +b1101100010000110101101010111111 I +b1101100010000110101101010111111 Z +b11010 3 +b11010 J +b11010 Y +b0 ' +b0 D +0) +b1101100010000110101101010111111 , +b1101100010000110101101010111111 H +b11010 + +b11010 G +1. +0% +#9899000 +0] +0\ +1=" +1h +1g +0;" +b101 3" +1c +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +0n +0m +0/" +b1101100010000110101101010111111 &" +b1101100010000110101101010111111 ?" +b11010 %" +b11010 *" +b11010 1" +b0 ~ +b0 )" +b0 ." +0$" +b1010010011001100100101000100100 / +b1010010011001100100101000100100 K +b1010010011001100100101000100100 e +b1010010011001100100101000100100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9899010 +b1101100010000110101101010111111 ]" +#9899500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9900000 +1] +1\ +0=" +0@" +b11111 3" +0c +0<" +0` +0b +02" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9900500 +b11010 5 +b11010 L +b11010 W +14 +b1100 7 +b1100 N +b1100 V +16 +b1111000011000110000000110111100 2 +b1111000011000110000000110111100 I +b1111000011000110000000110111100 Z +b110 3 +b110 J +b110 Y +11 +b11010 ' +b11010 D +1) +b1100 & +b1100 C +1( +b1111000011000110000000110111100 , +b1111000011000110000000110111100 H +b110 + +b110 G +1- +1. +0% +#9901000 +0] +0i +b1101100010000110101101010111111 { +b1101100010000110101101010111111 A" +0s +b111001001101001000101010100111 | +b111001001101001000101010100111 B" +0\ +1>" +1@" +0h +19" +1;" +15" +16" +17" +b11001 3" +1^ +1_ +b101 0" +1o +0k +18" +1l +b10011 -" +0t +0y +1z +1u +1b +12" +1n +1/" +1," +b1111000011000110000000110111100 &" +b1111000011000110000000110111100 ?" +b110 %" +b110 *" +b110 1" +1'" +b11010 ~ +b11010 )" +b11010 ." +1$" +b1100 } +b1100 (" +b1100 +" +1#" +0. +1% +#9901010 +b1111000011000110000000110111100 I" +#9901500 +b0 5 +b0 L +b0 W +04 +b10110 7 +b10110 N +b10110 V +b1000011000111100010101110101000 2 +b1000011000111100010101110101000 I +b1000011000111100010101110101000 Z +b1110 3 +b1110 J +b1110 Y +b0 ' +b0 D +0) +b10110 & +b10110 C +b1000011000111100010101110101000 , +b1000011000111100010101110101000 H +b1110 + +b1110 G +1. +0% +#9902000 +0^ +1i +0u +1t +1=" +1h +09" +0;" +0r +05" +16" +b10001 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1001 -" +14" +1v +0z +b10110000001101011101010010010100 | +b10110000001101011101010010010100 B" +0n +0/" +1x +b1101100010000110101101010111111 / +b1101100010000110101101010111111 K +b1101100010000110101101010111111 e +b1101100010000110101101010111111 "" +b111001001101001000101010100111 0 +b111001001101001000101010100111 M +b111001001101001000101010100111 f +b111001001101001000101010100111 !" +b1000011000111100010101110101000 &" +b1000011000111100010101110101000 ?" +b1110 %" +b1110 *" +b1110 1" +b0 ~ +b0 )" +b0 ." +0$" +b10110 } +b10110 (" +b10110 +" +0. +1% +#9902010 +b1000011000111100010101110101000 Q" +#9902500 +b11110 5 +b11110 L +b11110 W +14 +b11011 7 +b11011 N +b11011 V +b11101110100010000011010100010 2 +b11101110100010000011010100010 I +b11101110100010000011010100010 Z +b11000 3 +b11000 J +b11000 Y +b11110 ' +b11110 D +1) +b11011 & +b11011 C +b11101110100010000011010100010 , +b11101110100010000011010100010 H +b11000 + +b11000 G +1. +0% +#9903000 +15" +0_ +1c +0i +b1011101111101010110100111101111 { +b1011101111101010110100111101111 A" +1y +1\ +1=" +0>" +0h +19" +1:" +1;" +0q +06" +b111 3" +1<" +1` +0d +b1 0" +0j +0o +1p +0k +18" +1l +b100 -" +0t +b1011011111101100111010111000101 | +b1011011111101100111010111000101 B" +0b +1n +1/" +1w +b11101110100010000011010100010 &" +b11101110100010000011010100010 ?" +b11000 %" +b11000 *" +b11000 1" +b11110 ~ +b11110 )" +b11110 ." +1$" +b11011 } +b11011 (" +b11011 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10110000001101011101010010010100 0 +b10110000001101011101010010010100 M +b10110000001101011101010010010100 f +b10110000001101011101010010010100 !" +0. +1% +#9903010 +b11101110100010000011010100010 [" +#9903500 +b0 5 +b0 L +b0 W +04 +b10100 7 +b10100 N +b10100 V +b101011011000111000111001010 2 +b101011011000111000111001010 I +b101011011000111000111001010 Z +b1011 3 +b1011 J +b1011 Y +b0 ' +b0 D +0) +b10100 & +b10100 C +b101011011000111000111001010 , +b101011011000111000111001010 H +b1011 + +b1011 G +1. +0% +#9904000 +16" +1_ +1i +1t +0\ +0[ +1@" +1h +09" +0:" +0;" +1r +1q +05" +b10100 3" +0<" +0` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1011 -" +0y +b10100011101111010100101001011 | +b10100011101111010100101001011 B" +1b +1a +0n +0/" +0x +0w +b1011101111101010110100111101111 / +b1011101111101010110100111101111 K +b1011101111101010110100111101111 e +b1011101111101010110100111101111 "" +b1011011111101100111010111000101 0 +b1011011111101100111010111000101 M +b1011011111101100111010111000101 f +b1011011111101100111010111000101 !" +b101011011000111000111001010 &" +b101011011000111000111001010 ?" +b1011 %" +b1011 *" +b1011 1" +b0 ~ +b0 )" +b0 ." +0$" +b10100 } +b10100 (" +b10100 +" +0. +1% +#9904010 +b101011011000111000111001010 N" +#9904500 +b1101 5 +b1101 L +b1101 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1101 ' +b1101 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9905000 +1] +0i +b1001111011110011011111000 { +b1001111011110011011111000 A" +1s +1\ +1[ +0=" +0@" +0g +19" +1:" +1;" +06" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0c +0_ +b10010 0" +0j +0o +1p +1k +b11111 -" +0t +04" +0v +0b +0a +02" +1m +1/" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1101 ~ +b1101 )" +b1101 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100011101111010100101001011 0 +b10100011101111010100101001011 M +b10100011101111010100101001011 f +b10100011101111010100101001011 !" +0. +1% +#9905500 +b0 5 +b0 L +b0 W +b1001111100010111001111000111001 2 +b1001111100010111001111000111001 I +b1001111100010111001111000111001 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +b1001111100010111001111000111001 , +b1001111100010111001111000111001 H +b10100 + +b10100 G +1- +1. +0% +#9906000 +0] +1i +1>" +1@" +1g +09" +0:" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0p +b11111101010011101100001001110100 { +b11111101010011101100001001110100 A" +12" +0m +b1001111011110011011111000 / +b1001111011110011011111000 K +b1001111011110011011111000 e +b1001111011110011011111000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1001111100010111001111000111001 &" +b1001111100010111001111000111001 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0. +1% +#9906010 +b1001111100010111001111000111001 W" +#9906500 +b11001 5 +b11001 L +b11001 W +b101011011000001000001000000 2 +b101011011000001000001000000 I +b101011011000001000001000000 Z +b1100 3 +b1100 J +b1100 Y +b11001 ' +b11001 D +b101011011000001000001000000 , +b101011011000001000001000000 H +b1100 + +b1100 G +1. +0% +#9907000 +1_ +0^ +0k +0i +1@" +1=" +0g +19" +b10011 3" +0<" +0` +1d +b110 0" +18" +1l +1o +b100100011011001000011011101101 { +b100100011011001000011011101101 A" +1m +b101011011000001000001000000 &" +b101011011000001000001000000 ?" +b1100 %" +b1100 *" +b1100 1" +b11001 ~ +b11001 )" +b11001 ." +b11111101010011101100001001110100 / +b11111101010011101100001001110100 K +b11111101010011101100001001110100 e +b11111101010011101100001001110100 "" +0. +1% +#9907010 +b101011011000001000001000000 O" +#9907500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9908000 +1] +1i +0=" +0>" +0@" +1g +09" +0;" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0m +0/" +b100100011011001000011011101101 / +b100100011011001000011011101101 K +b100100011011001000011011101101 e +b100100011011001000011011101101 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9908500 +b10101 5 +b10101 L +b10101 W +14 +b10101 ' +b10101 D +1) +1. +0% +#9909000 +0i +b11110010100011101011001001010111 { +b11110010100011101011001001010111 A" +0g +1:" +1;" +b1010 0" +1j +0k +18" +1l +1m +1/" +b10101 ~ +b10101 )" +b10101 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9909500 +b1110 5 +b1110 L +b1110 W +b1110 ' +b1110 D +1. +0% +#9910000 +1k +0j +0h +1g +1;" +19" +b10001 0" +08" +0l +1p +b1000011000111100010101110101000 { +b1000011000111100010101110101000 A" +1n +0m +b11110010100011101011001001010111 / +b11110010100011101011001001010111 K +b11110010100011101011001001010111 e +b11110010100011101011001001010111 "" +b1110 ~ +b1110 )" +b1110 ." +0. +1% +#9910500 +b11000 5 +b11000 L +b11000 W +b11000 ' +b11000 D +1. +0% +#9911000 +0k +1o +1h +19" +0:" +b111 0" +18" +1l +0p +b11101110100010000011010100010 { +b11101110100010000011010100010 A" +0n +b11000 ~ +b11000 )" +b11000 ." +b1000011000111100010101110101000 / +b1000011000111100010101110101000 K +b1000011000111100010101110101000 e +b1000011000111100010101110101000 "" +0. +1% +#9911500 +b1011 5 +b1011 L +b1011 W +b1011 ' +b1011 D +1. +0% +#9912000 +1k +0h +0g +1;" +b10100 0" +08" +0l +b101011011000111000111001010 { +b101011011000111000111001010 A" +1n +1m +b11101110100010000011010100010 / +b11101110100010000011010100010 K +b11101110100010000011010100010 e +b11101110100010000011010100010 "" +b1011 ~ +b1011 )" +b1011 ." +0. +1% +#9912500 +b0 5 +b0 L +b0 W +04 +b11100010100011110101101011111111 2 +b11100010100011110101101011111111 I +b11100010100011110101101011111111 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b11100010100011110101101011111111 , +b11100010100011110101101011111111 H +b1111 + +b1111 G +1- +1. +0% +#9913000 +0] +1i +0\ +0[ +1=" +1>" +1@" +1h +1g +09" +0;" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1b +1a +12" +0n +0m +0/" +b11100010100011110101101011111111 &" +b11100010100011110101101011111111 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b101011011000111000111001010 / +b101011011000111000111001010 K +b101011011000111000111001010 e +b101011011000111000111001010 "" +0. +1% +#9913010 +b11100010100011110101101011111111 R" +#9913500 +b10010 5 +b10010 L +b10010 W +14 +b11001 7 +b11001 N +b11001 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10010 ' +b10010 D +1) +b11001 & +b11001 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9914000 +1] +b1000100100010110101011000111101 { +b1000100100010110101011000111101 A" +0s +b100100011011001000011011101101 | +b100100011011001000011011101101 B" +1\ +1[ +0=" +0>" +0@" +0h +1;" +0q +15" +17" +b11111 3" +0d +0_ +b1101 0" +0k +18" +1l +b110 -" +1y +0u +14" +1v +0b +0a +02" +1n +1/" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10010 ~ +b10010 )" +b10010 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +0. +1% +#9914500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11011110010001110010000101011100 2 +b11011110010001110010000101011100 I +b11011110010001110010000101011100 Z +b101 3 +b101 J +b101 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11011110010001110010000101011100 , +b11011110010001110010000101011100 H +b101 + +b101 G +1- +1. +0% +#9915000 +0] +1s +0[ +1>" +1@" +1h +0;" +1q +05" +07" +b11010 3" +1^ +1_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +12" +0n +0/" +0w +0," +b11011110010001110010000101011100 &" +b11011110010001110010000101011100 ?" +b101 %" +b101 *" +b101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b1000100100010110101011000111101 / +b1000100100010110101011000111101 K +b1000100100010110101011000111101 e +b1000100100010110101011000111101 "" +b100100011011001000011011101101 0 +b100100011011001000011011101101 M +b100100011011001000011011101101 f +b100100011011001000011011101101 !" +0. +1% +#9915010 +b11011110010001110010000101011100 H" +#9915500 +b11000 7 +b11000 N +b11000 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 & +b11000 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9916000 +1] +0s +b11101110100010000011010100010 | +b11101110100010000011010100010 B" +1[ +0>" +0@" +15" +17" +b11111 3" +0^ +0_ +b111 -" +1y +0u +14" +1v +0a +02" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 } +b11000 (" +b11000 +" +1#" +0. +1% +#9916500 +b10000 5 +b10000 L +b10000 W +14 +b1 7 +b1 N +b1 V +b10000 ' +b10000 D +1) +b1 & +b1 C +1. +0% +#9917000 +b111110010110001000110011101011 { +b111110010110001000110011101011 A" +1u +1s +1;" +0q +17" +05" +b1111 0" +0k +18" +1l +b11110 -" +04" +0v +0y +b10100001001010001000011011110100 | +b10100001001010001000011011110100 B" +1/" +1w +b10000 ~ +b10000 )" +b10000 ." +1$" +b1 } +b1 (" +b1 +" +b11101110100010000011010100010 0 +b11101110100010000011010100010 M +b11101110100010000011010100010 f +b11101110100010000011010100010 !" +0. +1% +#9917500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b11111000100001010101111000101011 2 +b11111000100001010101111000101011 I +b11111000100001010101111000101011 Z +b10001 3 +b10001 J +b10001 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b11111000100001010101111000101011 , +b11111000100001010101111000101011 H +b10001 + +b10001 G +1- +1. +0% +#9918000 +0[ +1@" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +07" +b1110 3" +0_ +1<" +1` +b11111 0" +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +12" +0/" +0w +0," +b111110010110001000110011101011 / +b111110010110001000110011101011 K +b111110010110001000110011101011 e +b111110010110001000110011101011 "" +b10100001001010001000011011110100 0 +b10100001001010001000011011110100 M +b10100001001010001000011011110100 f +b10100001001010001000011011110100 !" +b11111000100001010101111000101011 &" +b11111000100001010101111000101011 ?" +b10001 %" +b10001 *" +b10001 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9918010 +b11111000100001010101111000101011 T" +#9918500 +b1111 7 +b1111 N +b1111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1111 & +b1111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9919000 +0s +b11100010100011110101101011111111 | +b11100010100011110101101011111111 B" +1[ +0@" +0r +0q +15" +16" +17" +b11111 3" +0<" +0` +b10000 -" +0t +0y +1z +1u +0a +02" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1111 } +b1111 (" +b1111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9919500 +b1110 5 +b1110 L +b1110 W +14 +b10100 7 +b10100 N +b10100 V +b111011011101000001110001100000 2 +b111011011101000001110001100000 I +b111011011101000001110001100000 Z +b1100 3 +b1100 J +b1100 Y +11 +b1110 ' +b1110 D +1) +b10100 & +b10100 C +b111011011101000001110001100000 , +b111011011101000001110001100000 H +b1100 + +b1100 G +1- +1. +0% +#9920000 +0] +0i +b1000011000111100010101110101000 { +b1000011000111100010101110101000 A" +0u +1t +1=" +1>" +1@" +0h +19" +1:" +1;" +1r +1q +05" +16" +b10011 3" +0^ +0c +1d +1_ +b10001 0" +0j +0o +1p +1k +b1011 -" +14" +1v +0z +b1001111100010111001111000111001 | +b1001111100010111001111000111001 B" +12" +1n +1/" +0x +0w +b11100010100011110101101011111111 0 +b11100010100011110101101011111111 M +b11100010100011110101101011111111 f +b11100010100011110101101011111111 !" +b111011011101000001110001100000 &" +b111011011101000001110001100000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b10100 } +b10100 (" +b10100 +" +0. +1% +#9920010 +b111011011101000001110001100000 O" +#9920500 +b1 5 +b1 L +b1 W +b11000 7 +b11000 N +b11000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1 ' +b1 D +b11000 & +b11000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9921000 +15" +1] +1i +1y +b11101110100010000011010100010 | +b11101110100010000011010100010 B" +0=" +0>" +0@" +1h +0g +09" +0:" +06" +b11111 3" +0d +0_ +b11110 0" +0p +b10100001001010001000011011110100 { +b10100001001010001000011011110100 A" +b111 -" +0t +02" +0n +1m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1 ~ +b1 )" +b1 ." +b11000 } +b11000 (" +b11000 +" +b1000011000111100010101110101000 / +b1000011000111100010101110101000 K +b1000011000111100010101110101000 e +b1000011000111100010101110101000 "" +b1001111100010111001111000111001 0 +b1001111100010111001111000111001 M +b1001111100010111001111000111001 f +b1001111100010111001111000111001 !" +0. +1% +#9921500 +b0 5 +b0 L +b0 W +04 +b1110 7 +b1110 N +b1110 V +b0 ' +b0 D +0) +b1110 & +b1110 C +1. +0% +#9922000 +1u +0y +1g +0;" +0r +17" +16" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10001 -" +04" +0v +1z +b1000011000111100010101110101000 | +b1000011000111100010101110101000 B" +0m +0/" +1x +b10100001001010001000011011110100 / +b10100001001010001000011011110100 K +b10100001001010001000011011110100 e +b10100001001010001000011011110100 "" +b11101110100010000011010100010 0 +b11101110100010000011010100010 M +b11101110100010000011010100010 f +b11101110100010000011010100010 !" +b0 ~ +b0 )" +b0 ." +0$" +b1110 } +b1110 (" +b1110 +" +0. +1% +#9922500 +b0 7 +b0 N +b0 V +06 +b11010100010000011011000010000101 2 +b11010100010000011011000010000101 I +b11010100010000011011000010000101 Z +b1100 3 +b1100 J +b1100 Y +11 +b0 & +b0 C +0( +b11010100010000011011000010000101 , +b11010100010000011011000010000101 H +b1100 + +b1100 G +1- +1. +0% +#9923000 +0] +1s +1=" +1>" +1@" +1r +05" +06" +07" +b10011 3" +0^ +0c +1d +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +12" +0x +0," +b11010100010000011011000010000101 &" +b11010100010000011011000010000101 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1000011000111100010101110101000 0 +b1000011000111100010101110101000 M +b1000011000111100010101110101000 f +b1000011000111100010101110101000 !" +0. +1% +#9923010 +b11010100010000011011000010000101 O" +#9923500 +b10111 5 +b10111 L +b10111 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10111 ' +b10111 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9924000 +1] +0i +b1010101001110100110011011010100 { +b1010101001110100110011011010100 A" +0=" +0>" +0@" +0h +0g +1:" +1;" +b11111 3" +0d +0_ +b1000 0" +1j +0k +18" +1l +02" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10111 ~ +b10111 )" +b10111 ." +1$" +0. +1% +#9924500 +b10110 5 +b10110 L +b10110 W +b10010 7 +b10010 N +b10010 V +16 +b10110111011010011110111001101 2 +b10110111011010011110111001101 I +b10110111011010011110111001101 Z +b11110 3 +b11110 J +b11110 Y +11 +b10110 ' +b10110 D +b10010 & +b10010 C +1( +b10110111011010011110111001101 , +b10110111011010011110111001101 H +b11110 + +b11110 G +1- +1. +0% +#9925000 +0] +b1000100100010110101011000111101 | +b1000100100010110101011000111101 B" +0\ +1=" +1>" +1@" +1g +0r +17" +b1 3" +0^ +0c +1d +0_ +1<" +1` +b1001 0" +b10110000001101011101010010010100 { +b10110000001101011101010010010100 A" +b1101 -" +0u +14" +1v +1b +12" +0m +1x +1," +b10110111011010011110111001101 &" +b10110111011010011110111001101 ?" +b11110 %" +b11110 *" +b11110 1" +1'" +b10110 ~ +b10110 )" +b10110 ." +b10010 } +b10010 (" +b10010 +" +1#" +b1010101001110100110011011010100 / +b1010101001110100110011011010100 K +b1010101001110100110011011010100 e +b1010101001110100110011011010100 "" +0. +1% +#9925010 +b10110111011010011110111001101 a" +#9925500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9926000 +1] +1i +1u +1\ +0=" +0>" +0@" +1h +0:" +0;" +1r +17" +b11111 3" +0d +0<" +0` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11111 -" +04" +0v +b11111101010011101100001001110100 | +b11111101010011101100001001110100 B" +0b +02" +0n +0/" +0x +b10110000001101011101010010010100 / +b10110000001101011101010010010100 K +b10110000001101011101010010010100 e +b10110000001101011101010010010100 "" +b1000100100010110101011000111101 0 +b1000100100010110101011000111101 M +b1000100100010110101011000111101 f +b1000100100010110101011000111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0. +1% +#9926500 +06 +b10110110110001011110111100001001 2 +b10110110110001011110111100001001 I +b10110110110001011110111100001001 Z +b1111 3 +b1111 J +b1111 Y +11 +0( +b10110110110001011110111100001001 , +b10110110110001011110111100001001 H +b1111 + +b1111 G +1- +1. +0% +#9927000 +0] +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +0[ +1=" +1>" +1@" +07" +b10000 3" +0^ +0c +1d +1_ +0u +1b +1a +12" +0," +b10110110110001011110111100001001 &" +b10110110110001011110111100001001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11111101010011101100001001110100 0 +b11111101010011101100001001110100 M +b11111101010011101100001001110100 f +b11111101010011101100001001110100 !" +0. +1% +#9927010 +b10110110110001011110111100001001 R" +#9927500 +b11000001010110101110101101011101 2 +b11000001010110101110101101011101 I +b11000001010110101110101101011101 Z +b1011 3 +b1011 J +b1011 Y +b11000001010110101110101101011101 , +b11000001010110101110101101011101 H +b1011 + +b1011 G +1. +0% +#9928000 +1c +1=" +0>" +b10100 3" +0d +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11000001010110101110101101011101 &" +b11000001010110101110101101011101 ?" +b1011 %" +b1011 *" +b1011 1" +0. +1% +#9928010 +b11000001010110101110101101011101 N" +#9928500 +b100100011111100110011010010 2 +b100100011111100110011010010 I +b100100011111100110011010010 Z +b100 3 +b100 J +b100 Y +b100100011111100110011010010 , +b100100011111100110011010010 H +b100 + +b100 G +1. +0% +#9929000 +1>" +1^ +1\ +1[ +0=" +b11011 3" +0c +0b +0a +b100100011111100110011010010 &" +b100100011111100110011010010 ?" +b100 %" +b100 *" +b100 1" +0. +1% +#9929010 +b100100011111100110011010010 G" +#9929500 +b10000101010001000111011101000001 2 +b10000101010001000111011101000001 I +b10000101010001000111011101000001 Z +b11011 3 +b11011 J +b11011 Y +b10000101010001000111011101000001 , +b10000101010001000111011101000001 H +b11011 + +b11011 G +1. +0% +#9930000 +1=" +0_ +1c +0\ +0[ +0>" +b100 3" +1<" +1` +0^ +1b +1a +b10000101010001000111011101000001 &" +b10000101010001000111011101000001 ?" +b11011 %" +b11011 *" +b11011 1" +0. +1% +#9930010 +b10000101010001000111011101000001 ^" +#9930500 +b10111 7 +b10111 N +b10111 V +16 +b1011001101100011000011111010011 2 +b1011001101100011000011111010011 I +b1011001101100011000011111010011 Z +b1110 3 +b1110 J +b1110 Y +b10111 & +b10111 C +1( +b1011001101100011000011111010011 , +b1011001101100011000011111010011 H +b1110 + +b1110 G +1. +0% +#9931000 +1_ +0c +0s +b1010101001110100110011011010100 | +b1010101001110100110011011010100 B" +1[ +1@" +1>" +0r +0q +16" +17" +b10001 3" +0<" +0` +1d +b1000 -" +1t +0u +14" +1v +0a +1x +1w +1," +b1011001101100011000011111010011 &" +b1011001101100011000011111010011 ?" +b1110 %" +b1110 *" +b1110 1" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#9931010 +b1011001101100011000011111010011 Q" +#9931500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9932000 +1] +1s +1\ +0=" +0>" +0@" +1r +1q +06" +07" +b11111 3" +0d +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0x +0w +0," +b1010101001110100110011011010100 0 +b1010101001110100110011011010100 M +b1010101001110100110011011010100 f +b1010101001110100110011011010100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9932500 +b10011 5 +b10011 L +b10011 W +14 +b1001 7 +b1001 N +b1001 V +16 +b110000100110100111010101110000 2 +b110000100110100111010101110000 I +b110000100110100111010101110000 Z +b10 3 +b10 J +b10 Y +11 +b10011 ' +b10011 D +1) +b1001 & +b1001 C +1( +b110000100110100111010101110000 , +b110000100110100111010101110000 H +b10 + +b10 G +1- +1. +0% +#9933000 +b1001011100101110101001100000111 { +b1001011100101110101001100000111 A" +0s +b111111010001010010110011011111 | +b111111010001010010110011011111 B" +0\ +1@" +0h +0g +1;" +0q +15" +17" +b11101 3" +1_ +b1100 0" +0k +18" +1l +b10110 -" +1y +1u +1b +12" +1n +1m +1/" +1w +1," +b110000100110100111010101110000 &" +b110000100110100111010101110000 ?" +b10 %" +b10 *" +b10 1" +1'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b1001 } +b1001 (" +b1001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9933010 +b110000100110100111010101110000 E" +#9933500 +b1001 5 +b1001 L +b1001 W +b0 7 +b0 N +b0 V +06 +b10001100111111110000100101111100 2 +b10001100111111110000100101111100 I +b10001100111111110000100101111100 Z +b10011 3 +b10011 J +b10011 Y +b1001 ' +b1001 D +b0 & +b0 C +0( +b10001100111111110000100101111100 , +b10001100111111110000100101111100 H +b10011 + +b10011 G +1. +0% +#9934000 +0_ +1k +0i +1s +0[ +1h +1;" +19" +1q +05" +07" +b1100 3" +1<" +1` +b10110 0" +08" +0l +1o +b111111010001010010110011011111 { +b111111010001010010110011011111 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1a +0n +0w +0," +b1001011100101110101001100000111 / +b1001011100101110101001100000111 K +b1001011100101110101001100000111 e +b1001011100101110101001100000111 "" +b111111010001010010110011011111 0 +b111111010001010010110011011111 M +b111111010001010010110011011111 f +b111111010001010010110011011111 !" +b10001100111111110000100101111100 &" +b10001100111111110000100101111100 ?" +b10011 %" +b10011 *" +b10011 1" +b1001 ~ +b1001 )" +b1001 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9934010 +b10001100111111110000100101111100 V" +#9934500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9935000 +1i +1\ +1[ +0@" +1g +09" +0;" +b11111 3" +0<" +0` +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +0a +02" +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b111111010001010010110011011111 / +b111111010001010010110011011111 K +b111111010001010010110011011111 e +b111111010001010010110011011111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9935500 +b1011 7 +b1011 N +b1011 V +16 +b1011 & +b1011 C +1( +1. +0% +#9936000 +0s +b11000001010110101110101101011101 | +b11000001010110101110101101011101 B" +0r +0q +15" +17" +b10100 -" +1y +1u +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#9936500 +b101 7 +b101 N +b101 V +b101 & +b101 C +1. +0% +#9937000 +16" +1t +1r +05" +b11010 -" +0y +b11011110010001110010000101011100 | +b11011110010001110010000101011100 B" +0x +b101 } +b101 (" +b101 +" +b11000001010110101110101101011101 0 +b11000001010110101110101101011101 M +b11000001010110101110101101011101 f +b11000001010110101110101101011101 !" +0. +1% +#9937500 +b100 5 +b100 L +b100 W +14 +b0 7 +b0 N +b0 V +06 +b100 ' +b100 D +1) +b0 & +b0 C +0( +1. +0% +#9938000 +0i +b100100011111100110011010010 { +b100100011111100110011010010 A" +1s +1:" +1;" +1q +06" +07" +b11011 0" +1j +1k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1/" +0w +0," +b11011110010001110010000101011100 0 +b11011110010001110010000101011100 M +b11011110010001110010000101011100 f +b11011110010001110010000101011100 !" +b100 ~ +b100 )" +b100 ." +1$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9938500 +b0 5 +b0 L +b0 W +04 +b100 7 +b100 N +b100 V +16 +b1010010011010100000111000000100 2 +b1010010011010100000111000000100 I +b1010010011010100000111000000100 Z +b111 3 +b111 J +b111 Y +11 +b0 ' +b0 D +0) +b100 & +b100 C +1( +b1010010011010100000111000000100 , +b1010010011010100000111000000100 H +b111 + +b111 G +1- +1. +0% +#9939000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0s +b100100011111100110011010010 | +b100100011111100110011010010 B" +0\ +0[ +1>" +1@" +0:" +0;" +16" +17" +b11000 3" +1^ +1_ +b11111 0" +0j +0k +b11011 -" +1t +1u +1b +1a +12" +0/" +1," +b1010010011010100000111000000100 &" +b1010010011010100000111000000100 ?" +b111 %" +b111 *" +b111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b100 } +b100 (" +b100 +" +1#" +b100100011111100110011010010 / +b100100011111100110011010010 K +b100100011111100110011010010 e +b100100011111100110011010010 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9939010 +b1010010011010100000111000000100 J" +#9939500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9940000 +1] +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1\ +1[ +0>" +0@" +06" +07" +b11111 3" +0^ +0_ +b11111 -" +0t +0u +0b +0a +02" +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b100100011111100110011010010 0 +b100100011111100110011010010 M +b100100011111100110011010010 f +b100100011111100110011010010 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9940500 +b1110 5 +b1110 L +b1110 W +14 +b10100 7 +b10100 N +b10100 V +16 +b11100010100011010001111000111010 2 +b11100010100011010001111000111010 I +b11100010100011010001111000111010 Z +b10111 3 +b10111 J +b10111 Y +11 +b1110 ' +b1110 D +1) +b10100 & +b10100 C +1( +b11100010100011010001111000111010 , +b11100010100011010001111000111010 H +b10111 + +b10111 G +1- +1. +0% +#9941000 +0] +0i +b1011001101100011000011111010011 { +b1011001101100011000011111010011 A" +0s +b1001111100010111001111000111001 | +b1001111100010111001111000111001 B" +0\ +0[ +1>" +1@" +0h +19" +1:" +1;" +16" +17" +b1000 3" +1^ +0_ +1<" +1` +b10001 0" +0j +0o +1p +1k +b1011 -" +1t +0u +14" +1v +1b +1a +12" +1n +1/" +1," +b11100010100011010001111000111010 &" +b11100010100011010001111000111010 ?" +b10111 %" +b10111 *" +b10111 1" +1'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b10100 } +b10100 (" +b10100 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9941010 +b11100010100011010001111000111010 Z" +#9941500 +b0 5 +b0 L +b0 W +04 +b1011 7 +b1011 N +b1011 V +b1010001111010111110100100100101 2 +b1010001111010111110100100100101 I +b1010001111010111110100100100101 Z +b10100 3 +b10100 J +b10100 Y +b0 ' +b0 D +0) +b1011 & +b1011 C +b1010001111010111110100100100101 , +b1010001111010111110100100100101 H +b10100 + +b10100 G +1. +0% +#9942000 +15" +1i +1u +1y +1\ +1[ +1h +09" +0:" +0;" +0r +0q +17" +06" +b1011 3" +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b10100 -" +04" +0v +0t +b11000001010110101110101101011101 | +b11000001010110101110101101011101 B" +0b +0a +0n +0/" +1x +1w +b1011001101100011000011111010011 / +b1011001101100011000011111010011 K +b1011001101100011000011111010011 e +b1011001101100011000011111010011 "" +b1001111100010111001111000111001 0 +b1001111100010111001111000111001 M +b1001111100010111001111000111001 f +b1001111100010111001111000111001 !" +b1010001111010111110100100100101 &" +b1010001111010111110100100100101 ?" +b10100 %" +b10100 *" +b10100 1" +b0 ~ +b0 )" +b0 ." +0$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#9942010 +b1010001111010111110100100100101 W" +#9942500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b1001101001010000011000011010100 2 +b1001101001010000011000011010100 I +b1001101001010000011000011010100 Z +b111 3 +b111 J +b111 Y +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +b1001101001010000011000011010100 , +b1001101001010000011000011010100 H +b111 + +b111 G +1. +0% +#9943000 +1_ +0i +b10000101010001000111011101000001 { +b10000101010001000111011101000001 A" +1s +0\ +0[ +1@" +0h +0g +19" +1;" +1r +1q +05" +07" +b11000 3" +0<" +0` +b100 0" +1o +0k +18" +1l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1b +1a +1n +1m +1/" +0x +0w +0," +b1001101001010000011000011010100 &" +b1001101001010000011000011010100 ?" +b111 %" +b111 *" +b111 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11000001010110101110101101011101 0 +b11000001010110101110101101011101 M +b11000001010110101110101101011101 f +b11000001010110101110101101011101 !" +0. +1% +#9943010 +b1001101001010000011000011010100 J" +#9943500 +b0 5 +b0 L +b0 W +04 +b10000 7 +b10000 N +b10000 V +16 +b10111011000101110000111011101000 2 +b10111011000101110000111011101000 I +b10111011000101110000111011101000 Z +b1101 3 +b1101 J +b1101 Y +b0 ' +b0 D +0) +b10000 & +b10000 C +1( +b10111011000101110000111011101000 , +b10111011000101110000111011101000 H +b1101 + +b1101 G +1. +0% +#9944000 +0^ +1i +b111110010110001000110011101011 | +b111110010110001000110011101011 B" +1\ +1=" +1h +1g +09" +0;" +17" +b10010 3" +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1111 -" +0u +14" +1v +0b +0n +0m +0/" +1," +b10000101010001000111011101000001 / +b10000101010001000111011101000001 K +b10000101010001000111011101000001 e +b10000101010001000111011101000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10111011000101110000111011101000 &" +b10111011000101110000111011101000 ?" +b1101 %" +b1101 *" +b1101 1" +b0 ~ +b0 )" +b0 ." +0$" +b10000 } +b10000 (" +b10000 +" +1#" +0. +1% +#9944010 +b10111011000101110000111011101000 P" +#9944500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9945000 +1] +1[ +0=" +0>" +0@" +07" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +b11111 3" +0d +0_ +b11111 -" +04" +0v +0a +02" +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b111110010110001000110011101011 0 +b111110010110001000110011101011 M +b111110010110001000110011101011 f +b111110010110001000110011101011 !" +0. +1% +#9945500 +b10101 5 +b10101 L +b10101 W +14 +b10101 ' +b10101 D +1) +1. +0% +#9946000 +0i +b11110010100011101011001001010111 { +b11110010100011101011001001010111 A" +0g +1:" +1;" +b1010 0" +1j +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#9946500 +b11000 5 +b11000 L +b11000 W +b11 7 +b11 N +b11 V +16 +b1100100110001101010000111101111 2 +b1100100110001101010000111101111 I +b1100100110001101010000111101111 Z +b11111 3 +b11111 J +b11111 Y +11 +b11000 ' +b11000 D +b11 & +b11 C +1( +b1100100110001101010000111101111 , +b1100100110001101010000111101111 H +b11111 + +b11111 G +1- +1. +0% +#9947000 +19" +0] +1o +b1010010011001100100101000100100 | +b1010010011001100100101000100100 B" +0\ +0[ +1=" +1>" +1@" +1g +0:" +0r +0q +17" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b111 0" +0j +b11101110100010000011010100010 { +b11101110100010000011010100010 A" +b11100 -" +1u +1b +1a +12" +0m +1x +1w +1," +b1100100110001101010000111101111 &" +b1100100110001101010000111101111 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11000 ~ +b11000 )" +b11000 ." +b11 } +b11 (" +b11 +" +1#" +b11110010100011101011001001010111 / +b11110010100011101011001001010111 K +b11110010100011101011001001010111 e +b11110010100011101011001001010111 "" +0. +1% +#9947500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9948000 +1] +1i +1\ +1[ +0=" +0>" +0@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1r +1q +07" +b11111 3" +0d +0<" +0` +b11111 0" +0o +08" +0l +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0b +0a +02" +0/" +0x +0w +0," +b11101110100010000011010100010 / +b11101110100010000011010100010 K +b11101110100010000011010100010 e +b11101110100010000011010100010 "" +b1010010011001100100101000100100 0 +b1010010011001100100101000100100 M +b1010010011001100100101000100100 f +b1010010011001100100101000100100 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9948500 +b1011 7 +b1011 N +b1011 V +16 +b1011 & +b1011 C +1( +1. +0% +#9949000 +0s +b11000001010110101110101101011101 | +b11000001010110101110101101011101 B" +0r +0q +15" +17" +b10100 -" +1y +1u +1x +1w +1," +b1011 } +b1011 (" +b1011 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9949500 +b1010 7 +b1010 N +b1010 V +b1010 & +b1010 C +1. +0% +#9950000 +1q +b10101 -" +b111001110101100111011011011100 | +b111001110101100111011011011100 B" +0w +b11000001010110101110101101011101 0 +b11000001010110101110101101011101 M +b11000001010110101110101101011101 f +b11000001010110101110101101011101 !" +b1010 } +b1010 (" +b1010 +" +0. +1% +#9950500 +b11111 7 +b11111 N +b11111 V +b11111 & +b11111 C +1. +0% +#9951000 +0u +0y +0q +16" +b0 -" +14" +1v +1z +b1100100110001101010000111101111 | +b1100100110001101010000111101111 B" +1w +b11111 } +b11111 (" +b11111 +" +b111001110101100111011011011100 0 +b111001110101100111011011011100 M +b111001110101100111011011011100 f +b111001110101100111011011011100 !" +0. +1% +#9951500 +b1101 7 +b1101 N +b1101 V +b1101 & +b1101 C +1. +0% +#9952000 +1u +1r +17" +b10010 -" +04" +0v +b10111011000101110000111011101000 | +b10111011000101110000111011101000 B" +0x +b1100100110001101010000111101111 0 +b1100100110001101010000111101111 M +b1100100110001101010000111101111 f +b1100100110001101010000111101111 !" +b1101 } +b1101 (" +b1101 +" +0. +1% +#9952500 +b10011 5 +b10011 L +b10011 W +14 +b0 7 +b0 N +b0 V +06 +b10011 ' +b10011 D +1) +b0 & +b0 C +0( +1. +0% +#9953000 +b10001100111111110000100101111100 { +b10001100111111110000100101111100 A" +1s +0h +0g +1;" +1q +05" +06" +07" +b1100 0" +0k +18" +1l +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +1n +1m +1/" +0w +0," +b10011 ~ +b10011 )" +b10011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10111011000101110000111011101000 0 +b10111011000101110000111011101000 M +b10111011000101110000111011101000 f +b10111011000101110000111011101000 !" +0. +1% +#9953500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9954000 +1h +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0n +0m +0/" +b10001100111111110000100101111100 / +b10001100111111110000100101111100 K +b10001100111111110000100101111100 e +b10001100111111110000100101111100 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9954500 +b1010 5 +b1010 L +b1010 W +14 +b11001 7 +b11001 N +b11001 V +16 +b1010 ' +b1010 D +1) +b11001 & +b11001 C +1( +1. +0% +#9955000 +0i +b111001110101100111011011011100 { +b111001110101100111011011011100 A" +0s +b100100011011001000011011101101 | +b100100011011001000011011101101 B" +0h +19" +1;" +0q +15" +17" +b10101 0" +1o +1k +b110 -" +1y +0u +14" +1v +1n +1/" +1w +1," +b1010 ~ +b1010 )" +b1010 ." +1$" +b11001 } +b11001 (" +b11001 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9955500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b11011100101010000010001001000011 2 +b11011100101010000010001001000011 I +b11011100101010000010001001000011 Z +b1000 3 +b1000 J +b1000 Y +11 +b10010 ' +b10010 D +b0 & +b0 C +0( +b11011100101010000010001001000011 , +b11011100101010000010001001000011 H +b1000 + +b1000 G +1- +1. +0% +#9956000 +0] +0k +1i +1s +1=" +1@" +b1000100100010110101011000111101 { +b1000100100010110101011000111101 A" +09" +1q +05" +07" +b10111 3" +1c +1_ +b1101 0" +18" +1l +0o +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0w +0," +b111001110101100111011011011100 / +b111001110101100111011011011100 K +b111001110101100111011011011100 e +b111001110101100111011011011100 "" +b100100011011001000011011101101 0 +b100100011011001000011011101101 M +b100100011011001000011011101101 f +b100100011011001000011011101101 !" +b11011100101010000010001001000011 &" +b11011100101010000010001001000011 ?" +b1000 %" +b1000 *" +b1000 1" +1'" +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9956010 +b11011100101010000010001001000011 K" +#9956500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9957000 +1] +0=" +0@" +1h +0;" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1000100100010110101011000111101 / +b1000100100010110101011000111101 K +b1000100100010110101011000111101 e +b1000100100010110101011000111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9957500 +b1110 5 +b1110 L +b1110 W +14 +b1000 7 +b1000 N +b1000 V +16 +b1110 ' +b1110 D +1) +b1000 & +b1000 C +1( +1. +0% +#9958000 +0i +b1011001101100011000011111010011 { +b1011001101100011000011111010011 A" +0s +b11011100101010000010001001000011 | +b11011100101010000010001001000011 B" +0h +19" +1:" +1;" +15" +17" +b10001 0" +0j +0o +1p +1k +b10111 -" +1y +1u +1n +1/" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1110 ~ +b1110 )" +b1110 ." +1$" +b1000 } +b1000 (" +b1000 +" +1#" +0. +1% +#9958500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b10 ' +b10 D +b0 & +b0 C +0( +1. +0% +#9959000 +1i +b110000100110100111010101110000 { +b110000100110100111010101110000 A" +1s +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +09" +0:" +05" +07" +b11101 0" +0p +b11111 -" +0y +0u +0," +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +b1011001101100011000011111010011 / +b1011001101100011000011111010011 K +b1011001101100011000011111010011 e +b1011001101100011000011111010011 "" +b11011100101010000010001001000011 0 +b11011100101010000010001001000011 M +b11011100101010000010001001000011 f +b11011100101010000010001001000011 !" +0. +1% +#9959500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9960000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b110000100110100111010101110000 / +b110000100110100111010101110000 K +b110000100110100111010101110000 e +b110000100110100111010101110000 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#9960500 +b1100101100011001010000010101010 2 +b1100101100011001010000010101010 I +b1100101100011001010000010101010 Z +b111 3 +b111 J +b111 Y +11 +b1100101100011001010000010101010 , +b1100101100011001010000010101010 H +b111 + +b111 G +1- +1. +0% +#9961000 +0] +0\ +0[ +1>" +1@" +b11000 3" +1^ +1_ +1b +1a +12" +b1100101100011001010000010101010 &" +b1100101100011001010000010101010 ?" +b111 %" +b111 *" +b111 1" +1'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#9961010 +b1100101100011001010000010101010 J" +#9961500 +b1101 5 +b1101 L +b1101 W +14 +b1011 7 +b1011 N +b1011 V +16 +b10001111101110110111011110001110 2 +b10001111101110110111011110001110 I +b10001111101110110111011110001110 Z +b1001 3 +b1001 J +b1001 Y +b1101 ' +b1101 D +1) +b1011 & +b1011 C +1( +b10001111101110110111011110001110 , +b10001111101110110111011110001110 H +b1001 + +b1001 G +1. +0% +#9962000 +1=" +1c +0i +b10111011000101110000111011101000 { +b10111011000101110000111011101000 A" +0s +b11000001010110101110101101011101 | +b11000001010110101110101101011101 B" +1\ +0>" +0g +19" +1:" +1;" +0r +0q +15" +17" +b10110 3" +0^ +b10010 0" +0j +0o +1p +1k +b10100 -" +1y +1u +0b +1m +1/" +1x +1w +1," +b10001111101110110111011110001110 &" +b10001111101110110111011110001110 ?" +b1001 %" +b1001 *" +b1001 1" +b1101 ~ +b1101 )" +b1101 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#9962010 +b10001111101110110111011110001110 L" +#9962500 +b1110 5 +b1110 L +b1110 W +b1000 7 +b1000 N +b1000 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +b1000 & +b1000 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9963000 +1] +1[ +0=" +0@" +0h +1g +1r +1q +b11111 3" +0c +0_ +b10001 0" +b1011001101100011000011111010011 { +b1011001101100011000011111010011 A" +b10111 -" +b11011100101010000010001001000011 | +b11011100101010000010001001000011 B" +0a +02" +1n +0m +0x +0w +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +b1000 } +b1000 (" +b1000 +" +b10111011000101110000111011101000 / +b10111011000101110000111011101000 K +b10111011000101110000111011101000 e +b10111011000101110000111011101000 "" +b11000001010110101110101101011101 0 +b11000001010110101110101101011101 M +b11000001010110101110101101011101 f +b11000001010110101110101101011101 !" +0. +1% +#9963500 +b10 5 +b10 L +b10 W +b10010 7 +b10010 N +b10010 V +b111000100100101101010001111100 2 +b111000100100101101010001111100 I +b111000100100101101010001111100 Z +b10000 3 +b10000 J +b10000 Y +11 +b10 ' +b10 D +b10010 & +b10010 C +b111000100100101101010001111100 , +b111000100100101101010001111100 H +b10000 + +b10000 G +1- +1. +0% +#9964000 +1i +b110000100110100111010101110000 { +b110000100110100111010101110000 A" +0u +1s +1@" +09" +0:" +0r +05" +b1111 3" +0_ +1<" +1` +b11101 0" +0p +b1101 -" +14" +1v +0y +b1000100100010110101011000111101 | +b1000100100010110101011000111101 B" +12" +1x +b1011001101100011000011111010011 / +b1011001101100011000011111010011 K +b1011001101100011000011111010011 e +b1011001101100011000011111010011 "" +b11011100101010000010001001000011 0 +b11011100101010000010001001000011 M +b11011100101010000010001001000011 f +b11011100101010000010001001000011 !" +b111000100100101101010001111100 &" +b111000100100101101010001111100 ?" +b10000 %" +b10000 *" +b10000 1" +1'" +b10 ~ +b10 )" +b10 ." +b10010 } +b10010 (" +b10010 +" +0. +1% +#9964010 +b111000100100101101010001111100 S" +#9964500 +b10101 5 +b10101 L +b10101 W +b0 7 +b0 N +b0 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10101 ' +b10101 D +b0 & +b0 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9965000 +0k +0i +1u +0@" +1h +0g +1:" +1r +17" +b11111 3" +0<" +0` +b1010 0" +18" +1l +1j +b11110010100011101011001001010111 { +b11110010100011101011001001010111 A" +b11111 -" +04" +0v +b11111101010011101100001001110100 | +b11111101010011101100001001110100 B" +02" +0n +1m +0x +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10101 ~ +b10101 )" +b10101 ." +b0 } +b0 (" +b0 +" +b110000100110100111010101110000 / +b110000100110100111010101110000 K +b110000100110100111010101110000 e +b110000100110100111010101110000 "" +b1000100100010110101011000111101 0 +b1000100100010110101011000111101 M +b1000100100010110101011000111101 f +b1000100100010110101011000111101 !" +0. +1% +#9965500 +b0 5 +b0 L +b0 W +04 +06 +b0 ' +b0 D +0) +0( +1. +0% +#9966000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +1g +0:" +0;" +07" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0u +0m +0/" +0," +b11110010100011101011001001010111 / +b11110010100011101011001001010111 K +b11110010100011101011001001010111 e +b11110010100011101011001001010111 "" +b11111101010011101100001001110100 0 +b11111101010011101100001001110100 M +b11111101010011101100001001110100 f +b11111101010011101100001001110100 !" +b0 ~ +b0 )" +b0 ." +0$" +0#" +0. +1% +#9966500 +1. +0% +#9967000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9967500 +1. +0% +#9968000 +0. +1% +#9968500 +b11101 7 +b11101 N +b11101 V +16 +b11101 & +b11101 C +1( +1. +0% +#9969000 +0s +b11110101101011011000010101110100 | +b11110101101011011000010101110100 B" +0q +15" +16" +17" +b10 -" +0t +0y +1z +0u +14" +1v +1w +1," +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#9969500 +b11101 5 +b11101 L +b11101 W +14 +b1011 7 +b1011 N +b1011 V +b11101 ' +b11101 D +1) +b1011 & +b1011 C +1. +0% +#9970000 +0i +b11110101101011011000010101110100 { +b11110101101011011000010101110100 A" +1u +1y +0g +19" +1:" +1;" +0r +17" +15" +06" +b10 0" +0j +0o +1p +0k +18" +1l +b10100 -" +04" +0v +0z +b11000001010110101110101101011101 | +b11000001010110101110101101011101 B" +1m +1/" +1x +b11110101101011011000010101110100 0 +b11110101101011011000010101110100 M +b11110101101011011000010101110100 f +b11110101101011011000010101110100 !" +b11101 ~ +b11101 )" +b11101 ." +1$" +b1011 } +b1011 (" +b1011 +" +0. +1% +#9970500 +b0 5 +b0 L +b0 W +04 +b1101 7 +b1101 N +b1101 V +b10110010011011101011010000000000 2 +b10110010011011101011010000000000 I +b10110010011011101011010000000000 Z +b10100 3 +b10100 J +b10100 Y +11 +b0 ' +b0 D +0) +b1101 & +b1101 C +b10110010011011101011010000000000 , +b10110010011011101011010000000000 H +b10100 + +b10100 G +1- +1. +0% +#9971000 +0] +1i +0y +1>" +1@" +1g +09" +0:" +0;" +1r +16" +b1011 3" +1^ +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b10010 -" +1z +b10111011000101110000111011101000 | +b10111011000101110000111011101000 B" +12" +0m +0/" +0x +b10110010011011101011010000000000 &" +b10110010011011101011010000000000 ?" +b10100 %" +b10100 *" +b10100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b1101 } +b1101 (" +b1101 +" +b11110101101011011000010101110100 / +b11110101101011011000010101110100 K +b11110101101011011000010101110100 e +b11110101101011011000010101110100 "" +b11000001010110101110101101011101 0 +b11000001010110101110101101011101 M +b11000001010110101110101101011101 f +b11000001010110101110101101011101 !" +0. +1% +#9971010 +b10110010011011101011010000000000 W" +#9971500 +b11101 7 +b11101 N +b11101 V +b10101010111000101001100011111100 2 +b10101010111000101001100011111100 I +b10101010111000101001100011111100 Z +b11000 3 +b11000 J +b11000 Y +b11101 & +b11101 C +b10101010111000101001100011111100 , +b10101010111000101001100011111100 H +b11000 + +b11000 G +1. +0% +#9972000 +1=" +1c +0u +0>" +b11110101101011011000010101110100 | +b11110101101011011000010101110100 B" +b111 3" +0^ +b10 -" +14" +1v +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10111011000101110000111011101000 0 +b10111011000101110000111011101000 M +b10111011000101110000111011101000 f +b10111011000101110000111011101000 !" +b10101010111000101001100011111100 &" +b10101010111000101001100011111100 ?" +b11000 %" +b11000 *" +b11000 1" +b11101 } +b11101 (" +b11101 +" +0. +1% +#9972010 +b10101010111000101001100011111100 [" +#9972500 +b1000 5 +b1000 L +b1000 W +14 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1000 ' +b1000 D +1) +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9973000 +1] +0i +b11011100101010000010001001000011 { +b11011100101010000010001001000011 A" +1s +0=" +0@" +19" +1;" +1q +05" +06" +07" +b11111 3" +0c +0<" +0` +b10111 0" +1o +1k +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +1/" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1000 ~ +b1000 )" +b1000 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b11110101101011011000010101110100 0 +b11110101101011011000010101110100 M +b11110101101011011000010101110100 f +b11110101101011011000010101110100 !" +0. +1% +#9973500 +b1100 5 +b1100 L +b1100 W +b1100 ' +b1100 D +1. +0% +#9974000 +0o +b11010100010000011011000010000101 { +b11010100010000011011000010000101 A" +1:" +b10011 0" +1p +b11011100101010000010001001000011 / +b11011100101010000010001001000011 K +b11011100101010000010001001000011 e +b11011100101010000010001001000011 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1100 ~ +b1100 )" +b1100 ." +0. +1% +#9974500 +b0 5 +b0 L +b0 W +04 +b1110110110000000000000110111 2 +b1110110110000000000000110111 I +b1110110110000000000000110111 Z +b1101 3 +b1101 J +b1101 Y +11 +b0 ' +b0 D +0) +b1110110110000000000000110111 , +b1110110110000000000000110111 H +b1101 + +b1101 G +1- +1. +0% +#9975000 +0] +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0[ +1=" +1>" +1@" +09" +0:" +0;" +b10010 3" +0^ +0c +1d +1_ +b11111 0" +0p +0k +1a +12" +0/" +b1110110110000000000000110111 &" +b1110110110000000000000110111 ?" +b1101 %" +b1101 *" +b1101 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11010100010000011011000010000101 / +b11010100010000011011000010000101 K +b11010100010000011011000010000101 e +b11010100010000011011000010000101 "" +0. +1% +#9975010 +b1110110110000000000000110111 P" +#9975500 +b1100010110000000100110110111111 2 +b1100010110000000100110110111111 I +b1100010110000000100110110111111 Z +b11110 3 +b11110 J +b11110 Y +b1100010110000000100110110111111 , +b1100010110000000100110110111111 H +b11110 + +b11110 G +1. +0% +#9976000 +0_ +0\ +1[ +b1 3" +1<" +1` +1b +0a +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1100010110000000100110110111111 &" +b1100010110000000100110110111111 ?" +b11110 %" +b11110 *" +b11110 1" +0. +1% +#9976010 +b1100010110000000100110110111111 a" +#9976500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9977000 +1] +1\ +0=" +0>" +0@" +b11111 3" +0d +0<" +0` +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +0. +1% +#9977500 +b1010 7 +b1010 N +b1010 V +16 +b1010 & +b1010 C +1( +1. +0% +#9978000 +0s +b111001110101100111011011011100 | +b111001110101100111011011011100 B" +0r +15" +17" +b10101 -" +1y +1u +1x +1," +b1010 } +b1010 (" +b1010 +" +1#" +0. +1% +#9978500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9979000 +1s +1r +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b111001110101100111011011011100 0 +b111001110101100111011011011100 M +b111001110101100111011011011100 f +b111001110101100111011011011100 !" +0. +1% +#9979500 +b11011 7 +b11011 N +b11011 V +16 +b11011 & +b11011 C +1( +1. +0% +#9980000 +0s +b10000101010001000111011101000001 | +b10000101010001000111011101000001 B" +0r +0q +15" +17" +b100 -" +1y +0u +14" +1v +1x +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b11011 } +b11011 (" +b11011 +" +1#" +0. +1% +#9980500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9981000 +1s +1r +1q +05" +07" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0x +0w +0," +b0 } +b0 (" +b0 +" +0#" +b10000101010001000111011101000001 0 +b10000101010001000111011101000001 M +b10000101010001000111011101000001 f +b10000101010001000111011101000001 !" +0. +1% +#9981500 +b1110111011111110000001001000000 2 +b1110111011111110000001001000000 I +b1110111011111110000001001000000 Z +b1100 3 +b1100 J +b1100 Y +11 +b1110111011111110000001001000000 , +b1110111011111110000001001000000 H +b1100 + +b1100 G +1- +1. +0% +#9982000 +0] +1=" +1>" +1@" +b10011 3" +0^ +0c +1d +1_ +12" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1110111011111110000001001000000 &" +b1110111011111110000001001000000 ?" +b1100 %" +b1100 *" +b1100 1" +1'" +0. +1% +#9982010 +b1110111011111110000001001000000 O" +#9982500 +b100 5 +b100 L +b100 W +14 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +1) +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9983000 +1] +0i +b100100011111100110011010010 { +b100100011111100110011010010 A" +0s +b11100010100011010001111000111010 | +b11100010100011010001111000111010 B" +0=" +0>" +0@" +1:" +1;" +0r +0q +16" +17" +b11111 3" +0d +0_ +b11011 0" +1j +1k +b1000 -" +1t +0u +14" +1v +02" +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +0. +1% +#9983500 +b10010 5 +b10010 L +b10010 W +b0 7 +b0 N +b0 V +06 +b10010 ' +b10010 D +b0 & +b0 C +0( +1. +0% +#9984000 +0k +1i +1s +0h +0:" +1r +1q +06" +07" +b1101 0" +18" +1l +0j +b1000100100010110101011000111101 { +b1000100100010110101011000111101 A" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1n +0x +0w +0," +b100100011111100110011010010 / +b100100011111100110011010010 K +b100100011111100110011010010 e +b100100011111100110011010010 "" +b11100010100011010001111000111010 0 +b11100010100011010001111000111010 M +b11100010100011010001111000111010 f +b11100010100011010001111000111010 !" +b10010 ~ +b10010 )" +b10010 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#9984500 +b10111 5 +b10111 L +b10111 W +b10111 ' +b10111 D +1. +0% +#9985000 +0i +0g +1:" +b1000 0" +1j +b11100010100011010001111000111010 { +b11100010100011010001111000111010 A" +1m +b10111 ~ +b10111 )" +b10111 ." +b1000100100010110101011000111101 / +b1000100100010110101011000111101 K +b1000100100010110101011000111101 e +b1000100100010110101011000111101 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9985500 +b0 5 +b0 L +b0 W +04 +b110 7 +b110 N +b110 V +16 +b0 ' +b0 D +0) +b110 & +b110 C +1( +1. +0% +#9986000 +1i +0s +b1111000011000110000000110111100 | +b1111000011000110000000110111100 B" +1h +1g +0:" +0;" +0r +16" +17" +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11001 -" +1t +1u +0n +0m +0/" +1x +1," +b11100010100011010001111000111010 / +b11100010100011010001111000111010 K +b11100010100011010001111000111010 e +b11100010100011010001111000111010 "" +b0 ~ +b0 )" +b0 ." +0$" +b110 } +b110 (" +b110 +" +1#" +0. +1% +#9986500 +b0 7 +b0 N +b0 V +06 +b0 & +b0 C +0( +1. +0% +#9987000 +1s +1r +06" +07" +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111000011000110000000110111100 0 +b1111000011000110000000110111100 M +b1111000011000110000000110111100 f +b1111000011000110000000110111100 !" +0. +1% +#9987500 +1. +0% +#9988000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#9988500 +b11100 5 +b11100 L +b11100 W +14 +b110111101010110110101100000000 2 +b110111101010110110101100000000 I +b110111101010110110101100000000 Z +b11111 3 +b11111 J +b11111 Y +11 +b11100 ' +b11100 D +1) +b110111101010110110101100000000 , +b110111101010110110101100000000 H +b11111 + +b11111 G +1- +1. +0% +#9989000 +0] +0i +b11010011100001101001101100001001 { +b11010011100001101001101100001001 A" +0\ +0[ +1=" +1>" +1@" +19" +1:" +1;" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b11 0" +0j +0o +1p +0k +18" +1l +1b +1a +12" +1/" +b110111101010110110101100000000 &" +b110111101010110110101100000000 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b11100 ~ +b11100 )" +b11100 ." +1$" +0. +1% +#9989500 +b100 5 +b100 L +b100 W +b10 7 +b10 N +b10 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +b10 & +b10 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9990000 +1] +1k +1j +b110000100110100111010101110000 | +b110000100110100111010101110000 B" +1\ +1[ +0=" +0>" +0@" +1;" +b100100011111100110011010010 { +b100100011111100110011010010 A" +09" +1:" +0r +17" +b11111 3" +0d +0<" +0` +b11011 0" +08" +0l +0p +b11101 -" +1u +0b +0a +02" +1x +1," +b11010011100001101001101100001001 / +b11010011100001101001101100001001 K +b11010011100001101001101100001001 e +b11010011100001101001101100001001 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +b10 } +b10 (" +b10 +" +1#" +0. +1% +#9990500 +b0 5 +b0 L +b0 W +04 +b1 7 +b1 N +b1 V +b0 ' +b0 D +0) +b1 & +b1 C +1. +0% +#9991000 +1i +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0:" +0;" +1r +0q +b11111 0" +0j +0k +b11110 -" +b10100001001010001000011011110100 | +b10100001001010001000011011110100 B" +0/" +0x +1w +b0 ~ +b0 )" +b0 ." +0$" +b1 } +b1 (" +b1 +" +b100100011111100110011010010 / +b100100011111100110011010010 K +b100100011111100110011010010 e +b100100011111100110011010010 "" +b110000100110100111010101110000 0 +b110000100110100111010101110000 M +b110000100110100111010101110000 f +b110000100110100111010101110000 !" +0. +1% +#9991500 +b10011 7 +b10011 N +b10011 V +b10011011111110101001111111111110 2 +b10011011111110101001111111111110 I +b10011011111110101001111111111110 Z +b11010 3 +b11010 J +b11010 Y +11 +b10011 & +b10011 C +b10011011111110101001111111111110 , +b10011011111110101001111111111110 H +b11010 + +b11010 G +1- +1. +0% +#9992000 +0] +0u +0\ +1=" +1@" +0r +b101 3" +1c +0_ +1<" +1` +b1100 -" +14" +1v +b10001100111111110000100101111100 | +b10001100111111110000100101111100 B" +1b +12" +1x +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10100001001010001000011011110100 0 +b10100001001010001000011011110100 M +b10100001001010001000011011110100 f +b10100001001010001000011011110100 !" +b10011011111110101001111111111110 &" +b10011011111110101001111111111110 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b10011 } +b10011 (" +b10011 +" +0. +1% +#9992010 +b10011011111110101001111111111110 ]" +#9992500 +b0 7 +b0 N +b0 V +06 +b11011000101111111011100001111001 2 +b11011000101111111011100001111001 I +b11011000101111111011100001111001 Z +b10011 3 +b10011 J +b10011 Y +b0 & +b0 C +0( +b11011000101111111011100001111001 , +b11011000101111111011100001111001 H +b10011 + +b10011 G +1. +0% +#9993000 +1] +0[ +0=" +1r +1q +07" +b1100 3" +0c +b11111 -" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1a +0x +0w +0," +b11011000101111111011100001111001 &" +b11011000101111111011100001111001 ?" +b10011 %" +b10011 *" +b10011 1" +b0 } +b0 (" +b0 +" +0#" +b10001100111111110000100101111100 0 +b10001100111111110000100101111100 M +b10001100111111110000100101111100 f +b10001100111111110000100101111100 !" +0. +1% +#9993010 +b11011000101111111011100001111001 V" +#9993500 +b10001 5 +b10001 L +b10001 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10001 ' +b10001 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9994000 +b11111000100001010101111000101011 { +b11111000100001010101111000101011 A" +1\ +1[ +0@" +0g +1;" +b11111 3" +0<" +0` +b1110 0" +0k +18" +1l +0b +0a +02" +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10001 ~ +b10001 )" +b10001 ." +1$" +0. +1% +#9994500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#9995000 +1g +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0m +0/" +b0 ~ +b0 )" +b0 ." +0$" +b11111000100001010101111000101011 / +b11111000100001010101111000101011 K +b11111000100001010101111000101011 e +b11111000100001010101111000101011 "" +0. +1% +#9995500 +b10101 5 +b10101 L +b10101 W +14 +b10101 ' +b10101 D +1) +1. +0% +#9996000 +0i +b11110010100011101011001001010111 { +b11110010100011101011001001010111 A" +0g +1:" +1;" +b1010 0" +1j +0k +18" +1l +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101 ~ +b10101 )" +b10101 ." +1$" +0. +1% +#9996500 +b0 5 +b0 L +b0 W +04 +b1011001001101100000010110110101 2 +b1011001001101100000010110110101 I +b1011001001101100000010110110101 Z +b11010 3 +b11010 J +b11010 Y +11 +b0 ' +b0 D +0) +b1011001001101100000010110110101 , +b1011001001101100000010110110101 H +b11010 + +b11010 G +1- +1. +0% +#9997000 +0] +1i +0\ +1=" +1@" +1g +0:" +0;" +b101 3" +1c +0_ +1<" +1` +b11111 0" +0j +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0m +0/" +b1011001001101100000010110110101 &" +b1011001001101100000010110110101 ?" +b11010 %" +b11010 *" +b11010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11110010100011101011001001010111 / +b11110010100011101011001001010111 K +b11110010100011101011001001010111 e +b11110010100011101011001001010111 "" +0. +1% +#9997010 +b1011001001101100000010110110101 ]" +#9997500 +b11101 7 +b11101 N +b11101 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11101 & +b11101 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#9998000 +1] +0s +b11110101101011011000010101110100 | +b11110101101011011000010101110100 B" +1\ +0=" +0@" +0q +15" +16" +17" +b11111 3" +0c +0<" +0` +b10 -" +0t +0y +1z +0u +14" +1v +0b +02" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#9998500 +b0 7 +b0 N +b0 V +06 +b10101111101000010110110101001 2 +b10101111101000010110110101001 I +b10101111101000010110110101001 Z +b110 3 +b110 J +b110 Y +11 +b0 & +b0 C +0( +b10101111101000010110110101001 , +b10101111101000010110110101001 H +b110 + +b110 G +1- +1. +0% +#9999000 +0] +1s +0\ +1>" +1@" +1q +05" +06" +07" +b11001 3" +1^ +1_ +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0w +0," +b10101111101000010110110101001 &" +b10101111101000010110110101001 ?" +b110 %" +b110 *" +b110 1" +1'" +b0 } +b0 (" +b0 +" +0#" +b11110101101011011000010101110100 0 +b11110101101011011000010101110100 M +b11110101101011011000010101110100 f +b11110101101011011000010101110100 !" +0. +1% +#9999010 +b10101111101000010110110101001 I" +#9999500 +b11000 5 +b11000 L +b11000 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11000 ' +b11000 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10000000 +1] +0i +b10101010111000101001100011111100 { +b10101010111000101001100011111100 A" +1\ +0>" +0@" +19" +1;" +b11111 3" +0^ +0_ +b111 0" +1o +0k +18" +1l +0b +02" +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11000 ~ +b11000 )" +b11000 ." +1$" +0. +1% +#10000500 +b0 5 +b0 L +b0 W +04 +b11011 7 +b11011 N +b11011 V +16 +b110110001010010100101110101001 2 +b110110001010010100101110101001 I +b110110001010010100101110101001 Z +b1111 3 +b1111 J +b1111 Y +11 +b0 ' +b0 D +0) +b11011 & +b11011 C +1( +b110110001010010100101110101001 , +b110110001010010100101110101001 H +b1111 + +b1111 G +1- +1. +0% +#10001000 +0] +1i +0s +b10000101010001000111011101000001 | +b10000101010001000111011101000001 B" +0\ +0[ +1=" +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0r +0q +15" +17" +b10000 3" +0^ +0c +1d +1_ +b11111 0" +0o +08" +0l +b100 -" +1y +0u +14" +1v +1b +1a +12" +0/" +1x +1w +1," +b110110001010010100101110101001 &" +b110110001010010100101110101001 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b11011 } +b11011 (" +b11011 +" +1#" +b10101010111000101001100011111100 / +b10101010111000101001100011111100 K +b10101010111000101001100011111100 e +b10101010111000101001100011111100 "" +0. +1% +#10001010 +b110110001010010100101110101001 R" +#10001500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10002000 +1] +1s +1\ +1[ +0=" +0>" +0@" +1r +1q +05" +07" +b11111 3" +0d +0_ +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0w +0," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10000101010001000111011101000001 0 +b10000101010001000111011101000001 M +b10000101010001000111011101000001 f +b10000101010001000111011101000001 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#10002500 +b11011 5 +b11011 L +b11011 W +14 +b11001110111100111110000001101 2 +b11001110111100111110000001101 I +b11001110111100111110000001101 Z +b1111 3 +b1111 J +b1111 Y +11 +b11011 ' +b11011 D +1) +b11001110111100111110000001101 , +b11001110111100111110000001101 H +b1111 + +b1111 G +1- +1. +0% +#10003000 +0] +0i +b10000101010001000111011101000001 { +b10000101010001000111011101000001 A" +0\ +0[ +1=" +1>" +1@" +0h +0g +19" +1;" +b10000 3" +0^ +0c +1d +1_ +b100 0" +1o +0k +18" +1l +1b +1a +12" +1n +1m +1/" +b11001110111100111110000001101 &" +b11001110111100111110000001101 ?" +b1111 %" +b1111 *" +b1111 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#10003010 +b11001110111100111110000001101 R" +#10003500 +b0 5 +b0 L +b0 W +04 +b10101 7 +b10101 N +b10101 V +16 +b10100110101100000010110100001111 2 +b10100110101100000010110100001111 I +b10100110101100000010110100001111 Z +b10 3 +b10 J +b10 Y +b0 ' +b0 D +0) +b10101 & +b10101 C +1( +b10100110101100000010110100001111 , +b10100110101100000010110100001111 H +b10 + +b10 G +1. +0% +#10004000 +1] +1i +0s +b11110010100011101011001001010111 | +b11110010100011101011001001010111 B" +1[ +0=" +0>" +1h +1g +09" +0;" +0q +16" +17" +b11101 3" +0d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b1010 -" +1t +0u +14" +1v +0a +0n +0m +0/" +1w +1," +b10000101010001000111011101000001 / +b10000101010001000111011101000001 K +b10000101010001000111011101000001 e +b10000101010001000111011101000001 "" +b10100110101100000010110100001111 &" +b10100110101100000010110100001111 ?" +b10 %" +b10 *" +b10 1" +b0 ~ +b0 )" +b0 ." +0$" +b10101 } +b10101 (" +b10101 +" +1#" +0. +1% +#10004010 +b10100110101100000010110100001111 E" +#10004500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10005000 +1s +1\ +0@" +1q +06" +07" +b11111 3" +0_ +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +02" +0w +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11110010100011101011001001010111 0 +b11110010100011101011001001010111 M +b11110010100011101011001001010111 f +b11110010100011101011001001010111 !" +0. +1% +#10005500 +1. +0% +#10006000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#10006500 +b1011 5 +b1011 L +b1011 W +14 +b1011 7 +b1011 N +b1011 V +16 +b1011 ' +b1011 D +1) +b1011 & +b1011 C +1( +1. +0% +#10007000 +0i +b11000001010110101110101101011101 { +b11000001010110101110101101011101 A" +0s +b11000001010110101110101101011101 | +b11000001010110101110101101011101 B" +0h +0g +19" +1;" +0r +0q +15" +17" +b10100 0" +1o +1k +b10100 -" +1y +1u +1n +1m +1/" +1x +1w +1," +b1011 ~ +b1011 )" +b1011 ." +1$" +b1011 } +b1011 (" +b1011 +" +1#" +0. +1% +#10007500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b10 ' +b10 D +b0 & +b0 C +0( +1. +0% +#10008000 +1i +1s +1g +09" +1r +1q +05" +07" +b11101 0" +0o +b10100110101100000010110100001111 { +b10100110101100000010110100001111 A" +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0m +0x +0w +0," +b11000001010110101110101101011101 / +b11000001010110101110101101011101 K +b11000001010110101110101101011101 e +b11000001010110101110101101011101 "" +b11000001010110101110101101011101 0 +b11000001010110101110101101011101 M +b11000001010110101110101101011101 f +b11000001010110101110101101011101 !" +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#10008500 +b11000 5 +b11000 L +b11000 W +b11001 7 +b11001 N +b11001 V +16 +b11000 ' +b11000 D +b11001 & +b11001 C +1( +1. +0% +#10009000 +0k +0i +0s +b100100011011001000011011101101 | +b100100011011001000011011101101 B" +1h +19" +0q +15" +17" +b111 0" +18" +1l +1o +b10101010111000101001100011111100 { +b10101010111000101001100011111100 A" +b110 -" +1y +0u +14" +1v +0n +1w +1," +b11000 ~ +b11000 )" +b11000 ." +b11001 } +b11001 (" +b11001 +" +1#" +b10100110101100000010110100001111 / +b10100110101100000010110100001111 K +b10100110101100000010110100001111 e +b10100110101100000010110100001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#10009500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b111110101001010001000000100110 2 +b111110101001010001000000100110 I +b111110101001010001000000100110 Z +b100 3 +b100 J +b100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b111110101001010001000000100110 , +b111110101001010001000000100110 H +b100 + +b100 G +1- +1. +0% +#10010000 +0] +1i +1s +1>" +1@" +09" +0;" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +1q +05" +07" +b11011 3" +1^ +1_ +b11111 0" +0o +08" +0l +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0/" +0w +0," +b10101010111000101001100011111100 / +b10101010111000101001100011111100 K +b10101010111000101001100011111100 e +b10101010111000101001100011111100 "" +b100100011011001000011011101101 0 +b100100011011001000011011101101 M +b100100011011001000011011101101 f +b100100011011001000011011101101 !" +b111110101001010001000000100110 &" +b111110101001010001000000100110 ?" +b100 %" +b100 *" +b100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#10010010 +b111110101001010001000000100110 G" +#10010500 +b1110 5 +b1110 L +b1110 W +14 +b10111 7 +b10111 N +b10111 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 ' +b1110 D +1) +b10111 & +b10111 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10011000 +1] +0i +b1011001101100011000011111010011 { +b1011001101100011000011111010011 A" +0s +b11100010100011010001111000111010 | +b11100010100011010001111000111010 B" +0>" +0@" +0h +19" +1:" +1;" +0r +0q +16" +17" +b11111 3" +0^ +0_ +b10001 0" +0j +0o +1p +1k +b1000 -" +1t +0u +14" +1v +02" +1n +1/" +1x +1w +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 ~ +b1110 )" +b1110 ." +1$" +b10111 } +b10111 (" +b10111 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#10011500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1111000011100001111011110101011 2 +b1111000011100001111011110101011 I +b1111000011100001111011110101011 Z +b11100 3 +b11100 J +b11100 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1111000011100001111011110101011 , +b1111000011100001111011110101011 H +b11100 + +b11100 G +1- +1. +0% +#10012000 +0] +1i +1s +1=" +1>" +1@" +1h +09" +0:" +0;" +1r +1q +06" +07" +b11 3" +0^ +0c +1d +0_ +1<" +1` +b11111 0" +0p +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +12" +0n +0/" +0x +0w +0," +b1011001101100011000011111010011 / +b1011001101100011000011111010011 K +b1011001101100011000011111010011 e +b1011001101100011000011111010011 "" +b11100010100011010001111000111010 0 +b11100010100011010001111000111010 M +b11100010100011010001111000111010 f +b11100010100011010001111000111010 !" +b1111000011100001111011110101011 &" +b1111000011100001111011110101011 ?" +b11100 %" +b11100 *" +b11100 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +0. +1% +#10012010 +b1111000011100001111011110101011 _" +#10012500 +b10011 5 +b10011 L +b10011 W +14 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10011 ' +b10011 D +1) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10013000 +1] +b11011000101111111011100001111001 { +b11011000101111111011100001111001 A" +0=" +0>" +0@" +0h +0g +1;" +b11111 3" +0d +0<" +0` +b1100 0" +0k +18" +1l +02" +1n +1m +1/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10011 ~ +b10011 )" +b10011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#10013500 +b0 5 +b0 L +b0 W +04 +b11100 7 +b11100 N +b11100 V +16 +b0 ' +b0 D +0) +b11100 & +b11100 C +1( +1. +0% +#10014000 +0s +b1111000011100001111011110101011 | +b1111000011100001111011110101011 B" +1h +1g +0;" +15" +16" +17" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +b11 -" +0t +0y +1z +0u +14" +1v +0n +0m +0/" +1," +b11011000101111111011100001111001 / +b11011000101111111011100001111001 K +b11011000101111111011100001111001 e +b11011000101111111011100001111001 "" +b0 ~ +b0 )" +b0 ." +0$" +b11100 } +b11100 (" +b11100 +" +1#" +0. +1% +#10014500 +b11010 5 +b11010 L +b11010 W +14 +b1010 7 +b1010 N +b1010 V +b11010 ' +b11010 D +1) +b1010 & +b1010 C +1. +0% +#10015000 +0i +b1011001001101100000010110110101 { +b1011001001101100000010110110101 A" +1u +1y +0h +19" +1;" +0r +17" +15" +06" +b101 0" +1o +0k +18" +1l +b10101 -" +04" +0v +0z +b111001110101100111011011011100 | +b111001110101100111011011011100 B" +1n +1/" +1x +b11010 ~ +b11010 )" +b11010 ." +1$" +b1010 } +b1010 (" +b1010 +" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b1111000011100001111011110101011 0 +b1111000011100001111011110101011 M +b1111000011100001111011110101011 f +b1111000011100001111011110101011 !" +0. +1% +#10015500 +b110 5 +b110 L +b110 W +b0 7 +b0 N +b0 V +06 +b110 ' +b110 D +b0 & +b0 C +0( +1. +0% +#10016000 +1:" +1k +1j +1s +1;" +b10101111101000010110110101001 { +b10101111101000010110110101001 A" +09" +1r +05" +07" +b11001 0" +08" +0l +0o +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0u +0x +0," +b1011001001101100000010110110101 / +b1011001001101100000010110110101 K +b1011001001101100000010110110101 e +b1011001001101100000010110110101 "" +b111001110101100111011011011100 0 +b111001110101100111011011011100 M +b111001110101100111011011011100 f +b111001110101100111011011011100 !" +b110 ~ +b110 )" +b110 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#10016500 +b1 5 +b1 L +b1 W +b11100100101001100001100101000010 2 +b11100100101001100001100101000010 I +b11100100101001100001100101000010 Z +b11000 3 +b11000 J +b11000 Y +11 +b1 ' +b1 D +b11100100101001100001100101000010 , +b11100100101001100001100101000010 H +b11000 + +b11000 G +1- +1. +0% +#10017000 +0] +1i +1=" +1@" +1h +0g +0:" +b111 3" +1c +0_ +1<" +1` +b11110 0" +0j +b10100001001010001000011011110100 { +b10100001001010001000011011110100 A" +12" +0n +1m +b11100100101001100001100101000010 &" +b11100100101001100001100101000010 ?" +b11000 %" +b11000 *" +b11000 1" +1'" +b1 ~ +b1 )" +b1 ." +b10101111101000010110110101001 / +b10101111101000010110110101001 K +b10101111101000010110110101001 e +b10101111101000010110110101001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#10017010 +b11100100101001100001100101000010 [" +#10017500 +b11111 5 +b11111 L +b11111 W +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b11111 ' +b11111 D +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10018000 +1] +0k +0i +0=" +0@" +0h +19" +1:" +b11111 3" +0c +0<" +0` +b0 0" +18" +1l +0j +0o +1p +b110111101010110110101100000000 { +b110111101010110110101100000000 A" +02" +1n +b10100001001010001000011011110100 / +b10100001001010001000011011110100 K +b10100001001010001000011011110100 e +b10100001001010001000011011110100 "" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b11111 ~ +b11111 )" +b11111 ." +0. +1% +#10018500 +b11010 5 +b11010 L +b11010 W +b11010 ' +b11010 D +1. +0% +#10019000 +1o +1g +19" +0:" +b101 0" +0p +b1011001001101100000010110110101 { +b1011001001101100000010110110101 A" +0m +b11010 ~ +b11010 )" +b11010 ." +b110111101010110110101100000000 / +b110111101010110110101100000000 K +b110111101010110110101100000000 e +b110111101010110110101100000000 "" +0. +1% +#10019500 +b0 5 +b0 L +b0 W +04 +b10001000010001010000010110101000 2 +b10001000010001010000010110101000 I +b10001000010001010000010110101000 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b10001000010001010000010110101000 , +b10001000010001010000010110101000 H +b1010 + +b1010 G +1- +1. +0% +#10020000 +0] +1i +0\ +1=" +1@" +1h +09" +0;" +b10101 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +1b +12" +0n +0/" +b1011001001101100000010110110101 / +b1011001001101100000010110110101 K +b1011001001101100000010110110101 e +b1011001001101100000010110110101 "" +b10001000010001010000010110101000 &" +b10001000010001010000010110101000 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#10020010 +b10001000010001010000010110101000 M" +#10020500 +b1011 5 +b1011 L +b1011 W +14 +b11101011101110000000011110001011 2 +b11101011101110000000011110001011 I +b11101011101110000000011110001011 Z +b10100 3 +b10100 J +b10100 Y +b1011 ' +b1011 D +1) +b11101011101110000000011110001011 , +b11101011101110000000011110001011 H +b10100 + +b10100 G +1. +0% +#10021000 +1>" +0_ +1^ +0i +b11000001010110101110101101011101 { +b11000001010110101110101101011101 A" +1\ +0=" +0h +0g +19" +1;" +b1011 3" +1<" +1` +0c +b10100 0" +1o +1k +0b +1n +1m +1/" +b11101011101110000000011110001011 &" +b11101011101110000000011110001011 ?" +b10100 %" +b10100 *" +b10100 1" +b1011 ~ +b1011 )" +b1011 ." +1$" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#10021010 +b11101011101110000000011110001011 W" +#10021500 +b0 5 +b0 L +b0 W +04 +b10101101110001011000110001100011 2 +b10101101110001011000110001100011 I +b10101101110001011000110001100011 Z +b1100 3 +b1100 J +b1100 Y +b0 ' +b0 D +0) +b10101101110001011000110001100011 , +b10101101110001011000110001100011 H +b1100 + +b1100 G +1. +0% +#10022000 +1_ +0^ +1i +1@" +1=" +1h +1g +09" +0;" +b10011 3" +0<" +0` +1d +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0m +0/" +b11000001010110101110101101011101 / +b11000001010110101110101101011101 K +b11000001010110101110101101011101 e +b11000001010110101110101101011101 "" +b10101101110001011000110001100011 &" +b10101101110001011000110001100011 ?" +b1100 %" +b1100 *" +b1100 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#10022010 +b10101101110001011000110001100011 O" +#10022500 +b1110 7 +b1110 N +b1110 V +16 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1110 & +b1110 C +1( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10023000 +1] +0s +b1011001101100011000011111010011 | +b1011001101100011000011111010011 B" +0=" +0>" +0@" +0r +15" +16" +17" +b11111 3" +0d +0_ +b10001 -" +0t +0y +1z +1u +02" +1x +1," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1110 } +b1110 (" +b1110 +" +1#" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#10023500 +b10110 7 +b10110 N +b10110 V +b1011000110100111110000111101 2 +b1011000110100111110000111101 I +b1011000110100111110000111101 Z +b11111 3 +b11111 J +b11111 Y +11 +b10110 & +b10110 C +b1011000110100111110000111101 , +b1011000110100111110000111101 H +b11111 + +b11111 G +1- +1. +0% +#10024000 +0] +0u +1t +0\ +0[ +1=" +1>" +1@" +b10110000001101011101010010010100 | +b10110000001101011101010010010100 B" +05" +16" +b0 3" +0^ +0c +1d +0_ +1<" +1` +b1001 -" +14" +1v +0z +1b +1a +12" +b1011001101100011000011111010011 0 +b1011001101100011000011111010011 M +b1011001101100011000011111010011 f +b1011001101100011000011111010011 !" +b1011000110100111110000111101 &" +b1011000110100111110000111101 ?" +b11111 %" +b11111 *" +b11111 1" +1'" +b10110 } +b10110 (" +b10110 +" +0. +1% +#10024500 +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10025000 +1] +1s +1\ +1[ +0=" +0>" +0@" +1r +06" +07" +b11111 3" +0d +0<" +0` +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +0a +02" +0x +0," +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 } +b0 (" +b0 +" +0#" +b10110000001101011101010010010100 0 +b10110000001101011101010010010100 M +b10110000001101011101010010010100 f +b10110000001101011101010010010100 !" +0. +1% +#10025500 +b11101 7 +b11101 N +b11101 V +16 +b1010011010000101110011100101 2 +b1010011010000101110011100101 I +b1010011010000101110011100101 Z +b10010 3 +b10010 J +b10010 Y +11 +b11101 & +b11101 C +1( +b1010011010000101110011100101 , +b1010011010000101110011100101 H +b10010 + +b10010 G +1- +1. +0% +#10026000 +0s +b11110101101011011000010101110100 | +b11110101101011011000010101110100 B" +0\ +1@" +0q +15" +16" +17" +b1101 3" +0_ +1<" +1` +b10 -" +0t +0y +1z +0u +14" +1v +1b +12" +1w +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010011010000101110011100101 &" +b1010011010000101110011100101 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b11101 } +b11101 (" +b11101 +" +1#" +0. +1% +#10026010 +b1010011010000101110011100101 U" +#10026500 +b1001 7 +b1001 N +b1001 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b1001 & +b1001 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10027000 +1u +1y +1\ +0@" +17" +b10001111101110110111011110001110 | +b10001111101110110111011110001110 B" +15" +06" +b11111 3" +0<" +0` +b10110 -" +04" +0v +0z +0b +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b1001 } +b1001 (" +b1001 +" +b11110101101011011000010101110100 0 +b11110101101011011000010101110100 M +b11110101101011011000010101110100 f +b11110101101011011000010101110100 !" +0. +1% +#10027500 +b10110 7 +b10110 N +b10110 V +b10101011110111011001111100101001 2 +b10101011110111011001111100101001 I +b10101011110111011001111100101001 Z +b10010 3 +b10010 J +b10010 Y +11 +b10110 & +b10110 C +b10101011110111011001111100101001 , +b10101011110111011001111100101001 H +b10010 + +b10010 G +1- +1. +0% +#10028000 +16" +0u +1t +0\ +1@" +0r +1q +05" +b1101 3" +0_ +1<" +1` +b1001 -" +14" +1v +0y +b10110000001101011101010010010100 | +b10110000001101011101010010010100 B" +1b +12" +1x +0w +b10001111101110110111011110001110 0 +b10001111101110110111011110001110 M +b10001111101110110111011110001110 f +b10001111101110110111011110001110 !" +b10101011110111011001111100101001 &" +b10101011110111011001111100101001 ?" +b10010 %" +b10010 *" +b10010 1" +1'" +b10110 } +b10110 (" +b10110 +" +0. +1% +#10028010 +b10101011110111011001111100101001 U" +#10028500 +b11011 5 +b11011 L +b11011 W +14 +b0 7 +b0 N +b0 V +06 +b11110011011000101011011100111011 2 +b11110011011000101011011100111011 I +b11110011011000101011011100111011 Z +b11001 3 +b11001 J +b11001 Y +b11011 ' +b11011 D +1) +b0 & +b0 C +0( +b11110011011000101011011100111011 , +b11110011011000101011011100111011 H +b11001 + +b11001 G +1. +0% +#10029000 +0] +0i +b10000101010001000111011101000001 { +b10000101010001000111011101000001 A" +1s +1\ +0[ +1=" +0h +0g +19" +1;" +1r +06" +07" +b110 3" +1c +b100 0" +1o +0k +18" +1l +b11111 -" +0t +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +0b +1a +1n +1m +1/" +0x +0," +b11110011011000101011011100111011 &" +b11110011011000101011011100111011 ?" +b11001 %" +b11001 *" +b11001 1" +b11011 ~ +b11011 )" +b11011 ." +1$" +b0 } +b0 (" +b0 +" +0#" +b10110000001101011101010010010100 0 +b10110000001101011101010010010100 M +b10110000001101011101010010010100 f +b10110000001101011101010010010100 !" +0. +1% +#10029010 +b11110011011000101011011100111011 \" +#10029500 +b100 5 +b100 L +b100 W +b110111010100001110101111100001 2 +b110111010100001110101111100001 I +b110111010100001110101111100001 Z +b11 3 +b11 J +b11 Y +b100 ' +b100 D +b110111010100001110101111100001 , +b110111010100001110101111100001 H +b11 + +b11 G +1. +0% +#10030000 +1:" +1_ +1] +1k +1j +0\ +1@" +0=" +1h +1g +1;" +09" +b11100 3" +0<" +0` +0c +b11011 0" +08" +0l +0o +b111110101001010001000000100110 { +b111110101001010001000000100110 A" +1b +0n +0m +b10000101010001000111011101000001 / +b10000101010001000111011101000001 K +b10000101010001000111011101000001 e +b10000101010001000111011101000001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b110111010100001110101111100001 &" +b110111010100001110101111100001 ?" +b11 %" +b11 *" +b11 1" +b100 ~ +b100 )" +b100 ." +0. +1% +#10030010 +b110111010100001110101111100001 F" +#10030500 +b10110 5 +b10110 L +b10110 W +b11111 7 +b11111 N +b11111 V +16 +b10111001110101110000111100010000 2 +b10111001110101110000111100010000 I +b10111001110101110000111100010000 Z +b11100 3 +b11100 J +b11100 Y +b10110 ' +b10110 D +b11111 & +b11111 C +1( +b10111001110101110000111100010000 , +b10111001110101110000111100010000 H +b11100 + +b11100 G +1. +0% +#10031000 +0_ +0] +0k +0s +b1011000110100111110000111101 | +b1011000110100111110000111101 B" +1\ +1[ +1=" +1>" +0h +0r +0q +15" +16" +17" +b11 3" +1<" +1` +0^ +0c +1d +b1001 0" +18" +1l +b10110000001101011101010010010100 { +b10110000001101011101010010010100 A" +b0 -" +0t +0y +1z +0u +14" +1v +0b +0a +1n +1x +1w +1," +b10111001110101110000111100010000 &" +b10111001110101110000111100010000 ?" +b11100 %" +b11100 *" +b11100 1" +b10110 ~ +b10110 )" +b10110 ." +b11111 } +b11111 (" +b11111 +" +1#" +b111110101001010001000000100110 / +b111110101001010001000000100110 K +b111110101001010001000000100110 e +b111110101001010001000000100110 "" +0. +1% +#10031010 +b10111001110101110000111100010000 _" +#10031500 +b10 5 +b10 L +b10 W +b0 7 +b0 N +b0 V +06 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b10 ' +b10 D +b0 & +b0 C +0( +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10032000 +1] +1k +1i +1s +0=" +0>" +0@" +1;" +b10100110101100000010110100001111 { +b10100110101100000010110100001111 A" +0:" +1r +1q +05" +06" +07" +b11111 3" +0d +0<" +0` +b11101 0" +08" +0l +0j +b11111 -" +0z +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +02" +0x +0w +0," +b10110000001101011101010010010100 / +b10110000001101011101010010010100 K +b10110000001101011101010010010100 e +b10110000001101011101010010010100 "" +b1011000110100111110000111101 0 +b1011000110100111110000111101 M +b1011000110100111110000111101 f +b1011000110100111110000111101 !" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b10 ~ +b10 )" +b10 ." +b0 } +b0 (" +b0 +" +0#" +0. +1% +#10032500 +b0 5 +b0 L +b0 W +04 +b0 ' +b0 D +0) +1. +0% +#10033000 +1h +0;" +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0n +0/" +b0 ~ +b0 )" +b0 ." +0$" +b10100110101100000010110100001111 / +b10100110101100000010110100001111 K +b10100110101100000010110100001111 e +b10100110101100000010110100001111 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +0. +1% +#10033500 +16 +b10101001000011011001000101110010 2 +b10101001000011011001000101110010 I +b10101001000011011001000101110010 Z +b10101 3 +b10101 J +b10101 Y +11 +1( +b10101001000011011001000101110010 , +b10101001000011011001000101110010 H +b10101 + +b10101 G +1- +1. +0% +#10034000 +0] +b11111101010011101100001001110100 | +b11111101010011101100001001110100 B" +0[ +1>" +1@" +17" +b1010 3" +1^ +0_ +1<" +1` +1u +1a +12" +1," +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b10101001000011011001000101110010 &" +b10101001000011011001000101110010 ?" +b10101 %" +b10101 *" +b10101 1" +1'" +1#" +0. +1% +#10034010 +b10101001000011011001000101110010 X" +#10034500 +b11 5 +b11 L +b11 W +14 +06 +b10011100000011110000111010101010 2 +b10011100000011110000111010101010 I +b10011100000011110000111010101010 Z +b11110 3 +b11110 J +b11110 Y +b11 ' +b11 D +1) +0( +b10011100000011110000111010101010 , +b10011100000011110000111010101010 H +b11110 + +b11110 G +1. +0% +#10035000 +0^ +b110111010100001110101111100001 { +b110111010100001110101111100001 A" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +0\ +1[ +1=" +0h +0g +1;" +07" +b1 3" +1d +b11100 0" +1k +0u +1b +0a +1n +1m +1/" +0," +b10011100000011110000111010101010 &" +b10011100000011110000111010101010 ?" +b11110 %" +b11110 *" +b11110 1" +b11 ~ +b11 )" +b11 ." +1$" +0#" +b11111101010011101100001001110100 0 +b11111101010011101100001001110100 M +b11111101010011101100001001110100 f +b11111101010011101100001001110100 !" +0. +1% +#10035010 +b10011100000011110000111010101010 a" +#10035500 +b0 5 +b0 L +b0 W +04 +b1010110110011010010011100001100 2 +b1010110110011010010011100001100 I +b1010110110011010010011100001100 Z +b11 3 +b11 J +b11 Y +b0 ' +b0 D +0) +b1010110110011010010011100001100 , +b1010110110011010010011100001100 H +b11 + +b11 G +1. +0% +#10036000 +1_ +1] +0[ +1@" +0=" +0>" +1h +1g +0;" +b11100 3" +0<" +0` +0d +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +1a +0n +0m +0/" +b110111010100001110101111100001 / +b110111010100001110101111100001 K +b110111010100001110101111100001 e +b110111010100001110101111100001 "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b1010110110011010010011100001100 &" +b1010110110011010010011100001100 ?" +b11 %" +b11 *" +b11 1" +b0 ~ +b0 )" +b0 ." +0$" +0. +1% +#10036010 +b1010110110011010010011100001100 F" +#10036500 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10037000 +1\ +1[ +0@" +b11111 3" +0_ +0b +0a +02" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#10037500 +1. +0% +#10038000 +0. +1% +#10038500 +1. +0% +#10039000 +0. +1% +#10039500 +b11011 5 +b11011 L +b11011 W +14 +b100 7 +b100 N +b100 V +16 +b10100000110000011111111010001 2 +b10100000110000011111111010001 I +b10100000110000011111111010001 Z +b1110 3 +b1110 J +b1110 Y +11 +b11011 ' +b11011 D +1) +b100 & +b100 C +1( +b10100000110000011111111010001 , +b10100000110000011111111010001 H +b1110 + +b1110 G +1- +1. +0% +#10040000 +0] +0i +b10000101010001000111011101000001 { +b10000101010001000111011101000001 A" +0s +b111110101001010001000000100110 | +b111110101001010001000000100110 B" +0\ +1=" +1>" +1@" +0h +0g +19" +1;" +16" +17" +b10001 3" +0^ +0c +1d +1_ +b100 0" +1o +0k +18" +1l +b11011 -" +1t +1u +1b +12" +1n +1m +1/" +1," +b10100000110000011111111010001 &" +b10100000110000011111111010001 ?" +b1110 %" +b1110 *" +b1110 1" +1'" +b11011 ~ +b11011 )" +b11011 ." +1$" +b100 } +b100 (" +b100 +" +1#" +0. +1% +#10040010 +b10100000110000011111111010001 Q" +#10040500 +b100 5 +b100 L +b100 W +b10100 7 +b10100 N +b10100 V +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b100 ' +b100 D +b10100 & +b10100 C +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10041000 +1:" +1] +1k +1j +0u +1\ +0=" +0>" +0@" +1h +1g +1;" +09" +b11101011101110000000011110001011 | +b11101011101110000000011110001011 B" +b11111 3" +0d +0_ +b11011 0" +08" +0l +0o +b111110101001010001000000100110 { +b111110101001010001000000100110 A" +b1011 -" +14" +1v +0b +02" +0n +0m +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b100 ~ +b100 )" +b100 ." +b10100 } +b10100 (" +b10100 +" +b10000101010001000111011101000001 / +b10000101010001000111011101000001 K +b10000101010001000111011101000001 e +b10000101010001000111011101000001 "" +b111110101001010001000000100110 0 +b111110101001010001000000100110 M +b111110101001010001000000100110 f +b111110101001010001000000100110 !" +0. +1% +#10041500 +b1011 5 +b1011 L +b1011 W +b11010 7 +b11010 N +b11010 V +b1011 ' +b1011 D +b11010 & +b11010 C +1. +0% +#10042000 +19" +15" +1o +1y +0h +0g +0:" +0r +06" +b10100 0" +0j +b11000001010110101110101101011101 { +b11000001010110101110101101011101 A" +b101 -" +0t +b1011001001101100000010110110101 | +b1011001001101100000010110110101 B" +1n +1m +1x +b111110101001010001000000100110 / +b111110101001010001000000100110 K +b111110101001010001000000100110 e +b111110101001010001000000100110 "" +b11101011101110000000011110001011 0 +b11101011101110000000011110001011 M +b11101011101110000000011110001011 f +b11101011101110000000011110001011 !" +b1011 ~ +b1011 )" +b1011 ." +b11010 } +b11010 (" +b11010 +" +0. +1% +#10042500 +b0 5 +b0 L +b0 W +04 +b0 7 +b0 N +b0 V +06 +b1110000100000110010100100100 2 +b1110000100000110010100100100 I +b1110000100000110010100100100 Z +b1010 3 +b1010 J +b1010 Y +11 +b0 ' +b0 D +0) +b0 & +b0 C +0( +b1110000100000110010100100100 , +b1110000100000110010100100100 H +b1010 + +b1010 G +1- +1. +0% +#10043000 +0] +1i +1s +0\ +1=" +1@" +1h +1g +09" +0;" +1r +05" +07" +b10101 3" +1c +1_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +b11111 -" +0y +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx | +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx B" +04" +0v +1b +12" +0n +0m +0/" +0x +0," +b1110000100000110010100100100 &" +b1110000100000110010100100100 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b0 ~ +b0 )" +b0 ." +0$" +b0 } +b0 (" +b0 +" +0#" +b11000001010110101110101101011101 / +b11000001010110101110101101011101 K +b11000001010110101110101101011101 e +b11000001010110101110101101011101 "" +b1011001001101100000010110110101 0 +b1011001001101100000010110110101 M +b1011001001101100000010110110101 f +b1011001001101100000010110110101 !" +0. +1% +#10043010 +b1110000100000110010100100100 M" +#10043500 +b11010 5 +b11010 L +b11010 W +14 +b101101101111101011111101110010 2 +b101101101111101011111101110010 I +b101101101111101011111101110010 Z +b1101 3 +b1101 J +b1101 Y +b11010 ' +b11010 D +1) +b101101101111101011111101110010 , +b101101101111101011111101110010 H +b1101 + +b1101 G +1. +0% +#10044000 +0c +0i +b1011001001101100000010110110101 { +b1011001001101100000010110110101 A" +1\ +0[ +1>" +0h +19" +1;" +b10010 3" +1d +b101 0" +1o +0k +18" +1l +0b +1a +1n +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 0 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx M +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx f +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx !" +b101101101111101011111101110010 &" +b101101101111101011111101110010 ?" +b1101 %" +b1101 *" +b1101 1" +b11010 ~ +b11010 )" +b11010 ." +1$" +0. +1% +#10044010 +b101101101111101011111101110010 P" +#10044500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10045000 +1] +1i +1[ +0=" +0>" +0@" +1h +09" +0;" +b11111 3" +0d +0_ +b11111 0" +0o +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +08" +0l +0a +02" +0n +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1011001001101100000010110110101 / +b1011001001101100000010110110101 K +b1011001001101100000010110110101 e +b1011001001101100000010110110101 "" +0. +1% +#10045500 +b11 5 +b11 L +b11 W +14 +b11011101000000010010011110000101 2 +b11011101000000010010011110000101 I +b11011101000000010010011110000101 Z +b1010 3 +b1010 J +b1010 Y +11 +b11 ' +b11 D +1) +b11011101000000010010011110000101 , +b11011101000000010010011110000101 H +b1010 + +b1010 G +1- +1. +0% +#10046000 +0] +b1010110110011010010011100001100 { +b1010110110011010010011100001100 A" +0\ +1=" +1@" +0h +0g +1;" +b10101 3" +1c +1_ +b11100 0" +1k +1b +12" +1n +1m +1/" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +b11011101000000010010011110000101 &" +b11011101000000010010011110000101 ?" +b1010 %" +b1010 *" +b1010 1" +1'" +b11 ~ +b11 )" +b11 ." +1$" +0. +1% +#10046010 +b11011101000000010010011110000101 M" +#10046500 +b0 5 +b0 L +b0 W +04 +b0 2 +b0 I +b0 Z +b0 3 +b0 J +b0 Y +01 +b0 ' +b0 D +0) +b0 , +b0 H +b0 + +b0 G +0- +1. +0% +#10047000 +1] +1\ +0=" +0@" +1h +1g +0;" +b11111 3" +0c +0_ +b11111 0" +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx { +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A" +0k +0b +02" +0n +0m +0/" +b0 &" +b0 ?" +b0 %" +b0 *" +b0 1" +0'" +b0 ~ +b0 )" +b0 ." +0$" +b1010110110011010010011100001100 / +b1010110110011010010011100001100 K +b1010110110011010010011100001100 e +b1010110110011010010011100001100 "" +0. +1% +#10047500 +1. +0% +#10048000 +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx / +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx K +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx e +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx "" +0. +1% +#10048500 +1. +0% +#10049000 +0. +1% +#10049500 +1. +0% +#10050000 +0. +1% +#10050500 +1. +0% +#10051000 +0. +1% +#10051500 +1. +0% +#10052000 +0. +1% +#10052500 +1. +0% +#10053000 +0. +1% +#10053500 +1. +0% +#10054000 +0. +1% +#10054500 +1. +0% +#10055000 +0. +1% +#10055500 +1. +0% +#10056000 +0. +1% +#10056500 +1. +0% +#10057000 +0. +1% +#10057001 diff --git a/rtl/sim/coco/test_ra_sdr_64x72.fst b/rtl/sim/coco/test_ra_sdr_64x72.fst new file mode 100644 index 0000000..793edc4 Binary files /dev/null and b/rtl/sim/coco/test_ra_sdr_64x72.fst differ diff --git a/rtl/sim/coco/test_ra_sdr_64x72.v b/rtl/sim/coco/test_ra_sdr_64x72.v new file mode 100644 index 0000000..53c3ff5 --- /dev/null +++ b/rtl/sim/coco/test_ra_sdr_64x72.v @@ -0,0 +1,148 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Test array (SDR) wrapper for cocotb/icarus +// 64 word 72 bit array +// LCB for strobe generation +// Config, BIST, etc. + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module test_ra_sdr_64x72 ( + + clk, + reset, + cfg_wr, + cfg_dat, + bist_ctl, + bist_status, + + rd_enb_0, + rd_adr_0, + rd_dat_0, + + rd_enb_1, + rd_adr_1, + rd_dat_1, + + wr_enb_0, + wr_adr_0, + wr_dat_0 + +); + + input clk; + input reset; + input cfg_wr; + input [0:`LCBSDR_CONFIGWIDTH-1] cfg_dat; + input [0:31] bist_ctl; + output [0:31] bist_status; + input rd_enb_0; + input [0:5] rd_adr_0; + output [0:71] rd_dat_0; + input rd_enb_1; + input [0:5] rd_adr_1; + output [0:71] rd_dat_1; + input wr_enb_0; + input [0:5] wr_adr_0; + input [0:71] wr_dat_0; + + wire strobe; + wire [0:`LCBSDR_CONFIGWIDTH-1] cfg; + wire mux_rd0_enb; + wire [0:5] mux_rd0_adr; + wire mux_rd1_enb; + wire [0:5] mux_rd1_adr; + wire mux_wr0_enb; + wire [0:5] mux_wr0_adr; + wire [0:71] mux_wr0_dat; + + + initial begin + $dumpfile ("test_ra_sdr_64x72.vcd"); + $dumpvars; + #1; + end + + ra_lcb_sdr lcb ( + + .clk (clk), + .reset (reset), + .cfg (cfg), + .strobe (strobe) + + ); + + ra_cfg_sdr #(.INIT(-1)) cfig ( + + .clk (clk), + .reset (reset), + .cfg_wr (cfg_wr), + .cfg_dat (cfg_dat), + .cfg (cfg) + + ); + + ra_bist_sdr bist ( + + .clk (clk), + .reset (reset), + .ctl (bist_ctl), + .status (bist_status), + .rd0_enb_in (rd_enb_0), + .rd0_adr_in (rd_adr_0), + .rd0_dat (rd_dat_0), + .rd1_enb_in (rd_enb_1), + .rd1_adr_in (rd_adr_1), + .rd1_dat (rd_dat_1), + .wr0_enb_in (wr_enb_0), + .wr0_adr_in (wr_adr_0), + .wr0_dat_in (wr_dat_0), + .rd0_enb_out (mux_rd0_enb), + .rd0_adr_out (mux_rd0_adr), + .rd1_enb_out (mux_rd1_enb), + .rd1_adr_out (mux_rd1_adr), + .wr0_enb_out (mux_wr0_enb), + .wr0_adr_out (mux_wr0_adr), + .wr0_dat_out (mux_wr0_dat) + + ); + + ra_2r1w_64x72_sdr ra ( + + .clk (clk), + .reset (reset), + .strobe (strobe), + .rd_enb_0 (mux_rd0_enb), + .rd_adr_0 (mux_rd0_adr), + .rd_dat_0 (rd_dat_0), + .rd_enb_1 (mux_rd1_enb), + .rd_adr_1 (mux_rd1_adr), + .rd_dat_1 (rd_dat_1), + .wr_enb_0 (mux_wr0_enb), + .wr_adr_0 (mux_wr0_adr), + .wr_dat_0 (mux_wr0_dat) + + ); + +endmodule + diff --git a/rtl/sim/coco/test_site.fst b/rtl/sim/coco/test_site.fst new file mode 100644 index 0000000..8512c5f Binary files /dev/null and b/rtl/sim/coco/test_site.fst differ diff --git a/rtl/sim/coco/test_site.v b/rtl/sim/coco/test_site.v new file mode 100644 index 0000000..27e49d0 --- /dev/null +++ b/rtl/sim/coco/test_site.v @@ -0,0 +1,106 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Test array (SDR) wrapper for cocotb/icarus +// 32 word 32 bit array +// LCB for strobe generation +// Config, BIST, etc. + +`timescale 1 ns / 1 ns + +`include "defines.v" +`include "toysram.vh" + +module test_site ( + +`ifdef USE_POWER_PINS + inout vccd1, // User area 1 1.8V supply + inout vssd1, // User area 1 digital ground +`endif + + // Wishbone Slave ports (WB MI A) + input wb_clk_i, + input wb_rst_i, + input wbs_stb_i, + input wbs_cyc_i, + input wbs_we_i, + input [3:0] wbs_sel_i, + input [31:0] wbs_dat_i, + input [31:0] wbs_adr_i, + output wbs_ack_o, + output [31:0] wbs_dat_o, + + // Logic Analyzer Signals + input [127:0] la_data_in, + output [127:0] la_data_out, + input [127:0] la_oenb, + + // IOs + input [`MPRJ_IO_PADS-1:0] io_in, + output [`MPRJ_IO_PADS-1:0] io_out, + output [`MPRJ_IO_PADS-1:0] io_oeb, + + // IRQ + output [2:0] irq + +); + + initial begin + $dumpfile ("test_site.vcd"); + $dumpvars; + #1; + end + + toysram_site site ( + +`ifdef USE_POWER_PINS + .vccd1(vccd1), + .vssd1(vssd1), +`endif + .wb_clk_i(wb_clk_i), + .wb_rst_i(wb_rst_i), + .wbs_stb_i(wbs_stb_i), + .wbs_cyc_i(wbs_cyc_i), + .wbs_we_i(wbs_we_i), + .wbs_sel_i(wbs_sel_i), + .wbs_dat_i(wbs_dat_i), + .wbs_adr_i(wbs_adr_i), + .wbs_ack_o(wbs_ack_o), + .wbs_dat_o(wbs_dat_o), + + // Logic Analyzer Signals + .la_data_in(la_data_in), + .la_data_out(la_data_out), + .la_oenb(la_oenb), + + + // IOs + .io_in(io_in), + .io_out(io_out), + .io_oeb(io_oeb), + + // IRQ + .irq(irq) + + ); + + +endmodule + diff --git a/rtl/sim/coco/wtf_test_ra_sdr_32x32.gtkw b/rtl/sim/coco/wtf_test_ra_sdr_32x32.gtkw new file mode 100644 index 0000000..347d6fa --- /dev/null +++ b/rtl/sim/coco/wtf_test_ra_sdr_32x32.gtkw @@ -0,0 +1,65 @@ +[*] +[*] GTKWave Analyzer v3.4.0 (w)1999-2022 BSI +[*] Wed Jun 8 15:39:53 2022 +[*] +[dumpfile] "/media/wtf/WD_USBC_4T/projects/toy-sram/rtl/sim/coco/test_ra_sdr_32x32.fst" +[dumpfile_mtime] "Wed Jun 8 15:03:44 2022" +[dumpfile_size] 4425 +[savefile] "/media/wtf/WD_USBC_4T/projects/toy-sram/rtl/sim/coco/wtf_test_ra_sdr_32x32.gtkw" +[timestart] 19240 +[size] 2088 1240 +[pos] 218 58 +*-12.000000 30000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] test_ra_sdr_32x32. +[treeopen] test_ra_sdr_32x32.ra. +[treeopen] test_ra_sdr_32x32.ra.array0. +[sst_width] 282 +[signals_width] 312 +[sst_expanded] 1 +[sst_vpaned_height] 370 +@28 +test_ra_sdr_32x32.clk +@200 +-WR 0 +@28 +test_ra_sdr_32x32.wr_enb_0 +@22 +test_ra_sdr_32x32.wr_adr_0[0:4] +test_ra_sdr_32x32.wr_dat_0[0:31] +@200 +-RD 0 +@28 +test_ra_sdr_32x32.rd_enb_0 +@22 +test_ra_sdr_32x32.rd_adr_0[0:4] +test_ra_sdr_32x32.rd_dat_0[0:31] +@200 +-RD 1 +@28 +test_ra_sdr_32x32.rd_enb_1 +@22 +test_ra_sdr_32x32.rd_adr_1[0:4] +test_ra_sdr_32x32.rd_dat_1[0:31] +@200 +-RA +-RA[0] +@22 +test_ra_sdr_32x32.ra.array0.ra[0].q[0:31] +test_ra_sdr_32x32.ra.array0.ra[1].q[0:31] +test_ra_sdr_32x32.ra.array0.ra[2].q[0:31] +test_ra_sdr_32x32.ra.array0.ra[3].q[0:31] +test_ra_sdr_32x32.ra.array0.ra[4].q[0:31] +test_ra_sdr_32x32.ra.array0.ra[5].q[0:31] +test_ra_sdr_32x32.ra.array0.ra[6].q[0:31] +@23 +test_ra_sdr_32x32.ra.array0.ra[7].q[0:31] +@28 +test_ra_sdr_32x32.ra.array0.wr0_a0 +test_ra_sdr_32x32.ra.array0.wr0_a1 +test_ra_sdr_32x32.ra.array0.wr0_a1_a2 +test_ra_sdr_32x32.ra.array0.wr0_a1_na2 +test_ra_sdr_32x32.ra.array0.wr0_a2 +test_ra_sdr_32x32.ra.array0.wr0_a3 +test_ra_sdr_32x32.ra.array0.wr0_a4 +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/rtl/sim/coco/wtf_test_ra_sdr_64x72.gtkw b/rtl/sim/coco/wtf_test_ra_sdr_64x72.gtkw new file mode 100644 index 0000000..6d35071 --- /dev/null +++ b/rtl/sim/coco/wtf_test_ra_sdr_64x72.gtkw @@ -0,0 +1,68 @@ +[*] +[*] GTKWave Analyzer v3.4.0 (w)1999-2022 BSI +[*] Thu May 26 19:05:29 2022 +[*] +[dumpfile] "/home/wtf/projects/toysram-opf/rtl/sim/coco/test_ra_sdr_64x72.fst" +[dumpfile_mtime] "Thu May 26 18:50:01 2022" +[dumpfile_size] 12003 +[savefile] "/home/wtf/projects/toysram-opf/rtl/sim/coco/wtf_test_ra_sdr_64x72.gtkw" +[timestart] 89538 +[size] 2088 1240 +[pos] 218 58 +*-10.000000 94187 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] test_ra_sdr_64x72. +[treeopen] test_ra_sdr_64x72.ra. +[treeopen] test_ra_sdr_64x72.ra.array2. +[sst_width] 282 +[signals_width] 312 +[sst_expanded] 1 +[sst_vpaned_height] 370 +@28 +test_ra_sdr_64x72.clk +test_ra_sdr_64x72.reset +@200 +-WR 0 +@29 +test_ra_sdr_64x72.wr_enb_0 +@22 +test_ra_sdr_64x72.wr_adr_0[0:5] +test_ra_sdr_64x72.wr_dat_0[0:71] +@200 +-RD 0 +@28 +test_ra_sdr_64x72.rd_enb_0 +@22 +test_ra_sdr_64x72.rd_adr_0[0:5] +test_ra_sdr_64x72.rd_dat_0[0:71] +@200 +-RD 1 +@28 +test_ra_sdr_64x72.rd_enb_1 +@22 +test_ra_sdr_64x72.rd_adr_1[0:5] +test_ra_sdr_64x72.rd_dat_1[0:71] +@200 +-RA +@28 +test_ra_sdr_64x72.ra.rd_enb_0_q +@22 +test_ra_sdr_64x72.ra.rd_adr_0_q[0:5] +test_ra_sdr_64x72.ra.rd_dat_0_q[0:71] +@28 +test_ra_sdr_64x72.ra.rd_enb_1_q +@22 +test_ra_sdr_64x72.ra.rd_adr_1_q[0:5] +test_ra_sdr_64x72.ra.rd_dat_1_q[0:71] +@28 +test_ra_sdr_64x72.ra.wr_enb_0_q +@22 +test_ra_sdr_64x72.ra.wr_adr_0_q[0:5] +test_ra_sdr_64x72.ra.wr_dat_0_q[0:71] +@200 +-RA[0] +@22 +test_ra_sdr_64x72.ra.array0.ra[0].q[0:23] +test_ra_sdr_64x72.ra.array1.ra[0].q[0:23] +test_ra_sdr_64x72.ra.array2.ra[0].q[0:23] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/rtl/sim/coco/wtf_test_site.gtkw b/rtl/sim/coco/wtf_test_site.gtkw new file mode 100644 index 0000000..f331596 --- /dev/null +++ b/rtl/sim/coco/wtf_test_site.gtkw @@ -0,0 +1,138 @@ +[*] +[*] GTKWave Analyzer v3.4.0 (w)1999-2022 BSI +[*] Mon Jun 13 17:02:24 2022 +[*] +[dumpfile] "/media/wtf/WD_USBC_4T/projects/toy-sram/rtl/sim/coco/test_site.fst" +[dumpfile_mtime] "Mon Jun 13 16:51:29 2022" +[dumpfile_size] 408816 +[savefile] "/media/wtf/WD_USBC_4T/projects/toy-sram/rtl/sim/coco/wtf_test_site.gtkw" +[timestart] 2034000 +[size] 2048 1078 +[pos] 206 125 +*-18.000000 3035000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] test_site. +[treeopen] test_site.site. +[treeopen] test_site.site.ra_0. +[treeopen] test_site.site.ra_0.ra. +[sst_width] 204 +[signals_width] 265 +[sst_expanded] 1 +[sst_vpaned_height] 314 +@28 +test_site.wb_clk_i +test_site.wb_rst_i +test_site.wbs_cyc_i +test_site.wbs_stb_i +test_site.wbs_we_i +@22 +test_site.wbs_adr_i[31:0] +test_site.wbs_sel_i[3:0] +test_site.wbs_dat_i[31:0] +@28 +test_site.wbs_ack_o +@22 +test_site.wbs_dat_o[31:0] +test_site.la_data_in[127:0] +test_site.la_data_out[127:0] +test_site.la_oenb[127:0] +test_site.io_in[37:0] +test_site.io_oeb[37:0] +test_site.io_out[37:0] +@28 +test_site.irq[2:0] +@200 +-WB SLAVE +@22 +test_site.site.wb.rd_dat[31:0] +@28 +test_site.site.wb.rd_ack_q +@22 +test_site.site.wb.rd_dat_q[31:0] +@200 +-CFG +@22 +test_site.site.cfg.cfg0_q[31:0] +@28 +test_site.site.cfg.wb_cmd_val +test_site.site.cfg.cfg_cmd_val +test_site.site.cfg.ctl_cmd_val +test_site.site.cfg.ra0_cmd_val +@22 +test_site.site.cfg.cmd_adr[31:0] +@28 +test_site.site.cfg.cmd_we +@22 +test_site.site.cfg.cmd_sel[3:0] +test_site.site.cfg.cmd_dat[31:0] +@200 +-CTL +@22 +test_site.site.ctl.io_in[37:0] +test_site.site.ctl.io_out[37:0] +test_site.site.ctl.io_oeb[37:0] +test_site.site.cfg.cfg0_q[31:0] +test_site.site.ctl.seq_q[4:0] +@28 +test_site.site.ctl.ctl_cmd_val +test_site.site.ctl.ra0_cmd_val +test_site.site.ctl.adr_bist +test_site.site.ctl.adr_config +@22 +test_site.site.ctl.cmd_adr[31:0] +@28 +test_site.site.ctl.cmd_we +@22 +test_site.site.ctl.cmd_sel[3:0] +test_site.site.ctl.cmd_dat[31:0] +@28 +test_site.site.ctl.rd_ack +test_site.site.ctl.rdata_sel[2:0] +@22 +test_site.site.ctl.rd_dat[31:0] +@28 +test_site.site.ctl.ra0_r0_enb +@22 +test_site.site.ctl.ra0_r0_adr[4:0] +test_site.site.ctl.ra0_r0_dat[31:0] +@28 +test_site.site.ctl.ra0_r1_enb +@22 +test_site.site.ctl.ra0_r1_adr[4:0] +test_site.site.ctl.ra0_r1_dat[31:0] +@28 +test_site.site.ctl.ra0_w0_enb +@22 +test_site.site.ctl.ra0_w0_adr[4:0] +test_site.site.ctl.ra0_w0_dat[31:0] +@28 +test_site.site.ctl.test_enable +@22 +test_site.site.ctl.scan_reg_q[127:0] +@28 +test_site.site.ctl.scan_clk +@22 +test_site.site.ctl.scan_config[16:0] +@28 +test_site.site.ctl.scan_di +test_site.site.ctl.scan_do +test_site.site.ctl.io_ra0_clk +test_site.site.ctl.io_ra0_rst +@200 +-RA0 +@28 +test_site.site.ra_0.clk +test_site.site.ra_0.ra.wr_enb_0_q +@22 +test_site.site.ra_0.ra.wr_adr_0_q[0:4] +test_site.site.ra_0.ra.wr_dat_0_q[0:31] +@28 +test_site.site.ra_0.ra.rd_enb_0_q +@22 +test_site.site.ra_0.ra.rd_dat_0_q[0:31] +@28 +test_site.site.ra_0.ra.rd_enb_1_q +@22 +test_site.site.ra_0.ra.rd_dat_1_q[0:31] +test_site.site.ra_0.ra.array0.ra[0].q[0:31] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/rtl/sim/gtkwave.vcd b/rtl/sim/gtkwave.vcd new file mode 100644 index 0000000..6e7cc1d --- /dev/null +++ b/rtl/sim/gtkwave.vcd @@ -0,0 +1,1782 @@ +$version Generated by VerilatedVcd $end +$date Wed Aug 18 08:17:30 2021 + $end +$timescale 1ns $end + + $scope module TOP $end + $var wire 32 g* bist_ctl [0:31] $end + $var wire 32 o* bist_status [0:31] $end + $var wire 16 _* cfg_dat [0:15] $end + $var wire 1 W* cfg_wr $end + $var wire 1 ?* clk $end + $var wire 1 G* clk2x $end + $var wire 6 !+ rd_adr_0 [0:5] $end + $var wire 6 I+ rd_adr_1 [0:5] $end + $var wire 6 q+ rd_adr_2 [0:5] $end + $var wire 6 ;, rd_adr_3 [0:5] $end + $var wire 72 )+ rd_dat_0 [0:71] $end + $var wire 72 Q+ rd_dat_1 [0:71] $end + $var wire 72 y+ rd_dat_2 [0:71] $end + $var wire 72 C, rd_dat_3 [0:71] $end + $var wire 1 w* rd_enb_0 $end + $var wire 1 A+ rd_enb_1 $end + $var wire 1 i+ rd_enb_2 $end + $var wire 1 3, rd_enb_3 $end + $var wire 1 O* reset $end + $var wire 6 c, wr_adr_0 [0:5] $end + $var wire 6 -- wr_adr_1 [0:5] $end + $var wire 72 k, wr_dat_0 [0:71] $end + $var wire 72 5- wr_dat_1 [0:71] $end + $var wire 1 [, wr_enb_0 $end + $var wire 1 %- wr_enb_1 $end + $scope module test_ra_ddr $end + $var wire 32 g* bist_ctl [0:31] $end + $var wire 32 o* bist_status [0:31] $end + $var wire 16 g& cfg [0:15] $end + $var wire 16 _* cfg_dat [0:15] $end + $var wire 1 W* cfg_wr $end + $var wire 1 ?* clk $end + $var wire 1 G* clk2x $end + $var wire 1 7* el_sel $end + $var wire 6 U- mux_rd0_adr [0:5] $end + $var wire 1 M- mux_rd0_enb $end + $var wire 6 e- mux_rd1_adr [0:5] $end + $var wire 1 ]- mux_rd1_enb $end + $var wire 6 u- mux_rd2_adr [0:5] $end + $var wire 1 m- mux_rd2_enb $end + $var wire 6 '. mux_rd3_adr [0:5] $end + $var wire 1 }- mux_rd3_enb $end + $var wire 6 7. mux_wr0_adr [0:5] $end + $var wire 72 ?. mux_wr0_dat [0:71] $end + $var wire 1 /. mux_wr0_enb $end + $var wire 6 _. mux_wr1_adr [0:5] $end + $var wire 72 g. mux_wr1_dat [0:71] $end + $var wire 1 W. mux_wr1_enb $end + $var wire 6 !+ rd_adr_0 [0:5] $end + $var wire 6 I+ rd_adr_1 [0:5] $end + $var wire 6 q+ rd_adr_2 [0:5] $end + $var wire 6 ;, rd_adr_3 [0:5] $end + $var wire 72 )+ rd_dat_0 [0:71] $end + $var wire 72 Q+ rd_dat_1 [0:71] $end + $var wire 72 y+ rd_dat_2 [0:71] $end + $var wire 72 C, rd_dat_3 [0:71] $end + $var wire 1 w* rd_enb_0 $end + $var wire 1 A+ rd_enb_1 $end + $var wire 1 i+ rd_enb_2 $end + $var wire 1 3, rd_enb_3 $end + $var wire 1 O* reset $end + $var wire 1 # strobe $end + $var wire 6 c, wr_adr_0 [0:5] $end + $var wire 6 -- wr_adr_1 [0:5] $end + $var wire 72 k, wr_dat_0 [0:71] $end + $var wire 72 5- wr_dat_1 [0:71] $end + $var wire 1 [, wr_enb_0 $end + $var wire 1 %- wr_enb_1 $end + $scope module bist $end + $var wire 32 1/ GENMODE [31:0] $end + $var wire 1 )' active $end + $var wire 6 i/ bist_rd0_adr [0:5] $end + $var wire 1 a/ bist_rd0_enb $end + $var wire 6 y/ bist_rd1_adr [0:5] $end + $var wire 1 q/ bist_rd1_enb $end + $var wire 6 +0 bist_rd2_adr [0:5] $end + $var wire 1 #0 bist_rd2_enb $end + $var wire 6 ;0 bist_rd3_adr [0:5] $end + $var wire 1 30 bist_rd3_enb $end + $var wire 6 K0 bist_wr0_adr [0:5] $end + $var wire 72 S0 bist_wr0_dat [0:71] $end + $var wire 1 C0 bist_wr0_enb $end + $var wire 6 s0 bist_wr1_adr [0:5] $end + $var wire 72 {0 bist_wr1_dat [0:71] $end + $var wire 1 k0 bist_wr1_enb $end + $var wire 1 ?* clk $end + $var wire 32 g* ctl [0:31] $end + $var wire 6 !+ rd0_adr_in [0:5] $end + $var wire 6 U- rd0_adr_out [0:5] $end + $var wire 72 )+ rd0_dat [0:71] $end + $var wire 1 w* rd0_enb_in $end + $var wire 1 M- rd0_enb_out $end + $var wire 6 I+ rd1_adr_in [0:5] $end + $var wire 6 e- rd1_adr_out [0:5] $end + $var wire 72 Q+ rd1_dat [0:71] $end + $var wire 1 A+ rd1_enb_in $end + $var wire 1 ]- rd1_enb_out $end + $var wire 6 q+ rd2_adr_in [0:5] $end + $var wire 6 u- rd2_adr_out [0:5] $end + $var wire 72 y+ rd2_dat [0:71] $end + $var wire 1 i+ rd2_enb_in $end + $var wire 1 m- rd2_enb_out $end + $var wire 6 ;, rd3_adr_in [0:5] $end + $var wire 6 '. rd3_adr_out [0:5] $end + $var wire 72 C, rd3_dat [0:71] $end + $var wire 1 3, rd3_enb_in $end + $var wire 1 }- rd3_enb_out $end + $var wire 1 O* reset $end + $var wire 6 !' seq_d [0:5] $end + $var wire 6 !' seq_q [0:5] $end + $var wire 32 o* status [0:31] $end + $var wire 6 c, wr0_adr_in [0:5] $end + $var wire 6 7. wr0_adr_out [0:5] $end + $var wire 72 k, wr0_dat_in [0:71] $end + $var wire 72 ?. wr0_dat_out [0:71] $end + $var wire 1 [, wr0_enb_in $end + $var wire 1 /. wr0_enb_out $end + $var wire 6 -- wr1_adr_in [0:5] $end + $var wire 6 _. wr1_adr_out [0:5] $end + $var wire 72 5- wr1_dat_in [0:71] $end + $var wire 72 g. wr1_dat_out [0:71] $end + $var wire 1 %- wr1_enb_in $end + $var wire 1 W. wr1_enb_out $end + $upscope $end + $scope module cfig $end + $var wire 32 1/ GENMODE [31:0] $end + $var wire 32 Y/ INIT [31:0] $end + $var wire 32 w& cfg [0:31] $end + $var wire 32 )/ cfg_d [0:31] $end + $var wire 32 !/ cfg_dat [0:31] $end + $var wire 32 w& cfg_q [0:31] $end + $var wire 1 W* cfg_wr $end + $var wire 1 ?* clk $end + $var wire 1 O* reset $end + $upscope $end + $scope module lcb $end + $var wire 32 1/ GENMODE [31:0] $end + $var wire 32 o& cfg [0:31] $end + $var wire 1 G* clk $end + $var wire 1 9/ clk_dly $end + $var wire 1 Q/ clk_dly2 $end + $var wire 1 7* el_sel $end + $var wire 1 7* el_sel_q $end + $var wire 1 A/ o0 $end + $var wire 1 I/ o1 $end + $var wire 1 O* reset $end + $var wire 1 # strobe $end + $upscope $end + $scope module ra $end + $var wire 32 1/ GENMODE [31:0] $end + $var wire 1 ?* clk $end + $var wire 1 7* el_sel $end + $var wire 72 -! ra_rd_dat_0 [0:71] $end + $var wire 72 G" ra_rd_dat_1 [0:71] $end + $var wire 72 72 ra_rd_dat_2 [0:71] $end + $var wire 72 Q3 ra_rd_dat_3 [0:71] $end + $var wire 6 q3 ra_wr_adr_0 [0:5] $end + $var wire 6 %5 ra_wr_adr_1 [0:5] $end + $var wire 1 i3 ra_wr_enb_0 $end + $var wire 1 {4 ra_wr_enb_1 $end + $var wire 1 S rd0_a1_a2 $end + $var wire 1 K rd0_a1_na2 $end + $var wire 1 c rd0_a3 $end + $var wire 1 %! rd0_a4_a5 $end + $var wire 1 { rd0_a4_na5 $end + $var wire 1 3 rd0_c_a0 $end + $var wire 1 + rd0_c_na0 $end + $var wire 1 C rd0_na1_a2 $end + $var wire 1 ; rd0_na1_na2 $end + $var wire 1 [ rd0_na3 $end + $var wire 1 s rd0_na4_a5 $end + $var wire 1 k rd0_na4_na5 $end + $var wire 1 m! rd1_a1_a2 $end + $var wire 1 e! rd1_a1_na2 $end + $var wire 1 }! rd1_a3 $end + $var wire 1 ?" rd1_a4_a5 $end + $var wire 1 7" rd1_a4_na5 $end + $var wire 1 M! rd1_c_a0 $end + $var wire 1 E! rd1_c_na0 $end + $var wire 1 ]! rd1_na1_a2 $end + $var wire 1 U! rd1_na1_na2 $end + $var wire 1 u! rd1_na3 $end + $var wire 1 /" rd1_na4_a5 $end + $var wire 1 '" rd1_na4_na5 $end + $var wire 1 ]1 rd2_a1_a2 $end + $var wire 1 U1 rd2_a1_na2 $end + $var wire 1 m1 rd2_a3 $end + $var wire 1 /2 rd2_a4_a5 $end + $var wire 1 '2 rd2_a4_na5 $end + $var wire 1 =1 rd2_c_a0 $end + $var wire 1 51 rd2_c_na0 $end + $var wire 1 M1 rd2_na1_a2 $end + $var wire 1 E1 rd2_na1_na2 $end + $var wire 1 e1 rd2_na3 $end + $var wire 1 }1 rd2_na4_a5 $end + $var wire 1 u1 rd2_na4_na5 $end + $var wire 1 w2 rd3_a1_a2 $end + $var wire 1 o2 rd3_a1_na2 $end + $var wire 1 )3 rd3_a3 $end + $var wire 1 I3 rd3_a4_a5 $end + $var wire 1 A3 rd3_a4_na5 $end + $var wire 1 W2 rd3_c_a0 $end + $var wire 1 O2 rd3_c_na0 $end + $var wire 1 g2 rd3_na1_a2 $end + $var wire 1 _2 rd3_na1_na2 $end + $var wire 1 !3 rd3_na3 $end + $var wire 1 93 rd3_na4_a5 $end + $var wire 1 13 rd3_na4_na5 $end + $var wire 6 U- rd_adr_0 [0:5] $end + $var wire 6 i# rd_adr_02 [0:5] $end + $var wire 6 9' rd_adr_0_q [0:5] $end + $var wire 6 e- rd_adr_1 [0:5] $end + $var wire 6 y# rd_adr_13 [0:5] $end + $var wire 6 a' rd_adr_1_q [0:5] $end + $var wire 6 u- rd_adr_2 [0:5] $end + $var wire 6 +( rd_adr_2_q [0:5] $end + $var wire 6 '. rd_adr_3 [0:5] $end + $var wire 6 ;( rd_adr_3_q [0:5] $end + $var wire 72 )+ rd_dat_0 [0:71] $end + $var wire 72 A' rd_dat_0_hold_q [0:71] $end + $var wire 72 5) rd_dat_0_q [0:71] $end + $var wire 72 Q+ rd_dat_1 [0:71] $end + $var wire 72 i' rd_dat_1_hold_q [0:71] $end + $var wire 72 M) rd_dat_1_q [0:71] $end + $var wire 72 y+ rd_dat_2 [0:71] $end + $var wire 72 e) rd_dat_2_q [0:71] $end + $var wire 72 C, rd_dat_3 [0:71] $end + $var wire 72 }) rd_dat_3_q [0:71] $end + $var wire 1 M- rd_enb_0 $end + $var wire 1 a# rd_enb_02 $end + $var wire 1 1' rd_enb_0_q $end + $var wire 1 ]- rd_enb_1 $end + $var wire 1 q# rd_enb_13 $end + $var wire 1 Y' rd_enb_1_q $end + $var wire 1 m- rd_enb_2 $end + $var wire 1 #( rd_enb_2_q $end + $var wire 1 }- rd_enb_3 $end + $var wire 1 3( rd_enb_3_q $end + $var wire 1 O* reset $end + $var wire 1 # strobe $end + $var wire 1 -5 strobe_int $end + $var wire 1 )# wr0_a1_a2 $end + $var wire 1 !# wr0_a1_na2 $end + $var wire 1 9# wr0_a3 $end + $var wire 1 Y# wr0_a4_a5 $end + $var wire 1 Q# wr0_a4_na5 $end + $var wire 1 g" wr0_c_a0 $end + $var wire 1 _" wr0_c_na0 $end + $var wire 1 w" wr0_na1_a2 $end + $var wire 1 o" wr0_na1_na2 $end + $var wire 1 1# wr0_na3 $end + $var wire 1 I# wr0_na4_a5 $end + $var wire 1 A# wr0_na4_na5 $end + $var wire 1 C4 wr1_a1_a2 $end + $var wire 1 ;4 wr1_a1_na2 $end + $var wire 1 S4 wr1_a3 $end + $var wire 1 s4 wr1_a4_a5 $end + $var wire 1 k4 wr1_a4_na5 $end + $var wire 1 #4 wr1_c_a0 $end + $var wire 1 y3 wr1_c_na0 $end + $var wire 1 34 wr1_na1_a2 $end + $var wire 1 +4 wr1_na1_na2 $end + $var wire 1 K4 wr1_na3 $end + $var wire 1 c4 wr1_na4_a5 $end + $var wire 1 [4 wr1_na4_na5 $end + $var wire 6 7. wr_adr_0 [0:5] $end + $var wire 6 +$ wr_adr_01 [0:5] $end + $var wire 6 K( wr_adr_0_q [0:5] $end + $var wire 6 _. wr_adr_1 [0:5] $end + $var wire 6 s( wr_adr_1_q [0:5] $end + $var wire 72 ?. wr_dat_0 [0:71] $end + $var wire 72 3$ wr_dat_01 [0:71] $end + $var wire 72 S( wr_dat_0_q [0:71] $end + $var wire 72 g. wr_dat_1 [0:71] $end + $var wire 72 {( wr_dat_1_q [0:71] $end + $var wire 1 /. wr_enb_0 $end + $var wire 1 #$ wr_enb_01 $end + $var wire 1 C( wr_enb_0_q $end + $var wire 1 W. wr_enb_1 $end + $var wire 1 k( wr_enb_1_q $end + $scope module add_clk $end + $var wire 32 1/ GENMODE [31:0] $end + $var wire 1 S rd0_a1_a2 $end + $var wire 1 K rd0_a1_na2 $end + $var wire 1 c rd0_a3 $end + $var wire 1 %! rd0_a4_a5 $end + $var wire 1 { rd0_a4_na5 $end + $var wire 1 3 rd0_c_a0 $end + $var wire 1 + rd0_c_na0 $end + $var wire 1 C rd0_na1_a2 $end + $var wire 1 ; rd0_na1_na2 $end + $var wire 1 [ rd0_na3 $end + $var wire 1 s rd0_na4_a5 $end + $var wire 1 k rd0_na4_na5 $end + $var wire 1 m! rd1_a1_a2 $end + $var wire 1 e! rd1_a1_na2 $end + $var wire 1 }! rd1_a3 $end + $var wire 1 ?" rd1_a4_a5 $end + $var wire 1 7" rd1_a4_na5 $end + $var wire 1 M! rd1_c_a0 $end + $var wire 1 E! rd1_c_na0 $end + $var wire 1 ]! rd1_na1_a2 $end + $var wire 1 U! rd1_na1_na2 $end + $var wire 1 u! rd1_na3 $end + $var wire 1 /" rd1_na4_a5 $end + $var wire 1 '" rd1_na4_na5 $end + $var wire 6 i# rd_adr_0 [0:5] $end + $var wire 6 y# rd_adr_1 [0:5] $end + $var wire 1 a# rd_enb_0 $end + $var wire 1 q# rd_enb_1 $end + $var wire 1 -5 strobe $end + $var wire 1 )# wr0_a1_a2 $end + $var wire 1 !# wr0_a1_na2 $end + $var wire 1 9# wr0_a3 $end + $var wire 1 Y# wr0_a4_a5 $end + $var wire 1 Q# wr0_a4_na5 $end + $var wire 1 g" wr0_c_a0 $end + $var wire 1 _" wr0_c_na0 $end + $var wire 1 w" wr0_na1_a2 $end + $var wire 1 o" wr0_na1_na2 $end + $var wire 1 1# wr0_na3 $end + $var wire 1 I# wr0_na4_a5 $end + $var wire 1 A# wr0_na4_na5 $end + $var wire 6 +$ wr_adr_0 [0:5] $end + $var wire 1 #$ wr_enb_0 $end + $scope module predecode_r0 $end + $var wire 1 S a1_a2 $end + $var wire 1 K a1_na2 $end + $var wire 1 c a3 $end + $var wire 1 %! a4_a5 $end + $var wire 1 { a4_na5 $end + $var wire 6 i# address [0:5] $end + $var wire 1 3 c_a0 $end + $var wire 1 + c_na0 $end + $var wire 1 a# clock_enable $end + $var wire 1 a# enable $end + $var wire 6 K$ inv_address [0:5] $end + $var wire 1 ]5 n_a1_a2 $end + $var wire 1 U5 n_a1_na2 $end + $var wire 1 }5 n_a4_a5 $end + $var wire 1 u5 n_a4_na5 $end + $var wire 1 =5 n_c_a0 $end + $var wire 1 55 n_c_na0 $end + $var wire 1 M5 n_na1_a2 $end + $var wire 1 E5 n_na1_na2 $end + $var wire 1 m5 n_na4_a5 $end + $var wire 1 e5 n_na4_na5 $end + $var wire 1 C na1_a2 $end + $var wire 1 ; na1_na2 $end + $var wire 1 [ na3 $end + $var wire 1 s na4_a5 $end + $var wire 1 k na4_na5 $end + $var wire 1 -5 strobe $end + $upscope $end + $scope module predecode_r1 $end + $var wire 1 m! a1_a2 $end + $var wire 1 e! a1_na2 $end + $var wire 1 }! a3 $end + $var wire 1 ?" a4_a5 $end + $var wire 1 7" a4_na5 $end + $var wire 6 y# address [0:5] $end + $var wire 1 M! c_a0 $end + $var wire 1 E! c_na0 $end + $var wire 1 q# clock_enable $end + $var wire 1 q# enable $end + $var wire 6 S$ inv_address [0:5] $end + $var wire 1 O6 n_a1_a2 $end + $var wire 1 G6 n_a1_na2 $end + $var wire 1 o6 n_a4_a5 $end + $var wire 1 g6 n_a4_na5 $end + $var wire 1 /6 n_c_a0 $end + $var wire 1 '6 n_c_na0 $end + $var wire 1 ?6 n_na1_a2 $end + $var wire 1 76 n_na1_na2 $end + $var wire 1 _6 n_na4_a5 $end + $var wire 1 W6 n_na4_na5 $end + $var wire 1 ]! na1_a2 $end + $var wire 1 U! na1_na2 $end + $var wire 1 u! na3 $end + $var wire 1 /" na4_a5 $end + $var wire 1 '" na4_na5 $end + $var wire 1 -5 strobe $end + $upscope $end + $scope module predecode_w0 $end + $var wire 1 )# a1_a2 $end + $var wire 1 !# a1_na2 $end + $var wire 1 9# a3 $end + $var wire 1 Y# a4_a5 $end + $var wire 1 Q# a4_na5 $end + $var wire 6 +$ address [0:5] $end + $var wire 1 g" c_a0 $end + $var wire 1 _" c_na0 $end + $var wire 1 #$ clock_enable $end + $var wire 1 #$ enable $end + $var wire 6 [$ inv_address [0:5] $end + $var wire 1 A7 n_a1_a2 $end + $var wire 1 97 n_a1_na2 $end + $var wire 1 a7 n_a4_a5 $end + $var wire 1 Y7 n_a4_na5 $end + $var wire 1 !7 n_c_a0 $end + $var wire 1 w6 n_c_na0 $end + $var wire 1 17 n_na1_a2 $end + $var wire 1 )7 n_na1_na2 $end + $var wire 1 Q7 n_na4_a5 $end + $var wire 1 I7 n_na4_na5 $end + $var wire 1 w" na1_a2 $end + $var wire 1 o" na1_na2 $end + $var wire 1 1# na3 $end + $var wire 1 I# na4_a5 $end + $var wire 1 A# na4_na5 $end + $var wire 1 -5 strobe $end + $upscope $end + $upscope $end + $scope module array0 $end + $var wire 1 3 rd0_a0 $end + $var wire 1 5% rd0_a1 $end + $var wire 1 S rd0_a1_a2 $end + $var wire 1 K rd0_a1_na2 $end + $var wire 1 =% rd0_a2 $end + $var wire 1 c rd0_a3 $end + $var wire 1 E% rd0_a4 $end + $var wire 1 %! rd0_a4_a5 $end + $var wire 1 { rd0_a4_na5 $end + $var wire 1 M% rd0_a5 $end + $var wire 1 3 rd0_c_a0 $end + $var wire 1 + rd0_c_na0 $end + $var wire 1 %% rd0_enable $end + $var wire 1 C rd0_na1_a2 $end + $var wire 1 ; rd0_na1_na2 $end + $var wire 1 [ rd0_na3 $end + $var wire 1 s rd0_na4_a5 $end + $var wire 1 k rd0_na4_na5 $end + $var wire 1 M! rd1_a0 $end + $var wire 1 U% rd1_a1 $end + $var wire 1 m! rd1_a1_a2 $end + $var wire 1 e! rd1_a1_na2 $end + $var wire 1 ]% rd1_a2 $end + $var wire 1 }! rd1_a3 $end + $var wire 1 e% rd1_a4 $end + $var wire 1 ?" rd1_a4_a5 $end + $var wire 1 7" rd1_a4_na5 $end + $var wire 1 m% rd1_a5 $end + $var wire 1 M! rd1_c_a0 $end + $var wire 1 E! rd1_c_na0 $end + $var wire 1 -% rd1_enable $end + $var wire 1 ]! rd1_na1_a2 $end + $var wire 1 U! rd1_na1_na2 $end + $var wire 1 u! rd1_na3 $end + $var wire 1 /" rd1_na4_a5 $end + $var wire 1 '" rd1_na4_na5 $end + $var wire 24 c$ rd_dat_0 [0:23] $end + $var wire 24 k$ rd_dat_1 [0:23] $end + $var wire 1 g" wr0_a0 $end + $var wire 1 u% wr0_a1 $end + $var wire 1 )# wr0_a1_a2 $end + $var wire 1 !# wr0_a1_na2 $end + $var wire 1 }% wr0_a2 $end + $var wire 1 9# wr0_a3 $end + $var wire 1 '& wr0_a4 $end + $var wire 1 Y# wr0_a4_a5 $end + $var wire 1 Q# wr0_a4_na5 $end + $var wire 1 /& wr0_a5 $end + $var wire 1 g" wr0_c_a0 $end + $var wire 1 _" wr0_c_na0 $end + $var wire 1 {$ wr0_enable $end + $var wire 1 w" wr0_na1_a2 $end + $var wire 1 o" wr0_na1_na2 $end + $var wire 1 1# wr0_na3 $end + $var wire 1 I# wr0_na4_a5 $end + $var wire 1 A# wr0_na4_na5 $end + $var wire 24 s$ wr_dat_0 [0:23] $end + $upscope $end + $scope module array1 $end + $var wire 1 3 rd0_a0 $end + $var wire 1 5% rd0_a1 $end + $var wire 1 S rd0_a1_a2 $end + $var wire 1 K rd0_a1_na2 $end + $var wire 1 =% rd0_a2 $end + $var wire 1 c rd0_a3 $end + $var wire 1 E% rd0_a4 $end + $var wire 1 %! rd0_a4_a5 $end + $var wire 1 { rd0_a4_na5 $end + $var wire 1 M% rd0_a5 $end + $var wire 1 3 rd0_c_a0 $end + $var wire 1 + rd0_c_na0 $end + $var wire 1 %% rd0_enable $end + $var wire 1 C rd0_na1_a2 $end + $var wire 1 ; rd0_na1_na2 $end + $var wire 1 [ rd0_na3 $end + $var wire 1 s rd0_na4_a5 $end + $var wire 1 k rd0_na4_na5 $end + $var wire 1 M! rd1_a0 $end + $var wire 1 U% rd1_a1 $end + $var wire 1 m! rd1_a1_a2 $end + $var wire 1 e! rd1_a1_na2 $end + $var wire 1 ]% rd1_a2 $end + $var wire 1 }! rd1_a3 $end + $var wire 1 e% rd1_a4 $end + $var wire 1 ?" rd1_a4_a5 $end + $var wire 1 7" rd1_a4_na5 $end + $var wire 1 m% rd1_a5 $end + $var wire 1 M! rd1_c_a0 $end + $var wire 1 E! rd1_c_na0 $end + $var wire 1 -% rd1_enable $end + $var wire 1 ]! rd1_na1_a2 $end + $var wire 1 U! rd1_na1_na2 $end + $var wire 1 u! rd1_na3 $end + $var wire 1 /" rd1_na4_a5 $end + $var wire 1 '" rd1_na4_na5 $end + $var wire 24 7& rd_dat_0 [0:23] $end + $var wire 24 ?& rd_dat_1 [0:23] $end + $var wire 1 g" wr0_a0 $end + $var wire 1 u% wr0_a1 $end + $var wire 1 )# wr0_a1_a2 $end + $var wire 1 !# wr0_a1_na2 $end + $var wire 1 }% wr0_a2 $end + $var wire 1 9# wr0_a3 $end + $var wire 1 '& wr0_a4 $end + $var wire 1 Y# wr0_a4_a5 $end + $var wire 1 Q# wr0_a4_na5 $end + $var wire 1 /& wr0_a5 $end + $var wire 1 g" wr0_c_a0 $end + $var wire 1 _" wr0_c_na0 $end + $var wire 1 {$ wr0_enable $end + $var wire 1 w" wr0_na1_a2 $end + $var wire 1 o" wr0_na1_na2 $end + $var wire 1 1# wr0_na3 $end + $var wire 1 I# wr0_na4_a5 $end + $var wire 1 A# wr0_na4_na5 $end + $var wire 24 G& wr_dat_0 [0:23] $end + $upscope $end + $scope module array2 $end + $var wire 1 3 rd0_a0 $end + $var wire 1 5% rd0_a1 $end + $var wire 1 S rd0_a1_a2 $end + $var wire 1 K rd0_a1_na2 $end + $var wire 1 =% rd0_a2 $end + $var wire 1 c rd0_a3 $end + $var wire 1 E% rd0_a4 $end + $var wire 1 %! rd0_a4_a5 $end + $var wire 1 { rd0_a4_na5 $end + $var wire 1 M% rd0_a5 $end + $var wire 1 3 rd0_c_a0 $end + $var wire 1 + rd0_c_na0 $end + $var wire 1 %% rd0_enable $end + $var wire 1 C rd0_na1_a2 $end + $var wire 1 ; rd0_na1_na2 $end + $var wire 1 [ rd0_na3 $end + $var wire 1 s rd0_na4_a5 $end + $var wire 1 k rd0_na4_na5 $end + $var wire 1 M! rd1_a0 $end + $var wire 1 U% rd1_a1 $end + $var wire 1 m! rd1_a1_a2 $end + $var wire 1 e! rd1_a1_na2 $end + $var wire 1 ]% rd1_a2 $end + $var wire 1 }! rd1_a3 $end + $var wire 1 e% rd1_a4 $end + $var wire 1 ?" rd1_a4_a5 $end + $var wire 1 7" rd1_a4_na5 $end + $var wire 1 m% rd1_a5 $end + $var wire 1 M! rd1_c_a0 $end + $var wire 1 E! rd1_c_na0 $end + $var wire 1 -% rd1_enable $end + $var wire 1 ]! rd1_na1_a2 $end + $var wire 1 U! rd1_na1_na2 $end + $var wire 1 u! rd1_na3 $end + $var wire 1 /" rd1_na4_a5 $end + $var wire 1 '" rd1_na4_na5 $end + $var wire 24 O& rd_dat_0 [0:23] $end + $var wire 24 W& rd_dat_1 [0:23] $end + $var wire 1 g" wr0_a0 $end + $var wire 1 u% wr0_a1 $end + $var wire 1 )# wr0_a1_a2 $end + $var wire 1 !# wr0_a1_na2 $end + $var wire 1 }% wr0_a2 $end + $var wire 1 9# wr0_a3 $end + $var wire 1 '& wr0_a4 $end + $var wire 1 Y# wr0_a4_a5 $end + $var wire 1 Q# wr0_a4_na5 $end + $var wire 1 /& wr0_a5 $end + $var wire 1 g" wr0_c_a0 $end + $var wire 1 _" wr0_c_na0 $end + $var wire 1 {$ wr0_enable $end + $var wire 1 w" wr0_na1_a2 $end + $var wire 1 o" wr0_na1_na2 $end + $var wire 1 1# wr0_na3 $end + $var wire 1 I# wr0_na4_a5 $end + $var wire 1 A# wr0_na4_na5 $end + $var wire 24 _& wr_dat_0 [0:23] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end +$enddefinitions $end + + +#5 +1# +0+ +03 +1; +0C +0K +0S +1[ +0c +1k +0s +0{ +0%! +b000000000000000000000000000000000000000000000000000000000000000000000000 -! +0E! +0M! +1U! +0]! +0e! +0m! +1u! +0}! +1'" +0/" +07" +0?" +b000000000000000000000000000000000000000000000000000000000000000000000000 G" +0_" +0g" +1o" +0w" +0!# +0)# +11# +09# +1A# +0I# +0Q# +0Y# +0a# +b000000 i# +0q# +b000000 y# +0#$ +b000000 +$ +b000000000000000000000000000000000000000000000000000000000000000000000000 3$ +b111111 K$ +b111111 S$ +b111111 [$ +b000000000000000000000000 c$ +b000000000000000000000000 k$ +b000000000000000000000000 s$ +0{$ +0%% +0-% +05% +0=% +0E% +0M% +0U% +0]% +0e% +0m% +0u% +0}% +0'& +0/& +b000000000000000000000000 7& +b000000000000000000000000 ?& +b000000000000000000000000 G& +b000000000000000000000000 O& +b000000000000000000000000 W& +b000000000000000000000000 _& +b0000000000000000 g& +b00000000000000000000000000000000 o& +b00000000000000000000000000000000 w& +b000000 !' +1)' +01' +b000000 9' +b000000000000000000000000000000000000000000000000000000000000000000000000 A' +0Y' +b000000 a' +b000000000000000000000000000000000000000000000000000000000000000000000000 i' +0#( +b000000 +( +03( +b000000 ;( +0C( +b000000 K( +b000000000000000000000000000000000000000000000000000000000000000000000000 S( +0k( +b000000 s( +b000000000000000000000000000000000000000000000000000000000000000000000000 {( +b000000000000000000000000000000000000000000000000000000000000000000000000 5) +b000000000000000000000000000000000000000000000000000000000000000000000000 M) +b000000000000000000000000000000000000000000000000000000000000000000000000 e) +b000000000000000000000000000000000000000000000000000000000000000000000000 }) +07* +0?* +0G* +0O* +0W* +b0000000000000000 _* +b00000000000000000000000000000000 g* +b00000000000000000000000000000000 o* +0w* +b000000 !+ +b000000000000000000000000000000000000000000000000000000000000000000000000 )+ +0A+ +b000000 I+ +b000000000000000000000000000000000000000000000000000000000000000000000000 Q+ +0i+ +b000000 q+ +b000000000000000000000000000000000000000000000000000000000000000000000000 y+ +03, +b000000 ;, +b000000000000000000000000000000000000000000000000000000000000000000000000 C, +0[, +b000000 c, +b000000000000000000000000000000000000000000000000000000000000000000000000 k, +0%- +b000000 -- +b000000000000000000000000000000000000000000000000000000000000000000000000 5- +0M- +b000000 U- +0]- +b000000 e- +0m- +b000000 u- +0}- +b000000 '. +0/. +b000000 7. +b000000000000000000000000000000000000000000000000000000000000000000000000 ?. +0W. +b000000 _. +b000000000000000000000000000000000000000000000000000000000000000000000000 g. +b00000000000000000000000000000000 !/ +b00000000000000000000000000000000 )/ +b00000000000000000000000000000000 1/ +09/ +0A/ +0I/ +0Q/ +b11111111111111111111111111111111 Y/ +0a/ +b000000 i/ +0q/ +b000000 y/ +0#0 +b000000 +0 +030 +b000000 ;0 +0C0 +b000000 K0 +b000000000000000000000000000000000000000000000000000000000000000000000000 S0 +0k0 +b000000 s0 +b000000000000000000000000000000000000000000000000000000000000000000000000 {0 +051 +0=1 +0E1 +0M1 +0U1 +0]1 +0e1 +0m1 +0u1 +0}1 +0'2 +0/2 +b000000000000000000000000000000000000000000000000000000000000000000000000 72 +0O2 +0W2 +0_2 +0g2 +0o2 +0w2 +0!3 +0)3 +013 +093 +0A3 +0I3 +b000000000000000000000000000000000000000000000000000000000000000000000000 Q3 +0i3 +b000000 q3 +0y3 +0#4 +0+4 +034 +0;4 +0C4 +0K4 +0S4 +0[4 +0c4 +0k4 +0s4 +0{4 +b000000 %5 +1-5 +055 +0=5 +0E5 +0M5 +0U5 +0]5 +0e5 +0m5 +0u5 +0}5 +0'6 +0/6 +076 +0?6 +0G6 +0O6 +0W6 +0_6 +0g6 +0o6 +0w6 +0!7 +0)7 +017 +097 +0A7 +0I7 +0Q7 +0Y7 +0a7 +#10 +#15 +0# +1G* +1O* +#20 +#25 +0G* +#30 +#35 +b1111111111111111 g& +b00000000000000001111111111111111 o& +b11111111111111111111111111111111 w& +b111111 !' +0)' +1?* +1G* +b11111111111111111111111111111111 )/ +#40 +#45 +0G* +#50 +#55 +17* +0?* +1G* +0O* +#60 +#65 +1# +0G* +#70 +#75 +0# +07* +1?* +1G* +#80 +#85 +1# +0G* +#90 +#95 +0# +17* +0?* +1G* +#100 +#105 +1# +0G* +#110 +#115 +0# +07* +1?* +1G* +#120 +#125 +1# +0G* +#130 +#135 +0# +17* +0?* +1G* +#140 +#145 +1# +0G* +#150 +#155 +0# +07* +1?* +1G* +#160 +#165 +1# +0G* +#170 +#175 +0# +17* +0?* +1G* +#180 +#185 +1# +0G* +#190 +#195 +0# +07* +1?* +1G* +#200 +#205 +1# +0G* +#210 +#215 +0# +17* +0?* +1G* +#220 +#225 +1# +0G* +#230 +#235 +0# +07* +1?* +1G* +#240 +#245 +1# +0G* +#250 +#255 +0# +17* +0?* +1G* +#260 +#265 +1# +0G* +#270 +#275 +0# +07* +1?* +1G* +#280 +#285 +1# +0G* +#290 +#295 +0# +17* +0?* +1G* +#300 +#305 +1# +0G* +#310 +#315 +0# +07* +1?* +1G* +#320 +#325 +1# +0G* +#330 +#335 +0# +17* +0?* +1G* +#340 +#345 +1# +0G* +#350 +#355 +0# +07* +1?* +1G* +#360 +#365 +1# +0G* +#370 +#375 +0# +17* +0?* +1G* +#380 +#385 +1# +0G* +#390 +#395 +0# +07* +1?* +1G* +#400 +#405 +1# +0G* +#410 +#415 +0# +17* +0?* +1G* +#420 +#425 +1# +0G* +#430 +#435 +0# +07* +1?* +1G* +#440 +#445 +1# +0G* +#450 +#455 +0# +17* +0?* +1G* +1[, +b010101010101010101010101010101010101010101010101010101010101010100000000 k, +1%- +b000001 -- +b010101010101010101010101010101010101010101010101010101010101010100000001 5- +1/. +b010101010101010101010101010101010101010101010101010101010101010100000000 ?. +1W. +b000001 _. +b010101010101010101010101010101010101010101010101010101010101010100000001 g. +#460 +#465 +1# +0G* +#470 +#475 +0# +1_" +1#$ +b010101010101010101010101010101010101010101010101010101010101010100000000 3$ +b010101010101010101010101 s$ +1{$ +b010101010101010101010101 G& +b010101010101010100000000 _& +1C( +b010101010101010101010101010101010101010101010101010101010101010100000000 S( +1k( +b000001 s( +b010101010101010101010101010101010101010101010101010101010101010100000001 {( +07* +1?* +1G* +#480 +#485 +1# +0G* +#490 +#495 +0# +0A# +1I# +b000001 +$ +b010101010101010101010101010101010101010101010101010101010101010100000001 3$ +b111110 [$ +1/& +b010101010101010100000001 _& +17* +0?* +1G* +b000010 c, +b010101010101010101010101010101010101010101010101010101010101010100000010 k, +b000011 -- +b010101010101010101010101010101010101010101010101010101010101010100000011 5- +b000010 7. +b010101010101010101010101010101010101010101010101010101010101010100000010 ?. +b000011 _. +b010101010101010101010101010101010101010101010101010101010101010100000011 g. +#500 +#505 +1# +0G* +#510 +#515 +0# +0I# +1Q# +b000010 +$ +b010101010101010101010101010101010101010101010101010101010101010100000010 3$ +b111101 [$ +1'& +0/& +b010101010101010100000010 _& +b000010 K( +b010101010101010101010101010101010101010101010101010101010101010100000010 S( +b000011 s( +b010101010101010101010101010101010101010101010101010101010101010100000011 {( +07* +1?* +1G* +#520 +#525 +1# +0G* +#530 +#535 +0# +0Q# +1Y# +b000011 +$ +b010101010101010101010101010101010101010101010101010101010101010100000011 3$ +b111100 [$ +1/& +b010101010101010100000011 _& +17* +0?* +1G* +b000100 c, +b010101010101010101010101010101010101010101010101010101010101010100000100 k, +b000101 -- +b010101010101010101010101010101010101010101010101010101010101010100000101 5- +b000100 7. +b010101010101010101010101010101010101010101010101010101010101010100000100 ?. +b000101 _. +b010101010101010101010101010101010101010101010101010101010101010100000101 g. +#540 +#545 +1# +0G* +#550 +#555 +0# +01# +19# +1A# +0Y# +b000100 +$ +b010101010101010101010101010101010101010101010101010101010101010100000100 3$ +b111011 [$ +0'& +0/& +b010101010101010100000100 _& +b000100 K( +b010101010101010101010101010101010101010101010101010101010101010100000100 S( +b000101 s( +b010101010101010101010101010101010101010101010101010101010101010100000101 {( +07* +1?* +1G* +#560 +#565 +1# +0G* +#570 +#575 +0# +0A# +1I# +b000101 +$ +b010101010101010101010101010101010101010101010101010101010101010100000101 3$ +b111010 [$ +1/& +b010101010101010100000101 _& +17* +0?* +1G* +b000110 c, +b010101010101010101010101010101010101010101010101010101010101010100000110 k, +b000111 -- +b010101010101010101010101010101010101010101010101010101010101010100000111 5- +b000110 7. +b010101010101010101010101010101010101010101010101010101010101010100000110 ?. +b000111 _. +b010101010101010101010101010101010101010101010101010101010101010100000111 g. +#580 +#585 +1# +0G* +#590 +#595 +0# +0I# +1Q# +b000110 +$ +b010101010101010101010101010101010101010101010101010101010101010100000110 3$ +b111001 [$ +1'& +0/& +b010101010101010100000110 _& +b000110 K( +b010101010101010101010101010101010101010101010101010101010101010100000110 S( +b000111 s( +b010101010101010101010101010101010101010101010101010101010101010100000111 {( +07* +1?* +1G* +#600 +#605 +1# +0G* +#610 +#615 +0# +0Q# +1Y# +b000111 +$ +b010101010101010101010101010101010101010101010101010101010101010100000111 3$ +b111000 [$ +1/& +b010101010101010100000111 _& +17* +0?* +1G* +b001000 c, +b010101010101010101010101010101010101010101010101010101010101010100001000 k, +b001001 -- +b010101010101010101010101010101010101010101010101010101010101010100001001 5- +b001000 7. +b010101010101010101010101010101010101010101010101010101010101010100001000 ?. +b001001 _. +b010101010101010101010101010101010101010101010101010101010101010100001001 g. +#620 +#625 +1# +0G* +#630 +#635 +0# +0o" +1w" +11# +09# +1A# +0Y# +b001000 +$ +b010101010101010101010101010101010101010101010101010101010101010100001000 3$ +b110111 [$ +1}% +0'& +0/& +b010101010101010100001000 _& +b001000 K( +b010101010101010101010101010101010101010101010101010101010101010100001000 S( +b001001 s( +b010101010101010101010101010101010101010101010101010101010101010100001001 {( +07* +1?* +1G* +#640 +#645 +1# +0G* +#650 +#655 +0# +0A# +1I# +b001001 +$ +b010101010101010101010101010101010101010101010101010101010101010100001001 3$ +b110110 [$ +1/& +b010101010101010100001001 _& +17* +0?* +1G* +b001010 c, +b010101010101010101010101010101010101010101010101010101010101010100001010 k, +b001011 -- +b010101010101010101010101010101010101010101010101010101010101010100001011 5- +b001010 7. +b010101010101010101010101010101010101010101010101010101010101010100001010 ?. +b001011 _. +b010101010101010101010101010101010101010101010101010101010101010100001011 g. +#660 +#665 +1# +0G* +#670 +#675 +0# +0I# +1Q# +b001010 +$ +b010101010101010101010101010101010101010101010101010101010101010100001010 3$ +b110101 [$ +1'& +0/& +b010101010101010100001010 _& +b001010 K( +b010101010101010101010101010101010101010101010101010101010101010100001010 S( +b001011 s( +b010101010101010101010101010101010101010101010101010101010101010100001011 {( +07* +1?* +1G* +#680 +#685 +1# +0G* +#690 +#695 +0# +0Q# +1Y# +b001011 +$ +b010101010101010101010101010101010101010101010101010101010101010100001011 3$ +b110100 [$ +1/& +b010101010101010100001011 _& +17* +0?* +1G* +b001100 c, +b010101010101010101010101010101010101010101010101010101010101010100001100 k, +b001101 -- +b010101010101010101010101010101010101010101010101010101010101010100001101 5- +b001100 7. +b010101010101010101010101010101010101010101010101010101010101010100001100 ?. +b001101 _. +b010101010101010101010101010101010101010101010101010101010101010100001101 g. +#700 +#705 +1# +0G* +#710 +#715 +0# +01# +19# +1A# +0Y# +b001100 +$ +b010101010101010101010101010101010101010101010101010101010101010100001100 3$ +b110011 [$ +0'& +0/& +b010101010101010100001100 _& +b001100 K( +b010101010101010101010101010101010101010101010101010101010101010100001100 S( +b001101 s( +b010101010101010101010101010101010101010101010101010101010101010100001101 {( +07* +1?* +1G* +#720 +#725 +1# +0G* +#730 +#735 +0# +0A# +1I# +b001101 +$ +b010101010101010101010101010101010101010101010101010101010101010100001101 3$ +b110010 [$ +1/& +b010101010101010100001101 _& +17* +0?* +1G* +b001110 c, +b010101010101010101010101010101010101010101010101010101010101010100001110 k, +b001111 -- +b010101010101010101010101010101010101010101010101010101010101010100001111 5- +b001110 7. +b010101010101010101010101010101010101010101010101010101010101010100001110 ?. +b001111 _. +b010101010101010101010101010101010101010101010101010101010101010100001111 g. +#740 +#745 +1# +0G* +#750 +#755 +0# +0I# +1Q# +b001110 +$ +b010101010101010101010101010101010101010101010101010101010101010100001110 3$ +b110001 [$ +1'& +0/& +b010101010101010100001110 _& +b001110 K( +b010101010101010101010101010101010101010101010101010101010101010100001110 S( +b001111 s( +b010101010101010101010101010101010101010101010101010101010101010100001111 {( +07* +1?* +1G* +#760 +#765 +1# +0G* +#770 +#775 +0# +0Q# +1Y# +b001111 +$ +b010101010101010101010101010101010101010101010101010101010101010100001111 3$ +b110000 [$ +1/& +b010101010101010100001111 _& +17* +0?* +1G* +b010000 c, +b010101010101010101010101010101010101010101010101010101010101010100010000 k, +b010001 -- +b010101010101010101010101010101010101010101010101010101010101010100010001 5- +b010000 7. +b010101010101010101010101010101010101010101010101010101010101010100010000 ?. +b010001 _. +b010101010101010101010101010101010101010101010101010101010101010100010001 g. +#780 +#785 +1# +0G* +#790 +#795 +0# +0w" +1!# +11# +09# +1A# +0Y# +b010000 +$ +b010101010101010101010101010101010101010101010101010101010101010100010000 3$ +b101111 [$ +1u% +0}% +0'& +0/& +b010101010101010100010000 _& +b010000 K( +b010101010101010101010101010101010101010101010101010101010101010100010000 S( +b010001 s( +b010101010101010101010101010101010101010101010101010101010101010100010001 {( +07* +1?* +1G* +#800 +#805 +1# +0G* +#810 +#815 +0# +0A# +1I# +b010001 +$ +b010101010101010101010101010101010101010101010101010101010101010100010001 3$ +b101110 [$ +1/& +b010101010101010100010001 _& +17* +0?* +1G* +b010010 c, +b010101010101010101010101010101010101010101010101010101010101010100010010 k, +b010011 -- +b010101010101010101010101010101010101010101010101010101010101010100010011 5- +b010010 7. +b010101010101010101010101010101010101010101010101010101010101010100010010 ?. +b010011 _. +b010101010101010101010101010101010101010101010101010101010101010100010011 g. +#820 +#825 +1# +0G* +#830 +#835 +0# +0I# +1Q# +b010010 +$ +b010101010101010101010101010101010101010101010101010101010101010100010010 3$ +b101101 [$ +1'& +0/& +b010101010101010100010010 _& +b010010 K( +b010101010101010101010101010101010101010101010101010101010101010100010010 S( +b010011 s( +b010101010101010101010101010101010101010101010101010101010101010100010011 {( +07* +1?* +1G* +#840 +#845 +1# +0G* +#850 +#855 +0# +0Q# +1Y# +b010011 +$ +b010101010101010101010101010101010101010101010101010101010101010100010011 3$ +b101100 [$ +1/& +b010101010101010100010011 _& +17* +0?* +1G* +b010100 c, +b010101010101010101010101010101010101010101010101010101010101010100010100 k, +b010101 -- +b010101010101010101010101010101010101010101010101010101010101010100010101 5- +b010100 7. +b010101010101010101010101010101010101010101010101010101010101010100010100 ?. +b010101 _. +b010101010101010101010101010101010101010101010101010101010101010100010101 g. +#860 +#865 +1# +0G* +#870 +#875 +0# +01# +19# +1A# +0Y# +b010100 +$ +b010101010101010101010101010101010101010101010101010101010101010100010100 3$ +b101011 [$ +0'& +0/& +b010101010101010100010100 _& +b010100 K( +b010101010101010101010101010101010101010101010101010101010101010100010100 S( +b010101 s( +b010101010101010101010101010101010101010101010101010101010101010100010101 {( +07* +1?* +1G* +#880 +#885 +1# +0G* +#890 +#895 +0# +0A# +1I# +b010101 +$ +b010101010101010101010101010101010101010101010101010101010101010100010101 3$ +b101010 [$ +1/& +b010101010101010100010101 _& +17* +0?* +1G* +b010110 c, +b010101010101010101010101010101010101010101010101010101010101010100010110 k, +b010111 -- +b010101010101010101010101010101010101010101010101010101010101010100010111 5- +b010110 7. +b010101010101010101010101010101010101010101010101010101010101010100010110 ?. +b010111 _. +b010101010101010101010101010101010101010101010101010101010101010100010111 g. +#900 +#905 +1# +0G* +#910 +#915 +0# +0I# +1Q# +b010110 +$ +b010101010101010101010101010101010101010101010101010101010101010100010110 3$ +b101001 [$ +1'& +0/& +b010101010101010100010110 _& +b010110 K( +b010101010101010101010101010101010101010101010101010101010101010100010110 S( +b010111 s( +b010101010101010101010101010101010101010101010101010101010101010100010111 {( +07* +1?* +1G* +#920 +#925 +1# +0G* +#930 +#935 +0# +0Q# +1Y# +b010111 +$ +b010101010101010101010101010101010101010101010101010101010101010100010111 3$ +b101000 [$ +1/& +b010101010101010100010111 _& +17* +0?* +1G* +b011000 c, +b010101010101010101010101010101010101010101010101010101010101010100011000 k, +b011001 -- +b010101010101010101010101010101010101010101010101010101010101010100011001 5- +b011000 7. +b010101010101010101010101010101010101010101010101010101010101010100011000 ?. +b011001 _. +b010101010101010101010101010101010101010101010101010101010101010100011001 g. +#940 +#945 +1# +0G* +#950 +#955 +0# +0!# +1)# +11# +09# +1A# +0Y# +b011000 +$ +b010101010101010101010101010101010101010101010101010101010101010100011000 3$ +b100111 [$ +1}% +0'& +0/& +b010101010101010100011000 _& +b011000 K( +b010101010101010101010101010101010101010101010101010101010101010100011000 S( +b011001 s( +b010101010101010101010101010101010101010101010101010101010101010100011001 {( +07* +1?* +1G* +#960 +#965 +1# +0G* +#970 +#975 +0# +0A# +1I# +b011001 +$ +b010101010101010101010101010101010101010101010101010101010101010100011001 3$ +b100110 [$ +1/& +b010101010101010100011001 _& +17* +0?* +1G* +b011010 c, +b010101010101010101010101010101010101010101010101010101010101010100011010 k, +b011011 -- +b010101010101010101010101010101010101010101010101010101010101010100011011 5- +b011010 7. +b010101010101010101010101010101010101010101010101010101010101010100011010 ?. +b011011 _. +b010101010101010101010101010101010101010101010101010101010101010100011011 g. +#980 +#985 +1# +0G* +#990 +#995 +0# +0I# +1Q# +b011010 +$ +b010101010101010101010101010101010101010101010101010101010101010100011010 3$ +b100101 [$ +1'& +0/& +b010101010101010100011010 _& +b011010 K( +b010101010101010101010101010101010101010101010101010101010101010100011010 S( +b011011 s( +b010101010101010101010101010101010101010101010101010101010101010100011011 {( +07* +1?* +1G* +#1000 +#1005 +1# +0G* +#1010 +#1015 +0# +0Q# +1Y# +b011011 +$ +b010101010101010101010101010101010101010101010101010101010101010100011011 3$ +b100100 [$ +1/& +b010101010101010100011011 _& +17* +0?* +1G* +b011100 c, +b010101010101010101010101010101010101010101010101010101010101010100011100 k, +b011101 -- +b010101010101010101010101010101010101010101010101010101010101010100011101 5- +b011100 7. +b010101010101010101010101010101010101010101010101010101010101010100011100 ?. +b011101 _. +b010101010101010101010101010101010101010101010101010101010101010100011101 g. +#1020 +#1025 +1# +0G* +#1030 +#1035 +0# +01# +19# +1A# +0Y# +b011100 +$ +b010101010101010101010101010101010101010101010101010101010101010100011100 3$ +b100011 [$ +0'& +0/& +b010101010101010100011100 _& +b011100 K( +b010101010101010101010101010101010101010101010101010101010101010100011100 S( +b011101 s( +b010101010101010101010101010101010101010101010101010101010101010100011101 {( +07* +1?* +1G* +#1040 +#1045 +1# +0G* +#1050 diff --git a/rtl/sim/python/pysutils.py b/rtl/sim/python/pysutils.py new file mode 100644 index 0000000..a3d929a --- /dev/null +++ b/rtl/sim/python/pysutils.py @@ -0,0 +1,113 @@ +# Utilities + +import random + +# printing + +me = ' pys--. ' +quiet = False +getSimTime = None + +def msg(text='', lvl=0, name=None, init=None): + global me, quiet, getSimTime + + if init is not None: + getSimTime = init + return + + if quiet and lvl != 0: + return + + if name is None: + name = me + if getSimTime is not None: + t,c = getSimTime() + else: + t,c = (0,0) + s = f'{t:08d}[{c:08d}] {name:>8}: ' + pad = ' ' * len(s) + + text = text + '\n' + lines = text.splitlines() + s = s + lines[0] + '\n' + for i in range(1, len(lines)): + s = s + pad + s = s + lines[i] + '\n' + print(s[0:-1]) + +# randoms + +def intrandom(n): + return random.randint(0, n-1) + +def hexrandom(w=16): + n = random.getrandbits(w*4) + return '{0:0{l}X}'.format(n, l=w) + +def binrandom(w=32): + n = random.getrandbits(w) + return '{0:0>{l}b}'.format(n, l=w) + +def randOK(freq): + v = random.randint(1,100) # 1 <= v <= 100 + if freq == 0: + return False + else: + return v <= freq + +# weights is either +# a simple list: return weighted index +# a list of tuple(val, weight): return weighted val +def randweighted(weights): + + if len(weights) == 0: + return 0 + + if type(weights[0]) is tuple: + vals = [] + tWeights = [] + for i in range (0, len(weights)): + vals.append(weights[i][0]) + tWeights.append(weights[i][1]) + weights = tWeights + else: + vals = range(0, len(weights)) + + sum = 0 + for i in range(0, len(weights)): + sum = sum + weights[i] + v = random.randint(0,sum-1) + weight = 0 + for i in range(0, len(weights)): + weight = weight + weights[i] + if v < weight: + break + + return vals[i] + +# converters + +def b2x(b, w=None): + if w is None: + rem = len(b) % 4 + w = len(b)/4 + if rem != 0: + w = w + 1 + return '{0:0{l}X}'.format(int(b,2), l=w) + +def x2b(x, w=None): + i = int(str(x),16) + if w is None: + return bin(i)[2:] + else: + return '{0:0>{l}s}'.format(bin(i)[2:], l=w) + +def d2x(x, w=None): + #return hex(int(x)).split('x')[-1].upper() + if w is None: + return '{0:X}'.format(x) + else: + return '{0:0{l}X}'.format(x, l=w) + +def x2d(i): + return int(i, 16) diff --git a/rtl/sim/python/pyverilator b/rtl/sim/python/pyverilator new file mode 120000 index 0000000..9293360 --- /dev/null +++ b/rtl/sim/python/pyverilator @@ -0,0 +1 @@ +/home/wtf/projects/pyverilator_no_uart/pyverilator \ No newline at end of file diff --git a/rtl/sim/python/sim.py b/rtl/sim/python/sim.py new file mode 100644 index 0000000..1ea24e5 --- /dev/null +++ b/rtl/sim/python/sim.py @@ -0,0 +1,444 @@ +#!/usr/bin/python3 + +# pyverilator +# fixed internal sig parsing (cdata/wdata) +# 1. this should be based on init setting AND should be done even w/o trace on!!! +# in add_to_vcd_trace(self), time is bumped +5 +# 2. should count cycs +# 3. add parm so clock can be set but NOT eval (for multiclock, only fastest evals) +# 4. how to access mem[][]?? +# 5. not adding vectors to gtk - cuz 0:n? + +import os, sys +import datetime +from optparse import OptionParser +from optparse import OptionGroup + +import random +from random import randint + +from pysutils import * + +user = os.environ['USER'] +binPath = os.path.dirname(os.path.realpath(__file__)) + +localPV = True +if localPV: + import os, sys + sys.path.append(os.path.join(binPath, 'pyverilator')) +import pyverilator + +#################################################################### +# Defaults + +rtl = ['src'] +model = 'sdr' + +stopOnFail = True +verbose = False +vcd = False +seed = randint(1, int('8675309', 16)) +runCycs = 100 + +#rangesRd = [(0,63), (0,63), (0,63), (0,63)] +rangesRd = [(0,7), (0,7), (0,7), (0,7)] +#rangesWr = [(0,63), (0,63)] +rangesWr = [(0,7), (0,7)] + + +#################################################################### +# Process command line + +usage = "Usage: %prog [options]" +parser = OptionParser(usage) + +parser.add_option('-m', '--model', dest='model', help=f'sdr or ddr') +parser.add_option('-s', '--seed', dest='seed', help=f'initialize seed to n') +parser.add_option('-c', '--cycles', dest='runCycs', help=f'cycles to run, default={runCycs}') + +parser.add_option('-t', '--trace', dest='trace', action='store_true', help=f'create wave file') +parser.add_option('-f', '--stopfail', dest='stopOnFail', action='store_true', help=f'stop on first fail') + +parser.add_option('-v', '--verbose', dest='verbose', action='store_true', help=f'noisy output') + +options, args = parser.parse_args() + +if options.model is not None: + model = options.model + +if options.seed is not None: + seed = int(options.seed) + +if options.runCycs is not None: + runCycs = int(options.runCycs) + +if options.trace is not None: + vcd = True + +if options.stopOnFail is not None: + stopOnFail = True + +if options.verbose is not None: + verbose = True + +#################################################################### +# Init + +sdr = False +ddr = False +ddr1x = False + +if model == 'sdr': + top = 'test_ra_sdr.v' + sdr = True +elif model == 'ddr1x': + top = 'test_ra_ddr_1x.v' + ddr = True + ddr1x = True +else: + top = 'test_ra_ddr.v' + ddr = True + +errors = 0 +cyc = 0 +quiesceCyc = 5 # before end + +# build model +sim = pyverilator.PyVerilator.build(top, verilog_path=rtl) +print('io') +print(sim.io) +print() + +print('internals') +# issue #8 - try local fix +print(sim.internals) +print() + +#print('ra') +#print(sim.internals.ra) +# array0,1,2 dont exist as submodules??? +#print() +# +#print('ra.add_clk') +#print(sim.internals.ra.add_clk) +#print() + +if vcd: + sim.start_gtkwave(auto_tracing=False) + + #wtf vectors are failing + # will make this load a savefile anyway someday + # this doesn't actually restrict what's beign recorded anyway; still + # can load saved netlist after sim + #sim.send_to_gtkwave(sim.io) + #for s in sim.io: + # try: + # sim.send_to_gtkwave(sim.io[s]) + # except: + # print(f'*** failed {s}') + +#################################################################### +# Functions, Classes + +def getSimTime(): + return (sim.curr_time, cyc) +msg(init=getSimTime) + +# sim-driven signals don't look like _q since they are set after the eval(clk=1) tick +# would have to set after eval of rising edge but also not do a simtick +def tick(): + sim.eval() + if vcd: + sim.add_to_vcd_trace() + +def run(n=1, cb=None): + global cyc + + if sdr or ddr1x: + for i in range(n): + sim.io.clk = 0 + tick() + sim.io.clk = 1 + tick() + elif ddr: + for i in range(n): + sim.io.clk = 0 + sim.io.clk2x = 1 + tick() + sim.io.clk2x = 0 + tick() + sim.io.clk = 1 + sim.io.clk2x = 1 + tick() + sim.io.clk2x = 0 + tick() + + cyc += 1 + if not vcd: # should be done by pyv!!!! + sim.curr_time = cyc * 10 + if cb is not None: + (cb)() + +def fail(t=None): + global errors, stopOnFail + msg('*** FAIL ***') + errors += 1 + if t is not None: + msg(t) + +class Memory: + + def __init__(self, locs, bits, init=0): + self.mem = [init] * locs + self.bits = bits + + def read(self, adr): + return self.mem[adr] + + def readall(self): + mem = [] + for i in range(len(self.mem)): + mem.append(self.mem[i]) + return mem + + def write(self, adr, dat): + self.mem[adr] = dat + + def __str__(self): + t = '' + for i in range(0,len(self.mem),4): + t1 = f'[{i:02X}] {self.mem[i]:018X}' + for j in range(i+1, i+4): + t1 += f' [{j:02X}] {self.mem[j]:018X}' + #t1 += f' {self.mem[j]:018X}\n' + t += t1 + '\n' + return t + +class Port: + + def __init__(self, id, type='r'): + self.id = id + self.type = type + + def read(self, adr): + sim.io[f'rd_enb_{self.id}'] = 1 + sim.io[f'rd_adr_{self.id}'] = adr + msg(f'Port={self.id} RD @{adr:02X}') + def write(self, adr, dat): + sim.io[f'wr_enb_{self.id}'] = 1 + sim.io[f'wr_adr_{self.id}'] = adr + sim.io[f'wr_dat_{self.id}'] = dat + msg(f'Port={self.id} WR @{adr:02X}={dat:02X}') + + def data(self): + return int(sim.io[f'rd_dat_{self.id}']) + + def idle(self): + if self.type == 'r': + sim.io[f'rd_enb_{self.id}'] = 0 + sim.io[f'rd_adr_{self.id}'] = 0 # random + else: + sim.io[f'wr_enb_{self.id}'] = 0 + sim.io[f'wr_adr_{self.id}'] = 0 # random + sim.io[f'wr_dat_{self.id}'] = 0 # random + +def printstate(): + mac = sim.internals.ra + if sdr: + msg(f'R0: {mac.rd_enb_0_q:01X} {mac.rd_adr_0_q:02X} {mac.rd_dat_0_q:018X} R1: {mac.rd_enb_1_q:01X} {mac.rd_adr_1_q:02X} {mac.rd_dat_1_q:018X}') + msg(f'W0: {mac.wr_enb_0_q:01X} {mac.wr_adr_0_q:02X} {mac.wr_dat_0_q:018X}') + else: + msg(f'R0: {mac.rd_enb_0_q:01X} {mac.rd_adr_0_q:02X} {mac.rd_dat_0_q:018X} R1: {mac.rd_enb_1_q:01X} {mac.rd_adr_1_q:02X} {mac.rd_dat_1_q:018X} R2: {mac.rd_enb_2_q:01X} {mac.rd_adr_2_q:02X} {mac.rd_dat_2_q:018X} R3: {mac.rd_enb_3_q:01X} {mac.rd_adr_3_q:02X} {mac.rd_dat_3_q:018X}') + msg(f'W0: {mac.wr_enb_0_q:01X} {mac.wr_adr_0_q:02X} {mac.wr_dat_0_q:018X} W1: {mac.wr_enb_1_q:01X} {mac.wr_adr_1_q:02X} {mac.wr_dat_1_q:018X}') + +def printfinal(): + + print() + print() + print('Final State') + print(f'Model : {top}') + print() + print(data) + # should be checking actual mem[][] here, but can't access signals + print() + for i in range(len(portsRd)): + print(f'Reads Port {i}: {reads[i]}') + for i in range(len(portsWr)): + print(f'Writes Port {i}: {writes[i]}') + print() + print(f'Seed: {seed:08X}') + print(f'Cycles: {cyc}') + print(f'Errors: {errors}') + +def check(port, adr, exp=None): + + if exp is None: + exp = data.read(adr) + act = portsRd[port].data() + if act != exp: + fail(f'* RD MISCOMPARE * port={port} adr={adr:02X} act={act:018X} exp={exp:018X}') + return False + elif verbose: + msg(f'* RD COMPARE * port={port} adr={adr:02X} act={act:018X} exp={exp:018X}') + return True + +#################################################################### +# Do something + +msg(f'Initializing seed to {hex(seed)}') + +random.seed(seed) + +data = Memory(64, 72) +if sdr: + portsRd = [Port(0, 'r'), Port(1, 'r')] + portsWr = [Port(0, 'w')] +else: + portsRd = [Port(0, 'r'), Port(1, 'r'), Port(2, 'r'), Port(3, 'r')] + portsWr = [Port(0, 'w'), Port(1, 'w')] + +# Array Cycle Timings +# +# write +# | e/a/d | acc | valid | +# * latched by wrapper (in) +# +# +# read +# | e/a | acc | valid | +# * latched by wrapper (in) +# * latched by wrapper (out) +# +# rd(a) = wr(a) (both enabled): + + +# reset +sim.io.reset = 1 +run(1) +sim.io.reset = 0 + +# idle +for p in portsRd: + p.idle() +for p in portsWr: + p.idle() + +run(10) + +# init array +if sdr: + for a in range(0, 64, 1): + d0 = int(f'5555555555555555{a:02X}', 16) + portsWr[0].write(a, d0) + run(1, printstate) + data.write(a, d0) # now visible for reads + portsWr[0].idle() +else: + for a in range(0, 64, 2): + d0 = int(f'5555555555555555{a:02X}', 16) + portsWr[0].write(a, d0) + d1 = int(f'5555555555555555{a+1:02X}', 16) + portsWr[1].write(a+1, d1) + run(1, printstate) + data.write(a, d0) # now visible for reads + data.write(a+1, d1) # now visible for reads + portsWr[0].idle() + portsWr[1].idle() + +# random cmds +# writes: visible to all reads in cycle n+1,... +# reads: check in cycle n+2 vs mem data in cycle n+1 +# +# every cycle: +# save data state +# pick weighted read0, read1, read2, read3, write0, write1 (cmd freq, adr) and ensure no adr coll if req'd +# schedule data change (write) +# schedule checks (read) + +updates = [] +checks = [] +reads = [0, 0, 0, 0] +writes = [0, 0] +saveData = None +quiesced = False +quiesceCyc = cyc + runCycs - quiesceCyc + +#d = int('1000', 16) +msg('Starting random loop.') +for c in range(runCycs): + + ok = True + + # check reads + checksNext = [] + for i in range(len(checks)): + rd = checks[i] + if cyc == rd[0]: + ok = ok and check(rd[1], rd[2], saveData[rd[2]]) + else: + checksNext.append(rd) + checks = checksNext + + # do writes + updatesNext = [] # always only 1 cycle + for i in range(len(updates)): + wr = updates[i] + if cyc == wr[0]: + data.write(wr[2], wr[3]) + else: + print('HUH? should always be this cycle!', cyc, updates) + quit() + updates = updatesNext + + # save current data + saveData = data.readall() + + # quiesce? + if cyc >= quiesceCyc: + if not quiesced: + msg('Quiescing...') + quiesced = True + + # write coll will give w1 precedence - or make it avoid + aw = [None] * 2 + for i in range(len(portsWr)): + portsWr[i].idle() + aw[i] = -1 + if not quiesced and randint(1, 10) < 5: + r = rangesWr[i] + aw[i] = randint(r[0], r[1]) + d = int(hexrandom(18), 16) + portsWr[i].write(aw[i], d) + updates.append((cyc+1, i, aw[i], d)) + writes[i] += 1 + + for i in range(len(portsRd)): + portsRd[i].idle() + if not quiesced and randint(1, 10) < 5: + r = rangesRd[i] + ar = randint(r[0], r[1]) + while ar == aw[0] or ar == aw[1]: + ar = randint(r[0], r[1]) + portsRd[i].read(ar) + checks.append((cyc+2, i, ar)) + reads[i] += 1 + + run(1, printstate) + if not ok and stopOnFail: + break + +#################################################################### +# Clean up + +printfinal() + +if ok and errors == 0: + print() + print('You has opulence.') + print() +else: + print() + print('You are worthless and weak!') + print() + +print('Done.') diff --git a/rtl/sim/readme.md b/rtl/sim/readme.md new file mode 100644 index 0000000..ba20d02 --- /dev/null +++ b/rtl/sim/readme.md @@ -0,0 +1,22 @@ +# Functional verification of array and site logic + +### CURRENT (cocotb+iverilog) + +* using cocotb instead of pyverilator [cocotb sim](./coco) + + +### OLD (pyverilator) + +## check rtl + +``` +verilator --lint-only -Isrc -Wno-LITENDIAN src/test_ra_sdr.v +``` + +### build/sim + +***not working at all with verilator v4.210*** + +``` +sim -m sdr -c 1000 -t +``` diff --git a/rtl/sim/sim b/rtl/sim/sim new file mode 120000 index 0000000..32e4653 --- /dev/null +++ b/rtl/sim/sim @@ -0,0 +1 @@ +python/sim.py \ No newline at end of file diff --git a/rtl/sim/sim.txt b/rtl/sim/sim.txt new file mode 100644 index 0000000..8c17068 --- /dev/null +++ b/rtl/sim/sim.txt @@ -0,0 +1,4691 @@ +make: Entering directory '/home/wtf/projects/Skywater-Array-Site/opf-move/dev/sim/obj_dir' +g++ -I. -MMD -I/usr/share/verilator/include -I/usr/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -shared --std=c++11 -DVL_USER_FINISH -c -o pyverilator_wrapper.o ../obj_dir/pyverilator_wrapper.cpp +g++ -fPIC -shared pyverilator_wrapper.o verilated.o verilated_vcd_c.o Vtest_ra_ddr__ALL.a -o Vtest_ra_ddr -lm -lstdc++ +make: Leaving directory '/home/wtf/projects/Skywater-Array-Site/opf-move/dev/sim/obj_dir' +io +Input bist_ctl 32'h0 +Output bist_status 32'h0 +Input cfg_dat 16'h0 +Input cfg_wr 1'h0 +Input clk 1'h0 +Input clk2x 1'h0 +Input rd_adr_0 6'h0 +Input rd_adr_1 6'h0 +Input rd_adr_2 6'h0 +Input rd_adr_3 6'h0 +Output rd_dat_0 72'h0 +Output rd_dat_1 72'h0 +Output rd_dat_2 72'h0 +Output rd_dat_3 72'h0 +Input rd_enb_0 1'h0 +Input rd_enb_1 1'h0 +Input rd_enb_2 1'h0 +Input rd_enb_3 1'h0 +Input reset 1'h0 +Input wr_adr_0 6'h0 +Input wr_adr_1 6'h0 +Input wr_dat_0 72'h0 +Input wr_dat_1 72'h0 +Input wr_enb_0 1'h0 +Input wr_enb_1 1'h0 + +internals +InternalSignal __Vclklast__TOP__clk 0'h0 +InternalSignal __Vclklast__TOP__clk2x 0'h0 +Submodule bist 16 items +Submodule lcb 5 items +Submodule ra 96 items +InternalSignal strobe 0'h1 + +ra +Submodule add_clk 3 items +InternalSignal ra_rd_dat_0 71'h0 +InternalSignal ra_rd_dat_1 71'h0 +InternalSignal ra_rd_dat_2 71'h0 +InternalSignal ra_rd_dat_3 71'h0 +InternalSignal ra_wr_adr_0 5'h0 +InternalSignal ra_wr_adr_1 5'h0 +InternalSignal ra_wr_enb_0 0'h0 +InternalSignal ra_wr_enb_1 0'h0 +InternalSignal rd0_a1_a2 0'h0 +InternalSignal rd0_a1_na2 0'h0 +InternalSignal rd0_a4_a5 0'h0 +InternalSignal rd0_a4_na5 0'h0 +InternalSignal rd0_c_a0 0'h0 +InternalSignal rd0_c_na0 0'h0 +InternalSignal rd0_na1_a2 0'h0 +InternalSignal rd0_na4_a5 0'h0 +InternalSignal rd1_a1_a2 0'h0 +InternalSignal rd1_a1_na2 0'h0 +InternalSignal rd1_a4_a5 0'h0 +InternalSignal rd1_a4_na5 0'h0 +InternalSignal rd1_c_a0 0'h0 +InternalSignal rd1_c_na0 0'h0 +InternalSignal rd1_na1_a2 0'h0 +InternalSignal rd1_na4_a5 0'h0 +InternalSignal rd2_a1_a2 0'h0 +InternalSignal rd2_a1_na2 0'h0 +InternalSignal rd2_a3 0'h0 +InternalSignal rd2_a4_a5 0'h0 +InternalSignal rd2_a4_na5 0'h0 +InternalSignal rd2_c_a0 0'h0 +InternalSignal rd2_c_na0 0'h0 +InternalSignal rd2_na1_a2 0'h0 +InternalSignal rd2_na1_na2 0'h0 +InternalSignal rd2_na3 0'h0 +InternalSignal rd2_na4_a5 0'h0 +InternalSignal rd2_na4_na5 0'h0 +InternalSignal rd3_a1_a2 0'h0 +InternalSignal rd3_a1_na2 0'h0 +InternalSignal rd3_a3 0'h0 +InternalSignal rd3_a4_a5 0'h0 +InternalSignal rd3_a4_na5 0'h0 +InternalSignal rd3_c_a0 0'h0 +InternalSignal rd3_c_na0 0'h0 +InternalSignal rd3_na1_a2 0'h0 +InternalSignal rd3_na1_na2 0'h0 +InternalSignal rd3_na3 0'h0 +InternalSignal rd3_na4_a5 0'h0 +InternalSignal rd3_na4_na5 0'h0 +InternalSignal rd_adr_02 5'h0 +InternalSignal rd_adr_0_q 5'h0 +InternalSignal rd_adr_13 5'h0 +InternalSignal rd_adr_1_q 5'h0 +InternalSignal rd_adr_2_q 5'h0 +InternalSignal rd_adr_3_q 5'h0 +InternalSignal rd_dat_0_hold_q 71'h0 +InternalSignal rd_dat_0_q 71'h0 +InternalSignal rd_dat_1_hold_q 71'h0 +InternalSignal rd_dat_1_q 71'h0 +InternalSignal rd_dat_2_q 71'h0 +InternalSignal rd_dat_3_q 71'h0 +InternalSignal rd_enb_02 0'h0 +InternalSignal rd_enb_0_q 0'h0 +InternalSignal rd_enb_13 0'h0 +InternalSignal rd_enb_1_q 0'h0 +InternalSignal rd_enb_2_q 0'h0 +InternalSignal rd_enb_3_q 0'h0 +InternalSignal wr0_a1_a2 0'h0 +InternalSignal wr0_a1_na2 0'h0 +InternalSignal wr0_a4_a5 0'h0 +InternalSignal wr0_a4_na5 0'h0 +InternalSignal wr0_c_a0 0'h0 +InternalSignal wr0_c_na0 0'h0 +InternalSignal wr0_na1_a2 0'h0 +InternalSignal wr0_na4_a5 0'h0 +InternalSignal wr1_a1_a2 0'h0 +InternalSignal wr1_a1_na2 0'h0 +InternalSignal wr1_a3 0'h0 +InternalSignal wr1_a4_a5 0'h0 +InternalSignal wr1_a4_na5 0'h0 +InternalSignal wr1_c_a0 0'h0 +InternalSignal wr1_c_na0 0'h0 +InternalSignal wr1_na1_a2 0'h0 +InternalSignal wr1_na1_na2 0'h0 +InternalSignal wr1_na3 0'h0 +InternalSignal wr1_na4_a5 0'h0 +InternalSignal wr1_na4_na5 0'h0 +InternalSignal wr_adr_01 5'h0 +InternalSignal wr_adr_0_q 5'h0 +InternalSignal wr_adr_1_q 5'h0 +InternalSignal wr_dat_01 71'h0 +InternalSignal wr_dat_0_q 71'h0 +InternalSignal wr_dat_1_q 71'h0 +InternalSignal wr_enb_01 0'h0 +InternalSignal wr_enb_0_q 0'h0 +InternalSignal wr_enb_1_q 0'h0 + +ra.add_clk +Submodule predecode_r0 11 items +Submodule predecode_r1 11 items +Submodule predecode_w0 11 items + +00000000[00000000] pys--. : Initializing seed to 0x64 +00000020[00000002] pys--. : Port=0 WR @00=555555555555555500 +00000020[00000002] pys--. : Port=1 WR @01=555555555555555501 +00000030[00000003] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000030[00000003] pys--. : W0: 1 00 555555555555555500 W1: 1 01 555555555555555501 +00000030[00000003] pys--. : Port=0 WR @02=555555555555555502 +00000030[00000003] pys--. : Port=1 WR @03=555555555555555503 +00000040[00000004] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000040[00000004] pys--. : W0: 1 02 555555555555555502 W1: 1 03 555555555555555503 +00000040[00000004] pys--. : Port=0 WR @04=555555555555555504 +00000040[00000004] pys--. : Port=1 WR @05=555555555555555505 +00000050[00000005] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000050[00000005] pys--. : W0: 1 04 555555555555555504 W1: 1 05 555555555555555505 +00000050[00000005] pys--. : Port=0 WR @06=555555555555555506 +00000050[00000005] pys--. : Port=1 WR @07=555555555555555507 +00000060[00000006] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000060[00000006] pys--. : W0: 1 06 555555555555555506 W1: 1 07 555555555555555507 +00000060[00000006] pys--. : Port=0 WR @08=555555555555555508 +00000060[00000006] pys--. : Port=1 WR @09=555555555555555509 +00000070[00000007] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000070[00000007] pys--. : W0: 1 08 555555555555555508 W1: 1 09 555555555555555509 +00000070[00000007] pys--. : Port=0 WR @0A=55555555555555550A +00000070[00000007] pys--. : Port=1 WR @0B=55555555555555550B +00000080[00000008] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000080[00000008] pys--. : W0: 1 0A 55555555555555550A W1: 1 0B 55555555555555550B +00000080[00000008] pys--. : Port=0 WR @0C=55555555555555550C +00000080[00000008] pys--. : Port=1 WR @0D=55555555555555550D +00000090[00000009] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000090[00000009] pys--. : W0: 1 0C 55555555555555550C W1: 1 0D 55555555555555550D +00000090[00000009] pys--. : Port=0 WR @0E=55555555555555550E +00000090[00000009] pys--. : Port=1 WR @0F=55555555555555550F +00000100[00000010] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000100[00000010] pys--. : W0: 1 0E 55555555555555550E W1: 1 0F 55555555555555550F +00000100[00000010] pys--. : Port=0 WR @10=555555555555555510 +00000100[00000010] pys--. : Port=1 WR @11=555555555555555511 +00000110[00000011] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000110[00000011] pys--. : W0: 1 10 555555555555555510 W1: 1 11 555555555555555511 +00000110[00000011] pys--. : Port=0 WR @12=555555555555555512 +00000110[00000011] pys--. : Port=1 WR @13=555555555555555513 +00000120[00000012] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000120[00000012] pys--. : W0: 1 12 555555555555555512 W1: 1 13 555555555555555513 +00000120[00000012] pys--. : Port=0 WR @14=555555555555555514 +00000120[00000012] pys--. : Port=1 WR @15=555555555555555515 +00000130[00000013] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000130[00000013] pys--. : W0: 1 14 555555555555555514 W1: 1 15 555555555555555515 +00000130[00000013] pys--. : Port=0 WR @16=555555555555555516 +00000130[00000013] pys--. : Port=1 WR @17=555555555555555517 +00000140[00000014] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000140[00000014] pys--. : W0: 1 16 555555555555555516 W1: 1 17 555555555555555517 +00000140[00000014] pys--. : Port=0 WR @18=555555555555555518 +00000140[00000014] pys--. : Port=1 WR @19=555555555555555519 +00000150[00000015] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000150[00000015] pys--. : W0: 1 18 555555555555555518 W1: 1 19 555555555555555519 +00000150[00000015] pys--. : Port=0 WR @1A=55555555555555551A +00000150[00000015] pys--. : Port=1 WR @1B=55555555555555551B +00000160[00000016] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000160[00000016] pys--. : W0: 1 1A 55555555555555551A W1: 1 1B 55555555555555551B +00000160[00000016] pys--. : Port=0 WR @1C=55555555555555551C +00000160[00000016] pys--. : Port=1 WR @1D=55555555555555551D +00000170[00000017] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000170[00000017] pys--. : W0: 1 1C 55555555555555551C W1: 1 1D 55555555555555551D +00000170[00000017] pys--. : Port=0 WR @1E=55555555555555551E +00000170[00000017] pys--. : Port=1 WR @1F=55555555555555551F +00000180[00000018] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000180[00000018] pys--. : W0: 1 1E 55555555555555551E W1: 1 1F 55555555555555551F +00000180[00000018] pys--. : Port=0 WR @20=555555555555555520 +00000180[00000018] pys--. : Port=1 WR @21=555555555555555521 +00000190[00000019] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000190[00000019] pys--. : W0: 1 20 555555555555555520 W1: 1 21 555555555555555521 +00000190[00000019] pys--. : Port=0 WR @22=555555555555555522 +00000190[00000019] pys--. : Port=1 WR @23=555555555555555523 +00000200[00000020] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000200[00000020] pys--. : W0: 1 22 555555555555555522 W1: 1 23 555555555555555523 +00000200[00000020] pys--. : Port=0 WR @24=555555555555555524 +00000200[00000020] pys--. : Port=1 WR @25=555555555555555525 +00000210[00000021] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000210[00000021] pys--. : W0: 1 24 555555555555555524 W1: 1 25 555555555555555525 +00000210[00000021] pys--. : Port=0 WR @26=555555555555555526 +00000210[00000021] pys--. : Port=1 WR @27=555555555555555527 +00000220[00000022] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000220[00000022] pys--. : W0: 1 26 555555555555555526 W1: 1 27 555555555555555527 +00000220[00000022] pys--. : Port=0 WR @28=555555555555555528 +00000220[00000022] pys--. : Port=1 WR @29=555555555555555529 +00000230[00000023] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000230[00000023] pys--. : W0: 1 28 555555555555555528 W1: 1 29 555555555555555529 +00000230[00000023] pys--. : Port=0 WR @2A=55555555555555552A +00000230[00000023] pys--. : Port=1 WR @2B=55555555555555552B +00000240[00000024] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000240[00000024] pys--. : W0: 1 2A 55555555555555552A W1: 1 2B 55555555555555552B +00000240[00000024] pys--. : Port=0 WR @2C=55555555555555552C +00000240[00000024] pys--. : Port=1 WR @2D=55555555555555552D +00000250[00000025] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000250[00000025] pys--. : W0: 1 2C 55555555555555552C W1: 1 2D 55555555555555552D +00000250[00000025] pys--. : Port=0 WR @2E=55555555555555552E +00000250[00000025] pys--. : Port=1 WR @2F=55555555555555552F +00000260[00000026] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000260[00000026] pys--. : W0: 1 2E 55555555555555552E W1: 1 2F 55555555555555552F +00000260[00000026] pys--. : Port=0 WR @30=555555555555555530 +00000260[00000026] pys--. : Port=1 WR @31=555555555555555531 +00000270[00000027] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000270[00000027] pys--. : W0: 1 30 555555555555555530 W1: 1 31 555555555555555531 +00000270[00000027] pys--. : Port=0 WR @32=555555555555555532 +00000270[00000027] pys--. : Port=1 WR @33=555555555555555533 +00000280[00000028] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000280[00000028] pys--. : W0: 1 32 555555555555555532 W1: 1 33 555555555555555533 +00000280[00000028] pys--. : Port=0 WR @34=555555555555555534 +00000280[00000028] pys--. : Port=1 WR @35=555555555555555535 +00000290[00000029] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000290[00000029] pys--. : W0: 1 34 555555555555555534 W1: 1 35 555555555555555535 +00000290[00000029] pys--. : Port=0 WR @36=555555555555555536 +00000290[00000029] pys--. : Port=1 WR @37=555555555555555537 +00000300[00000030] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000300[00000030] pys--. : W0: 1 36 555555555555555536 W1: 1 37 555555555555555537 +00000300[00000030] pys--. : Port=0 WR @38=555555555555555538 +00000300[00000030] pys--. : Port=1 WR @39=555555555555555539 +00000310[00000031] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000310[00000031] pys--. : W0: 1 38 555555555555555538 W1: 1 39 555555555555555539 +00000310[00000031] pys--. : Port=0 WR @3A=55555555555555553A +00000310[00000031] pys--. : Port=1 WR @3B=55555555555555553B +00000320[00000032] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000320[00000032] pys--. : W0: 1 3A 55555555555555553A W1: 1 3B 55555555555555553B +00000320[00000032] pys--. : Port=0 WR @3C=55555555555555553C +00000320[00000032] pys--. : Port=1 WR @3D=55555555555555553D +00000330[00000033] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000330[00000033] pys--. : W0: 1 3C 55555555555555553C W1: 1 3D 55555555555555553D +00000330[00000033] pys--. : Port=0 WR @3E=55555555555555553E +00000330[00000033] pys--. : Port=1 WR @3F=55555555555555553F +00000340[00000034] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000340[00000034] pys--. : W0: 1 3E 55555555555555553E W1: 1 3F 55555555555555553F +00000340[00000034] pys--. : Starting random loop. +00000340[00000034] pys--. : Port=0 WR @07=C5F3A3C57174761899 +00000340[00000034] pys--. : Port=1 WR @06=6E59885AFCBB61A9CD +00000340[00000034] pys--. : Port=1 RD @01 +00000340[00000034] pys--. : Port=2 RD @04 +00000340[00000034] pys--. : Port=3 RD @03 +00000350[00000035] pys--. : R0: 0 00 000000000000000000 R1: 1 01 555555555555555501 R2: 1 04 000000000000000000 R3: 1 03 000000000000000000 +00000350[00000035] pys--. : W0: 1 07 C5F3A3C57174761899 W1: 1 06 6E59885AFCBB61A9CD +00000350[00000035] pys--. : Port=1 WR @04=FCC49CD65BD6C2703C +00000350[00000035] pys--. : Port=0 RD @02 +00000350[00000035] pys--. : Port=1 RD @03 +00000360[00000036] pys--. : R0: 1 02 555555555555555502 R1: 1 03 555555555555555503 R2: 0 00 555555555555555504 R3: 0 00 555555555555555503 +00000360[00000036] pys--. : W0: 0 00 000000000000000000 W1: 1 04 FCC49CD65BD6C2703C +00000360[00000036] pys--. : Port=1 WR @06=8E760B3C5EF4C30307 +00000360[00000036] pys--. : Port=2 RD @01 +00000360[00000036] pys--. : Port=3 RD @00 +00000370[00000037] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 00 000000000000000000 +00000370[00000037] pys--. : W0: 0 00 000000000000000000 W1: 1 06 8E760B3C5EF4C30307 +00000370[00000037] pys--. : Port=0 RD @02 +00000370[00000037] pys--. : Port=1 RD @02 +00000370[00000037] pys--. : Port=2 RD @03 +00000380[00000038] pys--. : R0: 1 02 555555555555555502 R1: 1 02 555555555555555502 R2: 1 03 555555555555555501 R3: 0 00 555555555555555500 +00000380[00000038] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000380[00000038] pys--. : Port=1 WR @00=B73F4158639BEDFE39 +00000390[00000039] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 555555555555555503 R3: 0 00 000000000000000000 +00000390[00000039] pys--. : W0: 0 00 000000000000000000 W1: 1 00 B73F4158639BEDFE39 +00000400[00000040] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000400[00000040] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000400[00000040] pys--. : Port=1 WR @01=A64CC6382EE3F4FCA3 +00000400[00000040] pys--. : Port=2 RD @06 +00000410[00000041] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00000410[00000041] pys--. : W0: 0 00 000000000000000000 W1: 1 01 A64CC6382EE3F4FCA3 +00000410[00000041] pys--. : Port=1 RD @00 +00000420[00000042] pys--. : R0: 0 00 000000000000000000 R1: 1 00 B73F4158639BEDFE39 R2: 0 00 8E760B3C5EF4C30307 R3: 0 00 000000000000000000 +00000420[00000042] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000420[00000042] pys--. : Port=0 WR @00=B4467045A0C0FE15DD +00000420[00000042] pys--. : Port=1 WR @00=E29869BE8CC8360BA6 +00000420[00000042] pys--. : Port=0 RD @04 +00000420[00000042] pys--. : Port=3 RD @05 +00000430[00000043] pys--. : R0: 1 04 FCC49CD65BD6C2703C R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00000430[00000043] pys--. : W0: 1 00 B4467045A0C0FE15DD W1: 1 00 E29869BE8CC8360BA6 +00000430[00000043] pys--. : Port=0 RD @01 +00000430[00000043] pys--. : Port=2 RD @01 +00000440[00000044] pys--. : R0: 1 01 A64CC6382EE3F4FCA3 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 555555555555555505 +00000440[00000044] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000440[00000044] pys--. : Port=0 WR @00=EFB990292663CCE251 +00000440[00000044] pys--. : Port=1 WR @06=26B694DE26AD9E5431 +00000440[00000044] pys--. : Port=3 RD @07 +00000450[00000045] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 A64CC6382EE3F4FCA3 R3: 1 07 000000000000000000 +00000450[00000045] pys--. : W0: 1 00 EFB990292663CCE251 W1: 1 06 26B694DE26AD9E5431 +00000450[00000045] pys--. : Port=0 WR @00=DF794FF27ECC7B2201 +00000450[00000045] pys--. : Port=1 WR @03=283FB0FE60EF1D54DB +00000450[00000045] pys--. : Port=0 RD @02 +00000450[00000045] pys--. : Port=1 RD @01 +00000450[00000045] pys--. : Port=2 RD @04 +00000450[00000045] pys--. : Port=3 RD @06 +00000460[00000046] pys--. : R0: 1 02 555555555555555502 R1: 1 01 A64CC6382EE3F4FCA3 R2: 1 04 000000000000000000 R3: 1 06 C5F3A3C57174761899 +00000460[00000046] pys--. : W0: 1 00 DF794FF27ECC7B2201 W1: 1 03 283FB0FE60EF1D54DB +00000460[00000046] pys--. : Port=0 WR @06=5773545BD879604A0D +00000460[00000046] pys--. : Port=1 RD @04 +00000460[00000046] pys--. : Port=3 RD @03 +00000470[00000047] pys--. : R0: 0 00 000000000000000000 R1: 1 04 FCC49CD65BD6C2703C R2: 0 00 FCC49CD65BD6C2703C R3: 1 03 26B694DE26AD9E5431 +00000470[00000047] pys--. : W0: 1 06 5773545BD879604A0D W1: 0 00 000000000000000000 +00000470[00000047] pys--. : Port=1 WR @04=66FD35BBB7FB137E8B +00000470[00000047] pys--. : Port=1 RD @03 +00000470[00000047] pys--. : Port=2 RD @00 +00000480[00000048] pys--. : R0: 0 00 000000000000000000 R1: 1 03 283FB0FE60EF1D54DB R2: 1 00 000000000000000000 R3: 0 00 283FB0FE60EF1D54DB +00000480[00000048] pys--. : W0: 0 00 000000000000000000 W1: 1 04 66FD35BBB7FB137E8B +00000480[00000048] pys--. : Port=1 WR @04=94DF180D0CBE42623 +00000480[00000048] pys--. : Port=0 RD @03 +00000480[00000048] pys--. : Port=2 RD @01 +00000480[00000048] pys--. : Port=3 RD @00 +00000490[00000049] pys--. : R0: 1 03 283FB0FE60EF1D54DB R1: 0 00 000000000000000000 R2: 1 01 DF794FF27ECC7B2201 R3: 1 00 000000000000000000 +00000490[00000049] pys--. : W0: 0 00 000000000000000000 W1: 1 04 094DF180D0CBE42623 +00000490[00000049] pys--. : Port=0 WR @05=E4AC7F5AA652F446B4 +00000490[00000049] pys--. : Port=0 RD @02 +00000490[00000049] pys--. : Port=1 RD @00 +00000500[00000050] pys--. : R0: 1 02 555555555555555502 R1: 1 00 DF794FF27ECC7B2201 R2: 0 00 A64CC6382EE3F4FCA3 R3: 0 00 DF794FF27ECC7B2201 +00000500[00000050] pys--. : W0: 1 05 E4AC7F5AA652F446B4 W1: 0 00 000000000000000000 +00000500[00000050] pys--. : Port=1 WR @02=B26E24E0E412EF89 +00000500[00000050] pys--. : Port=0 RD @05 +00000500[00000050] pys--. : Port=3 RD @06 +00000510[00000051] pys--. : R0: 1 05 E4AC7F5AA652F446B4 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00000510[00000051] pys--. : W0: 0 00 000000000000000000 W1: 1 02 00B26E24E0E412EF89 +00000510[00000051] pys--. : Port=0 RD @03 +00000510[00000051] pys--. : Port=1 RD @04 +00000510[00000051] pys--. : Port=2 RD @07 +00000510[00000051] pys--. : Port=3 RD @06 +00000520[00000052] pys--. : R0: 1 03 283FB0FE60EF1D54DB R1: 1 04 094DF180D0CBE42623 R2: 1 07 000000000000000000 R3: 1 06 5773545BD879604A0D +00000520[00000052] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000520[00000052] pys--. : Port=1 RD @04 +00000520[00000052] pys--. : Port=2 RD @01 +00000530[00000053] pys--. : R0: 0 00 000000000000000000 R1: 1 04 094DF180D0CBE42623 R2: 1 01 C5F3A3C57174761899 R3: 0 00 5773545BD879604A0D +00000530[00000053] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000530[00000053] pys--. : Port=1 WR @00=75CDBB1CB464BE22A3 +00000530[00000053] pys--. : Port=2 RD @01 +00000530[00000053] pys--. : Port=3 RD @07 +00000540[00000054] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 A64CC6382EE3F4FCA3 R3: 1 07 000000000000000000 +00000540[00000054] pys--. : W0: 0 00 000000000000000000 W1: 1 00 75CDBB1CB464BE22A3 +00000540[00000054] pys--. : Port=1 WR @02=B01B3158CA7B0A2BAA +00000540[00000054] pys--. : Port=0 RD @04 +00000540[00000054] pys--. : Port=1 RD @05 +00000550[00000055] pys--. : R0: 1 04 094DF180D0CBE42623 R1: 1 05 E4AC7F5AA652F446B4 R2: 0 00 A64CC6382EE3F4FCA3 R3: 0 00 C5F3A3C57174761899 +00000550[00000055] pys--. : W0: 0 00 000000000000000000 W1: 1 02 B01B3158CA7B0A2BAA +00000550[00000055] pys--. : Port=0 WR @07=1D52B6FA4AD7AAF08D +00000550[00000055] pys--. : Port=1 RD @05 +00000550[00000055] pys--. : Port=2 RD @00 +00000550[00000055] pys--. : Port=3 RD @03 +00000560[00000056] pys--. : R0: 0 00 000000000000000000 R1: 1 05 E4AC7F5AA652F446B4 R2: 1 00 000000000000000000 R3: 1 03 000000000000000000 +00000560[00000056] pys--. : W0: 1 07 1D52B6FA4AD7AAF08D W1: 0 00 000000000000000000 +00000560[00000056] pys--. : Port=1 WR @01=A1782B2F1E200021F6 +00000560[00000056] pys--. : Port=0 RD @07 +00000570[00000057] pys--. : R0: 1 07 1D52B6FA4AD7AAF08D R1: 0 00 000000000000000000 R2: 0 00 75CDBB1CB464BE22A3 R3: 0 00 283FB0FE60EF1D54DB +00000570[00000057] pys--. : W0: 0 00 000000000000000000 W1: 1 01 A1782B2F1E200021F6 +00000570[00000057] pys--. : Port=0 WR @07=E7F48D9F620C29F47D +00000570[00000057] pys--. : Port=2 RD @01 +00000570[00000057] pys--. : Port=3 RD @00 +00000580[00000058] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 00 000000000000000000 +00000580[00000058] pys--. : W0: 1 07 E7F48D9F620C29F47D W1: 0 00 000000000000000000 +00000580[00000058] pys--. : Port=1 WR @07=2B1DF579FFC8C5403 +00000580[00000058] pys--. : Port=0 RD @03 +00000580[00000058] pys--. : Port=3 RD @04 +00000590[00000059] pys--. : R0: 1 03 283FB0FE60EF1D54DB R1: 0 00 000000000000000000 R2: 0 00 A1782B2F1E200021F6 R3: 1 04 75CDBB1CB464BE22A3 +00000590[00000059] pys--. : W0: 0 00 000000000000000000 W1: 1 07 02B1DF579FFC8C5403 +00000590[00000059] pys--. : Port=0 WR @04=DDE1B3720C4E1165F6 +00000590[00000059] pys--. : Port=1 RD @05 +00000590[00000059] pys--. : Port=2 RD @02 +00000600[00000060] pys--. : R0: 0 00 000000000000000000 R1: 1 05 E4AC7F5AA652F446B4 R2: 1 02 000000000000000000 R3: 0 00 094DF180D0CBE42623 +00000600[00000060] pys--. : W0: 1 04 DDE1B3720C4E1165F6 W1: 0 00 000000000000000000 +00000600[00000060] pys--. : Port=0 RD @03 +00000600[00000060] pys--. : Port=2 RD @01 +00000610[00000061] pys--. : R0: 1 03 283FB0FE60EF1D54DB R1: 0 00 000000000000000000 R2: 1 01 B01B3158CA7B0A2BAA R3: 0 00 000000000000000000 +00000610[00000061] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000610[00000061] pys--. : Port=1 WR @05=AA27084A6B4488C0F3 +00000610[00000061] pys--. : Port=0 RD @07 +00000610[00000061] pys--. : Port=1 RD @03 +00000610[00000061] pys--. : Port=3 RD @07 +00000620[00000062] pys--. : R0: 1 07 02B1DF579FFC8C5403 R1: 1 03 283FB0FE60EF1D54DB R2: 0 00 A1782B2F1E200021F6 R3: 1 07 000000000000000000 +00000620[00000062] pys--. : W0: 0 00 000000000000000000 W1: 1 05 AA27084A6B4488C0F3 +00000620[00000062] pys--. : Port=1 WR @07=159B90EE2F99C9404 +00000620[00000062] pys--. : Port=0 RD @00 +00000620[00000062] pys--. : Port=3 RD @03 +00000630[00000063] pys--. : R0: 1 00 75CDBB1CB464BE22A3 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 03 02B1DF579FFC8C5403 +00000630[00000063] pys--. : W0: 0 00 000000000000000000 W1: 1 07 0159B90EE2F99C9404 +00000630[00000063] pys--. : Port=1 WR @03=3740DF4DBF4415E3DC +00000640[00000064] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 283FB0FE60EF1D54DB +00000640[00000064] pys--. : W0: 0 00 000000000000000000 W1: 1 03 3740DF4DBF4415E3DC +00000640[00000064] pys--. : Port=1 WR @04=84DB48BAE13DA7C160 +00000640[00000064] pys--. : Port=0 RD @00 +00000640[00000064] pys--. : Port=2 RD @05 +00000640[00000064] pys--. : Port=3 RD @02 +00000650[00000065] pys--. : R0: 1 00 75CDBB1CB464BE22A3 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 1 02 000000000000000000 +00000650[00000065] pys--. : W0: 0 00 000000000000000000 W1: 1 04 84DB48BAE13DA7C160 +00000650[00000065] pys--. : Port=1 RD @03 +00000650[00000065] pys--. : Port=3 RD @07 +00000660[00000066] pys--. : R0: 0 00 000000000000000000 R1: 1 03 3740DF4DBF4415E3DC R2: 0 00 AA27084A6B4488C0F3 R3: 1 07 B01B3158CA7B0A2BAA +00000660[00000066] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000660[00000066] pys--. : Port=1 WR @04=FC98BC39D97FB8BB4E +00000660[00000066] pys--. : Port=0 RD @01 +00000660[00000066] pys--. : Port=2 RD @07 +00000670[00000067] pys--. : R0: 1 01 A1782B2F1E200021F6 R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 0 00 0159B90EE2F99C9404 +00000670[00000067] pys--. : W0: 0 00 000000000000000000 W1: 1 04 FC98BC39D97FB8BB4E +00000680[00000068] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 0159B90EE2F99C9404 R3: 0 00 000000000000000000 +00000680[00000068] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000680[00000068] pys--. : Port=0 WR @02=572F01DA72BCE95A04 +00000680[00000068] pys--. : Port=3 RD @07 +00000690[00000069] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 07 000000000000000000 +00000690[00000069] pys--. : W0: 1 02 572F01DA72BCE95A04 W1: 0 00 000000000000000000 +00000690[00000069] pys--. : Port=0 WR @03=3E13B7C6A22D372396 +00000690[00000069] pys--. : Port=1 WR @00=D4CB083D759D90BECD +00000690[00000069] pys--. : Port=2 RD @05 +00000700[00000070] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 0 00 0159B90EE2F99C9404 +00000700[00000070] pys--. : W0: 1 03 3E13B7C6A22D372396 W1: 1 00 D4CB083D759D90BECD +00000700[00000070] pys--. : Port=0 WR @04=B0581A7A6A79E5E29F +00000700[00000070] pys--. : Port=0 RD @02 +00000700[00000070] pys--. : Port=3 RD @06 +00000710[00000071] pys--. : R0: 1 02 572F01DA72BCE95A04 R1: 0 00 000000000000000000 R2: 0 00 AA27084A6B4488C0F3 R3: 1 06 000000000000000000 +00000710[00000071] pys--. : W0: 1 04 B0581A7A6A79E5E29F W1: 0 00 000000000000000000 +00000710[00000071] pys--. : Port=1 WR @00=F144F9AAC4F6B076DA +00000710[00000071] pys--. : Port=0 RD @01 +00000720[00000072] pys--. : R0: 1 01 A1782B2F1E200021F6 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 5773545BD879604A0D +00000720[00000072] pys--. : W0: 0 00 000000000000000000 W1: 1 00 F144F9AAC4F6B076DA +00000720[00000072] pys--. : Port=1 RD @07 +00000720[00000072] pys--. : Port=3 RD @01 +00000730[00000073] pys--. : R0: 0 00 000000000000000000 R1: 1 07 0159B90EE2F99C9404 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00000730[00000073] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000730[00000073] pys--. : Port=0 WR @07=E3A744D90C9D10E160 +00000730[00000073] pys--. : Port=1 WR @01=B59CB4BFF056BE5F53 +00000730[00000073] pys--. : Port=0 RD @06 +00000730[00000073] pys--. : Port=2 RD @06 +00000740[00000074] pys--. : R0: 1 06 5773545BD879604A0D R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 A1782B2F1E200021F6 +00000740[00000074] pys--. : W0: 1 07 E3A744D90C9D10E160 W1: 1 01 B59CB4BFF056BE5F53 +00000740[00000074] pys--. : Port=1 WR @06=CA3F5DFC9BD6ED90FF +00000740[00000074] pys--. : Port=1 RD @07 +00000740[00000074] pys--. : Port=3 RD @04 +00000750[00000075] pys--. : R0: 0 00 000000000000000000 R1: 1 07 E3A744D90C9D10E160 R2: 0 00 5773545BD879604A0D R3: 1 04 000000000000000000 +00000750[00000075] pys--. : W0: 0 00 000000000000000000 W1: 1 06 CA3F5DFC9BD6ED90FF +00000750[00000075] pys--. : Port=1 WR @07=99B7CC038AD20DAFA4 +00000750[00000075] pys--. : Port=1 RD @02 +00000750[00000075] pys--. : Port=3 RD @03 +00000760[00000076] pys--. : R0: 0 00 000000000000000000 R1: 1 02 572F01DA72BCE95A04 R2: 0 00 000000000000000000 R3: 1 03 B0581A7A6A79E5E29F +00000760[00000076] pys--. : W0: 0 00 000000000000000000 W1: 1 07 99B7CC038AD20DAFA4 +00000760[00000076] pys--. : Port=0 RD @00 +00000760[00000076] pys--. : Port=2 RD @03 +00000760[00000076] pys--. : Port=3 RD @03 +00000770[00000077] pys--. : R0: 1 00 F144F9AAC4F6B076DA R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 1 03 3E13B7C6A22D372396 +00000770[00000077] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000770[00000077] pys--. : Port=1 WR @06=864BFAC2084F2E0791 +00000780[00000078] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 3E13B7C6A22D372396 R3: 0 00 3E13B7C6A22D372396 +00000780[00000078] pys--. : W0: 0 00 000000000000000000 W1: 1 06 864BFAC2084F2E0791 +00000780[00000078] pys--. : Port=1 WR @02=BF82951847083E87C0 +00000780[00000078] pys--. : Port=0 RD @00 +00000790[00000079] pys--. : R0: 1 00 F144F9AAC4F6B076DA R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000790[00000079] pys--. : W0: 0 00 000000000000000000 W1: 1 02 BF82951847083E87C0 +00000790[00000079] pys--. : Port=1 WR @00=39130CB7821D069B2F +00000800[00000080] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000800[00000080] pys--. : W0: 0 00 000000000000000000 W1: 1 00 39130CB7821D069B2F +00000800[00000080] pys--. : Port=0 WR @07=C09D0B6BFCEF5F13AA +00000810[00000081] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000810[00000081] pys--. : W0: 1 07 C09D0B6BFCEF5F13AA W1: 0 00 000000000000000000 +00000810[00000081] pys--. : Port=1 WR @06=D2FC2AAC113B72CCEB +00000810[00000081] pys--. : Port=1 RD @04 +00000810[00000081] pys--. : Port=3 RD @05 +00000820[00000082] pys--. : R0: 0 00 000000000000000000 R1: 1 04 B0581A7A6A79E5E29F R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00000820[00000082] pys--. : W0: 0 00 000000000000000000 W1: 1 06 D2FC2AAC113B72CCEB +00000820[00000082] pys--. : Port=2 RD @07 +00000830[00000083] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 0 00 AA27084A6B4488C0F3 +00000830[00000083] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000830[00000083] pys--. : Port=1 RD @01 +00000830[00000083] pys--. : Port=3 RD @06 +00000840[00000084] pys--. : R0: 0 00 000000000000000000 R1: 1 01 B59CB4BFF056BE5F53 R2: 0 00 C09D0B6BFCEF5F13AA R3: 1 06 000000000000000000 +00000840[00000084] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000840[00000084] pys--. : Port=0 WR @02=A0CB672F645211522 +00000840[00000084] pys--. : Port=1 WR @07=E7583F6EE0CB5411CA +00000840[00000084] pys--. : Port=0 RD @05 +00000840[00000084] pys--. : Port=2 RD @05 +00000840[00000084] pys--. : Port=3 RD @04 +00000850[00000085] pys--. : R0: 1 05 AA27084A6B4488C0F3 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 1 04 D2FC2AAC113B72CCEB +00000850[00000085] pys--. : W0: 1 02 0A0CB672F645211522 W1: 1 07 E7583F6EE0CB5411CA +00000860[00000086] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 AA27084A6B4488C0F3 R3: 0 00 B0581A7A6A79E5E29F +00000860[00000086] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000860[00000086] pys--. : Port=1 WR @02=F34F81996FAACDB5DE +00000860[00000086] pys--. : Port=2 RD @03 +00000860[00000086] pys--. : Port=3 RD @05 +00000870[00000087] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 1 05 000000000000000000 +00000870[00000087] pys--. : W0: 0 00 000000000000000000 W1: 1 02 F34F81996FAACDB5DE +00000870[00000087] pys--. : Port=0 WR @06=2477D81E4378857043 +00000870[00000087] pys--. : Port=1 RD @03 +00000870[00000087] pys--. : Port=3 RD @04 +00000880[00000088] pys--. : R0: 0 00 000000000000000000 R1: 1 03 3E13B7C6A22D372396 R2: 0 00 3E13B7C6A22D372396 R3: 1 04 AA27084A6B4488C0F3 +00000880[00000088] pys--. : W0: 1 06 2477D81E4378857043 W1: 0 00 000000000000000000 +00000890[00000089] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 B0581A7A6A79E5E29F +00000890[00000089] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000890[00000089] pys--. : Port=0 RD @06 +00000900[00000090] pys--. : R0: 1 06 2477D81E4378857043 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000900[00000090] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000900[00000090] pys--. : Port=3 RD @06 +00000910[00000091] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00000910[00000091] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000910[00000091] pys--. : Port=0 WR @05=5946DC577E981ACD78 +00000910[00000091] pys--. : Port=1 RD @02 +00000910[00000091] pys--. : Port=2 RD @01 +00000910[00000091] pys--. : Port=3 RD @07 +00000920[00000092] pys--. : R0: 0 00 000000000000000000 R1: 1 02 F34F81996FAACDB5DE R2: 1 01 000000000000000000 R3: 1 07 2477D81E4378857043 +00000920[00000092] pys--. : W0: 1 05 5946DC577E981ACD78 W1: 0 00 000000000000000000 +00000920[00000092] pys--. : Port=0 WR @03=8F0FC999A82BEBB52D +00000930[00000093] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 B59CB4BFF056BE5F53 R3: 0 00 E7583F6EE0CB5411CA +00000930[00000093] pys--. : W0: 1 03 8F0FC999A82BEBB52D W1: 0 00 000000000000000000 +00000930[00000093] pys--. : Port=0 WR @06=7E55C182B8197F1771 +00000940[00000094] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000940[00000094] pys--. : W0: 1 06 7E55C182B8197F1771 W1: 0 00 000000000000000000 +00000940[00000094] pys--. : Port=1 WR @02=BE0656BE2D11CED395 +00000940[00000094] pys--. : Port=2 RD @05 +00000940[00000094] pys--. : Port=3 RD @00 +00000950[00000095] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 1 00 000000000000000000 +00000950[00000095] pys--. : W0: 0 00 000000000000000000 W1: 1 02 BE0656BE2D11CED395 +00000950[00000095] pys--. : Port=0 RD @01 +00000950[00000095] pys--. : Port=1 RD @05 +00000960[00000096] pys--. : R0: 1 01 B59CB4BFF056BE5F53 R1: 1 05 5946DC577E981ACD78 R2: 0 00 5946DC577E981ACD78 R3: 0 00 39130CB7821D069B2F +00000960[00000096] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00000960[00000096] pys--. : Port=0 WR @04=569C90387E00F87C6C +00000960[00000096] pys--. : Port=1 WR @07=2EE0A9C3B9C02C3F26 +00000960[00000096] pys--. : Port=0 RD @06 +00000960[00000096] pys--. : Port=1 RD @05 +00000970[00000097] pys--. : R0: 1 06 7E55C182B8197F1771 R1: 1 05 5946DC577E981ACD78 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00000970[00000097] pys--. : W0: 1 04 569C90387E00F87C6C W1: 1 07 2EE0A9C3B9C02C3F26 +00000970[00000097] pys--. : Port=0 WR @00=DFFC0CA572E36D0F6D +00000970[00000097] pys--. : Port=3 RD @06 +00000980[00000098] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00000980[00000098] pys--. : W0: 1 00 DFFC0CA572E36D0F6D W1: 0 00 000000000000000000 +00000980[00000098] pys--. : Port=0 WR @06=290D9CFE2C0AF5651 +00000980[00000098] pys--. : Port=1 WR @04=63B079E9F02F63A0BC +00000980[00000098] pys--. : Port=0 RD @02 +00000980[00000098] pys--. : Port=2 RD @03 +00000990[00000099] pys--. : R0: 1 02 BE0656BE2D11CED395 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 7E55C182B8197F1771 +00000990[00000099] pys--. : W0: 1 06 0290D9CFE2C0AF5651 W1: 1 04 63B079E9F02F63A0BC +00000990[00000099] pys--. : Port=0 WR @01=82A14461AC243305C8 +00000990[00000099] pys--. : Port=1 WR @05=19FF72EE71C907A7BD +00001000[00000100] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 8F0FC999A82BEBB52D R3: 0 00 000000000000000000 +00001000[00000100] pys--. : W0: 1 01 82A14461AC243305C8 W1: 1 05 19FF72EE71C907A7BD +00001000[00000100] pys--. : Port=0 WR @01=B1E5434C2AE1C404B0 +00001000[00000100] pys--. : Port=2 RD @05 +00001010[00000101] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00001010[00000101] pys--. : W0: 1 01 B1E5434C2AE1C404B0 W1: 0 00 000000000000000000 +00001010[00000101] pys--. : Port=0 WR @05=57BFFC746238F020B2 +00001010[00000101] pys--. : Port=1 RD @01 +00001020[00000102] pys--. : R0: 0 00 000000000000000000 R1: 1 01 B1E5434C2AE1C404B0 R2: 0 00 19FF72EE71C907A7BD R3: 0 00 000000000000000000 +00001020[00000102] pys--. : W0: 1 05 57BFFC746238F020B2 W1: 0 00 000000000000000000 +00001020[00000102] pys--. : Port=1 WR @00=AA544D3E5F08B98772 +00001030[00000103] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001030[00000103] pys--. : W0: 0 00 000000000000000000 W1: 1 00 AA544D3E5F08B98772 +00001030[00000103] pys--. : Port=0 RD @07 +00001030[00000103] pys--. : Port=1 RD @02 +00001030[00000103] pys--. : Port=2 RD @00 +00001040[00000104] pys--. : R0: 1 07 2EE0A9C3B9C02C3F26 R1: 1 02 BE0656BE2D11CED395 R2: 1 00 000000000000000000 R3: 0 00 000000000000000000 +00001040[00000104] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001040[00000104] pys--. : Port=1 WR @04=B07DD62B8F872CBBB6 +00001040[00000104] pys--. : Port=0 RD @00 +00001040[00000104] pys--. : Port=2 RD @03 +00001050[00000105] pys--. : R0: 1 00 AA544D3E5F08B98772 R1: 0 00 000000000000000000 R2: 1 03 AA544D3E5F08B98772 R3: 0 00 000000000000000000 +00001050[00000105] pys--. : W0: 0 00 000000000000000000 W1: 1 04 B07DD62B8F872CBBB6 +00001050[00000105] pys--. : Port=0 WR @01=A0F6F3F43A75CFAD32 +00001050[00000105] pys--. : Port=1 WR @07=28FB706826D36C9543 +00001060[00000106] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 8F0FC999A82BEBB52D R3: 0 00 000000000000000000 +00001060[00000106] pys--. : W0: 1 01 A0F6F3F43A75CFAD32 W1: 1 07 28FB706826D36C9543 +00001060[00000106] pys--. : Port=2 RD @04 +00001070[00000107] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00001070[00000107] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001070[00000107] pys--. : Port=1 WR @01=FDF2A189248A532B71 +00001070[00000107] pys--. : Port=0 RD @00 +00001070[00000107] pys--. : Port=2 RD @00 +00001080[00000108] pys--. : R0: 1 00 AA544D3E5F08B98772 R1: 0 00 000000000000000000 R2: 1 00 B07DD62B8F872CBBB6 R3: 0 00 000000000000000000 +00001080[00000108] pys--. : W0: 0 00 000000000000000000 W1: 1 01 FDF2A189248A532B71 +00001080[00000108] pys--. : Port=1 RD @04 +00001090[00000109] pys--. : R0: 0 00 000000000000000000 R1: 1 04 B07DD62B8F872CBBB6 R2: 0 00 AA544D3E5F08B98772 R3: 0 00 000000000000000000 +00001090[00000109] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001090[00000109] pys--. : Port=1 WR @01=A9508B353A09704758 +00001090[00000109] pys--. : Port=0 RD @07 +00001090[00000109] pys--. : Port=1 RD @07 +00001090[00000109] pys--. : Port=3 RD @07 +00001100[00000110] pys--. : R0: 1 07 28FB706826D36C9543 R1: 1 07 28FB706826D36C9543 R2: 0 00 000000000000000000 R3: 1 07 000000000000000000 +00001100[00000110] pys--. : W0: 0 00 000000000000000000 W1: 1 01 A9508B353A09704758 +00001100[00000110] pys--. : Port=0 WR @06=1088218E62327C4A13 +00001100[00000110] pys--. : Port=0 RD @01 +00001110[00000111] pys--. : R0: 1 01 A9508B353A09704758 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 28FB706826D36C9543 +00001110[00000111] pys--. : W0: 1 06 1088218E62327C4A13 W1: 0 00 000000000000000000 +00001110[00000111] pys--. : Port=0 WR @00=B3A3D52DD28F54A95C +00001110[00000111] pys--. : Port=1 WR @05=9BCEDA3674D2D3D7FB +00001110[00000111] pys--. : Port=0 RD @06 +00001110[00000111] pys--. : Port=1 RD @04 +00001110[00000111] pys--. : Port=2 RD @04 +00001120[00000112] pys--. : R0: 1 06 1088218E62327C4A13 R1: 1 04 B07DD62B8F872CBBB6 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00001120[00000112] pys--. : W0: 1 00 B3A3D52DD28F54A95C W1: 1 05 9BCEDA3674D2D3D7FB +00001120[00000112] pys--. : Port=1 WR @02=4A59FD81934B5855E8 +00001130[00000113] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 B07DD62B8F872CBBB6 R3: 0 00 000000000000000000 +00001130[00000113] pys--. : W0: 0 00 000000000000000000 W1: 1 02 4A59FD81934B5855E8 +00001130[00000113] pys--. : Port=1 WR @01=3FE660D3E0FE359708 +00001130[00000113] pys--. : Port=3 RD @00 +00001140[00000114] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 00 000000000000000000 +00001140[00000114] pys--. : W0: 0 00 000000000000000000 W1: 1 01 3FE660D3E0FE359708 +00001140[00000114] pys--. : Port=0 WR @02=DC2D4BA68C8AE75BF5 +00001140[00000114] pys--. : Port=1 WR @00=EA1A987F533C98B5F2 +00001150[00000115] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 B3A3D52DD28F54A95C +00001150[00000115] pys--. : W0: 1 02 DC2D4BA68C8AE75BF5 W1: 1 00 EA1A987F533C98B5F2 +00001150[00000115] pys--. : Port=2 RD @06 +00001160[00000116] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00001160[00000116] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001160[00000116] pys--. : Port=1 WR @00=694C61EC9E350687F5 +00001160[00000116] pys--. : Port=0 RD @01 +00001160[00000116] pys--. : Port=3 RD @03 +00001170[00000117] pys--. : R0: 1 01 3FE660D3E0FE359708 R1: 0 00 000000000000000000 R2: 0 00 1088218E62327C4A13 R3: 1 03 000000000000000000 +00001170[00000117] pys--. : W0: 0 00 000000000000000000 W1: 1 00 694C61EC9E350687F5 +00001170[00000117] pys--. : Port=1 WR @03=E3E1BE9146D694A4C8 +00001170[00000117] pys--. : Port=2 RD @05 +00001180[00000118] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 0 00 8F0FC999A82BEBB52D +00001180[00000118] pys--. : W0: 0 00 000000000000000000 W1: 1 03 E3E1BE9146D694A4C8 +00001180[00000118] pys--. : Port=1 WR @07=D967A6502D7C3A45A6 +00001180[00000118] pys--. : Port=1 RD @03 +00001180[00000118] pys--. : Port=3 RD @05 +00001190[00000119] pys--. : R0: 0 00 000000000000000000 R1: 1 03 E3E1BE9146D694A4C8 R2: 0 00 9BCEDA3674D2D3D7FB R3: 1 05 000000000000000000 +00001190[00000119] pys--. : W0: 0 00 000000000000000000 W1: 1 07 D967A6502D7C3A45A6 +00001190[00000119] pys--. : Port=0 WR @06=F67F3A33F97C430277 +00001190[00000119] pys--. : Port=1 WR @00=E8ED5C977C8A588D43 +00001200[00000120] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 9BCEDA3674D2D3D7FB +00001200[00000120] pys--. : W0: 1 06 F67F3A33F97C430277 W1: 1 00 E8ED5C977C8A588D43 +00001200[00000120] pys--. : Port=1 WR @02=8380ECF655BDD52CD2 +00001200[00000120] pys--. : Port=3 RD @00 +00001210[00000121] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 00 000000000000000000 +00001210[00000121] pys--. : W0: 0 00 000000000000000000 W1: 1 02 8380ECF655BDD52CD2 +00001220[00000122] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 E8ED5C977C8A588D43 +00001220[00000122] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001220[00000122] pys--. : Port=0 WR @04=424DBC72D695BA40C1 +00001220[00000122] pys--. : Port=1 WR @01=1AB5468FF13CB3EA1E +00001220[00000122] pys--. : Port=2 RD @02 +00001230[00000123] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00001230[00000123] pys--. : W0: 1 04 424DBC72D695BA40C1 W1: 1 01 1AB5468FF13CB3EA1E +00001230[00000123] pys--. : Port=1 WR @04=48456FE2651CA1D629 +00001230[00000123] pys--. : Port=0 RD @02 +00001230[00000123] pys--. : Port=3 RD @03 +00001240[00000124] pys--. : R0: 1 02 8380ECF655BDD52CD2 R1: 0 00 000000000000000000 R2: 0 00 8380ECF655BDD52CD2 R3: 1 03 000000000000000000 +00001240[00000124] pys--. : W0: 0 00 000000000000000000 W1: 1 04 48456FE2651CA1D629 +00001240[00000124] pys--. : Port=2 RD @04 +00001240[00000124] pys--. : Port=3 RD @06 +00001250[00000125] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 1 06 E3E1BE9146D694A4C8 +00001250[00000125] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001250[00000125] pys--. : Port=2 RD @00 +00001250[00000125] pys--. : Port=3 RD @00 +00001260[00000126] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 48456FE2651CA1D629 R3: 1 00 F67F3A33F97C430277 +00001260[00000126] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001260[00000126] pys--. : Port=1 WR @03=315BB1928405038D54 +00001260[00000126] pys--. : Port=1 RD @00 +00001260[00000126] pys--. : Port=3 RD @07 +00001270[00000127] pys--. : R0: 0 00 000000000000000000 R1: 1 00 E8ED5C977C8A588D43 R2: 0 00 E8ED5C977C8A588D43 R3: 1 07 E8ED5C977C8A588D43 +00001270[00000127] pys--. : W0: 0 00 000000000000000000 W1: 1 03 315BB1928405038D54 +00001270[00000127] pys--. : Port=0 RD @04 +00001270[00000127] pys--. : Port=1 RD @01 +00001270[00000127] pys--. : Port=2 RD @01 +00001270[00000127] pys--. : Port=3 RD @03 +00001280[00000128] pys--. : R0: 1 04 48456FE2651CA1D629 R1: 1 01 1AB5468FF13CB3EA1E R2: 1 01 000000000000000000 R3: 1 03 D967A6502D7C3A45A6 +00001280[00000128] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001280[00000128] pys--. : Port=1 WR @03=2A725AADB3E503CA38 +00001280[00000128] pys--. : Port=0 RD @01 +00001280[00000128] pys--. : Port=1 RD @07 +00001280[00000128] pys--. : Port=3 RD @04 +00001290[00000129] pys--. : R0: 1 01 1AB5468FF13CB3EA1E R1: 1 07 D967A6502D7C3A45A6 R2: 0 00 1AB5468FF13CB3EA1E R3: 1 04 315BB1928405038D54 +00001290[00000129] pys--. : W0: 0 00 000000000000000000 W1: 1 03 2A725AADB3E503CA38 +00001290[00000129] pys--. : Port=1 WR @01=7A0D2DD69739F8629A +00001290[00000129] pys--. : Port=0 RD @00 +00001300[00000130] pys--. : R0: 1 00 E8ED5C977C8A588D43 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 48456FE2651CA1D629 +00001300[00000130] pys--. : W0: 0 00 000000000000000000 W1: 1 01 7A0D2DD69739F8629A +00001300[00000130] pys--. : Port=1 WR @07=2923C056910CDC90DD +00001300[00000130] pys--. : Port=1 RD @03 +00001300[00000130] pys--. : Port=3 RD @01 +00001310[00000131] pys--. : R0: 0 00 000000000000000000 R1: 1 03 2A725AADB3E503CA38 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00001310[00000131] pys--. : W0: 0 00 000000000000000000 W1: 1 07 2923C056910CDC90DD +00001310[00000131] pys--. : Port=0 WR @05=FF717BDD9CC5E6F606 +00001310[00000131] pys--. : Port=2 RD @03 +00001320[00000132] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 7A0D2DD69739F8629A +00001320[00000132] pys--. : W0: 1 05 FF717BDD9CC5E6F606 W1: 0 00 000000000000000000 +00001320[00000132] pys--. : Port=1 WR @06=C39EC3B83539534BA +00001320[00000132] pys--. : Port=2 RD @03 +00001330[00000133] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 2A725AADB3E503CA38 R3: 0 00 000000000000000000 +00001330[00000133] pys--. : W0: 0 00 000000000000000000 W1: 1 06 0C39EC3B83539534BA +00001330[00000133] pys--. : Port=0 WR @00=1AED8F3EBF0B59B3DC +00001330[00000133] pys--. : Port=1 RD @02 +00001330[00000133] pys--. : Port=2 RD @04 +00001340[00000134] pys--. : R0: 0 00 000000000000000000 R1: 1 02 8380ECF655BDD52CD2 R2: 1 04 2A725AADB3E503CA38 R3: 0 00 000000000000000000 +00001340[00000134] pys--. : W0: 1 00 1AED8F3EBF0B59B3DC W1: 0 00 000000000000000000 +00001340[00000134] pys--. : Port=0 WR @00=A7C45159944A7FB1DB +00001340[00000134] pys--. : Port=1 WR @01=75D33D97D7A716E8CF +00001340[00000134] pys--. : Port=0 RD @06 +00001340[00000134] pys--. : Port=2 RD @04 +00001350[00000135] pys--. : R0: 1 06 0C39EC3B83539534BA R1: 0 00 000000000000000000 R2: 1 04 48456FE2651CA1D629 R3: 0 00 000000000000000000 +00001350[00000135] pys--. : W0: 1 00 A7C45159944A7FB1DB W1: 1 01 75D33D97D7A716E8CF +00001350[00000135] pys--. : Port=1 WR @06=226ED66EE1C553157D +00001360[00000136] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 48456FE2651CA1D629 R3: 0 00 000000000000000000 +00001360[00000136] pys--. : W0: 0 00 000000000000000000 W1: 1 06 226ED66EE1C553157D +00001360[00000136] pys--. : Port=0 RD @04 +00001370[00000137] pys--. : R0: 1 04 48456FE2651CA1D629 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001370[00000137] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001370[00000137] pys--. : Port=1 WR @02=765C48515970A6680B +00001370[00000137] pys--. : Port=1 RD @07 +00001370[00000137] pys--. : Port=3 RD @06 +00001380[00000138] pys--. : R0: 0 00 000000000000000000 R1: 1 07 2923C056910CDC90DD R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00001380[00000138] pys--. : W0: 0 00 000000000000000000 W1: 1 02 765C48515970A6680B +00001380[00000138] pys--. : Port=1 WR @04=1690A2E93A5BB757DD +00001380[00000138] pys--. : Port=0 RD @00 +00001380[00000138] pys--. : Port=2 RD @01 +00001390[00000139] pys--. : R0: 1 00 A7C45159944A7FB1DB R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 226ED66EE1C553157D +00001390[00000139] pys--. : W0: 0 00 000000000000000000 W1: 1 04 1690A2E93A5BB757DD +00001390[00000139] pys--. : Port=1 WR @06=F40901DA993CAAC27C +00001390[00000139] pys--. : Port=0 RD @04 +00001390[00000139] pys--. : Port=1 RD @01 +00001400[00000140] pys--. : R0: 1 04 1690A2E93A5BB757DD R1: 1 01 75D33D97D7A716E8CF R2: 0 00 75D33D97D7A716E8CF R3: 0 00 000000000000000000 +00001400[00000140] pys--. : W0: 0 00 000000000000000000 W1: 1 06 F40901DA993CAAC27C +00001400[00000140] pys--. : Port=1 RD @01 +00001410[00000141] pys--. : R0: 0 00 000000000000000000 R1: 1 01 75D33D97D7A716E8CF R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001410[00000141] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001410[00000141] pys--. : Port=0 RD @04 +00001410[00000141] pys--. : Port=1 RD @03 +00001410[00000141] pys--. : Port=2 RD @00 +00001410[00000141] pys--. : Port=3 RD @05 +00001420[00000142] pys--. : R0: 1 04 1690A2E93A5BB757DD R1: 1 03 2A725AADB3E503CA38 R2: 1 00 000000000000000000 R3: 1 05 000000000000000000 +00001420[00000142] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001420[00000142] pys--. : Port=0 WR @06=E1AE32765747A462F2 +00001420[00000142] pys--. : Port=1 WR @00=F6C3C7D32D0F381C7E +00001420[00000142] pys--. : Port=1 RD @04 +00001430[00000143] pys--. : R0: 0 00 000000000000000000 R1: 1 04 1690A2E93A5BB757DD R2: 0 00 A7C45159944A7FB1DB R3: 0 00 FF717BDD9CC5E6F606 +00001430[00000143] pys--. : W0: 1 06 E1AE32765747A462F2 W1: 1 00 F6C3C7D32D0F381C7E +00001430[00000143] pys--. : Port=1 RD @06 +00001440[00000144] pys--. : R0: 0 00 000000000000000000 R1: 1 06 E1AE32765747A462F2 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001440[00000144] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001440[00000144] pys--. : Port=0 RD @03 +00001440[00000144] pys--. : Port=1 RD @07 +00001440[00000144] pys--. : Port=2 RD @05 +00001450[00000145] pys--. : R0: 1 03 2A725AADB3E503CA38 R1: 1 07 2923C056910CDC90DD R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00001450[00000145] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001450[00000145] pys--. : Port=0 WR @00=38A207995A5EE45EC3 +00001450[00000145] pys--. : Port=0 RD @02 +00001460[00000146] pys--. : R0: 1 02 765C48515970A6680B R1: 0 00 000000000000000000 R2: 0 00 FF717BDD9CC5E6F606 R3: 0 00 000000000000000000 +00001460[00000146] pys--. : W0: 1 00 38A207995A5EE45EC3 W1: 0 00 000000000000000000 +00001460[00000146] pys--. : Port=0 WR @00=62450527340E6BCD3F +00001460[00000146] pys--. : Port=0 RD @03 +00001460[00000146] pys--. : Port=2 RD @06 +00001470[00000147] pys--. : R0: 1 03 2A725AADB3E503CA38 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00001470[00000147] pys--. : W0: 1 00 62450527340E6BCD3F W1: 0 00 000000000000000000 +00001470[00000147] pys--. : Port=0 WR @01=FE0CFF6CC53F8B2DE2 +00001480[00000148] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 E1AE32765747A462F2 R3: 0 00 000000000000000000 +00001480[00000148] pys--. : W0: 1 01 FE0CFF6CC53F8B2DE2 W1: 0 00 000000000000000000 +00001480[00000148] pys--. : Port=0 WR @01=447AD7867E3BD99068 +00001480[00000148] pys--. : Port=1 RD @03 +00001480[00000148] pys--. : Port=3 RD @04 +00001490[00000149] pys--. : R0: 0 00 000000000000000000 R1: 1 03 2A725AADB3E503CA38 R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00001490[00000149] pys--. : W0: 1 01 447AD7867E3BD99068 W1: 0 00 000000000000000000 +00001490[00000149] pys--. : Port=1 WR @05=EF715AEB168D2E61BE +00001490[00000149] pys--. : Port=0 RD @07 +00001500[00000150] pys--. : R0: 1 07 2923C056910CDC90DD R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 1690A2E93A5BB757DD +00001500[00000150] pys--. : W0: 0 00 000000000000000000 W1: 1 05 EF715AEB168D2E61BE +00001500[00000150] pys--. : Port=1 RD @07 +00001500[00000150] pys--. : Port=3 RD @04 +00001510[00000151] pys--. : R0: 0 00 000000000000000000 R1: 1 07 2923C056910CDC90DD R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00001510[00000151] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001510[00000151] pys--. : Port=1 RD @02 +00001510[00000151] pys--. : Port=3 RD @05 +00001520[00000152] pys--. : R0: 0 00 000000000000000000 R1: 1 02 765C48515970A6680B R2: 0 00 000000000000000000 R3: 1 05 1690A2E93A5BB757DD +00001520[00000152] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001520[00000152] pys--. : Port=0 WR @00=ABCF785C2E151552A0 +00001520[00000152] pys--. : Port=2 RD @01 +00001520[00000152] pys--. : Port=3 RD @02 +00001530[00000153] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 02 EF715AEB168D2E61BE +00001530[00000153] pys--. : W0: 1 00 ABCF785C2E151552A0 W1: 0 00 000000000000000000 +00001530[00000153] pys--. : Port=1 WR @06=708BC825EEFE5D74BC +00001530[00000153] pys--. : Port=0 RD @07 +00001530[00000153] pys--. : Port=1 RD @01 +00001540[00000154] pys--. : R0: 1 07 2923C056910CDC90DD R1: 1 01 447AD7867E3BD99068 R2: 0 00 447AD7867E3BD99068 R3: 0 00 765C48515970A6680B +00001540[00000154] pys--. : W0: 0 00 000000000000000000 W1: 1 06 708BC825EEFE5D74BC +00001540[00000154] pys--. : Port=1 RD @05 +00001540[00000154] pys--. : Port=2 RD @05 +00001550[00000155] pys--. : R0: 0 00 000000000000000000 R1: 1 05 EF715AEB168D2E61BE R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00001550[00000155] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001550[00000155] pys--. : Port=1 WR @03=69E908398C4A84F809 +00001550[00000155] pys--. : Port=0 RD @04 +00001550[00000155] pys--. : Port=1 RD @00 +00001550[00000155] pys--. : Port=3 RD @00 +00001560[00000156] pys--. : R0: 1 04 1690A2E93A5BB757DD R1: 1 00 ABCF785C2E151552A0 R2: 0 00 EF715AEB168D2E61BE R3: 1 00 000000000000000000 +00001560[00000156] pys--. : W0: 0 00 000000000000000000 W1: 1 03 69E908398C4A84F809 +00001560[00000156] pys--. : Port=1 WR @02=99896FD732773FFD0F +00001560[00000156] pys--. : Port=3 RD @01 +00001570[00000157] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 ABCF785C2E151552A0 +00001570[00000157] pys--. : W0: 0 00 000000000000000000 W1: 1 02 99896FD732773FFD0F +00001570[00000157] pys--. : Port=0 RD @04 +00001570[00000157] pys--. : Port=1 RD @00 +00001570[00000157] pys--. : Port=2 RD @01 +00001570[00000157] pys--. : Port=3 RD @03 +00001580[00000158] pys--. : R0: 1 04 1690A2E93A5BB757DD R1: 1 00 ABCF785C2E151552A0 R2: 1 01 000000000000000000 R3: 1 03 447AD7867E3BD99068 +00001580[00000158] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001580[00000158] pys--. : Port=0 WR @01=144ACD815623E78211 +00001580[00000158] pys--. : Port=2 RD @02 +00001590[00000159] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 447AD7867E3BD99068 R3: 0 00 69E908398C4A84F809 +00001590[00000159] pys--. : W0: 1 01 144ACD815623E78211 W1: 0 00 000000000000000000 +00001590[00000159] pys--. : Port=0 WR @02=FC31B939B045EE154C +00001590[00000159] pys--. : Port=0 RD @01 +00001600[00000160] pys--. : R0: 1 01 144ACD815623E78211 R1: 0 00 000000000000000000 R2: 0 00 99896FD732773FFD0F R3: 0 00 000000000000000000 +00001600[00000160] pys--. : W0: 1 02 FC31B939B045EE154C W1: 0 00 000000000000000000 +00001600[00000160] pys--. : Port=2 RD @06 +00001610[00000161] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00001610[00000161] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001610[00000161] pys--. : Port=1 WR @01=24C09451BF4C2A83B +00001610[00000161] pys--. : Port=0 RD @02 +00001620[00000162] pys--. : R0: 1 02 FC31B939B045EE154C R1: 0 00 000000000000000000 R2: 0 00 708BC825EEFE5D74BC R3: 0 00 000000000000000000 +00001620[00000162] pys--. : W0: 0 00 000000000000000000 W1: 1 01 024C09451BF4C2A83B +00001620[00000162] pys--. : Port=0 WR @04=60ED80586276C07C95 +00001620[00000162] pys--. : Port=1 WR @01=44F9EA66E998FE8225 +00001620[00000162] pys--. : Port=1 RD @02 +00001630[00000163] pys--. : R0: 0 00 000000000000000000 R1: 1 02 FC31B939B045EE154C R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001630[00000163] pys--. : W0: 1 04 60ED80586276C07C95 W1: 1 01 44F9EA66E998FE8225 +00001630[00000163] pys--. : Port=0 WR @03=F95EAF05BB2FB0080D +00001630[00000163] pys--. : Port=1 WR @07=3A22CA457C708646B3 +00001630[00000163] pys--. : Port=2 RD @06 +00001640[00000164] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00001640[00000164] pys--. : W0: 1 03 F95EAF05BB2FB0080D W1: 1 07 3A22CA457C708646B3 +00001640[00000164] pys--. : Port=1 RD @00 +00001640[00000164] pys--. : Port=2 RD @01 +00001650[00000165] pys--. : R0: 0 00 000000000000000000 R1: 1 00 ABCF785C2E151552A0 R2: 1 01 708BC825EEFE5D74BC R3: 0 00 000000000000000000 +00001650[00000165] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001650[00000165] pys--. : Port=0 RD @01 +00001650[00000165] pys--. : Port=1 RD @07 +00001650[00000165] pys--. : Port=2 RD @07 +00001660[00000166] pys--. : R0: 1 01 44F9EA66E998FE8225 R1: 1 07 3A22CA457C708646B3 R2: 1 07 44F9EA66E998FE8225 R3: 0 00 000000000000000000 +00001660[00000166] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001660[00000166] pys--. : Port=0 WR @04=BF10A666F83842545A +00001660[00000166] pys--. : Port=2 RD @02 +00001660[00000166] pys--. : Port=3 RD @06 +00001670[00000167] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 3A22CA457C708646B3 R3: 1 06 000000000000000000 +00001670[00000167] pys--. : W0: 1 04 BF10A666F83842545A W1: 0 00 000000000000000000 +00001670[00000167] pys--. : Port=1 RD @07 +00001670[00000167] pys--. : Port=2 RD @05 +00001670[00000167] pys--. : Port=3 RD @00 +00001680[00000168] pys--. : R0: 0 00 000000000000000000 R1: 1 07 3A22CA457C708646B3 R2: 1 05 FC31B939B045EE154C R3: 1 00 708BC825EEFE5D74BC +00001680[00000168] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001680[00000168] pys--. : Port=1 WR @01=3EA86FF4ED37A7AAA5 +00001690[00000169] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 EF715AEB168D2E61BE R3: 0 00 ABCF785C2E151552A0 +00001690[00000169] pys--. : W0: 0 00 000000000000000000 W1: 1 01 3EA86FF4ED37A7AAA5 +00001690[00000169] pys--. : Port=0 WR @07=AF1987969267D493B4 +00001690[00000169] pys--. : Port=1 RD @05 +00001700[00000170] pys--. : R0: 0 00 000000000000000000 R1: 1 05 EF715AEB168D2E61BE R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001700[00000170] pys--. : W0: 1 07 AF1987969267D493B4 W1: 0 00 000000000000000000 +00001700[00000170] pys--. : Port=0 RD @03 +00001700[00000170] pys--. : Port=3 RD @06 +00001710[00000171] pys--. : R0: 1 03 F95EAF05BB2FB0080D R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00001710[00000171] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001710[00000171] pys--. : Port=0 WR @01=6435AA13DA86F68201 +00001710[00000171] pys--. : Port=1 WR @00=BFF4BBE262332AB708 +00001710[00000171] pys--. : Port=3 RD @02 +00001720[00000172] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 708BC825EEFE5D74BC +00001720[00000172] pys--. : W0: 1 01 6435AA13DA86F68201 W1: 1 00 BFF4BBE262332AB708 +00001720[00000172] pys--. : Port=0 WR @01=B9ECB10CBC4BC72677 +00001720[00000172] pys--. : Port=1 RD @07 +00001720[00000172] pys--. : Port=2 RD @00 +00001730[00000173] pys--. : R0: 0 00 000000000000000000 R1: 1 07 AF1987969267D493B4 R2: 1 00 000000000000000000 R3: 0 00 FC31B939B045EE154C +00001730[00000173] pys--. : W0: 1 01 B9ECB10CBC4BC72677 W1: 0 00 000000000000000000 +00001730[00000173] pys--. : Port=1 RD @06 +00001740[00000174] pys--. : R0: 0 00 000000000000000000 R1: 1 06 708BC825EEFE5D74BC R2: 0 00 BFF4BBE262332AB708 R3: 0 00 000000000000000000 +00001740[00000174] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001740[00000174] pys--. : Port=0 WR @02=90BFB781693C0D255F +00001740[00000174] pys--. : Port=3 RD @01 +00001750[00000175] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00001750[00000175] pys--. : W0: 1 02 90BFB781693C0D255F W1: 0 00 000000000000000000 +00001750[00000175] pys--. : Port=3 RD @06 +00001760[00000176] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 B9ECB10CBC4BC72677 +00001760[00000176] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001760[00000176] pys--. : Port=0 WR @05=EEE5C0862AA41486B5 +00001760[00000176] pys--. : Port=1 WR @05=DAB83295168805C5AF +00001760[00000176] pys--. : Port=2 RD @04 +00001760[00000176] pys--. : Port=3 RD @04 +00001770[00000177] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 1 04 708BC825EEFE5D74BC +00001770[00000177] pys--. : W0: 1 05 EEE5C0862AA41486B5 W1: 1 05 DAB83295168805C5AF +00001770[00000177] pys--. : Port=1 WR @01=5A0854120FB57D5440 +00001770[00000177] pys--. : Port=0 RD @02 +00001770[00000177] pys--. : Port=1 RD @03 +00001780[00000178] pys--. : R0: 1 02 90BFB781693C0D255F R1: 1 03 F95EAF05BB2FB0080D R2: 0 00 BF10A666F83842545A R3: 0 00 BF10A666F83842545A +00001780[00000178] pys--. : W0: 0 00 000000000000000000 W1: 1 01 5A0854120FB57D5440 +00001780[00000178] pys--. : Port=0 RD @06 +00001790[00000179] pys--. : R0: 1 06 708BC825EEFE5D74BC R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001790[00000179] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001790[00000179] pys--. : Port=0 WR @05=FF31BBE0611A5E388B +00001790[00000179] pys--. : Port=1 WR @00=57FF0EC3D0CCAC10A4 +00001790[00000179] pys--. : Port=1 RD @06 +00001800[00000180] pys--. : R0: 0 00 000000000000000000 R1: 1 06 708BC825EEFE5D74BC R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001800[00000180] pys--. : W0: 1 05 FF31BBE0611A5E388B W1: 1 00 57FF0EC3D0CCAC10A4 +00001800[00000180] pys--. : Port=0 WR @00=66E9DBE94329448AB9 +00001800[00000180] pys--. : Port=1 RD @05 +00001800[00000180] pys--. : Port=3 RD @05 +00001810[00000181] pys--. : R0: 0 00 000000000000000000 R1: 1 05 FF31BBE0611A5E388B R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00001810[00000181] pys--. : W0: 1 00 66E9DBE94329448AB9 W1: 0 00 000000000000000000 +00001810[00000181] pys--. : Port=0 RD @01 +00001810[00000181] pys--. : Port=2 RD @01 +00001810[00000181] pys--. : Port=3 RD @03 +00001820[00000182] pys--. : R0: 1 01 5A0854120FB57D5440 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 03 FF31BBE0611A5E388B +00001820[00000182] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001820[00000182] pys--. : Port=1 WR @02=3E6A74419644F9A101 +00001820[00000182] pys--. : Port=0 RD @04 +00001830[00000183] pys--. : R0: 1 04 BF10A666F83842545A R1: 0 00 000000000000000000 R2: 0 00 5A0854120FB57D5440 R3: 0 00 F95EAF05BB2FB0080D +00001830[00000183] pys--. : W0: 0 00 000000000000000000 W1: 1 02 3E6A74419644F9A101 +00001830[00000183] pys--. : Port=0 WR @06=CC51C991F9B20771A7 +00001830[00000183] pys--. : Port=0 RD @05 +00001840[00000184] pys--. : R0: 1 05 FF31BBE0611A5E388B R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001840[00000184] pys--. : W0: 1 06 CC51C991F9B20771A7 W1: 0 00 000000000000000000 +00001840[00000184] pys--. : Port=1 WR @04=96E0A8A83199FD73E4 +00001840[00000184] pys--. : Port=0 RD @05 +00001840[00000184] pys--. : Port=3 RD @07 +00001850[00000185] pys--. : R0: 1 05 FF31BBE0611A5E388B R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 07 000000000000000000 +00001850[00000185] pys--. : W0: 0 00 000000000000000000 W1: 1 04 96E0A8A83199FD73E4 +00001850[00000185] pys--. : Port=1 RD @06 +00001860[00000186] pys--. : R0: 0 00 000000000000000000 R1: 1 06 CC51C991F9B20771A7 R2: 0 00 000000000000000000 R3: 0 00 AF1987969267D493B4 +00001860[00000186] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001860[00000186] pys--. : Port=1 WR @03=FBFB8606E6708B1CB0 +00001860[00000186] pys--. : Port=0 RD @04 +00001860[00000186] pys--. : Port=1 RD @01 +00001860[00000186] pys--. : Port=3 RD @02 +00001870[00000187] pys--. : R0: 1 04 96E0A8A83199FD73E4 R1: 1 01 5A0854120FB57D5440 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00001870[00000187] pys--. : W0: 0 00 000000000000000000 W1: 1 03 FBFB8606E6708B1CB0 +00001870[00000187] pys--. : Port=0 RD @04 +00001870[00000187] pys--. : Port=3 RD @01 +00001880[00000188] pys--. : R0: 1 04 96E0A8A83199FD73E4 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 3E6A74419644F9A101 +00001880[00000188] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001880[00000188] pys--. : Port=0 WR @05=CB30203A5F4A9CF53B +00001880[00000188] pys--. : Port=2 RD @01 +00001890[00000189] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 5A0854120FB57D5440 +00001890[00000189] pys--. : W0: 1 05 CB30203A5F4A9CF53B W1: 0 00 000000000000000000 +00001890[00000189] pys--. : Port=0 WR @02=DB2BCD134F85E07C18 +00001890[00000189] pys--. : Port=1 WR @05=14DFA03B18D953F177 +00001890[00000189] pys--. : Port=1 RD @03 +00001900[00000190] pys--. : R0: 0 00 000000000000000000 R1: 1 03 FBFB8606E6708B1CB0 R2: 0 00 5A0854120FB57D5440 R3: 0 00 000000000000000000 +00001900[00000190] pys--. : W0: 1 02 DB2BCD134F85E07C18 W1: 1 05 14DFA03B18D953F177 +00001900[00000190] pys--. : Port=1 WR @07=2EA68E94FDAE2AAB88 +00001900[00000190] pys--. : Port=1 RD @02 +00001910[00000191] pys--. : R0: 0 00 000000000000000000 R1: 1 02 DB2BCD134F85E07C18 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001910[00000191] pys--. : W0: 0 00 000000000000000000 W1: 1 07 2EA68E94FDAE2AAB88 +00001910[00000191] pys--. : Port=0 WR @01=1504B6B1C2AD974306 +00001910[00000191] pys--. : Port=1 WR @04=75FF1FCFE85A0F82CE +00001910[00000191] pys--. : Port=0 RD @02 +00001910[00000191] pys--. : Port=1 RD @02 +00001920[00000192] pys--. : R0: 1 02 DB2BCD134F85E07C18 R1: 1 02 DB2BCD134F85E07C18 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001920[00000192] pys--. : W0: 1 01 1504B6B1C2AD974306 W1: 1 04 75FF1FCFE85A0F82CE +00001920[00000192] pys--. : Port=0 WR @07=F2E1A2B8E2231B6986 +00001930[00000193] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001930[00000193] pys--. : W0: 1 07 F2E1A2B8E2231B6986 W1: 0 00 000000000000000000 +00001930[00000193] pys--. : Port=0 RD @04 +00001930[00000193] pys--. : Port=1 RD @07 +00001940[00000194] pys--. : R0: 1 04 75FF1FCFE85A0F82CE R1: 1 07 F2E1A2B8E2231B6986 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001940[00000194] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001940[00000194] pys--. : Port=1 WR @02=7E009929C30B17983B +00001950[00000195] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001950[00000195] pys--. : W0: 0 00 000000000000000000 W1: 1 02 7E009929C30B17983B +00001950[00000195] pys--. : Port=0 RD @03 +00001950[00000195] pys--. : Port=1 RD @02 +00001960[00000196] pys--. : R0: 1 03 FBFB8606E6708B1CB0 R1: 1 02 7E009929C30B17983B R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00001960[00000196] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001960[00000196] pys--. : Port=0 RD @03 +00001960[00000196] pys--. : Port=1 RD @00 +00001960[00000196] pys--. : Port=2 RD @00 +00001970[00000197] pys--. : R0: 1 03 FBFB8606E6708B1CB0 R1: 1 00 66E9DBE94329448AB9 R2: 1 00 000000000000000000 R3: 0 00 000000000000000000 +00001970[00000197] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001970[00000197] pys--. : Port=0 RD @01 +00001980[00000198] pys--. : R0: 1 01 1504B6B1C2AD974306 R1: 0 00 000000000000000000 R2: 0 00 66E9DBE94329448AB9 R3: 0 00 000000000000000000 +00001980[00000198] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001980[00000198] pys--. : Port=3 RD @01 +00001990[00000199] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00001990[00000199] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00001990[00000199] pys--. : Port=0 WR @02=433675A847DF00AA68 +00001990[00000199] pys--. : Port=1 WR @05=72A35B7A4B82A77664 +00001990[00000199] pys--. : Port=2 RD @07 +00002000[00000200] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 0 00 1504B6B1C2AD974306 +00002000[00000200] pys--. : W0: 1 02 433675A847DF00AA68 W1: 1 05 72A35B7A4B82A77664 +00002000[00000200] pys--. : Port=0 WR @00=65CD45C177D98497F2 +00002000[00000200] pys--. : Port=0 RD @07 +00002000[00000200] pys--. : Port=2 RD @07 +00002010[00000201] pys--. : R0: 1 07 F2E1A2B8E2231B6986 R1: 0 00 000000000000000000 R2: 1 07 F2E1A2B8E2231B6986 R3: 0 00 000000000000000000 +00002010[00000201] pys--. : W0: 1 00 65CD45C177D98497F2 W1: 0 00 000000000000000000 +00002010[00000201] pys--. : Port=0 WR @01=DFC81B31FC5E091E1C +00002010[00000201] pys--. : Port=1 WR @05=971622F8A2A3651D0A +00002010[00000201] pys--. : Port=1 RD @00 +00002020[00000202] pys--. : R0: 0 00 000000000000000000 R1: 1 00 65CD45C177D98497F2 R2: 0 00 F2E1A2B8E2231B6986 R3: 0 00 000000000000000000 +00002020[00000202] pys--. : W0: 1 01 DFC81B31FC5E091E1C W1: 1 05 971622F8A2A3651D0A +00002020[00000202] pys--. : Port=0 WR @02=8C627830868C434113 +00002020[00000202] pys--. : Port=1 RD @04 +00002020[00000202] pys--. : Port=2 RD @05 +00002030[00000203] pys--. : R0: 0 00 000000000000000000 R1: 1 04 75FF1FCFE85A0F82CE R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00002030[00000203] pys--. : W0: 1 02 8C627830868C434113 W1: 0 00 000000000000000000 +00002030[00000203] pys--. : Port=1 WR @02=FE69F51A45087B5A83 +00002030[00000203] pys--. : Port=0 RD @05 +00002040[00000204] pys--. : R0: 1 05 971622F8A2A3651D0A R1: 0 00 000000000000000000 R2: 0 00 971622F8A2A3651D0A R3: 0 00 000000000000000000 +00002040[00000204] pys--. : W0: 0 00 000000000000000000 W1: 1 02 FE69F51A45087B5A83 +00002040[00000204] pys--. : Port=0 WR @07=9A0B1C8A16DC5DF55F +00002050[00000205] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002050[00000205] pys--. : W0: 1 07 9A0B1C8A16DC5DF55F W1: 0 00 000000000000000000 +00002050[00000205] pys--. : Port=1 WR @01=3CA6FF033080310C65 +00002050[00000205] pys--. : Port=1 RD @06 +00002060[00000206] pys--. : R0: 0 00 000000000000000000 R1: 1 06 CC51C991F9B20771A7 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002060[00000206] pys--. : W0: 0 00 000000000000000000 W1: 1 01 3CA6FF033080310C65 +00002060[00000206] pys--. : Port=0 RD @02 +00002060[00000206] pys--. : Port=3 RD @02 +00002070[00000207] pys--. : R0: 1 02 FE69F51A45087B5A83 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00002070[00000207] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002070[00000207] pys--. : Port=0 WR @03=D1B3C2E45D12D5A578 +00002070[00000207] pys--. : Port=3 RD @06 +00002080[00000208] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 FE69F51A45087B5A83 +00002080[00000208] pys--. : W0: 1 03 D1B3C2E45D12D5A578 W1: 0 00 000000000000000000 +00002080[00000208] pys--. : Port=0 WR @07=AAE4B4CB7CF1840EB7 +00002080[00000208] pys--. : Port=1 WR @02=549B5745A444618638 +00002080[00000208] pys--. : Port=1 RD @06 +00002080[00000208] pys--. : Port=2 RD @01 +00002090[00000209] pys--. : R0: 0 00 000000000000000000 R1: 1 06 CC51C991F9B20771A7 R2: 1 01 000000000000000000 R3: 0 00 CC51C991F9B20771A7 +00002090[00000209] pys--. : W0: 1 07 AAE4B4CB7CF1840EB7 W1: 1 02 549B5745A444618638 +00002090[00000209] pys--. : Port=1 RD @03 +00002090[00000209] pys--. : Port=2 RD @06 +00002090[00000209] pys--. : Port=3 RD @07 +00002100[00000210] pys--. : R0: 0 00 000000000000000000 R1: 1 03 D1B3C2E45D12D5A578 R2: 1 06 3CA6FF033080310C65 R3: 1 07 000000000000000000 +00002100[00000210] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002100[00000210] pys--. : Port=1 WR @00=9E329DF96DB62FEBBB +00002100[00000210] pys--. : Port=0 RD @02 +00002110[00000211] pys--. : R0: 1 02 549B5745A444618638 R1: 0 00 000000000000000000 R2: 0 00 CC51C991F9B20771A7 R3: 0 00 AAE4B4CB7CF1840EB7 +00002110[00000211] pys--. : W0: 0 00 000000000000000000 W1: 1 00 9E329DF96DB62FEBBB +00002110[00000211] pys--. : Port=0 WR @07=ADA67D38A352C46548 +00002120[00000212] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002120[00000212] pys--. : W0: 1 07 ADA67D38A352C46548 W1: 0 00 000000000000000000 +00002120[00000212] pys--. : Port=0 RD @00 +00002120[00000212] pys--. : Port=3 RD @02 +00002130[00000213] pys--. : R0: 1 00 9E329DF96DB62FEBBB R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00002130[00000213] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002130[00000213] pys--. : Port=0 WR @07=5AE1E86C0F9C2DFD3E +00002130[00000213] pys--. : Port=1 WR @05=7898B1AE7C319E2C6C +00002130[00000213] pys--. : Port=1 RD @00 +00002130[00000213] pys--. : Port=2 RD @03 +00002130[00000213] pys--. : Port=3 RD @02 +00002140[00000214] pys--. : R0: 0 00 000000000000000000 R1: 1 00 9E329DF96DB62FEBBB R2: 1 03 000000000000000000 R3: 1 02 549B5745A444618638 +00002140[00000214] pys--. : W0: 1 07 5AE1E86C0F9C2DFD3E W1: 1 05 7898B1AE7C319E2C6C +00002150[00000215] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 D1B3C2E45D12D5A578 R3: 0 00 549B5745A444618638 +00002150[00000215] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002150[00000215] pys--. : Port=1 WR @05=8435AEA1603D1E894A +00002150[00000215] pys--. : Port=0 RD @06 +00002160[00000216] pys--. : R0: 1 06 CC51C991F9B20771A7 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002160[00000216] pys--. : W0: 0 00 000000000000000000 W1: 1 05 8435AEA1603D1E894A +00002160[00000216] pys--. : Port=1 RD @07 +00002170[00000217] pys--. : R0: 0 00 000000000000000000 R1: 1 07 5AE1E86C0F9C2DFD3E R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002170[00000217] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002170[00000217] pys--. : Port=1 WR @05=8A2C6B98B989B668A +00002180[00000218] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002180[00000218] pys--. : W0: 0 00 000000000000000000 W1: 1 05 08A2C6B98B989B668A +00002180[00000218] pys--. : Port=0 WR @04=E5D5BB533B4797F172 +00002180[00000218] pys--. : Port=1 WR @06=45451A0C263E26A6FE +00002190[00000219] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002190[00000219] pys--. : W0: 1 04 E5D5BB533B4797F172 W1: 1 06 45451A0C263E26A6FE +00002200[00000220] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002200[00000220] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002200[00000220] pys--. : Port=0 RD @01 +00002200[00000220] pys--. : Port=1 RD @04 +00002200[00000220] pys--. : Port=2 RD @06 +00002210[00000221] pys--. : R0: 1 01 3CA6FF033080310C65 R1: 1 04 E5D5BB533B4797F172 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00002210[00000221] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002210[00000221] pys--. : Port=0 WR @02=822D72389B51527561 +00002210[00000221] pys--. : Port=1 WR @07=9933E3B7F636A196F2 +00002210[00000221] pys--. : Port=0 RD @00 +00002220[00000222] pys--. : R0: 1 00 9E329DF96DB62FEBBB R1: 0 00 000000000000000000 R2: 0 00 45451A0C263E26A6FE R3: 0 00 000000000000000000 +00002220[00000222] pys--. : W0: 1 02 822D72389B51527561 W1: 1 07 9933E3B7F636A196F2 +00002220[00000222] pys--. : Port=0 RD @07 +00002220[00000222] pys--. : Port=1 RD @03 +00002230[00000223] pys--. : R0: 1 07 9933E3B7F636A196F2 R1: 1 03 D1B3C2E45D12D5A578 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002230[00000223] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002230[00000223] pys--. : Port=2 RD @07 +00002240[00000224] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 0 00 000000000000000000 +00002240[00000224] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002240[00000224] pys--. : Port=1 RD @06 +00002240[00000224] pys--. : Port=3 RD @07 +00002250[00000225] pys--. : R0: 0 00 000000000000000000 R1: 1 06 45451A0C263E26A6FE R2: 0 00 9933E3B7F636A196F2 R3: 1 07 000000000000000000 +00002250[00000225] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002250[00000225] pys--. : Port=0 WR @07=55D4BB21D8C7600409 +00002250[00000225] pys--. : Port=1 WR @03=FEEFDCC85BE3A92279 +00002260[00000226] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 9933E3B7F636A196F2 +00002260[00000226] pys--. : W0: 1 07 55D4BB21D8C7600409 W1: 1 03 FEEFDCC85BE3A92279 +00002260[00000226] pys--. : Port=1 WR @01=45B94CD8142AB0AA27 +00002260[00000226] pys--. : Port=2 RD @00 +00002260[00000226] pys--. : Port=3 RD @05 +00002270[00000227] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 1 05 000000000000000000 +00002270[00000227] pys--. : W0: 0 00 000000000000000000 W1: 1 01 45B94CD8142AB0AA27 +00002270[00000227] pys--. : Port=2 RD @01 +00002280[00000228] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 9E329DF96DB62FEBBB R3: 0 00 08A2C6B98B989B668A +00002280[00000228] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002280[00000228] pys--. : Port=0 WR @01=22292490BB41BAE055 +00002280[00000228] pys--. : Port=0 RD @02 +00002280[00000228] pys--. : Port=2 RD @03 +00002290[00000229] pys--. : R0: 1 02 822D72389B51527561 R1: 0 00 000000000000000000 R2: 1 03 45B94CD8142AB0AA27 R3: 0 00 000000000000000000 +00002290[00000229] pys--. : W0: 1 01 22292490BB41BAE055 W1: 0 00 000000000000000000 +00002290[00000229] pys--. : Port=0 RD @06 +00002290[00000229] pys--. : Port=1 RD @02 +00002300[00000230] pys--. : R0: 1 06 45451A0C263E26A6FE R1: 1 02 822D72389B51527561 R2: 0 00 FEEFDCC85BE3A92279 R3: 0 00 000000000000000000 +00002300[00000230] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002300[00000230] pys--. : Port=1 WR @07=9EC298D7978CF078EB +00002300[00000230] pys--. : Port=0 RD @03 +00002300[00000230] pys--. : Port=1 RD @04 +00002300[00000230] pys--. : Port=2 RD @03 +00002310[00000231] pys--. : R0: 1 03 FEEFDCC85BE3A92279 R1: 1 04 E5D5BB533B4797F172 R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00002310[00000231] pys--. : W0: 0 00 000000000000000000 W1: 1 07 9EC298D7978CF078EB +00002310[00000231] pys--. : Port=0 WR @05=CAC730888422F70635 +00002310[00000231] pys--. : Port=0 RD @04 +00002320[00000232] pys--. : R0: 1 04 E5D5BB533B4797F172 R1: 0 00 000000000000000000 R2: 0 00 FEEFDCC85BE3A92279 R3: 0 00 000000000000000000 +00002320[00000232] pys--. : W0: 1 05 CAC730888422F70635 W1: 0 00 000000000000000000 +00002330[00000233] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002330[00000233] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002330[00000233] pys--. : Port=1 WR @05=A5F15FDE14435D3195 +00002330[00000233] pys--. : Port=0 RD @04 +00002330[00000233] pys--. : Port=1 RD @04 +00002330[00000233] pys--. : Port=2 RD @02 +00002330[00000233] pys--. : Port=3 RD @03 +00002340[00000234] pys--. : R0: 1 04 E5D5BB533B4797F172 R1: 1 04 E5D5BB533B4797F172 R2: 1 02 000000000000000000 R3: 1 03 000000000000000000 +00002340[00000234] pys--. : W0: 0 00 000000000000000000 W1: 1 05 A5F15FDE14435D3195 +00002340[00000234] pys--. : Port=0 WR @07=183F11760E6E86A6C4 +00002350[00000235] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 822D72389B51527561 R3: 0 00 FEEFDCC85BE3A92279 +00002350[00000235] pys--. : W0: 1 07 183F11760E6E86A6C4 W1: 0 00 000000000000000000 +00002350[00000235] pys--. : Port=1 RD @01 +00002350[00000235] pys--. : Port=2 RD @00 +00002350[00000235] pys--. : Port=3 RD @05 +00002360[00000236] pys--. : R0: 0 00 000000000000000000 R1: 1 01 22292490BB41BAE055 R2: 1 00 000000000000000000 R3: 1 05 000000000000000000 +00002360[00000236] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002360[00000236] pys--. : Port=1 RD @00 +00002360[00000236] pys--. : Port=2 RD @02 +00002360[00000236] pys--. : Port=3 RD @06 +00002370[00000237] pys--. : R0: 0 00 000000000000000000 R1: 1 00 9E329DF96DB62FEBBB R2: 1 02 9E329DF96DB62FEBBB R3: 1 06 A5F15FDE14435D3195 +00002370[00000237] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002370[00000237] pys--. : Port=1 WR @01=E741135CED2FFDEC18 +00002370[00000237] pys--. : Port=0 RD @04 +00002370[00000237] pys--. : Port=1 RD @07 +00002370[00000237] pys--. : Port=3 RD @05 +00002380[00000238] pys--. : R0: 1 04 E5D5BB533B4797F172 R1: 1 07 183F11760E6E86A6C4 R2: 0 00 822D72389B51527561 R3: 1 05 45451A0C263E26A6FE +00002380[00000238] pys--. : W0: 0 00 000000000000000000 W1: 1 01 E741135CED2FFDEC18 +00002380[00000238] pys--. : Port=1 WR @01=EACBBA7F5E5E66CC95 +00002380[00000238] pys--. : Port=2 RD @05 +00002390[00000239] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 0 00 A5F15FDE14435D3195 +00002390[00000239] pys--. : W0: 0 00 000000000000000000 W1: 1 01 EACBBA7F5E5E66CC95 +00002390[00000239] pys--. : Port=1 WR @07=EA1E1035D765B5ABD2 +00002390[00000239] pys--. : Port=2 RD @03 +00002400[00000240] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 A5F15FDE14435D3195 R3: 0 00 000000000000000000 +00002400[00000240] pys--. : W0: 0 00 000000000000000000 W1: 1 07 EA1E1035D765B5ABD2 +00002400[00000240] pys--. : Port=1 WR @04=FA9E9BEB018B17C213 +00002400[00000240] pys--. : Port=2 RD @07 +00002410[00000241] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 FEEFDCC85BE3A92279 R3: 0 00 000000000000000000 +00002410[00000241] pys--. : W0: 0 00 000000000000000000 W1: 1 04 FA9E9BEB018B17C213 +00002410[00000241] pys--. : Port=1 WR @03=3DFD9024C7C53630D9 +00002410[00000241] pys--. : Port=0 RD @07 +00002410[00000241] pys--. : Port=2 RD @06 +00002420[00000242] pys--. : R0: 1 07 EA1E1035D765B5ABD2 R1: 0 00 000000000000000000 R2: 1 06 EA1E1035D765B5ABD2 R3: 0 00 000000000000000000 +00002420[00000242] pys--. : W0: 0 00 000000000000000000 W1: 1 03 3DFD9024C7C53630D9 +00002420[00000242] pys--. : Port=0 RD @03 +00002420[00000242] pys--. : Port=1 RD @03 +00002420[00000242] pys--. : Port=3 RD @07 +00002430[00000243] pys--. : R0: 1 03 3DFD9024C7C53630D9 R1: 1 03 3DFD9024C7C53630D9 R2: 0 00 45451A0C263E26A6FE R3: 1 07 000000000000000000 +00002430[00000243] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002430[00000243] pys--. : Port=0 WR @01=A84B57C2ECF3AF153D +00002430[00000243] pys--. : Port=1 WR @01=79770E9FC7450A02F9 +00002430[00000243] pys--. : Port=0 RD @06 +00002430[00000243] pys--. : Port=1 RD @03 +00002430[00000243] pys--. : Port=2 RD @07 +00002440[00000244] pys--. : R0: 1 06 45451A0C263E26A6FE R1: 1 03 3DFD9024C7C53630D9 R2: 1 07 000000000000000000 R3: 0 00 EA1E1035D765B5ABD2 +00002440[00000244] pys--. : W0: 1 01 A84B57C2ECF3AF153D W1: 1 01 79770E9FC7450A02F9 +00002440[00000244] pys--. : Port=0 WR @00=A03E43E01B6EB46CD2 +00002440[00000244] pys--. : Port=1 WR @06=56A3A1137540B55D8B +00002440[00000244] pys--. : Port=0 RD @02 +00002440[00000244] pys--. : Port=1 RD @07 +00002440[00000244] pys--. : Port=2 RD @03 +00002440[00000244] pys--. : Port=3 RD @03 +00002450[00000245] pys--. : R0: 1 02 822D72389B51527561 R1: 1 07 EA1E1035D765B5ABD2 R2: 1 03 EA1E1035D765B5ABD2 R3: 1 03 000000000000000000 +00002450[00000245] pys--. : W0: 1 00 A03E43E01B6EB46CD2 W1: 1 06 56A3A1137540B55D8B +00002450[00000245] pys--. : Port=1 RD @05 +00002450[00000245] pys--. : Port=2 RD @01 +00002450[00000245] pys--. : Port=3 RD @00 +00002460[00000246] pys--. : R0: 0 00 000000000000000000 R1: 1 05 A5F15FDE14435D3195 R2: 1 01 3DFD9024C7C53630D9 R3: 1 00 3DFD9024C7C53630D9 +00002460[00000246] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002460[00000246] pys--. : Port=1 RD @01 +00002470[00000247] pys--. : R0: 0 00 000000000000000000 R1: 1 01 79770E9FC7450A02F9 R2: 0 00 79770E9FC7450A02F9 R3: 0 00 A03E43E01B6EB46CD2 +00002470[00000247] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002470[00000247] pys--. : Port=1 WR @07=98173EA96005B4DFF4 +00002470[00000247] pys--. : Port=0 RD @03 +00002470[00000247] pys--. : Port=1 RD @04 +00002480[00000248] pys--. : R0: 1 03 3DFD9024C7C53630D9 R1: 1 04 FA9E9BEB018B17C213 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002480[00000248] pys--. : W0: 0 00 000000000000000000 W1: 1 07 98173EA96005B4DFF4 +00002480[00000248] pys--. : Port=1 WR @06=4BACBBEB3290133A0A +00002490[00000249] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002490[00000249] pys--. : W0: 0 00 000000000000000000 W1: 1 06 4BACBBEB3290133A0A +00002490[00000249] pys--. : Port=0 WR @07=B90A8255C8D734D94F +00002490[00000249] pys--. : Port=0 RD @00 +00002490[00000249] pys--. : Port=1 RD @01 +00002500[00000250] pys--. : R0: 1 00 A03E43E01B6EB46CD2 R1: 1 01 79770E9FC7450A02F9 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002500[00000250] pys--. : W0: 1 07 B90A8255C8D734D94F W1: 0 00 000000000000000000 +00002500[00000250] pys--. : Port=1 WR @02=2134E53CAEFF1F2C78 +00002500[00000250] pys--. : Port=0 RD @04 +00002500[00000250] pys--. : Port=3 RD @05 +00002510[00000251] pys--. : R0: 1 04 FA9E9BEB018B17C213 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00002510[00000251] pys--. : W0: 0 00 000000000000000000 W1: 1 02 2134E53CAEFF1F2C78 +00002510[00000251] pys--. : Port=1 WR @05=6CA25A10951B75B9FC +00002510[00000251] pys--. : Port=3 RD @06 +00002520[00000252] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 A5F15FDE14435D3195 +00002520[00000252] pys--. : W0: 0 00 000000000000000000 W1: 1 05 6CA25A10951B75B9FC +00002520[00000252] pys--. : Port=0 WR @01=71548FC88AFF1B4951 +00002520[00000252] pys--. : Port=3 RD @07 +00002530[00000253] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 07 4BACBBEB3290133A0A +00002530[00000253] pys--. : W0: 1 01 71548FC88AFF1B4951 W1: 0 00 000000000000000000 +00002530[00000253] pys--. : Port=0 WR @07=2F6ECAE4BF5002CF09 +00002530[00000253] pys--. : Port=0 RD @00 +00002540[00000254] pys--. : R0: 1 00 A03E43E01B6EB46CD2 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 B90A8255C8D734D94F +00002540[00000254] pys--. : W0: 1 07 2F6ECAE4BF5002CF09 W1: 0 00 000000000000000000 +00002540[00000254] pys--. : Port=0 WR @05=1DCECD8208CFA6FE5F +00002550[00000255] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002550[00000255] pys--. : W0: 1 05 1DCECD8208CFA6FE5F W1: 0 00 000000000000000000 +00002550[00000255] pys--. : Port=1 RD @04 +00002560[00000256] pys--. : R0: 0 00 000000000000000000 R1: 1 04 FA9E9BEB018B17C213 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002560[00000256] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002560[00000256] pys--. : Port=0 RD @05 +00002560[00000256] pys--. : Port=1 RD @07 +00002560[00000256] pys--. : Port=3 RD @06 +00002570[00000257] pys--. : R0: 1 05 1DCECD8208CFA6FE5F R1: 1 07 2F6ECAE4BF5002CF09 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00002570[00000257] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002570[00000257] pys--. : Port=0 WR @05=2B4C72EB7F516C22AF +00002570[00000257] pys--. : Port=1 WR @06=148B8CCC2C056C0B0B +00002570[00000257] pys--. : Port=0 RD @02 +00002570[00000257] pys--. : Port=1 RD @07 +00002580[00000258] pys--. : R0: 1 02 2134E53CAEFF1F2C78 R1: 1 07 2F6ECAE4BF5002CF09 R2: 0 00 000000000000000000 R3: 0 00 4BACBBEB3290133A0A +00002580[00000258] pys--. : W0: 1 05 2B4C72EB7F516C22AF W1: 1 06 148B8CCC2C056C0B0B +00002580[00000258] pys--. : Port=2 RD @05 +00002580[00000258] pys--. : Port=3 RD @05 +00002590[00000259] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 1 05 000000000000000000 +00002590[00000259] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002590[00000259] pys--. : Port=2 RD @00 +00002600[00000260] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 2B4C72EB7F516C22AF R3: 0 00 2B4C72EB7F516C22AF +00002600[00000260] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002600[00000260] pys--. : Port=0 RD @00 +00002610[00000261] pys--. : R0: 1 00 A03E43E01B6EB46CD2 R1: 0 00 000000000000000000 R2: 0 00 A03E43E01B6EB46CD2 R3: 0 00 000000000000000000 +00002610[00000261] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002610[00000261] pys--. : Port=0 WR @04=961558DF9D8765B523 +00002610[00000261] pys--. : Port=3 RD @02 +00002620[00000262] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00002620[00000262] pys--. : W0: 1 04 961558DF9D8765B523 W1: 0 00 000000000000000000 +00002620[00000262] pys--. : Port=0 WR @02=4B862D15A0AE64C42F +00002620[00000262] pys--. : Port=1 RD @06 +00002630[00000263] pys--. : R0: 0 00 000000000000000000 R1: 1 06 148B8CCC2C056C0B0B R2: 0 00 000000000000000000 R3: 0 00 2134E53CAEFF1F2C78 +00002630[00000263] pys--. : W0: 1 02 4B862D15A0AE64C42F W1: 0 00 000000000000000000 +00002630[00000263] pys--. : Port=1 WR @00=F33FFBCFB2D438DE4E +00002630[00000263] pys--. : Port=0 RD @04 +00002630[00000263] pys--. : Port=1 RD @01 +00002630[00000263] pys--. : Port=2 RD @03 +00002630[00000263] pys--. : Port=3 RD @07 +00002640[00000264] pys--. : R0: 1 04 961558DF9D8765B523 R1: 1 01 71548FC88AFF1B4951 R2: 1 03 000000000000000000 R3: 1 07 000000000000000000 +00002640[00000264] pys--. : W0: 0 00 000000000000000000 W1: 1 00 F33FFBCFB2D438DE4E +00002640[00000264] pys--. : Port=0 WR @06=46C2CFC7E8D237CEE0 +00002650[00000265] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 3DFD9024C7C53630D9 R3: 0 00 2F6ECAE4BF5002CF09 +00002650[00000265] pys--. : W0: 1 06 46C2CFC7E8D237CEE0 W1: 0 00 000000000000000000 +00002650[00000265] pys--. : Port=0 RD @04 +00002660[00000266] pys--. : R0: 1 04 961558DF9D8765B523 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002660[00000266] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002660[00000266] pys--. : Port=0 WR @07=24C4385916BA4DC97A +00002660[00000266] pys--. : Port=1 RD @02 +00002660[00000266] pys--. : Port=3 RD @00 +00002670[00000267] pys--. : R0: 0 00 000000000000000000 R1: 1 02 4B862D15A0AE64C42F R2: 0 00 000000000000000000 R3: 1 00 000000000000000000 +00002670[00000267] pys--. : W0: 1 07 24C4385916BA4DC97A W1: 0 00 000000000000000000 +00002670[00000267] pys--. : Port=0 WR @02=EC03C3454D4C08B16 +00002670[00000267] pys--. : Port=3 RD @04 +00002680[00000268] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 F33FFBCFB2D438DE4E +00002680[00000268] pys--. : W0: 1 02 0EC03C3454D4C08B16 W1: 0 00 000000000000000000 +00002680[00000268] pys--. : Port=3 RD @05 +00002690[00000269] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 961558DF9D8765B523 +00002690[00000269] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002690[00000269] pys--. : Port=0 WR @01=C0B225A0F65341996E +00002690[00000269] pys--. : Port=1 WR @03=A965291B3419D3A398 +00002690[00000269] pys--. : Port=0 RD @00 +00002700[00000270] pys--. : R0: 1 00 F33FFBCFB2D438DE4E R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 2B4C72EB7F516C22AF +00002700[00000270] pys--. : W0: 1 01 C0B225A0F65341996E W1: 1 03 A965291B3419D3A398 +00002710[00000271] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002710[00000271] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002710[00000271] pys--. : Port=0 WR @06=5CF946FF78D672DE3C +00002710[00000271] pys--. : Port=3 RD @03 +00002720[00000272] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 03 000000000000000000 +00002720[00000272] pys--. : W0: 1 06 5CF946FF78D672DE3C W1: 0 00 000000000000000000 +00002720[00000272] pys--. : Port=1 RD @01 +00002730[00000273] pys--. : R0: 0 00 000000000000000000 R1: 1 01 C0B225A0F65341996E R2: 0 00 000000000000000000 R3: 0 00 A965291B3419D3A398 +00002730[00000273] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002730[00000273] pys--. : Port=2 RD @01 +00002740[00000274] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00002740[00000274] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002740[00000274] pys--. : Port=0 RD @00 +00002750[00000275] pys--. : R0: 1 00 F33FFBCFB2D438DE4E R1: 0 00 000000000000000000 R2: 0 00 C0B225A0F65341996E R3: 0 00 000000000000000000 +00002750[00000275] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002750[00000275] pys--. : Port=0 WR @05=3F22D7D0244EEFCE23 +00002750[00000275] pys--. : Port=0 RD @03 +00002760[00000276] pys--. : R0: 1 03 A965291B3419D3A398 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002760[00000276] pys--. : W0: 1 05 3F22D7D0244EEFCE23 W1: 0 00 000000000000000000 +00002760[00000276] pys--. : Port=1 RD @04 +00002770[00000277] pys--. : R0: 0 00 000000000000000000 R1: 1 04 961558DF9D8765B523 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00002770[00000277] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002770[00000277] pys--. : Port=0 WR @01=6C3ED50529CE729550 +00002770[00000277] pys--. : Port=1 WR @05=A972B9EDE207054FD +00002770[00000277] pys--. : Port=3 RD @07 +00002780[00000278] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 07 000000000000000000 +00002780[00000278] pys--. : W0: 1 01 6C3ED50529CE729550 W1: 1 05 0A972B9EDE207054FD +00002780[00000278] pys--. : Port=1 WR @07=CD94F37490AFBC310E +00002780[00000278] pys--. : Port=2 RD @04 +00002790[00000279] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 0 00 24C4385916BA4DC97A +00002790[00000279] pys--. : W0: 0 00 000000000000000000 W1: 1 07 CD94F37490AFBC310E +00002790[00000279] pys--. : Port=1 WR @03=25E5B4A3089FFFB33E +00002790[00000279] pys--. : Port=0 RD @07 +00002790[00000279] pys--. : Port=1 RD @07 +00002800[00000280] pys--. : R0: 1 07 CD94F37490AFBC310E R1: 1 07 CD94F37490AFBC310E R2: 0 00 961558DF9D8765B523 R3: 0 00 000000000000000000 +00002800[00000280] pys--. : W0: 0 00 000000000000000000 W1: 1 03 25E5B4A3089FFFB33E +00002800[00000280] pys--. : Port=0 WR @01=DD2222ED3C4D624E5E +00002800[00000280] pys--. : Port=0 RD @03 +00002800[00000280] pys--. : Port=1 RD @02 +00002800[00000280] pys--. : Port=3 RD @04 +00002810[00000281] pys--. : R0: 1 03 25E5B4A3089FFFB33E R1: 1 02 0EC03C3454D4C08B16 R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00002810[00000281] pys--. : W0: 1 01 DD2222ED3C4D624E5E W1: 0 00 000000000000000000 +00002810[00000281] pys--. : Port=0 WR @04=B41486D9CD6C0A2F2A +00002810[00000281] pys--. : Port=2 RD @01 +00002810[00000281] pys--. : Port=3 RD @03 +00002820[00000282] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 03 961558DF9D8765B523 +00002820[00000282] pys--. : W0: 1 04 B41486D9CD6C0A2F2A W1: 0 00 000000000000000000 +00002830[00000283] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 DD2222ED3C4D624E5E R3: 0 00 25E5B4A3089FFFB33E +00002830[00000283] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002830[00000283] pys--. : Port=0 WR @03=6F80A6BAAAF4906FAF +00002830[00000283] pys--. : Port=1 WR @07=9BEA99801AF77E72E1 +00002830[00000283] pys--. : Port=2 RD @01 +00002840[00000284] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00002840[00000284] pys--. : W0: 1 03 6F80A6BAAAF4906FAF W1: 1 07 9BEA99801AF77E72E1 +00002840[00000284] pys--. : Port=0 WR @00=64A14A0E5E999D9D65 +00002840[00000284] pys--. : Port=0 RD @04 +00002840[00000284] pys--. : Port=2 RD @01 +00002840[00000284] pys--. : Port=3 RD @05 +00002850[00000285] pys--. : R0: 1 04 B41486D9CD6C0A2F2A R1: 0 00 000000000000000000 R2: 1 01 DD2222ED3C4D624E5E R3: 1 05 000000000000000000 +00002850[00000285] pys--. : W0: 1 00 64A14A0E5E999D9D65 W1: 0 00 000000000000000000 +00002850[00000285] pys--. : Port=1 WR @07=72E5BF850B789D36EB +00002850[00000285] pys--. : Port=0 RD @02 +00002860[00000286] pys--. : R0: 1 02 0EC03C3454D4C08B16 R1: 0 00 000000000000000000 R2: 0 00 DD2222ED3C4D624E5E R3: 0 00 0A972B9EDE207054FD +00002860[00000286] pys--. : W0: 0 00 000000000000000000 W1: 1 07 72E5BF850B789D36EB +00002860[00000286] pys--. : Port=0 RD @07 +00002860[00000286] pys--. : Port=1 RD @03 +00002860[00000286] pys--. : Port=2 RD @05 +00002860[00000286] pys--. : Port=3 RD @00 +00002870[00000287] pys--. : R0: 1 07 72E5BF850B789D36EB R1: 1 03 6F80A6BAAAF4906FAF R2: 1 05 000000000000000000 R3: 1 00 000000000000000000 +00002870[00000287] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002870[00000287] pys--. : Port=0 WR @01=7E126CE2808B591E52 +00002880[00000288] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 0A972B9EDE207054FD R3: 0 00 64A14A0E5E999D9D65 +00002880[00000288] pys--. : W0: 1 01 7E126CE2808B591E52 W1: 0 00 000000000000000000 +00002880[00000288] pys--. : Port=0 WR @05=87B90EB494B1632FF1 +00002880[00000288] pys--. : Port=1 RD @07 +00002880[00000288] pys--. : Port=2 RD @02 +00002880[00000288] pys--. : Port=3 RD @02 +00002890[00000289] pys--. : R0: 0 00 000000000000000000 R1: 1 07 72E5BF850B789D36EB R2: 1 02 000000000000000000 R3: 1 02 000000000000000000 +00002890[00000289] pys--. : W0: 1 05 87B90EB494B1632FF1 W1: 0 00 000000000000000000 +00002890[00000289] pys--. : Port=1 RD @05 +00002890[00000289] pys--. : Port=2 RD @06 +00002900[00000290] pys--. : R0: 0 00 000000000000000000 R1: 1 05 87B90EB494B1632FF1 R2: 1 06 0EC03C3454D4C08B16 R3: 0 00 0EC03C3454D4C08B16 +00002900[00000290] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002900[00000290] pys--. : Port=1 RD @06 +00002900[00000290] pys--. : Port=2 RD @06 +00002900[00000290] pys--. : Port=3 RD @00 +00002910[00000291] pys--. : R0: 0 00 000000000000000000 R1: 1 06 5CF946FF78D672DE3C R2: 1 06 5CF946FF78D672DE3C R3: 1 00 000000000000000000 +00002910[00000291] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002910[00000291] pys--. : Port=0 WR @02=B5471CFACE8CEDA717 +00002910[00000291] pys--. : Port=1 WR @00=1628148967748A1FE1 +00002910[00000291] pys--. : Port=0 RD @07 +00002920[00000292] pys--. : R0: 1 07 72E5BF850B789D36EB R1: 0 00 000000000000000000 R2: 0 00 5CF946FF78D672DE3C R3: 0 00 64A14A0E5E999D9D65 +00002920[00000292] pys--. : W0: 1 02 B5471CFACE8CEDA717 W1: 1 00 1628148967748A1FE1 +00002920[00000292] pys--. : Port=1 WR @00=D7807871AD8D9C7071 +00002920[00000292] pys--. : Port=0 RD @02 +00002920[00000292] pys--. : Port=2 RD @06 +00002930[00000293] pys--. : R0: 1 02 B5471CFACE8CEDA717 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00002930[00000293] pys--. : W0: 0 00 000000000000000000 W1: 1 00 D7807871AD8D9C7071 +00002930[00000293] pys--. : Port=3 RD @06 +00002940[00000294] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 5CF946FF78D672DE3C R3: 1 06 000000000000000000 +00002940[00000294] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002940[00000294] pys--. : Port=1 RD @07 +00002940[00000294] pys--. : Port=2 RD @03 +00002940[00000294] pys--. : Port=3 RD @05 +00002950[00000295] pys--. : R0: 0 00 000000000000000000 R1: 1 07 72E5BF850B789D36EB R2: 1 03 000000000000000000 R3: 1 05 5CF946FF78D672DE3C +00002950[00000295] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002950[00000295] pys--. : Port=0 WR @04=F714F42CD70CFC291A +00002950[00000295] pys--. : Port=1 WR @04=DD7ED9033EE4257E80 +00002960[00000296] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 6F80A6BAAAF4906FAF R3: 0 00 87B90EB494B1632FF1 +00002960[00000296] pys--. : W0: 1 04 F714F42CD70CFC291A W1: 1 04 DD7ED9033EE4257E80 +00002960[00000296] pys--. : Port=0 RD @03 +00002960[00000296] pys--. : Port=1 RD @04 +00002960[00000296] pys--. : Port=2 RD @05 +00002970[00000297] pys--. : R0: 1 03 6F80A6BAAAF4906FAF R1: 1 04 DD7ED9033EE4257E80 R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00002970[00000297] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00002970[00000297] pys--. : Port=0 WR @00=ECAF4490B2AA7B52D7 +00002970[00000297] pys--. : Port=1 WR @02=96173631343F02654A +00002970[00000297] pys--. : Port=0 RD @06 +00002970[00000297] pys--. : Port=1 RD @06 +00002980[00000298] pys--. : R0: 1 06 5CF946FF78D672DE3C R1: 1 06 5CF946FF78D672DE3C R2: 0 00 87B90EB494B1632FF1 R3: 0 00 000000000000000000 +00002980[00000298] pys--. : W0: 1 00 ECAF4490B2AA7B52D7 W1: 1 02 96173631343F02654A +00002980[00000298] pys--. : Port=1 WR @02=959C2C67C0907B4C4F +00002980[00000298] pys--. : Port=1 RD @04 +00002980[00000298] pys--. : Port=2 RD @07 +00002990[00000299] pys--. : R0: 0 00 000000000000000000 R1: 1 04 DD7ED9033EE4257E80 R2: 1 07 000000000000000000 R3: 0 00 000000000000000000 +00002990[00000299] pys--. : W0: 0 00 000000000000000000 W1: 1 02 959C2C67C0907B4C4F +00002990[00000299] pys--. : Port=0 WR @05=20CFB60905AAFC3C6C +00002990[00000299] pys--. : Port=1 WR @04=FDA33195F851B6DCE2 +00002990[00000299] pys--. : Port=0 RD @00 +00003000[00000300] pys--. : R0: 1 00 ECAF4490B2AA7B52D7 R1: 0 00 000000000000000000 R2: 0 00 72E5BF850B789D36EB R3: 0 00 000000000000000000 +00003000[00000300] pys--. : W0: 1 05 20CFB60905AAFC3C6C W1: 1 04 FDA33195F851B6DCE2 +00003000[00000300] pys--. : Port=1 WR @04=D5A6795527067AC2D +00003000[00000300] pys--. : Port=0 RD @03 +00003000[00000300] pys--. : Port=1 RD @06 +00003000[00000300] pys--. : Port=2 RD @01 +00003010[00000301] pys--. : R0: 1 03 6F80A6BAAAF4906FAF R1: 1 06 5CF946FF78D672DE3C R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00003010[00000301] pys--. : W0: 0 00 000000000000000000 W1: 1 04 0D5A6795527067AC2D +00003010[00000301] pys--. : Port=0 WR @05=9D10E66EFC498942D +00003020[00000302] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 7E126CE2808B591E52 R3: 0 00 000000000000000000 +00003020[00000302] pys--. : W0: 1 05 09D10E66EFC498942D W1: 0 00 000000000000000000 +00003020[00000302] pys--. : Port=0 WR @03=8656CEE465B193F883 +00003020[00000302] pys--. : Port=1 WR @02=C942F8646F06B9E496 +00003020[00000302] pys--. : Port=1 RD @05 +00003020[00000302] pys--. : Port=3 RD @04 +00003030[00000303] pys--. : R0: 0 00 000000000000000000 R1: 1 05 09D10E66EFC498942D R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00003030[00000303] pys--. : W0: 1 03 8656CEE465B193F883 W1: 1 02 C942F8646F06B9E496 +00003030[00000303] pys--. : Port=0 RD @00 +00003030[00000303] pys--. : Port=2 RD @07 +00003040[00000304] pys--. : R0: 1 00 ECAF4490B2AA7B52D7 R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 0 00 0D5A6795527067AC2D +00003040[00000304] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003040[00000304] pys--. : Port=1 RD @02 +00003050[00000305] pys--. : R0: 0 00 000000000000000000 R1: 1 02 C942F8646F06B9E496 R2: 0 00 72E5BF850B789D36EB R3: 0 00 000000000000000000 +00003050[00000305] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003050[00000305] pys--. : Port=1 WR @04=77E2901431BFF1657C +00003050[00000305] pys--. : Port=0 RD @07 +00003050[00000305] pys--. : Port=2 RD @03 +00003050[00000305] pys--. : Port=3 RD @02 +00003060[00000306] pys--. : R0: 1 07 72E5BF850B789D36EB R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 1 02 000000000000000000 +00003060[00000306] pys--. : W0: 0 00 000000000000000000 W1: 1 04 77E2901431BFF1657C +00003060[00000306] pys--. : Port=0 WR @06=FFEA97C204A1526A2F +00003060[00000306] pys--. : Port=1 WR @06=D33C0AB0AAF94DA14A +00003060[00000306] pys--. : Port=0 RD @05 +00003060[00000306] pys--. : Port=2 RD @02 +00003070[00000307] pys--. : R0: 1 05 09D10E66EFC498942D R1: 0 00 000000000000000000 R2: 1 02 8656CEE465B193F883 R3: 0 00 C942F8646F06B9E496 +00003070[00000307] pys--. : W0: 1 06 FFEA97C204A1526A2F W1: 1 06 D33C0AB0AAF94DA14A +00003070[00000307] pys--. : Port=0 RD @06 +00003070[00000307] pys--. : Port=2 RD @02 +00003070[00000307] pys--. : Port=3 RD @04 +00003080[00000308] pys--. : R0: 1 06 D33C0AB0AAF94DA14A R1: 0 00 000000000000000000 R2: 1 02 C942F8646F06B9E496 R3: 1 04 000000000000000000 +00003080[00000308] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003080[00000308] pys--. : Port=0 WR @01=5335D26AD7375C37C4 +00003080[00000308] pys--. : Port=1 WR @04=8ADB01F7B633B86011 +00003090[00000309] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 C942F8646F06B9E496 R3: 0 00 77E2901431BFF1657C +00003090[00000309] pys--. : W0: 1 01 5335D26AD7375C37C4 W1: 1 04 8ADB01F7B633B86011 +00003100[00000310] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00003100[00000310] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003100[00000310] pys--. : Port=1 WR @02=2CEDBC2AA5CDCAF74D +00003100[00000310] pys--. : Port=2 RD @00 +00003110[00000311] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 0 00 000000000000000000 +00003110[00000311] pys--. : W0: 0 00 000000000000000000 W1: 1 02 2CEDBC2AA5CDCAF74D +00003110[00000311] pys--. : Port=0 RD @03 +00003110[00000311] pys--. : Port=1 RD @03 +00003110[00000311] pys--. : Port=3 RD @05 +00003120[00000312] pys--. : R0: 1 03 8656CEE465B193F883 R1: 1 03 8656CEE465B193F883 R2: 0 00 ECAF4490B2AA7B52D7 R3: 1 05 000000000000000000 +00003120[00000312] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003120[00000312] pys--. : Port=1 WR @02=F24AC5F3565E1EB288 +00003120[00000312] pys--. : Port=3 RD @06 +00003130[00000313] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 09D10E66EFC498942D +00003130[00000313] pys--. : W0: 0 00 000000000000000000 W1: 1 02 F24AC5F3565E1EB288 +00003130[00000313] pys--. : Port=0 WR @05=9906316CB1AD9504A2 +00003130[00000313] pys--. : Port=1 WR @00=6B2C4DFE003C15E74B +00003130[00000313] pys--. : Port=2 RD @06 +00003130[00000313] pys--. : Port=3 RD @06 +00003140[00000314] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 1 06 D33C0AB0AAF94DA14A +00003140[00000314] pys--. : W0: 1 05 9906316CB1AD9504A2 W1: 1 00 6B2C4DFE003C15E74B +00003150[00000315] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 D33C0AB0AAF94DA14A R3: 0 00 D33C0AB0AAF94DA14A +00003150[00000315] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003150[00000315] pys--. : Port=0 WR @04=5F2407967C35A5D800 +00003150[00000315] pys--. : Port=1 WR @07=6398F93D9A880F3139 +00003150[00000315] pys--. : Port=0 RD @06 +00003160[00000316] pys--. : R0: 1 06 D33C0AB0AAF94DA14A R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00003160[00000316] pys--. : W0: 1 04 5F2407967C35A5D800 W1: 1 07 6398F93D9A880F3139 +00003160[00000316] pys--. : Port=1 WR @03=60B7CC2BBFA5D800A +00003160[00000316] pys--. : Port=0 RD @04 +00003160[00000316] pys--. : Port=2 RD @06 +00003160[00000316] pys--. : Port=3 RD @02 +00003170[00000317] pys--. : R0: 1 04 5F2407967C35A5D800 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 1 02 000000000000000000 +00003170[00000317] pys--. : W0: 0 00 000000000000000000 W1: 1 03 060B7CC2BBFA5D800A +00003170[00000317] pys--. : Port=0 WR @01=C298F1AAA1865F7724 +00003170[00000317] pys--. : Port=1 WR @07=DE304FC5F5990E459E +00003170[00000317] pys--. : Port=0 RD @03 +00003170[00000317] pys--. : Port=1 RD @06 +00003180[00000318] pys--. : R0: 1 03 060B7CC2BBFA5D800A R1: 1 06 D33C0AB0AAF94DA14A R2: 0 00 D33C0AB0AAF94DA14A R3: 0 00 F24AC5F3565E1EB288 +00003180[00000318] pys--. : W0: 1 01 C298F1AAA1865F7724 W1: 1 07 DE304FC5F5990E459E +00003180[00000318] pys--. : Port=1 RD @02 +00003180[00000318] pys--. : Port=3 RD @03 +00003190[00000319] pys--. : R0: 0 00 000000000000000000 R1: 1 02 F24AC5F3565E1EB288 R2: 0 00 000000000000000000 R3: 1 03 000000000000000000 +00003190[00000319] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003190[00000319] pys--. : Port=0 WR @04=72A32A283580FA2B08 +00003190[00000319] pys--. : Port=1 RD @02 +00003190[00000319] pys--. : Port=2 RD @07 +00003200[00000320] pys--. : R0: 0 00 000000000000000000 R1: 1 02 F24AC5F3565E1EB288 R2: 1 07 000000000000000000 R3: 0 00 060B7CC2BBFA5D800A +00003200[00000320] pys--. : W0: 1 04 72A32A283580FA2B08 W1: 0 00 000000000000000000 +00003200[00000320] pys--. : Port=1 WR @05=A07E3338F832C0A387 +00003200[00000320] pys--. : Port=1 RD @03 +00003200[00000320] pys--. : Port=2 RD @02 +00003210[00000321] pys--. : R0: 0 00 000000000000000000 R1: 1 03 060B7CC2BBFA5D800A R2: 1 02 DE304FC5F5990E459E R3: 0 00 000000000000000000 +00003210[00000321] pys--. : W0: 0 00 000000000000000000 W1: 1 05 A07E3338F832C0A387 +00003210[00000321] pys--. : Port=3 RD @04 +00003220[00000322] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 F24AC5F3565E1EB288 R3: 1 04 000000000000000000 +00003220[00000322] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003230[00000323] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 72A32A283580FA2B08 +00003230[00000323] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003230[00000323] pys--. : Port=1 RD @02 +00003230[00000323] pys--. : Port=2 RD @01 +00003240[00000324] pys--. : R0: 0 00 000000000000000000 R1: 1 02 F24AC5F3565E1EB288 R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00003240[00000324] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003240[00000324] pys--. : Port=0 WR @03=2CC898A1D41E30B8F3 +00003240[00000324] pys--. : Port=0 RD @05 +00003240[00000324] pys--. : Port=1 RD @05 +00003250[00000325] pys--. : R0: 1 05 A07E3338F832C0A387 R1: 1 05 A07E3338F832C0A387 R2: 0 00 C298F1AAA1865F7724 R3: 0 00 000000000000000000 +00003250[00000325] pys--. : W0: 1 03 2CC898A1D41E30B8F3 W1: 0 00 000000000000000000 +00003250[00000325] pys--. : Port=0 WR @06=1A3DE38F7502FB24A6 +00003250[00000325] pys--. : Port=1 WR @03=FE43AD5FE549B30922 +00003250[00000325] pys--. : Port=1 RD @07 +00003260[00000326] pys--. : R0: 0 00 000000000000000000 R1: 1 07 DE304FC5F5990E459E R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00003260[00000326] pys--. : W0: 1 06 1A3DE38F7502FB24A6 W1: 1 03 FE43AD5FE549B30922 +00003260[00000326] pys--. : Port=0 RD @02 +00003270[00000327] pys--. : R0: 1 02 F24AC5F3565E1EB288 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00003270[00000327] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003270[00000327] pys--. : Port=1 RD @03 +00003270[00000327] pys--. : Port=2 RD @06 +00003280[00000328] pys--. : R0: 0 00 000000000000000000 R1: 1 03 FE43AD5FE549B30922 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00003280[00000328] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003280[00000328] pys--. : Port=0 RD @03 +00003290[00000329] pys--. : R0: 1 03 FE43AD5FE549B30922 R1: 0 00 000000000000000000 R2: 0 00 1A3DE38F7502FB24A6 R3: 0 00 000000000000000000 +00003290[00000329] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003290[00000329] pys--. : Port=0 WR @05=2D53889011BDCFABE0 +00003290[00000329] pys--. : Port=1 WR @04=DF4F6B38990B86B626 +00003290[00000329] pys--. : Port=3 RD @02 +00003300[00000330] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00003300[00000330] pys--. : W0: 1 05 2D53889011BDCFABE0 W1: 1 04 DF4F6B38990B86B626 +00003300[00000330] pys--. : Port=1 WR @00=AD05220514ECA569B4 +00003300[00000330] pys--. : Port=3 RD @02 +00003310[00000331] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 F24AC5F3565E1EB288 +00003310[00000331] pys--. : W0: 0 00 000000000000000000 W1: 1 00 AD05220514ECA569B4 +00003310[00000331] pys--. : Port=0 WR @06=F4438026B02DDDC53F +00003310[00000331] pys--. : Port=1 WR @01=B7C7C326101B63D2AE +00003310[00000331] pys--. : Port=0 RD @00 +00003310[00000331] pys--. : Port=3 RD @02 +00003320[00000332] pys--. : R0: 1 00 AD05220514ECA569B4 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 F24AC5F3565E1EB288 +00003320[00000332] pys--. : W0: 1 06 F4438026B02DDDC53F W1: 1 01 B7C7C326101B63D2AE +00003320[00000332] pys--. : Port=0 RD @04 +00003330[00000333] pys--. : R0: 1 04 DF4F6B38990B86B626 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 F24AC5F3565E1EB288 +00003330[00000333] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003340[00000334] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00003340[00000334] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003340[00000334] pys--. : Port=1 WR @07=98C8051E4B472A59A2 +00003340[00000334] pys--. : Port=0 RD @03 +00003340[00000334] pys--. : Port=2 RD @02 +00003350[00000335] pys--. : R0: 1 03 FE43AD5FE549B30922 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00003350[00000335] pys--. : W0: 0 00 000000000000000000 W1: 1 07 98C8051E4B472A59A2 +00003350[00000335] pys--. : Port=0 WR @06=16D48B62A014CCD183 +00003350[00000335] pys--. : Port=0 RD @07 +00003350[00000335] pys--. : Port=1 RD @01 +00003350[00000335] pys--. : Port=3 RD @04 +00003360[00000336] pys--. : R0: 1 07 98C8051E4B472A59A2 R1: 1 01 B7C7C326101B63D2AE R2: 0 00 F24AC5F3565E1EB288 R3: 1 04 000000000000000000 +00003360[00000336] pys--. : W0: 1 06 16D48B62A014CCD183 W1: 0 00 000000000000000000 +00003360[00000336] pys--. : Port=0 RD @04 +00003360[00000336] pys--. : Port=1 RD @05 +00003360[00000336] pys--. : Port=3 RD @05 +00003370[00000337] pys--. : R0: 1 04 DF4F6B38990B86B626 R1: 1 05 2D53889011BDCFABE0 R2: 0 00 000000000000000000 R3: 1 05 DF4F6B38990B86B626 +00003370[00000337] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003370[00000337] pys--. : Port=1 WR @06=A6E5E878DD731F9E21 +00003370[00000337] pys--. : Port=1 RD @03 +00003370[00000337] pys--. : Port=3 RD @05 +00003380[00000338] pys--. : R0: 0 00 000000000000000000 R1: 1 03 FE43AD5FE549B30922 R2: 0 00 000000000000000000 R3: 1 05 2D53889011BDCFABE0 +00003380[00000338] pys--. : W0: 0 00 000000000000000000 W1: 1 06 A6E5E878DD731F9E21 +00003380[00000338] pys--. : Port=1 WR @04=9FD663FE0A8D63520E +00003380[00000338] pys--. : Port=0 RD @05 +00003380[00000338] pys--. : Port=1 RD @02 +00003380[00000338] pys--. : Port=3 RD @06 +00003390[00000339] pys--. : R0: 1 05 2D53889011BDCFABE0 R1: 1 02 F24AC5F3565E1EB288 R2: 0 00 000000000000000000 R3: 1 06 2D53889011BDCFABE0 +00003390[00000339] pys--. : W0: 0 00 000000000000000000 W1: 1 04 9FD663FE0A8D63520E +00003390[00000339] pys--. : Port=0 WR @07=E16D698463FF639CB9 +00003390[00000339] pys--. : Port=2 RD @05 +00003400[00000340] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 0 00 A6E5E878DD731F9E21 +00003400[00000340] pys--. : W0: 1 07 E16D698463FF639CB9 W1: 0 00 000000000000000000 +00003400[00000340] pys--. : Port=2 RD @05 +00003410[00000341] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 2D53889011BDCFABE0 R3: 0 00 000000000000000000 +00003410[00000341] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003410[00000341] pys--. : Port=2 RD @04 +00003410[00000341] pys--. : Port=3 RD @07 +00003420[00000342] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 2D53889011BDCFABE0 R3: 1 07 000000000000000000 +00003420[00000342] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003420[00000342] pys--. : Port=0 WR @03=ED410295086D829755 +00003420[00000342] pys--. : Port=3 RD @00 +00003430[00000343] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 9FD663FE0A8D63520E R3: 1 00 E16D698463FF639CB9 +00003430[00000343] pys--. : W0: 1 03 ED410295086D829755 W1: 0 00 000000000000000000 +00003430[00000343] pys--. : Port=0 WR @04=3F3650BB3BAEA19DC +00003430[00000343] pys--. : Port=0 RD @00 +00003430[00000343] pys--. : Port=2 RD @02 +00003430[00000343] pys--. : Port=3 RD @02 +00003440[00000344] pys--. : R0: 1 00 AD05220514ECA569B4 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 1 02 AD05220514ECA569B4 +00003440[00000344] pys--. : W0: 1 04 03F3650BB3BAEA19DC W1: 0 00 000000000000000000 +00003440[00000344] pys--. : Port=1 WR @06=EAE5238397730A2A1F +00003440[00000344] pys--. : Port=3 RD @05 +00003450[00000345] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 F24AC5F3565E1EB288 R3: 1 05 F24AC5F3565E1EB288 +00003450[00000345] pys--. : W0: 0 00 000000000000000000 W1: 1 06 EAE5238397730A2A1F +00003450[00000345] pys--. : Port=1 RD @01 +00003450[00000345] pys--. : Port=2 RD @07 +00003460[00000346] pys--. : R0: 0 00 000000000000000000 R1: 1 01 B7C7C326101B63D2AE R2: 1 07 000000000000000000 R3: 0 00 2D53889011BDCFABE0 +00003460[00000346] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003460[00000346] pys--. : Port=1 WR @07=6A4D3C396241F82EBA +00003470[00000347] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 E16D698463FF639CB9 R3: 0 00 000000000000000000 +00003470[00000347] pys--. : W0: 0 00 000000000000000000 W1: 1 07 6A4D3C396241F82EBA +00003470[00000347] pys--. : Port=2 RD @07 +00003480[00000348] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 0 00 000000000000000000 +00003480[00000348] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003490[00000349] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 6A4D3C396241F82EBA R3: 0 00 000000000000000000 +00003490[00000349] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003490[00000349] pys--. : Port=0 WR @02=BC73573DFF3DAEC39E +00003490[00000349] pys--. : Port=0 RD @07 +00003490[00000349] pys--. : Port=3 RD @05 +00003500[00000350] pys--. : R0: 1 07 6A4D3C396241F82EBA R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00003500[00000350] pys--. : W0: 1 02 BC73573DFF3DAEC39E W1: 0 00 000000000000000000 +00003500[00000350] pys--. : Port=1 WR @00=BE1B42B18E383A96D2 +00003500[00000350] pys--. : Port=0 RD @03 +00003500[00000350] pys--. : Port=3 RD @04 +00003510[00000351] pys--. : R0: 1 03 ED410295086D829755 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 2D53889011BDCFABE0 +00003510[00000351] pys--. : W0: 0 00 000000000000000000 W1: 1 00 BE1B42B18E383A96D2 +00003510[00000351] pys--. : Port=0 WR @01=6EE7B790F5FB977E68 +00003510[00000351] pys--. : Port=0 RD @00 +00003510[00000351] pys--. : Port=2 RD @06 +00003520[00000352] pys--. : R0: 1 00 BE1B42B18E383A96D2 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 03F3650BB3BAEA19DC +00003520[00000352] pys--. : W0: 1 01 6EE7B790F5FB977E68 W1: 0 00 000000000000000000 +00003520[00000352] pys--. : Port=0 WR @02=B56A12A0191708FEF1 +00003520[00000352] pys--. : Port=0 RD @04 +00003520[00000352] pys--. : Port=1 RD @00 +00003530[00000353] pys--. : R0: 1 04 03F3650BB3BAEA19DC R1: 1 00 BE1B42B18E383A96D2 R2: 0 00 EAE5238397730A2A1F R3: 0 00 000000000000000000 +00003530[00000353] pys--. : W0: 1 02 B56A12A0191708FEF1 W1: 0 00 000000000000000000 +00003530[00000353] pys--. : Port=1 WR @00=82B9DD1F9524D401F5 +00003530[00000353] pys--. : Port=2 RD @01 +00003530[00000353] pys--. : Port=3 RD @01 +00003540[00000354] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 01 000000000000000000 +00003540[00000354] pys--. : W0: 0 00 000000000000000000 W1: 1 00 82B9DD1F9524D401F5 +00003550[00000355] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 6EE7B790F5FB977E68 R3: 0 00 6EE7B790F5FB977E68 +00003550[00000355] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003550[00000355] pys--. : Port=0 WR @01=3C7900D24DA56C64EB +00003550[00000355] pys--. : Port=0 RD @00 +00003550[00000355] pys--. : Port=2 RD @00 +00003560[00000356] pys--. : R0: 1 00 82B9DD1F9524D401F5 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 0 00 000000000000000000 +00003560[00000356] pys--. : W0: 1 01 3C7900D24DA56C64EB W1: 0 00 000000000000000000 +00003560[00000356] pys--. : Port=2 RD @07 +00003560[00000356] pys--. : Port=3 RD @05 +00003570[00000357] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 82B9DD1F9524D401F5 R3: 1 05 000000000000000000 +00003570[00000357] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003570[00000357] pys--. : Port=0 WR @03=6318F216A5C371BE7C +00003570[00000357] pys--. : Port=0 RD @07 +00003570[00000357] pys--. : Port=2 RD @04 +00003570[00000357] pys--. : Port=3 RD @07 +00003580[00000358] pys--. : R0: 1 07 6A4D3C396241F82EBA R1: 0 00 000000000000000000 R2: 1 04 6A4D3C396241F82EBA R3: 1 07 2D53889011BDCFABE0 +00003580[00000358] pys--. : W0: 1 03 6318F216A5C371BE7C W1: 0 00 000000000000000000 +00003580[00000358] pys--. : Port=0 WR @01=82FEC0E48F70C22521 +00003580[00000358] pys--. : Port=2 RD @06 +00003590[00000359] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 03F3650BB3BAEA19DC R3: 0 00 6A4D3C396241F82EBA +00003590[00000359] pys--. : W0: 1 01 82FEC0E48F70C22521 W1: 0 00 000000000000000000 +00003590[00000359] pys--. : Port=1 WR @05=A14A83F3780E4A9F9B +00003590[00000359] pys--. : Port=1 RD @00 +00003590[00000359] pys--. : Port=2 RD @01 +00003590[00000359] pys--. : Port=3 RD @01 +00003600[00000360] pys--. : R0: 0 00 000000000000000000 R1: 1 00 82B9DD1F9524D401F5 R2: 1 01 EAE5238397730A2A1F R3: 1 01 000000000000000000 +00003600[00000360] pys--. : W0: 0 00 000000000000000000 W1: 1 05 A14A83F3780E4A9F9B +00003600[00000360] pys--. : Port=1 WR @06=B36C31759A09AEB3D6 +00003600[00000360] pys--. : Port=0 RD @01 +00003600[00000360] pys--. : Port=2 RD @07 +00003600[00000360] pys--. : Port=3 RD @07 +00003610[00000361] pys--. : R0: 1 01 82FEC0E48F70C22521 R1: 0 00 000000000000000000 R2: 1 07 82FEC0E48F70C22521 R3: 1 07 82FEC0E48F70C22521 +00003610[00000361] pys--. : W0: 0 00 000000000000000000 W1: 1 06 B36C31759A09AEB3D6 +00003610[00000361] pys--. : Port=0 WR @00=18B5F454BBE671D60E +00003610[00000361] pys--. : Port=2 RD @02 +00003610[00000361] pys--. : Port=3 RD @05 +00003620[00000362] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 6A4D3C396241F82EBA R3: 1 05 6A4D3C396241F82EBA +00003620[00000362] pys--. : W0: 1 00 18B5F454BBE671D60E W1: 0 00 000000000000000000 +00003620[00000362] pys--. : Port=1 RD @05 +00003630[00000363] pys--. : R0: 0 00 000000000000000000 R1: 1 05 A14A83F3780E4A9F9B R2: 0 00 B56A12A0191708FEF1 R3: 0 00 A14A83F3780E4A9F9B +00003630[00000363] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003640[00000364] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00003640[00000364] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003640[00000364] pys--. : Port=0 WR @01=59DF5396796FE7A532 +00003640[00000364] pys--. : Port=1 WR @05=326A5081E0EF770F94 +00003640[00000364] pys--. : Port=0 RD @03 +00003650[00000365] pys--. : R0: 1 03 6318F216A5C371BE7C R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00003650[00000365] pys--. : W0: 1 01 59DF5396796FE7A532 W1: 1 05 326A5081E0EF770F94 +00003650[00000365] pys--. : Port=1 WR @00=ACE541EEAA32ED1E5D +00003650[00000365] pys--. : Port=3 RD @01 +00003660[00000366] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00003660[00000366] pys--. : W0: 0 00 000000000000000000 W1: 1 00 ACE541EEAA32ED1E5D +00003660[00000366] pys--. : Port=0 WR @00=E9BC5F9C92FB0D55CF +00003660[00000366] pys--. : Port=1 WR @03=F42BE7DF044470C70 +00003660[00000366] pys--. : Port=3 RD @01 +00003670[00000367] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 59DF5396796FE7A532 +00003670[00000367] pys--. : W0: 1 00 E9BC5F9C92FB0D55CF W1: 1 03 0F42BE7DF044470C70 +00003670[00000367] pys--. : Port=1 WR @01=F0967B2E8DEE6CD6F +00003670[00000367] pys--. : Port=2 RD @02 +00003670[00000367] pys--. : Port=3 RD @06 +00003680[00000368] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 1 06 59DF5396796FE7A532 +00003680[00000368] pys--. : W0: 0 00 000000000000000000 W1: 1 01 0F0967B2E8DEE6CD6F +00003680[00000368] pys--. : Port=0 WR @03=4F8269228A0B3CF9E2 +00003680[00000368] pys--. : Port=2 RD @07 +00003690[00000369] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 B56A12A0191708FEF1 R3: 0 00 B36C31759A09AEB3D6 +00003690[00000369] pys--. : W0: 1 03 4F8269228A0B3CF9E2 W1: 0 00 000000000000000000 +00003690[00000369] pys--. : Port=1 WR @05=1591EFA77ED61F17F6 +00003700[00000370] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 6A4D3C396241F82EBA R3: 0 00 000000000000000000 +00003700[00000370] pys--. : W0: 0 00 000000000000000000 W1: 1 05 1591EFA77ED61F17F6 +00003710[00000371] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00003710[00000371] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003710[00000371] pys--. : Port=0 RD @05 +00003710[00000371] pys--. : Port=1 RD @07 +00003710[00000371] pys--. : Port=2 RD @03 +00003720[00000372] pys--. : R0: 1 05 1591EFA77ED61F17F6 R1: 1 07 6A4D3C396241F82EBA R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00003720[00000372] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003720[00000372] pys--. : Port=0 WR @04=75D063FAED5AA264C5 +00003720[00000372] pys--. : Port=1 WR @04=395AF1A3701F4FA7A0 +00003720[00000372] pys--. : Port=2 RD @01 +00003730[00000373] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 4F8269228A0B3CF9E2 R3: 0 00 000000000000000000 +00003730[00000373] pys--. : W0: 1 04 75D063FAED5AA264C5 W1: 1 04 395AF1A3701F4FA7A0 +00003730[00000373] pys--. : Port=3 RD @06 +00003740[00000374] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 0F0967B2E8DEE6CD6F R3: 1 06 000000000000000000 +00003740[00000374] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003740[00000374] pys--. : Port=1 WR @02=BCD9A41CFA90679469 +00003740[00000374] pys--. : Port=0 RD @05 +00003740[00000374] pys--. : Port=1 RD @03 +00003740[00000374] pys--. : Port=3 RD @01 +00003750[00000375] pys--. : R0: 1 05 1591EFA77ED61F17F6 R1: 1 03 4F8269228A0B3CF9E2 R2: 0 00 000000000000000000 R3: 1 01 B36C31759A09AEB3D6 +00003750[00000375] pys--. : W0: 0 00 000000000000000000 W1: 1 02 BCD9A41CFA90679469 +00003750[00000375] pys--. : Port=0 WR @02=163E2B26E159B7AC59 +00003750[00000375] pys--. : Port=0 RD @03 +00003750[00000375] pys--. : Port=1 RD @05 +00003750[00000375] pys--. : Port=2 RD @01 +00003760[00000376] pys--. : R0: 1 03 4F8269228A0B3CF9E2 R1: 1 05 1591EFA77ED61F17F6 R2: 1 01 000000000000000000 R3: 0 00 0F0967B2E8DEE6CD6F +00003760[00000376] pys--. : W0: 1 02 163E2B26E159B7AC59 W1: 0 00 000000000000000000 +00003770[00000377] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 0F0967B2E8DEE6CD6F R3: 0 00 000000000000000000 +00003770[00000377] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003770[00000377] pys--. : Port=2 RD @05 +00003780[00000378] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00003780[00000378] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003780[00000378] pys--. : Port=1 WR @02=3233DA5D5E20B4EAC8 +00003780[00000378] pys--. : Port=2 RD @06 +00003790[00000379] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 1591EFA77ED61F17F6 R3: 0 00 000000000000000000 +00003790[00000379] pys--. : W0: 0 00 000000000000000000 W1: 1 02 3233DA5D5E20B4EAC8 +00003790[00000379] pys--. : Port=0 WR @00=7F0E1E9D23949493FF +00003790[00000379] pys--. : Port=1 WR @01=9BAF19737A5F21C9B9 +00003790[00000379] pys--. : Port=0 RD @07 +00003790[00000379] pys--. : Port=1 RD @06 +00003790[00000379] pys--. : Port=2 RD @04 +00003790[00000379] pys--. : Port=3 RD @04 +00003800[00000380] pys--. : R0: 1 07 6A4D3C396241F82EBA R1: 1 06 B36C31759A09AEB3D6 R2: 1 04 B36C31759A09AEB3D6 R3: 1 04 000000000000000000 +00003800[00000380] pys--. : W0: 1 00 7F0E1E9D23949493FF W1: 1 01 9BAF19737A5F21C9B9 +00003800[00000380] pys--. : Port=1 WR @02=6702B17783EB4A4EF8 +00003800[00000380] pys--. : Port=3 RD @01 +00003810[00000381] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 395AF1A3701F4FA7A0 R3: 1 01 395AF1A3701F4FA7A0 +00003810[00000381] pys--. : W0: 0 00 000000000000000000 W1: 1 02 6702B17783EB4A4EF8 +00003810[00000381] pys--. : Port=0 RD @05 +00003810[00000381] pys--. : Port=1 RD @03 +00003810[00000381] pys--. : Port=2 RD @03 +00003820[00000382] pys--. : R0: 1 05 1591EFA77ED61F17F6 R1: 1 03 4F8269228A0B3CF9E2 R2: 1 03 000000000000000000 R3: 0 00 9BAF19737A5F21C9B9 +00003820[00000382] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003820[00000382] pys--. : Port=0 RD @03 +00003820[00000382] pys--. : Port=3 RD @06 +00003830[00000383] pys--. : R0: 1 03 4F8269228A0B3CF9E2 R1: 0 00 000000000000000000 R2: 0 00 4F8269228A0B3CF9E2 R3: 1 06 000000000000000000 +00003830[00000383] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003830[00000383] pys--. : Port=0 WR @01=A686193C7120E85D73 +00003830[00000383] pys--. : Port=1 RD @07 +00003830[00000383] pys--. : Port=3 RD @06 +00003840[00000384] pys--. : R0: 0 00 000000000000000000 R1: 1 07 6A4D3C396241F82EBA R2: 0 00 000000000000000000 R3: 1 06 B36C31759A09AEB3D6 +00003840[00000384] pys--. : W0: 1 01 A686193C7120E85D73 W1: 0 00 000000000000000000 +00003840[00000384] pys--. : Port=0 WR @04=D622840453A7E6DDA +00003840[00000384] pys--. : Port=2 RD @01 +00003850[00000385] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 B36C31759A09AEB3D6 +00003850[00000385] pys--. : W0: 1 04 0D622840453A7E6DDA W1: 0 00 000000000000000000 +00003850[00000385] pys--. : Port=1 WR @07=707A8A16C4A3059051 +00003850[00000385] pys--. : Port=0 RD @00 +00003850[00000385] pys--. : Port=3 RD @02 +00003860[00000386] pys--. : R0: 1 00 7F0E1E9D23949493FF R1: 0 00 000000000000000000 R2: 0 00 A686193C7120E85D73 R3: 1 02 000000000000000000 +00003860[00000386] pys--. : W0: 0 00 000000000000000000 W1: 1 07 707A8A16C4A3059051 +00003860[00000386] pys--. : Port=1 WR @02=E55CDE81FF89649602 +00003860[00000386] pys--. : Port=0 RD @04 +00003870[00000387] pys--. : R0: 1 04 0D622840453A7E6DDA R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 6702B17783EB4A4EF8 +00003870[00000387] pys--. : W0: 0 00 000000000000000000 W1: 1 02 E55CDE81FF89649602 +00003870[00000387] pys--. : Port=1 WR @07=C3D2B10C55B96FFC01 +00003870[00000387] pys--. : Port=0 RD @02 +00003870[00000387] pys--. : Port=3 RD @05 +00003880[00000388] pys--. : R0: 1 02 E55CDE81FF89649602 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00003880[00000388] pys--. : W0: 0 00 000000000000000000 W1: 1 07 C3D2B10C55B96FFC01 +00003880[00000388] pys--. : Port=0 WR @07=512932762C8C2C9781 +00003890[00000389] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 1591EFA77ED61F17F6 +00003890[00000389] pys--. : W0: 1 07 512932762C8C2C9781 W1: 0 00 000000000000000000 +00003890[00000389] pys--. : Port=1 WR @06=CF99F0668B2C38A679 +00003890[00000389] pys--. : Port=2 RD @02 +00003900[00000390] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00003900[00000390] pys--. : W0: 0 00 000000000000000000 W1: 1 06 CF99F0668B2C38A679 +00003900[00000390] pys--. : Port=0 RD @06 +00003900[00000390] pys--. : Port=3 RD @00 +00003910[00000391] pys--. : R0: 1 06 CF99F0668B2C38A679 R1: 0 00 000000000000000000 R2: 0 00 E55CDE81FF89649602 R3: 1 00 000000000000000000 +00003910[00000391] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003910[00000391] pys--. : Port=1 WR @03=30836FF40FDE8D2968 +00003910[00000391] pys--. : Port=2 RD @04 +00003910[00000391] pys--. : Port=3 RD @02 +00003920[00000392] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 1 02 7F0E1E9D23949493FF +00003920[00000392] pys--. : W0: 0 00 000000000000000000 W1: 1 03 30836FF40FDE8D2968 +00003920[00000392] pys--. : Port=0 WR @02=F68A8148E2D069197 +00003920[00000392] pys--. : Port=1 WR @07=DB999592E5780843CF +00003920[00000392] pys--. : Port=3 RD @04 +00003930[00000393] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 0D622840453A7E6DDA R3: 1 04 E55CDE81FF89649602 +00003930[00000393] pys--. : W0: 1 02 0F68A8148E2D069197 W1: 1 07 DB999592E5780843CF +00003930[00000393] pys--. : Port=2 RD @05 +00003940[00000394] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 0 00 0D622840453A7E6DDA +00003940[00000394] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003940[00000394] pys--. : Port=0 RD @01 +00003940[00000394] pys--. : Port=1 RD @05 +00003950[00000395] pys--. : R0: 1 01 A686193C7120E85D73 R1: 1 05 1591EFA77ED61F17F6 R2: 0 00 1591EFA77ED61F17F6 R3: 0 00 000000000000000000 +00003950[00000395] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003950[00000395] pys--. : Port=0 WR @06=D43E5F94EFC399790E +00003950[00000395] pys--. : Port=0 RD @04 +00003950[00000395] pys--. : Port=1 RD @01 +00003950[00000395] pys--. : Port=2 RD @02 +00003960[00000396] pys--. : R0: 1 04 0D622840453A7E6DDA R1: 1 01 A686193C7120E85D73 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00003960[00000396] pys--. : W0: 1 06 D43E5F94EFC399790E W1: 0 00 000000000000000000 +00003960[00000396] pys--. : Port=0 WR @01=331CDF2C1600AD88B8 +00003960[00000396] pys--. : Port=1 WR @02=7FAC04D5AAFB26D2FD +00003960[00000396] pys--. : Port=0 RD @06 +00003960[00000396] pys--. : Port=3 RD @06 +00003970[00000397] pys--. : R0: 1 06 D43E5F94EFC399790E R1: 0 00 000000000000000000 R2: 0 00 0F68A8148E2D069197 R3: 1 06 000000000000000000 +00003970[00000397] pys--. : W0: 1 01 331CDF2C1600AD88B8 W1: 1 02 7FAC04D5AAFB26D2FD +00003970[00000397] pys--. : Port=2 RD @01 +00003980[00000398] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 D43E5F94EFC399790E +00003980[00000398] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00003980[00000398] pys--. : Port=0 WR @07=4A774F69C9823A402F +00003980[00000398] pys--. : Port=1 WR @05=6937CF25F3F8A9804E +00003980[00000398] pys--. : Port=2 RD @04 +00003990[00000399] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 331CDF2C1600AD88B8 R3: 0 00 000000000000000000 +00003990[00000399] pys--. : W0: 1 07 4A774F69C9823A402F W1: 1 05 6937CF25F3F8A9804E +00003990[00000399] pys--. : Port=0 RD @04 +00003990[00000399] pys--. : Port=1 RD @02 +00004000[00000400] pys--. : R0: 1 04 0D622840453A7E6DDA R1: 1 02 7FAC04D5AAFB26D2FD R2: 0 00 0D622840453A7E6DDA R3: 0 00 000000000000000000 +00004000[00000400] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004000[00000400] pys--. : Port=0 WR @00=8F43568BE0670E6161 +00004010[00000401] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004010[00000401] pys--. : W0: 1 00 8F43568BE0670E6161 W1: 0 00 000000000000000000 +00004010[00000401] pys--. : Port=0 WR @06=6CB665E06DCFC6D07B +00004010[00000401] pys--. : Port=1 RD @01 +00004020[00000402] pys--. : R0: 0 00 000000000000000000 R1: 1 01 331CDF2C1600AD88B8 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004020[00000402] pys--. : W0: 1 06 6CB665E06DCFC6D07B W1: 0 00 000000000000000000 +00004020[00000402] pys--. : Port=1 WR @07=E3B4C9CA6246C579FC +00004020[00000402] pys--. : Port=0 RD @00 +00004030[00000403] pys--. : R0: 1 00 8F43568BE0670E6161 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004030[00000403] pys--. : W0: 0 00 000000000000000000 W1: 1 07 E3B4C9CA6246C579FC +00004030[00000403] pys--. : Port=0 WR @02=D939E3A6CD5834B04 +00004030[00000403] pys--. : Port=1 WR @04=D92B5A9A7C8E8B93B6 +00004030[00000403] pys--. : Port=3 RD @06 +00004040[00000404] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00004040[00000404] pys--. : W0: 1 02 0D939E3A6CD5834B04 W1: 1 04 D92B5A9A7C8E8B93B6 +00004040[00000404] pys--. : Port=0 WR @01=3FE6D73679BCFCC9B4 +00004040[00000404] pys--. : Port=1 RD @07 +00004050[00000405] pys--. : R0: 0 00 000000000000000000 R1: 1 07 E3B4C9CA6246C579FC R2: 0 00 000000000000000000 R3: 0 00 6CB665E06DCFC6D07B +00004050[00000405] pys--. : W0: 1 01 3FE6D73679BCFCC9B4 W1: 0 00 000000000000000000 +00004050[00000405] pys--. : Port=0 RD @00 +00004050[00000405] pys--. : Port=1 RD @07 +00004050[00000405] pys--. : Port=2 RD @02 +00004060[00000406] pys--. : R0: 1 00 8F43568BE0670E6161 R1: 1 07 E3B4C9CA6246C579FC R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00004060[00000406] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004060[00000406] pys--. : Port=2 RD @03 +00004070[00000407] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 0D939E3A6CD5834B04 R3: 0 00 000000000000000000 +00004070[00000407] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004070[00000407] pys--. : Port=0 RD @05 +00004070[00000407] pys--. : Port=2 RD @04 +00004080[00000408] pys--. : R0: 1 05 6937CF25F3F8A9804E R1: 0 00 000000000000000000 R2: 1 04 30836FF40FDE8D2968 R3: 0 00 000000000000000000 +00004080[00000408] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004080[00000408] pys--. : Port=1 WR @06=586858FE8B3795C5B6 +00004080[00000408] pys--. : Port=0 RD @03 +00004080[00000408] pys--. : Port=2 RD @04 +00004080[00000408] pys--. : Port=3 RD @03 +00004090[00000409] pys--. : R0: 1 03 30836FF40FDE8D2968 R1: 0 00 000000000000000000 R2: 1 04 D92B5A9A7C8E8B93B6 R3: 1 03 000000000000000000 +00004090[00000409] pys--. : W0: 0 00 000000000000000000 W1: 1 06 586858FE8B3795C5B6 +00004090[00000409] pys--. : Port=2 RD @00 +00004100[00000410] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 D92B5A9A7C8E8B93B6 R3: 0 00 30836FF40FDE8D2968 +00004100[00000410] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004100[00000410] pys--. : Port=1 RD @03 +00004100[00000410] pys--. : Port=2 RD @05 +00004100[00000410] pys--. : Port=3 RD @04 +00004110[00000411] pys--. : R0: 0 00 000000000000000000 R1: 1 03 30836FF40FDE8D2968 R2: 1 05 8F43568BE0670E6161 R3: 1 04 000000000000000000 +00004110[00000411] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004110[00000411] pys--. : Port=0 WR @02=B4AD6E0709751565A7 +00004110[00000411] pys--. : Port=1 WR @01=AC812CDB259F5385F9 +00004110[00000411] pys--. : Port=2 RD @03 +00004110[00000411] pys--. : Port=3 RD @05 +00004120[00000412] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 6937CF25F3F8A9804E R3: 1 05 D92B5A9A7C8E8B93B6 +00004120[00000412] pys--. : W0: 1 02 B4AD6E0709751565A7 W1: 1 01 AC812CDB259F5385F9 +00004120[00000412] pys--. : Port=1 RD @07 +00004120[00000412] pys--. : Port=2 RD @02 +00004130[00000413] pys--. : R0: 0 00 000000000000000000 R1: 1 07 E3B4C9CA6246C579FC R2: 1 02 30836FF40FDE8D2968 R3: 0 00 6937CF25F3F8A9804E +00004130[00000413] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004130[00000413] pys--. : Port=3 RD @04 +00004140[00000414] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 B4AD6E0709751565A7 R3: 1 04 000000000000000000 +00004140[00000414] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004140[00000414] pys--. : Port=1 WR @00=A5D47C7F60C2278A40 +00004140[00000414] pys--. : Port=0 RD @02 +00004150[00000415] pys--. : R0: 1 02 B4AD6E0709751565A7 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 D92B5A9A7C8E8B93B6 +00004150[00000415] pys--. : W0: 0 00 000000000000000000 W1: 1 00 A5D47C7F60C2278A40 +00004150[00000415] pys--. : Port=0 WR @00=3F03570422449AF4E3 +00004150[00000415] pys--. : Port=3 RD @04 +00004160[00000416] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00004160[00000416] pys--. : W0: 1 00 3F03570422449AF4E3 W1: 0 00 000000000000000000 +00004170[00000417] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 D92B5A9A7C8E8B93B6 +00004170[00000417] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004170[00000417] pys--. : Port=0 WR @01=259E0BD184712DD1AE +00004170[00000417] pys--. : Port=1 RD @07 +00004170[00000417] pys--. : Port=2 RD @03 +00004180[00000418] pys--. : R0: 0 00 000000000000000000 R1: 1 07 E3B4C9CA6246C579FC R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00004180[00000418] pys--. : W0: 1 01 259E0BD184712DD1AE W1: 0 00 000000000000000000 +00004180[00000418] pys--. : Port=3 RD @05 +00004190[00000419] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 30836FF40FDE8D2968 R3: 1 05 000000000000000000 +00004190[00000419] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004190[00000419] pys--. : Port=0 WR @06=F6E2AA6A1CE82F0DEA +00004190[00000419] pys--. : Port=1 WR @01=3D9CABCE6BCBBD537C +00004190[00000419] pys--. : Port=1 RD @02 +00004190[00000419] pys--. : Port=2 RD @07 +00004190[00000419] pys--. : Port=3 RD @02 +00004200[00000420] pys--. : R0: 0 00 000000000000000000 R1: 1 02 B4AD6E0709751565A7 R2: 1 07 000000000000000000 R3: 1 02 6937CF25F3F8A9804E +00004200[00000420] pys--. : W0: 1 06 F6E2AA6A1CE82F0DEA W1: 1 01 3D9CABCE6BCBBD537C +00004200[00000420] pys--. : Port=3 RD @02 +00004210[00000421] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 E3B4C9CA6246C579FC R3: 1 02 B4AD6E0709751565A7 +00004210[00000421] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004210[00000421] pys--. : Port=0 RD @01 +00004210[00000421] pys--. : Port=1 RD @01 +00004220[00000422] pys--. : R0: 1 01 3D9CABCE6BCBBD537C R1: 1 01 3D9CABCE6BCBBD537C R2: 0 00 000000000000000000 R3: 0 00 B4AD6E0709751565A7 +00004220[00000422] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004220[00000422] pys--. : Port=0 RD @00 +00004220[00000422] pys--. : Port=3 RD @04 +00004230[00000423] pys--. : R0: 1 00 3F03570422449AF4E3 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00004230[00000423] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004230[00000423] pys--. : Port=1 WR @03=6F7AA92A335606CF5 +00004230[00000423] pys--. : Port=3 RD @01 +00004240[00000424] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 D92B5A9A7C8E8B93B6 +00004240[00000424] pys--. : W0: 0 00 000000000000000000 W1: 1 03 06F7AA92A335606CF5 +00004240[00000424] pys--. : Port=0 WR @06=F5774E4C9A67558C4 +00004240[00000424] pys--. : Port=0 RD @03 +00004240[00000424] pys--. : Port=1 RD @03 +00004250[00000425] pys--. : R0: 1 03 06F7AA92A335606CF5 R1: 1 03 06F7AA92A335606CF5 R2: 0 00 000000000000000000 R3: 0 00 3D9CABCE6BCBBD537C +00004250[00000425] pys--. : W0: 1 06 0F5774E4C9A67558C4 W1: 0 00 000000000000000000 +00004250[00000425] pys--. : Port=0 WR @04=4C26E47C877E9AEE49 +00004250[00000425] pys--. : Port=1 WR @05=5D61CB30D35A742117 +00004250[00000425] pys--. : Port=0 RD @00 +00004250[00000425] pys--. : Port=3 RD @06 +00004260[00000426] pys--. : R0: 1 00 3F03570422449AF4E3 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00004260[00000426] pys--. : W0: 1 04 4C26E47C877E9AEE49 W1: 1 05 5D61CB30D35A742117 +00004260[00000426] pys--. : Port=1 WR @04=9FF6D7D35312D2D4CF +00004260[00000426] pys--. : Port=1 RD @07 +00004260[00000426] pys--. : Port=2 RD @03 +00004260[00000426] pys--. : Port=3 RD @07 +00004270[00000427] pys--. : R0: 0 00 000000000000000000 R1: 1 07 E3B4C9CA6246C579FC R2: 1 03 000000000000000000 R3: 1 07 0F5774E4C9A67558C4 +00004270[00000427] pys--. : W0: 0 00 000000000000000000 W1: 1 04 9FF6D7D35312D2D4CF +00004270[00000427] pys--. : Port=0 RD @03 +00004270[00000427] pys--. : Port=2 RD @01 +00004270[00000427] pys--. : Port=3 RD @07 +00004280[00000428] pys--. : R0: 1 03 06F7AA92A335606CF5 R1: 0 00 000000000000000000 R2: 1 01 06F7AA92A335606CF5 R3: 1 07 E3B4C9CA6246C579FC +00004280[00000428] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004280[00000428] pys--. : Port=1 WR @07=3DFDF7B51F6AE7465A +00004280[00000428] pys--. : Port=0 RD @04 +00004280[00000428] pys--. : Port=1 RD @00 +00004280[00000428] pys--. : Port=2 RD @06 +00004280[00000428] pys--. : Port=3 RD @06 +00004290[00000429] pys--. : R0: 1 04 9FF6D7D35312D2D4CF R1: 1 00 3F03570422449AF4E3 R2: 1 06 3D9CABCE6BCBBD537C R3: 1 06 E3B4C9CA6246C579FC +00004290[00000429] pys--. : W0: 0 00 000000000000000000 W1: 1 07 3DFDF7B51F6AE7465A +00004290[00000429] pys--. : Port=3 RD @05 +00004300[00000430] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 0F5774E4C9A67558C4 R3: 1 05 0F5774E4C9A67558C4 +00004300[00000430] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004310[00000431] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 5D61CB30D35A742117 +00004310[00000431] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004310[00000431] pys--. : Port=3 RD @06 +00004320[00000432] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00004320[00000432] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004320[00000432] pys--. : Port=0 WR @03=14D7CB16D6B4DB2EA6 +00004320[00000432] pys--. : Port=0 RD @04 +00004330[00000433] pys--. : R0: 1 04 9FF6D7D35312D2D4CF R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 0F5774E4C9A67558C4 +00004330[00000433] pys--. : W0: 1 03 14D7CB16D6B4DB2EA6 W1: 0 00 000000000000000000 +00004330[00000433] pys--. : Port=1 WR @02=35F25A3C9FF476EC33 +00004330[00000433] pys--. : Port=2 RD @00 +00004330[00000433] pys--. : Port=3 RD @05 +00004340[00000434] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 1 05 000000000000000000 +00004340[00000434] pys--. : W0: 0 00 000000000000000000 W1: 1 02 35F25A3C9FF476EC33 +00004340[00000434] pys--. : Port=1 WR @07=CED2B2985320AE5337 +00004340[00000434] pys--. : Port=3 RD @01 +00004350[00000435] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 3F03570422449AF4E3 R3: 1 01 5D61CB30D35A742117 +00004350[00000435] pys--. : W0: 0 00 000000000000000000 W1: 1 07 CED2B2985320AE5337 +00004350[00000435] pys--. : Port=0 RD @04 +00004350[00000435] pys--. : Port=1 RD @04 +00004350[00000435] pys--. : Port=2 RD @02 +00004350[00000435] pys--. : Port=3 RD @03 +00004360[00000436] pys--. : R0: 1 04 9FF6D7D35312D2D4CF R1: 1 04 9FF6D7D35312D2D4CF R2: 1 02 000000000000000000 R3: 1 03 3D9CABCE6BCBBD537C +00004360[00000436] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004370[00000437] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 35F25A3C9FF476EC33 R3: 0 00 14D7CB16D6B4DB2EA6 +00004370[00000437] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004370[00000437] pys--. : Port=0 WR @05=4F15B529AECC80A663 +00004370[00000437] pys--. : Port=0 RD @01 +00004370[00000437] pys--. : Port=2 RD @02 +00004380[00000438] pys--. : R0: 1 01 3D9CABCE6BCBBD537C R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00004380[00000438] pys--. : W0: 1 05 4F15B529AECC80A663 W1: 0 00 000000000000000000 +00004380[00000438] pys--. : Port=0 RD @07 +00004380[00000438] pys--. : Port=2 RD @06 +00004390[00000439] pys--. : R0: 1 07 CED2B2985320AE5337 R1: 0 00 000000000000000000 R2: 1 06 35F25A3C9FF476EC33 R3: 0 00 000000000000000000 +00004390[00000439] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004400[00000440] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 0F5774E4C9A67558C4 R3: 0 00 000000000000000000 +00004400[00000440] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004400[00000440] pys--. : Port=1 WR @00=65FDC9BC8E2835A6A9 +00004400[00000440] pys--. : Port=1 RD @03 +00004400[00000440] pys--. : Port=2 RD @02 +00004410[00000441] pys--. : R0: 0 00 000000000000000000 R1: 1 03 14D7CB16D6B4DB2EA6 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00004410[00000441] pys--. : W0: 0 00 000000000000000000 W1: 1 00 65FDC9BC8E2835A6A9 +00004410[00000441] pys--. : Port=1 RD @01 +00004420[00000442] pys--. : R0: 0 00 000000000000000000 R1: 1 01 3D9CABCE6BCBBD537C R2: 0 00 35F25A3C9FF476EC33 R3: 0 00 000000000000000000 +00004420[00000442] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004420[00000442] pys--. : Port=2 RD @04 +00004430[00000443] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00004430[00000443] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004430[00000443] pys--. : Port=0 WR @07=72044C66B97DF760A3 +00004430[00000443] pys--. : Port=1 WR @07=C77DEDA169218C6145 +00004430[00000443] pys--. : Port=1 RD @00 +00004430[00000443] pys--. : Port=3 RD @01 +00004440[00000444] pys--. : R0: 0 00 000000000000000000 R1: 1 00 65FDC9BC8E2835A6A9 R2: 0 00 9FF6D7D35312D2D4CF R3: 1 01 000000000000000000 +00004440[00000444] pys--. : W0: 1 07 72044C66B97DF760A3 W1: 1 07 C77DEDA169218C6145 +00004440[00000444] pys--. : Port=1 WR @02=F6A2A130E2D953BD7F +00004440[00000444] pys--. : Port=0 RD @04 +00004440[00000444] pys--. : Port=3 RD @01 +00004450[00000445] pys--. : R0: 1 04 9FF6D7D35312D2D4CF R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 3D9CABCE6BCBBD537C +00004450[00000445] pys--. : W0: 0 00 000000000000000000 W1: 1 02 F6A2A130E2D953BD7F +00004450[00000445] pys--. : Port=0 RD @03 +00004450[00000445] pys--. : Port=1 RD @06 +00004460[00000446] pys--. : R0: 1 03 14D7CB16D6B4DB2EA6 R1: 1 06 0F5774E4C9A67558C4 R2: 0 00 000000000000000000 R3: 0 00 3D9CABCE6BCBBD537C +00004460[00000446] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004460[00000446] pys--. : Port=0 WR @07=7AECAB227858ECEAC0 +00004470[00000447] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004470[00000447] pys--. : W0: 1 07 7AECAB227858ECEAC0 W1: 0 00 000000000000000000 +00004470[00000447] pys--. : Port=1 WR @07=8E952BED984EC9698E +00004480[00000448] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004480[00000448] pys--. : W0: 0 00 000000000000000000 W1: 1 07 8E952BED984EC9698E +00004480[00000448] pys--. : Port=0 WR @07=60B8BDE6A1FEDBF841 +00004480[00000448] pys--. : Port=1 WR @04=D6F31C04CA40022B7F +00004480[00000448] pys--. : Port=3 RD @01 +00004490[00000449] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00004490[00000449] pys--. : W0: 1 07 60B8BDE6A1FEDBF841 W1: 1 04 D6F31C04CA40022B7F +00004490[00000449] pys--. : Port=0 RD @03 +00004500[00000450] pys--. : R0: 1 03 14D7CB16D6B4DB2EA6 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 3D9CABCE6BCBBD537C +00004500[00000450] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004500[00000450] pys--. : Port=1 WR @01=5C7304F2E43421A410 +00004500[00000450] pys--. : Port=2 RD @02 +00004510[00000451] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00004510[00000451] pys--. : W0: 0 00 000000000000000000 W1: 1 01 5C7304F2E43421A410 +00004510[00000451] pys--. : Port=1 WR @03=2F1DA36954C1F3A664 +00004510[00000451] pys--. : Port=0 RD @02 +00004510[00000451] pys--. : Port=1 RD @06 +00004520[00000452] pys--. : R0: 1 02 F6A2A130E2D953BD7F R1: 1 06 0F5774E4C9A67558C4 R2: 0 00 F6A2A130E2D953BD7F R3: 0 00 000000000000000000 +00004520[00000452] pys--. : W0: 0 00 000000000000000000 W1: 1 03 2F1DA36954C1F3A664 +00004520[00000452] pys--. : Port=1 WR @06=FEF372FA3FB3858F97 +00004520[00000452] pys--. : Port=0 RD @03 +00004530[00000453] pys--. : R0: 1 03 2F1DA36954C1F3A664 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004530[00000453] pys--. : W0: 0 00 000000000000000000 W1: 1 06 FEF372FA3FB3858F97 +00004530[00000453] pys--. : Port=0 WR @02=61092390E2654D4AC4 +00004540[00000454] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004540[00000454] pys--. : W0: 1 02 61092390E2654D4AC4 W1: 0 00 000000000000000000 +00004540[00000454] pys--. : Port=0 WR @01=4C50BD1325D04B9933 +00004540[00000454] pys--. : Port=1 RD @00 +00004550[00000455] pys--. : R0: 0 00 000000000000000000 R1: 1 00 65FDC9BC8E2835A6A9 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004550[00000455] pys--. : W0: 1 01 4C50BD1325D04B9933 W1: 0 00 000000000000000000 +00004550[00000455] pys--. : Port=0 WR @00=7752A959B1B2322081 +00004550[00000455] pys--. : Port=3 RD @06 +00004560[00000456] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00004560[00000456] pys--. : W0: 1 00 7752A959B1B2322081 W1: 0 00 000000000000000000 +00004560[00000456] pys--. : Port=1 WR @01=EA9AE631C72E525CB9 +00004560[00000456] pys--. : Port=0 RD @06 +00004560[00000456] pys--. : Port=1 RD @03 +00004570[00000457] pys--. : R0: 1 06 FEF372FA3FB3858F97 R1: 1 03 2F1DA36954C1F3A664 R2: 0 00 000000000000000000 R3: 0 00 FEF372FA3FB3858F97 +00004570[00000457] pys--. : W0: 0 00 000000000000000000 W1: 1 01 EA9AE631C72E525CB9 +00004580[00000458] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004580[00000458] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004590[00000459] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004590[00000459] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004590[00000459] pys--. : Port=1 WR @06=7C59978890F0239A3C +00004590[00000459] pys--. : Port=1 RD @07 +00004590[00000459] pys--. : Port=3 RD @03 +00004600[00000460] pys--. : R0: 0 00 000000000000000000 R1: 1 07 60B8BDE6A1FEDBF841 R2: 0 00 000000000000000000 R3: 1 03 000000000000000000 +00004600[00000460] pys--. : W0: 0 00 000000000000000000 W1: 1 06 7C59978890F0239A3C +00004600[00000460] pys--. : Port=0 RD @03 +00004600[00000460] pys--. : Port=3 RD @04 +00004610[00000461] pys--. : R0: 1 03 2F1DA36954C1F3A664 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 2F1DA36954C1F3A664 +00004610[00000461] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004610[00000461] pys--. : Port=0 WR @04=1AA513641DBB07E66F +00004610[00000461] pys--. : Port=0 RD @05 +00004610[00000461] pys--. : Port=1 RD @01 +00004620[00000462] pys--. : R0: 1 05 4F15B529AECC80A663 R1: 1 01 EA9AE631C72E525CB9 R2: 0 00 000000000000000000 R3: 0 00 D6F31C04CA40022B7F +00004620[00000462] pys--. : W0: 1 04 1AA513641DBB07E66F W1: 0 00 000000000000000000 +00004620[00000462] pys--. : Port=0 WR @05=FBDE7F7568A6806E06 +00004620[00000462] pys--. : Port=1 WR @02=5700F1702AF80A2D5B +00004620[00000462] pys--. : Port=0 RD @04 +00004630[00000463] pys--. : R0: 1 04 1AA513641DBB07E66F R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004630[00000463] pys--. : W0: 1 05 FBDE7F7568A6806E06 W1: 1 02 5700F1702AF80A2D5B +00004630[00000463] pys--. : Port=0 RD @05 +00004630[00000463] pys--. : Port=1 RD @07 +00004630[00000463] pys--. : Port=3 RD @04 +00004640[00000464] pys--. : R0: 1 05 FBDE7F7568A6806E06 R1: 1 07 60B8BDE6A1FEDBF841 R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00004640[00000464] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004640[00000464] pys--. : Port=1 WR @03=1FE34DEAE2A237B89B +00004640[00000464] pys--. : Port=0 RD @05 +00004640[00000464] pys--. : Port=1 RD @01 +00004640[00000464] pys--. : Port=2 RD @07 +00004640[00000464] pys--. : Port=3 RD @06 +00004650[00000465] pys--. : R0: 1 05 FBDE7F7568A6806E06 R1: 1 01 EA9AE631C72E525CB9 R2: 1 07 000000000000000000 R3: 1 06 1AA513641DBB07E66F +00004650[00000465] pys--. : W0: 0 00 000000000000000000 W1: 1 03 1FE34DEAE2A237B89B +00004660[00000466] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 60B8BDE6A1FEDBF841 R3: 0 00 7C59978890F0239A3C +00004660[00000466] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004660[00000466] pys--. : Port=0 WR @01=8272BE8C58B0E6B8A8 +00004660[00000466] pys--. : Port=1 WR @01=DDBED39CFFE662FD7 +00004660[00000466] pys--. : Port=0 RD @02 +00004660[00000466] pys--. : Port=1 RD @05 +00004660[00000466] pys--. : Port=3 RD @02 +00004670[00000467] pys--. : R0: 1 02 5700F1702AF80A2D5B R1: 1 05 FBDE7F7568A6806E06 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00004670[00000467] pys--. : W0: 1 01 8272BE8C58B0E6B8A8 W1: 1 01 0DDBED39CFFE662FD7 +00004670[00000467] pys--. : Port=0 WR @02=A75752DF0F062B5388 +00004670[00000467] pys--. : Port=1 WR @02=DD367045BCDFD4A10E +00004670[00000467] pys--. : Port=0 RD @04 +00004670[00000467] pys--. : Port=1 RD @04 +00004680[00000468] pys--. : R0: 1 04 1AA513641DBB07E66F R1: 1 04 1AA513641DBB07E66F R2: 0 00 000000000000000000 R3: 0 00 5700F1702AF80A2D5B +00004680[00000468] pys--. : W0: 1 02 A75752DF0F062B5388 W1: 1 02 DD367045BCDFD4A10E +00004680[00000468] pys--. : Port=1 RD @02 +00004680[00000468] pys--. : Port=2 RD @04 +00004680[00000468] pys--. : Port=3 RD @02 +00004690[00000469] pys--. : R0: 0 00 000000000000000000 R1: 1 02 DD367045BCDFD4A10E R2: 1 04 000000000000000000 R3: 1 02 000000000000000000 +00004690[00000469] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004690[00000469] pys--. : Port=2 RD @05 +00004690[00000469] pys--. : Port=3 RD @07 +00004700[00000470] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 1AA513641DBB07E66F R3: 1 07 DD367045BCDFD4A10E +00004700[00000470] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004700[00000470] pys--. : Port=1 WR @07=3164856E0740FEA39B +00004700[00000470] pys--. : Port=0 RD @02 +00004700[00000470] pys--. : Port=2 RD @03 +00004700[00000470] pys--. : Port=3 RD @06 +00004710[00000471] pys--. : R0: 1 02 DD367045BCDFD4A10E R1: 0 00 000000000000000000 R2: 1 03 FBDE7F7568A6806E06 R3: 1 06 60B8BDE6A1FEDBF841 +00004710[00000471] pys--. : W0: 0 00 000000000000000000 W1: 1 07 3164856E0740FEA39B +00004710[00000471] pys--. : Port=0 WR @02=EDC0F2A6F0458DC629 +00004720[00000472] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 1FE34DEAE2A237B89B R3: 0 00 7C59978890F0239A3C +00004720[00000472] pys--. : W0: 1 02 EDC0F2A6F0458DC629 W1: 0 00 000000000000000000 +00004720[00000472] pys--. : Port=1 WR @06=B5B0E208642E1086AA +00004730[00000473] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004730[00000473] pys--. : W0: 0 00 000000000000000000 W1: 1 06 B5B0E208642E1086AA +00004730[00000473] pys--. : Port=0 WR @07=2202706F867F5985E8 +00004730[00000473] pys--. : Port=0 RD @04 +00004740[00000474] pys--. : R0: 1 04 1AA513641DBB07E66F R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004740[00000474] pys--. : W0: 1 07 2202706F867F5985E8 W1: 0 00 000000000000000000 +00004750[00000475] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004750[00000475] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004750[00000475] pys--. : Port=0 WR @04=BE94278D87C47D1725 +00004750[00000475] pys--. : Port=1 WR @06=DE519C344A37F71FE8 +00004750[00000475] pys--. : Port=2 RD @03 +00004760[00000476] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00004760[00000476] pys--. : W0: 1 04 BE94278D87C47D1725 W1: 1 06 DE519C344A37F71FE8 +00004760[00000476] pys--. : Port=0 WR @06=E6E2A0BB8E5C460005 +00004760[00000476] pys--. : Port=1 WR @00=FF2C00F8C6B03BB674 +00004760[00000476] pys--. : Port=1 RD @02 +00004760[00000476] pys--. : Port=2 RD @04 +00004770[00000477] pys--. : R0: 0 00 000000000000000000 R1: 1 02 EDC0F2A6F0458DC629 R2: 1 04 1FE34DEAE2A237B89B R3: 0 00 000000000000000000 +00004770[00000477] pys--. : W0: 1 06 E6E2A0BB8E5C460005 W1: 1 00 FF2C00F8C6B03BB674 +00004770[00000477] pys--. : Port=0 WR @01=375C0FF28165853F40 +00004770[00000477] pys--. : Port=1 WR @01=DF08F5C5F2851308B +00004770[00000477] pys--. : Port=0 RD @04 +00004770[00000477] pys--. : Port=2 RD @04 +00004780[00000478] pys--. : R0: 1 04 BE94278D87C47D1725 R1: 0 00 000000000000000000 R2: 1 04 BE94278D87C47D1725 R3: 0 00 000000000000000000 +00004780[00000478] pys--. : W0: 1 01 375C0FF28165853F40 W1: 1 01 0DF08F5C5F2851308B +00004780[00000478] pys--. : Port=1 WR @01=9A2DF992EEC24CBE97 +00004780[00000478] pys--. : Port=1 RD @00 +00004780[00000478] pys--. : Port=3 RD @07 +00004790[00000479] pys--. : R0: 0 00 000000000000000000 R1: 1 00 FF2C00F8C6B03BB674 R2: 0 00 BE94278D87C47D1725 R3: 1 07 000000000000000000 +00004790[00000479] pys--. : W0: 0 00 000000000000000000 W1: 1 01 9A2DF992EEC24CBE97 +00004790[00000479] pys--. : Port=1 WR @06=44B7F1C14D1292D299 +00004790[00000479] pys--. : Port=0 RD @00 +00004790[00000479] pys--. : Port=2 RD @07 +00004790[00000479] pys--. : Port=3 RD @05 +00004800[00000480] pys--. : R0: 1 00 FF2C00F8C6B03BB674 R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 1 05 2202706F867F5985E8 +00004800[00000480] pys--. : W0: 0 00 000000000000000000 W1: 1 06 44B7F1C14D1292D299 +00004800[00000480] pys--. : Port=0 RD @04 +00004800[00000480] pys--. : Port=1 RD @07 +00004800[00000480] pys--. : Port=2 RD @06 +00004800[00000480] pys--. : Port=3 RD @03 +00004810[00000481] pys--. : R0: 1 04 BE94278D87C47D1725 R1: 1 07 2202706F867F5985E8 R2: 1 06 2202706F867F5985E8 R3: 1 03 FBDE7F7568A6806E06 +00004810[00000481] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004810[00000481] pys--. : Port=0 WR @06=CC032DE5FABE55563C +00004810[00000481] pys--. : Port=1 WR @04=11BEC2422F0B30028D +00004810[00000481] pys--. : Port=1 RD @03 +00004810[00000481] pys--. : Port=2 RD @05 +00004820[00000482] pys--. : R0: 0 00 000000000000000000 R1: 1 03 1FE34DEAE2A237B89B R2: 1 05 44B7F1C14D1292D299 R3: 0 00 1FE34DEAE2A237B89B +00004820[00000482] pys--. : W0: 1 06 CC032DE5FABE55563C W1: 1 04 11BEC2422F0B30028D +00004820[00000482] pys--. : Port=1 WR @01=E52B59F5E2002C1898 +00004820[00000482] pys--. : Port=1 RD @07 +00004830[00000483] pys--. : R0: 0 00 000000000000000000 R1: 1 07 2202706F867F5985E8 R2: 0 00 FBDE7F7568A6806E06 R3: 0 00 000000000000000000 +00004830[00000483] pys--. : W0: 0 00 000000000000000000 W1: 1 01 E52B59F5E2002C1898 +00004830[00000483] pys--. : Port=0 RD @07 +00004830[00000483] pys--. : Port=1 RD @04 +00004840[00000484] pys--. : R0: 1 07 2202706F867F5985E8 R1: 1 04 11BEC2422F0B30028D R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004840[00000484] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004840[00000484] pys--. : Port=1 WR @03=C7F0FE071E7C6B5B3A +00004840[00000484] pys--. : Port=1 RD @01 +00004850[00000485] pys--. : R0: 0 00 000000000000000000 R1: 1 01 E52B59F5E2002C1898 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00004850[00000485] pys--. : W0: 0 00 000000000000000000 W1: 1 03 C7F0FE071E7C6B5B3A +00004850[00000485] pys--. : Port=0 WR @04=9EA26B4F7B2EE4EC07 +00004850[00000485] pys--. : Port=1 WR @00=2B94780CF391557572 +00004850[00000485] pys--. : Port=2 RD @03 +00004850[00000485] pys--. : Port=3 RD @07 +00004860[00000486] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 1 07 000000000000000000 +00004860[00000486] pys--. : W0: 1 04 9EA26B4F7B2EE4EC07 W1: 1 00 2B94780CF391557572 +00004860[00000486] pys--. : Port=0 RD @06 +00004860[00000486] pys--. : Port=3 RD @00 +00004870[00000487] pys--. : R0: 1 06 CC032DE5FABE55563C R1: 0 00 000000000000000000 R2: 0 00 C7F0FE071E7C6B5B3A R3: 1 00 2202706F867F5985E8 +00004870[00000487] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004870[00000487] pys--. : Port=1 WR @04=C7AB487684DF0B032E +00004870[00000487] pys--. : Port=3 RD @05 +00004880[00000488] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 2B94780CF391557572 +00004880[00000488] pys--. : W0: 0 00 000000000000000000 W1: 1 04 C7AB487684DF0B032E +00004880[00000488] pys--. : Port=0 RD @06 +00004880[00000488] pys--. : Port=1 RD @04 +00004880[00000488] pys--. : Port=3 RD @00 +00004890[00000489] pys--. : R0: 1 06 CC032DE5FABE55563C R1: 1 04 C7AB487684DF0B032E R2: 0 00 000000000000000000 R3: 1 00 FBDE7F7568A6806E06 +00004890[00000489] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004890[00000489] pys--. : Port=2 RD @06 +00004900[00000490] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 2B94780CF391557572 +00004900[00000490] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004900[00000490] pys--. : Port=0 WR @03=926D012116945320E6 +00004900[00000490] pys--. : Port=1 WR @02=FA88F914301C9311E1 +00004900[00000490] pys--. : Port=0 RD @05 +00004900[00000490] pys--. : Port=1 RD @07 +00004900[00000490] pys--. : Port=3 RD @06 +00004910[00000491] pys--. : R0: 1 05 FBDE7F7568A6806E06 R1: 1 07 2202706F867F5985E8 R2: 0 00 CC032DE5FABE55563C R3: 1 06 000000000000000000 +00004910[00000491] pys--. : W0: 1 03 926D012116945320E6 W1: 1 02 FA88F914301C9311E1 +00004910[00000491] pys--. : Port=0 WR @03=C980B55768F907E9A5 +00004910[00000491] pys--. : Port=1 WR @02=519154953FEFDDDCAD +00004910[00000491] pys--. : Port=1 RD @06 +00004910[00000491] pys--. : Port=2 RD @00 +00004920[00000492] pys--. : R0: 0 00 000000000000000000 R1: 1 06 CC032DE5FABE55563C R2: 1 00 000000000000000000 R3: 0 00 CC032DE5FABE55563C +00004920[00000492] pys--. : W0: 1 03 C980B55768F907E9A5 W1: 1 02 519154953FEFDDDCAD +00004920[00000492] pys--. : Port=0 RD @07 +00004930[00000493] pys--. : R0: 1 07 2202706F867F5985E8 R1: 0 00 000000000000000000 R2: 0 00 2B94780CF391557572 R3: 0 00 000000000000000000 +00004930[00000493] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004930[00000493] pys--. : Port=1 RD @01 +00004930[00000493] pys--. : Port=3 RD @03 +00004940[00000494] pys--. : R0: 0 00 000000000000000000 R1: 1 01 E52B59F5E2002C1898 R2: 0 00 000000000000000000 R3: 1 03 000000000000000000 +00004940[00000494] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004940[00000494] pys--. : Port=1 RD @05 +00004940[00000494] pys--. : Port=2 RD @02 +00004950[00000495] pys--. : R0: 0 00 000000000000000000 R1: 1 05 FBDE7F7568A6806E06 R2: 1 02 000000000000000000 R3: 0 00 C980B55768F907E9A5 +00004950[00000495] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004960[00000496] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 519154953FEFDDDCAD R3: 0 00 000000000000000000 +00004960[00000496] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004960[00000496] pys--. : Port=2 RD @02 +00004970[00000497] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00004970[00000497] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004980[00000498] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 519154953FEFDDDCAD R3: 0 00 000000000000000000 +00004980[00000498] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004980[00000498] pys--. : Port=1 RD @06 +00004980[00000498] pys--. : Port=2 RD @00 +00004980[00000498] pys--. : Port=3 RD @05 +00004990[00000499] pys--. : R0: 0 00 000000000000000000 R1: 1 06 CC032DE5FABE55563C R2: 1 00 000000000000000000 R3: 1 05 000000000000000000 +00004990[00000499] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00004990[00000499] pys--. : Port=1 WR @06=11ABB3EFA4681CF583 +00004990[00000499] pys--. : Port=0 RD @05 +00004990[00000499] pys--. : Port=2 RD @01 +00004990[00000499] pys--. : Port=3 RD @01 +00005000[00000500] pys--. : R0: 1 05 FBDE7F7568A6806E06 R1: 0 00 000000000000000000 R2: 1 01 2B94780CF391557572 R3: 1 01 FBDE7F7568A6806E06 +00005000[00000500] pys--. : W0: 0 00 000000000000000000 W1: 1 06 11ABB3EFA4681CF583 +00005000[00000500] pys--. : Port=0 WR @05=EF2B6330D2A058496A +00005000[00000500] pys--. : Port=1 WR @03=5037EAF31D2D2B8A1F +00005000[00000500] pys--. : Port=1 RD @06 +00005010[00000501] pys--. : R0: 0 00 000000000000000000 R1: 1 06 11ABB3EFA4681CF583 R2: 0 00 E52B59F5E2002C1898 R3: 0 00 E52B59F5E2002C1898 +00005010[00000501] pys--. : W0: 1 05 EF2B6330D2A058496A W1: 1 03 5037EAF31D2D2B8A1F +00005010[00000501] pys--. : Port=1 WR @04=62A127AC89FDB2C357 +00005010[00000501] pys--. : Port=0 RD @00 +00005010[00000501] pys--. : Port=1 RD @05 +00005010[00000501] pys--. : Port=2 RD @07 +00005010[00000501] pys--. : Port=3 RD @00 +00005020[00000502] pys--. : R0: 1 00 2B94780CF391557572 R1: 1 05 EF2B6330D2A058496A R2: 1 07 000000000000000000 R3: 1 00 000000000000000000 +00005020[00000502] pys--. : W0: 0 00 000000000000000000 W1: 1 04 62A127AC89FDB2C357 +00005030[00000503] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 2202706F867F5985E8 R3: 0 00 2B94780CF391557572 +00005030[00000503] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005030[00000503] pys--. : Port=0 WR @02=CD5D61C8BEDFFD535A +00005030[00000503] pys--. : Port=0 RD @07 +00005030[00000503] pys--. : Port=3 RD @01 +00005040[00000504] pys--. : R0: 1 07 2202706F867F5985E8 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00005040[00000504] pys--. : W0: 1 02 CD5D61C8BEDFFD535A W1: 0 00 000000000000000000 +00005040[00000504] pys--. : Port=0 WR @02=33A9613BC5C91FB08B +00005040[00000504] pys--. : Port=1 WR @03=89A72EEEACC30010BD +00005050[00000505] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 E52B59F5E2002C1898 +00005050[00000505] pys--. : W0: 1 02 33A9613BC5C91FB08B W1: 1 03 89A72EEEACC30010BD +00005050[00000505] pys--. : Port=0 WR @07=DDCC03A505157110F1 +00005050[00000505] pys--. : Port=2 RD @01 +00005050[00000505] pys--. : Port=3 RD @04 +00005060[00000506] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 04 000000000000000000 +00005060[00000506] pys--. : W0: 1 07 DDCC03A505157110F1 W1: 0 00 000000000000000000 +00005060[00000506] pys--. : Port=1 WR @06=5D18F8CC8293EE32AC +00005060[00000506] pys--. : Port=2 RD @07 +00005060[00000506] pys--. : Port=3 RD @07 +00005070[00000507] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 E52B59F5E2002C1898 R3: 1 07 62A127AC89FDB2C357 +00005070[00000507] pys--. : W0: 0 00 000000000000000000 W1: 1 06 5D18F8CC8293EE32AC +00005070[00000507] pys--. : Port=1 WR @06=AAE53CEB90C217B8DE +00005070[00000507] pys--. : Port=0 RD @05 +00005070[00000507] pys--. : Port=2 RD @01 +00005070[00000507] pys--. : Port=3 RD @00 +00005080[00000508] pys--. : R0: 1 05 EF2B6330D2A058496A R1: 0 00 000000000000000000 R2: 1 01 DDCC03A505157110F1 R3: 1 00 DDCC03A505157110F1 +00005080[00000508] pys--. : W0: 0 00 000000000000000000 W1: 1 06 AAE53CEB90C217B8DE +00005080[00000508] pys--. : Port=0 WR @05=E3A99655B405690618 +00005080[00000508] pys--. : Port=0 RD @06 +00005080[00000508] pys--. : Port=1 RD @04 +00005090[00000509] pys--. : R0: 1 06 AAE53CEB90C217B8DE R1: 1 04 62A127AC89FDB2C357 R2: 0 00 E52B59F5E2002C1898 R3: 0 00 2B94780CF391557572 +00005090[00000509] pys--. : W0: 1 05 E3A99655B405690618 W1: 0 00 000000000000000000 +00005090[00000509] pys--. : Port=0 WR @01=37464219228A827C2B +00005090[00000509] pys--. : Port=0 RD @07 +00005090[00000509] pys--. : Port=1 RD @06 +00005090[00000509] pys--. : Port=2 RD @07 +00005090[00000509] pys--. : Port=3 RD @03 +00005100[00000510] pys--. : R0: 1 07 DDCC03A505157110F1 R1: 1 06 AAE53CEB90C217B8DE R2: 1 07 000000000000000000 R3: 1 03 000000000000000000 +00005100[00000510] pys--. : W0: 1 01 37464219228A827C2B W1: 0 00 000000000000000000 +00005100[00000510] pys--. : Port=1 WR @07=E35B3C957F6593564C +00005100[00000510] pys--. : Port=3 RD @04 +00005110[00000511] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 DDCC03A505157110F1 R3: 1 04 89A72EEEACC30010BD +00005110[00000511] pys--. : W0: 0 00 000000000000000000 W1: 1 07 E35B3C957F6593564C +00005110[00000511] pys--. : Port=1 WR @04=D5E651DF405F7FB92 +00005110[00000511] pys--. : Port=3 RD @02 +00005120[00000512] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 62A127AC89FDB2C357 +00005120[00000512] pys--. : W0: 0 00 000000000000000000 W1: 1 04 0D5E651DF405F7FB92 +00005120[00000512] pys--. : Port=0 RD @00 +00005120[00000512] pys--. : Port=1 RD @00 +00005120[00000512] pys--. : Port=2 RD @05 +00005120[00000512] pys--. : Port=3 RD @04 +00005130[00000513] pys--. : R0: 1 00 2B94780CF391557572 R1: 1 00 2B94780CF391557572 R2: 1 05 000000000000000000 R3: 1 04 33A9613BC5C91FB08B +00005130[00000513] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005130[00000513] pys--. : Port=2 RD @02 +00005140[00000514] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 E3A99655B405690618 R3: 0 00 0D5E651DF405F7FB92 +00005140[00000514] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005140[00000514] pys--. : Port=1 WR @06=F5947CDA542334BB6 +00005140[00000514] pys--. : Port=1 RD @05 +00005140[00000514] pys--. : Port=3 RD @02 +00005150[00000515] pys--. : R0: 0 00 000000000000000000 R1: 1 05 E3A99655B405690618 R2: 0 00 33A9613BC5C91FB08B R3: 1 02 000000000000000000 +00005150[00000515] pys--. : W0: 0 00 000000000000000000 W1: 1 06 0F5947CDA542334BB6 +00005150[00000515] pys--. : Port=0 WR @01=B5511B0994CA6A84DC +00005150[00000515] pys--. : Port=1 WR @06=686551D1B3B5987260 +00005150[00000515] pys--. : Port=0 RD @04 +00005150[00000515] pys--. : Port=2 RD @00 +00005150[00000515] pys--. : Port=3 RD @02 +00005160[00000516] pys--. : R0: 1 04 0D5E651DF405F7FB92 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 1 02 33A9613BC5C91FB08B +00005160[00000516] pys--. : W0: 1 01 B5511B0994CA6A84DC W1: 1 06 686551D1B3B5987260 +00005160[00000516] pys--. : Port=0 WR @06=2831621766FE2B12E2 +00005160[00000516] pys--. : Port=1 WR @02=E08FC39DA945AEEDD7 +00005160[00000516] pys--. : Port=2 RD @04 +00005170[00000517] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 2B94780CF391557572 R3: 0 00 33A9613BC5C91FB08B +00005170[00000517] pys--. : W0: 1 06 2831621766FE2B12E2 W1: 1 02 E08FC39DA945AEEDD7 +00005170[00000517] pys--. : Port=1 RD @02 +00005170[00000517] pys--. : Port=3 RD @06 +00005180[00000518] pys--. : R0: 0 00 000000000000000000 R1: 1 02 E08FC39DA945AEEDD7 R2: 0 00 0D5E651DF405F7FB92 R3: 1 06 000000000000000000 +00005180[00000518] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005180[00000518] pys--. : Port=0 WR @04=6BBB1696D9C4147C7E +00005180[00000518] pys--. : Port=1 WR @00=31B3A60E5C2C438DD9 +00005190[00000519] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 2831621766FE2B12E2 +00005190[00000519] pys--. : W0: 1 04 6BBB1696D9C4147C7E W1: 1 00 31B3A60E5C2C438DD9 +00005190[00000519] pys--. : Port=0 RD @01 +00005190[00000519] pys--. : Port=3 RD @07 +00005200[00000520] pys--. : R0: 1 01 B5511B0994CA6A84DC R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 07 000000000000000000 +00005200[00000520] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005200[00000520] pys--. : Port=0 RD @05 +00005200[00000520] pys--. : Port=1 RD @00 +00005200[00000520] pys--. : Port=2 RD @06 +00005200[00000520] pys--. : Port=3 RD @01 +00005210[00000521] pys--. : R0: 1 05 E3A99655B405690618 R1: 1 00 31B3A60E5C2C438DD9 R2: 1 06 000000000000000000 R3: 1 01 E35B3C957F6593564C +00005210[00000521] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005210[00000521] pys--. : Port=2 RD @00 +00005220[00000522] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 2831621766FE2B12E2 R3: 0 00 B5511B0994CA6A84DC +00005220[00000522] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005220[00000522] pys--. : Port=0 RD @02 +00005220[00000522] pys--. : Port=1 RD @04 +00005230[00000523] pys--. : R0: 1 02 E08FC39DA945AEEDD7 R1: 1 04 6BBB1696D9C4147C7E R2: 0 00 31B3A60E5C2C438DD9 R3: 0 00 000000000000000000 +00005230[00000523] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005230[00000523] pys--. : Port=0 RD @01 +00005240[00000524] pys--. : R0: 1 01 B5511B0994CA6A84DC R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005240[00000524] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005240[00000524] pys--. : Port=2 RD @02 +00005250[00000525] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00005250[00000525] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005250[00000525] pys--. : Port=0 WR @06=280D602071EE42D251 +00005250[00000525] pys--. : Port=1 WR @02=AD962822B128899FEA +00005250[00000525] pys--. : Port=3 RD @01 +00005260[00000526] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 E08FC39DA945AEEDD7 R3: 1 01 000000000000000000 +00005260[00000526] pys--. : W0: 1 06 280D602071EE42D251 W1: 1 02 AD962822B128899FEA +00005260[00000526] pys--. : Port=0 WR @04=381DC4D2F90E93FC +00005260[00000526] pys--. : Port=0 RD @00 +00005260[00000526] pys--. : Port=2 RD @00 +00005270[00000527] pys--. : R0: 1 00 31B3A60E5C2C438DD9 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 0 00 B5511B0994CA6A84DC +00005270[00000527] pys--. : W0: 1 04 00381DC4D2F90E93FC W1: 0 00 000000000000000000 +00005270[00000527] pys--. : Port=2 RD @03 +00005270[00000527] pys--. : Port=3 RD @02 +00005280[00000528] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 31B3A60E5C2C438DD9 R3: 1 02 000000000000000000 +00005280[00000528] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005280[00000528] pys--. : Port=2 RD @07 +00005290[00000529] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 89A72EEEACC30010BD R3: 0 00 AD962822B128899FEA +00005290[00000529] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005290[00000529] pys--. : Port=1 WR @04=65E226B54EB8E83FF5 +00005290[00000529] pys--. : Port=0 RD @05 +00005290[00000529] pys--. : Port=1 RD @02 +00005290[00000529] pys--. : Port=2 RD @00 +00005290[00000529] pys--. : Port=3 RD @05 +00005300[00000530] pys--. : R0: 1 05 E3A99655B405690618 R1: 1 02 AD962822B128899FEA R2: 1 00 E35B3C957F6593564C R3: 1 05 000000000000000000 +00005300[00000530] pys--. : W0: 0 00 000000000000000000 W1: 1 04 65E226B54EB8E83FF5 +00005300[00000530] pys--. : Port=1 WR @06=A57FE82B9232B4702F +00005300[00000530] pys--. : Port=0 RD @07 +00005300[00000530] pys--. : Port=1 RD @02 +00005310[00000531] pys--. : R0: 1 07 E35B3C957F6593564C R1: 1 02 AD962822B128899FEA R2: 0 00 31B3A60E5C2C438DD9 R3: 0 00 E3A99655B405690618 +00005310[00000531] pys--. : W0: 0 00 000000000000000000 W1: 1 06 A57FE82B9232B4702F +00005310[00000531] pys--. : Port=0 WR @00=B6ABFAA1BA50119D26 +00005310[00000531] pys--. : Port=1 RD @05 +00005320[00000532] pys--. : R0: 0 00 000000000000000000 R1: 1 05 E3A99655B405690618 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005320[00000532] pys--. : W0: 1 00 B6ABFAA1BA50119D26 W1: 0 00 000000000000000000 +00005320[00000532] pys--. : Port=1 WR @05=B63EADBB4DBA697BAB +00005320[00000532] pys--. : Port=2 RD @03 +00005320[00000532] pys--. : Port=3 RD @06 +00005330[00000533] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 1 06 000000000000000000 +00005330[00000533] pys--. : W0: 0 00 000000000000000000 W1: 1 05 B63EADBB4DBA697BAB +00005330[00000533] pys--. : Port=3 RD @01 +00005340[00000534] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 89A72EEEACC30010BD R3: 1 01 A57FE82B9232B4702F +00005340[00000534] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005350[00000535] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 B5511B0994CA6A84DC +00005350[00000535] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005350[00000535] pys--. : Port=0 WR @02=3F0FB04255A717A623 +00005350[00000535] pys--. : Port=2 RD @04 +00005360[00000536] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00005360[00000536] pys--. : W0: 1 02 3F0FB04255A717A623 W1: 0 00 000000000000000000 +00005360[00000536] pys--. : Port=0 WR @04=AAE537B3046ECB6847 +00005360[00000536] pys--. : Port=0 RD @03 +00005360[00000536] pys--. : Port=3 RD @05 +00005370[00000537] pys--. : R0: 1 03 89A72EEEACC30010BD R1: 0 00 000000000000000000 R2: 0 00 65E226B54EB8E83FF5 R3: 1 05 000000000000000000 +00005370[00000537] pys--. : W0: 1 04 AAE537B3046ECB6847 W1: 0 00 000000000000000000 +00005370[00000537] pys--. : Port=0 RD @04 +00005370[00000537] pys--. : Port=1 RD @01 +00005370[00000537] pys--. : Port=2 RD @00 +00005370[00000537] pys--. : Port=3 RD @05 +00005380[00000538] pys--. : R0: 1 04 AAE537B3046ECB6847 R1: 1 01 B5511B0994CA6A84DC R2: 1 00 000000000000000000 R3: 1 05 B63EADBB4DBA697BAB +00005380[00000538] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005380[00000538] pys--. : Port=0 RD @04 +00005390[00000539] pys--. : R0: 1 04 AAE537B3046ECB6847 R1: 0 00 000000000000000000 R2: 0 00 B6ABFAA1BA50119D26 R3: 0 00 B63EADBB4DBA697BAB +00005390[00000539] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005390[00000539] pys--. : Port=1 WR @05=6114B93B9E880D5C7E +00005390[00000539] pys--. : Port=0 RD @06 +00005390[00000539] pys--. : Port=2 RD @03 +00005400[00000540] pys--. : R0: 1 06 A57FE82B9232B4702F R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00005400[00000540] pys--. : W0: 0 00 000000000000000000 W1: 1 05 6114B93B9E880D5C7E +00005400[00000540] pys--. : Port=0 WR @07=E4D10BD3F57CC845B5 +00005400[00000540] pys--. : Port=1 WR @05=DC8707741186200091 +00005400[00000540] pys--. : Port=2 RD @00 +00005400[00000540] pys--. : Port=3 RD @04 +00005410[00000541] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 89A72EEEACC30010BD R3: 1 04 000000000000000000 +00005410[00000541] pys--. : W0: 1 07 E4D10BD3F57CC845B5 W1: 1 05 DC8707741186200091 +00005410[00000541] pys--. : Port=0 WR @02=1B880A021497969828 +00005410[00000541] pys--. : Port=3 RD @01 +00005420[00000542] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 B6ABFAA1BA50119D26 R3: 1 01 AAE537B3046ECB6847 +00005420[00000542] pys--. : W0: 1 02 1B880A021497969828 W1: 0 00 000000000000000000 +00005420[00000542] pys--. : Port=0 WR @04=7407B57A2500C6FFD8 +00005420[00000542] pys--. : Port=0 RD @05 +00005420[00000542] pys--. : Port=2 RD @01 +00005430[00000543] pys--. : R0: 1 05 DC8707741186200091 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 B5511B0994CA6A84DC +00005430[00000543] pys--. : W0: 1 04 7407B57A2500C6FFD8 W1: 0 00 000000000000000000 +00005430[00000543] pys--. : Port=0 RD @04 +00005430[00000543] pys--. : Port=1 RD @06 +00005430[00000543] pys--. : Port=2 RD @02 +00005440[00000544] pys--. : R0: 1 04 7407B57A2500C6FFD8 R1: 1 06 A57FE82B9232B4702F R2: 1 02 B5511B0994CA6A84DC R3: 0 00 000000000000000000 +00005440[00000544] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005440[00000544] pys--. : Port=1 RD @06 +00005450[00000545] pys--. : R0: 0 00 000000000000000000 R1: 1 06 A57FE82B9232B4702F R2: 0 00 1B880A021497969828 R3: 0 00 000000000000000000 +00005450[00000545] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005450[00000545] pys--. : Port=0 WR @05=A391A9B2339C8616C3 +00005460[00000546] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005460[00000546] pys--. : W0: 1 05 A391A9B2339C8616C3 W1: 0 00 000000000000000000 +00005460[00000546] pys--. : Port=0 WR @05=956837C4C4AA6AD067 +00005460[00000546] pys--. : Port=0 RD @07 +00005470[00000547] pys--. : R0: 1 07 E4D10BD3F57CC845B5 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005470[00000547] pys--. : W0: 1 05 956837C4C4AA6AD067 W1: 0 00 000000000000000000 +00005470[00000547] pys--. : Port=0 WR @02=D98A73299CB311B7F9 +00005470[00000547] pys--. : Port=0 RD @05 +00005480[00000548] pys--. : R0: 1 05 956837C4C4AA6AD067 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005480[00000548] pys--. : W0: 1 02 D98A73299CB311B7F9 W1: 0 00 000000000000000000 +00005480[00000548] pys--. : Port=0 RD @04 +00005480[00000548] pys--. : Port=3 RD @04 +00005490[00000549] pys--. : R0: 1 04 7407B57A2500C6FFD8 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00005490[00000549] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005490[00000549] pys--. : Port=0 WR @03=B739349CF3619D14FF +00005490[00000549] pys--. : Port=1 RD @01 +00005500[00000550] pys--. : R0: 0 00 000000000000000000 R1: 1 01 B5511B0994CA6A84DC R2: 0 00 000000000000000000 R3: 0 00 7407B57A2500C6FFD8 +00005500[00000550] pys--. : W0: 1 03 B739349CF3619D14FF W1: 0 00 000000000000000000 +00005500[00000550] pys--. : Port=1 RD @02 +00005500[00000550] pys--. : Port=2 RD @04 +00005510[00000551] pys--. : R0: 0 00 000000000000000000 R1: 1 02 D98A73299CB311B7F9 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00005510[00000551] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005510[00000551] pys--. : Port=2 RD @04 +00005510[00000551] pys--. : Port=3 RD @02 +00005520[00000552] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 7407B57A2500C6FFD8 R3: 1 02 000000000000000000 +00005520[00000552] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005520[00000552] pys--. : Port=2 RD @06 +00005520[00000552] pys--. : Port=3 RD @01 +00005530[00000553] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 7407B57A2500C6FFD8 R3: 1 01 D98A73299CB311B7F9 +00005530[00000553] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005530[00000553] pys--. : Port=1 WR @01=566F1476E12849A26B +00005530[00000553] pys--. : Port=0 RD @04 +00005530[00000553] pys--. : Port=2 RD @02 +00005540[00000554] pys--. : R0: 1 04 7407B57A2500C6FFD8 R1: 0 00 000000000000000000 R2: 1 02 A57FE82B9232B4702F R3: 0 00 B5511B0994CA6A84DC +00005540[00000554] pys--. : W0: 0 00 000000000000000000 W1: 1 01 566F1476E12849A26B +00005540[00000554] pys--. : Port=0 RD @04 +00005550[00000555] pys--. : R0: 1 04 7407B57A2500C6FFD8 R1: 0 00 000000000000000000 R2: 0 00 D98A73299CB311B7F9 R3: 0 00 000000000000000000 +00005550[00000555] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005550[00000555] pys--. : Port=0 WR @05=DF0C949E6C5729102E +00005560[00000556] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005560[00000556] pys--. : W0: 1 05 DF0C949E6C5729102E W1: 0 00 000000000000000000 +00005560[00000556] pys--. : Port=0 WR @04=A0AB3E47A8E69D0B40 +00005560[00000556] pys--. : Port=1 RD @05 +00005570[00000557] pys--. : R0: 0 00 000000000000000000 R1: 1 05 DF0C949E6C5729102E R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005570[00000557] pys--. : W0: 1 04 A0AB3E47A8E69D0B40 W1: 0 00 000000000000000000 +00005570[00000557] pys--. : Port=0 WR @07=71684039BDACEFBA90 +00005570[00000557] pys--. : Port=1 WR @03=AEA49F358218ADDFA5 +00005580[00000558] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005580[00000558] pys--. : W0: 1 07 71684039BDACEFBA90 W1: 1 03 AEA49F358218ADDFA5 +00005580[00000558] pys--. : Port=0 WR @07=505775166025310C +00005580[00000558] pys--. : Port=1 WR @02=AE93CDDBF9737D8C16 +00005580[00000558] pys--. : Port=2 RD @01 +00005590[00000559] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00005590[00000559] pys--. : W0: 1 07 00505775166025310C W1: 1 02 AE93CDDBF9737D8C16 +00005590[00000559] pys--. : Port=0 RD @03 +00005590[00000559] pys--. : Port=3 RD @03 +00005600[00000560] pys--. : R0: 1 03 AEA49F358218ADDFA5 R1: 0 00 000000000000000000 R2: 0 00 566F1476E12849A26B R3: 1 03 000000000000000000 +00005600[00000560] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005600[00000560] pys--. : Port=0 WR @07=17D26AAE02830C40DF +00005600[00000560] pys--. : Port=0 RD @05 +00005610[00000561] pys--. : R0: 1 05 DF0C949E6C5729102E R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 AEA49F358218ADDFA5 +00005610[00000561] pys--. : W0: 1 07 17D26AAE02830C40DF W1: 0 00 000000000000000000 +00005610[00000561] pys--. : Port=0 WR @00=5A3E1DB0734530D067 +00005610[00000561] pys--. : Port=1 WR @05=A018F4485F5445BA58 +00005610[00000561] pys--. : Port=2 RD @02 +00005610[00000561] pys--. : Port=3 RD @06 +00005620[00000562] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 1 06 000000000000000000 +00005620[00000562] pys--. : W0: 1 00 5A3E1DB0734530D067 W1: 1 05 A018F4485F5445BA58 +00005620[00000562] pys--. : Port=0 WR @02=51E84EC2E28BB66738 +00005620[00000562] pys--. : Port=2 RD @06 +00005630[00000563] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 AE93CDDBF9737D8C16 R3: 0 00 A57FE82B9232B4702F +00005630[00000563] pys--. : W0: 1 02 51E84EC2E28BB66738 W1: 0 00 000000000000000000 +00005630[00000563] pys--. : Port=1 WR @01=292E14C3B7395D8336 +00005630[00000563] pys--. : Port=1 RD @07 +00005630[00000563] pys--. : Port=2 RD @02 +00005630[00000563] pys--. : Port=3 RD @07 +00005640[00000564] pys--. : R0: 0 00 000000000000000000 R1: 1 07 17D26AAE02830C40DF R2: 1 02 A57FE82B9232B4702F R3: 1 07 000000000000000000 +00005640[00000564] pys--. : W0: 0 00 000000000000000000 W1: 1 01 292E14C3B7395D8336 +00005640[00000564] pys--. : Port=0 WR @03=6D0989514359DD4F9B +00005650[00000565] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 51E84EC2E28BB66738 R3: 0 00 17D26AAE02830C40DF +00005650[00000565] pys--. : W0: 1 03 6D0989514359DD4F9B W1: 0 00 000000000000000000 +00005650[00000565] pys--. : Port=0 WR @06=C5C52D5B1133DECBC2 +00005650[00000565] pys--. : Port=0 RD @04 +00005650[00000565] pys--. : Port=2 RD @01 +00005660[00000566] pys--. : R0: 1 04 A0AB3E47A8E69D0B40 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00005660[00000566] pys--. : W0: 1 06 C5C52D5B1133DECBC2 W1: 0 00 000000000000000000 +00005660[00000566] pys--. : Port=1 WR @05=D99C082A0A7E02906 +00005670[00000567] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 292E14C3B7395D8336 R3: 0 00 000000000000000000 +00005670[00000567] pys--. : W0: 0 00 000000000000000000 W1: 1 05 0D99C082A0A7E02906 +00005670[00000567] pys--. : Port=0 RD @07 +00005670[00000567] pys--. : Port=2 RD @01 +00005670[00000567] pys--. : Port=3 RD @00 +00005680[00000568] pys--. : R0: 1 07 17D26AAE02830C40DF R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 00 000000000000000000 +00005680[00000568] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005680[00000568] pys--. : Port=0 WR @04=B8111BA46942447208 +00005680[00000568] pys--. : Port=1 RD @06 +00005680[00000568] pys--. : Port=2 RD @01 +00005680[00000568] pys--. : Port=3 RD @01 +00005690[00000569] pys--. : R0: 0 00 000000000000000000 R1: 1 06 C5C52D5B1133DECBC2 R2: 1 01 292E14C3B7395D8336 R3: 1 01 5A3E1DB0734530D067 +00005690[00000569] pys--. : W0: 1 04 B8111BA46942447208 W1: 0 00 000000000000000000 +00005690[00000569] pys--. : Port=3 RD @07 +00005700[00000570] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 292E14C3B7395D8336 R3: 1 07 292E14C3B7395D8336 +00005700[00000570] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005700[00000570] pys--. : Port=0 WR @03=986C9C8C7F573B18C8 +00005700[00000570] pys--. : Port=1 RD @01 +00005710[00000571] pys--. : R0: 0 00 000000000000000000 R1: 1 01 292E14C3B7395D8336 R2: 0 00 000000000000000000 R3: 0 00 17D26AAE02830C40DF +00005710[00000571] pys--. : W0: 1 03 986C9C8C7F573B18C8 W1: 0 00 000000000000000000 +00005710[00000571] pys--. : Port=1 WR @02=A3A6FEBE2176547E65 +00005720[00000572] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005720[00000572] pys--. : W0: 0 00 000000000000000000 W1: 1 02 A3A6FEBE2176547E65 +00005720[00000572] pys--. : Port=1 RD @02 +00005720[00000572] pys--. : Port=2 RD @07 +00005720[00000572] pys--. : Port=3 RD @00 +00005730[00000573] pys--. : R0: 0 00 000000000000000000 R1: 1 02 A3A6FEBE2176547E65 R2: 1 07 000000000000000000 R3: 1 00 000000000000000000 +00005730[00000573] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005730[00000573] pys--. : Port=1 WR @04=53031D0AFF681CB578 +00005730[00000573] pys--. : Port=0 RD @03 +00005730[00000573] pys--. : Port=3 RD @03 +00005740[00000574] pys--. : R0: 1 03 986C9C8C7F573B18C8 R1: 0 00 000000000000000000 R2: 0 00 17D26AAE02830C40DF R3: 1 03 5A3E1DB0734530D067 +00005740[00000574] pys--. : W0: 0 00 000000000000000000 W1: 1 04 53031D0AFF681CB578 +00005740[00000574] pys--. : Port=1 WR @05=47630F3809DD4F7DF +00005740[00000574] pys--. : Port=0 RD @02 +00005740[00000574] pys--. : Port=2 RD @03 +00005750[00000575] pys--. : R0: 1 02 A3A6FEBE2176547E65 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 986C9C8C7F573B18C8 +00005750[00000575] pys--. : W0: 0 00 000000000000000000 W1: 1 05 047630F3809DD4F7DF +00005750[00000575] pys--. : Port=1 WR @06=F04168D428810B12A8 +00005750[00000575] pys--. : Port=0 RD @07 +00005750[00000575] pys--. : Port=1 RD @01 +00005760[00000576] pys--. : R0: 1 07 17D26AAE02830C40DF R1: 1 01 292E14C3B7395D8336 R2: 0 00 986C9C8C7F573B18C8 R3: 0 00 000000000000000000 +00005760[00000576] pys--. : W0: 0 00 000000000000000000 W1: 1 06 F04168D428810B12A8 +00005760[00000576] pys--. : Port=1 RD @07 +00005770[00000577] pys--. : R0: 0 00 000000000000000000 R1: 1 07 17D26AAE02830C40DF R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005770[00000577] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005770[00000577] pys--. : Port=1 WR @06=DFB1203EF2BAF98CF0 +00005770[00000577] pys--. : Port=0 RD @05 +00005780[00000578] pys--. : R0: 1 05 047630F3809DD4F7DF R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005780[00000578] pys--. : W0: 0 00 000000000000000000 W1: 1 06 DFB1203EF2BAF98CF0 +00005780[00000578] pys--. : Port=1 WR @01=9AB9EBBEFD9A2E3C53 +00005780[00000578] pys--. : Port=2 RD @04 +00005790[00000579] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00005790[00000579] pys--. : W0: 0 00 000000000000000000 W1: 1 01 9AB9EBBEFD9A2E3C53 +00005790[00000579] pys--. : Port=1 WR @04=D1ED3C048162468890 +00005790[00000579] pys--. : Port=0 RD @01 +00005790[00000579] pys--. : Port=1 RD @05 +00005800[00000580] pys--. : R0: 1 01 9AB9EBBEFD9A2E3C53 R1: 1 05 047630F3809DD4F7DF R2: 0 00 53031D0AFF681CB578 R3: 0 00 000000000000000000 +00005800[00000580] pys--. : W0: 0 00 000000000000000000 W1: 1 04 D1ED3C048162468890 +00005800[00000580] pys--. : Port=1 WR @06=8DB7265A66078E649E +00005800[00000580] pys--. : Port=1 RD @07 +00005800[00000580] pys--. : Port=2 RD @05 +00005810[00000581] pys--. : R0: 0 00 000000000000000000 R1: 1 07 17D26AAE02830C40DF R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00005810[00000581] pys--. : W0: 0 00 000000000000000000 W1: 1 06 8DB7265A66078E649E +00005810[00000581] pys--. : Port=1 WR @01=8ECC2693CE8EDD5B25 +00005810[00000581] pys--. : Port=0 RD @02 +00005810[00000581] pys--. : Port=1 RD @06 +00005810[00000581] pys--. : Port=3 RD @06 +00005820[00000582] pys--. : R0: 1 02 A3A6FEBE2176547E65 R1: 1 06 8DB7265A66078E649E R2: 0 00 047630F3809DD4F7DF R3: 1 06 000000000000000000 +00005820[00000582] pys--. : W0: 0 00 000000000000000000 W1: 1 01 8ECC2693CE8EDD5B25 +00005820[00000582] pys--. : Port=2 RD @03 +00005820[00000582] pys--. : Port=3 RD @03 +00005830[00000583] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 1 03 8DB7265A66078E649E +00005830[00000583] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005830[00000583] pys--. : Port=1 WR @05=D4D36115D8FB6E7293 +00005830[00000583] pys--. : Port=2 RD @00 +00005840[00000584] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 986C9C8C7F573B18C8 R3: 0 00 986C9C8C7F573B18C8 +00005840[00000584] pys--. : W0: 0 00 000000000000000000 W1: 1 05 D4D36115D8FB6E7293 +00005840[00000584] pys--. : Port=1 WR @07=7B07226A781A317509 +00005840[00000584] pys--. : Port=0 RD @00 +00005840[00000584] pys--. : Port=1 RD @04 +00005850[00000585] pys--. : R0: 1 00 5A3E1DB0734530D067 R1: 1 04 D1ED3C048162468890 R2: 0 00 5A3E1DB0734530D067 R3: 0 00 000000000000000000 +00005850[00000585] pys--. : W0: 0 00 000000000000000000 W1: 1 07 7B07226A781A317509 +00005850[00000585] pys--. : Port=1 WR @00=15BB7554E5CA5E63EC +00005850[00000585] pys--. : Port=3 RD @06 +00005860[00000586] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00005860[00000586] pys--. : W0: 0 00 000000000000000000 W1: 1 00 15BB7554E5CA5E63EC +00005860[00000586] pys--. : Port=0 WR @01=E405709289D27C8942 +00005860[00000586] pys--. : Port=1 WR @03=63FAAC612761A8E7A6 +00005860[00000586] pys--. : Port=0 RD @06 +00005860[00000586] pys--. : Port=1 RD @07 +00005870[00000587] pys--. : R0: 1 06 8DB7265A66078E649E R1: 1 07 7B07226A781A317509 R2: 0 00 000000000000000000 R3: 0 00 8DB7265A66078E649E +00005870[00000587] pys--. : W0: 1 01 E405709289D27C8942 W1: 1 03 63FAAC612761A8E7A6 +00005870[00000587] pys--. : Port=0 RD @06 +00005870[00000587] pys--. : Port=2 RD @00 +00005880[00000588] pys--. : R0: 1 06 8DB7265A66078E649E R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 0 00 000000000000000000 +00005880[00000588] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005880[00000588] pys--. : Port=0 WR @01=8A5B5526AD90B02369 +00005880[00000588] pys--. : Port=1 WR @03=9C65806ABC5F3B9282 +00005880[00000588] pys--. : Port=3 RD @00 +00005890[00000589] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 15BB7554E5CA5E63EC R3: 1 00 000000000000000000 +00005890[00000589] pys--. : W0: 1 01 8A5B5526AD90B02369 W1: 1 03 9C65806ABC5F3B9282 +00005890[00000589] pys--. : Port=0 WR @02=CBFD01BE0A2056950B +00005890[00000589] pys--. : Port=0 RD @03 +00005890[00000589] pys--. : Port=1 RD @00 +00005890[00000589] pys--. : Port=2 RD @07 +00005890[00000589] pys--. : Port=3 RD @01 +00005900[00000590] pys--. : R0: 1 03 9C65806ABC5F3B9282 R1: 1 00 15BB7554E5CA5E63EC R2: 1 07 000000000000000000 R3: 1 01 15BB7554E5CA5E63EC +00005900[00000590] pys--. : W0: 1 02 CBFD01BE0A2056950B W1: 0 00 000000000000000000 +00005900[00000590] pys--. : Port=1 WR @05=618303C3C8391D173E +00005900[00000590] pys--. : Port=2 RD @00 +00005910[00000591] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 7B07226A781A317509 R3: 0 00 8A5B5526AD90B02369 +00005910[00000591] pys--. : W0: 0 00 000000000000000000 W1: 1 05 618303C3C8391D173E +00005910[00000591] pys--. : Port=0 WR @06=D5B3D88B6F8234BE1A +00005910[00000591] pys--. : Port=1 WR @05=BE08F0FE0DF9B7E5E7 +00005910[00000591] pys--. : Port=0 RD @01 +00005920[00000592] pys--. : R0: 1 01 8A5B5526AD90B02369 R1: 0 00 000000000000000000 R2: 0 00 15BB7554E5CA5E63EC R3: 0 00 000000000000000000 +00005920[00000592] pys--. : W0: 1 06 D5B3D88B6F8234BE1A W1: 1 05 BE08F0FE0DF9B7E5E7 +00005920[00000592] pys--. : Port=0 WR @04=C170345A85EB615EBB +00005920[00000592] pys--. : Port=3 RD @00 +00005930[00000593] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 00 000000000000000000 +00005930[00000593] pys--. : W0: 1 04 C170345A85EB615EBB W1: 0 00 000000000000000000 +00005930[00000593] pys--. : Port=2 RD @00 +00005940[00000594] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 0 00 15BB7554E5CA5E63EC +00005940[00000594] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005940[00000594] pys--. : Port=1 WR @01=EDE584C1CFBADCDE2F +00005940[00000594] pys--. : Port=3 RD @07 +00005950[00000595] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 15BB7554E5CA5E63EC R3: 1 07 000000000000000000 +00005950[00000595] pys--. : W0: 0 00 000000000000000000 W1: 1 01 EDE584C1CFBADCDE2F +00005950[00000595] pys--. : Port=0 WR @02=501EBA1FD9342B8E4C +00005950[00000595] pys--. : Port=1 WR @03=D654B0BA2B9A3B2490 +00005960[00000596] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 7B07226A781A317509 +00005960[00000596] pys--. : W0: 1 02 501EBA1FD9342B8E4C W1: 1 03 D654B0BA2B9A3B2490 +00005960[00000596] pys--. : Port=0 WR @07=FB0DB05888A16F16D4 +00005960[00000596] pys--. : Port=0 RD @01 +00005970[00000597] pys--. : R0: 1 01 EDE584C1CFBADCDE2F R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00005970[00000597] pys--. : W0: 1 07 FB0DB05888A16F16D4 W1: 0 00 000000000000000000 +00005970[00000597] pys--. : Port=1 RD @00 +00005970[00000597] pys--. : Port=2 RD @02 +00005970[00000597] pys--. : Port=3 RD @04 +00005980[00000598] pys--. : R0: 0 00 000000000000000000 R1: 1 00 15BB7554E5CA5E63EC R2: 1 02 000000000000000000 R3: 1 04 000000000000000000 +00005980[00000598] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00005980[00000598] pys--. : Port=1 WR @05=7C96FF794C9969B8EA +00005980[00000598] pys--. : Port=1 RD @02 +00005980[00000598] pys--. : Port=2 RD @00 +00005990[00000599] pys--. : R0: 0 00 000000000000000000 R1: 1 02 501EBA1FD9342B8E4C R2: 1 00 501EBA1FD9342B8E4C R3: 0 00 C170345A85EB615EBB +00005990[00000599] pys--. : W0: 0 00 000000000000000000 W1: 1 05 7C96FF794C9969B8EA +00005990[00000599] pys--. : Port=1 WR @06=90D54BAD945559A4FE +00005990[00000599] pys--. : Port=0 RD @00 +00006000[00000600] pys--. : R0: 1 00 15BB7554E5CA5E63EC R1: 0 00 000000000000000000 R2: 0 00 15BB7554E5CA5E63EC R3: 0 00 000000000000000000 +00006000[00000600] pys--. : W0: 0 00 000000000000000000 W1: 1 06 90D54BAD945559A4FE +00006000[00000600] pys--. : Port=0 WR @07=47C6DB3691E5253B02 +00006000[00000600] pys--. : Port=2 RD @03 +00006010[00000601] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00006010[00000601] pys--. : W0: 1 07 47C6DB3691E5253B02 W1: 0 00 000000000000000000 +00006010[00000601] pys--. : Port=0 WR @02=E8E296FC66E4825BDD +00006010[00000601] pys--. : Port=0 RD @03 +00006010[00000601] pys--. : Port=2 RD @07 +00006010[00000601] pys--. : Port=3 RD @04 +00006020[00000602] pys--. : R0: 1 03 D654B0BA2B9A3B2490 R1: 0 00 000000000000000000 R2: 1 07 D654B0BA2B9A3B2490 R3: 1 04 000000000000000000 +00006020[00000602] pys--. : W0: 1 02 E8E296FC66E4825BDD W1: 0 00 000000000000000000 +00006020[00000602] pys--. : Port=0 WR @06=59BB1BA7353B1D96C6 +00006020[00000602] pys--. : Port=1 RD @01 +00006020[00000602] pys--. : Port=3 RD @03 +00006030[00000603] pys--. : R0: 0 00 000000000000000000 R1: 1 01 EDE584C1CFBADCDE2F R2: 0 00 47C6DB3691E5253B02 R3: 1 03 C170345A85EB615EBB +00006030[00000603] pys--. : W0: 1 06 59BB1BA7353B1D96C6 W1: 0 00 000000000000000000 +00006030[00000603] pys--. : Port=0 WR @05=A97CE8D0AC3C94F619 +00006040[00000604] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 D654B0BA2B9A3B2490 +00006040[00000604] pys--. : W0: 1 05 A97CE8D0AC3C94F619 W1: 0 00 000000000000000000 +00006040[00000604] pys--. : Port=0 RD @04 +00006050[00000605] pys--. : R0: 1 04 C170345A85EB615EBB R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006050[00000605] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006050[00000605] pys--. : Port=2 RD @06 +00006060[00000606] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00006060[00000606] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006060[00000606] pys--. : Port=0 RD @03 +00006060[00000606] pys--. : Port=1 RD @00 +00006060[00000606] pys--. : Port=2 RD @05 +00006070[00000607] pys--. : R0: 1 03 D654B0BA2B9A3B2490 R1: 1 00 15BB7554E5CA5E63EC R2: 1 05 59BB1BA7353B1D96C6 R3: 0 00 000000000000000000 +00006070[00000607] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006070[00000607] pys--. : Port=0 RD @01 +00006070[00000607] pys--. : Port=1 RD @07 +00006080[00000608] pys--. : R0: 1 01 EDE584C1CFBADCDE2F R1: 1 07 47C6DB3691E5253B02 R2: 0 00 A97CE8D0AC3C94F619 R3: 0 00 000000000000000000 +00006080[00000608] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006080[00000608] pys--. : Port=0 WR @00=E75A3F9DD23BF0B90E +00006090[00000609] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006090[00000609] pys--. : W0: 1 00 E75A3F9DD23BF0B90E W1: 0 00 000000000000000000 +00006090[00000609] pys--. : Port=1 WR @05=C1BAEB015BB2214BE4 +00006100[00000610] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006100[00000610] pys--. : W0: 0 00 000000000000000000 W1: 1 05 C1BAEB015BB2214BE4 +00006100[00000610] pys--. : Port=3 RD @07 +00006110[00000611] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 07 000000000000000000 +00006110[00000611] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006110[00000611] pys--. : Port=1 RD @07 +00006120[00000612] pys--. : R0: 0 00 000000000000000000 R1: 1 07 47C6DB3691E5253B02 R2: 0 00 000000000000000000 R3: 0 00 47C6DB3691E5253B02 +00006120[00000612] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006120[00000612] pys--. : Port=0 WR @02=5E910FEAAA9B754793 +00006130[00000613] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006130[00000613] pys--. : W0: 1 02 5E910FEAAA9B754793 W1: 0 00 000000000000000000 +00006130[00000613] pys--. : Port=0 WR @04=B0309202E62987A8B3 +00006130[00000613] pys--. : Port=1 RD @00 +00006130[00000613] pys--. : Port=3 RD @01 +00006140[00000614] pys--. : R0: 0 00 000000000000000000 R1: 1 00 E75A3F9DD23BF0B90E R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00006140[00000614] pys--. : W0: 1 04 B0309202E62987A8B3 W1: 0 00 000000000000000000 +00006140[00000614] pys--. : Port=0 WR @00=D3DB1160A9D0A2FDDF +00006140[00000614] pys--. : Port=0 RD @06 +00006140[00000614] pys--. : Port=1 RD @05 +00006140[00000614] pys--. : Port=2 RD @04 +00006150[00000615] pys--. : R0: 1 06 59BB1BA7353B1D96C6 R1: 1 05 C1BAEB015BB2214BE4 R2: 1 04 000000000000000000 R3: 0 00 EDE584C1CFBADCDE2F +00006150[00000615] pys--. : W0: 1 00 D3DB1160A9D0A2FDDF W1: 0 00 000000000000000000 +00006150[00000615] pys--. : Port=0 WR @01=DEE2843751402E7C1E +00006160[00000616] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 B0309202E62987A8B3 R3: 0 00 000000000000000000 +00006160[00000616] pys--. : W0: 1 01 DEE2843751402E7C1E W1: 0 00 000000000000000000 +00006160[00000616] pys--. : Port=1 WR @05=E357BB8A36BAC24E2D +00006160[00000616] pys--. : Port=0 RD @02 +00006160[00000616] pys--. : Port=1 RD @02 +00006170[00000617] pys--. : R0: 1 02 5E910FEAAA9B754793 R1: 1 02 5E910FEAAA9B754793 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006170[00000617] pys--. : W0: 0 00 000000000000000000 W1: 1 05 E357BB8A36BAC24E2D +00006170[00000617] pys--. : Port=1 WR @07=816728A6480D3B6726 +00006170[00000617] pys--. : Port=3 RD @05 +00006180[00000618] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00006180[00000618] pys--. : W0: 0 00 000000000000000000 W1: 1 07 816728A6480D3B6726 +00006180[00000618] pys--. : Port=0 WR @04=D2614EE2F75234585F +00006180[00000618] pys--. : Port=0 RD @01 +00006180[00000618] pys--. : Port=1 RD @00 +00006190[00000619] pys--. : R0: 1 01 DEE2843751402E7C1E R1: 1 00 D3DB1160A9D0A2FDDF R2: 0 00 000000000000000000 R3: 0 00 E357BB8A36BAC24E2D +00006190[00000619] pys--. : W0: 1 04 D2614EE2F75234585F W1: 0 00 000000000000000000 +00006190[00000619] pys--. : Port=0 WR @05=9AAA47B935AAD0111D +00006200[00000620] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006200[00000620] pys--. : W0: 1 05 9AAA47B935AAD0111D W1: 0 00 000000000000000000 +00006200[00000620] pys--. : Port=1 WR @05=9AE8B68E740C31E9F5 +00006210[00000621] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006210[00000621] pys--. : W0: 0 00 000000000000000000 W1: 1 05 9AE8B68E740C31E9F5 +00006210[00000621] pys--. : Port=0 RD @02 +00006210[00000621] pys--. : Port=2 RD @02 +00006220[00000622] pys--. : R0: 1 02 5E910FEAAA9B754793 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00006220[00000622] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006220[00000622] pys--. : Port=1 WR @06=3A1C095DE7236F99DE +00006220[00000622] pys--. : Port=0 RD @03 +00006220[00000622] pys--. : Port=1 RD @01 +00006220[00000622] pys--. : Port=2 RD @05 +00006220[00000622] pys--. : Port=3 RD @04 +00006230[00000623] pys--. : R0: 1 03 D654B0BA2B9A3B2490 R1: 1 01 DEE2843751402E7C1E R2: 1 05 5E910FEAAA9B754793 R3: 1 04 000000000000000000 +00006230[00000623] pys--. : W0: 0 00 000000000000000000 W1: 1 06 3A1C095DE7236F99DE +00006230[00000623] pys--. : Port=0 WR @02=AE73DC2F6128623B70 +00006230[00000623] pys--. : Port=1 WR @04=1FD63D003E3B3EC15D +00006230[00000623] pys--. : Port=0 RD @03 +00006230[00000623] pys--. : Port=3 RD @03 +00006240[00000624] pys--. : R0: 1 03 D654B0BA2B9A3B2490 R1: 0 00 000000000000000000 R2: 0 00 9AE8B68E740C31E9F5 R3: 1 03 D2614EE2F75234585F +00006240[00000624] pys--. : W0: 1 02 AE73DC2F6128623B70 W1: 1 04 1FD63D003E3B3EC15D +00006240[00000624] pys--. : Port=1 WR @05=4803B44E9E22C592DA +00006240[00000624] pys--. : Port=2 RD @02 +00006240[00000624] pys--. : Port=3 RD @03 +00006250[00000625] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 1 03 D654B0BA2B9A3B2490 +00006250[00000625] pys--. : W0: 0 00 000000000000000000 W1: 1 05 4803B44E9E22C592DA +00006250[00000625] pys--. : Port=1 RD @01 +00006260[00000626] pys--. : R0: 0 00 000000000000000000 R1: 1 01 DEE2843751402E7C1E R2: 0 00 AE73DC2F6128623B70 R3: 0 00 D654B0BA2B9A3B2490 +00006260[00000626] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006260[00000626] pys--. : Port=1 WR @05=C08F2DA31B655D8343 +00006260[00000626] pys--. : Port=0 RD @04 +00006260[00000626] pys--. : Port=1 RD @01 +00006260[00000626] pys--. : Port=2 RD @02 +00006260[00000626] pys--. : Port=3 RD @03 +00006270[00000627] pys--. : R0: 1 04 1FD63D003E3B3EC15D R1: 1 01 DEE2843751402E7C1E R2: 1 02 000000000000000000 R3: 1 03 000000000000000000 +00006270[00000627] pys--. : W0: 0 00 000000000000000000 W1: 1 05 C08F2DA31B655D8343 +00006270[00000627] pys--. : Port=0 WR @06=9C9740C13BA8548E7A +00006270[00000627] pys--. : Port=0 RD @05 +00006270[00000627] pys--. : Port=3 RD @01 +00006280[00000628] pys--. : R0: 1 05 C08F2DA31B655D8343 R1: 0 00 000000000000000000 R2: 0 00 AE73DC2F6128623B70 R3: 1 01 D654B0BA2B9A3B2490 +00006280[00000628] pys--. : W0: 1 06 9C9740C13BA8548E7A W1: 0 00 000000000000000000 +00006280[00000628] pys--. : Port=1 WR @04=7117D2D1E1E6FE03F0 +00006280[00000628] pys--. : Port=1 RD @02 +00006280[00000628] pys--. : Port=3 RD @01 +00006290[00000629] pys--. : R0: 0 00 000000000000000000 R1: 1 02 AE73DC2F6128623B70 R2: 0 00 000000000000000000 R3: 1 01 DEE2843751402E7C1E +00006290[00000629] pys--. : W0: 0 00 000000000000000000 W1: 1 04 7117D2D1E1E6FE03F0 +00006290[00000629] pys--. : Port=1 RD @04 +00006300[00000630] pys--. : R0: 0 00 000000000000000000 R1: 1 04 7117D2D1E1E6FE03F0 R2: 0 00 000000000000000000 R3: 0 00 DEE2843751402E7C1E +00006300[00000630] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006300[00000630] pys--. : Port=3 RD @02 +00006310[00000631] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00006310[00000631] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006310[00000631] pys--. : Port=1 WR @02=D7E21E363DCB0AD006 +00006310[00000631] pys--. : Port=0 RD @06 +00006320[00000632] pys--. : R0: 1 06 9C9740C13BA8548E7A R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 AE73DC2F6128623B70 +00006320[00000632] pys--. : W0: 0 00 000000000000000000 W1: 1 02 D7E21E363DCB0AD006 +00006320[00000632] pys--. : Port=1 RD @05 +00006330[00000633] pys--. : R0: 0 00 000000000000000000 R1: 1 05 C08F2DA31B655D8343 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006330[00000633] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006330[00000633] pys--. : Port=0 WR @06=A61C53FCA066BFB8D8 +00006330[00000633] pys--. : Port=0 RD @03 +00006330[00000633] pys--. : Port=2 RD @02 +00006340[00000634] pys--. : R0: 1 03 D654B0BA2B9A3B2490 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00006340[00000634] pys--. : W0: 1 06 A61C53FCA066BFB8D8 W1: 0 00 000000000000000000 +00006340[00000634] pys--. : Port=0 WR @04=2C8411655FC932B986 +00006340[00000634] pys--. : Port=1 WR @01=E6055F7540AB34CEA7 +00006340[00000634] pys--. : Port=0 RD @00 +00006340[00000634] pys--. : Port=1 RD @00 +00006340[00000634] pys--. : Port=2 RD @03 +00006350[00000635] pys--. : R0: 1 00 D3DB1160A9D0A2FDDF R1: 1 00 D3DB1160A9D0A2FDDF R2: 1 03 D7E21E363DCB0AD006 R3: 0 00 000000000000000000 +00006350[00000635] pys--. : W0: 1 04 2C8411655FC932B986 W1: 1 01 E6055F7540AB34CEA7 +00006350[00000635] pys--. : Port=1 WR @06=DA35264FF52BF88E93 +00006350[00000635] pys--. : Port=1 RD @05 +00006360[00000636] pys--. : R0: 0 00 000000000000000000 R1: 1 05 C08F2DA31B655D8343 R2: 0 00 D654B0BA2B9A3B2490 R3: 0 00 000000000000000000 +00006360[00000636] pys--. : W0: 0 00 000000000000000000 W1: 1 06 DA35264FF52BF88E93 +00006360[00000636] pys--. : Port=1 WR @06=96C11457EA5D781629 +00006360[00000636] pys--. : Port=1 RD @04 +00006360[00000636] pys--. : Port=3 RD @05 +00006370[00000637] pys--. : R0: 0 00 000000000000000000 R1: 1 04 2C8411655FC932B986 R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00006370[00000637] pys--. : W0: 0 00 000000000000000000 W1: 1 06 96C11457EA5D781629 +00006370[00000637] pys--. : Port=0 WR @05=1C52A7B4CCF86CE88A +00006370[00000637] pys--. : Port=1 RD @04 +00006370[00000637] pys--. : Port=2 RD @03 +00006380[00000638] pys--. : R0: 0 00 000000000000000000 R1: 1 04 2C8411655FC932B986 R2: 1 03 000000000000000000 R3: 0 00 C08F2DA31B655D8343 +00006380[00000638] pys--. : W0: 1 05 1C52A7B4CCF86CE88A W1: 0 00 000000000000000000 +00006390[00000639] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 D654B0BA2B9A3B2490 R3: 0 00 000000000000000000 +00006390[00000639] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006390[00000639] pys--. : Port=0 WR @00=11F8FD8E764F85A253 +00006390[00000639] pys--. : Port=0 RD @04 +00006390[00000639] pys--. : Port=2 RD @05 +00006390[00000639] pys--. : Port=3 RD @03 +00006400[00000640] pys--. : R0: 1 04 2C8411655FC932B986 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 1 03 000000000000000000 +00006400[00000640] pys--. : W0: 1 00 11F8FD8E764F85A253 W1: 0 00 000000000000000000 +00006410[00000641] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 1C52A7B4CCF86CE88A R3: 0 00 D654B0BA2B9A3B2490 +00006410[00000641] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006410[00000641] pys--. : Port=3 RD @06 +00006420[00000642] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00006420[00000642] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006420[00000642] pys--. : Port=1 WR @02=342DA463D013E673A5 +00006420[00000642] pys--. : Port=3 RD @06 +00006430[00000643] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 96C11457EA5D781629 +00006430[00000643] pys--. : W0: 0 00 000000000000000000 W1: 1 02 342DA463D013E673A5 +00006430[00000643] pys--. : Port=3 RD @02 +00006440[00000644] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 96C11457EA5D781629 +00006440[00000644] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006440[00000644] pys--. : Port=0 WR @07=E6DF3441354F9E51F5 +00006440[00000644] pys--. : Port=1 WR @05=71237B28C6094996AC +00006440[00000644] pys--. : Port=0 RD @06 +00006450[00000645] pys--. : R0: 1 06 96C11457EA5D781629 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 342DA463D013E673A5 +00006450[00000645] pys--. : W0: 1 07 E6DF3441354F9E51F5 W1: 1 05 71237B28C6094996AC +00006450[00000645] pys--. : Port=1 WR @02=D3962FFE4469F1AD83 +00006450[00000645] pys--. : Port=3 RD @05 +00006460[00000646] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00006460[00000646] pys--. : W0: 0 00 000000000000000000 W1: 1 02 D3962FFE4469F1AD83 +00006460[00000646] pys--. : Port=1 WR @03=6835493C62233DA84 +00006460[00000646] pys--. : Port=0 RD @02 +00006460[00000646] pys--. : Port=3 RD @04 +00006470[00000647] pys--. : R0: 1 02 D3962FFE4469F1AD83 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 71237B28C6094996AC +00006470[00000647] pys--. : W0: 0 00 000000000000000000 W1: 1 03 06835493C62233DA84 +00006470[00000647] pys--. : Port=0 RD @06 +00006470[00000647] pys--. : Port=1 RD @04 +00006470[00000647] pys--. : Port=2 RD @07 +00006480[00000648] pys--. : R0: 1 06 96C11457EA5D781629 R1: 1 04 2C8411655FC932B986 R2: 1 07 000000000000000000 R3: 0 00 2C8411655FC932B986 +00006480[00000648] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006480[00000648] pys--. : Port=1 WR @04=645B332B8C038A25DB +00006490[00000649] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 E6DF3441354F9E51F5 R3: 0 00 000000000000000000 +00006490[00000649] pys--. : W0: 0 00 000000000000000000 W1: 1 04 645B332B8C038A25DB +00006490[00000649] pys--. : Port=0 WR @06=8AFC848F22DE8C136B +00006490[00000649] pys--. : Port=1 WR @07=EDB2F8B889EEE62EDD +00006490[00000649] pys--. : Port=1 RD @02 +00006490[00000649] pys--. : Port=2 RD @05 +00006500[00000650] pys--. : R0: 0 00 000000000000000000 R1: 1 02 D3962FFE4469F1AD83 R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00006500[00000650] pys--. : W0: 1 06 8AFC848F22DE8C136B W1: 1 07 EDB2F8B889EEE62EDD +00006500[00000650] pys--. : Port=1 WR @02=22A255DAC90B6B298F +00006500[00000650] pys--. : Port=1 RD @06 +00006510[00000651] pys--. : R0: 0 00 000000000000000000 R1: 1 06 8AFC848F22DE8C136B R2: 0 00 71237B28C6094996AC R3: 0 00 000000000000000000 +00006510[00000651] pys--. : W0: 0 00 000000000000000000 W1: 1 02 22A255DAC90B6B298F +00006510[00000651] pys--. : Port=1 WR @07=13805BA599CD8FCECA +00006510[00000651] pys--. : Port=2 RD @03 +00006520[00000652] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00006520[00000652] pys--. : W0: 0 00 000000000000000000 W1: 1 07 13805BA599CD8FCECA +00006520[00000652] pys--. : Port=1 RD @01 +00006520[00000652] pys--. : Port=2 RD @03 +00006530[00000653] pys--. : R0: 0 00 000000000000000000 R1: 1 01 E6055F7540AB34CEA7 R2: 1 03 06835493C62233DA84 R3: 0 00 000000000000000000 +00006530[00000653] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006530[00000653] pys--. : Port=1 WR @04=C1DF19E97054EE7135 +00006540[00000654] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 06835493C62233DA84 R3: 0 00 000000000000000000 +00006540[00000654] pys--. : W0: 0 00 000000000000000000 W1: 1 04 C1DF19E97054EE7135 +00006540[00000654] pys--. : Port=0 WR @03=DA79BDFB1F521769F8 +00006540[00000654] pys--. : Port=1 WR @02=66CE36A323D2C4F588 +00006540[00000654] pys--. : Port=0 RD @06 +00006540[00000654] pys--. : Port=2 RD @06 +00006550[00000655] pys--. : R0: 1 06 8AFC848F22DE8C136B R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00006550[00000655] pys--. : W0: 1 03 DA79BDFB1F521769F8 W1: 1 02 66CE36A323D2C4F588 +00006550[00000655] pys--. : Port=0 RD @07 +00006550[00000655] pys--. : Port=1 RD @05 +00006560[00000656] pys--. : R0: 1 07 13805BA599CD8FCECA R1: 1 05 71237B28C6094996AC R2: 0 00 8AFC848F22DE8C136B R3: 0 00 000000000000000000 +00006560[00000656] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006560[00000656] pys--. : Port=2 RD @04 +00006570[00000657] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00006570[00000657] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006570[00000657] pys--. : Port=2 RD @06 +00006570[00000657] pys--. : Port=3 RD @07 +00006580[00000658] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 C1DF19E97054EE7135 R3: 1 07 000000000000000000 +00006580[00000658] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006580[00000658] pys--. : Port=0 WR @04=533C0E7E3AC348A0A5 +00006580[00000658] pys--. : Port=1 WR @07=80D31370FA9DB5906B +00006580[00000658] pys--. : Port=1 RD @03 +00006580[00000658] pys--. : Port=3 RD @02 +00006590[00000659] pys--. : R0: 0 00 000000000000000000 R1: 1 03 DA79BDFB1F521769F8 R2: 0 00 8AFC848F22DE8C136B R3: 1 02 13805BA599CD8FCECA +00006590[00000659] pys--. : W0: 1 04 533C0E7E3AC348A0A5 W1: 1 07 80D31370FA9DB5906B +00006590[00000659] pys--. : Port=1 RD @05 +00006590[00000659] pys--. : Port=2 RD @07 +00006600[00000660] pys--. : R0: 0 00 000000000000000000 R1: 1 05 71237B28C6094996AC R2: 1 07 000000000000000000 R3: 0 00 66CE36A323D2C4F588 +00006600[00000660] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006600[00000660] pys--. : Port=1 RD @06 +00006610[00000661] pys--. : R0: 0 00 000000000000000000 R1: 1 06 8AFC848F22DE8C136B R2: 0 00 80D31370FA9DB5906B R3: 0 00 000000000000000000 +00006610[00000661] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006610[00000661] pys--. : Port=0 WR @04=4F916E41D0DF6AE69B +00006610[00000661] pys--. : Port=1 RD @07 +00006610[00000661] pys--. : Port=2 RD @02 +00006620[00000662] pys--. : R0: 0 00 000000000000000000 R1: 1 07 80D31370FA9DB5906B R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00006620[00000662] pys--. : W0: 1 04 4F916E41D0DF6AE69B W1: 0 00 000000000000000000 +00006620[00000662] pys--. : Port=0 WR @07=DF7CA138929E089CBB +00006620[00000662] pys--. : Port=0 RD @00 +00006620[00000662] pys--. : Port=3 RD @06 +00006630[00000663] pys--. : R0: 1 00 11F8FD8E764F85A253 R1: 0 00 000000000000000000 R2: 0 00 66CE36A323D2C4F588 R3: 1 06 000000000000000000 +00006630[00000663] pys--. : W0: 1 07 DF7CA138929E089CBB W1: 0 00 000000000000000000 +00006630[00000663] pys--. : Port=0 RD @00 +00006630[00000663] pys--. : Port=1 RD @03 +00006640[00000664] pys--. : R0: 1 00 11F8FD8E764F85A253 R1: 1 03 DA79BDFB1F521769F8 R2: 0 00 000000000000000000 R3: 0 00 8AFC848F22DE8C136B +00006640[00000664] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006640[00000664] pys--. : Port=0 WR @07=13048DDC35F46040AD +00006640[00000664] pys--. : Port=1 WR @06=FDEA3C31CC555BECC6 +00006640[00000664] pys--. : Port=1 RD @00 +00006650[00000665] pys--. : R0: 0 00 000000000000000000 R1: 1 00 11F8FD8E764F85A253 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006650[00000665] pys--. : W0: 1 07 13048DDC35F46040AD W1: 1 06 FDEA3C31CC555BECC6 +00006650[00000665] pys--. : Port=1 WR @02=EE4B57D82F860014AA +00006650[00000665] pys--. : Port=0 RD @03 +00006650[00000665] pys--. : Port=2 RD @05 +00006650[00000665] pys--. : Port=3 RD @06 +00006660[00000666] pys--. : R0: 1 03 DA79BDFB1F521769F8 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 1 06 000000000000000000 +00006660[00000666] pys--. : W0: 0 00 000000000000000000 W1: 1 02 EE4B57D82F860014AA +00006660[00000666] pys--. : Port=1 WR @06=3D2A82FC39243BC974 +00006670[00000667] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 71237B28C6094996AC R3: 0 00 FDEA3C31CC555BECC6 +00006670[00000667] pys--. : W0: 0 00 000000000000000000 W1: 1 06 3D2A82FC39243BC974 +00006670[00000667] pys--. : Port=1 RD @00 +00006680[00000668] pys--. : R0: 0 00 000000000000000000 R1: 1 00 11F8FD8E764F85A253 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006680[00000668] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006680[00000668] pys--. : Port=0 WR @06=A8BAA0C2A4F2BA869 +00006680[00000668] pys--. : Port=1 WR @06=DCC9522C499B4C1117 +00006680[00000668] pys--. : Port=3 RD @01 +00006690[00000669] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00006690[00000669] pys--. : W0: 1 06 0A8BAA0C2A4F2BA869 W1: 1 06 DCC9522C499B4C1117 +00006690[00000669] pys--. : Port=0 WR @07=F4065D79E3E0202318 +00006690[00000669] pys--. : Port=0 RD @01 +00006690[00000669] pys--. : Port=1 RD @06 +00006700[00000670] pys--. : R0: 1 01 E6055F7540AB34CEA7 R1: 1 06 DCC9522C499B4C1117 R2: 0 00 000000000000000000 R3: 0 00 E6055F7540AB34CEA7 +00006700[00000670] pys--. : W0: 1 07 F4065D79E3E0202318 W1: 0 00 000000000000000000 +00006700[00000670] pys--. : Port=0 WR @01=631D301E8622B0779 +00006700[00000670] pys--. : Port=1 WR @06=21B945CFE1593DDBDF +00006700[00000670] pys--. : Port=1 RD @02 +00006710[00000671] pys--. : R0: 0 00 000000000000000000 R1: 1 02 EE4B57D82F860014AA R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006710[00000671] pys--. : W0: 1 01 0631D301E8622B0779 W1: 1 06 21B945CFE1593DDBDF +00006710[00000671] pys--. : Port=0 RD @03 +00006710[00000671] pys--. : Port=2 RD @01 +00006710[00000671] pys--. : Port=3 RD @00 +00006720[00000672] pys--. : R0: 1 03 DA79BDFB1F521769F8 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 00 000000000000000000 +00006720[00000672] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006720[00000672] pys--. : Port=1 WR @00=ADBE41EB4DD16AD451 +00006720[00000672] pys--. : Port=1 RD @01 +00006730[00000673] pys--. : R0: 0 00 000000000000000000 R1: 1 01 0631D301E8622B0779 R2: 0 00 0631D301E8622B0779 R3: 0 00 11F8FD8E764F85A253 +00006730[00000673] pys--. : W0: 0 00 000000000000000000 W1: 1 00 ADBE41EB4DD16AD451 +00006730[00000673] pys--. : Port=0 RD @07 +00006730[00000673] pys--. : Port=1 RD @00 +00006730[00000673] pys--. : Port=3 RD @06 +00006740[00000674] pys--. : R0: 1 07 F4065D79E3E0202318 R1: 1 00 ADBE41EB4DD16AD451 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00006740[00000674] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006740[00000674] pys--. : Port=0 WR @05=FC2EE19AD7BF91CBEA +00006740[00000674] pys--. : Port=1 WR @07=8FF340013D7AEC3543 +00006740[00000674] pys--. : Port=2 RD @02 +00006750[00000675] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 21B945CFE1593DDBDF +00006750[00000675] pys--. : W0: 1 05 FC2EE19AD7BF91CBEA W1: 1 07 8FF340013D7AEC3543 +00006750[00000675] pys--. : Port=0 RD @03 +00006750[00000675] pys--. : Port=3 RD @05 +00006760[00000676] pys--. : R0: 1 03 DA79BDFB1F521769F8 R1: 0 00 000000000000000000 R2: 0 00 EE4B57D82F860014AA R3: 1 05 000000000000000000 +00006760[00000676] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006760[00000676] pys--. : Port=1 WR @03=81601E274F1489D732 +00006760[00000676] pys--. : Port=1 RD @05 +00006760[00000676] pys--. : Port=2 RD @02 +00006770[00000677] pys--. : R0: 0 00 000000000000000000 R1: 1 05 FC2EE19AD7BF91CBEA R2: 1 02 000000000000000000 R3: 0 00 FC2EE19AD7BF91CBEA +00006770[00000677] pys--. : W0: 0 00 000000000000000000 W1: 1 03 81601E274F1489D732 +00006770[00000677] pys--. : Port=0 WR @02=329E93CD8A7570CCAC +00006770[00000677] pys--. : Port=1 WR @01=670576C00D47F719E2 +00006770[00000677] pys--. : Port=1 RD @05 +00006770[00000677] pys--. : Port=2 RD @07 +00006770[00000677] pys--. : Port=3 RD @05 +00006780[00000678] pys--. : R0: 0 00 000000000000000000 R1: 1 05 FC2EE19AD7BF91CBEA R2: 1 07 EE4B57D82F860014AA R3: 1 05 000000000000000000 +00006780[00000678] pys--. : W0: 1 02 329E93CD8A7570CCAC W1: 1 01 670576C00D47F719E2 +00006780[00000678] pys--. : Port=0 RD @01 +00006780[00000678] pys--. : Port=3 RD @04 +00006790[00000679] pys--. : R0: 1 01 670576C00D47F719E2 R1: 0 00 000000000000000000 R2: 0 00 8FF340013D7AEC3543 R3: 1 04 FC2EE19AD7BF91CBEA +00006790[00000679] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006790[00000679] pys--. : Port=0 RD @04 +00006800[00000680] pys--. : R0: 1 04 4F916E41D0DF6AE69B R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 4F916E41D0DF6AE69B +00006800[00000680] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006800[00000680] pys--. : Port=0 RD @03 +00006800[00000680] pys--. : Port=1 RD @00 +00006800[00000680] pys--. : Port=2 RD @06 +00006800[00000680] pys--. : Port=3 RD @00 +00006810[00000681] pys--. : R0: 1 03 81601E274F1489D732 R1: 1 00 ADBE41EB4DD16AD451 R2: 1 06 000000000000000000 R3: 1 00 000000000000000000 +00006810[00000681] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006810[00000681] pys--. : Port=0 WR @02=A6A1D18EEAE4707C02 +00006820[00000682] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 21B945CFE1593DDBDF R3: 0 00 ADBE41EB4DD16AD451 +00006820[00000682] pys--. : W0: 1 02 A6A1D18EEAE4707C02 W1: 0 00 000000000000000000 +00006820[00000682] pys--. : Port=0 WR @07=3648CD61156C6F6BB5 +00006820[00000682] pys--. : Port=1 WR @05=9BF4004E132D850B67 +00006820[00000682] pys--. : Port=0 RD @06 +00006820[00000682] pys--. : Port=2 RD @01 +00006830[00000683] pys--. : R0: 1 06 21B945CFE1593DDBDF R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00006830[00000683] pys--. : W0: 1 07 3648CD61156C6F6BB5 W1: 1 05 9BF4004E132D850B67 +00006830[00000683] pys--. : Port=0 WR @04=66EE4ED4B27B34B919 +00006830[00000683] pys--. : Port=0 RD @06 +00006840[00000684] pys--. : R0: 1 06 21B945CFE1593DDBDF R1: 0 00 000000000000000000 R2: 0 00 670576C00D47F719E2 R3: 0 00 000000000000000000 +00006840[00000684] pys--. : W0: 1 04 66EE4ED4B27B34B919 W1: 0 00 000000000000000000 +00006840[00000684] pys--. : Port=0 WR @05=5D680D48704193CC9D +00006840[00000684] pys--. : Port=0 RD @00 +00006840[00000684] pys--. : Port=2 RD @00 +00006850[00000685] pys--. : R0: 1 00 ADBE41EB4DD16AD451 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 0 00 000000000000000000 +00006850[00000685] pys--. : W0: 1 05 5D680D48704193CC9D W1: 0 00 000000000000000000 +00006850[00000685] pys--. : Port=0 WR @01=E48B6A91A06D5F8C4B +00006850[00000685] pys--. : Port=1 WR @03=6313E52AA830A4EF1B +00006850[00000685] pys--. : Port=0 RD @04 +00006850[00000685] pys--. : Port=1 RD @05 +00006850[00000685] pys--. : Port=2 RD @04 +00006850[00000685] pys--. : Port=3 RD @05 +00006860[00000686] pys--. : R0: 1 04 66EE4ED4B27B34B919 R1: 1 05 5D680D48704193CC9D R2: 1 04 ADBE41EB4DD16AD451 R3: 1 05 000000000000000000 +00006860[00000686] pys--. : W0: 1 01 E48B6A91A06D5F8C4B W1: 1 03 6313E52AA830A4EF1B +00006860[00000686] pys--. : Port=1 WR @06=ED3C0582F5D505670C +00006860[00000686] pys--. : Port=1 RD @05 +00006860[00000686] pys--. : Port=2 RD @02 +00006860[00000686] pys--. : Port=3 RD @07 +00006870[00000687] pys--. : R0: 0 00 000000000000000000 R1: 1 05 5D680D48704193CC9D R2: 1 02 66EE4ED4B27B34B919 R3: 1 07 5D680D48704193CC9D +00006870[00000687] pys--. : W0: 0 00 000000000000000000 W1: 1 06 ED3C0582F5D505670C +00006870[00000687] pys--. : Port=0 WR @06=A0DC5F38EF47B90AE7 +00006870[00000687] pys--. : Port=1 RD @01 +00006880[00000688] pys--. : R0: 0 00 000000000000000000 R1: 1 01 E48B6A91A06D5F8C4B R2: 0 00 A6A1D18EEAE4707C02 R3: 0 00 3648CD61156C6F6BB5 +00006880[00000688] pys--. : W0: 1 06 A0DC5F38EF47B90AE7 W1: 0 00 000000000000000000 +00006880[00000688] pys--. : Port=0 WR @03=B299DD857FAF181524 +00006880[00000688] pys--. : Port=1 RD @01 +00006890[00000689] pys--. : R0: 0 00 000000000000000000 R1: 1 01 E48B6A91A06D5F8C4B R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006890[00000689] pys--. : W0: 1 03 B299DD857FAF181524 W1: 0 00 000000000000000000 +00006890[00000689] pys--. : Port=0 RD @02 +00006890[00000689] pys--. : Port=1 RD @04 +00006890[00000689] pys--. : Port=2 RD @07 +00006900[00000690] pys--. : R0: 1 02 A6A1D18EEAE4707C02 R1: 1 04 66EE4ED4B27B34B919 R2: 1 07 000000000000000000 R3: 0 00 000000000000000000 +00006900[00000690] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006900[00000690] pys--. : Port=0 WR @05=4EDC821A2AC78E15E7 +00006910[00000691] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 3648CD61156C6F6BB5 R3: 0 00 000000000000000000 +00006910[00000691] pys--. : W0: 1 05 4EDC821A2AC78E15E7 W1: 0 00 000000000000000000 +00006910[00000691] pys--. : Port=1 WR @01=8AEAAF63CE6FE9B86F +00006910[00000691] pys--. : Port=0 RD @02 +00006910[00000691] pys--. : Port=3 RD @05 +00006920[00000692] pys--. : R0: 1 02 A6A1D18EEAE4707C02 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00006920[00000692] pys--. : W0: 0 00 000000000000000000 W1: 1 01 8AEAAF63CE6FE9B86F +00006930[00000693] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 4EDC821A2AC78E15E7 +00006930[00000693] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006930[00000693] pys--. : Port=1 WR @03=10E27D67FB4735ED98 +00006940[00000694] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006940[00000694] pys--. : W0: 0 00 000000000000000000 W1: 1 03 10E27D67FB4735ED98 +00006950[00000695] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006950[00000695] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006950[00000695] pys--. : Port=1 WR @05=523238D22C5B7C6D5D +00006960[00000696] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00006960[00000696] pys--. : W0: 0 00 000000000000000000 W1: 1 05 523238D22C5B7C6D5D +00006960[00000696] pys--. : Port=0 WR @00=6B7823FD4D25377936 +00006960[00000696] pys--. : Port=0 RD @07 +00006960[00000696] pys--. : Port=1 RD @05 +00006960[00000696] pys--. : Port=2 RD @06 +00006960[00000696] pys--. : Port=3 RD @02 +00006970[00000697] pys--. : R0: 1 07 3648CD61156C6F6BB5 R1: 1 05 523238D22C5B7C6D5D R2: 1 06 000000000000000000 R3: 1 02 000000000000000000 +00006970[00000697] pys--. : W0: 1 00 6B7823FD4D25377936 W1: 0 00 000000000000000000 +00006970[00000697] pys--. : Port=0 RD @07 +00006970[00000697] pys--. : Port=3 RD @07 +00006980[00000698] pys--. : R0: 1 07 3648CD61156C6F6BB5 R1: 0 00 000000000000000000 R2: 0 00 A0DC5F38EF47B90AE7 R3: 1 07 A6A1D18EEAE4707C02 +00006980[00000698] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00006980[00000698] pys--. : Port=1 WR @05=89F00FB60BCD4B48E7 +00006980[00000698] pys--. : Port=2 RD @03 +00006990[00000699] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 3648CD61156C6F6BB5 +00006990[00000699] pys--. : W0: 0 00 000000000000000000 W1: 1 05 89F00FB60BCD4B48E7 +00006990[00000699] pys--. : Port=0 WR @04=6AB62685A540B84AA4 +00007000[00000700] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 10E27D67FB4735ED98 R3: 0 00 000000000000000000 +00007000[00000700] pys--. : W0: 1 04 6AB62685A540B84AA4 W1: 0 00 000000000000000000 +00007000[00000700] pys--. : Port=1 WR @00=8F5B2A2C95C5E211FA +00007000[00000700] pys--. : Port=0 RD @06 +00007010[00000701] pys--. : R0: 1 06 A0DC5F38EF47B90AE7 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00007010[00000701] pys--. : W0: 0 00 000000000000000000 W1: 1 00 8F5B2A2C95C5E211FA +00007010[00000701] pys--. : Port=0 WR @03=9632D793DC90AE8124 +00007010[00000701] pys--. : Port=1 WR @03=B9D9DFFCDD502B62D3 +00007020[00000702] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00007020[00000702] pys--. : W0: 1 03 9632D793DC90AE8124 W1: 1 03 B9D9DFFCDD502B62D3 +00007020[00000702] pys--. : Port=0 RD @05 +00007030[00000703] pys--. : R0: 1 05 89F00FB60BCD4B48E7 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00007030[00000703] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007030[00000703] pys--. : Port=0 WR @00=B0BF38CC728FEBD3AB +00007030[00000703] pys--. : Port=1 WR @06=A688C0845B2EEB5421 +00007030[00000703] pys--. : Port=1 RD @03 +00007030[00000703] pys--. : Port=3 RD @01 +00007040[00000704] pys--. : R0: 0 00 000000000000000000 R1: 1 03 B9D9DFFCDD502B62D3 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00007040[00000704] pys--. : W0: 1 00 B0BF38CC728FEBD3AB W1: 1 06 A688C0845B2EEB5421 +00007040[00000704] pys--. : Port=0 RD @02 +00007040[00000704] pys--. : Port=2 RD @01 +00007040[00000704] pys--. : Port=3 RD @03 +00007050[00000705] pys--. : R0: 1 02 A6A1D18EEAE4707C02 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 03 8AEAAF63CE6FE9B86F +00007050[00000705] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007050[00000705] pys--. : Port=1 WR @02=4B08E7992995B66002 +00007050[00000705] pys--. : Port=2 RD @00 +00007060[00000706] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 8AEAAF63CE6FE9B86F R3: 0 00 B9D9DFFCDD502B62D3 +00007060[00000706] pys--. : W0: 0 00 000000000000000000 W1: 1 02 4B08E7992995B66002 +00007060[00000706] pys--. : Port=1 WR @07=BDC15F693D9FD61762 +00007060[00000706] pys--. : Port=2 RD @03 +00007070[00000707] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 B0BF38CC728FEBD3AB R3: 0 00 000000000000000000 +00007070[00000707] pys--. : W0: 0 00 000000000000000000 W1: 1 07 BDC15F693D9FD61762 +00007070[00000707] pys--. : Port=3 RD @03 +00007080[00000708] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 B9D9DFFCDD502B62D3 R3: 1 03 000000000000000000 +00007080[00000708] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007080[00000708] pys--. : Port=0 WR @07=22E560B7E4F22E7D8E +00007080[00000708] pys--. : Port=0 RD @02 +00007090[00000709] pys--. : R0: 1 02 4B08E7992995B66002 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 B9D9DFFCDD502B62D3 +00007090[00000709] pys--. : W0: 1 07 22E560B7E4F22E7D8E W1: 0 00 000000000000000000 +00007090[00000709] pys--. : Port=1 WR @00=3F2606E8EE2D31A0DC +00007090[00000709] pys--. : Port=0 RD @03 +00007090[00000709] pys--. : Port=3 RD @02 +00007100[00000710] pys--. : R0: 1 03 B9D9DFFCDD502B62D3 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00007100[00000710] pys--. : W0: 0 00 000000000000000000 W1: 1 00 3F2606E8EE2D31A0DC +00007100[00000710] pys--. : Port=0 RD @07 +00007110[00000711] pys--. : R0: 1 07 22E560B7E4F22E7D8E R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 4B08E7992995B66002 +00007110[00000711] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007110[00000711] pys--. : Port=1 WR @03=A4ABA27573E1C71C67 +00007110[00000711] pys--. : Port=0 RD @07 +00007110[00000711] pys--. : Port=1 RD @01 +00007110[00000711] pys--. : Port=3 RD @00 +00007120[00000712] pys--. : R0: 1 07 22E560B7E4F22E7D8E R1: 1 01 8AEAAF63CE6FE9B86F R2: 0 00 000000000000000000 R3: 1 00 000000000000000000 +00007120[00000712] pys--. : W0: 0 00 000000000000000000 W1: 1 03 A4ABA27573E1C71C67 +00007120[00000712] pys--. : Port=0 RD @06 +00007120[00000712] pys--. : Port=2 RD @07 +00007120[00000712] pys--. : Port=3 RD @02 +00007130[00000713] pys--. : R0: 1 06 A688C0845B2EEB5421 R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 1 02 3F2606E8EE2D31A0DC +00007130[00000713] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007130[00000713] pys--. : Port=0 WR @07=348C90A37FB3DE7306 +00007130[00000713] pys--. : Port=1 WR @01=540EFF038BD2944511 +00007140[00000714] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 22E560B7E4F22E7D8E R3: 0 00 4B08E7992995B66002 +00007140[00000714] pys--. : W0: 1 07 348C90A37FB3DE7306 W1: 1 01 540EFF038BD2944511 +00007140[00000714] pys--. : Port=1 WR @06=45FB8E341C5674BFDC +00007140[00000714] pys--. : Port=1 RD @07 +00007140[00000714] pys--. : Port=2 RD @04 +00007150[00000715] pys--. : R0: 0 00 000000000000000000 R1: 1 07 348C90A37FB3DE7306 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00007150[00000715] pys--. : W0: 0 00 000000000000000000 W1: 1 06 45FB8E341C5674BFDC +00007150[00000715] pys--. : Port=0 RD @04 +00007160[00000716] pys--. : R0: 1 04 6AB62685A540B84AA4 R1: 0 00 000000000000000000 R2: 0 00 6AB62685A540B84AA4 R3: 0 00 000000000000000000 +00007160[00000716] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007160[00000716] pys--. : Port=0 WR @05=1153E165211A6967FF +00007160[00000716] pys--. : Port=1 RD @01 +00007160[00000716] pys--. : Port=3 RD @07 +00007170[00000717] pys--. : R0: 0 00 000000000000000000 R1: 1 01 540EFF038BD2944511 R2: 0 00 000000000000000000 R3: 1 07 000000000000000000 +00007170[00000717] pys--. : W0: 1 05 1153E165211A6967FF W1: 0 00 000000000000000000 +00007170[00000717] pys--. : Port=0 WR @01=424F9FE03077D2CB18 +00007170[00000717] pys--. : Port=1 RD @04 +00007170[00000717] pys--. : Port=3 RD @03 +00007180[00000718] pys--. : R0: 0 00 000000000000000000 R1: 1 04 6AB62685A540B84AA4 R2: 0 00 000000000000000000 R3: 1 03 348C90A37FB3DE7306 +00007180[00000718] pys--. : W0: 1 01 424F9FE03077D2CB18 W1: 0 00 000000000000000000 +00007180[00000718] pys--. : Port=1 WR @05=4840B3B75D209FD686 +00007180[00000718] pys--. : Port=0 RD @01 +00007180[00000718] pys--. : Port=2 RD @01 +00007190[00000719] pys--. : R0: 1 01 424F9FE03077D2CB18 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 A4ABA27573E1C71C67 +00007190[00000719] pys--. : W0: 0 00 000000000000000000 W1: 1 05 4840B3B75D209FD686 +00007190[00000719] pys--. : Port=0 WR @00=C7C657D095B34CF35F +00007190[00000719] pys--. : Port=1 WR @03=A1E4EF9CCE7CAA93E +00007190[00000719] pys--. : Port=0 RD @06 +00007190[00000719] pys--. : Port=1 RD @07 +00007190[00000719] pys--. : Port=2 RD @01 +00007200[00000720] pys--. : R0: 1 06 45FB8E341C5674BFDC R1: 1 07 348C90A37FB3DE7306 R2: 1 01 424F9FE03077D2CB18 R3: 0 00 000000000000000000 +00007200[00000720] pys--. : W0: 1 00 C7C657D095B34CF35F W1: 1 03 0A1E4EF9CCE7CAA93E +00007200[00000720] pys--. : Port=0 RD @02 +00007210[00000721] pys--. : R0: 1 02 4B08E7992995B66002 R1: 0 00 000000000000000000 R2: 0 00 424F9FE03077D2CB18 R3: 0 00 000000000000000000 +00007210[00000721] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007210[00000721] pys--. : Port=0 RD @07 +00007210[00000721] pys--. : Port=3 RD @07 +00007220[00000722] pys--. : R0: 1 07 348C90A37FB3DE7306 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 07 000000000000000000 +00007220[00000722] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007220[00000722] pys--. : Port=0 WR @06=E02A2110A5325597 +00007220[00000722] pys--. : Port=1 RD @04 +00007230[00000723] pys--. : R0: 0 00 000000000000000000 R1: 1 04 6AB62685A540B84AA4 R2: 0 00 000000000000000000 R3: 0 00 348C90A37FB3DE7306 +00007230[00000723] pys--. : W0: 1 06 00E02A2110A5325597 W1: 0 00 000000000000000000 +00007230[00000723] pys--. : Port=1 WR @03=A6399E85D78961CA15 +00007230[00000723] pys--. : Port=0 RD @06 +00007230[00000723] pys--. : Port=1 RD @05 +00007230[00000723] pys--. : Port=2 RD @06 +00007230[00000723] pys--. : Port=3 RD @00 +00007240[00000724] pys--. : R0: 1 06 00E02A2110A5325597 R1: 1 05 4840B3B75D209FD686 R2: 1 06 000000000000000000 R3: 1 00 000000000000000000 +00007240[00000724] pys--. : W0: 0 00 000000000000000000 W1: 1 03 A6399E85D78961CA15 +00007240[00000724] pys--. : Port=0 WR @03=6508E4F2055489C955 +00007240[00000724] pys--. : Port=0 RD @06 +00007240[00000724] pys--. : Port=1 RD @04 +00007240[00000724] pys--. : Port=3 RD @02 +00007250[00000725] pys--. : R0: 1 06 00E02A2110A5325597 R1: 1 04 6AB62685A540B84AA4 R2: 0 00 00E02A2110A5325597 R3: 1 02 C7C657D095B34CF35F +00007250[00000725] pys--. : W0: 1 03 6508E4F2055489C955 W1: 0 00 000000000000000000 +00007250[00000725] pys--. : Port=0 WR @05=9773C8066648193FF5 +00007250[00000725] pys--. : Port=1 RD @04 +00007250[00000725] pys--. : Port=2 RD @02 +00007260[00000726] pys--. : R0: 0 00 000000000000000000 R1: 1 04 6AB62685A540B84AA4 R2: 1 02 000000000000000000 R3: 0 00 4B08E7992995B66002 +00007260[00000726] pys--. : W0: 1 05 9773C8066648193FF5 W1: 0 00 000000000000000000 +00007260[00000726] pys--. : Port=3 RD @03 +00007270[00000727] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 4B08E7992995B66002 R3: 1 03 000000000000000000 +00007270[00000727] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007270[00000727] pys--. : Port=0 WR @07=F332AC14F61A190A1A +00007270[00000727] pys--. : Port=2 RD @00 +00007280[00000728] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 0 00 6508E4F2055489C955 +00007280[00000728] pys--. : W0: 1 07 F332AC14F61A190A1A W1: 0 00 000000000000000000 +00007280[00000728] pys--. : Port=3 RD @01 +00007290[00000729] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 C7C657D095B34CF35F R3: 1 01 000000000000000000 +00007290[00000729] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007290[00000729] pys--. : Port=0 WR @03=E63D9479FC2CF7B6F1 +00007290[00000729] pys--. : Port=0 RD @02 +00007290[00000729] pys--. : Port=1 RD @04 +00007300[00000730] pys--. : R0: 1 02 4B08E7992995B66002 R1: 1 04 6AB62685A540B84AA4 R2: 0 00 000000000000000000 R3: 0 00 424F9FE03077D2CB18 +00007300[00000730] pys--. : W0: 1 03 E63D9479FC2CF7B6F1 W1: 0 00 000000000000000000 +00007300[00000730] pys--. : Port=1 WR @06=9DA62E8F5C9AE5010E +00007300[00000730] pys--. : Port=0 RD @03 +00007300[00000730] pys--. : Port=3 RD @00 +00007310[00000731] pys--. : R0: 1 03 E63D9479FC2CF7B6F1 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 00 000000000000000000 +00007310[00000731] pys--. : W0: 0 00 000000000000000000 W1: 1 06 9DA62E8F5C9AE5010E +00007310[00000731] pys--. : Port=1 WR @06=77109714608897901C +00007310[00000731] pys--. : Port=0 RD @05 +00007320[00000732] pys--. : R0: 1 05 9773C8066648193FF5 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 C7C657D095B34CF35F +00007320[00000732] pys--. : W0: 0 00 000000000000000000 W1: 1 06 77109714608897901C +00007320[00000732] pys--. : Port=2 RD @01 +00007330[00000733] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00007330[00000733] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007330[00000733] pys--. : Port=0 RD @07 +00007340[00000734] pys--. : R0: 1 07 F332AC14F61A190A1A R1: 0 00 000000000000000000 R2: 0 00 424F9FE03077D2CB18 R3: 0 00 000000000000000000 +00007340[00000734] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007340[00000734] pys--. : Port=0 WR @07=7F6510206523B128A5 +00007340[00000734] pys--. : Port=1 WR @06=55F92CF6F11556E845 +00007340[00000734] pys--. : Port=1 RD @00 +00007340[00000734] pys--. : Port=2 RD @03 +00007340[00000734] pys--. : Port=3 RD @01 +00007350[00000735] pys--. : R0: 0 00 000000000000000000 R1: 1 00 C7C657D095B34CF35F R2: 1 03 000000000000000000 R3: 1 01 000000000000000000 +00007350[00000735] pys--. : W0: 1 07 7F6510206523B128A5 W1: 1 06 55F92CF6F11556E845 +00007350[00000735] pys--. : Port=0 RD @02 +00007350[00000735] pys--. : Port=1 RD @00 +00007360[00000736] pys--. : R0: 1 02 4B08E7992995B66002 R1: 1 00 C7C657D095B34CF35F R2: 0 00 E63D9479FC2CF7B6F1 R3: 0 00 424F9FE03077D2CB18 +00007360[00000736] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007360[00000736] pys--. : Port=0 RD @04 +00007360[00000736] pys--. : Port=2 RD @05 +00007360[00000736] pys--. : Port=3 RD @03 +00007370[00000737] pys--. : R0: 1 04 6AB62685A540B84AA4 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 1 03 000000000000000000 +00007370[00000737] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007370[00000737] pys--. : Port=0 RD @01 +00007380[00000738] pys--. : R0: 1 01 424F9FE03077D2CB18 R1: 0 00 000000000000000000 R2: 0 00 9773C8066648193FF5 R3: 0 00 E63D9479FC2CF7B6F1 +00007380[00000738] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007380[00000738] pys--. : Port=0 WR @06=E32ADE280A216BA4D6 +00007380[00000738] pys--. : Port=1 WR @01=3990F7DA48B3EFCA7A +00007380[00000738] pys--. : Port=1 RD @07 +00007390[00000739] pys--. : R0: 0 00 000000000000000000 R1: 1 07 7F6510206523B128A5 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00007390[00000739] pys--. : W0: 1 06 E32ADE280A216BA4D6 W1: 1 01 3990F7DA48B3EFCA7A +00007390[00000739] pys--. : Port=2 RD @02 +00007400[00000740] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00007400[00000740] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007400[00000740] pys--. : Port=1 WR @01=9AE4C975D1AD03A6BC +00007400[00000740] pys--. : Port=0 RD @05 +00007410[00000741] pys--. : R0: 1 05 9773C8066648193FF5 R1: 0 00 000000000000000000 R2: 0 00 4B08E7992995B66002 R3: 0 00 000000000000000000 +00007410[00000741] pys--. : W0: 0 00 000000000000000000 W1: 1 01 9AE4C975D1AD03A6BC +00007410[00000741] pys--. : Port=1 RD @04 +00007410[00000741] pys--. : Port=2 RD @04 +00007420[00000742] pys--. : R0: 0 00 000000000000000000 R1: 1 04 6AB62685A540B84AA4 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00007420[00000742] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007420[00000742] pys--. : Port=0 RD @06 +00007420[00000742] pys--. : Port=1 RD @06 +00007420[00000742] pys--. : Port=2 RD @01 +00007420[00000742] pys--. : Port=3 RD @01 +00007430[00000743] pys--. : R0: 1 06 E32ADE280A216BA4D6 R1: 1 06 E32ADE280A216BA4D6 R2: 1 01 6AB62685A540B84AA4 R3: 1 01 000000000000000000 +00007430[00000743] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007430[00000743] pys--. : Port=1 WR @07=CC36686614B0B86F79 +00007440[00000744] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 9AE4C975D1AD03A6BC R3: 0 00 9AE4C975D1AD03A6BC +00007440[00000744] pys--. : W0: 0 00 000000000000000000 W1: 1 07 CC36686614B0B86F79 +00007440[00000744] pys--. : Port=0 WR @07=F84B6096BD4516E1B1 +00007440[00000744] pys--. : Port=1 WR @06=CD980714D0DA39C2A0 +00007440[00000744] pys--. : Port=0 RD @02 +00007440[00000744] pys--. : Port=1 RD @05 +00007440[00000744] pys--. : Port=2 RD @02 +00007440[00000744] pys--. : Port=3 RD @01 +00007450[00000745] pys--. : R0: 1 02 4B08E7992995B66002 R1: 1 05 9773C8066648193FF5 R2: 1 02 000000000000000000 R3: 1 01 000000000000000000 +00007450[00000745] pys--. : W0: 1 07 F84B6096BD4516E1B1 W1: 1 06 CD980714D0DA39C2A0 +00007450[00000745] pys--. : Port=1 WR @05=ADBE1502B893C344BA +00007450[00000745] pys--. : Port=2 RD @06 +00007450[00000745] pys--. : Port=3 RD @02 +00007460[00000746] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 4B08E7992995B66002 R3: 1 02 9AE4C975D1AD03A6BC +00007460[00000746] pys--. : W0: 0 00 000000000000000000 W1: 1 05 ADBE1502B893C344BA +00007460[00000746] pys--. : Port=0 WR @07=5B233F614583FBED9E +00007460[00000746] pys--. : Port=1 WR @05=A9A31AD2ADF8531DE8 +00007460[00000746] pys--. : Port=1 RD @03 +00007460[00000746] pys--. : Port=2 RD @06 +00007470[00000747] pys--. : R0: 0 00 000000000000000000 R1: 1 03 E63D9479FC2CF7B6F1 R2: 1 06 CD980714D0DA39C2A0 R3: 0 00 4B08E7992995B66002 +00007470[00000747] pys--. : W0: 1 07 5B233F614583FBED9E W1: 1 05 A9A31AD2ADF8531DE8 +00007480[00000748] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 CD980714D0DA39C2A0 R3: 0 00 000000000000000000 +00007480[00000748] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007480[00000748] pys--. : Port=0 WR @03=F22D0FAD24DEFF0885 +00007480[00000748] pys--. : Port=0 RD @06 +00007480[00000748] pys--. : Port=1 RD @06 +00007480[00000748] pys--. : Port=2 RD @00 +00007480[00000748] pys--. : Port=3 RD @06 +00007490[00000749] pys--. : R0: 1 06 CD980714D0DA39C2A0 R1: 1 06 CD980714D0DA39C2A0 R2: 1 00 000000000000000000 R3: 1 06 000000000000000000 +00007490[00000749] pys--. : W0: 1 03 F22D0FAD24DEFF0885 W1: 0 00 000000000000000000 +00007490[00000749] pys--. : Port=0 RD @01 +00007500[00000750] pys--. : R0: 1 01 9AE4C975D1AD03A6BC R1: 0 00 000000000000000000 R2: 0 00 C7C657D095B34CF35F R3: 0 00 CD980714D0DA39C2A0 +00007500[00000750] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007500[00000750] pys--. : Port=0 WR @05=83B1F12EAFA9C8A266 +00007500[00000750] pys--. : Port=0 RD @02 +00007500[00000750] pys--. : Port=3 RD @04 +00007510[00000751] pys--. : R0: 1 02 4B08E7992995B66002 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00007510[00000751] pys--. : W0: 1 05 83B1F12EAFA9C8A266 W1: 0 00 000000000000000000 +00007510[00000751] pys--. : Port=0 WR @00=DAFAC6D940647435F2 +00007510[00000751] pys--. : Port=1 WR @00=1A5B66488A9E7EE09D +00007510[00000751] pys--. : Port=2 RD @01 +00007510[00000751] pys--. : Port=3 RD @04 +00007520[00000752] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 04 6AB62685A540B84AA4 +00007520[00000752] pys--. : W0: 1 00 DAFAC6D940647435F2 W1: 1 00 1A5B66488A9E7EE09D +00007530[00000753] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 9AE4C975D1AD03A6BC R3: 0 00 6AB62685A540B84AA4 +00007530[00000753] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007540[00000754] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00007540[00000754] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007540[00000754] pys--. : Port=0 WR @07=44A267C2E4D58EE8FF +00007540[00000754] pys--. : Port=0 RD @05 +00007540[00000754] pys--. : Port=2 RD @03 +00007550[00000755] pys--. : R0: 1 05 83B1F12EAFA9C8A266 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00007550[00000755] pys--. : W0: 1 07 44A267C2E4D58EE8FF W1: 0 00 000000000000000000 +00007550[00000755] pys--. : Port=1 WR @06=DEB3672E0B30D928D6 +00007550[00000755] pys--. : Port=2 RD @04 +00007550[00000755] pys--. : Port=3 RD @04 +00007560[00000756] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 F22D0FAD24DEFF0885 R3: 1 04 000000000000000000 +00007560[00000756] pys--. : W0: 0 00 000000000000000000 W1: 1 06 DEB3672E0B30D928D6 +00007560[00000756] pys--. : Port=0 WR @03=DF57A6483090EF2DAD +00007560[00000756] pys--. : Port=1 WR @03=F1578234F8B1CE581D +00007560[00000756] pys--. : Port=3 RD @05 +00007570[00000757] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 6AB62685A540B84AA4 R3: 1 05 6AB62685A540B84AA4 +00007570[00000757] pys--. : W0: 1 03 DF57A6483090EF2DAD W1: 1 03 F1578234F8B1CE581D +00007570[00000757] pys--. : Port=1 RD @07 +00007570[00000757] pys--. : Port=2 RD @03 +00007580[00000758] pys--. : R0: 0 00 000000000000000000 R1: 1 07 44A267C2E4D58EE8FF R2: 1 03 000000000000000000 R3: 0 00 83B1F12EAFA9C8A266 +00007580[00000758] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007580[00000758] pys--. : Port=1 WR @00=7B8ED46DD943425815 +00007580[00000758] pys--. : Port=3 RD @04 +00007590[00000759] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 F1578234F8B1CE581D R3: 1 04 000000000000000000 +00007590[00000759] pys--. : W0: 0 00 000000000000000000 W1: 1 00 7B8ED46DD943425815 +00007590[00000759] pys--. : Port=3 RD @03 +00007600[00000760] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 03 6AB62685A540B84AA4 +00007600[00000760] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007600[00000760] pys--. : Port=1 WR @00=9B1BBF4119CF3EDBF +00007600[00000760] pys--. : Port=2 RD @01 +00007610[00000761] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 F1578234F8B1CE581D +00007610[00000761] pys--. : W0: 0 00 000000000000000000 W1: 1 00 09B1BBF4119CF3EDBF +00007610[00000761] pys--. : Port=0 WR @07=F82D579E579A9F26CF +00007610[00000761] pys--. : Port=1 WR @05=EB4B74F461C6C56ADA +00007610[00000761] pys--. : Port=1 RD @03 +00007610[00000761] pys--. : Port=2 RD @01 +00007620[00000762] pys--. : R0: 0 00 000000000000000000 R1: 1 03 F1578234F8B1CE581D R2: 1 01 9AE4C975D1AD03A6BC R3: 0 00 000000000000000000 +00007620[00000762] pys--. : W0: 1 07 F82D579E579A9F26CF W1: 1 05 EB4B74F461C6C56ADA +00007620[00000762] pys--. : Port=0 RD @06 +00007620[00000762] pys--. : Port=3 RD @06 +00007630[00000763] pys--. : R0: 1 06 DEB3672E0B30D928D6 R1: 0 00 000000000000000000 R2: 0 00 9AE4C975D1AD03A6BC R3: 1 06 000000000000000000 +00007630[00000763] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007630[00000763] pys--. : Port=0 WR @07=32AC1055DF4DDA0E19 +00007630[00000763] pys--. : Port=0 RD @06 +00007630[00000763] pys--. : Port=1 RD @02 +00007630[00000763] pys--. : Port=2 RD @04 +00007630[00000763] pys--. : Port=3 RD @04 +00007640[00000764] pys--. : R0: 1 06 DEB3672E0B30D928D6 R1: 1 02 4B08E7992995B66002 R2: 1 04 000000000000000000 R3: 1 04 DEB3672E0B30D928D6 +00007640[00000764] pys--. : W0: 1 07 32AC1055DF4DDA0E19 W1: 0 00 000000000000000000 +00007640[00000764] pys--. : Port=0 RD @00 +00007640[00000764] pys--. : Port=2 RD @04 +00007640[00000764] pys--. : Port=3 RD @06 +00007650[00000765] pys--. : R0: 1 00 09B1BBF4119CF3EDBF R1: 0 00 000000000000000000 R2: 1 04 6AB62685A540B84AA4 R3: 1 06 6AB62685A540B84AA4 +00007650[00000765] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007650[00000765] pys--. : Port=0 WR @00=F136C3C522FA39DA19 +00007650[00000765] pys--. : Port=0 RD @01 +00007650[00000765] pys--. : Port=1 RD @06 +00007650[00000765] pys--. : Port=2 RD @01 +00007660[00000766] pys--. : R0: 1 01 9AE4C975D1AD03A6BC R1: 1 06 DEB3672E0B30D928D6 R2: 1 01 6AB62685A540B84AA4 R3: 0 00 DEB3672E0B30D928D6 +00007660[00000766] pys--. : W0: 1 00 F136C3C522FA39DA19 W1: 0 00 000000000000000000 +00007660[00000766] pys--. : Port=0 WR @03=8434108B954F34D9A4 +00007660[00000766] pys--. : Port=1 WR @01=5588007BB46A1BD46C +00007660[00000766] pys--. : Port=1 RD @04 +00007660[00000766] pys--. : Port=3 RD @02 +00007670[00000767] pys--. : R0: 0 00 000000000000000000 R1: 1 04 6AB62685A540B84AA4 R2: 0 00 9AE4C975D1AD03A6BC R3: 1 02 000000000000000000 +00007670[00000767] pys--. : W0: 1 03 8434108B954F34D9A4 W1: 1 01 5588007BB46A1BD46C +00007670[00000767] pys--. : Port=0 RD @06 +00007680[00000768] pys--. : R0: 1 06 DEB3672E0B30D928D6 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 4B08E7992995B66002 +00007680[00000768] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007680[00000768] pys--. : Port=0 WR @06=EA3DDD5B421241680D +00007680[00000768] pys--. : Port=1 WR @04=431C449EB802C12514 +00007680[00000768] pys--. : Port=0 RD @01 +00007680[00000768] pys--. : Port=3 RD @01 +00007690[00000769] pys--. : R0: 1 01 5588007BB46A1BD46C R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00007690[00000769] pys--. : W0: 1 06 EA3DDD5B421241680D W1: 1 04 431C449EB802C12514 +00007690[00000769] pys--. : Port=1 RD @02 +00007700[00000770] pys--. : R0: 0 00 000000000000000000 R1: 1 02 4B08E7992995B66002 R2: 0 00 000000000000000000 R3: 0 00 5588007BB46A1BD46C +00007700[00000770] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007700[00000770] pys--. : Port=0 WR @00=5FBAAEBFF142593DE6 +00007700[00000770] pys--. : Port=1 WR @05=53F7839B6C6AF0D813 +00007700[00000770] pys--. : Port=0 RD @01 +00007700[00000770] pys--. : Port=1 RD @03 +00007700[00000770] pys--. : Port=2 RD @03 +00007710[00000771] pys--. : R0: 1 01 5588007BB46A1BD46C R1: 1 03 8434108B954F34D9A4 R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00007710[00000771] pys--. : W0: 1 00 5FBAAEBFF142593DE6 W1: 1 05 53F7839B6C6AF0D813 +00007710[00000771] pys--. : Port=1 WR @03=DFF11F1647B59842D7 +00007710[00000771] pys--. : Port=2 RD @00 +00007710[00000771] pys--. : Port=3 RD @01 +00007720[00000772] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 8434108B954F34D9A4 R3: 1 01 000000000000000000 +00007720[00000772] pys--. : W0: 0 00 000000000000000000 W1: 1 03 DFF11F1647B59842D7 +00007720[00000772] pys--. : Port=1 RD @04 +00007730[00000773] pys--. : R0: 0 00 000000000000000000 R1: 1 04 431C449EB802C12514 R2: 0 00 5FBAAEBFF142593DE6 R3: 0 00 5588007BB46A1BD46C +00007730[00000773] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007730[00000773] pys--. : Port=0 WR @04=14D0CD9929B3E61D3A +00007730[00000773] pys--. : Port=1 WR @05=FD92E0B1DC4DA7E7E7 +00007730[00000773] pys--. : Port=2 RD @06 +00007730[00000773] pys--. : Port=3 RD @01 +00007740[00000774] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 1 01 000000000000000000 +00007740[00000774] pys--. : W0: 1 04 14D0CD9929B3E61D3A W1: 1 05 FD92E0B1DC4DA7E7E7 +00007740[00000774] pys--. : Port=1 WR @06=8AC3E57C0054E8430A +00007740[00000774] pys--. : Port=0 RD @03 +00007750[00000775] pys--. : R0: 1 03 DFF11F1647B59842D7 R1: 0 00 000000000000000000 R2: 0 00 EA3DDD5B421241680D R3: 0 00 5588007BB46A1BD46C +00007750[00000775] pys--. : W0: 0 00 000000000000000000 W1: 1 06 8AC3E57C0054E8430A +00007750[00000775] pys--. : Port=1 WR @01=85BDE8CF90485EB523 +00007760[00000776] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00007760[00000776] pys--. : W0: 0 00 000000000000000000 W1: 1 01 85BDE8CF90485EB523 +00007760[00000776] pys--. : Port=0 WR @01=1B38C23D09DBDC0BA2 +00007760[00000776] pys--. : Port=2 RD @03 +00007760[00000776] pys--. : Port=3 RD @03 +00007770[00000777] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 1 03 000000000000000000 +00007770[00000777] pys--. : W0: 1 01 1B38C23D09DBDC0BA2 W1: 0 00 000000000000000000 +00007770[00000777] pys--. : Port=0 RD @02 +00007770[00000777] pys--. : Port=3 RD @03 +00007780[00000778] pys--. : R0: 1 02 4B08E7992995B66002 R1: 0 00 000000000000000000 R2: 0 00 DFF11F1647B59842D7 R3: 1 03 DFF11F1647B59842D7 +00007780[00000778] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007780[00000778] pys--. : Port=3 RD @03 +00007790[00000779] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 03 DFF11F1647B59842D7 +00007790[00000779] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007790[00000779] pys--. : Port=1 WR @03=C0184F6F58EC696B76 +00007790[00000779] pys--. : Port=0 RD @00 +00007790[00000779] pys--. : Port=1 RD @04 +00007790[00000779] pys--. : Port=3 RD @02 +00007800[00000780] pys--. : R0: 1 00 5FBAAEBFF142593DE6 R1: 1 04 14D0CD9929B3E61D3A R2: 0 00 000000000000000000 R3: 1 02 DFF11F1647B59842D7 +00007800[00000780] pys--. : W0: 0 00 000000000000000000 W1: 1 03 C0184F6F58EC696B76 +00007800[00000780] pys--. : Port=0 WR @07=3E15FF7763A36E94 +00007800[00000780] pys--. : Port=1 RD @00 +00007800[00000780] pys--. : Port=2 RD @01 +00007800[00000780] pys--. : Port=3 RD @01 +00007810[00000781] pys--. : R0: 0 00 000000000000000000 R1: 1 00 5FBAAEBFF142593DE6 R2: 1 01 000000000000000000 R3: 1 01 4B08E7992995B66002 +00007810[00000781] pys--. : W0: 1 07 003E15FF7763A36E94 W1: 0 00 000000000000000000 +00007810[00000781] pys--. : Port=0 RD @03 +00007810[00000781] pys--. : Port=3 RD @00 +00007820[00000782] pys--. : R0: 1 03 C0184F6F58EC696B76 R1: 0 00 000000000000000000 R2: 0 00 1B38C23D09DBDC0BA2 R3: 1 00 1B38C23D09DBDC0BA2 +00007820[00000782] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007820[00000782] pys--. : Port=1 WR @01=EFDE8F88583532E05A +00007820[00000782] pys--. : Port=3 RD @06 +00007830[00000783] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 5FBAAEBFF142593DE6 +00007830[00000783] pys--. : W0: 0 00 000000000000000000 W1: 1 01 EFDE8F88583532E05A +00007830[00000783] pys--. : Port=0 WR @01=3E04083535C135326 +00007830[00000783] pys--. : Port=1 WR @03=4F7E74A754588FBE24 +00007830[00000783] pys--. : Port=3 RD @05 +00007840[00000784] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 8AC3E57C0054E8430A +00007840[00000784] pys--. : W0: 1 01 03E04083535C135326 W1: 1 03 4F7E74A754588FBE24 +00007840[00000784] pys--. : Port=1 RD @02 +00007850[00000785] pys--. : R0: 0 00 000000000000000000 R1: 1 02 4B08E7992995B66002 R2: 0 00 000000000000000000 R3: 0 00 FD92E0B1DC4DA7E7E7 +00007850[00000785] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007850[00000785] pys--. : Port=0 WR @06=98E487CDCF91F0044C +00007850[00000785] pys--. : Port=1 WR @07=8BCC4200E48A14333C +00007850[00000785] pys--. : Port=3 RD @02 +00007860[00000786] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00007860[00000786] pys--. : W0: 1 06 98E487CDCF91F0044C W1: 1 07 8BCC4200E48A14333C +00007860[00000786] pys--. : Port=1 WR @05=47C077043151969386 +00007860[00000786] pys--. : Port=0 RD @04 +00007860[00000786] pys--. : Port=1 RD @00 +00007870[00000787] pys--. : R0: 1 04 14D0CD9929B3E61D3A R1: 1 00 5FBAAEBFF142593DE6 R2: 0 00 000000000000000000 R3: 0 00 4B08E7992995B66002 +00007870[00000787] pys--. : W0: 0 00 000000000000000000 W1: 1 05 47C077043151969386 +00007870[00000787] pys--. : Port=1 WR @05=7EBF6BF311E32240AE +00007870[00000787] pys--. : Port=0 RD @06 +00007870[00000787] pys--. : Port=1 RD @07 +00007870[00000787] pys--. : Port=2 RD @02 +00007880[00000788] pys--. : R0: 1 06 98E487CDCF91F0044C R1: 1 07 8BCC4200E48A14333C R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00007880[00000788] pys--. : W0: 0 00 000000000000000000 W1: 1 05 7EBF6BF311E32240AE +00007880[00000788] pys--. : Port=1 RD @00 +00007880[00000788] pys--. : Port=3 RD @06 +00007890[00000789] pys--. : R0: 0 00 000000000000000000 R1: 1 00 5FBAAEBFF142593DE6 R2: 0 00 4B08E7992995B66002 R3: 1 06 000000000000000000 +00007890[00000789] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007890[00000789] pys--. : Port=0 WR @02=39B5C4FC527F540AAB +00007890[00000789] pys--. : Port=1 WR @00=CD7CB5AAD40116724 +00007890[00000789] pys--. : Port=1 RD @07 +00007900[00000790] pys--. : R0: 0 00 000000000000000000 R1: 1 07 8BCC4200E48A14333C R2: 0 00 000000000000000000 R3: 0 00 98E487CDCF91F0044C +00007900[00000790] pys--. : W0: 1 02 39B5C4FC527F540AAB W1: 1 00 0CD7CB5AAD40116724 +00007900[00000790] pys--. : Port=0 WR @07=6798E227A6F6D69E12 +00007900[00000790] pys--. : Port=1 WR @02=81AC47FF00F1AADD34 +00007900[00000790] pys--. : Port=0 RD @05 +00007900[00000790] pys--. : Port=3 RD @04 +00007910[00000791] pys--. : R0: 1 05 7EBF6BF311E32240AE R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00007910[00000791] pys--. : W0: 1 07 6798E227A6F6D69E12 W1: 1 02 81AC47FF00F1AADD34 +00007910[00000791] pys--. : Port=1 WR @02=C0108AE20C4D4ACC11 +00007910[00000791] pys--. : Port=1 RD @00 +00007920[00000792] pys--. : R0: 0 00 000000000000000000 R1: 1 00 0CD7CB5AAD40116724 R2: 0 00 000000000000000000 R3: 0 00 14D0CD9929B3E61D3A +00007920[00000792] pys--. : W0: 0 00 000000000000000000 W1: 1 02 C0108AE20C4D4ACC11 +00007920[00000792] pys--. : Port=0 WR @03=7EAE4573EF7C02EA3D +00007920[00000792] pys--. : Port=1 WR @04=5BD0CB481AD6CD1298 +00007920[00000792] pys--. : Port=1 RD @05 +00007930[00000793] pys--. : R0: 0 00 000000000000000000 R1: 1 05 7EBF6BF311E32240AE R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00007930[00000793] pys--. : W0: 1 03 7EAE4573EF7C02EA3D W1: 1 04 5BD0CB481AD6CD1298 +00007930[00000793] pys--. : Port=1 RD @04 +00007930[00000793] pys--. : Port=2 RD @05 +00007930[00000793] pys--. : Port=3 RD @07 +00007940[00000794] pys--. : R0: 0 00 000000000000000000 R1: 1 04 5BD0CB481AD6CD1298 R2: 1 05 000000000000000000 R3: 1 07 000000000000000000 +00007940[00000794] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00007940[00000794] pys--. : Port=0 WR @01=5252FDE19DF220F034 +00007940[00000794] pys--. : Port=1 WR @00=AC238A74CAEE7BEF74 +00007940[00000794] pys--. : Port=0 RD @06 +00007940[00000794] pys--. : Port=1 RD @05 +00007940[00000794] pys--. : Port=2 RD @05 +00007950[00000795] pys--. : R0: 1 06 98E487CDCF91F0044C R1: 1 05 7EBF6BF311E32240AE R2: 1 05 7EBF6BF311E32240AE R3: 0 00 6798E227A6F6D69E12 +00007950[00000795] pys--. : W0: 1 01 5252FDE19DF220F034 W1: 1 00 AC238A74CAEE7BEF74 +00007950[00000795] pys--. : Port=1 WR @07=F7A5B7686F73E4AA9 +00007950[00000795] pys--. : Port=2 RD @03 +00007950[00000795] pys--. : Port=3 RD @04 +00007960[00000796] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 7EBF6BF311E32240AE R3: 1 04 000000000000000000 +00007960[00000796] pys--. : W0: 0 00 000000000000000000 W1: 1 07 0F7A5B7686F73E4AA9 +00007960[00000796] pys--. : Port=0 WR @02=DC67B8109BCEBEB069 +00007960[00000796] pys--. : Port=2 RD @04 +00007960[00000796] pys--. : Port=3 RD @00 +00007970[00000797] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 7EAE4573EF7C02EA3D R3: 1 00 5BD0CB481AD6CD1298 +00007970[00000797] pys--. : W0: 1 02 DC67B8109BCEBEB069 W1: 0 00 000000000000000000 +00007970[00000797] pys--. : Port=0 WR @05=BB326B1EB393D72460 +00007970[00000797] pys--. : Port=1 WR @01=30BCDCC5B85819666E +00007970[00000797] pys--. : Port=0 RD @07 +00007970[00000797] pys--. : Port=1 RD @02 +00007980[00000798] pys--. : R0: 1 07 0F7A5B7686F73E4AA9 R1: 1 02 DC67B8109BCEBEB069 R2: 0 00 5BD0CB481AD6CD1298 R3: 0 00 AC238A74CAEE7BEF74 +00007980[00000798] pys--. : W0: 1 05 BB326B1EB393D72460 W1: 1 01 30BCDCC5B85819666E +00007980[00000798] pys--. : Port=0 WR @01=4AC9E1BC1AFE492E3E +00007980[00000798] pys--. : Port=1 WR @01=BBAC4BF350470647FC +00007980[00000798] pys--. : Port=2 RD @05 +00007990[00000799] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00007990[00000799] pys--. : W0: 1 01 4AC9E1BC1AFE492E3E W1: 1 01 BBAC4BF350470647FC +00007990[00000799] pys--. : Port=0 WR @01=2FE55AE4D27C98F180 +00007990[00000799] pys--. : Port=1 RD @02 +00008000[00000800] pys--. : R0: 0 00 000000000000000000 R1: 1 02 DC67B8109BCEBEB069 R2: 0 00 BB326B1EB393D72460 R3: 0 00 000000000000000000 +00008000[00000800] pys--. : W0: 1 01 2FE55AE4D27C98F180 W1: 0 00 000000000000000000 +00008000[00000800] pys--. : Port=0 RD @05 +00008000[00000800] pys--. : Port=1 RD @02 +00008010[00000801] pys--. : R0: 1 05 BB326B1EB393D72460 R1: 1 02 DC67B8109BCEBEB069 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008010[00000801] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008010[00000801] pys--. : Port=0 WR @06=DAEF9F00F61857A263 +00008010[00000801] pys--. : Port=1 WR @01=9D4C06FE33527DE513 +00008010[00000801] pys--. : Port=0 RD @02 +00008010[00000801] pys--. : Port=1 RD @03 +00008010[00000801] pys--. : Port=2 RD @05 +00008010[00000801] pys--. : Port=3 RD @02 +00008020[00000802] pys--. : R0: 1 02 DC67B8109BCEBEB069 R1: 1 03 7EAE4573EF7C02EA3D R2: 1 05 000000000000000000 R3: 1 02 000000000000000000 +00008020[00000802] pys--. : W0: 1 06 DAEF9F00F61857A263 W1: 1 01 9D4C06FE33527DE513 +00008020[00000802] pys--. : Port=0 WR @03=579E8F54AB65D2C696 +00008020[00000802] pys--. : Port=1 WR @05=4B704FA3F01F8A43B2 +00008020[00000802] pys--. : Port=1 RD @02 +00008020[00000802] pys--. : Port=2 RD @06 +00008030[00000803] pys--. : R0: 0 00 000000000000000000 R1: 1 02 DC67B8109BCEBEB069 R2: 1 06 BB326B1EB393D72460 R3: 0 00 DC67B8109BCEBEB069 +00008030[00000803] pys--. : W0: 1 03 579E8F54AB65D2C696 W1: 1 05 4B704FA3F01F8A43B2 +00008030[00000803] pys--. : Port=1 WR @07=50BE26E5C79C8192D +00008030[00000803] pys--. : Port=0 RD @02 +00008030[00000803] pys--. : Port=2 RD @03 +00008040[00000804] pys--. : R0: 1 02 DC67B8109BCEBEB069 R1: 0 00 000000000000000000 R2: 1 03 DAEF9F00F61857A263 R3: 0 00 000000000000000000 +00008040[00000804] pys--. : W0: 0 00 000000000000000000 W1: 1 07 050BE26E5C79C8192D +00008040[00000804] pys--. : Port=0 RD @06 +00008040[00000804] pys--. : Port=1 RD @01 +00008050[00000805] pys--. : R0: 1 06 DAEF9F00F61857A263 R1: 1 01 9D4C06FE33527DE513 R2: 0 00 579E8F54AB65D2C696 R3: 0 00 000000000000000000 +00008050[00000805] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008050[00000805] pys--. : Port=0 RD @04 +00008050[00000805] pys--. : Port=2 RD @02 +00008060[00000806] pys--. : R0: 1 04 5BD0CB481AD6CD1298 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00008060[00000806] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008060[00000806] pys--. : Port=0 WR @02=FA10269E78EC121A05 +00008060[00000806] pys--. : Port=1 WR @01=979CDEA23B891D42C1 +00008060[00000806] pys--. : Port=2 RD @05 +00008060[00000806] pys--. : Port=3 RD @03 +00008070[00000807] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 DC67B8109BCEBEB069 R3: 1 03 000000000000000000 +00008070[00000807] pys--. : W0: 1 02 FA10269E78EC121A05 W1: 1 01 979CDEA23B891D42C1 +00008070[00000807] pys--. : Port=3 RD @03 +00008080[00000808] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 4B704FA3F01F8A43B2 R3: 1 03 579E8F54AB65D2C696 +00008080[00000808] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008080[00000808] pys--. : Port=3 RD @05 +00008090[00000809] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 579E8F54AB65D2C696 +00008090[00000809] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008090[00000809] pys--. : Port=0 WR @06=E316C0EFDC8665BAC9 +00008090[00000809] pys--. : Port=1 WR @03=5674047AA59C3ED8AC +00008090[00000809] pys--. : Port=0 RD @07 +00008090[00000809] pys--. : Port=3 RD @02 +00008100[00000810] pys--. : R0: 1 07 050BE26E5C79C8192D R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 4B704FA3F01F8A43B2 +00008100[00000810] pys--. : W0: 1 06 E316C0EFDC8665BAC9 W1: 1 03 5674047AA59C3ED8AC +00008100[00000810] pys--. : Port=0 WR @02=C04131FF8D4524937F +00008100[00000810] pys--. : Port=0 RD @07 +00008110[00000811] pys--. : R0: 1 07 050BE26E5C79C8192D R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 FA10269E78EC121A05 +00008110[00000811] pys--. : W0: 1 02 C04131FF8D4524937F W1: 0 00 000000000000000000 +00008110[00000811] pys--. : Port=0 WR @03=BBC10B84E85F8D9CC8 +00008110[00000811] pys--. : Port=0 RD @06 +00008110[00000811] pys--. : Port=1 RD @05 +00008120[00000812] pys--. : R0: 1 06 E316C0EFDC8665BAC9 R1: 1 05 4B704FA3F01F8A43B2 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008120[00000812] pys--. : W0: 1 03 BBC10B84E85F8D9CC8 W1: 0 00 000000000000000000 +00008120[00000812] pys--. : Port=0 RD @02 +00008120[00000812] pys--. : Port=1 RD @03 +00008120[00000812] pys--. : Port=2 RD @04 +00008130[00000813] pys--. : R0: 1 02 C04131FF8D4524937F R1: 1 03 BBC10B84E85F8D9CC8 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00008130[00000813] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008130[00000813] pys--. : Port=0 RD @07 +00008130[00000813] pys--. : Port=2 RD @00 +00008130[00000813] pys--. : Port=3 RD @04 +00008140[00000814] pys--. : R0: 1 07 050BE26E5C79C8192D R1: 0 00 000000000000000000 R2: 1 00 5BD0CB481AD6CD1298 R3: 1 04 000000000000000000 +00008140[00000814] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008140[00000814] pys--. : Port=1 WR @00=6F1A8685C0779933E1 +00008140[00000814] pys--. : Port=2 RD @05 +00008140[00000814] pys--. : Port=3 RD @02 +00008150[00000815] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 AC238A74CAEE7BEF74 R3: 1 02 5BD0CB481AD6CD1298 +00008150[00000815] pys--. : W0: 0 00 000000000000000000 W1: 1 00 6F1A8685C0779933E1 +00008150[00000815] pys--. : Port=1 WR @03=48ECC219272A1D5ABA +00008150[00000815] pys--. : Port=1 RD @04 +00008150[00000815] pys--. : Port=3 RD @06 +00008160[00000816] pys--. : R0: 0 00 000000000000000000 R1: 1 04 5BD0CB481AD6CD1298 R2: 0 00 4B704FA3F01F8A43B2 R3: 1 06 C04131FF8D4524937F +00008160[00000816] pys--. : W0: 0 00 000000000000000000 W1: 1 03 48ECC219272A1D5ABA +00008160[00000816] pys--. : Port=0 WR @07=6F0DA51FFEE4E45979 +00008160[00000816] pys--. : Port=1 WR @03=7DD96B16885118F3B +00008160[00000816] pys--. : Port=0 RD @05 +00008160[00000816] pys--. : Port=1 RD @04 +00008170[00000817] pys--. : R0: 1 05 4B704FA3F01F8A43B2 R1: 1 04 5BD0CB481AD6CD1298 R2: 0 00 000000000000000000 R3: 0 00 E316C0EFDC8665BAC9 +00008170[00000817] pys--. : W0: 1 07 6F0DA51FFEE4E45979 W1: 1 03 07DD96B16885118F3B +00008170[00000817] pys--. : Port=1 WR @00=EAA3E9C477E7271735 +00008170[00000817] pys--. : Port=2 RD @02 +00008180[00000818] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00008180[00000818] pys--. : W0: 0 00 000000000000000000 W1: 1 00 EAA3E9C477E7271735 +00008180[00000818] pys--. : Port=1 WR @07=8F2024D5C3E9300054 +00008180[00000818] pys--. : Port=3 RD @01 +00008190[00000819] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 C04131FF8D4524937F R3: 1 01 000000000000000000 +00008190[00000819] pys--. : W0: 0 00 000000000000000000 W1: 1 07 8F2024D5C3E9300054 +00008190[00000819] pys--. : Port=0 WR @02=A8F98154BBAAF50E28 +00008190[00000819] pys--. : Port=1 WR @06=337EAED14734B509E8 +00008190[00000819] pys--. : Port=0 RD @01 +00008200[00000820] pys--. : R0: 1 01 979CDEA23B891D42C1 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 979CDEA23B891D42C1 +00008200[00000820] pys--. : W0: 1 02 A8F98154BBAAF50E28 W1: 1 06 337EAED14734B509E8 +00008200[00000820] pys--. : Port=3 RD @06 +00008210[00000821] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00008210[00000821] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008210[00000821] pys--. : Port=1 WR @00=6E073016D12480B5EC +00008210[00000821] pys--. : Port=3 RD @05 +00008220[00000822] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 337EAED14734B509E8 +00008220[00000822] pys--. : W0: 0 00 000000000000000000 W1: 1 00 6E073016D12480B5EC +00008220[00000822] pys--. : Port=1 WR @07=C1411467785586A46A +00008220[00000822] pys--. : Port=0 RD @00 +00008220[00000822] pys--. : Port=1 RD @00 +00008220[00000822] pys--. : Port=3 RD @01 +00008230[00000823] pys--. : R0: 1 00 6E073016D12480B5EC R1: 1 00 6E073016D12480B5EC R2: 0 00 000000000000000000 R3: 1 01 4B704FA3F01F8A43B2 +00008230[00000823] pys--. : W0: 0 00 000000000000000000 W1: 1 07 C1411467785586A46A +00008230[00000823] pys--. : Port=1 WR @01=38683C65BCABD8F605 +00008230[00000823] pys--. : Port=0 RD @05 +00008230[00000823] pys--. : Port=1 RD @00 +00008240[00000824] pys--. : R0: 1 05 4B704FA3F01F8A43B2 R1: 1 00 6E073016D12480B5EC R2: 0 00 000000000000000000 R3: 0 00 979CDEA23B891D42C1 +00008240[00000824] pys--. : W0: 0 00 000000000000000000 W1: 1 01 38683C65BCABD8F605 +00008240[00000824] pys--. : Port=1 WR @02=E304EE3886646A2780 +00008240[00000824] pys--. : Port=1 RD @07 +00008240[00000824] pys--. : Port=3 RD @00 +00008250[00000825] pys--. : R0: 0 00 000000000000000000 R1: 1 07 C1411467785586A46A R2: 0 00 000000000000000000 R3: 1 00 000000000000000000 +00008250[00000825] pys--. : W0: 0 00 000000000000000000 W1: 1 02 E304EE3886646A2780 +00008250[00000825] pys--. : Port=0 WR @00=515F340307AB462DAB +00008260[00000826] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 6E073016D12480B5EC +00008260[00000826] pys--. : W0: 1 00 515F340307AB462DAB W1: 0 00 000000000000000000 +00008260[00000826] pys--. : Port=1 RD @07 +00008260[00000826] pys--. : Port=3 RD @01 +00008270[00000827] pys--. : R0: 0 00 000000000000000000 R1: 1 07 C1411467785586A46A R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00008270[00000827] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008270[00000827] pys--. : Port=1 WR @07=A4D0B2B8E41CB4B368 +00008270[00000827] pys--. : Port=0 RD @04 +00008270[00000827] pys--. : Port=1 RD @00 +00008270[00000827] pys--. : Port=2 RD @03 +00008280[00000828] pys--. : R0: 1 04 5BD0CB481AD6CD1298 R1: 1 00 515F340307AB462DAB R2: 1 03 000000000000000000 R3: 0 00 38683C65BCABD8F605 +00008280[00000828] pys--. : W0: 0 00 000000000000000000 W1: 1 07 A4D0B2B8E41CB4B368 +00008280[00000828] pys--. : Port=1 RD @03 +00008280[00000828] pys--. : Port=2 RD @06 +00008290[00000829] pys--. : R0: 0 00 000000000000000000 R1: 1 03 07DD96B16885118F3B R2: 1 06 07DD96B16885118F3B R3: 0 00 000000000000000000 +00008290[00000829] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008290[00000829] pys--. : Port=0 RD @00 +00008290[00000829] pys--. : Port=1 RD @07 +00008290[00000829] pys--. : Port=3 RD @07 +00008300[00000830] pys--. : R0: 1 00 515F340307AB462DAB R1: 1 07 A4D0B2B8E41CB4B368 R2: 0 00 337EAED14734B509E8 R3: 1 07 000000000000000000 +00008300[00000830] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008300[00000830] pys--. : Port=0 WR @03=63F222CECA5F1F6DD6 +00008300[00000830] pys--. : Port=1 WR @05=5930AEAD1863445795 +00008300[00000830] pys--. : Port=3 RD @06 +00008310[00000831] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 A4D0B2B8E41CB4B368 +00008310[00000831] pys--. : W0: 1 03 63F222CECA5F1F6DD6 W1: 1 05 5930AEAD1863445795 +00008310[00000831] pys--. : Port=1 RD @05 +00008310[00000831] pys--. : Port=3 RD @03 +00008320[00000832] pys--. : R0: 0 00 000000000000000000 R1: 1 05 5930AEAD1863445795 R2: 0 00 000000000000000000 R3: 1 03 337EAED14734B509E8 +00008320[00000832] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008320[00000832] pys--. : Port=0 WR @02=BA3A10AB155DA1433B +00008320[00000832] pys--. : Port=0 RD @07 +00008330[00000833] pys--. : R0: 1 07 A4D0B2B8E41CB4B368 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 63F222CECA5F1F6DD6 +00008330[00000833] pys--. : W0: 1 02 BA3A10AB155DA1433B W1: 0 00 000000000000000000 +00008330[00000833] pys--. : Port=0 RD @01 +00008330[00000833] pys--. : Port=2 RD @07 +00008340[00000834] pys--. : R0: 1 01 38683C65BCABD8F605 R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 0 00 000000000000000000 +00008340[00000834] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008340[00000834] pys--. : Port=1 WR @02=4244D7552A88F5252A +00008340[00000834] pys--. : Port=0 RD @05 +00008350[00000835] pys--. : R0: 1 05 5930AEAD1863445795 R1: 0 00 000000000000000000 R2: 0 00 A4D0B2B8E41CB4B368 R3: 0 00 000000000000000000 +00008350[00000835] pys--. : W0: 0 00 000000000000000000 W1: 1 02 4244D7552A88F5252A +00008350[00000835] pys--. : Port=0 WR @03=F03A499EEC6A72E3F5 +00008350[00000835] pys--. : Port=2 RD @00 +00008350[00000835] pys--. : Port=3 RD @02 +00008360[00000836] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 1 02 000000000000000000 +00008360[00000836] pys--. : W0: 1 03 F03A499EEC6A72E3F5 W1: 0 00 000000000000000000 +00008360[00000836] pys--. : Port=0 WR @04=3F07A4F20CA45CA703 +00008360[00000836] pys--. : Port=1 RD @06 +00008370[00000837] pys--. : R0: 0 00 000000000000000000 R1: 1 06 337EAED14734B509E8 R2: 0 00 515F340307AB462DAB R3: 0 00 4244D7552A88F5252A +00008370[00000837] pys--. : W0: 1 04 3F07A4F20CA45CA703 W1: 0 00 000000000000000000 +00008370[00000837] pys--. : Port=1 WR @04=50F79D1EB65B7001F4 +00008380[00000838] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008380[00000838] pys--. : W0: 0 00 000000000000000000 W1: 1 04 50F79D1EB65B7001F4 +00008380[00000838] pys--. : Port=1 WR @03=F3EEF9585D9667A3B0 +00008390[00000839] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008390[00000839] pys--. : W0: 0 00 000000000000000000 W1: 1 03 F3EEF9585D9667A3B0 +00008400[00000840] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008400[00000840] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008400[00000840] pys--. : Port=0 WR @00=3F4D2E4823DFA2D35D +00008400[00000840] pys--. : Port=1 WR @01=7DDBDED4E4E70291CE +00008410[00000841] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008410[00000841] pys--. : W0: 1 00 3F4D2E4823DFA2D35D W1: 1 01 7DDBDED4E4E70291CE +00008410[00000841] pys--. : Port=0 WR @05=949C556C6D9DE62346 +00008410[00000841] pys--. : Port=1 RD @06 +00008410[00000841] pys--. : Port=2 RD @01 +00008420[00000842] pys--. : R0: 0 00 000000000000000000 R1: 1 06 337EAED14734B509E8 R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00008420[00000842] pys--. : W0: 1 05 949C556C6D9DE62346 W1: 0 00 000000000000000000 +00008420[00000842] pys--. : Port=1 WR @05=57608320AA7FC6F13C +00008430[00000843] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 7DDBDED4E4E70291CE R3: 0 00 000000000000000000 +00008430[00000843] pys--. : W0: 0 00 000000000000000000 W1: 1 05 57608320AA7FC6F13C +00008430[00000843] pys--. : Port=0 WR @05=2708C02BD25C2E90F0 +00008430[00000843] pys--. : Port=1 RD @04 +00008430[00000843] pys--. : Port=3 RD @07 +00008440[00000844] pys--. : R0: 0 00 000000000000000000 R1: 1 04 50F79D1EB65B7001F4 R2: 0 00 000000000000000000 R3: 1 07 000000000000000000 +00008440[00000844] pys--. : W0: 1 05 2708C02BD25C2E90F0 W1: 0 00 000000000000000000 +00008440[00000844] pys--. : Port=1 WR @07=BA0032BC65F6B183AA +00008440[00000844] pys--. : Port=0 RD @06 +00008450[00000845] pys--. : R0: 1 06 337EAED14734B509E8 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 A4D0B2B8E41CB4B368 +00008450[00000845] pys--. : W0: 0 00 000000000000000000 W1: 1 07 BA0032BC65F6B183AA +00008450[00000845] pys--. : Port=1 RD @03 +00008460[00000846] pys--. : R0: 0 00 000000000000000000 R1: 1 03 F3EEF9585D9667A3B0 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008460[00000846] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008460[00000846] pys--. : Port=1 WR @03=D90F2E51B763054CF3 +00008460[00000846] pys--. : Port=2 RD @00 +00008470[00000847] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 0 00 000000000000000000 +00008470[00000847] pys--. : W0: 0 00 000000000000000000 W1: 1 03 D90F2E51B763054CF3 +00008470[00000847] pys--. : Port=0 WR @03=E51B3BF5D4F7EE761B +00008470[00000847] pys--. : Port=1 RD @00 +00008470[00000847] pys--. : Port=2 RD @01 +00008470[00000847] pys--. : Port=3 RD @02 +00008480[00000848] pys--. : R0: 0 00 000000000000000000 R1: 1 00 3F4D2E4823DFA2D35D R2: 1 01 3F4D2E4823DFA2D35D R3: 1 02 000000000000000000 +00008480[00000848] pys--. : W0: 1 03 E51B3BF5D4F7EE761B W1: 0 00 000000000000000000 +00008480[00000848] pys--. : Port=0 WR @06=E1F887CD6A33061883 +00008480[00000848] pys--. : Port=1 WR @06=18A85C3500B1DBE1DA +00008480[00000848] pys--. : Port=0 RD @01 +00008480[00000848] pys--. : Port=2 RD @05 +00008490[00000849] pys--. : R0: 1 01 7DDBDED4E4E70291CE R1: 0 00 000000000000000000 R2: 1 05 7DDBDED4E4E70291CE R3: 0 00 4244D7552A88F5252A +00008490[00000849] pys--. : W0: 1 06 E1F887CD6A33061883 W1: 1 06 18A85C3500B1DBE1DA +00008490[00000849] pys--. : Port=1 WR @04=F366F56E42618EADD2 +00008490[00000849] pys--. : Port=1 RD @02 +00008490[00000849] pys--. : Port=2 RD @00 +00008490[00000849] pys--. : Port=3 RD @01 +00008500[00000850] pys--. : R0: 0 00 000000000000000000 R1: 1 02 4244D7552A88F5252A R2: 1 00 2708C02BD25C2E90F0 R3: 1 01 000000000000000000 +00008500[00000850] pys--. : W0: 0 00 000000000000000000 W1: 1 04 F366F56E42618EADD2 +00008500[00000850] pys--. : Port=0 WR @02=FCCDD32A9046A10184 +00008500[00000850] pys--. : Port=2 RD @06 +00008500[00000850] pys--. : Port=3 RD @00 +00008510[00000851] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 3F4D2E4823DFA2D35D R3: 1 00 7DDBDED4E4E70291CE +00008510[00000851] pys--. : W0: 1 02 FCCDD32A9046A10184 W1: 0 00 000000000000000000 +00008510[00000851] pys--. : Port=0 RD @02 +00008510[00000851] pys--. : Port=1 RD @04 +00008510[00000851] pys--. : Port=2 RD @00 +00008520[00000852] pys--. : R0: 1 02 FCCDD32A9046A10184 R1: 1 04 F366F56E42618EADD2 R2: 1 00 18A85C3500B1DBE1DA R3: 0 00 3F4D2E4823DFA2D35D +00008520[00000852] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008520[00000852] pys--. : Port=0 WR @01=2AFE20E9FA6ABE27E6 +00008520[00000852] pys--. : Port=1 WR @06=5BB3DE728C989BC20B +00008520[00000852] pys--. : Port=0 RD @04 +00008520[00000852] pys--. : Port=2 RD @04 +00008520[00000852] pys--. : Port=3 RD @00 +00008530[00000853] pys--. : R0: 1 04 F366F56E42618EADD2 R1: 0 00 000000000000000000 R2: 1 04 3F4D2E4823DFA2D35D R3: 1 00 000000000000000000 +00008530[00000853] pys--. : W0: 1 01 2AFE20E9FA6ABE27E6 W1: 1 06 5BB3DE728C989BC20B +00008530[00000853] pys--. : Port=1 WR @01=DBB2A66725608F170E +00008530[00000853] pys--. : Port=0 RD @00 +00008530[00000853] pys--. : Port=1 RD @00 +00008530[00000853] pys--. : Port=3 RD @02 +00008540[00000854] pys--. : R0: 1 00 3F4D2E4823DFA2D35D R1: 1 00 3F4D2E4823DFA2D35D R2: 0 00 F366F56E42618EADD2 R3: 1 02 3F4D2E4823DFA2D35D +00008540[00000854] pys--. : W0: 0 00 000000000000000000 W1: 1 01 DBB2A66725608F170E +00008550[00000855] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 FCCDD32A9046A10184 +00008550[00000855] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008550[00000855] pys--. : Port=3 RD @03 +00008560[00000856] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 03 000000000000000000 +00008560[00000856] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008560[00000856] pys--. : Port=0 WR @07=E8BC561F8726396E0A +00008560[00000856] pys--. : Port=3 RD @05 +00008570[00000857] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 E51B3BF5D4F7EE761B +00008570[00000857] pys--. : W0: 1 07 E8BC561F8726396E0A W1: 0 00 000000000000000000 +00008570[00000857] pys--. : Port=0 WR @05=9CB9367223EA830E61 +00008570[00000857] pys--. : Port=0 RD @06 +00008570[00000857] pys--. : Port=1 RD @00 +00008570[00000857] pys--. : Port=2 RD @02 +00008580[00000858] pys--. : R0: 1 06 5BB3DE728C989BC20B R1: 1 00 3F4D2E4823DFA2D35D R2: 1 02 000000000000000000 R3: 0 00 2708C02BD25C2E90F0 +00008580[00000858] pys--. : W0: 1 05 9CB9367223EA830E61 W1: 0 00 000000000000000000 +00008580[00000858] pys--. : Port=0 WR @02=75935493D028E32E1A +00008580[00000858] pys--. : Port=0 RD @06 +00008590[00000859] pys--. : R0: 1 06 5BB3DE728C989BC20B R1: 0 00 000000000000000000 R2: 0 00 FCCDD32A9046A10184 R3: 0 00 000000000000000000 +00008590[00000859] pys--. : W0: 1 02 75935493D028E32E1A W1: 0 00 000000000000000000 +00008590[00000859] pys--. : Port=1 WR @00=2537BEE7583A018FE0 +00008590[00000859] pys--. : Port=0 RD @03 +00008590[00000859] pys--. : Port=2 RD @04 +00008590[00000859] pys--. : Port=3 RD @02 +00008600[00000860] pys--. : R0: 1 03 E51B3BF5D4F7EE761B R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 1 02 000000000000000000 +00008600[00000860] pys--. : W0: 0 00 000000000000000000 W1: 1 00 2537BEE7583A018FE0 +00008600[00000860] pys--. : Port=1 WR @01=FF537BF3470EBB6887 +00008600[00000860] pys--. : Port=0 RD @06 +00008600[00000860] pys--. : Port=2 RD @06 +00008600[00000860] pys--. : Port=3 RD @03 +00008610[00000861] pys--. : R0: 1 06 5BB3DE728C989BC20B R1: 0 00 000000000000000000 R2: 1 06 F366F56E42618EADD2 R3: 1 03 75935493D028E32E1A +00008610[00000861] pys--. : W0: 0 00 000000000000000000 W1: 1 01 FF537BF3470EBB6887 +00008610[00000861] pys--. : Port=0 RD @00 +00008610[00000861] pys--. : Port=2 RD @07 +00008620[00000862] pys--. : R0: 1 00 2537BEE7583A018FE0 R1: 0 00 000000000000000000 R2: 1 07 5BB3DE728C989BC20B R3: 0 00 E51B3BF5D4F7EE761B +00008620[00000862] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008620[00000862] pys--. : Port=0 RD @01 +00008620[00000862] pys--. : Port=2 RD @03 +00008630[00000863] pys--. : R0: 1 01 FF537BF3470EBB6887 R1: 0 00 000000000000000000 R2: 1 03 E8BC561F8726396E0A R3: 0 00 000000000000000000 +00008630[00000863] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008630[00000863] pys--. : Port=0 RD @05 +00008630[00000863] pys--. : Port=2 RD @04 +00008640[00000864] pys--. : R0: 1 05 9CB9367223EA830E61 R1: 0 00 000000000000000000 R2: 1 04 E51B3BF5D4F7EE761B R3: 0 00 000000000000000000 +00008640[00000864] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008640[00000864] pys--. : Port=0 RD @07 +00008650[00000865] pys--. : R0: 1 07 E8BC561F8726396E0A R1: 0 00 000000000000000000 R2: 0 00 F366F56E42618EADD2 R3: 0 00 000000000000000000 +00008650[00000865] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008650[00000865] pys--. : Port=0 WR @01=398B5CC273AB8F7546 +00008650[00000865] pys--. : Port=3 RD @06 +00008660[00000866] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00008660[00000866] pys--. : W0: 1 01 398B5CC273AB8F7546 W1: 0 00 000000000000000000 +00008660[00000866] pys--. : Port=0 WR @05=2860A0A4480826D305 +00008660[00000866] pys--. : Port=1 WR @04=7B81B6B1924E3B53D8 +00008660[00000866] pys--. : Port=3 RD @07 +00008670[00000867] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 07 5BB3DE728C989BC20B +00008670[00000867] pys--. : W0: 1 05 2860A0A4480826D305 W1: 1 04 7B81B6B1924E3B53D8 +00008670[00000867] pys--. : Port=3 RD @07 +00008680[00000868] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 07 E8BC561F8726396E0A +00008680[00000868] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008680[00000868] pys--. : Port=1 WR @07=C995E68628E2F80203 +00008680[00000868] pys--. : Port=3 RD @06 +00008690[00000869] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 E8BC561F8726396E0A +00008690[00000869] pys--. : W0: 0 00 000000000000000000 W1: 1 07 C995E68628E2F80203 +00008690[00000869] pys--. : Port=1 RD @03 +00008700[00000870] pys--. : R0: 0 00 000000000000000000 R1: 1 03 E51B3BF5D4F7EE761B R2: 0 00 000000000000000000 R3: 0 00 5BB3DE728C989BC20B +00008700[00000870] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008700[00000870] pys--. : Port=1 WR @07=11BFCADD27B4087F1F +00008700[00000870] pys--. : Port=0 RD @01 +00008710[00000871] pys--. : R0: 1 01 398B5CC273AB8F7546 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008710[00000871] pys--. : W0: 0 00 000000000000000000 W1: 1 07 11BFCADD27B4087F1F +00008710[00000871] pys--. : Port=0 WR @00=EB327811C9C5D4BE89 +00008710[00000871] pys--. : Port=0 RD @07 +00008710[00000871] pys--. : Port=2 RD @03 +00008720[00000872] pys--. : R0: 1 07 11BFCADD27B4087F1F R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00008720[00000872] pys--. : W0: 1 00 EB327811C9C5D4BE89 W1: 0 00 000000000000000000 +00008720[00000872] pys--. : Port=0 RD @01 +00008730[00000873] pys--. : R0: 1 01 398B5CC273AB8F7546 R1: 0 00 000000000000000000 R2: 0 00 E51B3BF5D4F7EE761B R3: 0 00 000000000000000000 +00008730[00000873] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008730[00000873] pys--. : Port=0 WR @05=9A01B4381D4FC52FD2 +00008730[00000873] pys--. : Port=2 RD @02 +00008740[00000874] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00008740[00000874] pys--. : W0: 1 05 9A01B4381D4FC52FD2 W1: 0 00 000000000000000000 +00008740[00000874] pys--. : Port=1 RD @04 +00008740[00000874] pys--. : Port=3 RD @01 +00008750[00000875] pys--. : R0: 0 00 000000000000000000 R1: 1 04 7B81B6B1924E3B53D8 R2: 0 00 75935493D028E32E1A R3: 1 01 000000000000000000 +00008750[00000875] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008750[00000875] pys--. : Port=0 WR @04=B58CA4E4C3F16BCF70 +00008760[00000876] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 398B5CC273AB8F7546 +00008760[00000876] pys--. : W0: 1 04 B58CA4E4C3F16BCF70 W1: 0 00 000000000000000000 +00008760[00000876] pys--. : Port=0 WR @05=1977AB0691FAB03D17 +00008760[00000876] pys--. : Port=2 RD @00 +00008770[00000877] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 0 00 000000000000000000 +00008770[00000877] pys--. : W0: 1 05 1977AB0691FAB03D17 W1: 0 00 000000000000000000 +00008770[00000877] pys--. : Port=3 RD @07 +00008780[00000878] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 EB327811C9C5D4BE89 R3: 1 07 000000000000000000 +00008780[00000878] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008780[00000878] pys--. : Port=3 RD @04 +00008790[00000879] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 11BFCADD27B4087F1F +00008790[00000879] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008790[00000879] pys--. : Port=0 WR @04=49B45F49EC1F0C0E20 +00008790[00000879] pys--. : Port=1 WR @07=EA1CDAAD4E367D32B9 +00008790[00000879] pys--. : Port=0 RD @06 +00008790[00000879] pys--. : Port=3 RD @01 +00008800[00000880] pys--. : R0: 1 06 5BB3DE728C989BC20B R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 B58CA4E4C3F16BCF70 +00008800[00000880] pys--. : W0: 1 04 49B45F49EC1F0C0E20 W1: 1 07 EA1CDAAD4E367D32B9 +00008800[00000880] pys--. : Port=0 RD @02 +00008800[00000880] pys--. : Port=1 RD @02 +00008800[00000880] pys--. : Port=3 RD @07 +00008810[00000881] pys--. : R0: 1 02 75935493D028E32E1A R1: 1 02 75935493D028E32E1A R2: 0 00 000000000000000000 R3: 1 07 398B5CC273AB8F7546 +00008810[00000881] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008810[00000881] pys--. : Port=1 WR @05=F915CF15366FA94E61 +00008810[00000881] pys--. : Port=0 RD @03 +00008810[00000881] pys--. : Port=2 RD @07 +00008810[00000881] pys--. : Port=3 RD @03 +00008820[00000882] pys--. : R0: 1 03 E51B3BF5D4F7EE761B R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 1 03 EA1CDAAD4E367D32B9 +00008820[00000882] pys--. : W0: 0 00 000000000000000000 W1: 1 05 F915CF15366FA94E61 +00008820[00000882] pys--. : Port=1 WR @06=2C397612383398B7C9 +00008820[00000882] pys--. : Port=1 RD @02 +00008830[00000883] pys--. : R0: 0 00 000000000000000000 R1: 1 02 75935493D028E32E1A R2: 0 00 EA1CDAAD4E367D32B9 R3: 0 00 E51B3BF5D4F7EE761B +00008830[00000883] pys--. : W0: 0 00 000000000000000000 W1: 1 06 2C397612383398B7C9 +00008830[00000883] pys--. : Port=0 WR @03=F6BA7ACF4E44781DFA +00008830[00000883] pys--. : Port=0 RD @06 +00008840[00000884] pys--. : R0: 1 06 2C397612383398B7C9 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008840[00000884] pys--. : W0: 1 03 F6BA7ACF4E44781DFA W1: 0 00 000000000000000000 +00008840[00000884] pys--. : Port=0 WR @06=27E85675C13AD988ED +00008840[00000884] pys--. : Port=0 RD @02 +00008850[00000885] pys--. : R0: 1 02 75935493D028E32E1A R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008850[00000885] pys--. : W0: 1 06 27E85675C13AD988ED W1: 0 00 000000000000000000 +00008850[00000885] pys--. : Port=0 RD @02 +00008850[00000885] pys--. : Port=2 RD @07 +00008850[00000885] pys--. : Port=3 RD @01 +00008860[00000886] pys--. : R0: 1 02 75935493D028E32E1A R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 1 01 000000000000000000 +00008860[00000886] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008860[00000886] pys--. : Port=2 RD @07 +00008870[00000887] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 EA1CDAAD4E367D32B9 R3: 0 00 398B5CC273AB8F7546 +00008870[00000887] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008870[00000887] pys--. : Port=0 RD @06 +00008870[00000887] pys--. : Port=1 RD @05 +00008880[00000888] pys--. : R0: 1 06 27E85675C13AD988ED R1: 1 05 F915CF15366FA94E61 R2: 0 00 EA1CDAAD4E367D32B9 R3: 0 00 000000000000000000 +00008880[00000888] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008880[00000888] pys--. : Port=0 WR @06=87FC3F0852F6A7736A +00008880[00000888] pys--. : Port=0 RD @05 +00008880[00000888] pys--. : Port=3 RD @07 +00008890[00000889] pys--. : R0: 1 05 F915CF15366FA94E61 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 07 000000000000000000 +00008890[00000889] pys--. : W0: 1 06 87FC3F0852F6A7736A W1: 0 00 000000000000000000 +00008890[00000889] pys--. : Port=0 WR @01=FCAE8A3CDF3599DD2A +00008900[00000890] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 EA1CDAAD4E367D32B9 +00008900[00000890] pys--. : W0: 1 01 FCAE8A3CDF3599DD2A W1: 0 00 000000000000000000 +00008900[00000890] pys--. : Port=0 WR @07=CC57F37BAD746B0BAB +00008900[00000890] pys--. : Port=1 WR @05=A0F443A5816FB8AD70 +00008900[00000890] pys--. : Port=0 RD @02 +00008910[00000891] pys--. : R0: 1 02 75935493D028E32E1A R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00008910[00000891] pys--. : W0: 1 07 CC57F37BAD746B0BAB W1: 1 05 A0F443A5816FB8AD70 +00008910[00000891] pys--. : Port=0 WR @00=9356B8D6F308D09FAD +00008910[00000891] pys--. : Port=3 RD @03 +00008920[00000892] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 03 000000000000000000 +00008920[00000892] pys--. : W0: 1 00 9356B8D6F308D09FAD W1: 0 00 000000000000000000 +00008930[00000893] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 F6BA7ACF4E44781DFA +00008930[00000893] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00008930[00000893] pys--. : Port=1 WR @06=93FB9FBB0A2E4C6B8F +00008930[00000893] pys--. : Port=0 RD @01 +00008930[00000893] pys--. : Port=1 RD @03 +00008930[00000893] pys--. : Port=3 RD @04 +00008940[00000894] pys--. : R0: 1 01 FCAE8A3CDF3599DD2A R1: 1 03 F6BA7ACF4E44781DFA R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00008940[00000894] pys--. : W0: 0 00 000000000000000000 W1: 1 06 93FB9FBB0A2E4C6B8F +00008940[00000894] pys--. : Port=1 WR @01=ACF0974CBBF0E90925 +00008940[00000894] pys--. : Port=0 RD @03 +00008940[00000894] pys--. : Port=2 RD @00 +00008940[00000894] pys--. : Port=3 RD @00 +00008950[00000895] pys--. : R0: 1 03 F6BA7ACF4E44781DFA R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 1 00 49B45F49EC1F0C0E20 +00008950[00000895] pys--. : W0: 0 00 000000000000000000 W1: 1 01 ACF0974CBBF0E90925 +00008950[00000895] pys--. : Port=0 WR @01=4597D37E8D647215D0 +00008950[00000895] pys--. : Port=1 WR @07=5AB8A13F05E68010CE +00008950[00000895] pys--. : Port=0 RD @00 +00008950[00000895] pys--. : Port=2 RD @06 +00008950[00000895] pys--. : Port=3 RD @04 +00008960[00000896] pys--. : R0: 1 00 9356B8D6F308D09FAD R1: 0 00 000000000000000000 R2: 1 06 9356B8D6F308D09FAD R3: 1 04 9356B8D6F308D09FAD +00008960[00000896] pys--. : W0: 1 01 4597D37E8D647215D0 W1: 1 07 5AB8A13F05E68010CE +00008960[00000896] pys--. : Port=0 WR @01=714BF5E6C42833C837 +00008960[00000896] pys--. : Port=1 WR @03=FCFC9AB2820E147155 +00008960[00000896] pys--. : Port=3 RD @05 +00008970[00000897] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 93FB9FBB0A2E4C6B8F R3: 1 05 49B45F49EC1F0C0E20 +00008970[00000897] pys--. : W0: 1 01 714BF5E6C42833C837 W1: 1 03 FCFC9AB2820E147155 +00008970[00000897] pys--. : Port=0 WR @07=21335F0F0A61896C80 +00008970[00000897] pys--. : Port=1 WR @00=80A5AD8CF29AC2A270 +00008970[00000897] pys--. : Port=1 RD @01 +00008980[00000898] pys--. : R0: 0 00 000000000000000000 R1: 1 01 714BF5E6C42833C837 R2: 0 00 000000000000000000 R3: 0 00 A0F443A5816FB8AD70 +00008980[00000898] pys--. : W0: 1 07 21335F0F0A61896C80 W1: 1 00 80A5AD8CF29AC2A270 +00008980[00000898] pys--. : Port=1 WR @01=8928EC52DEC03A263C +00008980[00000898] pys--. : Port=1 RD @05 +00008980[00000898] pys--. : Port=3 RD @06 +00008990[00000899] pys--. : R0: 0 00 000000000000000000 R1: 1 05 A0F443A5816FB8AD70 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00008990[00000899] pys--. : W0: 0 00 000000000000000000 W1: 1 01 8928EC52DEC03A263C +00008990[00000899] pys--. : Port=0 RD @07 +00009000[00000900] pys--. : R0: 1 07 21335F0F0A61896C80 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 93FB9FBB0A2E4C6B8F +00009000[00000900] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009000[00000900] pys--. : Port=1 RD @03 +00009000[00000900] pys--. : Port=2 RD @04 +00009000[00000900] pys--. : Port=3 RD @05 +00009010[00000901] pys--. : R0: 0 00 000000000000000000 R1: 1 03 FCFC9AB2820E147155 R2: 1 04 000000000000000000 R3: 1 05 000000000000000000 +00009010[00000901] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009010[00000901] pys--. : Port=1 WR @07=F4DD0A0209DD3B4E7C +00009010[00000901] pys--. : Port=1 RD @02 +00009010[00000901] pys--. : Port=3 RD @03 +00009020[00000902] pys--. : R0: 0 00 000000000000000000 R1: 1 02 75935493D028E32E1A R2: 0 00 49B45F49EC1F0C0E20 R3: 1 03 A0F443A5816FB8AD70 +00009020[00000902] pys--. : W0: 0 00 000000000000000000 W1: 1 07 F4DD0A0209DD3B4E7C +00009020[00000902] pys--. : Port=0 WR @06=F0D00D6C4A6B178172 +00009020[00000902] pys--. : Port=2 RD @01 +00009030[00000903] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 FCFC9AB2820E147155 +00009030[00000903] pys--. : W0: 1 06 F0D00D6C4A6B178172 W1: 0 00 000000000000000000 +00009030[00000903] pys--. : Port=1 WR @00=5165BD9B27716AAA7F +00009040[00000904] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 8928EC52DEC03A263C R3: 0 00 000000000000000000 +00009040[00000904] pys--. : W0: 0 00 000000000000000000 W1: 1 00 5165BD9B27716AAA7F +00009040[00000904] pys--. : Port=0 WR @07=3F2F360F43BE05BE5C +00009040[00000904] pys--. : Port=0 RD @05 +00009040[00000904] pys--. : Port=1 RD @01 +00009050[00000905] pys--. : R0: 1 05 A0F443A5816FB8AD70 R1: 1 01 8928EC52DEC03A263C R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00009050[00000905] pys--. : W0: 1 07 3F2F360F43BE05BE5C W1: 0 00 000000000000000000 +00009050[00000905] pys--. : Port=1 WR @02=18CC65AA77CC8D6823 +00009050[00000905] pys--. : Port=1 RD @04 +00009050[00000905] pys--. : Port=2 RD @05 +00009060[00000906] pys--. : R0: 0 00 000000000000000000 R1: 1 04 49B45F49EC1F0C0E20 R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00009060[00000906] pys--. : W0: 0 00 000000000000000000 W1: 1 02 18CC65AA77CC8D6823 +00009060[00000906] pys--. : Port=1 WR @03=50E254CCB6007A3345 +00009060[00000906] pys--. : Port=0 RD @05 +00009060[00000906] pys--. : Port=2 RD @00 +00009070[00000907] pys--. : R0: 1 05 A0F443A5816FB8AD70 R1: 0 00 000000000000000000 R2: 1 00 A0F443A5816FB8AD70 R3: 0 00 000000000000000000 +00009070[00000907] pys--. : W0: 0 00 000000000000000000 W1: 1 03 50E254CCB6007A3345 +00009070[00000907] pys--. : Port=0 RD @02 +00009070[00000907] pys--. : Port=1 RD @04 +00009080[00000908] pys--. : R0: 1 02 18CC65AA77CC8D6823 R1: 1 04 49B45F49EC1F0C0E20 R2: 0 00 5165BD9B27716AAA7F R3: 0 00 000000000000000000 +00009080[00000908] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009080[00000908] pys--. : Port=0 RD @00 +00009090[00000909] pys--. : R0: 1 00 5165BD9B27716AAA7F R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00009090[00000909] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009090[00000909] pys--. : Port=1 WR @02=CEDFF9945C30CB5402 +00009090[00000909] pys--. : Port=0 RD @06 +00009090[00000909] pys--. : Port=2 RD @04 +00009100[00000910] pys--. : R0: 1 06 F0D00D6C4A6B178172 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00009100[00000910] pys--. : W0: 0 00 000000000000000000 W1: 1 02 CEDFF9945C30CB5402 +00009100[00000910] pys--. : Port=1 WR @03=EF643884448B02DE7A +00009110[00000911] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 49B45F49EC1F0C0E20 R3: 0 00 000000000000000000 +00009110[00000911] pys--. : W0: 0 00 000000000000000000 W1: 1 03 EF643884448B02DE7A +00009110[00000911] pys--. : Port=0 WR @00=A91CBB208716984102 +00009110[00000911] pys--. : Port=1 RD @02 +00009110[00000911] pys--. : Port=2 RD @05 +00009120[00000912] pys--. : R0: 0 00 000000000000000000 R1: 1 02 CEDFF9945C30CB5402 R2: 1 05 000000000000000000 R3: 0 00 000000000000000000 +00009120[00000912] pys--. : W0: 1 00 A91CBB208716984102 W1: 0 00 000000000000000000 +00009120[00000912] pys--. : Port=0 RD @03 +00009130[00000913] pys--. : R0: 1 03 EF643884448B02DE7A R1: 0 00 000000000000000000 R2: 0 00 A0F443A5816FB8AD70 R3: 0 00 000000000000000000 +00009130[00000913] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009130[00000913] pys--. : Port=0 RD @05 +00009140[00000914] pys--. : R0: 1 05 A0F443A5816FB8AD70 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00009140[00000914] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009140[00000914] pys--. : Port=0 WR @01=4F76BA26D56A460790 +00009150[00000915] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00009150[00000915] pys--. : W0: 1 01 4F76BA26D56A460790 W1: 0 00 000000000000000000 +00009150[00000915] pys--. : Port=0 WR @04=3BBDCC2DFF6BC204A +00009150[00000915] pys--. : Port=1 WR @07=BCBB2985A44B1F7E9A +00009150[00000915] pys--. : Port=3 RD @02 +00009160[00000916] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00009160[00000916] pys--. : W0: 1 04 03BBDCC2DFF6BC204A W1: 1 07 BCBB2985A44B1F7E9A +00009160[00000916] pys--. : Port=1 WR @07=6728F823CB061AD1F3 +00009160[00000916] pys--. : Port=0 RD @04 +00009160[00000916] pys--. : Port=2 RD @05 +00009160[00000916] pys--. : Port=3 RD @00 +00009170[00000917] pys--. : R0: 1 04 03BBDCC2DFF6BC204A R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 1 00 CEDFF9945C30CB5402 +00009170[00000917] pys--. : W0: 0 00 000000000000000000 W1: 1 07 6728F823CB061AD1F3 +00009170[00000917] pys--. : Port=0 WR @04=D61895E096897D5ECF +00009170[00000917] pys--. : Port=1 WR @01=C12944BBD2991DEE7B +00009170[00000917] pys--. : Port=2 RD @02 +00009170[00000917] pys--. : Port=3 RD @03 +00009180[00000918] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 A0F443A5816FB8AD70 R3: 1 03 A91CBB208716984102 +00009180[00000918] pys--. : W0: 1 04 D61895E096897D5ECF W1: 1 01 C12944BBD2991DEE7B +00009180[00000918] pys--. : Port=1 WR @01=AED08D007644F516D +00009180[00000918] pys--. : Port=0 RD @05 +00009190[00000919] pys--. : R0: 1 05 A0F443A5816FB8AD70 R1: 0 00 000000000000000000 R2: 0 00 CEDFF9945C30CB5402 R3: 0 00 EF643884448B02DE7A +00009190[00000919] pys--. : W0: 0 00 000000000000000000 W1: 1 01 0AED08D007644F516D +00009190[00000919] pys--. : Port=1 RD @07 +00009190[00000919] pys--. : Port=3 RD @03 +00009200[00000920] pys--. : R0: 0 00 000000000000000000 R1: 1 07 6728F823CB061AD1F3 R2: 0 00 000000000000000000 R3: 1 03 000000000000000000 +00009200[00000920] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009200[00000920] pys--. : Port=1 RD @03 +00009200[00000920] pys--. : Port=2 RD @02 +00009210[00000921] pys--. : R0: 0 00 000000000000000000 R1: 1 03 EF643884448B02DE7A R2: 1 02 000000000000000000 R3: 0 00 EF643884448B02DE7A +00009210[00000921] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009210[00000921] pys--. : Port=0 RD @03 +00009210[00000921] pys--. : Port=3 RD @02 +00009220[00000922] pys--. : R0: 1 03 EF643884448B02DE7A R1: 0 00 000000000000000000 R2: 0 00 CEDFF9945C30CB5402 R3: 1 02 000000000000000000 +00009220[00000922] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009220[00000922] pys--. : Port=1 RD @05 +00009220[00000922] pys--. : Port=2 RD @02 +00009220[00000922] pys--. : Port=3 RD @05 +00009230[00000923] pys--. : R0: 0 00 000000000000000000 R1: 1 05 A0F443A5816FB8AD70 R2: 1 02 000000000000000000 R3: 1 05 CEDFF9945C30CB5402 +00009230[00000923] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009230[00000923] pys--. : Port=0 WR @07=BD9A9293ED2E4C3A16 +00009230[00000923] pys--. : Port=1 WR @02=6FF185D91A03DB9143 +00009230[00000923] pys--. : Port=1 RD @01 +00009230[00000923] pys--. : Port=2 RD @06 +00009230[00000923] pys--. : Port=3 RD @04 +00009240[00000924] pys--. : R0: 0 00 000000000000000000 R1: 1 01 0AED08D007644F516D R2: 1 06 CEDFF9945C30CB5402 R3: 1 04 A0F443A5816FB8AD70 +00009240[00000924] pys--. : W0: 1 07 BD9A9293ED2E4C3A16 W1: 1 02 6FF185D91A03DB9143 +00009240[00000924] pys--. : Port=0 WR @02=E97FFB502845FA9F9 +00009240[00000924] pys--. : Port=1 WR @04=32F62287A2958C2323 +00009240[00000924] pys--. : Port=0 RD @06 +00009240[00000924] pys--. : Port=1 RD @01 +00009250[00000925] pys--. : R0: 1 06 F0D00D6C4A6B178172 R1: 1 01 0AED08D007644F516D R2: 0 00 F0D00D6C4A6B178172 R3: 0 00 D61895E096897D5ECF +00009250[00000925] pys--. : W0: 1 02 0E97FFB502845FA9F9 W1: 1 04 32F62287A2958C2323 +00009250[00000925] pys--. : Port=1 WR @06=C776EB4D924590D5E5 +00009250[00000925] pys--. : Port=0 RD @04 +00009260[00000926] pys--. : R0: 1 04 32F62287A2958C2323 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00009260[00000926] pys--. : W0: 0 00 000000000000000000 W1: 1 06 C776EB4D924590D5E5 +00009260[00000926] pys--. : Port=0 WR @00=511D7C293EA03C58D0 +00009260[00000926] pys--. : Port=0 RD @02 +00009260[00000926] pys--. : Port=1 RD @05 +00009260[00000926] pys--. : Port=2 RD @06 +00009270[00000927] pys--. : R0: 1 02 0E97FFB502845FA9F9 R1: 1 05 A0F443A5816FB8AD70 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00009270[00000927] pys--. : W0: 1 00 511D7C293EA03C58D0 W1: 0 00 000000000000000000 +00009270[00000927] pys--. : Port=0 RD @06 +00009270[00000927] pys--. : Port=2 RD @04 +00009270[00000927] pys--. : Port=3 RD @05 +00009280[00000928] pys--. : R0: 1 06 C776EB4D924590D5E5 R1: 0 00 000000000000000000 R2: 1 04 C776EB4D924590D5E5 R3: 1 05 000000000000000000 +00009280[00000928] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009280[00000928] pys--. : Port=3 RD @02 +00009290[00000929] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 32F62287A2958C2323 R3: 1 02 A0F443A5816FB8AD70 +00009290[00000929] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009290[00000929] pys--. : Port=0 WR @04=CB62DA37B75A945B1B +00009290[00000929] pys--. : Port=1 RD @03 +00009290[00000929] pys--. : Port=3 RD @06 +00009300[00000930] pys--. : R0: 0 00 000000000000000000 R1: 1 03 EF643884448B02DE7A R2: 0 00 000000000000000000 R3: 1 06 0E97FFB502845FA9F9 +00009300[00000930] pys--. : W0: 1 04 CB62DA37B75A945B1B W1: 0 00 000000000000000000 +00009300[00000930] pys--. : Port=1 RD @03 +00009300[00000930] pys--. : Port=2 RD @02 +00009300[00000930] pys--. : Port=3 RD @00 +00009310[00000931] pys--. : R0: 0 00 000000000000000000 R1: 1 03 EF643884448B02DE7A R2: 1 02 000000000000000000 R3: 1 00 C776EB4D924590D5E5 +00009310[00000931] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009310[00000931] pys--. : Port=1 WR @04=72F3060B66754ADF9B +00009310[00000931] pys--. : Port=0 RD @05 +00009310[00000931] pys--. : Port=2 RD @06 +00009320[00000932] pys--. : R0: 1 05 A0F443A5816FB8AD70 R1: 0 00 000000000000000000 R2: 1 06 0E97FFB502845FA9F9 R3: 0 00 511D7C293EA03C58D0 +00009320[00000932] pys--. : W0: 0 00 000000000000000000 W1: 1 04 72F3060B66754ADF9B +00009320[00000932] pys--. : Port=0 RD @01 +00009320[00000932] pys--. : Port=2 RD @02 +00009320[00000932] pys--. : Port=3 RD @04 +00009330[00000933] pys--. : R0: 1 01 0AED08D007644F516D R1: 0 00 000000000000000000 R2: 1 02 C776EB4D924590D5E5 R3: 1 04 000000000000000000 +00009330[00000933] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009330[00000933] pys--. : Port=0 WR @06=4D4A4CB49179302A65 +00009340[00000934] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 0E97FFB502845FA9F9 R3: 0 00 72F3060B66754ADF9B +00009340[00000934] pys--. : W0: 1 06 4D4A4CB49179302A65 W1: 0 00 000000000000000000 +00009340[00000934] pys--. : Port=3 RD @01 +00009350[00000935] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00009350[00000935] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009350[00000935] pys--. : Port=0 WR @04=31FD9CB3AEED1D5B58 +00009350[00000935] pys--. : Port=3 RD @01 +00009360[00000936] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 0AED08D007644F516D +00009360[00000936] pys--. : W0: 1 04 31FD9CB3AEED1D5B58 W1: 0 00 000000000000000000 +00009360[00000936] pys--. : Port=0 WR @04=FFDBE227E4CD5FCE91 +00009360[00000936] pys--. : Port=2 RD @03 +00009360[00000936] pys--. : Port=3 RD @00 +00009370[00000937] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 1 00 0AED08D007644F516D +00009370[00000937] pys--. : W0: 1 04 FFDBE227E4CD5FCE91 W1: 0 00 000000000000000000 +00009370[00000937] pys--. : Port=2 RD @05 +00009380[00000938] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 05 EF643884448B02DE7A R3: 0 00 511D7C293EA03C58D0 +00009380[00000938] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009380[00000938] pys--. : Port=0 WR @02=7848554CFB863E0B3C +00009380[00000938] pys--. : Port=1 RD @00 +00009380[00000938] pys--. : Port=2 RD @06 +00009390[00000939] pys--. : R0: 0 00 000000000000000000 R1: 1 00 511D7C293EA03C58D0 R2: 1 06 A0F443A5816FB8AD70 R3: 0 00 000000000000000000 +00009390[00000939] pys--. : W0: 1 02 7848554CFB863E0B3C W1: 0 00 000000000000000000 +00009390[00000939] pys--. : Port=0 WR @07=921A93E7E6114D78EE +00009390[00000939] pys--. : Port=1 RD @06 +00009390[00000939] pys--. : Port=3 RD @02 +00009400[00000940] pys--. : R0: 0 00 000000000000000000 R1: 1 06 4D4A4CB49179302A65 R2: 0 00 4D4A4CB49179302A65 R3: 1 02 000000000000000000 +00009400[00000940] pys--. : W0: 1 07 921A93E7E6114D78EE W1: 0 00 000000000000000000 +00009400[00000940] pys--. : Port=0 WR @06=ABA2812BA9B22831C4 +00009400[00000940] pys--. : Port=0 RD @04 +00009410[00000941] pys--. : R0: 1 04 FFDBE227E4CD5FCE91 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 7848554CFB863E0B3C +00009410[00000941] pys--. : W0: 1 06 ABA2812BA9B22831C4 W1: 0 00 000000000000000000 +00009410[00000941] pys--. : Port=0 WR @01=CBF50FD23EF77238A5 +00009410[00000941] pys--. : Port=1 RD @00 +00009420[00000942] pys--. : R0: 0 00 000000000000000000 R1: 1 00 511D7C293EA03C58D0 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00009420[00000942] pys--. : W0: 1 01 CBF50FD23EF77238A5 W1: 0 00 000000000000000000 +00009420[00000942] pys--. : Port=0 WR @05=E42E5362C0D73866E6 +00009420[00000942] pys--. : Port=1 WR @00=D4108BCFB901B0984A +00009420[00000942] pys--. : Port=0 RD @04 +00009420[00000942] pys--. : Port=1 RD @01 +00009420[00000942] pys--. : Port=2 RD @06 +00009430[00000943] pys--. : R0: 1 04 FFDBE227E4CD5FCE91 R1: 1 01 CBF50FD23EF77238A5 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00009430[00000943] pys--. : W0: 1 05 E42E5362C0D73866E6 W1: 1 00 D4108BCFB901B0984A +00009430[00000943] pys--. : Port=1 RD @06 +00009430[00000943] pys--. : Port=3 RD @07 +00009440[00000944] pys--. : R0: 0 00 000000000000000000 R1: 1 06 ABA2812BA9B22831C4 R2: 0 00 ABA2812BA9B22831C4 R3: 1 07 000000000000000000 +00009440[00000944] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009440[00000944] pys--. : Port=1 WR @04=19FC35A242B40FE347 +00009440[00000944] pys--. : Port=1 RD @03 +00009450[00000945] pys--. : R0: 0 00 000000000000000000 R1: 1 03 EF643884448B02DE7A R2: 0 00 000000000000000000 R3: 0 00 921A93E7E6114D78EE +00009450[00000945] pys--. : W0: 0 00 000000000000000000 W1: 1 04 19FC35A242B40FE347 +00009450[00000945] pys--. : Port=1 WR @05=F14518ABA969F0E5B9 +00009450[00000945] pys--. : Port=0 RD @06 +00009450[00000945] pys--. : Port=3 RD @03 +00009460[00000946] pys--. : R0: 1 06 ABA2812BA9B22831C4 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 03 000000000000000000 +00009460[00000946] pys--. : W0: 0 00 000000000000000000 W1: 1 05 F14518ABA969F0E5B9 +00009460[00000946] pys--. : Port=0 WR @07=3C0C935C8AEEDB0B16 +00009460[00000946] pys--. : Port=0 RD @05 +00009460[00000946] pys--. : Port=2 RD @06 +00009470[00000947] pys--. : R0: 1 05 F14518ABA969F0E5B9 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 EF643884448B02DE7A +00009470[00000947] pys--. : W0: 1 07 3C0C935C8AEEDB0B16 W1: 0 00 000000000000000000 +00009470[00000947] pys--. : Port=0 WR @06=72554199BF97E6177D +00009470[00000947] pys--. : Port=0 RD @03 +00009480[00000948] pys--. : R0: 1 03 EF643884448B02DE7A R1: 0 00 000000000000000000 R2: 0 00 ABA2812BA9B22831C4 R3: 0 00 000000000000000000 +00009480[00000948] pys--. : W0: 1 06 72554199BF97E6177D W1: 0 00 000000000000000000 +00009480[00000948] pys--. : Port=1 WR @03=B918C59FBC3C33DE30 +00009480[00000948] pys--. : Port=1 RD @07 +00009480[00000948] pys--. : Port=2 RD @06 +00009490[00000949] pys--. : R0: 0 00 000000000000000000 R1: 1 07 3C0C935C8AEEDB0B16 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00009490[00000949] pys--. : W0: 0 00 000000000000000000 W1: 1 03 B918C59FBC3C33DE30 +00009490[00000949] pys--. : Port=0 WR @07=90F6258653107ADFC9 +00009490[00000949] pys--. : Port=1 WR @00=63E353B329B5DB70AE +00009490[00000949] pys--. : Port=0 RD @05 +00009500[00000950] pys--. : R0: 1 05 F14518ABA969F0E5B9 R1: 0 00 000000000000000000 R2: 0 00 72554199BF97E6177D R3: 0 00 000000000000000000 +00009500[00000950] pys--. : W0: 1 07 90F6258653107ADFC9 W1: 1 00 63E353B329B5DB70AE +00009500[00000950] pys--. : Port=0 WR @02=C59D2515706A0C4BAF +00009510[00000951] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00009510[00000951] pys--. : W0: 1 02 C59D2515706A0C4BAF W1: 0 00 000000000000000000 +00009510[00000951] pys--. : Port=0 WR @03=E8487A89F1DB4EA24D +00009510[00000951] pys--. : Port=1 WR @00=A530E91DB3DE4512A1 +00009510[00000951] pys--. : Port=0 RD @01 +00009510[00000951] pys--. : Port=3 RD @02 +00009520[00000952] pys--. : R0: 1 01 CBF50FD23EF77238A5 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 02 000000000000000000 +00009520[00000952] pys--. : W0: 1 03 E8487A89F1DB4EA24D W1: 1 00 A530E91DB3DE4512A1 +00009520[00000952] pys--. : Port=0 RD @06 +00009520[00000952] pys--. : Port=1 RD @00 +00009520[00000952] pys--. : Port=3 RD @06 +00009530[00000953] pys--. : R0: 1 06 72554199BF97E6177D R1: 1 00 A530E91DB3DE4512A1 R2: 0 00 000000000000000000 R3: 1 06 C59D2515706A0C4BAF +00009530[00000953] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009530[00000953] pys--. : Port=0 WR @05=39FDC2D2E8163577D3 +00009530[00000953] pys--. : Port=1 WR @04=C2BF00FDC96F60293C +00009530[00000953] pys--. : Port=3 RD @06 +00009540[00000954] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 72554199BF97E6177D +00009540[00000954] pys--. : W0: 1 05 39FDC2D2E8163577D3 W1: 1 04 C2BF00FDC96F60293C +00009540[00000954] pys--. : Port=1 RD @01 +00009540[00000954] pys--. : Port=2 RD @00 +00009540[00000954] pys--. : Port=3 RD @00 +00009550[00000955] pys--. : R0: 0 00 000000000000000000 R1: 1 01 CBF50FD23EF77238A5 R2: 1 00 000000000000000000 R3: 1 00 72554199BF97E6177D +00009550[00000955] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009550[00000955] pys--. : Port=2 RD @01 +00009550[00000955] pys--. : Port=3 RD @02 +00009560[00000956] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 A530E91DB3DE4512A1 R3: 1 02 A530E91DB3DE4512A1 +00009560[00000956] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009570[00000957] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 CBF50FD23EF77238A5 R3: 0 00 C59D2515706A0C4BAF +00009570[00000957] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009570[00000957] pys--. : Port=1 WR @00=7DF7163FAD9CE61596 +00009570[00000957] pys--. : Port=2 RD @03 +00009580[00000958] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00009580[00000958] pys--. : W0: 0 00 000000000000000000 W1: 1 00 7DF7163FAD9CE61596 +00009580[00000958] pys--. : Port=1 WR @05=90CB95D25A459F9F7C +00009580[00000958] pys--. : Port=1 RD @01 +00009580[00000958] pys--. : Port=2 RD @07 +00009590[00000959] pys--. : R0: 0 00 000000000000000000 R1: 1 01 CBF50FD23EF77238A5 R2: 1 07 E8487A89F1DB4EA24D R3: 0 00 000000000000000000 +00009590[00000959] pys--. : W0: 0 00 000000000000000000 W1: 1 05 90CB95D25A459F9F7C +00009590[00000959] pys--. : Port=0 RD @02 +00009600[00000960] pys--. : R0: 1 02 C59D2515706A0C4BAF R1: 0 00 000000000000000000 R2: 0 00 90F6258653107ADFC9 R3: 0 00 000000000000000000 +00009600[00000960] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009600[00000960] pys--. : Port=2 RD @06 +00009600[00000960] pys--. : Port=3 RD @07 +00009610[00000961] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 1 07 000000000000000000 +00009610[00000961] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009610[00000961] pys--. : Port=0 RD @06 +00009610[00000961] pys--. : Port=1 RD @07 +00009610[00000961] pys--. : Port=3 RD @01 +00009620[00000962] pys--. : R0: 1 06 72554199BF97E6177D R1: 1 07 90F6258653107ADFC9 R2: 0 00 72554199BF97E6177D R3: 1 01 90F6258653107ADFC9 +00009620[00000962] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009620[00000962] pys--. : Port=0 WR @00=A6FB7C390E6889E132 +00009620[00000962] pys--. : Port=1 WR @01=D97C0C2B99BE291FE2 +00009620[00000962] pys--. : Port=0 RD @03 +00009620[00000962] pys--. : Port=2 RD @05 +00009620[00000962] pys--. : Port=3 RD @05 +00009630[00000963] pys--. : R0: 1 03 E8487A89F1DB4EA24D R1: 0 00 000000000000000000 R2: 1 05 000000000000000000 R3: 1 05 CBF50FD23EF77238A5 +00009630[00000963] pys--. : W0: 1 00 A6FB7C390E6889E132 W1: 1 01 D97C0C2B99BE291FE2 +00009630[00000963] pys--. : Port=1 WR @04=3DDE82DA4813B64999 +00009630[00000963] pys--. : Port=0 RD @07 +00009630[00000963] pys--. : Port=2 RD @07 +00009640[00000964] pys--. : R0: 1 07 90F6258653107ADFC9 R1: 0 00 000000000000000000 R2: 1 07 90CB95D25A459F9F7C R3: 0 00 90CB95D25A459F9F7C +00009640[00000964] pys--. : W0: 0 00 000000000000000000 W1: 1 04 3DDE82DA4813B64999 +00009640[00000964] pys--. : Port=0 WR @07=37E99D763C26978F3A +00009640[00000964] pys--. : Port=1 WR @05=75D42EDD886FE6BA53 +00009640[00000964] pys--. : Port=0 RD @00 +00009640[00000964] pys--. : Port=3 RD @03 +00009650[00000965] pys--. : R0: 1 00 A6FB7C390E6889E132 R1: 0 00 000000000000000000 R2: 0 00 90F6258653107ADFC9 R3: 1 03 000000000000000000 +00009650[00000965] pys--. : W0: 1 07 37E99D763C26978F3A W1: 1 05 75D42EDD886FE6BA53 +00009650[00000965] pys--. : Port=0 RD @04 +00009650[00000965] pys--. : Port=1 RD @05 +00009650[00000965] pys--. : Port=2 RD @04 +00009660[00000966] pys--. : R0: 1 04 3DDE82DA4813B64999 R1: 1 05 75D42EDD886FE6BA53 R2: 1 04 000000000000000000 R3: 0 00 E8487A89F1DB4EA24D +00009660[00000966] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009660[00000966] pys--. : Port=0 WR @04=8D1C6E8D0709235912 +00009670[00000967] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 3DDE82DA4813B64999 R3: 0 00 000000000000000000 +00009670[00000967] pys--. : W0: 1 04 8D1C6E8D0709235912 W1: 0 00 000000000000000000 +00009670[00000967] pys--. : Port=2 RD @01 +00009680[00000968] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00009680[00000968] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009680[00000968] pys--. : Port=1 WR @01=2815B17601B11D37C +00009680[00000968] pys--. : Port=1 RD @05 +00009680[00000968] pys--. : Port=2 RD @02 +00009680[00000968] pys--. : Port=3 RD @04 +00009690[00000969] pys--. : R0: 0 00 000000000000000000 R1: 1 05 75D42EDD886FE6BA53 R2: 1 02 D97C0C2B99BE291FE2 R3: 1 04 000000000000000000 +00009690[00000969] pys--. : W0: 0 00 000000000000000000 W1: 1 01 02815B17601B11D37C +00009690[00000969] pys--. : Port=0 WR @04=B0333F2B7C199FA248 +00009690[00000969] pys--. : Port=1 WR @03=FDBC09CA77F1F5F0A6 +00009690[00000969] pys--. : Port=0 RD @02 +00009700[00000970] pys--. : R0: 1 02 C59D2515706A0C4BAF R1: 0 00 000000000000000000 R2: 0 00 C59D2515706A0C4BAF R3: 0 00 8D1C6E8D0709235912 +00009700[00000970] pys--. : W0: 1 04 B0333F2B7C199FA248 W1: 1 03 FDBC09CA77F1F5F0A6 +00009700[00000970] pys--. : Port=0 RD @00 +00009700[00000970] pys--. : Port=1 RD @05 +00009700[00000970] pys--. : Port=2 RD @01 +00009700[00000970] pys--. : Port=3 RD @01 +00009710[00000971] pys--. : R0: 1 00 A6FB7C390E6889E132 R1: 1 05 75D42EDD886FE6BA53 R2: 1 01 000000000000000000 R3: 1 01 000000000000000000 +00009710[00000971] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009720[00000972] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 02815B17601B11D37C R3: 0 00 02815B17601B11D37C +00009720[00000972] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009720[00000972] pys--. : Port=0 RD @02 +00009720[00000972] pys--. : Port=2 RD @02 +00009730[00000973] pys--. : R0: 1 02 C59D2515706A0C4BAF R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00009730[00000973] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009730[00000973] pys--. : Port=1 RD @00 +00009740[00000974] pys--. : R0: 0 00 000000000000000000 R1: 1 00 A6FB7C390E6889E132 R2: 0 00 C59D2515706A0C4BAF R3: 0 00 000000000000000000 +00009740[00000974] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009740[00000974] pys--. : Port=0 WR @06=BF2FF104AA357DBA45 +00009740[00000974] pys--. : Port=0 RD @00 +00009740[00000974] pys--. : Port=2 RD @04 +00009740[00000974] pys--. : Port=3 RD @01 +00009750[00000975] pys--. : R0: 1 00 A6FB7C390E6889E132 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 1 01 000000000000000000 +00009750[00000975] pys--. : W0: 1 06 BF2FF104AA357DBA45 W1: 0 00 000000000000000000 +00009750[00000975] pys--. : Port=1 WR @01=67BD2C95A51A7ADDA2 +00009750[00000975] pys--. : Port=0 RD @05 +00009750[00000975] pys--. : Port=1 RD @05 +00009760[00000976] pys--. : R0: 1 05 75D42EDD886FE6BA53 R1: 1 05 75D42EDD886FE6BA53 R2: 0 00 B0333F2B7C199FA248 R3: 0 00 02815B17601B11D37C +00009760[00000976] pys--. : W0: 0 00 000000000000000000 W1: 1 01 67BD2C95A51A7ADDA2 +00009760[00000976] pys--. : Port=0 RD @00 +00009760[00000976] pys--. : Port=3 RD @03 +00009770[00000977] pys--. : R0: 1 00 A6FB7C390E6889E132 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 03 000000000000000000 +00009770[00000977] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009770[00000977] pys--. : Port=1 WR @01=8D27AFA3E02A45BA22 +00009770[00000977] pys--. : Port=1 RD @03 +00009770[00000977] pys--. : Port=3 RD @02 +00009780[00000978] pys--. : R0: 0 00 000000000000000000 R1: 1 03 FDBC09CA77F1F5F0A6 R2: 0 00 000000000000000000 R3: 1 02 FDBC09CA77F1F5F0A6 +00009780[00000978] pys--. : W0: 0 00 000000000000000000 W1: 1 01 8D27AFA3E02A45BA22 +00009780[00000978] pys--. : Port=1 WR @05=2E559116DC8D0D18DD +00009780[00000978] pys--. : Port=1 RD @02 +00009780[00000978] pys--. : Port=2 RD @07 +00009780[00000978] pys--. : Port=3 RD @03 +00009790[00000979] pys--. : R0: 0 00 000000000000000000 R1: 1 02 C59D2515706A0C4BAF R2: 1 07 000000000000000000 R3: 1 03 C59D2515706A0C4BAF +00009790[00000979] pys--. : W0: 0 00 000000000000000000 W1: 1 05 2E559116DC8D0D18DD +00009790[00000979] pys--. : Port=1 WR @01=F8AB85BE844C1C35CC +00009790[00000979] pys--. : Port=2 RD @00 +00009800[00000980] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 37E99D763C26978F3A R3: 0 00 FDBC09CA77F1F5F0A6 +00009800[00000980] pys--. : W0: 0 00 000000000000000000 W1: 1 01 F8AB85BE844C1C35CC +00009800[00000980] pys--. : Port=0 WR @06=E78DB13CBC516303B6 +00009800[00000980] pys--. : Port=3 RD @01 +00009810[00000981] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 A6FB7C390E6889E132 R3: 1 01 000000000000000000 +00009810[00000981] pys--. : W0: 1 06 E78DB13CBC516303B6 W1: 0 00 000000000000000000 +00009810[00000981] pys--. : Port=0 RD @05 +00009810[00000981] pys--. : Port=3 RD @00 +00009820[00000982] pys--. : R0: 1 05 2E559116DC8D0D18DD R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 00 F8AB85BE844C1C35CC +00009820[00000982] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009820[00000982] pys--. : Port=0 WR @05=14A2C6A81411414016 +00009820[00000982] pys--. : Port=1 WR @03=B96DC38A326C63D431 +00009820[00000982] pys--. : Port=2 RD @01 +00009820[00000982] pys--. : Port=3 RD @00 +00009830[00000983] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 1 00 A6FB7C390E6889E132 +00009830[00000983] pys--. : W0: 1 05 14A2C6A81411414016 W1: 1 03 B96DC38A326C63D431 +00009830[00000983] pys--. : Port=0 RD @06 +00009830[00000983] pys--. : Port=1 RD @06 +00009830[00000983] pys--. : Port=2 RD @02 +00009840[00000984] pys--. : R0: 1 06 E78DB13CBC516303B6 R1: 1 06 E78DB13CBC516303B6 R2: 1 02 F8AB85BE844C1C35CC R3: 0 00 A6FB7C390E6889E132 +00009840[00000984] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009840[00000984] pys--. : Port=1 RD @01 +00009840[00000984] pys--. : Port=2 RD @04 +00009840[00000984] pys--. : Port=3 RD @02 +00009850[00000985] pys--. : R0: 0 00 000000000000000000 R1: 1 01 F8AB85BE844C1C35CC R2: 1 04 C59D2515706A0C4BAF R3: 1 02 000000000000000000 +00009850[00000985] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009850[00000985] pys--. : Port=0 WR @04=D2AEA6607EF1B09277 +00009850[00000985] pys--. : Port=2 RD @06 +00009860[00000986] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 B0333F2B7C199FA248 R3: 0 00 C59D2515706A0C4BAF +00009860[00000986] pys--. : W0: 1 04 D2AEA6607EF1B09277 W1: 0 00 000000000000000000 +00009860[00000986] pys--. : Port=1 WR @00=8C81AAD6C72B660FD9 +00009860[00000986] pys--. : Port=1 RD @03 +00009870[00000987] pys--. : R0: 0 00 000000000000000000 R1: 1 03 B96DC38A326C63D431 R2: 0 00 E78DB13CBC516303B6 R3: 0 00 000000000000000000 +00009870[00000987] pys--. : W0: 0 00 000000000000000000 W1: 1 00 8C81AAD6C72B660FD9 +00009870[00000987] pys--. : Port=0 WR @04=93406B32396CA1D074 +00009870[00000987] pys--. : Port=0 RD @06 +00009870[00000987] pys--. : Port=2 RD @02 +00009870[00000987] pys--. : Port=3 RD @07 +00009880[00000988] pys--. : R0: 1 06 E78DB13CBC516303B6 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 1 07 000000000000000000 +00009880[00000988] pys--. : W0: 1 04 93406B32396CA1D074 W1: 0 00 000000000000000000 +00009880[00000988] pys--. : Port=0 WR @01=EDB8B603A0B3C41DD9 +00009880[00000988] pys--. : Port=1 WR @04=ABF2A78FAF76852EFA +00009880[00000988] pys--. : Port=2 RD @03 +00009890[00000989] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 03 C59D2515706A0C4BAF R3: 0 00 37E99D763C26978F3A +00009890[00000989] pys--. : W0: 1 01 EDB8B603A0B3C41DD9 W1: 1 04 ABF2A78FAF76852EFA +00009890[00000989] pys--. : Port=0 WR @05=46FE80899FF9D69646 +00009900[00000990] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 B96DC38A326C63D431 R3: 0 00 000000000000000000 +00009900[00000990] pys--. : W0: 1 05 46FE80899FF9D69646 W1: 0 00 000000000000000000 +00009900[00000990] pys--. : Port=0 WR @00=4BB0332628DEA22057 +00009900[00000990] pys--. : Port=2 RD @04 +00009910[00000991] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 0 00 000000000000000000 +00009910[00000991] pys--. : W0: 1 00 4BB0332628DEA22057 W1: 0 00 000000000000000000 +00009910[00000991] pys--. : Port=1 RD @04 +00009920[00000992] pys--. : R0: 0 00 000000000000000000 R1: 1 04 ABF2A78FAF76852EFA R2: 0 00 ABF2A78FAF76852EFA R3: 0 00 000000000000000000 +00009920[00000992] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009920[00000992] pys--. : Port=0 WR @07=8951929A5D10DBE5EB +00009920[00000992] pys--. : Port=1 WR @06=38A1167C434806FB8A +00009920[00000992] pys--. : Port=1 RD @04 +00009920[00000992] pys--. : Port=3 RD @01 +00009930[00000993] pys--. : R0: 0 00 000000000000000000 R1: 1 04 ABF2A78FAF76852EFA R2: 0 00 000000000000000000 R3: 1 01 000000000000000000 +00009930[00000993] pys--. : W0: 1 07 8951929A5D10DBE5EB W1: 1 06 38A1167C434806FB8A +00009930[00000993] pys--. : Port=1 WR @03=5D3DCDAC069EDBFF14 +00009930[00000993] pys--. : Port=3 RD @01 +00009940[00000994] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 01 EDB8B603A0B3C41DD9 +00009940[00000994] pys--. : W0: 0 00 000000000000000000 W1: 1 03 5D3DCDAC069EDBFF14 +00009940[00000994] pys--. : Port=2 RD @00 +00009940[00000994] pys--. : Port=3 RD @07 +00009950[00000995] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 00 000000000000000000 R3: 1 07 EDB8B603A0B3C41DD9 +00009950[00000995] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009950[00000995] pys--. : Port=0 RD @07 +00009950[00000995] pys--. : Port=3 RD @02 +00009960[00000996] pys--. : R0: 1 07 8951929A5D10DBE5EB R1: 0 00 000000000000000000 R2: 0 00 4BB0332628DEA22057 R3: 1 02 8951929A5D10DBE5EB +00009960[00000996] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009960[00000996] pys--. : Port=0 WR @04=DB2766C6A8264E5BA2 +00009970[00000997] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 C59D2515706A0C4BAF +00009970[00000997] pys--. : W0: 1 04 DB2766C6A8264E5BA2 W1: 0 00 000000000000000000 +00009970[00000997] pys--. : Port=0 RD @01 +00009980[00000998] pys--. : R0: 1 01 EDB8B603A0B3C41DD9 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00009980[00000998] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009980[00000998] pys--. : Port=2 RD @07 +00009990[00000999] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 07 000000000000000000 R3: 0 00 000000000000000000 +00009990[00000999] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00009990[00000999] pys--. : Port=1 WR @04=A7E3CB6A8CFFB5613 +00010000[00001000] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 8951929A5D10DBE5EB R3: 0 00 000000000000000000 +00010000[00001000] pys--. : W0: 0 00 000000000000000000 W1: 1 04 0A7E3CB6A8CFFB5613 +00010000[00001000] pys--. : Port=0 WR @07=BDFEFE27D15EEB986C +00010010[00001001] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010010[00001001] pys--. : W0: 1 07 BDFEFE27D15EEB986C W1: 0 00 000000000000000000 +00010010[00001001] pys--. : Port=1 WR @00=EA209CD7B7226E0F6 +00010010[00001001] pys--. : Port=0 RD @02 +00010010[00001001] pys--. : Port=2 RD @01 +00010020[00001002] pys--. : R0: 1 02 C59D2515706A0C4BAF R1: 0 00 000000000000000000 R2: 1 01 000000000000000000 R3: 0 00 000000000000000000 +00010020[00001002] pys--. : W0: 0 00 000000000000000000 W1: 1 00 0EA209CD7B7226E0F6 +00010020[00001002] pys--. : Port=1 WR @06=48F3E33112CD22B1B4 +00010030[00001003] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 EDB8B603A0B3C41DD9 R3: 0 00 000000000000000000 +00010030[00001003] pys--. : W0: 0 00 000000000000000000 W1: 1 06 48F3E33112CD22B1B4 +00010030[00001003] pys--. : Port=1 WR @02=7703C97CEAA0F8E7D1 +00010040[00001004] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010040[00001004] pys--. : W0: 0 00 000000000000000000 W1: 1 02 7703C97CEAA0F8E7D1 +00010040[00001004] pys--. : Port=0 WR @06=E326C65C0D1BECD660 +00010040[00001004] pys--. : Port=1 WR @04=C26E5AB9DFDE4C448C +00010040[00001004] pys--. : Port=0 RD @02 +00010040[00001004] pys--. : Port=2 RD @03 +00010050[00001005] pys--. : R0: 1 02 7703C97CEAA0F8E7D1 R1: 0 00 000000000000000000 R2: 1 03 000000000000000000 R3: 0 00 000000000000000000 +00010050[00001005] pys--. : W0: 1 06 E326C65C0D1BECD660 W1: 1 04 C26E5AB9DFDE4C448C +00010050[00001005] pys--. : Port=0 WR @06=B2EDBAAA44476A5DF9 +00010050[00001005] pys--. : Port=0 RD @01 +00010060[00001006] pys--. : R0: 1 01 EDB8B603A0B3C41DD9 R1: 0 00 000000000000000000 R2: 0 00 5D3DCDAC069EDBFF14 R3: 0 00 000000000000000000 +00010060[00001006] pys--. : W0: 1 06 B2EDBAAA44476A5DF9 W1: 0 00 000000000000000000 +00010060[00001006] pys--. : Port=1 WR @03=A96427342B5F214058 +00010060[00001006] pys--. : Port=2 RD @04 +00010060[00001006] pys--. : Port=3 RD @00 +00010070[00001007] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 04 000000000000000000 R3: 1 00 000000000000000000 +00010070[00001007] pys--. : W0: 0 00 000000000000000000 W1: 1 03 A96427342B5F214058 +00010070[00001007] pys--. : Port=0 WR @00=EB64EE889D886E0AA8 +00010070[00001007] pys--. : Port=1 WR @07=82DB2DE5AE8A9D9D6E +00010070[00001007] pys--. : Port=0 RD @05 +00010080[00001008] pys--. : R0: 1 05 46FE80899FF9D69646 R1: 0 00 000000000000000000 R2: 0 00 C26E5AB9DFDE4C448C R3: 0 00 0EA209CD7B7226E0F6 +00010080[00001008] pys--. : W0: 1 00 EB64EE889D886E0AA8 W1: 1 07 82DB2DE5AE8A9D9D6E +00010080[00001008] pys--. : Port=1 RD @03 +00010090[00001009] pys--. : R0: 0 00 000000000000000000 R1: 1 03 A96427342B5F214058 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010090[00001009] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010090[00001009] pys--. : Port=1 WR @06=60F775713CFCCC558F +00010090[00001009] pys--. : Port=0 RD @02 +00010090[00001009] pys--. : Port=3 RD @04 +00010100[00001010] pys--. : R0: 1 02 7703C97CEAA0F8E7D1 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 04 000000000000000000 +00010100[00001010] pys--. : W0: 0 00 000000000000000000 W1: 1 06 60F775713CFCCC558F +00010100[00001010] pys--. : Port=0 RD @04 +00010110[00001011] pys--. : R0: 1 04 C26E5AB9DFDE4C448C R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 C26E5AB9DFDE4C448C +00010110[00001011] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010110[00001011] pys--. : Port=1 WR @06=53897D50A8C8461096 +00010120[00001012] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010120[00001012] pys--. : W0: 0 00 000000000000000000 W1: 1 06 53897D50A8C8461096 +00010120[00001012] pys--. : Port=1 WR @00=EB215372B381CE2AA7 +00010120[00001012] pys--. : Port=1 RD @05 +00010130[00001013] pys--. : R0: 0 00 000000000000000000 R1: 1 05 46FE80899FF9D69646 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010130[00001013] pys--. : W0: 0 00 000000000000000000 W1: 1 00 EB215372B381CE2AA7 +00010130[00001013] pys--. : Port=0 WR @00=12EEDBF376EFB38A8 +00010130[00001013] pys--. : Port=1 WR @06=F5791D99652252F549 +00010140[00001014] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010140[00001014] pys--. : W0: 1 00 012EEDBF376EFB38A8 W1: 1 06 F5791D99652252F549 +00010140[00001014] pys--. : Port=2 RD @06 +00010150[00001015] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 06 000000000000000000 R3: 0 00 000000000000000000 +00010150[00001015] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010150[00001015] pys--. : Port=1 WR @03=8C2EEA5CAB1E9E295 +00010150[00001015] pys--. : Port=1 RD @07 +00010150[00001015] pys--. : Port=3 RD @02 +00010160[00001016] pys--. : R0: 0 00 000000000000000000 R1: 1 07 82DB2DE5AE8A9D9D6E R2: 0 00 F5791D99652252F549 R3: 1 02 000000000000000000 +00010160[00001016] pys--. : W0: 0 00 000000000000000000 W1: 1 03 08C2EEA5CAB1E9E295 +00010160[00001016] pys--. : Port=0 RD @02 +00010160[00001016] pys--. : Port=1 RD @00 +00010160[00001016] pys--. : Port=2 RD @00 +00010170[00001017] pys--. : R0: 1 02 7703C97CEAA0F8E7D1 R1: 1 00 012EEDBF376EFB38A8 R2: 1 00 000000000000000000 R3: 0 00 7703C97CEAA0F8E7D1 +00010170[00001017] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010170[00001017] pys--. : Port=1 WR @03=7D326E6E4FF7BD7A6D +00010170[00001017] pys--. : Port=0 RD @06 +00010180[00001018] pys--. : R0: 1 06 F5791D99652252F549 R1: 0 00 000000000000000000 R2: 0 00 012EEDBF376EFB38A8 R3: 0 00 000000000000000000 +00010180[00001018] pys--. : W0: 0 00 000000000000000000 W1: 1 03 7D326E6E4FF7BD7A6D +00010180[00001018] pys--. : Port=1 WR @02=C00E27E820B88C7466 +00010190[00001019] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010190[00001019] pys--. : W0: 0 00 000000000000000000 W1: 1 02 C00E27E820B88C7466 +00010190[00001019] pys--. : Port=1 WR @05=F7CD16F68B378FDF51 +00010190[00001019] pys--. : Port=0 RD @04 +00010190[00001019] pys--. : Port=3 RD @06 +00010200[00001020] pys--. : R0: 1 04 C26E5AB9DFDE4C448C R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 06 000000000000000000 +00010200[00001020] pys--. : W0: 0 00 000000000000000000 W1: 1 05 F7CD16F68B378FDF51 +00010200[00001020] pys--. : Port=0 WR @00=35B3EC182EFD74E73F +00010200[00001020] pys--. : Port=1 RD @03 +00010200[00001020] pys--. : Port=2 RD @02 +00010200[00001020] pys--. : Port=3 RD @06 +00010210[00001021] pys--. : R0: 0 00 000000000000000000 R1: 1 03 7D326E6E4FF7BD7A6D R2: 1 02 000000000000000000 R3: 1 06 F5791D99652252F549 +00010210[00001021] pys--. : W0: 1 00 35B3EC182EFD74E73F W1: 0 00 000000000000000000 +00010210[00001021] pys--. : Port=0 WR @06=34027CB4007C48F715 +00010210[00001021] pys--. : Port=1 RD @05 +00010210[00001021] pys--. : Port=2 RD @02 +00010220[00001022] pys--. : R0: 0 00 000000000000000000 R1: 1 05 F7CD16F68B378FDF51 R2: 1 02 C00E27E820B88C7466 R3: 0 00 F5791D99652252F549 +00010220[00001022] pys--. : W0: 1 06 34027CB4007C48F715 W1: 0 00 000000000000000000 +00010220[00001022] pys--. : Port=1 WR @01=DDD636B9EA04440A3 +00010230[00001023] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 C00E27E820B88C7466 R3: 0 00 000000000000000000 +00010230[00001023] pys--. : W0: 0 00 000000000000000000 W1: 1 01 0DDD636B9EA04440A3 +00010230[00001023] pys--. : Port=0 RD @02 +00010230[00001023] pys--. : Port=3 RD @05 +00010240[00001024] pys--. : R0: 1 02 C00E27E820B88C7466 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 1 05 000000000000000000 +00010240[00001024] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010240[00001024] pys--. : Port=0 WR @02=AE8C1A1073481746E +00010240[00001024] pys--. : Port=1 WR @03=6763F6E72967547CE2 +00010240[00001024] pys--. : Port=0 RD @07 +00010250[00001025] pys--. : R0: 1 07 82DB2DE5AE8A9D9D6E R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 F7CD16F68B378FDF51 +00010250[00001025] pys--. : W0: 1 02 0AE8C1A1073481746E W1: 1 03 6763F6E72967547CE2 +00010250[00001025] pys--. : Port=2 RD @02 +00010260[00001026] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 1 02 000000000000000000 R3: 0 00 000000000000000000 +00010260[00001026] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010260[00001026] pys--. : Port=1 RD @01 +00010270[00001027] pys--. : R0: 0 00 000000000000000000 R1: 1 01 0DDD636B9EA04440A3 R2: 0 00 0AE8C1A1073481746E R3: 0 00 000000000000000000 +00010270[00001027] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010270[00001027] pys--. : Port=1 WR @00=3B6BB3ECAD00BF38FC +00010280[00001028] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010280[00001028] pys--. : W0: 0 00 000000000000000000 W1: 1 00 3B6BB3ECAD00BF38FC +00010280[00001028] pys--. : Port=0 RD @03 +00010290[00001029] pys--. : R0: 1 03 6763F6E72967547CE2 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010290[00001029] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010290[00001029] pys--. : Quiescing... +00010300[00001030] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010300[00001030] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010310[00001031] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010310[00001031] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010320[00001032] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010320[00001032] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010330[00001033] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010330[00001033] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 +00010340[00001034] pys--. : R0: 0 00 000000000000000000 R1: 0 00 000000000000000000 R2: 0 00 000000000000000000 R3: 0 00 000000000000000000 +00010340[00001034] pys--. : W0: 0 00 000000000000000000 W1: 0 00 000000000000000000 + + +Final State +Model : test_ra_ddr.v + +[00] 3B6BB3ECAD00BF38FC [01] 0DDD636B9EA04440A3 [02] 0AE8C1A1073481746E [03] 6763F6E72967547CE2 +[04] C26E5AB9DFDE4C448C [05] F7CD16F68B378FDF51 [06] 34027CB4007C48F715 [07] 82DB2DE5AE8A9D9D6E +[08] 555555555555555508 [09] 555555555555555509 [0A] 55555555555555550A [0B] 55555555555555550B +[0C] 55555555555555550C [0D] 55555555555555550D [0E] 55555555555555550E [0F] 55555555555555550F +[10] 555555555555555510 [11] 555555555555555511 [12] 555555555555555512 [13] 555555555555555513 +[14] 555555555555555514 [15] 555555555555555515 [16] 555555555555555516 [17] 555555555555555517 +[18] 555555555555555518 [19] 555555555555555519 [1A] 55555555555555551A [1B] 55555555555555551B +[1C] 55555555555555551C [1D] 55555555555555551D [1E] 55555555555555551E [1F] 55555555555555551F +[20] 555555555555555520 [21] 555555555555555521 [22] 555555555555555522 [23] 555555555555555523 +[24] 555555555555555524 [25] 555555555555555525 [26] 555555555555555526 [27] 555555555555555527 +[28] 555555555555555528 [29] 555555555555555529 [2A] 55555555555555552A [2B] 55555555555555552B +[2C] 55555555555555552C [2D] 55555555555555552D [2E] 55555555555555552E [2F] 55555555555555552F +[30] 555555555555555530 [31] 555555555555555531 [32] 555555555555555532 [33] 555555555555555533 +[34] 555555555555555534 [35] 555555555555555535 [36] 555555555555555536 [37] 555555555555555537 +[38] 555555555555555538 [39] 555555555555555539 [3A] 55555555555555553A [3B] 55555555555555553B +[3C] 55555555555555553C [3D] 55555555555555553D [3E] 55555555555555553E [3F] 55555555555555553F + + +Reads Port 0: 415 +Reads Port 1: 368 +Reads Port 2: 390 +Reads Port 3: 406 +Writes Port 0: 368 +Writes Port 1: 434 + +Seed: 00000064 +Cycles: 1034 +Errors: 0 + +You has opulence. + +Done. diff --git a/rtl/sim/src b/rtl/sim/src new file mode 120000 index 0000000..df6eae1 --- /dev/null +++ b/rtl/sim/src @@ -0,0 +1 @@ +../src/verilog/array \ No newline at end of file diff --git a/rtl/sim/wtf.gtkw b/rtl/sim/wtf.gtkw new file mode 100644 index 0000000..241064b --- /dev/null +++ b/rtl/sim/wtf.gtkw @@ -0,0 +1,64 @@ +[*] +[*] GTKWave Analyzer v3.3.103 (w)1999-2019 BSI +[*] Tue Aug 17 12:25:53 2021 +[*] +[dumpfile] "/home/wtf/projects/Skywater-Array-Site/opf-move/dev/sim/gtkwave.vcd" +[dumpfile_mtime] "Tue Aug 17 12:19:36 2021" +[dumpfile_size] 49576 +[savefile] "/home/wtf/projects/Skywater-Array-Site/opf-move/dev/sim/wtf.gtkw" +[timestart] 1484 +[size] 1416 1043 +[pos] 30 53 +*-5.931902 455 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.test_ra_ddr. +[treeopen] TOP.test_ra_ddr.ra. +[sst_width] 236 +[signals_width] 185 +[sst_expanded] 1 +[sst_vpaned_height] 252 +@28 +TOP.test_ra_ddr.clk +TOP.test_ra_ddr.clk2x +TOP.test_ra_ddr.reset +TOP.test_ra_ddr.cfg_wr +TOP.test_ra_ddr.rd_enb_0 +TOP.test_ra_ddr.rd_enb_1 +TOP.test_ra_ddr.rd_enb_2 +TOP.test_ra_ddr.rd_enb_3 +TOP.test_ra_ddr.wr_enb_0 +TOP.test_ra_ddr.wr_enb_1 +@200 +-RA FF +@28 +TOP.test_ra_ddr.ra.rd_enb_0_q +@22 +TOP.test_ra_ddr.ra.rd_adr_0_q[0:5] +TOP.test_ra_ddr.ra.rd_dat_0_q[0:71] +@28 +TOP.test_ra_ddr.ra.rd_enb_1_q +@22 +TOP.test_ra_ddr.ra.rd_adr_1_q[0:5] +TOP.test_ra_ddr.ra.rd_dat_1_q[0:71] +@28 +TOP.test_ra_ddr.ra.rd_enb_2_q +@22 +TOP.test_ra_ddr.ra.rd_adr_2_q[0:5] +TOP.test_ra_ddr.ra.rd_dat_2_q[0:71] +@28 +TOP.test_ra_ddr.ra.rd_enb_3_q +@22 +TOP.test_ra_ddr.ra.rd_adr_3_q[0:5] +TOP.test_ra_ddr.ra.rd_dat_3_q[0:71] +@28 +TOP.test_ra_ddr.ra.wr_enb_0_q +@22 +TOP.test_ra_ddr.ra.wr_adr_0_q[0:5] +TOP.test_ra_ddr.ra.wr_dat_0_q[0:71] +@28 +TOP.test_ra_ddr.ra.wr_enb_1_q +@22 +TOP.test_ra_ddr.ra.wr_adr_1_q[0:5] +TOP.test_ra_ddr.ra.wr_dat_1_q[0:71] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/rtl/sim/wtf_ddr.gtkw b/rtl/sim/wtf_ddr.gtkw new file mode 100644 index 0000000..c0558cb --- /dev/null +++ b/rtl/sim/wtf_ddr.gtkw @@ -0,0 +1,110 @@ +[*] +[*] GTKWave Analyzer v3.3.103 (w)1999-2019 BSI +[*] Tue Aug 17 21:47:47 2021 +[*] +[dumpfile] "/home/wtf/projects/Skywater-Array-Site/opf-move/dev/sim/gtkwave.vcd" +[dumpfile_mtime] "Tue Aug 17 21:22:27 2021" +[dumpfile_size] 57523 +[savefile] "/home/wtf/projects/Skywater-Array-Site/opf-move/dev/sim/wtf_ddr.gtkw" +[timestart] 1663 +[size] 1552 1029 +[pos] 66 61 +*-4.931902 1691 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] TOP. +[treeopen] TOP.test_ra_ddr. +[treeopen] TOP.test_ra_ddr.ra. +[sst_width] 236 +[signals_width] 271 +[sst_expanded] 1 +[sst_vpaned_height] 561 +@28 +TOP.test_ra_ddr.clk +TOP.test_ra_ddr.clk2x +TOP.test_ra_ddr.reset +TOP.test_ra_ddr.rd_enb_0 +@22 +TOP.test_ra_ddr.rd_dat_0[0:71] +@28 +TOP.test_ra_ddr.rd_enb_1 +@22 +TOP.test_ra_ddr.rd_dat_1[0:71] +@28 +TOP.test_ra_ddr.rd_enb_2 +@22 +TOP.test_ra_ddr.rd_dat_2[0:71] +@28 +TOP.test_ra_ddr.rd_enb_3 +@22 +TOP.test_ra_ddr.rd_dat_3[0:71] +@28 +TOP.test_ra_ddr.wr_enb_0 +TOP.test_ra_ddr.wr_enb_1 +@200 +-RA FF +@28 +TOP.test_ra_ddr.ra.strobe +TOP.test_ra_ddr.ra.el_sel +TOP.test_ra_ddr.ra.rd_enb_0_q +@22 +TOP.test_ra_ddr.ra.rd_adr_0_q[0:5] +TOP.test_ra_ddr.ra.rd_dat_0_q[0:71] +@28 +TOP.test_ra_ddr.ra.rd_enb_1_q +@22 +TOP.test_ra_ddr.ra.rd_adr_1_q[0:5] +TOP.test_ra_ddr.ra.rd_dat_1_q[0:71] +@28 +TOP.test_ra_ddr.ra.rd_enb_2_q +@22 +TOP.test_ra_ddr.ra.rd_adr_2_q[0:5] +TOP.test_ra_ddr.ra.rd_dat_2_q[0:71] +@28 +TOP.test_ra_ddr.ra.rd_enb_3_q +@22 +TOP.test_ra_ddr.ra.rd_adr_3_q[0:5] +TOP.test_ra_ddr.ra.rd_dat_3_q[0:71] +@28 +TOP.test_ra_ddr.ra.wr_enb_0_q +@22 +TOP.test_ra_ddr.ra.wr_adr_0_q[0:5] +TOP.test_ra_ddr.ra.wr_dat_0_q[0:71] +@28 +TOP.test_ra_ddr.ra.wr_enb_1_q +@22 +TOP.test_ra_ddr.ra.wr_adr_1_q[0:5] +TOP.test_ra_ddr.ra.wr_dat_1_q[0:71] +@28 +TOP.test_ra_ddr.ra.rd_enb_01 +@29 +TOP.test_ra_ddr.ra.rd_enb_23 +@200 +-RA0 +@28 +TOP.test_ra_ddr.ra.array1.rd0_enable +@22 +TOP.test_ra_ddr.ra.array1.rd_dat_0[0:23] +@28 +TOP.test_ra_ddr.ra.array1.rd1_enable +@22 +TOP.test_ra_ddr.ra.array1.rd_dat_1[0:23] +@28 +TOP.test_ra_ddr.ra.array1.wr0_enable +@22 +TOP.test_ra_ddr.ra.array1.wr_dat_0[0:23] +@200 +-RA1 +-RA2 +@28 +TOP.test_ra_ddr.ra.array2.rd0_enable +@22 +TOP.test_ra_ddr.ra.array2.rd_dat_0[0:23] +@28 +TOP.test_ra_ddr.ra.array2.rd1_enable +@22 +TOP.test_ra_ddr.ra.array2.rd_dat_1[0:23] +@28 +TOP.test_ra_ddr.ra.array2.wr0_enable +@22 +TOP.test_ra_ddr.ra.array2.wr_dat_0[0:23] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/rtl/src/array/address_clock_sdr_2r1w_32.v b/rtl/src/array/address_clock_sdr_2r1w_32.v new file mode 100644 index 0000000..be419e2 --- /dev/null +++ b/rtl/src/array/address_clock_sdr_2r1w_32.v @@ -0,0 +1,189 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Address and clocking synthesized logic for SDR 2r1w 32 word array +// Two modes: +// 1. nodelay: for sim, FPGA - clk (SDR) or clk2x (DDR) produce strobe +// 2. delay: for implementation, strobes are configured, and derived from clk + +`timescale 1 ns / 1 ns + +module address_clock_sdr_2r1w_32 ( + + strobe, + + // address ports and associated enable signals + rd_enb_0, + rd_adr_0, + rd_enb_1, + rd_adr_1, + wr_enb_0, + wr_adr_0, + + // predecoded address signal + // four groups of one hot encoded signals + // read address 0 + rd0_c_na0, + rd0_c_a0, + rd0_na1_na2, + rd0_na1_a2, + rd0_a1_na2, + rd0_a1_a2, + rd0_na3, + rd0_a3, + rd0_na4, + rd0_a4, + + // read address 1 + rd1_c_na0, + rd1_c_a0, + rd1_na1_na2, + rd1_na1_a2, + rd1_a1_na2, + rd1_a1_a2, + rd1_na3, + rd1_a3, + rd1_na4, + rd1_a4, + + // write address 0 + wr0_c_na0, + wr0_c_a0, + wr0_na1_na2, + wr0_na1_a2, + wr0_a1_na2, + wr0_a1_a2, + wr0_na3, + wr0_a3, + wr0_na4, + wr0_a4 + + ); + + parameter GENMODE = 0; // 0=NoDelay, 1=Delay + + input strobe; + + // address ports and associated enable signals + input rd_enb_0; + input [0:4] rd_adr_0; + input rd_enb_1; + input [0:4] rd_adr_1; + input wr_enb_0; + input [0:4] wr_adr_0; + + // predecoded address signal + // four groups of one hot encoded signals + // read address 0 + output rd0_c_na0; + output rd0_c_a0; + + output rd0_na1_na2; + output rd0_na1_a2; + output rd0_a1_na2; + output rd0_a1_a2; + + output rd0_na3; + output rd0_a3; + + output rd0_na4; + output rd0_a4; + + // read address 1 + output rd1_c_na0; + output rd1_c_a0; + + output rd1_na1_na2; + output rd1_na1_a2; + output rd1_a1_na2; + output rd1_a1_a2; + + output rd1_na3; + output rd1_a3; + + output rd1_na4; + output rd1_a4; + + // write address 0 + output wr0_c_na0; + output wr0_c_a0; + + output wr0_na1_na2; + output wr0_na1_a2; + output wr0_a1_na2; + output wr0_a1_a2; + + output wr0_na3; + output wr0_a3; + + output wr0_na4; + output wr0_a4; + + // one predecoder per port + + predecode_sdr_32 predecode_r0( + .strobe(strobe), + .enable(rd_enb_0), + .address(rd_adr_0), + .c_na0(rd0_c_na0), + .c_a0(rd0_c_a0), + .na1_na2(rd0_na1_na2), + .na1_a2(rd0_na1_a2), + .a1_na2(rd0_a1_na2), + .a1_a2(rd0_a1_a2), + .na3(rd0_na3), + .a3(rd0_a3), + .na4(rd0_na4), + .a4(rd0_a4) + ); + + predecode_sdr_32 predecode_r1( + .strobe(strobe), + .enable(rd_enb_1), + .address(rd_adr_1), + .c_na0(rd1_c_na0), + .c_a0(rd1_c_a0), + .na1_na2(rd1_na1_na2), + .na1_a2(rd1_na1_a2), + .a1_na2(rd1_a1_na2), + .a1_a2(rd1_a1_a2), + .na3(rd1_na3), + .a3(rd1_a3), + .na4(rd1_na4), + .a4(rd1_a4) + ); + + predecode_sdr_32 predecode_w0( + .strobe(strobe), + .enable(wr_enb_0), + .address(wr_adr_0), + .c_na0(wr0_c_na0), + .c_a0(wr0_c_a0), + .na1_na2(wr0_na1_na2), + .na1_a2(wr0_na1_a2), + .a1_na2(wr0_a1_na2), + .a1_a2(wr0_a1_a2), + .na3(wr0_na3), + .a3(wr0_a3), + .na4(wr0_na4), + .a4(wr0_a4) + ); + +endmodule diff --git a/rtl/src/array/address_clock_sdr_2r1w_64.v b/rtl/src/array/address_clock_sdr_2r1w_64.v new file mode 100644 index 0000000..4423182 --- /dev/null +++ b/rtl/src/array/address_clock_sdr_2r1w_64.v @@ -0,0 +1,207 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Address and clocking synthesized logic for SDR 2r1w 64 word array +// Two modes: +// 1. nodelay: for sim, FPGA - clk (SDR) or clk2x (DDR) produce strobe +// 2. delay: for implementation, strobes are configured, and derived from clk + +`timescale 1 ns / 1 ns + +module address_clock_sdr_2r1w_64 ( + + strobe, + + // address ports and associated enable signals + rd_enb_0, + rd_adr_0, + rd_enb_1, + rd_adr_1, + wr_enb_0, + wr_adr_0, + + // predecoded address signal + // four groups of one hot encoded signals + // read address 0 + rd0_c_na0, + rd0_c_a0, + rd0_na1_na2, + rd0_na1_a2, + rd0_a1_na2, + rd0_a1_a2, + rd0_na3, + rd0_a3, + rd0_na4_na5, + rd0_na4_a5, + rd0_a4_na5, + rd0_a4_a5, + + // read address 1 + rd1_c_na0, + rd1_c_a0, + rd1_na1_na2, + rd1_na1_a2, + rd1_a1_na2, + rd1_a1_a2, + rd1_na3, + rd1_a3, + rd1_na4_na5, + rd1_na4_a5, + rd1_a4_na5, + rd1_a4_a5, + + // write address 0 + wr0_c_na0, + wr0_c_a0, + wr0_na1_na2, + wr0_na1_a2, + wr0_a1_na2, + wr0_a1_a2, + wr0_na3, + wr0_a3, + wr0_na4_na5, + wr0_na4_a5, + wr0_a4_na5, + wr0_a4_a5 + + ); + + parameter GENMODE = 0; // 0=NoDelay, 1=Delay + + input strobe; + + // address ports and associated enable signals + input rd_enb_0; + input [0:5] rd_adr_0; + input rd_enb_1; + input [0:5] rd_adr_1; + input wr_enb_0; + input [0:5] wr_adr_0; + + // predecoded address signal + // four groups of one hot encoded signals + // read address 0 + output rd0_c_na0; + output rd0_c_a0; + + output rd0_na1_na2; + output rd0_na1_a2; + output rd0_a1_na2; + output rd0_a1_a2; + + output rd0_na3; + output rd0_a3; + + output rd0_na4_na5; + output rd0_na4_a5; + output rd0_a4_na5; + output rd0_a4_a5; + + // read address 1 + output rd1_c_na0; + output rd1_c_a0; + + output rd1_na1_na2; + output rd1_na1_a2; + output rd1_a1_na2; + output rd1_a1_a2; + + output rd1_na3; + output rd1_a3; + + output rd1_na4_na5; + output rd1_na4_a5; + output rd1_a4_na5; + output rd1_a4_a5; + + // write address 0 + output wr0_c_na0; + output wr0_c_a0; + + output wr0_na1_na2; + output wr0_na1_a2; + output wr0_a1_na2; + output wr0_a1_a2; + + output wr0_na3; + output wr0_a3; + + output wr0_na4_na5; + output wr0_na4_a5; + output wr0_a4_na5; + output wr0_a4_a5; + + // one predecoder per port + + predecode_sdr_64 predecode_r0( + .strobe(strobe), + .enable(rd_enb_0), + .address(rd_adr_0), + .c_na0(rd0_c_na0), + .c_a0(rd0_c_a0), + .na1_na2(rd0_na1_na2), + .na1_a2(rd0_na1_a2), + .a1_na2(rd0_a1_na2), + .a1_a2(rd0_a1_a2), + .na3(rd0_na3), + .a3(rd0_a3), + .na4_na5(rd0_na4_na5), + .na4_a5(rd0_na4_a5), + .a4_na5(rd0_a4_na5), + .a4_a5(rd0_a4_a5) + ); + + predecode_sdr_64 predecode_r1( + .strobe(strobe), + .enable(rd_enb_1), + .address(rd_adr_1), + .c_na0(rd1_c_na0), + .c_a0(rd1_c_a0), + .na1_na2(rd1_na1_na2), + .na1_a2(rd1_na1_a2), + .a1_na2(rd1_a1_na2), + .a1_a2(rd1_a1_a2), + .na3(rd1_na3), + .a3(rd1_a3), + .na4_na5(rd1_na4_na5), + .na4_a5(rd1_na4_a5), + .a4_na5(rd1_a4_na5), + .a4_a5(rd1_a4_a5) + ); + + predecode_sdr_64 predecode_w0( + .strobe(strobe), + .enable(wr_enb_0), + .address(wr_adr_0), + .c_na0(wr0_c_na0), + .c_a0(wr0_c_a0), + .na1_na2(wr0_na1_na2), + .na1_a2(wr0_na1_a2), + .a1_na2(wr0_a1_na2), + .a1_a2(wr0_a1_a2), + .na3(wr0_na3), + .a3(wr0_a3), + .na4_na5(wr0_na4_na5), + .na4_a5(wr0_na4_a5), + .a4_na5(wr0_a4_na5), + .a4_a5(wr0_a4_a5) + ); + +endmodule diff --git a/rtl/src/array/predecode_sdr_32.v b/rtl/src/array/predecode_sdr_32.v new file mode 100644 index 0000000..a40bd93 --- /dev/null +++ b/rtl/src/array/predecode_sdr_32.v @@ -0,0 +1,109 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + +// Predecode of 5 address bits into 4 one hot encodings + +`timescale 1 ns / 1 ns + +module predecode_sdr_32( + + strobe, + enable, + address, + + // 12 predecoded address lines 2 - 4 - 2 - 4 one hot encoding + c_na0, // clock and not address(0) + c_a0, // clock and address(0) + na1_na2,// not address(1) and not address(2) + na1_a2, // not address(1) and address(2) + a1_na2, // address(1) and not address(2) + a1_a2, // address(1) and address(2) + na3, // not address(3) + a3, // address(3) + na4, // not address(4) + a4 // address(4) +); + + input strobe; + input enable; + input [0:4] address; + + output c_na0; + output c_a0; + output na1_na2; + output na1_a2; + output a1_na2; + output a1_a2; // address(1) and address(2) + output na3; // not address(3) + output a3; // address(3) + output na4; // not address(4) + output a4; // address(4) + + wire clock_enable; + + wire [0:4] inv_address; + + wire n_c_na0; + wire n_c_a0; + wire n_na1_na2; + wire n_na1_a2; + wire n_a1_na2; + wire n_a1_a2; + wire n_na4; + wire n_a4; + + // and read or write enable with clock + // does this need to be SSB placed? + assign clock_enable = strobe & enable; + + assign inv_address[0] = (~(address[0])); + assign inv_address[1] = (~(address[1])); + assign inv_address[2] = (~(address[2])); + assign inv_address[3] = (~(address[3])); + assign inv_address[4] = (~(address[4])); + + // A(0) address predecode and gating with clock + + assign c_na0 = clock_enable & inv_address[0]; + + assign c_a0 = clock_enable & address[0]; + + + // A(1:2) address predecode + + assign na1_na2 = inv_address[1] & inv_address[2]; + + assign na1_a2 = inv_address[1] & address[2]; + + assign a1_na2 = address[1] & inv_address[2]; + + assign a1_a2 = address[1] & address[2]; + + + // A(3) address predecode + + assign na3 = inv_address[3]; + assign a3 = address[3]; + + // A(4) address predecode + + assign na4 = inv_address[4]; + assign a4 = address[4]; + +endmodule diff --git a/rtl/src/array/predecode_sdr_64.v b/rtl/src/array/predecode_sdr_64.v new file mode 100644 index 0000000..8000be6 --- /dev/null +++ b/rtl/src/array/predecode_sdr_64.v @@ -0,0 +1,124 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + +// Predecode of 6 address bits into 4 one hot encodings + +`timescale 1 ns / 1 ns + +module predecode_sdr_64( + + strobe, + enable, + address, + + // 12 predecoded address lines 2 - 4 - 2 - 4 one hot encoding + c_na0, // clock and not address(0) + c_a0, // clock and address(0) + na1_na2,// not address(1) and not address(2) + na1_a2, // not address(1) and address(2) + a1_na2, // address(1) and not address(2) + a1_a2, // address(1) and address(2) + na3, // not address(3) + a3, // address(3) + na4_na5,// not address(4) and not address(5) + na4_a5, // not address(4) address(5) + a4_na5, // address(4) and not address(5) + a4_a5 // address(4) and address(5) + +); + + input strobe; + input enable; + input [0:5] address; + + output c_na0; + output c_a0; + output na1_na2; + output na1_a2; + output a1_na2; + output a1_a2; // address(1) and address(2) + output na3; // not address(3) + output a3; // address(3) + output na4_na5;// not address(4) and not address(5) + output na4_a5; // not address(4) address(5) + output a4_na5; // address(4) and not address(5) + output a4_a5; // address(4) and address(5) + + wire clock_enable; + + wire [0:5] inv_address; + + wire n_c_na0; + wire n_c_a0; + wire n_na1_na2; + wire n_na1_a2; + wire n_a1_na2; + wire n_a1_a2; + wire n_na4_na5; + wire n_na4_a5; + wire n_a4_na5; + wire n_a4_a5; + + + // and read or write enable with clock + // does this need to be SSB placed? + assign clock_enable = strobe & enable; + + + assign inv_address[0] = (~(address[0])); + assign inv_address[1] = (~(address[1])); + assign inv_address[2] = (~(address[2])); + assign inv_address[3] = (~(address[3])); + assign inv_address[4] = (~(address[4])); + assign inv_address[5] = (~(address[5])); + + + // A(0) address predecode and gating with clock + + assign c_na0 = clock_enable & inv_address[0]; + + assign c_a0 = clock_enable & address[0]; + + + // A(1:2) address predecode + + assign na1_na2 = inv_address[1] & inv_address[2]; + + assign na1_a2 = inv_address[1] & address[2]; + + assign a1_na2 = address[1] & inv_address[2]; + + assign a1_a2 = address[1] & address[2]; + + + // A(3) address predecode + + assign na3 = inv_address[3]; + assign a3 = address[3]; + + // A(4:5) address predecode + + assign na4_na5 = inv_address[4] & inv_address[5]; + + assign na4_a5 = inv_address[4] & address[5]; + + assign a4_na5 = address[4] & inv_address[5]; + assign a4_a5 = address[4] & address[5]; + +endmodule diff --git a/rtl/src/array/ra_2r1w_32x32_sdr.v b/rtl/src/array/ra_2r1w_32x32_sdr.v new file mode 100644 index 0000000..56c0079 --- /dev/null +++ b/rtl/src/array/ra_2r1w_32x32_sdr.v @@ -0,0 +1,267 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Logical wrapper for 32x72 array (SDR) +// Configurable for read latching + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_2r1w_32x32_sdr( + + clk, + reset, + strobe, + rd_enb_0, + rd_adr_0, + rd_dat_0, + rd_enb_1, + rd_adr_1, + rd_dat_1, + wr_enb_0, + wr_adr_0, + wr_dat_0 + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + parameter LATCHRD = 1; // 1=latch read data, 0=unlatched + + input clk; + input reset; + input strobe; + + input rd_enb_0; + input [0:4] rd_adr_0; + output [0:31] rd_dat_0; + + input rd_enb_1; + input [0:4] rd_adr_1; + output [0:31] rd_dat_1; + + input wr_enb_0; + input [0:4] wr_adr_0; + input [0:31] wr_dat_0; + + reg rd_enb_0_q; + reg [0:4] rd_adr_0_q; +//generate +// if (LATCHRD) + reg [0:31] rd_dat_0_q; +//endgenerate + + reg rd_enb_1_q; + reg [0:4] rd_adr_1_q; +//generate +// if (LATCHRD) + reg [0:31] rd_dat_1_q; +//endgenerate + + reg wr_enb_0_q; + reg [0:4] wr_adr_0_q; + reg [0:31] wr_dat_0_q; + + // -- read 0 + wire rd0_c_na0; + wire rd0_c_a0; + wire rd0_na1_na2; + wire rd0_na1_a2; + wire rd0_a1_na2; + wire rd0_a1_a2; + wire rd0_na3; + wire rd0_a3; + wire rd0_na4; + wire rd0_a4; + wire [0:31] ra_rd_dat_0; + + // -- read 1 + wire rd1_c_na0; + wire rd1_c_a0; + wire rd1_na1_na2; + wire rd1_na1_a2; + wire rd1_a1_na2; + wire rd1_a1_a2; + wire rd1_na3; + wire rd1_a3; + wire rd1_na4; + wire rd1_a4; + wire [0:31] ra_rd_dat_1; + + // -- write 0 + wire wr0_c_na0; + wire wr0_c_a0; + wire wr0_na1_na2; + wire wr0_na1_a2; + wire wr0_a1_na2; + wire wr0_a1_a2; + wire wr0_na3; + wire wr0_a3; + wire wr0_na4; + wire wr0_a4; + wire ra_wr_enb_0; + wire [0:4] ra_wr_adr_0; + wire [0:31] ra_wr_dat_0; + wire strobe_int; + +// latch inputs +// reset all; only enb required + always @ (posedge clk) begin + if (reset == 1'b1) begin + rd_enb_0_q <= 0; + rd_adr_0_q <= 0; + rd_enb_1_q <= 0; + rd_adr_1_q <= 0; + wr_enb_0_q <= 0; + wr_adr_0_q <= 0; + wr_dat_0_q <= 0; + end else begin + rd_enb_0_q <= rd_enb_0; + rd_adr_0_q <= rd_adr_0; + rd_enb_1_q <= rd_enb_1; + rd_adr_1_q <= rd_adr_1; + wr_enb_0_q <= wr_enb_0; + wr_adr_0_q <= wr_adr_0; + wr_dat_0_q <= wr_dat_0; + end +end + +// latch read data conditionally +generate + if (LATCHRD) begin + always @ (posedge clk) begin + rd_dat_0_q <= ra_rd_dat_0; + rd_dat_1_q <= ra_rd_dat_1; + end + assign rd_dat_0 = rd_dat_0_q; + assign rd_dat_1 = rd_dat_1_q; + end else begin + assign rd_dat_0 = ra_rd_dat_0; + assign rd_dat_1 = ra_rd_dat_1; + end +endgenerate + +// don't use the clock as data in sim mode +if (`GENMODE == 0) + assign strobe_int = 1'b1; +else + assign strobe_int = strobe; + + // generate the controls for the array + +address_clock_sdr_2r1w_32 #( + .GENMODE(GENMODE) +) add_clk ( + .strobe (strobe_int), + + .rd_enb_0 (rd_enb_0_q), + .rd_adr_0 (rd_adr_0_q), + .rd_enb_1 (rd_enb_1_q), + .rd_adr_1 (rd_adr_1_q), + .wr_enb_0 (wr_enb_0_q), + .wr_adr_0 (wr_adr_0_q), + + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4 (rd0_na4), + .rd0_a4 (rd0_a4), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4 (rd1_na4), + .rd1_a4 (rd1_a4), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4 (wr0_na4), + .wr0_a4 (wr0_a4) + +); + +// one hard array + +regfile_2r1w_32x32 array0( + + // predecoded address + + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4 (rd0_na4), + .rd0_a4 (rd0_a4), + .rd0_dat (ra_rd_dat_0), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4 (rd1_na4), + .rd1_a4 (rd1_a4), + .rd1_dat (ra_rd_dat_1), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4 (wr0_na4), + .wr0_a4 (wr0_a4), + .wr0_dat (wr_dat_0_q) + ); + + +endmodule + diff --git a/rtl/src/array/ra_2r1w_64x72_sdr.v b/rtl/src/array/ra_2r1w_64x72_sdr.v new file mode 100644 index 0000000..71b52fb --- /dev/null +++ b/rtl/src/array/ra_2r1w_64x72_sdr.v @@ -0,0 +1,391 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Logical wrapper for 64x72 array (SDR) +// Configurable for read latching + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_2r1w_64x72_sdr( + + clk, + reset, + strobe, + rd_enb_0, + rd_adr_0, + rd_dat_0, + rd_enb_1, + rd_adr_1, + rd_dat_1, + wr_enb_0, + wr_adr_0, + wr_dat_0 + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + parameter LATCHRD = 1; // 1=latch read data, 0=unlatched + + input clk; + input reset; + input strobe; + + input rd_enb_0; + input [0:5] rd_adr_0; + output [0:71] rd_dat_0; + + input rd_enb_1; + input [0:5] rd_adr_1; + output [0:71] rd_dat_1; + + input wr_enb_0; + input [0:5] wr_adr_0; + input [0:71] wr_dat_0; + + reg rd_enb_0_q; + reg [0:5] rd_adr_0_q; +//generate +// if (LATCHRD) + reg [0:71] rd_dat_0_q; +//endgenerate + + reg rd_enb_1_q; + reg [0:5] rd_adr_1_q; +//generate +// if (LATCHRD) + reg [0:71] rd_dat_1_q; +//endgenerate + + reg wr_enb_0_q; + reg [0:5] wr_adr_0_q; + reg [0:71] wr_dat_0_q; + + // -- read 0 + wire rd0_c_na0; + wire rd0_c_a0; + wire rd0_na1_na2; + wire rd0_na1_a2; + wire rd0_a1_na2; + wire rd0_a1_a2; + wire rd0_na3; + wire rd0_a3; + wire rd0_na4_na5; + wire rd0_na4_a5; + wire rd0_a4_na5; + wire rd0_a4_a5; + wire [0:71] ra_rd_dat_0; + + // -- read 1 + wire rd1_c_na0; + wire rd1_c_a0; + wire rd1_na1_na2; + wire rd1_na1_a2; + wire rd1_a1_na2; + wire rd1_a1_a2; + wire rd1_na3; + wire rd1_a3; + wire rd1_na4_na5; + wire rd1_na4_a5; + wire rd1_a4_na5; + wire rd1_a4_a5; + wire [0:71] ra_rd_dat_1; + + // -- write 0 + wire wr0_c_na0; + wire wr0_c_a0; + wire wr0_na1_na2; + wire wr0_na1_a2; + wire wr0_a1_na2; + wire wr0_a1_a2; + wire wr0_na3; + wire wr0_a3; + wire wr0_na4_na5; + wire wr0_na4_a5; + wire wr0_a4_na5; + wire wr0_a4_a5; + wire ra_wr_enb_0; + wire [0:5] ra_wr_adr_0; + wire [0:71] ra_wr_dat_0; + wire strobe_int; + +// latch inputs +// reset all; only enb required + always @ (posedge clk) begin + if (reset == 1'b1) begin + rd_enb_0_q <= 0; + rd_adr_0_q <= 0; + rd_enb_1_q <= 0; + rd_adr_1_q <= 0; + wr_enb_0_q <= 0; + wr_adr_0_q <= 0; + wr_dat_0_q <= 0; + end else begin + rd_enb_0_q <= rd_enb_0; + rd_adr_0_q <= rd_adr_0; + rd_enb_1_q <= rd_enb_1; + rd_adr_1_q <= rd_adr_1; + wr_enb_0_q <= wr_enb_0; + wr_adr_0_q <= wr_adr_0; + wr_dat_0_q <= wr_dat_0; + end + end + +// latch read data conditionally +generate + + if (LATCHRD) begin + always @ (posedge clk) begin + rd_dat_0_q <= ra_rd_dat_0; + rd_dat_1_q <= ra_rd_dat_1; + end + assign rd_dat_0 = rd_dat_0_q; + assign rd_dat_1 = rd_dat_1_q; + end else begin + assign rd_dat_0 = ra_rd_dat_0; + assign rd_dat_1 = ra_rd_dat_1; + end + +endgenerate + + // don't use the clock as data in sim mode + if (`GENMODE == 0) + assign strobe_int = 1'b1; + else + assign strobe_int = strobe; + + // generate the controls for the array + + address_clock_sdr_2r1w_64 + + #( .GENMODE(GENMODE) + ) + + add_clk + + ( + .strobe (strobe_int), + + .rd_enb_0 (rd_enb_0_q), + .rd_adr_0 (rd_adr_0_q), + .rd_enb_1 (rd_enb_1_q), + .rd_adr_1 (rd_adr_1_q), + .wr_enb_0 (wr_enb_0_q), + .wr_adr_0 (wr_adr_0_q), + + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5) + + ); + + // three hard arrays + + regfile_2r1w_64x24 array0( + + // predecoded address + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + .rd0_dat (ra_rd_dat_0[0:23]), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + .rd1_dat (ra_rd_dat_1[0:23]), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5), + .wr0_dat (wr_dat_0_q[0:23]) + + ); + + regfile_2r1w_64x24 array1( + + // predecoded address + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + .rd0_dat (ra_rd_dat_0[24:47]), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + .rd1_dat (ra_rd_dat_1[24:47]), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5), + .wr0_dat (wr_dat_0_q[24:47]) + + ); + + regfile_2r1w_64x24 array2( + + // predecoded address + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + .rd0_dat (ra_rd_dat_0[48:71]), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + .rd1_dat (ra_rd_dat_1[48:71]), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5), + .wr0_dat (wr_dat_0_q[48:71]) + + ); + +endmodule + diff --git a/rtl/src/array/ra_4r2w_64x72_ddr.v b/rtl/src/array/ra_4r2w_64x72_ddr.v new file mode 100644 index 0000000..4fd476a --- /dev/null +++ b/rtl/src/array/ra_4r2w_64x72_ddr.v @@ -0,0 +1,502 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Logical wrapper for 64x72 array (DDR) + +// The encode logic and arrays are the same for sdr and ddr. The ports are +// mux'd between first and second half of cycle using el_sel. + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_4r2w_64x72_ddr ( + + clk, + reset, + strobe, + el_sel, + rd_enb_0, + rd_adr_0, + rd_dat_0, + rd_enb_1, + rd_adr_1, + rd_dat_1, + rd_enb_2, + rd_adr_2, + rd_dat_2, + rd_enb_3, + rd_adr_3, + rd_dat_3, + wr_enb_0, + wr_adr_0, + wr_dat_0, + wr_enb_1, + wr_adr_1, + wr_dat_1 + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + + input clk; + input reset; + input strobe; + input el_sel; + + input rd_enb_0; + input [0:5] rd_adr_0; + output [0:71] rd_dat_0; + + input rd_enb_1; + input [0:5] rd_adr_1; + output [0:71] rd_dat_1; + + input rd_enb_2; + input [0:5] rd_adr_2; + output [0:71] rd_dat_2; + + input rd_enb_3; + input [0:5] rd_adr_3; + output [0:71] rd_dat_3; + + input wr_enb_0; + input [0:5] wr_adr_0; + input [0:71] wr_dat_0; + + input wr_enb_1; + input [0:5] wr_adr_1; + input [0:71] wr_dat_1; + + reg rd_enb_0_q; + reg [0:5] rd_adr_0_q; + reg [0:71] rd_dat_0_q; + reg [0:71] rd_dat_0_hold_q; + + reg rd_enb_1_q; + reg [0:5] rd_adr_1_q; + reg [0:71] rd_dat_1_q; + reg [0:71] rd_dat_1_hold_q; + + reg rd_enb_2_q; + reg [0:5] rd_adr_2_q; + reg [0:71] rd_dat_2_q; + + reg rd_enb_3_q; + reg [0:5] rd_adr_3_q; + reg [0:71] rd_dat_3_q; + + reg wr_enb_0_q; + reg [0:5] wr_adr_0_q; + reg [0:71] wr_dat_0_q; + + reg wr_enb_1_q; + reg [0:5] wr_adr_1_q; + reg [0:71] wr_dat_1_q; + + // read 0 + wire rd0_c_na0; + wire rd0_c_a0; + wire rd0_na1_na2; + wire rd0_na1_a2; + wire rd0_a1_na2; + wire rd0_a1_a2; + wire rd0_na3; + wire rd0_a3; + wire rd0_na4_na5; + wire rd0_na4_a5; + wire rd0_a4_na5; + wire rd0_a4_a5; + wire [0:71] ra_rd_dat_0; + + // read 1 + wire rd1_c_na0; + wire rd1_c_a0; + wire rd1_na1_na2; + wire rd1_na1_a2; + wire rd1_a1_na2; + wire rd1_a1_a2; + wire rd1_na3; + wire rd1_a3; + wire rd1_na4_na5; + wire rd1_na4_a5; + wire rd1_a4_na5; + wire rd1_a4_a5; + wire [0:71] ra_rd_dat_1; + + // read 2 + wire rd2_c_na0; + wire rd2_c_a0; + wire rd2_na1_na2; + wire rd2_na1_a2; + wire rd2_a1_na2; + wire rd2_a1_a2; + wire rd2_na3; + wire rd2_a3; + wire rd2_na4_na5; + wire rd2_na4_a5; + wire rd2_a4_na5; + wire rd2_a4_a5; + wire [0:71] ra_rd_dat_2; + + // read 3 + wire rd3_c_na0; + wire rd3_c_a0; + wire rd3_na1_na2; + wire rd3_na1_a2; + wire rd3_a1_na2; + wire rd3_a1_a2; + wire rd3_na3; + wire rd3_a3; + wire rd3_na4_na5; + wire rd3_na4_a5; + wire rd3_a4_na5; + wire rd3_a4_a5; + wire [0:71] ra_rd_dat_3; + + // write 0 + wire wr0_c_na0; + wire wr0_c_a0; + wire wr0_na1_na2; + wire wr0_na1_a2; + wire wr0_a1_na2; + wire wr0_a1_a2; + wire wr0_na3; + wire wr0_a3; + wire wr0_na4_na5; + wire wr0_na4_a5; + wire wr0_a4_na5; + wire wr0_a4_a5; + wire ra_wr_enb_0; + wire [0:5] ra_wr_adr_0; + + // write 1 + wire wr1_c_na0; + wire wr1_c_a0; + wire wr1_na1_na2; + wire wr1_na1_a2; + wire wr1_a1_na2; + wire wr1_a1_a2; + wire wr1_na3; + wire wr1_a3; + wire wr1_na4_na5; + wire wr1_na4_a5; + wire wr1_a4_na5; + wire wr1_a4_a5; + wire ra_wr_enb_1; + wire [0:5] ra_wr_adr_1; + + wire rd_enb_02; + wire [0:5] rd_adr_02; + wire rd_enb_13; + wire [0:5] rd_adr_13; + wire wr_enb_01; + wire [0:5] wr_adr_01; + wire [0:71] wr_dat_01; + wire strobe_int; + +// latch inputs +// reset all; only enb required + always @ (posedge clk) begin + if (reset == 1'b1) begin + rd_enb_0_q <= 0; + rd_adr_0_q <= 0; + rd_enb_1_q <= 0; + rd_adr_1_q <= 0; + rd_enb_2_q <= 0; + rd_adr_2_q <= 0; + rd_enb_3_q <= 0; + rd_adr_3_q <= 0; + wr_enb_0_q <= 0; + wr_adr_0_q <= 0; + wr_dat_0_q <= 0; + wr_enb_1_q <= 0; + wr_adr_1_q <= 0; + wr_dat_1_q <= 0; + end else begin + rd_enb_0_q <= rd_enb_0; + rd_adr_0_q <= rd_adr_0; + rd_enb_1_q <= rd_enb_1; + rd_adr_1_q <= rd_adr_1; + rd_enb_2_q <= rd_enb_2; + rd_adr_2_q <= rd_adr_2; + rd_enb_3_q <= rd_enb_3; + rd_adr_3_q <= rd_adr_3; + wr_enb_0_q <= wr_enb_0; + wr_adr_0_q <= wr_adr_0; + wr_dat_0_q <= wr_dat_0; + wr_enb_1_q <= wr_enb_1; + wr_adr_1_q <= wr_adr_1; + wr_dat_1_q <= wr_dat_1; + end + end + +// latch read data +// early reads are double latched to hold during next cycle + generate + + always @ (posedge strobe) begin + rd_dat_0_q <= (!el_sel) ? ra_rd_dat_0 : rd_dat_0_q; + rd_dat_1_q <= (!el_sel) ? ra_rd_dat_1 : rd_dat_1_q; + rd_dat_2_q <= (el_sel) ? ra_rd_dat_0 : rd_dat_2_q; + rd_dat_3_q <= (el_sel) ? ra_rd_dat_1 : rd_dat_3_q; + end + always @ (posedge clk) begin + rd_dat_0_hold_q <= rd_dat_0_q; + rd_dat_1_hold_q <= rd_dat_1_q; + end + assign rd_dat_0 = rd_dat_0_hold_q; + assign rd_dat_1 = rd_dat_1_hold_q; + assign rd_dat_2 = rd_dat_2_q; + assign rd_dat_3 = rd_dat_3_q; + + endgenerate + + assign rd_enb_02 = (el_sel) ? rd_enb_2_q : rd_enb_0_q; + assign rd_adr_02 = (el_sel) ? rd_adr_2_q : rd_adr_0_q; + assign rd_enb_13 = (el_sel) ? rd_enb_3_q : rd_enb_1_q; + assign rd_adr_13 = (el_sel) ? rd_adr_3_q : rd_adr_1_q; + assign wr_enb_01 = (el_sel) ? wr_enb_1_q : wr_enb_0_q; + assign wr_adr_01 = (el_sel) ? wr_adr_1_q : wr_adr_0_q; + assign wr_dat_01 = (el_sel) ? wr_dat_1_q : wr_dat_0_q; + + // don't use the clock as data in sim mode + if (`GENMODE == 0) + assign strobe_int = 1'b1; + else + assign strobe_int = strobe; + + // generate the controls for the array + + address_clock_sdr_2r1w_64 + + #( .GENMODE(GENMODE) + ) + + add_clk + + ( + .strobe (strobe_int), + + .rd_enb_0 (rd_enb_02), + .rd_adr_0 (rd_adr_02), + .rd_enb_1 (rd_enb_13), + .rd_adr_1 (rd_adr_13), + .wr_enb_0 (wr_enb_01), + .wr_adr_0 (wr_adr_01), + + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5) + + ); + + // three hard arrays + + regfile_2r1w_64x24 array0( + + // predecoded address + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + .rd0_dat (ra_rd_dat_0[0:23]), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + .rd1_dat (ra_rd_dat_1[0:23]), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5), + .wr0_dat (wr_dat_01[0:23]) + + ); + + regfile_2r1w_64x24 array1( + + // predecoded address + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + .rd0_dat (ra_rd_dat_0[24:47]), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + .rd1_dat (ra_rd_dat_1[24:47]), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5), + .wr0_dat (wr_dat_01[24:47]) + + ); + + regfile_2r1w_64x24 array2( + + // predecoded address + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + .rd0_dat (ra_rd_dat_0[48:71]), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + .rd1_dat (ra_rd_dat_1[48:71]), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5), + .wr0_dat (wr_dat_01[48:71]) + + ); + +endmodule + diff --git a/rtl/src/array/ra_4r2w_64x72_ddr_1x.v b/rtl/src/array/ra_4r2w_64x72_ddr_1x.v new file mode 100644 index 0000000..1d64c55 --- /dev/null +++ b/rtl/src/array/ra_4r2w_64x72_ddr_1x.v @@ -0,0 +1,686 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Logical wrapper for 64x72 array (DDR) - uses 1x clock only (but requires 2w regfile component) +// Configurable for read latching + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_4r2w_64x72_ddr_1x ( + + clk, + reset, + strobe, + rd_enb_0, + rd_adr_0, + rd_dat_0, + rd_enb_1, + rd_adr_1, + rd_dat_1, + rd_enb_2, + rd_adr_2, + rd_dat_2, + rd_enb_3, + rd_adr_3, + rd_dat_3, + wr_enb_0, + wr_adr_0, + wr_dat_0, + wr_enb_1, + wr_adr_1, + wr_dat_1 + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + parameter LATCHRD = 1; // 1=latch read data, 0=unlatched + + input clk; + input reset; + input strobe; + + input rd_enb_0; + input [0:5] rd_adr_0; + output [0:71] rd_dat_0; + + input rd_enb_1; + input [0:5] rd_adr_1; + output [0:71] rd_dat_1; + + input rd_enb_2; + input [0:5] rd_adr_2; + output [0:71] rd_dat_2; + + input rd_enb_3; + input [0:5] rd_adr_3; + output [0:71] rd_dat_3; + + input wr_enb_0; + input [0:5] wr_adr_0; + input [0:71] wr_dat_0; + + input wr_enb_1; + input [0:5] wr_adr_1; + input [0:71] wr_dat_1; + + reg rd_enb_0_q; + reg [0:5] rd_adr_0_q; +//generate +// if (LATCHRD) + reg [0:71] rd_dat_0_q; +//endgenerate + + reg rd_enb_1_q; + reg [0:5] rd_adr_1_q; +//generate +// if (LATCHRD) + reg [0:71] rd_dat_1_q; +//endgenerate + + reg rd_enb_2_q; + reg [0:5] rd_adr_2_q; +//generate +// if (LATCHRD) + reg [0:71] rd_dat_2_q; +//endgenerate + + reg rd_enb_3_q; + reg [0:5] rd_adr_3_q; +//generate +// if (LATCHRD) + reg [0:71] rd_dat_3_q; +//endgenerate + + reg wr_enb_0_q; + reg [0:5] wr_adr_0_q; + reg [0:71] wr_dat_0_q; + + reg wr_enb_1_q; + reg [0:5] wr_adr_1_q; + reg [0:71] wr_dat_1_q; + + // -- read 0 + wire rd0_c_na0; + wire rd0_c_a0; + wire rd0_na1_na2; + wire rd0_na1_a2; + wire rd0_a1_na2; + wire rd0_a1_a2; + wire rd0_na3; + wire rd0_a3; + wire rd0_na4_na5; + wire rd0_na4_a5; + wire rd0_a4_na5; + wire rd0_a4_a5; + wire [0:71] ra_rd_dat_0; + + // -- read 1 + wire rd1_c_na0; + wire rd1_c_a0; + wire rd1_na1_na2; + wire rd1_na1_a2; + wire rd1_a1_na2; + wire rd1_a1_a2; + wire rd1_na3; + wire rd1_a3; + wire rd1_na4_na5; + wire rd1_na4_a5; + wire rd1_a4_na5; + wire rd1_a4_a5; + wire [0:71] ra_rd_dat_1; + + // -- read 2 + wire rd2_c_na0; + wire rd2_c_a0; + wire rd2_na1_na2; + wire rd2_na1_a2; + wire rd2_a1_na2; + wire rd2_a1_a2; + wire rd2_na3; + wire rd2_a3; + wire rd2_na4_na5; + wire rd2_na4_a5; + wire rd2_a4_na5; + wire rd2_a4_a5; + wire [0:71] ra_rd_dat_2; + + // -- read 3 + wire rd3_c_na0; + wire rd3_c_a0; + wire rd3_na1_na2; + wire rd3_na1_a2; + wire rd3_a1_na2; + wire rd3_a1_a2; + wire rd3_na3; + wire rd3_a3; + wire rd3_na4_na5; + wire rd3_na4_a5; + wire rd3_a4_na5; + wire rd3_a4_a5; + wire [0:71] ra_rd_dat_3; + + // -- write 0 + wire wr0_c_na0; + wire wr0_c_a0; + wire wr0_na1_na2; + wire wr0_na1_a2; + wire wr0_a1_na2; + wire wr0_a1_a2; + wire wr0_na3; + wire wr0_a3; + wire wr0_na4_na5; + wire wr0_na4_a5; + wire wr0_a4_na5; + wire wr0_a4_a5; + + // -- write 1 + wire wr1_c_na0; + wire wr1_c_a0; + wire wr1_na1_na2; + wire wr1_na1_a2; + wire wr1_a1_na2; + wire wr1_a1_a2; + wire wr1_na3; + wire wr1_a3; + wire wr1_na4_na5; + wire wr1_na4_a5; + wire wr1_a4_na5; + wire wr1_a4_a5; + + wire strobe_int; + +// latch inputs +// reset all; only enb required + always @ (posedge clk) begin + if (reset == 1'b1) begin + rd_enb_0_q <= 0; + rd_adr_0_q <= 0; + rd_enb_1_q <= 0; + rd_adr_1_q <= 0; + rd_enb_2_q <= 0; + rd_adr_2_q <= 0; + rd_enb_3_q <= 0; + rd_adr_3_q <= 0; + wr_enb_0_q <= 0; + wr_adr_0_q <= 0; + wr_dat_0_q <= 0; + wr_enb_1_q <= 0; + wr_adr_1_q <= 0; + wr_dat_1_q <= 0; + end else begin + rd_enb_0_q <= rd_enb_0; + rd_adr_0_q <= rd_adr_0; + rd_enb_1_q <= rd_enb_1; + rd_adr_1_q <= rd_adr_1; + rd_enb_2_q <= rd_enb_2; + rd_adr_2_q <= rd_adr_2; + rd_enb_3_q <= rd_enb_3; + rd_adr_3_q <= rd_adr_3; + wr_enb_0_q <= wr_enb_0; + wr_adr_0_q <= wr_adr_0; + wr_dat_0_q <= wr_dat_0; + wr_enb_1_q <= wr_enb_1; + wr_adr_1_q <= wr_adr_1; + wr_dat_1_q <= wr_dat_1; + end + end + +// latch read data conditionally +generate + + if (LATCHRD) begin + always @ (posedge clk) begin + rd_dat_0_q <= ra_rd_dat_0; + rd_dat_1_q <= ra_rd_dat_1; + rd_dat_2_q <= ra_rd_dat_2; + rd_dat_3_q <= ra_rd_dat_3; + end + assign rd_dat_0 = rd_dat_0_q; + assign rd_dat_1 = rd_dat_1_q; + assign rd_dat_2 = rd_dat_2_q; + assign rd_dat_3 = rd_dat_3_q; + end else begin + assign rd_dat_0 = ra_rd_dat_0; + assign rd_dat_1 = ra_rd_dat_1; + assign rd_dat_2 = ra_rd_dat_2; + assign rd_dat_3 = ra_rd_dat_3; + end + +endgenerate + + if (`GENMODE == 0) + assign strobe_int = !reset; + else + assign strobe_int = strobe; + + // generate the controls for the array + // dup controls to use same component + // but need new regfile to support 2 writes + + address_clock_sdr_2r1w_64 + + #( .GENMODE(GENMODE) + ) + + add_clk_01 + + ( + .strobe (strobe_int), + + .rd_enb_0 (rd_enb_0_q), + .rd_adr_0 (rd_adr_0_q), + .rd_enb_1 (rd_enb_1_q), + .rd_adr_1 (rd_adr_1_q), + .wr_enb_0 (wr_enb_0_q), + .wr_adr_0 (wr_adr_0_q), + + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5) + + ); + + address_clock_sdr_2r1w_64 + + #( .GENMODE(GENMODE) + ) + + add_clk_23 + + ( + .strobe (strobe_int), + + .rd_enb_0 (rd_enb_2_q), + .rd_adr_0 (rd_adr_2_q), + .rd_enb_1 (rd_enb_3_q), + .rd_adr_1 (rd_adr_3_q), + .wr_enb_0 (wr_enb_1_q), + .wr_adr_0 (wr_adr_1_q), + + // read 2 + .rd0_c_na0 (rd2_c_na0), + .rd0_c_a0 (rd2_c_a0), + .rd0_na1_na2 (rd2_na1_na2), + .rd0_na1_a2 (rd2_na1_a2), + .rd0_a1_na2 (rd2_a1_na2), + .rd0_a1_a2 (rd2_a1_a2), + .rd0_na3 (rd2_na3), + .rd0_a3 (rd2_a3), + .rd0_na4_na5 (rd2_na4_na5), + .rd0_na4_a5 (rd2_na4_a5), + .rd0_a4_na5 (rd2_a4_na5), + .rd0_a4_a5 (rd2_a4_a5), + + // read 3 + .rd1_c_na0 (rd3_c_na0), + .rd1_c_a0 (rd3_c_a0), + .rd1_na1_na2 (rd3_na1_na2), + .rd1_na1_a2 (rd3_na1_a2), + .rd1_a1_na2 (rd3_a1_na2), + .rd1_a1_a2 (rd3_a1_a2), + .rd1_na3 (rd3_na3), + .rd1_a3 (rd3_a3), + .rd1_na4_na5 (rd3_na4_na5), + .rd1_na4_a5 (rd3_na4_a5), + .rd1_a4_na5 (rd3_a4_na5), + .rd1_a4_a5 (rd3_a4_a5), + + // write 1 + .wr0_c_na0 (wr1_c_na0), + .wr0_c_a0 (wr1_c_a0), + .wr0_na1_na2 (wr1_na1_na2), + .wr0_na1_a2 (wr1_na1_a2), + .wr0_a1_na2 (wr1_a1_na2), + .wr0_a1_a2 (wr1_a1_a2), + .wr0_na3 (wr1_na3), + .wr0_a3 (wr1_a3), + .wr0_na4_na5 (wr1_na4_na5), + .wr0_na4_a5 (wr1_na4_a5), + .wr0_a4_na5 (wr1_a4_na5), + .wr0_a4_a5 (wr1_a4_a5) + + ); + + // three hard arrays + + regfile_4r2w_64x24 array0 ( + + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + .rd0_dat (ra_rd_dat_0[0:23]), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + .rd1_dat (ra_rd_dat_1[0:23]), + + // read 2 + .rd2_c_na0 (rd2_c_na0), + .rd2_c_a0 (rd2_c_a0), + .rd2_na1_na2 (rd2_na1_na2), + .rd2_na1_a2 (rd2_na1_a2), + .rd2_a1_na2 (rd2_a1_na2), + .rd2_a1_a2 (rd2_a1_a2), + .rd2_na3 (rd2_na3), + .rd2_a3 (rd2_a3), + .rd2_na4_na5 (rd2_na4_na5), + .rd2_na4_a5 (rd2_na4_a5), + .rd2_a4_na5 (rd2_a4_na5), + .rd2_a4_a5 (rd2_a4_a5), + .rd2_dat (ra_rd_dat_2[0:23]), + + // read 3 + .rd3_c_na0 (rd3_c_na0), + .rd3_c_a0 (rd3_c_a0), + .rd3_na1_na2 (rd3_na1_na2), + .rd3_na1_a2 (rd3_na1_a2), + .rd3_a1_na2 (rd3_a1_na2), + .rd3_a1_a2 (rd3_a1_a2), + .rd3_na3 (rd3_na3), + .rd3_a3 (rd3_a3), + .rd3_na4_na5 (rd3_na4_na5), + .rd3_na4_a5 (rd3_na4_a5), + .rd3_a4_na5 (rd3_a4_na5), + .rd3_a4_a5 (rd3_a4_a5), + .rd3_dat (ra_rd_dat_3[0:23]), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5), + .wr0_dat (wr_dat_0_q[0:23]), + + // write 1 + .wr1_c_na0 (wr1_c_na0), + .wr1_c_a0 (wr1_c_a0), + .wr1_na1_na2 (wr1_na1_na2), + .wr1_na1_a2 (wr1_na1_a2), + .wr1_a1_na2 (wr1_a1_na2), + .wr1_a1_a2 (wr1_a1_a2), + .wr1_na3 (wr1_na3), + .wr1_a3 (wr1_a3), + .wr1_na4_na5 (wr1_na4_na5), + .wr1_na4_a5 (wr1_na4_a5), + .wr1_a4_na5 (wr1_a4_na5), + .wr1_a4_a5 (wr1_a4_a5), + .wr1_dat (wr_dat_1_q[0:23]) + + ); + + regfile_4r2w_64x24 array1 ( + + // predecoded address + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + .rd0_dat (ra_rd_dat_0[24:47]), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + .rd1_dat (ra_rd_dat_1[24:47]), + + // read 2 + .rd2_c_na0 (rd2_c_na0), + .rd2_c_a0 (rd2_c_a0), + .rd2_na1_na2 (rd2_na1_na2), + .rd2_na1_a2 (rd2_na1_a2), + .rd2_a1_na2 (rd2_a1_na2), + .rd2_a1_a2 (rd2_a1_a2), + .rd2_na3 (rd2_na3), + .rd2_a3 (rd2_a3), + .rd2_na4_na5 (rd2_na4_na5), + .rd2_na4_a5 (rd2_na4_a5), + .rd2_a4_na5 (rd2_a4_na5), + .rd2_a4_a5 (rd2_a4_a5), + .rd2_dat (ra_rd_dat_2[24:47]), + + // read 3 + .rd3_c_na0 (rd3_c_na0), + .rd3_c_a0 (rd3_c_a0), + .rd3_na1_na2 (rd3_na1_na2), + .rd3_na1_a2 (rd3_na1_a2), + .rd3_a1_na2 (rd3_a1_na2), + .rd3_a1_a2 (rd3_a1_a2), + .rd3_na3 (rd3_na3), + .rd3_a3 (rd3_a3), + .rd3_na4_na5 (rd3_na4_na5), + .rd3_na4_a5 (rd3_na4_a5), + .rd3_a4_na5 (rd3_a4_na5), + .rd3_a4_a5 (rd3_a4_a5), + .rd3_dat (ra_rd_dat_3[24:47]), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5), + .wr0_dat (wr_dat_0_q[24:47]), + + // write 1 + .wr1_c_na0 (wr1_c_na0), + .wr1_c_a0 (wr1_c_a0), + .wr1_na1_na2 (wr1_na1_na2), + .wr1_na1_a2 (wr1_na1_a2), + .wr1_a1_na2 (wr1_a1_na2), + .wr1_a1_a2 (wr1_a1_a2), + .wr1_na3 (wr1_na3), + .wr1_a3 (wr1_a3), + .wr1_na4_na5 (wr1_na4_na5), + .wr1_na4_a5 (wr1_na4_a5), + .wr1_a4_na5 (wr1_a4_na5), + .wr1_a4_a5 (wr1_a4_a5), + .wr1_dat (wr_dat_1_q[24:47]) + + ); + + regfile_4r2w_64x24 array2 ( + + // read 0 + .rd0_c_na0 (rd0_c_na0), + .rd0_c_a0 (rd0_c_a0), + .rd0_na1_na2 (rd0_na1_na2), + .rd0_na1_a2 (rd0_na1_a2), + .rd0_a1_na2 (rd0_a1_na2), + .rd0_a1_a2 (rd0_a1_a2), + .rd0_na3 (rd0_na3), + .rd0_a3 (rd0_a3), + .rd0_na4_na5 (rd0_na4_na5), + .rd0_na4_a5 (rd0_na4_a5), + .rd0_a4_na5 (rd0_a4_na5), + .rd0_a4_a5 (rd0_a4_a5), + .rd0_dat (ra_rd_dat_0[48:71]), + + // read 1 + .rd1_c_na0 (rd1_c_na0), + .rd1_c_a0 (rd1_c_a0), + .rd1_na1_na2 (rd1_na1_na2), + .rd1_na1_a2 (rd1_na1_a2), + .rd1_a1_na2 (rd1_a1_na2), + .rd1_a1_a2 (rd1_a1_a2), + .rd1_na3 (rd1_na3), + .rd1_a3 (rd1_a3), + .rd1_na4_na5 (rd1_na4_na5), + .rd1_na4_a5 (rd1_na4_a5), + .rd1_a4_na5 (rd1_a4_na5), + .rd1_a4_a5 (rd1_a4_a5), + .rd1_dat (ra_rd_dat_1[48:71]), + + // read 2 + .rd2_c_na0 (rd2_c_na0), + .rd2_c_a0 (rd2_c_a0), + .rd2_na1_na2 (rd2_na1_na2), + .rd2_na1_a2 (rd2_na1_a2), + .rd2_a1_na2 (rd2_a1_na2), + .rd2_a1_a2 (rd2_a1_a2), + .rd2_na3 (rd2_na3), + .rd2_a3 (rd2_a3), + .rd2_na4_na5 (rd2_na4_na5), + .rd2_na4_a5 (rd2_na4_a5), + .rd2_a4_na5 (rd2_a4_na5), + .rd2_a4_a5 (rd2_a4_a5), + .rd2_dat (ra_rd_dat_2[48:71]), + + // read 3 + .rd3_c_na0 (rd3_c_na0), + .rd3_c_a0 (rd3_c_a0), + .rd3_na1_na2 (rd3_na1_na2), + .rd3_na1_a2 (rd3_na1_a2), + .rd3_a1_na2 (rd3_a1_na2), + .rd3_a1_a2 (rd3_a1_a2), + .rd3_na3 (rd3_na3), + .rd3_a3 (rd3_a3), + .rd3_na4_na5 (rd3_na4_na5), + .rd3_na4_a5 (rd3_na4_a5), + .rd3_a4_na5 (rd3_a4_na5), + .rd3_a4_a5 (rd3_a4_a5), + .rd3_dat (ra_rd_dat_3[48:71]), + + // write 0 + .wr0_c_na0 (wr0_c_na0), + .wr0_c_a0 (wr0_c_a0), + .wr0_na1_na2 (wr0_na1_na2), + .wr0_na1_a2 (wr0_na1_a2), + .wr0_a1_na2 (wr0_a1_na2), + .wr0_a1_a2 (wr0_a1_a2), + .wr0_na3 (wr0_na3), + .wr0_a3 (wr0_a3), + .wr0_na4_na5 (wr0_na4_na5), + .wr0_na4_a5 (wr0_na4_a5), + .wr0_a4_na5 (wr0_a4_na5), + .wr0_a4_a5 (wr0_a4_a5), + .wr0_dat (wr_dat_0_q[48:71]), + + // write 1 + .wr1_c_na0 (wr1_c_na0), + .wr1_c_a0 (wr1_c_a0), + .wr1_na1_na2 (wr1_na1_na2), + .wr1_na1_a2 (wr1_na1_a2), + .wr1_a1_na2 (wr1_a1_na2), + .wr1_a1_a2 (wr1_a1_a2), + .wr1_na3 (wr1_na3), + .wr1_a3 (wr1_a3), + .wr1_na4_na5 (wr1_na4_na5), + .wr1_na4_a5 (wr1_na4_a5), + .wr1_a4_na5 (wr1_a4_na5), + .wr1_a4_a5 (wr1_a4_a5), + .wr1_dat (wr_dat_1_q[48:71]) + + ); + +endmodule diff --git a/rtl/src/array/ra_bist_ddr.v b/rtl/src/array/ra_bist_ddr.v new file mode 100644 index 0000000..4be94eb --- /dev/null +++ b/rtl/src/array/ra_bist_ddr.v @@ -0,0 +1,169 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Local BIST for arrays +// Pass array inputs through, or generate locally for test/manual access. +// May want status_valid, ctl_valid sigs. +// Want separate cmds for enter/exit functional? +// ctl: +// 00000000 - functional mode +// 800000aa - read adr aa +// 900000aa - write adr aa (next 3 cycs are data) +// F00000tt - run bist test tt +// +// status: +// +// + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_bist_ddr ( + + clk, + reset, + ctl, + status, + rd0_enb_in, + rd0_adr_in, + rd1_enb_in, + rd1_adr_in, + rd2_enb_in, + rd2_adr_in, + rd3_enb_in, + rd3_adr_in, + wr0_enb_in, + wr0_adr_in, + wr0_dat_in, + wr1_enb_in, + wr1_adr_in, + wr1_dat_in, + rd0_enb_out, + rd0_adr_out, + rd0_dat, + rd1_enb_out, + rd1_adr_out, + rd1_dat, + rd2_enb_out, + rd2_adr_out, + rd2_dat, + rd3_enb_out, + rd3_adr_out, + rd3_dat, + wr0_enb_out, + wr0_adr_out, + wr0_dat_out, + wr1_enb_out, + wr1_adr_out, + wr1_dat_out + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + + input clk; + input reset; + input [0:31] ctl; + + input rd0_enb_in; + input [0:5] rd0_adr_in; + input rd1_enb_in; + input [0:5] rd1_adr_in; + input rd2_enb_in; + input [0:5] rd2_adr_in; + input rd3_enb_in; + input [0:5] rd3_adr_in; + input wr0_enb_in; + input [0:5] wr0_adr_in; + input [0:71] wr0_dat_in; + input wr1_enb_in; + input [0:5] wr1_adr_in; + input [0:71] wr1_dat_in; + + output [0:31] status; + output rd0_enb_out; + output [0:5] rd0_adr_out; + input [0:71] rd0_dat; + output rd1_enb_out; + output [0:5] rd1_adr_out; + input [0:71] rd1_dat; + output rd2_enb_out; + output [0:5] rd2_adr_out; + input [0:71] rd2_dat; + output rd3_enb_out; + output [0:5] rd3_adr_out; + input [0:71] rd3_dat; + output wr0_enb_out; + output [0:5] wr0_adr_out; + output [0:71] wr0_dat_out; + output wr1_enb_out; + output [0:5] wr1_adr_out; + output [0:71] wr1_dat_out; + + reg [0:5] seq_q; + wire [0:5] seq_d; + wire active; + wire bist_rd0_enb; + wire [0:5] bist_rd0_adr; + wire bist_rd1_enb; + wire [0:5] bist_rd1_adr; + wire bist_rd2_enb; + wire [0:5] bist_rd2_adr; + wire bist_rd3_enb; + wire [0:5] bist_rd3_adr; + wire bist_wr0_enb; + wire [0:5] bist_wr0_adr; + wire [0:71] bist_wr0_dat; + wire bist_wr1_enb; + wire [0:5] bist_wr1_adr; + wire [0:71] bist_wr1_dat; + + // ff + always @ (posedge clk) begin + if (reset) + seq_q <= 6'h3F; + else + seq_q <= seq_d; + end + + // do something + assign seq_d = seq_q; + assign active = seq_q != 6'h3F; + assign status = 0; + + // outputs + assign rd0_enb_out = (active) ? bist_rd0_enb : rd0_enb_in; + assign rd0_adr_out = (active) ? bist_rd0_adr : rd0_adr_in; + assign rd1_enb_out = (active) ? bist_rd1_enb : rd1_enb_in; + assign rd1_adr_out = (active) ? bist_rd1_adr : rd1_adr_in; + assign rd2_enb_out = (active) ? bist_rd2_enb : rd2_enb_in; + assign rd2_adr_out = (active) ? bist_rd2_adr : rd2_adr_in; + assign rd3_enb_out = (active) ? bist_rd3_enb : rd3_enb_in; + assign rd3_adr_out = (active) ? bist_rd3_adr : rd3_adr_in; + assign wr0_enb_out = (active) ? bist_wr0_enb : wr0_enb_in; + assign wr0_adr_out = (active) ? bist_wr0_adr : wr0_adr_in; + assign wr0_dat_out = (active) ? bist_wr0_dat : wr0_dat_in; + assign wr1_enb_out = (active) ? bist_wr1_enb : wr1_enb_in; + assign wr1_adr_out = (active) ? bist_wr1_adr : wr1_adr_in; + assign wr1_dat_out = (active) ? bist_wr1_dat : wr1_dat_in; + +endmodule + diff --git a/rtl/src/array/ra_bist_sdr.v b/rtl/src/array/ra_bist_sdr.v new file mode 100644 index 0000000..7065975 --- /dev/null +++ b/rtl/src/array/ra_bist_sdr.v @@ -0,0 +1,123 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Local BIST for arrays +// Pass array inputs through, or generate locally for test/manual access. +// May want status_valid, ctl_valid sigs. +// Want separate cmds for enter/exit functional? +// ctl: +// 00000000 - functional mode +// 800000aa - read adr aa +// 900000aa - write adr aa (next 3 cycs are data) +// F00000tt - run bist test tt +// +// status: +// +// + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_bist_sdr ( + + clk, + reset, + ctl, + status, + rd0_enb_in, + rd0_adr_in, + rd1_enb_in, + rd1_adr_in, + wr0_enb_in, + wr0_adr_in, + wr0_dat_in, + rd0_enb_out, + rd0_adr_out, + rd0_dat, + rd1_enb_out, + rd1_adr_out, + rd1_dat, + wr0_enb_out, + wr0_adr_out, + wr0_dat_out + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + + input clk; + input reset; + input [31:0] ctl; + + input rd0_enb_in; + input [5:0] rd0_adr_in; + input rd1_enb_in; + input [5:0] rd1_adr_in; + input wr0_enb_in; + input [5:0] wr0_adr_in; + input [71:0] wr0_dat_in; + + output [31:0] status; + output rd0_enb_out; + output [5:0] rd0_adr_out; + input [71:0] rd0_dat; + output rd1_enb_out; + output [5:0] rd1_adr_out; + input [71:0] rd1_dat; + output wr0_enb_out; + output [5:0] wr0_adr_out; + output [71:0] wr0_dat_out; + + reg [5:0] seq_q; + wire [5:0] seq_d; + wire active; + wire bist_rd0_enb; + wire [5:0] bist_rd0_adr; + wire bist_rd1_enb; + wire [5:0] bist_rd1_adr; + wire bist_wr0_enb; + wire [5:0] bist_wr0_adr; + wire [71:0] bist_wr0_dat; + + // ff + always @ (posedge clk) begin + if (reset) + seq_q <= 6'h3F; + else + seq_q <= seq_d; + end + + // do something + assign seq_d = seq_q; + assign active = seq_q != 6'h3F; + assign status = 0; + + // outputs + assign rd0_enb_out = (active) ? bist_rd0_enb : rd0_enb_in; + assign rd0_adr_out = (active) ? bist_rd0_adr : rd0_adr_in; + assign rd1_enb_out = (active) ? bist_rd1_enb : rd1_enb_in; + assign rd1_adr_out = (active) ? bist_rd1_adr : rd1_adr_in; + assign wr0_enb_out = (active) ? bist_wr0_enb : wr0_enb_in; + assign wr0_adr_out = (active) ? bist_wr0_adr : wr0_adr_in; + assign wr0_dat_out = (active) ? bist_wr0_dat : wr0_dat_in; + //assign rd0_dat = (active) ? haven't done anything here yet + +endmodule diff --git a/rtl/src/array/ra_bist_sdr_32x32.v b/rtl/src/array/ra_bist_sdr_32x32.v new file mode 100644 index 0000000..bdeb43e --- /dev/null +++ b/rtl/src/array/ra_bist_sdr_32x32.v @@ -0,0 +1,154 @@ +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_bist_sdr_32x32 ( + + clk, + reset, + ctl, + status, + rd0_enb_in, + rd0_adr_in, + rd1_enb_in, + rd1_adr_in, + wr0_enb_in, + wr0_adr_in, + wr0_dat_in, + rd0_enb_out, + rd0_adr_out, + rd0_dat, + rd1_enb_out, + rd1_adr_out, + rd1_dat, + wr0_enb_out, + wr0_adr_out, + wr0_dat_out, + bist_fail, + bist_passed +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + + input clk; + input reset; + input [0:31] ctl; + + input rd0_enb_in; + input [0:4] rd0_adr_in; + input rd1_enb_in; + input [0:4] rd1_adr_in; + input wr0_enb_in; + input [0:4] wr0_adr_in; + input [0:31] wr0_dat_in; + + output [0:31] status; + output rd0_enb_out; + output [0:4] rd0_adr_out; + input [0:31] rd0_dat; + output rd1_enb_out; + output [0:4] rd1_adr_out; + input [0:31] rd1_dat; + output wr0_enb_out; + output [0:4] wr0_adr_out; + output [0:31] wr0_dat_out; + + reg [0:5] seq_q; + wire [0:5] seq_d; + wire active; + wire bist_rd0_enb; + wire [0:4] bist_rd0_adr; + wire bist_rd1_enb; + wire [0:4] bist_rd1_adr; + wire bist_wr0_enb; + wire [0:4] bist_wr0_adr; + wire [0:31] bist_wr0_dat; + + output bist_fail; + output bist_passed; + + // ff + always @ (posedge clk) begin + if (reset) + seq_q <= 6'h3F; + else + seq_q <= seq_d; + end + + // do something + assign seq_d = seq_q; + assign active = seq_q != 6'h3F; + assign status = 0; + +/* + A more practical implementation: + make an up/down counter for interating through addresses. + + state machine for each part of the step: the best part about this is that + states could be added for implementation withb GPIO/wishbone for external + controls/different steps. + + s0: write 0s up (Idle) + s1: write 1s down + s2: read 1s down/check + s3: write 0s up + s4: read 0s up/check + s5: write 1s up + s6: read 1s up/check + s7: flags + + +*/ + /* + Outline for BIST + ---------------------------------------------------------------------- + first off, how I think this thing is supposed to work is that we need a + final flag signifying the BIST is successfully ran, and one where it fa- + ils. + uhhhhhh something's gotta happen here + like: + enable write data + assign all 0s to addr 0x00-0x3F (using signals wr0_adr_in & + wr0_dat_in) + + enable read data (rd0_enb_in) + + read addr 0x00-0x3f one at a time (rd0_adr_in/out and rd0_dat) + + after each read, write all 1s to each addr 0x00-0x3F + ^^this happens after each read, so like, read data at 0x00, write all + ones to 0x00, step forward to next address, 0x01 (process A) + + for each valid read of all 0s, save output in a 6-bit bus that counts + up for each valid read or something + + now, step through the exact same read/write process but replacing + all 1s with all 0s. + + read all 0s through same process (NO WRITE CHANGE THIS TIME) + + Now, write all 1s to each address 0x3F-0x00. + repeat the process A, reading data at each address,replacing all 1s + with all 0s for each address 0x3F-0x00, and keeping track of whether + working or not. + + finally, read all 0s through same process (NO WRITE HERE EITHER) + at the end, there's gotta be some kinda comparison where you check + that the tests were valid for both ascending and descending runs. + + if both are valid, flag BIST_PASSED. if one of the runs is invalid, + flag BIST_FAIL_UP, or BIST_FAIL_DOWN or both. + + */ + + // outputs + assign rd0_enb_out = (active) ? bist_rd0_enb : rd0_enb_in; + assign rd0_adr_out = (active) ? bist_rd0_adr : rd0_adr_in; + assign rd1_enb_out = (active) ? bist_rd1_enb : rd1_enb_in; + assign rd1_adr_out = (active) ? bist_rd1_adr : rd1_adr_in; + assign wr0_enb_out = (active) ? bist_wr0_enb : wr0_enb_in; + assign wr0_adr_out = (active) ? bist_wr0_adr : wr0_adr_in; + assign wr0_dat_out = (active) ? bist_wr0_dat : wr0_dat_in; + //assign rd0_dat = (active) ? haven't done anything here yet + +endmodule diff --git a/rtl/src/array/ra_bist_sdr_osu.v b/rtl/src/array/ra_bist_sdr_osu.v new file mode 100644 index 0000000..b27da39 --- /dev/null +++ b/rtl/src/array/ra_bist_sdr_osu.v @@ -0,0 +1,160 @@ +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_bist_sdr ( + + clk, + reset, picture, + ctl, + status, + rd0_enb_in, + rd0_adr_in, + rd1_enb_in, + rd1_adr_in, + wr0_enb_in, + wr0_adr_in, + wr0_dat_in, + rd0_enb_out, + rd0_adr_out, + rd0_dat, + rd1_enb_out, + rd1_adr_out, + rd1_dat, + wr0_enb_out, + wr0_adr_out, + wr0_dat_out, + bist_fail, + bist_passed + + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + + input clk; + input reset; + input [31:0] ctl; + + input rd0_enb_in; + input [5:0] rd0_adr_in; + input rd1_enb_in; + input [5:0] rd1_adr_in; + input wr0_enb_in; + input [5:0] wr0_adr_in; + input [71:0] wr0_dat_in; + + output [31:0] status; + output rd0_enb_out; + output [5:0] rd0_adr_out; + input [71:0] rd0_dat; + output rd1_enb_out; + output [5:0] rd1_adr_out; + input [71:0] rd1_dat; + output wr0_enb_out; + output [5:0] wr0_adr_out; + output [71:0] wr0_dat_out; + + + + reg [5:0] seq_q; + wire [5:0] seq_d; + wire active; + wire bist_rd0_enb; + wire [5:0] bist_rd0_adr; + wire bist_rd1_enb; + wire [5:0] bist_rd1_adr; + wire bist_wr0_enb; + wire [5:0] bist_wr0_adr; + wire [71:0] bist_wr0_dat; + + output bist_fail; + output bist_passed; + + // ff + always @ (posedge clk) begin + if (reset) + seq_q <= 6'h3F; + else + seq_q <= seq_d; + end + + // do something + assign seq_d = seq_q; + assign active = seq_q != 6'h3F; + assign status = 0; + + assign wr0_enb_in = 1; + +/* + A more practical implementation: + make an up/down counter for interating through addresses. + + state machine for each part of the step: the best part about this is that + states could be added for implementation withb GPIO/wishbone for external + controls/different steps. + + s0: write 0s up (Idle) + s1: write 1s down + s2: read 1s down/check + s3: write 0s up + s4: read 0s up/check + s5: write 1s up + s6: read 1s up/check + s7: flags + + +*/ + /* + Outline for BIST + ---------------------------------------------------------------------- + first off, how I think this thing is supposed to work is that we need a + final flag signifying the BIST is successfully ran, and one where it fa- + ils. + uhhhhhh something's gotta happen here + like: + enable write data + assign all 0s to addr 0x00-0x3F (using signals wr0_adr_in & + wr0_dat_in) + + enable read data (rd0_enb_in) + + read addr 0x00-0x3f one at a time (rd0_adr_in/out and rd0_dat) + + after each read, write all 1s to each addr 0x00-0x3F + ^^this happens after each read, so like, read data at 0x00, write all + ones to 0x00, step forward to next address, 0x01 (process A) + + for each valid read of all 0s, save output in a 6-bit bus that counts + up for each valid read or something + + now, step through the exact same read/write process but replacing + all 1s with all 0s. + + read all 0s through same process (NO WRITE CHANGE THIS TIME) + + Now, write all 1s to each address 0x3F-0x00. + repeat the process A, reading data at each address,replacing all 1s + with all 0s for each address 0x3F-0x00, and keeping track of whether + working or not. + + finally, read all 0s through same process (NO WRITE HERE EITHER) + at the end, there's gotta be some kinda comparison where you check + that the tests were valid for both ascending and descending runs. + + if both are valid, flag BIST_PASSED. if one of the runs is invalid, + flag BIST_FAIL_UP, or BIST_FAIL_DOWN or both. + + */ + + // outputs + assign rd0_enb_out = (active) ? bist_rd0_enb : rd0_enb_in; + assign rd0_adr_out = (active) ? bist_rd0_adr : rd0_adr_in; + assign rd1_enb_out = (active) ? bist_rd1_enb : rd1_enb_in; + assign rd1_adr_out = (active) ? bist_rd1_adr : rd1_adr_in; + assign wr0_enb_out = (active) ? bist_wr0_enb : wr0_enb_in; + assign wr0_adr_out = (active) ? bist_wr0_adr : wr0_adr_in; + assign wr0_dat_out = (active) ? bist_wr0_dat : wr0_dat_in; + //assign rd0_dat = (active) ? haven't done anything here yet + +endmodule diff --git a/rtl/src/array/ra_cfg_ddr.v b/rtl/src/array/ra_cfg_ddr.v new file mode 100644 index 0000000..a1482a7 --- /dev/null +++ b/rtl/src/array/ra_cfg_ddr.v @@ -0,0 +1,65 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Local Configuration for arrays +// + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_cfg_ddr ( + + clk, + reset, + cfg_wr, + cfg_dat, + cfg + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + parameter INIT = `LCBDDR_CONFIGWIDTH'b0; + + input clk; + input reset; + input cfg_wr; + input [0:`LCBDDR_CONFIGWIDTH-1] cfg_dat; + output [0:`LCBDDR_CONFIGWIDTH-1] cfg; + + reg [0:`LCBDDR_CONFIGWIDTH-1] cfg_q; + wire [0:`LCBDDR_CONFIGWIDTH-1] cfg_d; + + // ff + always @ (posedge clk) begin + if (reset) + cfg_q <= INIT; + else + cfg_q <= cfg_d; + end + + // do something + assign cfg_d = (cfg_wr) ? cfg_dat : cfg_q; + + // outputs + assign cfg = cfg_q; + +endmodule + diff --git a/rtl/src/array/ra_cfg_sdr.v b/rtl/src/array/ra_cfg_sdr.v new file mode 100644 index 0000000..7a0376e --- /dev/null +++ b/rtl/src/array/ra_cfg_sdr.v @@ -0,0 +1,65 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Local Configuration for arrays +// + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_cfg_sdr( + + clk, + reset, + cfg_wr, + cfg_dat, + cfg + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + parameter INIT = `LCBSDR_CONFIGWIDTH'b0; + + input clk; + input reset; + input cfg_wr; + input [0:`LCBSDR_CONFIGWIDTH-1] cfg_dat; + output [0:`LCBSDR_CONFIGWIDTH-1] cfg; + + reg [0:`LCBSDR_CONFIGWIDTH-1] cfg_q; + wire [0:`LCBSDR_CONFIGWIDTH-1] cfg_d; + + // ff + always @ (posedge clk) begin + if (reset) + cfg_q <= INIT; + else + cfg_q <= cfg_d; + end + + // do something + assign cfg_d = (cfg_wr) ? cfg_dat : cfg_q; + + // outputs + assign cfg = cfg_q; + +endmodule + diff --git a/rtl/src/array/ra_delay.v b/rtl/src/array/ra_delay.v new file mode 100644 index 0000000..8388760 --- /dev/null +++ b/rtl/src/array/ra_delay.v @@ -0,0 +1,51 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Delay Block for Array Strobe + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_delay( + + i, + o + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + + input i; + output o; + +// generate strobe +generate + + if (GENMODE == 0) + assign o = 1; + else begin + assign o = 1'bX; //wtf this will be a specific tech cell instantiation + end + +endgenerate + +endmodule + diff --git a/rtl/src/array/ra_lcb_ddr.v b/rtl/src/array/ra_lcb_ddr.v new file mode 100644 index 0000000..6e4cf71 --- /dev/null +++ b/rtl/src/array/ra_lcb_ddr.v @@ -0,0 +1,124 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Local Clock Buffer for arrays +// Generates sim or implementation logic, depending on GENMODE. +// el_sel is early/late select (first/second pulse of cycle) + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_lcb_ddr ( + + clk, // =clk2x for genmode=0 + reset, // used for genmode=1? seems not; could kill strobe with it + cfg, + strobe, + el_sel + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + + input clk; + input reset; + input [0:`LCBDDR_CONFIGWIDTH-1] cfg; + output strobe; + output el_sel; + + //generate + // if (GENMODE == 0) + reg el_sel_q; + //endgenerate + + wire clk_dly; + wire o0; + wire o1; + wire clk_dly2; + +// generate strobe +generate + + if (GENMODE == 0) + assign strobe = !clk & !reset; // strobe is inverted clk2x + else begin + + // generate a strobe for ddr - is late pulse a delay of this, or gen'd independently from clk?? + // clk -> [delay] -> * --------------------- * -- and --- + // | -- [delay] --- inv ---| + + // first edge delay + ra_delay d0 ( + .i(clk), + .o(o0) + ); + // remaining + /* + genvar i; + for (i = 1; i < `MAX_PULSE_DELAYS-1; i = i + 1) begin : d1 + ra_delay ( + .i() + .o() + ) + end + */ + // select tap based on cfg + + assign clk_dly = o0; + + // first width delay + ra_delay w0 ( + .i(clk_dly), + .o(o1) + ); + + // remaining + // select tap based on cfg + + assign clk_dly2 = o1; + + // create strobe + assign strobe = clk_dly & !clk_dly2; + + end + +endgenerate + +// generate el_sel +generate + + if (GENMODE == 0) begin + always @ (posedge clk) + if (reset) + el_sel_q <= 1'b0; + else + el_sel_q <= !el_sel_q; + assign el_sel = el_sel_q; + end else begin + + // el_sel is delayed version of clk + + end + +endgenerate + +endmodule + diff --git a/rtl/src/array/ra_lcb_sdr.v b/rtl/src/array/ra_lcb_sdr.v new file mode 100644 index 0000000..4668e26 --- /dev/null +++ b/rtl/src/array/ra_lcb_sdr.v @@ -0,0 +1,98 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Local Clock Buffer for arrays +// Generates sim or implementation logic, depending on GENMODE. + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module ra_lcb_sdr ( + + clk, + reset, + cfg, + strobe + +); + + parameter GENMODE = `GENMODE; // 0=NoDelay, 1=Delay + input clk; + input reset; + input [0:`LCBSDR_CONFIGWIDTH-1] cfg; + output strobe; + + wire clk_dly; + wire o0; + wire o1; + wire clk_dly2; + +// generate strobe +generate + + if (GENMODE == 0) + assign strobe = !clk & !reset; + else begin + + // generate a strobe for sdr + // clk -> [delay] -> * --------------------- * -- and --- + // | -- [delay] --- inv ---| + + // first edge delay + ra_delay d0 ( + .i(i), + .o(o0) + ); + // remaining + /* + genvar i; + for (i = 1; i < `MAX_PULSE_DELAYS-1; i = i + 1) begin : d1 + ra_delay ( + .i() + .o() + ) + end + */ + // select tap based on cfg + + assign clk_dly = o0; + + // first width delay + ra_delay w0 ( + .i(clk_dly), + .o(o1) + ); + + // remaining + // select tap based on cfg + + assign clk_dly2 = o1; + + + // create strobe + assign strobe = clk_dly & !clk_dly2; + + end + +endgenerate + +endmodule + diff --git a/rtl/src/array/regfile_2r1w_32x32.v b/rtl/src/array/regfile_2r1w_32x32.v new file mode 100644 index 0000000..8a7676c --- /dev/null +++ b/rtl/src/array/regfile_2r1w_32x32.v @@ -0,0 +1,194 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Behavioral for 32x32 toysram (sdr or ddr) +// I/Os are equivalent to physical macro +// This version has the enables encoded in a0. + +`timescale 1 ps / 1 ps + +module regfile_2r1w_32x32( + + rd0_c_na0, + rd0_c_a0, + rd0_na1_na2, + rd0_na1_a2, + rd0_a1_na2, + rd0_a1_a2, + rd0_na3, + rd0_a3, + rd0_na4, + rd0_a4, + rd0_dat, + + rd1_c_na0, + rd1_c_a0, + rd1_na1_na2, + rd1_na1_a2, + rd1_a1_na2, + rd1_a1_a2, + rd1_na3, + rd1_a3, + rd1_na4, + rd1_a4, + rd1_dat, + + wr0_c_na0, + wr0_c_a0, + wr0_na1_na2, + wr0_na1_a2, + wr0_a1_na2, + wr0_a1_a2, + wr0_na3, + wr0_a3, + wr0_na4, + wr0_a4, + wr0_dat + +); + + // -- predecoded address + // -- four groups of one hot encoded signals + + // -- read address 0 + input rd0_c_na0; + input rd0_c_a0; + + input rd0_na1_na2; + input rd0_na1_a2; + input rd0_a1_na2; + input rd0_a1_a2; + + input rd0_na3; + input rd0_a3; + + input rd0_na4; + input rd0_a4; + + // -- read address 1 + input rd1_c_na0; + input rd1_c_a0; + + input rd1_na1_na2; + input rd1_na1_a2; + input rd1_a1_na2; + input rd1_a1_a2; + + input rd1_na3; + input rd1_a3; + + input rd1_na4; + input rd1_a4; + +// -- write address 0 + input wr0_c_na0; + input wr0_c_a0; + + input wr0_na1_na2; + input wr0_na1_a2; + input wr0_a1_na2; + input wr0_a1_a2; + + input wr0_na3; + input wr0_a3; + + input wr0_na4; + input wr0_a4; + +// -- data ports + output [0:31] rd0_dat; + output [0:31] rd1_dat; + input [0:31] wr0_dat; + + wire rd0_enable; + wire rd1_enable; + wire wr0_enable; + + wire rd0_a0; + wire rd0_a1; + wire rd0_a2; +// wire rd0_a3; +// wire rd0_a4; + + wire rd1_a0; + wire rd1_a1; + wire rd1_a2; +// wire rd1_a3; +// wire rd1_a4; + + wire wr0_a0; + wire wr0_a1; + wire wr0_a2; +//wire wr0_a3; +// wire wr0_a4; + +// array cells + reg[0:31] mem[0:31]; + + //wtf:icarus $dumpvars cannot dump a vpiMemory + generate + genvar i; + for (i = 0; i < 31; i=i+1) begin: ra + wire [0:31] q; + assign q = mem[i]; + end + endgenerate + +// decode inputs, rd0 + assign rd0_enable = rd0_c_a0 | rd0_c_na0; + assign rd0_a0 = rd0_c_a0; + assign rd0_a1 = rd0_a1_a2 | rd0_a1_na2; + assign rd0_a2 = rd0_a1_a2 | rd0_na1_a2; +// assign rd0_a3 = rd0_a3; +// assign rd0_a4 = rd0_a4; + +// deocde inputs, rd1 + assign rd1_enable = rd1_c_a0 | rd1_c_na0; + assign rd1_a0 = rd1_c_a0; + assign rd1_a1 = rd1_a1_a2 | rd1_a1_na2; + assign rd1_a2 = rd1_a1_a2 | rd1_na1_a2; +// assign rd1_a3 = rd1_a3; +// assign rd1_a4 = rd1_a4; + +// decode inputs, wr0 + assign wr0_enable = wr0_c_a0 | wr0_c_na0; + assign wr0_a0 = wr0_c_a0; + assign wr0_a1 = wr0_a1_a2 | wr0_a1_na2; + assign wr0_a2 = wr0_a1_a2 | wr0_na1_a2; +// assign wr0_a3 = wr0_a3; +// assign wr0_a4 = wr0_a4; + +// read ports + assign rd0_dat = (rd0_enable) ? mem[{rd0_a0, rd0_a1, rd0_a2, rd0_a3, rd0_a4}] : 31'bX; + assign rd1_dat = (rd1_enable) ? mem[{rd1_a0, rd1_a1, rd1_a2, rd1_a3, rd1_a4}] : 31'bX; + +// write port + always @* begin + if (wr0_enable) begin + #10; // make sure addr settles + if (wr0_enable) begin + mem[{wr0_a0, wr0_a1, wr0_a2, wr0_a3, wr0_a4}] <= wr0_dat; + //$display("%0d wr0_en=%h @%0h=%0h", $time, wr0_enable, {wr0_a0, wr0_a1, wr0_a2, wr0_a3, wr0_a4}, mem[{wr0_a0, wr0_a1, wr0_a2, wr0_a3, wr0_a4}]); + end + end + end + +endmodule + diff --git a/rtl/src/array/regfile_2r1w_64x24.v b/rtl/src/array/regfile_2r1w_64x24.v new file mode 100644 index 0000000..aa4f5e3 --- /dev/null +++ b/rtl/src/array/regfile_2r1w_64x24.v @@ -0,0 +1,204 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Behavioral for 64x24 toysram (sdr or ddr) +// I/Os are equivalent to physical macro +// This version has the enables encoded in a0. + +`timescale 1 ps / 1 ps + +module regfile_2r1w_64x24( + + rd0_c_na0, + rd0_c_a0, + rd0_na1_na2, + rd0_na1_a2, + rd0_a1_na2, + rd0_a1_a2, + rd0_na3, + rd0_a3, + rd0_na4_na5, + rd0_na4_a5, + rd0_a4_na5, + rd0_a4_a5, + rd0_dat, + + rd1_c_na0, + rd1_c_a0, + rd1_na1_na2, + rd1_na1_a2, + rd1_a1_na2, + rd1_a1_a2, + rd1_na3, + rd1_a3, + rd1_na4_na5, + rd1_na4_a5, + rd1_a4_na5, + rd1_a4_a5, + rd1_dat, + + wr0_c_na0, + wr0_c_a0, + wr0_na1_na2, + wr0_na1_a2, + wr0_a1_na2, + wr0_a1_a2, + wr0_na3, + wr0_a3, + wr0_na4_na5, + wr0_na4_a5, + wr0_a4_na5, + wr0_a4_a5, + wr0_dat + +); + + // -- predecoded address + // -- four groups of one hot encoded signals + // -- read address 0 + input rd0_c_na0; + input rd0_c_a0; + + input rd0_na1_na2; + input rd0_na1_a2; + input rd0_a1_na2; + input rd0_a1_a2; + + input rd0_na3; + input rd0_a3; + + input rd0_na4_na5; + input rd0_na4_a5; + input rd0_a4_na5; + input rd0_a4_a5; + + // -- read address 1 + input rd1_c_na0; + input rd1_c_a0; + + input rd1_na1_na2; + input rd1_na1_a2; + input rd1_a1_na2; + input rd1_a1_a2; + + input rd1_na3; + input rd1_a3; + + input rd1_na4_na5; + input rd1_na4_a5; + input rd1_a4_na5; + input rd1_a4_a5; + +// -- write address 0 + input wr0_c_na0; + input wr0_c_a0; + + input wr0_na1_na2; + input wr0_na1_a2; + input wr0_a1_na2; + input wr0_a1_a2; + + input wr0_na3; + input wr0_a3; + + input wr0_na4_na5; + input wr0_na4_a5; + input wr0_a4_na5; + input wr0_a4_a5; + +// -- data ports + output [0:23] rd0_dat; + output [0:23] rd1_dat; + input [0:23] wr0_dat; + + wire rd0_enable; + wire rd1_enable; + wire wr0_enable; + + wire rd0_a0; + wire rd0_a1; + wire rd0_a2; +// wire rd0_a3; + wire rd0_a4; + wire rd0_a5; + + wire rd1_a0; + wire rd1_a1; + wire rd1_a2; +// wire rd1_a3; + wire rd1_a4; + wire rd1_a5; + + wire wr0_a0; + wire wr0_a1; + wire wr0_a2; +//wire wr0_a3; + wire wr0_a4; + wire wr0_a5; + +// array cells + reg[0:23] mem[0:63]; + +// decode inputs, rd0 + assign rd0_enable = rd0_c_a0 | rd0_c_na0; + assign rd0_a0 = rd0_c_a0; + assign rd0_a1 = rd0_a1_a2 | rd0_a1_na2; + assign rd0_a2 = rd0_a1_a2 | rd0_na1_a2; +// assign rd0_a3 = rd0_a3; + assign rd0_a4 = rd0_a4_a5 | rd0_a4_na5; + assign rd0_a5 = rd0_a4_a5 | rd0_na4_a5; + +// deocde inputs, rd1 + assign rd1_enable = rd1_c_a0 | rd1_c_na0; + assign rd1_a0 = rd1_c_a0; + assign rd1_a1 = rd1_a1_a2 | rd1_a1_na2; + assign rd1_a2 = rd1_a1_a2 | rd1_na1_a2; +// assign rd1_a3 = rd1_a3; + assign rd1_a4 = rd1_a4_a5 | rd1_a4_na5; + assign rd1_a5 = rd1_a4_a5 | rd1_na4_a5; + + +// decode inputs, wr0 + assign wr0_enable = wr0_c_a0 | wr0_c_na0; + assign wr0_a0 = wr0_c_a0; + assign wr0_a1 = wr0_a1_a2 | wr0_a1_na2; + assign wr0_a2 = wr0_a1_a2 | wr0_na1_a2; +// assign wr0_a3 = wr0_a3; + assign wr0_a4 = wr0_a4_a5 | wr0_a4_na5; + assign wr0_a5 = wr0_a4_a5 | wr0_na4_a5; + + +// read ports + assign rd0_dat = (rd0_enable) ? mem[{rd0_a0, rd0_a1, rd0_a2, rd0_a3, rd0_a4, rd0_a5}] : 24'bX; + assign rd1_dat = (rd1_enable) ? mem[{rd1_a0, rd1_a1, rd1_a2, rd1_a3, rd1_a4, rd1_a5}] : 24'bX; + +// write port + always @* begin + if (wr0_enable) begin + #10; // make sure addr settles + if (wr0_enable) begin + mem[{wr0_a0, wr0_a1, wr0_a2, wr0_a3, wr0_a4, wr0_a5}] <= wr0_dat; + //$display("%0d wr0_en=%h @%0h=%0h", $time, wr0_enable, {wr0_a0, wr0_a1, wr0_a2, wr0_a3, wr0_a4, wr0_a5}, mem[{wr0_a0, wr0_a1, wr0_a2, wr0_a3, wr0_a4, wr0_a5}]); + end + end + end + +endmodule + diff --git a/rtl/src/array/regfile_4r2w_64x24.v b/rtl/src/array/regfile_4r2w_64x24.v new file mode 100644 index 0000000..2ba6aa1 --- /dev/null +++ b/rtl/src/array/regfile_4r2w_64x24.v @@ -0,0 +1,335 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Behavioral for 64x24 toysram (sdr or ddr) +// I/Os are equivalent to physical macro +// This version has the enables encoded in a0. + +`timescale 1 ns / 1 ns + +module regfile_4r2w_64x24 ( + + rd0_c_na0, + rd0_c_a0, + rd0_na1_na2, + rd0_na1_a2, + rd0_a1_na2, + rd0_a1_a2, + rd0_na3, + rd0_a3, + rd0_na4_na5, + rd0_na4_a5, + rd0_a4_na5, + rd0_a4_a5, + rd0_dat, + + rd1_c_na0, + rd1_c_a0, + rd1_na1_na2, + rd1_na1_a2, + rd1_a1_na2, + rd1_a1_a2, + rd1_na3, + rd1_a3, + rd1_na4_na5, + rd1_na4_a5, + rd1_a4_na5, + rd1_a4_a5, + rd1_dat, + + rd2_c_na0, + rd2_c_a0, + rd2_na1_na2, + rd2_na1_a2, + rd2_a1_na2, + rd2_a1_a2, + rd2_na3, + rd2_a3, + rd2_na4_na5, + rd2_na4_a5, + rd2_a4_na5, + rd2_a4_a5, + rd2_dat, + + rd3_c_na0, + rd3_c_a0, + rd3_na1_na2, + rd3_na1_a2, + rd3_a1_na2, + rd3_a1_a2, + rd3_na3, + rd3_a3, + rd3_na4_na5, + rd3_na4_a5, + rd3_a4_na5, + rd3_a4_a5, + rd3_dat, + + wr0_c_na0, + wr0_c_a0, + wr0_na1_na2, + wr0_na1_a2, + wr0_a1_na2, + wr0_a1_a2, + wr0_na3, + wr0_a3, + wr0_na4_na5, + wr0_na4_a5, + wr0_a4_na5, + wr0_a4_a5, + wr0_dat, + + wr1_c_na0, + wr1_c_a0, + wr1_na1_na2, + wr1_na1_a2, + wr1_a1_na2, + wr1_a1_a2, + wr1_na3, + wr1_a3, + wr1_na4_na5, + wr1_na4_a5, + wr1_a4_na5, + wr1_a4_a5, + wr1_dat + +); + + // -- predecoded address + // -- four groups of one hot encoded signals + // -- read address 0 + input rd0_c_na0; + input rd0_c_a0; + input rd0_na1_na2; + input rd0_na1_a2; + input rd0_a1_na2; + input rd0_a1_a2; + input rd0_na3; + input rd0_a3; + input rd0_na4_na5; + input rd0_na4_a5; + input rd0_a4_na5; + input rd0_a4_a5; + + // -- read address 1 + input rd1_c_na0; + input rd1_c_a0; + input rd1_na1_na2; + input rd1_na1_a2; + input rd1_a1_na2; + input rd1_a1_a2; + input rd1_na3; + input rd1_a3; + input rd1_na4_na5; + input rd1_na4_a5; + input rd1_a4_na5; + input rd1_a4_a5; + + // -- read address 2 + input rd2_c_na0; + input rd2_c_a0; + input rd2_na1_na2; + input rd2_na1_a2; + input rd2_a1_na2; + input rd2_a1_a2; + input rd2_na3; + input rd2_a3; + input rd2_na4_na5; + input rd2_na4_a5; + input rd2_a4_na5; + input rd2_a4_a5; + + // -- read address 3 + input rd3_c_na0; + input rd3_c_a0; + input rd3_na1_na2; + input rd3_na1_a2; + input rd3_a1_na2; + input rd3_a1_a2; + input rd3_na3; + input rd3_a3; + input rd3_na4_na5; + input rd3_na4_a5; + input rd3_a4_na5; + input rd3_a4_a5; + +// -- write address 0 + input wr0_c_na0; + input wr0_c_a0; + input wr0_na1_na2; + input wr0_na1_a2; + input wr0_a1_na2; + input wr0_a1_a2; + input wr0_na3; + input wr0_a3; + input wr0_na4_na5; + input wr0_na4_a5; + input wr0_a4_na5; + input wr0_a4_a5; + +// -- write address 1 + input wr1_c_na0; + input wr1_c_a0; + input wr1_na1_na2; + input wr1_na1_a2; + input wr1_a1_na2; + input wr1_a1_a2; + input wr1_na3; + input wr1_a3; + input wr1_na4_na5; + input wr1_na4_a5; + input wr1_a4_na5; + input wr1_a4_a5; + +// -- data ports + output [0:23] rd0_dat; + output [0:23] rd1_dat; + output [0:23] rd2_dat; + output [0:23] rd3_dat; + input [0:23] wr0_dat; + input [0:23] wr1_dat; + + wire rd0_enable; + wire rd1_enable; + wire rd2_enable; + wire rd3_enable; + wire wr0_enable; + wire wr1_enable; + + + wire rd0_a0; + wire rd0_a1; + wire rd0_a2; +// wire rd0_a3; + wire rd0_a4; + wire rd0_a5; + + wire rd1_a0; + wire rd1_a1; + wire rd1_a2; +// wire rd1_a3; + wire rd1_a4; + wire rd1_a5; + + wire rd2_a0; + wire rd2_a1; + wire rd2_a2; +// wire rd2_a3; + wire rd2_a4; + wire rd2_a5; + + wire rd3_a0; + wire rd3_a1; + wire rd3_a2; +// wire rd3_a3; + wire rd3_a4; + wire rd3_a5; + + wire wr0_a0; + wire wr0_a1; + wire wr0_a2; +//wire wr0_a3; + wire wr0_a4; + wire wr0_a5; + + wire wr1_a0; + wire wr1_a1; + wire wr1_a2; +//wire wr1_a3; + wire wr1_a4; + wire wr1_a5; + +// array cells + reg[0:23] mem[0:63]; + +// decode inputs, rd0 + assign rd0_enable = rd0_c_a0 | rd0_c_na0; + assign rd0_a0 = rd0_c_a0; + assign rd0_a1 = rd0_a1_a2 | rd0_a1_na2; + assign rd0_a2 = rd0_a1_a2 | rd0_na1_a2; +// assign rd0_a3 = rd0_a3; + assign rd0_a4 = rd0_a4_a5 | rd0_a4_na5; + assign rd0_a5 = rd0_a4_a5 | rd0_na4_a5; + +// deocde inputs, rd1 + assign rd1_enable = rd1_c_a0 | rd1_c_na0; + assign rd1_a0 = rd1_c_a0; + assign rd1_a1 = rd1_a1_a2 | rd1_a1_na2; + assign rd1_a2 = rd1_a1_a2 | rd1_na1_a2; +// assign rd1_a3 = rd1_a3; + assign rd1_a4 = rd1_a4_a5 | rd1_a4_na5; + assign rd1_a5 = rd1_a4_a5 | rd1_na4_a5; + +// deocde inputs, rd2 + assign rd2_enable = rd2_c_a0 | rd2_c_na0; + assign rd2_a0 = rd2_c_a0; + assign rd2_a1 = rd2_a1_a2 | rd2_a1_na2; + assign rd2_a2 = rd2_a1_a2 | rd2_na1_a2; +// assign rd2_a3 = rd2_a3; + assign rd2_a4 = rd2_a4_a5 | rd2_a4_na5; + assign rd2_a5 = rd2_a4_a5 | rd2_na4_a5; + +// deocde inputs, rd3 + assign rd3_enable = rd3_c_a0 | rd3_c_na0; + assign rd3_a0 = rd3_c_a0; + assign rd3_a1 = rd3_a1_a2 | rd3_a1_na2; + assign rd3_a2 = rd3_a1_a2 | rd3_na1_a2; +// assign rd3_a3 = rd3_a3; + assign rd3_a4 = rd3_a4_a5 | rd3_a4_na5; + assign rd3_a5 = rd3_a4_a5 | rd3_na4_a5; + +// decode inputs, wr0 + assign wr0_enable = wr0_c_a0 | wr0_c_na0; + assign wr0_a0 = wr0_c_a0; + assign wr0_a1 = wr0_a1_a2 | wr0_a1_na2; + assign wr0_a2 = wr0_a1_a2 | wr0_na1_a2; +// assign wr0_a3 = wr0_a3; + assign wr0_a4 = wr0_a4_a5 | wr0_a4_na5; + assign wr0_a5 = wr0_a4_a5 | wr0_na4_a5; + +// decode inputs, wr1 + assign wr1_enable = wr1_c_a0 | wr1_c_na0; + assign wr1_a0 = wr1_c_a0; + assign wr1_a1 = wr1_a1_a2 | wr1_a1_na2; + assign wr1_a2 = wr1_a1_a2 | wr1_na1_a2; +// assign wr1_a3 = wr1_a3; + assign wr1_a4 = wr1_a4_a5 | wr1_a4_na5; + assign wr1_a5 = wr1_a4_a5 | wr1_na4_a5; + +// read ports + assign rd0_dat = (rd0_enable) ? mem[{rd0_a0, rd0_a1, rd0_a2, rd0_a3, rd0_a4, rd0_a5}] : 24'bX; + assign rd1_dat = (rd1_enable) ? mem[{rd1_a0, rd1_a1, rd1_a2, rd1_a3, rd1_a4, rd1_a5}] : 24'bX; + assign rd2_dat = (rd2_enable) ? mem[{rd2_a0, rd2_a1, rd2_a2, rd2_a3, rd2_a4, rd2_a5}] : 24'bX; + assign rd3_dat = (rd3_enable) ? mem[{rd3_a0, rd3_a1, rd3_a2, rd3_a3, rd3_a4, rd3_a5}] : 24'bX; + +//wtf should have checking for collision + always @* begin + if (wr0_enable) begin + mem[{wr0_a0, wr0_a1, wr0_a2, wr0_a3, wr0_a4, wr0_a5}] <= wr0_dat; + //$display("%0d wr0_en=%h @%0h=%0h", $time, wr0_enable, {wr0_a0, wr0_a1, wr0_a2, wr0_a3, wr0_a4, wr0_a5}, mem[{wr0_a0, wr0_a1, wr0_a2, wr0_a3, wr0_a4, wr0_a5}]); + end + if (wr1_enable) begin + mem[{wr1_a0, wr1_a1, wr1_a2, wr1_a3, wr1_a4, wr1_a5}] <= wr1_dat; + //$display("%0d wr1_en=%h @%0h=%0h", $time, wr1_enable, {wr1_a0, wr1_a1, wr1_a2, wr1_a3, wr0_a4, wr1_a5}, mem[{wr1_a0, wr1_a1, wr1_a2, wr1_a3, wr1_a4, wr1_a5}]); + end + end + +endmodule + diff --git a/rtl/src/array/test_ra_ddr.sv b/rtl/src/array/test_ra_ddr.sv new file mode 100644 index 0000000..dfa2a6d --- /dev/null +++ b/rtl/src/array/test_ra_ddr.sv @@ -0,0 +1,197 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + +// Test array (SDR) +// 64 word 72 bit array +// LCB for strobe generation +// Config, BIST, etc. + +`timescale 1 ns/1 ps +`include "toysram.vh" + +module test_ra_ddr (); + + logic clk; + logic clk2x; + logic reset; + logic cfg_wr; + logic [0:`LCBDDR_CONFIGWIDTH-1] cfg_dat; + logic [0:31] bist_ctl; + logic [0:31] bist_status; + logic rd_enb_0; + logic [0:5] rd_adr_0; + logic [0:71] rd_dat_0; + logic rd_enb_1; + logic [0:5] rd_adr_1; + logic [0:71] rd_dat_1; + logic rd_enb_2; + logic [0:5] rd_adr_2; + logic [0:71] rd_dat_2; + logic rd_enb_3; + logic [0:5] rd_adr_3; + logic [0:71] rd_dat_3; + logic wr_enb_0; + logic [0:5] wr_adr_0; + logic [0:71] wr_dat_0; + logic wr_enb_1; + logic [0:5] wr_adr_1; + logic [0:71] wr_dat_1; + + logic strobe; + logic el_sel; + logic [0:`LCBDDR_CONFIGWIDTH-1] cfg; + logic mux_rd0_enb; + logic [0:5] mux_rd0_adr; + logic mux_rd1_enb; + logic [0:5] mux_rd1_adr; + logic mux_rd2_enb; + logic [0:5] mux_rd2_adr; + logic mux_rd3_enb; + logic [0:5] mux_rd3_adr; + logic mux_wr0_enb; + logic [0:5] mux_wr0_adr; + logic [0:71] mux_wr0_dat; + logic mux_wr1_enb; + logic [0:5] mux_wr1_adr; + logic [0:71] mux_wr1_dat; + + initial + begin + clk = 1'b1; + clk2x = 1'b1; + forever #10 clk = ~clk; + forever #5 clk2x = ~clk2x; + end + + + ra_lcb_ddr lcb ( + + .clk (clk2x), + .reset (reset), + .cfg (cfg), + .strobe (strobe), + .el_sel (el_sel) + + ); + + ra_cfg_ddr #(.INIT(-1)) cfig ( + + .clk (clk), + .reset (reset), + .cfg_wr (cfg_wr), + .cfg_dat (cfg_dat), + .cfg (cfg) + + ); + + ra_bist_ddr bist ( + + .clk (clk), + .reset (reset), + .ctl (bist_ctl), + .status (bist_status), + .rd0_enb_in (rd_enb_0), + .rd0_adr_in (rd_adr_0), + .rd0_dat (rd_dat_0), + .rd1_enb_in (rd_enb_1), + .rd1_adr_in (rd_adr_1), + .rd1_dat (rd_dat_1), + .rd2_enb_in (rd_enb_2), + .rd2_adr_in (rd_adr_2), + .rd2_dat (rd_dat_2), + .rd3_enb_in (rd_enb_3), + .rd3_adr_in (rd_adr_3), + .rd3_dat (rd_dat_3), + .wr0_enb_in (wr_enb_0), + .wr0_adr_in (wr_adr_0), + .wr0_dat_in (wr_dat_0), + .wr1_enb_in (wr_enb_1), + .wr1_adr_in (wr_adr_1), + .wr1_dat_in (wr_dat_1), + .rd0_enb_out (mux_rd0_enb), + .rd0_adr_out (mux_rd0_adr), + .rd1_enb_out (mux_rd1_enb), + .rd1_adr_out (mux_rd1_adr), + .rd2_enb_out (mux_rd2_enb), + .rd2_adr_out (mux_rd2_adr), + .rd3_enb_out (mux_rd3_enb), + .rd3_adr_out (mux_rd3_adr), + .wr0_enb_out (mux_wr0_enb), + .wr0_adr_out (mux_wr0_adr), + .wr0_dat_out (mux_wr0_dat), + .wr1_enb_out (mux_wr1_enb), + .wr1_adr_out (mux_wr1_adr), + .wr1_dat_out (mux_wr1_dat) + + ); + + ra_4r2w_64x72_ddr ra ( + + .clk (clk), + .reset (reset), + .strobe (strobe), + .el_sel (el_sel), + .rd_enb_0 (mux_rd0_enb), + .rd_adr_0 (mux_rd0_adr), + .rd_dat_0 (rd_dat_0), + .rd_enb_1 (mux_rd1_enb), + .rd_adr_1 (mux_rd1_adr), + .rd_dat_1 (rd_dat_1), + .rd_enb_2 (mux_rd2_enb), + .rd_adr_2 (mux_rd2_adr), + .rd_dat_2 (rd_dat_2), + .rd_enb_3 (mux_rd3_enb), + .rd_adr_3 (mux_rd3_adr), + .rd_dat_3 (rd_dat_3), + .wr_enb_0 (mux_wr0_enb), + .wr_adr_0 (mux_wr0_adr), + .wr_dat_0 (mux_wr0_dat), + .wr_enb_1 (mux_wr1_enb), + .wr_adr_1 (mux_wr1_adr), + .wr_dat_1 (mux_wr1_dat) + + ); + + initial + begin + #0 reset = 1'b1; + #0 wr_enb_0 = 1'b0; + #0 wr_adr_0 = 6'h0; + #0 rd_adr_0 = 6'h0; + #0 rd_adr_1 = 6'h0; + #0 rd_enb_0 = 1'b0; + #0 rd_enb_1 = 1'b0; + #0 bist_ctl = 32'h0; + #0 cfg_wr = 1'b0; + #0 cfg_dat = 16'h0; + #31 reset = 1'b0; + + #400 wr_enb_0 = 1'b1; + #0 wr_adr_0 = 6'h0; + #0 wr_adr_0 = 6'b00_0000; + #10 wr_adr_0 = 6'b00_0010; + #10 wr_adr_0 = 6'b00_0100; + #10 wr_adr_0 = 6'b00_0110; + #10 wr_adr_0 = 6'b00_1000; + + + + end + +endmodule diff --git a/rtl/src/array/test_ra_ddr.v b/rtl/src/array/test_ra_ddr.v new file mode 100644 index 0000000..b3efc0a --- /dev/null +++ b/rtl/src/array/test_ra_ddr.v @@ -0,0 +1,199 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Test array (DDR) +// 64 word 72 bit array +// LCB for strobe generation +// Config, BIST, etc. + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module test_ra_ddr ( + + clk, + clk2x, + reset, + cfg_wr, + cfg_dat, + bist_ctl, + bist_status, + + rd_enb_0, + rd_adr_0, + rd_dat_0, + + rd_enb_1, + rd_adr_1, + rd_dat_1, + + rd_enb_2, + rd_adr_2, + rd_dat_2, + + rd_enb_3, + rd_adr_3, + rd_dat_3, + + wr_enb_0, + wr_adr_0, + wr_dat_0, + + wr_enb_1, + wr_adr_1, + wr_dat_1 + +); + + input clk; + input clk2x; + input reset; + input cfg_wr; + input [0:`LCBDDR_CONFIGWIDTH-1] cfg_dat; + input [0:31] bist_ctl; + output [0:31] bist_status; + input rd_enb_0; + input [0:5] rd_adr_0; + output [0:71] rd_dat_0; + input rd_enb_1; + input [0:5] rd_adr_1; + output [0:71] rd_dat_1; + input rd_enb_2; + input [0:5] rd_adr_2; + output [0:71] rd_dat_2; + input rd_enb_3; + input [0:5] rd_adr_3; + output [0:71] rd_dat_3; + input wr_enb_0; + input [0:5] wr_adr_0; + input [0:71] wr_dat_0; + input wr_enb_1; + input [0:5] wr_adr_1; + input [0:71] wr_dat_1; + + wire strobe; + wire el_sel; + wire [0:`LCBDDR_CONFIGWIDTH-1] cfg; + wire mux_rd0_enb; + wire [0:5] mux_rd0_adr; + wire mux_rd1_enb; + wire [0:5] mux_rd1_adr; + wire mux_rd2_enb; + wire [0:5] mux_rd2_adr; + wire mux_rd3_enb; + wire [0:5] mux_rd3_adr; + wire mux_wr0_enb; + wire [0:5] mux_wr0_adr; + wire [0:71] mux_wr0_dat; + wire mux_wr1_enb; + wire [0:5] mux_wr1_adr; + wire [0:71] mux_wr1_dat; + + + ra_lcb_ddr lcb ( + + .clk (clk2x), + .reset (reset), + .cfg (cfg), + .strobe (strobe), + .el_sel (el_sel) + + ); + + ra_cfg_ddr #(.INIT(-1)) cfig ( + + .clk (clk), + .reset (reset), + .cfg_wr (cfg_wr), + .cfg_dat (cfg_dat), + .cfg (cfg) + + ); + + ra_bist_ddr bist ( + + .clk (clk), + .reset (reset), + .ctl (bist_ctl), + .status (bist_status), + .rd0_enb_in (rd_enb_0), + .rd0_adr_in (rd_adr_0), + .rd0_dat (rd_dat_0), + .rd1_enb_in (rd_enb_1), + .rd1_adr_in (rd_adr_1), + .rd1_dat (rd_dat_1), + .rd2_enb_in (rd_enb_2), + .rd2_adr_in (rd_adr_2), + .rd2_dat (rd_dat_2), + .rd3_enb_in (rd_enb_3), + .rd3_adr_in (rd_adr_3), + .rd3_dat (rd_dat_3), + .wr0_enb_in (wr_enb_0), + .wr0_adr_in (wr_adr_0), + .wr0_dat_in (wr_dat_0), + .wr1_enb_in (wr_enb_1), + .wr1_adr_in (wr_adr_1), + .wr1_dat_in (wr_dat_1), + .rd0_enb_out (mux_rd0_enb), + .rd0_adr_out (mux_rd0_adr), + .rd1_enb_out (mux_rd1_enb), + .rd1_adr_out (mux_rd1_adr), + .rd2_enb_out (mux_rd2_enb), + .rd2_adr_out (mux_rd2_adr), + .rd3_enb_out (mux_rd3_enb), + .rd3_adr_out (mux_rd3_adr), + .wr0_enb_out (mux_wr0_enb), + .wr0_adr_out (mux_wr0_adr), + .wr0_dat_out (mux_wr0_dat), + .wr1_enb_out (mux_wr1_enb), + .wr1_adr_out (mux_wr1_adr), + .wr1_dat_out (mux_wr1_dat) + + ); + + ra_4r2w_64x72_ddr ra ( + + .clk (clk), + .reset (reset), + .strobe (strobe), + .el_sel (el_sel), + .rd_enb_0 (mux_rd0_enb), + .rd_adr_0 (mux_rd0_adr), + .rd_dat_0 (rd_dat_0), + .rd_enb_1 (mux_rd1_enb), + .rd_adr_1 (mux_rd1_adr), + .rd_dat_1 (rd_dat_1), + .rd_enb_2 (mux_rd2_enb), + .rd_adr_2 (mux_rd2_adr), + .rd_dat_2 (rd_dat_2), + .rd_enb_3 (mux_rd3_enb), + .rd_adr_3 (mux_rd3_adr), + .rd_dat_3 (rd_dat_3), + .wr_enb_0 (mux_wr0_enb), + .wr_adr_0 (mux_wr0_adr), + .wr_dat_0 (mux_wr0_dat), + .wr_enb_1 (mux_wr1_enb), + .wr_adr_1 (mux_wr1_adr), + .wr_dat_1 (mux_wr1_dat) + + ); + +endmodule diff --git a/rtl/src/array/test_ra_ddr_1x.v b/rtl/src/array/test_ra_ddr_1x.v new file mode 100644 index 0000000..f555bb9 --- /dev/null +++ b/rtl/src/array/test_ra_ddr_1x.v @@ -0,0 +1,196 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Test array (DDR1x (sim only) - acts like DDR but only uses single clock +// 64 word 72 bit array +// LCB for strobe generation +// Config, BIST, etc. + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module test_ra_ddr_1x ( + + clk, + reset, + cfg_wr, + cfg_dat, + bist_ctl, + bist_status, + + rd_enb_0, + rd_adr_0, + rd_dat_0, + + rd_enb_1, + rd_adr_1, + rd_dat_1, + + rd_enb_2, + rd_adr_2, + rd_dat_2, + + rd_enb_3, + rd_adr_3, + rd_dat_3, + + wr_enb_0, + wr_adr_0, + wr_dat_0, + + wr_enb_1, + wr_adr_1, + wr_dat_1 + +); + + input clk; + input reset; + input cfg_wr; + input [0:`LCBDDR_CONFIGWIDTH-1] cfg_dat; + input [0:31] bist_ctl; + output [0:31] bist_status; + input rd_enb_0; + input [0:5] rd_adr_0; + output [0:71] rd_dat_0; + input rd_enb_1; + input [0:5] rd_adr_1; + output [0:71] rd_dat_1; + input rd_enb_2; + input [0:5] rd_adr_2; + output [0:71] rd_dat_2; + input rd_enb_3; + input [0:5] rd_adr_3; + output [0:71] rd_dat_3; + input wr_enb_0; + input [0:5] wr_adr_0; + input [0:71] wr_dat_0; + input wr_enb_1; + input [0:5] wr_adr_1; + input [0:71] wr_dat_1; + + wire strobe; + wire el_sel; + wire [0:`LCBDDR_CONFIGWIDTH-1] cfg; + wire mux_rd0_enb; + wire [0:5] mux_rd0_adr; + wire mux_rd1_enb; + wire [0:5] mux_rd1_adr; + wire mux_rd2_enb; + wire [0:5] mux_rd2_adr; + wire mux_rd3_enb; + wire [0:5] mux_rd3_adr; + wire mux_wr0_enb; + wire [0:5] mux_wr0_adr; + wire [0:71] mux_wr0_dat; + wire mux_wr1_enb; + wire [0:5] mux_wr1_adr; + wire [0:71] mux_wr1_dat; + + + ra_lcb_ddr lcb ( + + .clk (clk), + .reset (reset), + .cfg (cfg), + .strobe (strobe), // not used + .el_sel (el_sel) + + ); + + ra_cfg_ddr #(.INIT(-1)) cfig ( + + .clk (clk), + .reset (reset), + .cfg_wr (cfg_wr), + .cfg_dat (cfg_dat), + .cfg (cfg) + + ); + + ra_bist_ddr bist ( + + .clk (clk), + .reset (reset), + .ctl (bist_ctl), + .status (bist_status), + .rd0_enb_in (rd_enb_0), + .rd0_adr_in (rd_adr_0), + .rd0_dat (rd_dat_0), + .rd1_enb_in (rd_enb_1), + .rd1_adr_in (rd_adr_1), + .rd1_dat (rd_dat_1), + .rd2_enb_in (rd_enb_2), + .rd2_adr_in (rd_adr_2), + .rd2_dat (rd_dat_2), + .rd3_enb_in (rd_enb_3), + .rd3_adr_in (rd_adr_3), + .rd3_dat (rd_dat_3), + .wr0_enb_in (wr_enb_0), + .wr0_adr_in (wr_adr_0), + .wr0_dat_in (wr_dat_0), + .wr1_enb_in (wr_enb_1), + .wr1_adr_in (wr_adr_1), + .wr1_dat_in (wr_dat_1), + .rd0_enb_out (mux_rd0_enb), + .rd0_adr_out (mux_rd0_adr), + .rd1_enb_out (mux_rd1_enb), + .rd1_adr_out (mux_rd1_adr), + .rd2_enb_out (mux_rd2_enb), + .rd2_adr_out (mux_rd2_adr), + .rd3_enb_out (mux_rd3_enb), + .rd3_adr_out (mux_rd3_adr), + .wr0_enb_out (mux_wr0_enb), + .wr0_adr_out (mux_wr0_adr), + .wr0_dat_out (mux_wr0_dat), + .wr1_enb_out (mux_wr1_enb), + .wr1_adr_out (mux_wr1_adr), + .wr1_dat_out (mux_wr1_dat) + + ); + + ra_4r2w_64x72_ddr_1x ra ( + + .clk (clk), + .reset (reset), + .strobe (1'b1), + .rd_enb_0 (mux_rd0_enb), + .rd_adr_0 (mux_rd0_adr), + .rd_dat_0 (rd_dat_0), + .rd_enb_1 (mux_rd1_enb), + .rd_adr_1 (mux_rd1_adr), + .rd_dat_1 (rd_dat_1), + .rd_enb_2 (mux_rd2_enb), + .rd_adr_2 (mux_rd2_adr), + .rd_dat_2 (rd_dat_2), + .rd_enb_3 (mux_rd3_enb), + .rd_adr_3 (mux_rd3_adr), + .rd_dat_3 (rd_dat_3), + .wr_enb_0 (mux_wr0_enb), + .wr_adr_0 (mux_wr0_adr), + .wr_dat_0 (mux_wr0_dat), + .wr_enb_1 (mux_wr1_enb), + .wr_adr_1 (mux_wr1_adr), + .wr_dat_1 (mux_wr1_dat) + + ); + +endmodule diff --git a/rtl/src/array/test_ra_sdr.sv b/rtl/src/array/test_ra_sdr.sv new file mode 100644 index 0000000..3c45883 --- /dev/null +++ b/rtl/src/array/test_ra_sdr.sv @@ -0,0 +1,171 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + +// Test array (SDR) +// 64 word 72 bit array +// LCB for strobe generation +// Config, BIST, etc. + +`timescale 1 ns/1 ps +`include "toysram.vh" + +module test_ra_sdr (); + + logic clk; + logic reset; + logic cfg_wr; + logic [0:`LCBSDR_CONFIGWIDTH-1] cfg_dat; + logic [0:31] bist_ctl; + logic [0:31] bist_status; + logic rd_enb_0; + logic [0:5] rd_adr_0; + logic [0:71] rd_dat_0; + logic rd_enb_1; + logic [0:5] rd_adr_1; + logic [0:71] rd_dat_1; + logic wr_enb_0; + logic [0:5] wr_adr_0; + logic [0:71] wr_dat_0; + + logic strobe; + logic [0:`LCBSDR_CONFIGWIDTH-1] cfg; + logic mux_rd0_enb; + logic [0:5] mux_rd0_adr; + logic mux_rd1_enb; + logic [0:5] mux_rd1_adr; + logic mux_wr0_enb; + logic [0:5] mux_wr0_adr; + logic [0:71] mux_wr0_dat; + + initial + begin + $dumpfile("test_ra_sdr.vcd"); + $dumpvars (0,test_ra_sdr.lcb); + $dumpvars (0,test_ra_sdr.cfig); + $dumpvars (0,test_ra_sdr.bist); + $dumpvars (0,test_ra_sdr.ra); + end + + + initial + begin + clk = 1'b1; + forever #5 clk = ~clk; + end + + + + ra_lcb_sdr lcb (.clk (clk), + .reset (reset), + .cfg (cfg), + .strobe (strobe)); + + ra_cfg_sdr #(.INIT(-1)) cfig (.clk (clk), + .reset (reset), + .cfg_wr (cfg_wr), + .cfg_dat (cfg_dat), + .cfg (cfg)); + + ra_bist_sdr bist (.clk (clk), + .reset (reset), + .ctl (bist_ctl), + .status (bist_status), + .rd0_enb_in (rd_enb_0), + .rd0_adr_in (rd_adr_0), + .rd0_dat (rd_dat_0), + .rd1_enb_in (rd_enb_1), + .rd1_adr_in (rd_adr_1), + .rd1_dat (rd_dat_1), + .wr0_enb_in (wr_enb_0), + .wr0_adr_in (wr_adr_0), + .wr0_dat_in (wr_dat_0), + .rd0_enb_out (mux_rd0_enb), + .rd0_adr_out (mux_rd0_adr), + .rd1_enb_out (mux_rd1_enb), + .rd1_adr_out (mux_rd1_adr), + .wr0_enb_out (mux_wr0_enb), + .wr0_adr_out (mux_wr0_adr), + .wr0_dat_out (mux_wr0_dat)); + + + ra_2r1w_64x72_sdr ra (.clk (clk), + .reset (reset), + .strobe (strobe), + .rd_enb_0 (mux_rd0_enb), + .rd_adr_0 (mux_rd0_adr), + .rd_dat_0 (rd_dat_0), + .rd_enb_1 (mux_rd1_enb), + .rd_adr_1 (mux_rd1_adr), + .rd_dat_1 (rd_dat_1), + .wr_enb_0 (mux_wr0_enb), + .wr_adr_0 (mux_wr0_adr), + .wr_dat_0 (mux_wr0_dat)); + + initial + begin + #0 reset = 1'b1; + #0 wr_enb_0 = 1'b0; + #0 wr_adr_0 = 6'h0; + #0 rd_adr_0 = 6'h0; + #0 rd_adr_1 = 6'h0; + #0 rd_enb_0 = 1'b0; + #0 rd_enb_1 = 1'b0; + #0 bist_ctl = 32'h0; + #0 cfg_wr = 1'b0; + #0 cfg_dat = 16'h0; + #15 reset = 1'b0; + + #400 wr_enb_0 = 1'b1; + #0 wr_adr_0 = 6'h0; + + #0 wr_adr_0 = 6'b00_0000; + #0 wr_dat_0 = 6'b00_1111; + + #10 wr_adr_0 = 6'b00_0010; + #0 wr_dat_0 = 6'b00_1001; + + #10 wr_adr_0 = 6'b00_0100; + #0 wr_dat_0 = 6'b00_1100; + + #10 wr_adr_0 = 6'b00_0110; + #0 wr_adr_0 = 6'b00_1101; + + #10 wr_adr_0 = 6'b00_1000; + #0 wr_adr_0 = 6'b00_1000; + + #5 wr_enb_0 = 0; + + #5 rd_enb_0 = 1; + #0 rd_enb_1 = 1; + + #10 rd_adr_0 = 6'b00_0000; + #0 rd_adr_1 = 6'b00_0010; + + #10 rd_adr_0 = 6'b00_0100; + #0 rd_adr_1 = 6'b00_0110; + + #10 rd_adr_0 = 6'b00_1000; + + #5 rd_enb_0 = 0; + #0 rd_enb_1 = 0; + + + end + +endmodule diff --git a/rtl/src/array/test_ra_sdr_32x32.v b/rtl/src/array/test_ra_sdr_32x32.v new file mode 100644 index 0000000..713c2f3 --- /dev/null +++ b/rtl/src/array/test_ra_sdr_32x32.v @@ -0,0 +1,143 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Test array (SDR) +// 32 word 32 bit array +// LCB for strobe generation +// Config, BIST, etc. + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module test_ra_sdr_32x32 ( + + clk, + reset, + cfg_wr, + cfg_dat, + bist_ctl, + bist_status, + + rd_enb_0, + rd_adr_0, + rd_dat_0, + + rd_enb_1, + rd_adr_1, + rd_dat_1, + + wr_enb_0, + wr_adr_0, + wr_dat_0 + +); + + input clk; + input reset; + input cfg_wr; + input [0:`LCBSDR_CONFIGWIDTH-1] cfg_dat; + input [0:31] bist_ctl; + output [0:31] bist_status; + input rd_enb_0; + input [0:4] rd_adr_0; + output [0:31] rd_dat_0; + input rd_enb_1; + input [0:4] rd_adr_1; + output [0:31] rd_dat_1; + input wr_enb_0; + input [0:4] wr_adr_0; + input [0:31] wr_dat_0; + + wire strobe; + wire [0:`LCBSDR_CONFIGWIDTH-1] cfg; + wire mux_rd0_enb; + wire [0:4] mux_rd0_adr; + wire mux_rd1_enb; + wire [0:4] mux_rd1_adr; + wire mux_wr0_enb; + wire [0:4] mux_wr0_adr; + wire [0:31] mux_wr0_dat; + + ra_lcb_sdr lcb ( + + .clk (clk), + .reset (reset), + .cfg (cfg), + .strobe (strobe) + + ); + + ra_cfg_sdr #(.INIT(-1)) cfig ( + + .clk (clk), + .reset (reset), + .cfg_wr (cfg_wr), + .cfg_dat (cfg_dat), + .cfg (cfg) + + ); + + ra_bist_sdr_32x32 bist ( + + .clk (clk), + .reset (reset), + .ctl (bist_ctl), + .status (bist_status), + .rd0_enb_in (rd_enb_0), + .rd0_adr_in (rd_adr_0), + .rd0_dat (rd_dat_0), + .rd1_enb_in (rd_enb_1), + .rd1_adr_in (rd_adr_1), + .rd1_dat (rd_dat_1), + .wr0_enb_in (wr_enb_0), + .wr0_adr_in (wr_adr_0), + .wr0_dat_in (wr_dat_0), + .rd0_enb_out (mux_rd0_enb), + .rd0_adr_out (mux_rd0_adr), + .rd1_enb_out (mux_rd1_enb), + .rd1_adr_out (mux_rd1_adr), + .wr0_enb_out (mux_wr0_enb), + .wr0_adr_out (mux_wr0_adr), + .wr0_dat_out (mux_wr0_dat) + + ); + + ra_2r1w_32x32_sdr ra ( + + .clk (clk), + .reset (reset), + .strobe (strobe), + .rd_enb_0 (mux_rd0_enb), + .rd_adr_0 (mux_rd0_adr), + .rd_dat_0 (rd_dat_0), + .rd_enb_1 (mux_rd1_enb), + .rd_adr_1 (mux_rd1_adr), + .rd_dat_1 (rd_dat_1), + .wr_enb_0 (mux_wr0_enb), + .wr_adr_0 (mux_wr0_adr), + .wr_dat_0 (mux_wr0_dat) + + ); + + + +endmodule + diff --git a/rtl/src/array/test_ra_sdr_64x72.v b/rtl/src/array/test_ra_sdr_64x72.v new file mode 100644 index 0000000..61bcdcb --- /dev/null +++ b/rtl/src/array/test_ra_sdr_64x72.v @@ -0,0 +1,143 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + + +// Test array (SDR) +// 64 word 72 bit array +// LCB for strobe generation +// Config, BIST, etc. + +`timescale 1 ns / 1 ns + +`include "toysram.vh" + +module test_ra_sdr ( + + clk, + reset, + cfg_wr, + cfg_dat, + bist_ctl, + bist_status, + + rd_enb_0, + rd_adr_0, + rd_dat_0, + + rd_enb_1, + rd_adr_1, + rd_dat_1, + + wr_enb_0, + wr_adr_0, + wr_dat_0 + +); + + input clk; + input reset; + input cfg_wr; + input [0:`LCBSDR_CONFIGWIDTH-1] cfg_dat; + input [0:31] bist_ctl; + output [0:31] bist_status; + input rd_enb_0; + input [0:5] rd_adr_0; + output [0:71] rd_dat_0; + input rd_enb_1; + input [0:5] rd_adr_1; + output [0:71] rd_dat_1; + input wr_enb_0; + input [0:5] wr_adr_0; + input [0:71] wr_dat_0; + + wire strobe; + wire [0:`LCBSDR_CONFIGWIDTH-1] cfg; + wire mux_rd0_enb; + wire [0:5] mux_rd0_adr; + wire mux_rd1_enb; + wire [0:5] mux_rd1_adr; + wire mux_wr0_enb; + wire [0:5] mux_wr0_adr; + wire [0:71] mux_wr0_dat; + + ra_lcb_sdr lcb ( + + .clk (clk), + .reset (reset), + .cfg (cfg), + .strobe (strobe) + + ); + + ra_cfg_sdr #(.INIT(-1)) cfig ( + + .clk (clk), + .reset (reset), + .cfg_wr (cfg_wr), + .cfg_dat (cfg_dat), + .cfg (cfg) + + ); + + ra_bist_sdr bist ( + + .clk (clk), + .reset (reset), + .ctl (bist_ctl), + .status (bist_status), + .rd0_enb_in (rd_enb_0), + .rd0_adr_in (rd_adr_0), + .rd0_dat (rd_dat_0), + .rd1_enb_in (rd_enb_1), + .rd1_adr_in (rd_adr_1), + .rd1_dat (rd_dat_1), + .wr0_enb_in (wr_enb_0), + .wr0_adr_in (wr_adr_0), + .wr0_dat_in (wr_dat_0), + .rd0_enb_out (mux_rd0_enb), + .rd0_adr_out (mux_rd0_adr), + .rd1_enb_out (mux_rd1_enb), + .rd1_adr_out (mux_rd1_adr), + .wr0_enb_out (mux_wr0_enb), + .wr0_adr_out (mux_wr0_adr), + .wr0_dat_out (mux_wr0_dat) + + ); + + ra_2r1w_64x72_sdr ra ( + + .clk (clk), + .reset (reset), + .strobe (strobe), + .rd_enb_0 (mux_rd0_enb), + .rd_adr_0 (mux_rd0_adr), + .rd_dat_0 (rd_dat_0), + .rd_enb_1 (mux_rd1_enb), + .rd_adr_1 (mux_rd1_adr), + .rd_dat_1 (rd_dat_1), + .wr_enb_0 (mux_wr0_enb), + .wr_adr_0 (mux_wr0_adr), + .wr_dat_0 (mux_wr0_dat) + + ); + + + +endmodule + diff --git a/rtl/src/array/toysram.vh b/rtl/src/array/toysram.vh new file mode 100644 index 0000000..ea90429 --- /dev/null +++ b/rtl/src/array/toysram.vh @@ -0,0 +1,8 @@ +// Global Parameters for ToySRAM Testsite + +`define GENMODE 0 // 0=NoDelay, 1=Delay + +// RA LCB +`define LCBSDR_CONFIGWIDTH 16 +`define LCBDDR_CONFIGWIDTH 32 + diff --git a/rtl/src/array/transcript b/rtl/src/array/transcript new file mode 100644 index 0000000..06fb1da --- /dev/null +++ b/rtl/src/array/transcript @@ -0,0 +1,130 @@ +# // Questa Sim-64 +# // Version 2020.3_1 linux_x86_64 Aug 25 2020 +# // +# // Copyright 1991-2020 Mentor Graphics Corporation +# // All Rights Reserved. +# // +# // QuestaSim and its associated documentation contain trade +# // secrets and commercial or financial information that are the property of +# // Mentor Graphics Corporation and are privileged, confidential, +# // and exempt from disclosure under the Freedom of Information Act, +# // 5 U.S.C. Section 552. Furthermore, this information +# // is prohibited from disclosure under the Trade Secrets Act, +# // 18 U.S.C. Section 1905. +# // +pwd +# /home/ptikals/IBM/osu-toy-sram/src +do top.do +# Cannot open macro file: top.do +cd ../sim +do top.do +# QuestaSim-64 vlog 2020.3_1 Compiler 2020.08 Aug 25 2020 +# Start time: 11:20:19 on Dec 14,2021 +# vlog -reportprogress 300 -lint ../src/address_clock_sdr_2r1w_64.v ../src/ra_bist_ddr.v ../src/predecode_sdr_64.v ../src/ra_bist_sdr.v ../src/ra_2r1w_64x72_sdr.v ../src/ra_cfg_ddr.v ../src/regfile_2r1w_64x24.v ../src/toysram.vh ../src/ra_4r2w_64x72_ddr_1x.v ../src/ra_cfg_sdr.v ../src/regfile_4r2w_64x24.v ../src/ra_4r2w_64x72_ddr.v ../src/ra_delay.v ../src/ra_lcb_sdr.v ../src/ra_lcb_ddr.v ../src/test_ra_ddr.v ../src/test_ra_sdr.sv ../src/test_ra_ddr_1x.v +# -- Compiling module address_clock_sdr_2r1w_64 +# -- Compiling module ra_bist_ddr +# -- Compiling module predecode_sdr_64 +# -- Compiling module ra_bist_sdr +# -- Compiling module ra_2r1w_64x72_sdr +# -- Compiling module ra_cfg_ddr +# -- Compiling module regfile_2r1w_64x24 +# -- Compiling module ra_4r2w_64x72_ddr_1x +# -- Compiling module ra_cfg_sdr +# -- Compiling module regfile_4r2w_64x24 +# -- Compiling module ra_4r2w_64x72_ddr +# -- Compiling module ra_delay +# -- Compiling module ra_lcb_sdr +# ** Warning: ../src/ra_lcb_sdr.v(61): (vlog-2623) Undefined variable: i. +# -- Compiling module ra_lcb_ddr +# -- Compiling module test_ra_ddr +# -- Compiling module test_ra_sdr +# ** Warning: ../src/test_ra_sdr.sv(28): (vlog-2605) empty port name in port list. +# -- Compiling module test_ra_ddr_1x +# +# Top level modules: +# ra_bist_sdr +# test_ra_ddr +# test_ra_sdr +# test_ra_ddr_1x +# End time: 11:20:19 on Dec 14,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 2 +# vsim -debugdb -voptargs="+acc" work.test_ra_sdr +# Start time: 11:20:19 on Dec 14,2021 +# ** Note: (vsim-3812) Design is being optimized... +# ** Note: (vsim-8611) Generating debug db. +# ** Error: ../src/test_ra_sdr.sv(85): Module 'ra_bist_sdr_osu' is not defined. +# Optimization failed +# ** Note: (vsim-12126) Error and warning message counts have been restored: Errors=1, Warnings=0. +# Error loading design +# Error: Error loading design +# Pausing macro execution +# MACRO ./top.do PAUSED at line 33 +do top.do +# QuestaSim-64 vlog 2020.3_1 Compiler 2020.08 Aug 25 2020 +# Start time: 11:29:26 on Dec 14,2021 +# vlog -reportprogress 300 -lint ../src/address_clock_sdr_2r1w_64.v ../src/ra_bist_ddr.v ../src/predecode_sdr_64.v ../src/ra_bist_sdr_osu.v ../src/ra_2r1w_64x72_sdr.v ../src/ra_cfg_ddr.v ../src/regfile_2r1w_64x24.v ../src/toysram.vh ../src/ra_4r2w_64x72_ddr_1x.v ../src/ra_cfg_sdr.v ../src/regfile_4r2w_64x24.v ../src/ra_4r2w_64x72_ddr.v ../src/ra_delay.v ../src/ra_lcb_sdr.v ../src/ra_lcb_ddr.v ../src/test_ra_ddr.v ../src/test_ra_sdr.sv ../src/test_ra_ddr_1x.v +# -- Compiling module address_clock_sdr_2r1w_64 +# -- Compiling module ra_bist_ddr +# -- Compiling module predecode_sdr_64 +# -- Compiling module ra_bist_sdr +# ** Error: ../src/ra_bist_sdr_osu.v(88): (vlog-2730) Undefined variable: 'int'. +# ** Error: (vlog-13069) ../src/ra_bist_sdr_osu.v(88): near "i": syntax error, unexpected IDENTIFIER, expecting '='. +# ** Error: (vlog-13036) ../src/ra_bist_sdr_osu.v(88): near "++": Operator only allowed in SystemVerilog. +# ** Error: ../src/ra_bist_sdr_osu.v(88): (vlog-13205) Syntax error found in the scope following 'i'. Is there a missing '::'? +# -- Compiling module ra_2r1w_64x72_sdr +# -- Compiling module ra_cfg_ddr +# -- Compiling module regfile_2r1w_64x24 +# -- Compiling module ra_4r2w_64x72_ddr_1x +# -- Compiling module ra_cfg_sdr +# -- Compiling module regfile_4r2w_64x24 +# -- Compiling module ra_4r2w_64x72_ddr +# -- Compiling module ra_delay +# -- Compiling module ra_lcb_sdr +# ** Warning: ../src/ra_lcb_sdr.v(61): (vlog-2623) Undefined variable: i. +# -- Compiling module ra_lcb_ddr +# -- Compiling module test_ra_ddr +# -- Compiling module test_ra_sdr +# ** Warning: ../src/test_ra_sdr.sv(28): (vlog-2605) empty port name in port list. +# -- Compiling module test_ra_ddr_1x +# End time: 11:29:26 on Dec 14,2021, Elapsed time: 0:00:00 +# Errors: 4, Warnings: 2 +# ** Error: /opt/Mentor/questasim/linux_x86_64/vlog failed. +# Error in macro ./top.do line 30 +# /opt/Mentor/questasim/linux_x86_64/vlog failed. +# while executing +# "vlog -lint ../src/address_clock_sdr_2r1w_64.v ../src/ra_bist_ddr.v ../src/predecode_sdr_64.v ../src/ra_bist_sdr_osu.v ../src/ra_2r1w_64x72_sdr.v ../sr..." +do top.do +# QuestaSim-64 vlog 2020.3_1 Compiler 2020.08 Aug 25 2020 +# Start time: 11:49:53 on Dec 14,2021 +# vlog -reportprogress 300 -lint ../src/address_clock_sdr_2r1w_64.v ../src/ra_bist_ddr.v ../src/predecode_sdr_64.v ../src/ra_bist_sdr_osu.v ../src/ra_2r1w_64x72_sdr.v ../src/ra_cfg_ddr.v ../src/regfile_2r1w_64x24.v ../src/toysram.vh ../src/ra_4r2w_64x72_ddr_1x.v ../src/ra_cfg_sdr.v ../src/regfile_4r2w_64x24.v ../src/ra_4r2w_64x72_ddr.v ../src/ra_delay.v ../src/ra_lcb_sdr.v ../src/ra_lcb_ddr.v ../src/test_ra_ddr.v ../src/test_ra_sdr.sv ../src/test_ra_ddr_1x.v +# -- Compiling module address_clock_sdr_2r1w_64 +# -- Compiling module ra_bist_ddr +# -- Compiling module predecode_sdr_64 +# -- Compiling module ra_bist_sdr +# ** Error: ../src/ra_bist_sdr_osu.v(88): (vlog-2730) Undefined variable: 'int'. +# ** Error: (vlog-13069) ../src/ra_bist_sdr_osu.v(88): near "i": syntax error, unexpected IDENTIFIER, expecting '='. +# ** Error: ../src/ra_bist_sdr_osu.v(88): (vlog-13205) Syntax error found in the scope following 'i'. Is there a missing '::'? +# -- Compiling module ra_2r1w_64x72_sdr +# -- Compiling module ra_cfg_ddr +# -- Compiling module regfile_2r1w_64x24 +# -- Compiling module ra_4r2w_64x72_ddr_1x +# -- Compiling module ra_cfg_sdr +# -- Compiling module regfile_4r2w_64x24 +# -- Compiling module ra_4r2w_64x72_ddr +# -- Compiling module ra_delay +# -- Compiling module ra_lcb_sdr +# ** Warning: ../src/ra_lcb_sdr.v(61): (vlog-2623) Undefined variable: i. +# -- Compiling module ra_lcb_ddr +# -- Compiling module test_ra_ddr +# -- Compiling module test_ra_sdr +# ** Warning: ../src/test_ra_sdr.sv(28): (vlog-2605) empty port name in port list. +# -- Compiling module test_ra_ddr_1x +# End time: 11:49:53 on Dec 14,2021, Elapsed time: 0:00:00 +# Errors: 3, Warnings: 2 +# ** Error: /opt/Mentor/questasim/linux_x86_64/vlog failed. +# Error in macro ./top.do line 30 +# /opt/Mentor/questasim/linux_x86_64/vlog failed. +# while executing +# "vlog -lint ../src/address_clock_sdr_2r1w_64.v ../src/ra_bist_ddr.v ../src/predecode_sdr_64.v ../src/ra_bist_sdr_osu.v ../src/ra_2r1w_64x72_sdr.v ../sr..." +# End time: 12:39:15 on Dec 14,2021, Elapsed time: 1:18:56 +# Errors: 3, Warnings: 0 diff --git a/rtl/src/bin/vtable b/rtl/src/bin/vtable new file mode 100644 index 0000000..d73c842 --- /dev/null +++ b/rtl/src/bin/vtable @@ -0,0 +1,427 @@ +#!/usr/bin/python3 +# +# Parse table comments and create equations. + +from optparse import OptionParser +import re +from shutil import copyfile + +#-------------------------------------------------------------------------------------------------- +# Initialize + +TYPE_INPUT = 0 +TYPE_OUTPUT = 1 +TYPE_SKIP = 99 + +lines = [] +tableMatches = [] +tableNames = [] +tableLines = [] +tables = {} + +failOnError = True +inFile = 'test.vhdl' +outFileExt = 'vtable' +overwrite = True +backupExt = 'orig' +backup = True +noisy = False +quiet = False +verilog = False + +#-------------------------------------------------------------------------------------------------- +# Handle command line + +usage = 'vtable [options] inFile' + +parser = OptionParser(usage) +parser.add_option('-f', '--outfile', dest='outFile', help='output file, default=[inFile]' + outFileExt) +parser.add_option('-o', '--overwrite', dest='overwrite', help='overwrite inFile, default=' + str(overwrite)) +parser.add_option('-b', '--backup', dest='backup', help='backup original file, default=' + str(backup)) +parser.add_option('-q', '--quiet', dest='quiet', action='store_true', help='quiet messages, default=' + str(quiet)) +parser.add_option('-n', '--noisy', dest='noisy', action='store_true', help='noisy messages, default=' + str(noisy)) +parser.add_option('-V', '--verilog', dest='verilog', action='store_true', help='source is verilog, default=' + str(verilog)) + +options, args = parser.parse_args() + +if len(args) != 1: + parser.error(usage) + quit(-1) +else: + inFile = args[0] + +if options.overwrite == '0': + overwrite = False +elif options.overwrite == '1': + overwrite == True + if options.outFile is not None: + parser.error('Can\'t specify outfile and overrite!') + quit(-1) +elif options.overwrite is not None: + parser.error('overwrite: 0|1') + quit(-1) + +if options.quiet is not None: + quiet = True + +if options.noisy is not None: + noisy = True + +if options.verilog is not None: + verilog = True + +if options.backup == '0': + backup = False +elif options.backup == '1': + backup == True +elif options.backup is not None: + parser.error('backup: 0|1') + quit(-1) + +if options.outFile is not None: + outFile = options.outFile +elif overwrite: + outFile = inFile +else: + outFile = inFile + '.' + outFileExt + +backupFile = inFile + '.' + backupExt + +#-------------------------------------------------------------------------------------------------- +# Objects + +class Signal: + + def __init__(self, name, type): + self.name = name; + self.type = type; + +class Table: + + def __init__(self, name): + self.name = name + self.source = [] + self.signals = {} + self.signalsByCol = {} + self.typesByCol = {} + self.specs = [] # list of specsByCol + self.equations = [] + self.added = False + + def validate(self): + # check that all signals have a good type + for col in self.signalsByCol: + if col not in self.typesByCol: + error('Table ' + self.name + ': no signal type for ' + self.signalsByCol[col]) + elif self.typesByCol[col] == None: + error('Table ' + self.name + ': bad signal type (' + str(self.typesByCol[col]) + ') for ' + str(self.signalsByCol[col])) + + def makeRTL(self, form=None): + outputsByCol = {} + + + #for col,type in self.typesByCol.items(): + for col in sorted(self.typesByCol): + type = self.typesByCol[col] + if type == TYPE_OUTPUT: + if col in self.signalsByCol: + outputsByCol[col] = self.signalsByCol[col] + else: + print(self.signalsByCol) + print(self.typesByCol) + error('Table ' + self.name + ': output is specified in col ' + str(col) + ' but no signal exists') + + #for sigCol,sig in outputsByCol.items(): + for sigCol in sorted(outputsByCol): + sig = outputsByCol[sigCol] + if not verilog: + line = sig + ' <= ' + else: + line = 'assign ' + sig + ' = ' + nonzero = False + for specsByCol in self.specs: + terms = [] + if sigCol not in specsByCol: + #error('* Output ' + sig + ' has no specified value for column ' + str(col)) + 1 # no error, can be dontcare + elif specsByCol[sigCol] == '1': + for col,val in specsByCol.items(): + if col not in self.typesByCol: + if noisy: + error('Table ' + self.name +': unexpected value in spec column ' + str(col) + ' (' + str(val) + ') - no associated signal', False) #wtf UNTIL CAN HANDLE COMMENTS AT END!!!!!!!!!!!!!!!!!!! + elif self.typesByCol[col] == TYPE_INPUT: + if val == '0': + terms.append(opNot + self.signalsByCol[col]) + if nonzero and len(terms) == 1: + line = line + ') ' + opOr + '\n ('; + elif len(terms) == 1: + line = line + '\n (' + nonzero = True + elif val == '1': + terms.append(self.signalsByCol[col]) + if nonzero and len(terms) == 1: + line = line + ') ' + opOr + '\n ('; + elif len(terms) == 1: + line = line + '\n (' + nonzero = True + else: + error('Table ' + self.name +': unexpected value in spec column ' + str(col) + ' (' + str(val) + ')') + if len(terms) > 0: + line = line + (' ' + opAnd + ' ').join(terms) + if not nonzero: + line = line + zero + ";"; + else: + line = line + ');' + self.equations.append(line) + + return self.equations + + def printv(self): + self.makeRTL() + print('\n'.join(self.equations)) + + def printinfo(self): + print('Table: ' + self.name) + print + for l in self.source: + print(l) + print + print('Signals by column:') + for col in sorted(self.signalsByCol): + print('{0:>3}. {1:} ({2:}) '.format(col, self.signalsByCol[col], 'in' if self.typesByCol[col] == TYPE_INPUT else 'out')) + + +#-------------------------------------------------------------------------------------------------- +# Functions + +def error(msg, quitOverride=None): + print('*** ' + msg) + if quitOverride == False: + 1 + elif (quitOverride == None) or failOnError: + quit(-10) + elif quitOverride: + quit(-10) + +#-------------------------------------------------------------------------------------------------- +# Do something + +if not verilog: + openBracket = '(' + closeBracket = ')' + opAnd = 'and' + opOr = 'or' + opNot = 'not ' + zero = "'0'" + tablePattern = re.compile(r'^\s*?--tbl(?:\s+([^\s]+).*$|\s*$)') + tableGenPattern = re.compile(r'^\s*?--vtable(?:\s+([^\s]+).*$)') + commentPattern = re.compile(r'^\s*?(--.*$|\s*$)') + tableLinePattern = re.compile(r'^.*?--(.*)') + namePattern = re.compile(r'([a-zA-z\d_\(\)\.\[\]]+)') +else: + openBracket = '[' + closeBracket = ']' + opAnd = '&' + opOr = '+' + opNot = '~' + zero = "'b0" + tablePattern = re.compile(r'^\s*?\/\/tbl(?:\s+([^\s]+).*$|\s*$)') + tableGenPattern = re.compile(r'^\s*?\/\/vtable(?:\s+([^\s]+).*$)') + commentPattern = re.compile(r'^\s*?(\/\/.*$|\s*$)') + tableLinePattern = re.compile(r'^.*?\/\/(.*)') + namePattern = re.compile(r'([a-zA-z\d_\(\)\.\[\]]+)') + +# find the lines with table spec +try: + inf = open(inFile) + for i, line in enumerate(inf): + lines.append(line.strip('\n')) + for match in re.finditer(tablePattern, line): + tableMatches.append(i) + inf.close() +except Exception as e: + error('Error opening input file ' + inFile + '\n' + str(e), True) + +# validate matches; should be paired, nothing but comments and empties; table may be named +# between them + +for i in range(0, len(tableMatches), 2): + + if i + 1 > len(tableMatches) - 1: + error('Mismatched table tags.\nFound so far: ' + ', '.join(tableNames), True) + + tLines = lines[tableMatches[i]:tableMatches[i+1]+1] + tableLines.append(tLines) + tName = re.match(tablePattern, lines[tableMatches[i]]).groups()[0] + if tName is None: + tName = 'noname_' + str(tableMatches[i] + 1) + tableNames.append(tName) + + for line in tLines: + if not re.match(commentPattern, line): + error('Found noncomment, nonempty line in table ' + tName + ':\n' + line, True) + +print('Found tables: ' + ', '.join(tableNames)) + +# build table objects + +for table, tName in zip(tableLines, tableNames): + print('Parsing ' + tName + '...') + namesByCol = {} + colsByName = {} + bitsByCol = {} + typesByCol = {} + specs = [] + +# parse the table - do by Table.parse() + tLines = table[1:-1] # exclude --tbl + for line in tLines: + if line.strip() == '': + continue + try: + spec = re.search(tableLinePattern, line).groups()[0] + except Exception as e: + error('Problem parsing table line:\n' + line, True) + if len(spec) > 0: + if spec[0] == 'n': + for match in re.finditer(namePattern, spec[1:]): + # col 0 is first col after n + namesByCol[match.start()] = match.groups()[0] + colsByName[match.groups()[0]] = match.start() + elif spec[0] == 'b': + for i, c in enumerate(spec[1:]): + if c == ' ' or c == '|': + continue + try: + bit = int(c) + except: + error('Unexpected char in bit line at position ' + str(i) + ' (' + c + ')\n' + line) + bit = None + if i in bitsByCol and bitsByCol[i] is not None: + bitsByCol[i] = bitsByCol[i]*10+bit + else: + bitsByCol[i] = bit + elif spec[0] == 't': + for i, c in enumerate(spec[1:]): + if c.lower() == 'i': + typesByCol[i] = TYPE_INPUT + elif c.lower() == 'o': + typesByCol[i] = TYPE_OUTPUT + elif c.lower() == '*': + typesByCol[i] = TYPE_SKIP + elif c != ' ': + error('Unexpected char in type line at position ' + str(i) + ' (' + c + ')\n' + line) + typesByCol[i] = None + else: + typesByCol[i] = None + elif spec[0] == 's': + specsByCol = {} + for i, c in enumerate(spec[1:]): + if c == '0' or c == '1': + specsByCol[i] = c + specs.append(specsByCol) + else: + #print('other:') + #print(line) + 1 + +# create table object + +# add strand to name where defined; don't combine for now into vector +# consecutive strands belong to the last defined name + lastName = None + lastCol = 0 + signalsByCol = {} + + for col,name in namesByCol.items(): # load with unstranded names + signalsByCol[col] = name + +# sort by col so consecutive columns can be easily tracked + #for col,val in bitsByCol.items(): # update with stranded names + for col in sorted(bitsByCol): + val = bitsByCol[col] + + if col > lastCol + 1: + lastName = None + if val is None: + lastName = None + if col in namesByCol: + if val is None: + signalsByCol[col] = namesByCol[col] + else: + lastName = namesByCol[col] + signalsByCol[col] = lastName + openBracket + str(val) + closeBracket + elif lastName is not None: + signalsByCol[col] = lastName + openBracket + str(val) + closeBracket + else: + error('Can\'t associate bit number ' + str(val) + ' in column ' + str(col) + ' with a signal name.') + lastCol = col + + t = Table(tName) + t.source = table + t.signalsByCol = signalsByCol + t.typesByCol = typesByCol + t.specs = specs + + tables[tName] = t + +for name in tables: + t = tables[name] + t.validate() + t.makeRTL() + +print() +print('Results:') + +# find the lines with generate spec and replace them with new version +outLines = [] +inTable = False +for i, line in enumerate(lines): + if not inTable: + match = re.search(tableGenPattern, line) + if match is not None: + tName = match.groups(1)[0] + if tName not in tables: + if tName == 1: + tName = '' + error('Found vtable start for \'' + tName + '\' but didn\'t generate that table: line ' + str(i+1) + '\n' + line, True) + else: + outLines.append(line) + outLines += tables[tName].equations + tables[tName].added = True + inTable = True + else: + outLines.append(line) + else: + match = re.search(tableGenPattern, line) + if match is not None: + if match.groups(1)[0] != tName: + error('Found vtable end for \'' + match.groups(1)[0] + '\' but started table \'' + tName + '\': line ' + str(i+1) + '\n' + line, True) + outLines.append(line) + inTable = False + else: + 1#print('stripped: ' + line) + +if backup: + try: + copyfile(inFile, backupFile) + except Exception as e: + error('Error creating backup file!\n' + str(e), True) + +try: + of = open(outFile, 'w') + for line in outLines: + of.write("%s\n" % line) +except Exception as e: + error('Error writing output file ' + outFile + '!\n' + str(e), True) + +print('Generated ' + str(len(tables)) + ' tables: ' + ', '.join(tableNames)) +notAdded = {} +for table in tables: + if not tables[table].added: + notAdded[table] = True +print('Output file: ' + outFile) +if backup: + print('Backup file: ' + backupFile) +if len(notAdded) != 0: + error('Tables generated but not added to file! ' + ', '.join(notAdded)) diff --git a/rtl/src/site/cfg.v b/rtl/src/site/cfg.v new file mode 100644 index 0000000..5fc07b3 --- /dev/null +++ b/rtl/src/site/cfg.v @@ -0,0 +1,96 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + +`timescale 1 ns / 1 ns + +`include "../toysram.vh" + +// configuration macro +// Manages config registers and routes cmd val + +module cfg #( + parameter CFG0_INIT = 'h00000000, + parameter ADDR_MASK = 'hFFFF0000, + parameter CFG_ADDR = 'h00000000, + parameter CTL_ADDR = 'h00010000, + parameter RA0_ADDR = 'h00100000 +)( +`ifdef USE_POWER_PINS + inout vccd1, // User area 1 1.8V supply + inout vssd1, // User area 1 digital ground +`endif + + input clk, + input rst, + input wb_cmd_val, + input [31:0] wb_cmd_adr, + input wb_cmd_we, + input [3:0] wb_cmd_sel, + input [31:0] wb_cmd_dat, + output wb_rd_ack, + output [31:0] wb_rd_dat, + + output [31:0] cmd_adr, + output cmd_we, + output [3:0] cmd_sel, + output [31:0] cmd_dat, + + output ctl_cmd_val, + output cfg_cmd_val, + output ra0_cmd_val, + input ctl_rd_ack, + input [31:0] ctl_rd_dat + +); + + reg [7:0] seq_q; + wire [7:0] seq_d; + + reg [31:0] cfg0_q; + wire [31:0] cfg0_d; + + // FF + always @(posedge clk) begin + if (rst) begin + seq_q <= 'hFF; + cfg0_q <= CFG0_INIT; + end else begin + seq_q <= seq_d; + cfg0_q <= cfg0_d; + end + end + + // Common + assign cmd_adr = wb_cmd_adr; + assign cmd_we = wb_cmd_we; + assign cmd_sel = wb_cmd_sel; + assign cmd_dat = wb_cmd_dat; + + // Macro Routing + assign cfg_cmd_val = wb_cmd_val & ((wb_cmd_adr & ADDR_MASK) == (CFG_ADDR & ADDR_MASK)); + assign cfg0_d = cfg_cmd_val & cmd_we ? cmd_dat : cfg0_q; + + + assign ctl_cmd_val = wb_cmd_val & ((wb_cmd_adr & ADDR_MASK) == (CTL_ADDR & ADDR_MASK)); + assign ra0_cmd_val = wb_cmd_val & ((wb_cmd_adr & ADDR_MASK) == (RA0_ADDR & ADDR_MASK)); + + assign wb_rd_ack = ctl_rd_ack; + assign wb_rd_dat = ctl_rd_dat; + +endmodule diff --git a/rtl/src/site/control.v b/rtl/src/site/control.v new file mode 100644 index 0000000..8c40915 --- /dev/null +++ b/rtl/src/site/control.v @@ -0,0 +1,421 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + +`timescale 1 ns / 1 ns + +`include "defines.v" +`include "../toysram.vh" + +// control macro +// does stuff + +module control #( + parameter ADDR_MASK = 'h0000F000, + parameter CFG_ADDR = 'h0000E000, // offset within RAx_ADDR + parameter BIST_ADDR = 'h0000F000, // offset within RAx_ADDR + parameter CFG0_ADDR = 'h00000000, + parameter CFG0_INIT = 'h00000001 +)( + `ifdef USE_POWER_PINS + inout vccd1, + inout vssd1 +`endif + + input clk, + input rst, + + input [`MPRJ_IO_PADS-1:0] io_in, + output [`MPRJ_IO_PADS-1:0] io_out, + output [`MPRJ_IO_PADS-1:0] io_oeb, + + input ctl_cmd_val, + input ra0_cmd_val, + input [31:0] cmd_adr, + input cmd_we, + input [3:0] cmd_sel, + input [31:0] cmd_dat, + output rd_ack, + output [31:0] rd_dat, + + output ra0_clk, + output ra0_rst, + output ra0_cfg_wr, + input [31:0] ra0_cfg_rdat, + output [31:0] ra0_cfg_wdat, + output [31:0] ra0_bist_ctl, + input [31:0] ra0_bist_status, + output ra0_r0_enb, + output [4:0] ra0_r0_adr, + input [31:0] ra0_r0_dat, + output ra0_r1_enb, + output [4:0] ra0_r1_adr, + input [31:0] ra0_r1_dat, + output ra0_w0_enb, + output [4:0] ra0_w0_adr, + output [31:0] ra0_w0_dat + +); + + reg [31:0] cfg0_q; + wire [31:0] cfg0_d; + reg [4:0] seq_q; + wire [4:0] seq_d; + reg [2:0] rd_wait_q; + wire [2:0] rd_wait_d; + reg [127:0] scan_reg_q; + wire [127:0] scan_reg_d; + + wire ra0_bist_rd; + wire adr_bist; + wire adr_config; + wire special; + wire rd_start; + wire [1:0] rd_type; + wire [2:0] rdata_sel; + wire rd_data; + + wire test_enable; + wire scan_clk; + wire scan_di; + wire scan_do; + wire [16:0] scan_config; + wire io_ra0_clk; + wire io_ra0_rst; + wire io_ra0_r0_enb; + wire io_ra0_r1_enb; + wire io_ra0_w0_enb; + wire [4:0] io_ra0_r0_adr; + wire [4:0] io_ra0_r1_adr; + wire [4:0] io_ra0_w0_adr; + wire [31:0] io_ra0_w0_dat; + + // FF + always @(posedge clk) begin + if (rst) begin + seq_q <= 'hFF; + cfg0_q <= CFG0_INIT; + rd_wait_q <= 0; + end else begin + seq_q <= seq_d; + cfg0_q <= cfg0_d; + rd_wait_q <= rd_wait_d; + end + end + + always @(posedge scan_clk) begin + begin + if (test_enable == 'b1) begin + scan_reg_q <= {scan_reg_q[126:0], scan_di}; + end + end + end + + always @(posedge io_ra0_clk) begin + if (test_enable == 'b1) begin + scan_reg_q[122:91] <= {ra0_r0_dat}; + scan_reg_q[85:54] <= {ra0_r1_dat}; + end + end + + // GPIO + // + // Scan Controls + // test enable + // scan_clk + // scan_di + // scan_do + // + // Scan Config + // * have a way to single-step on-chip clk so can use it plus scan? + // + // Array Controls + // ra_clk + // ra_rst + // ra_r0_enb + // ra_r1_enb + // ra_w0_enb + // + // + // Scannable RA0 Reg + // ra0_r0_adr + // ra0_r0_dat + // ra0_r1_adr + // ra0_r1_dat + // ra0_w0_adr + // ra0_w0_dat + // + // + // Array Read/Write + // 1. scan in adr/dat reg + // 2. activate ra_clk and ra_xx_enb for port control (n cycles) + // 3. scan out adr/dat reg + // + // + // * not enough I/O to do full-speed reads/writes through I/O; enough for addresses, and could have data gen/chk logic for data + + assign test_enable = io_in[0]; + assign scan_clk = io_in[1]; + assign scan_di = io_in[2]; + assign io_out[3] = scan_do; + + assign io_ra0_clk = io_in[4]; + assign io_ra0_rst = io_in[5]; + assign io_ra0_r0_enb = io_in[6]; + assign io_ra0_r1_enb = io_in[7]; + assign io_ra0_w0_enb = io_in[8]; + + //assign io_oeb = '{`MPRJ_IO_PADS'('h0000000000000008)}; + assign io_oeb = ~'h0000000000000008; + + assign io_ra0_r0_adr = scan_reg_q[127:123]; + //assign io_ra0_r0_dat = scan_reg_q[122:91]; // loaded by io_ra0_clk + assign io_ra0_r1_adr = scan_reg_q[90:86]; + //assign io_ra0_r1_dat = scan_reg_q[85:54]; // loaded by io_ra0_clk + assign io_ra0_w0_adr = scan_reg_q[53:49]; + assign io_ra0_w0_dat = scan_reg_q[48:17]; + + assign scan_config = scan_reg_q[16:0]; + assign scan_do = scan_reg_q[127]; + + // Internal Routing + + // CFG0 + // 31:03 Reserved + // 02:00 Read Data Wait Cycles (after cmd cycle) + assign cfg0_d = ctl_cmd_val & cmd_we & ((cmd_adr & ~ADDR_MASK) == CFG0_ADDR) ? cmd_dat : cfg0_q; + + // Array Routing + + assign adr_bist = (cmd_adr & ADDR_MASK) == (BIST_ADDR & ADDR_MASK); + assign adr_config = (cmd_adr & ADDR_MASK) == (CFG_ADDR & ADDR_MASK); + assign special = adr_bist | adr_config; + + assign ra0_bist_ctl = ra0_cmd_val & cmd_we & adr_bist ? cmd_dat : 'h00000000; + assign ra0_bist_rd = ra0_cmd_val & ~cmd_we & adr_bist; + assign ra0_cfg_wr = ra0_cmd_val & cmd_we & adr_config; + assign ra0_cfg_wdat = cmd_dat; + + // reads can use r0, r1, or both; if both, return either both hi or both lo data + + assign rd_type = cmd_adr[15:14]; // port addr 14 bits + + // or send test_enable and test_clk/rst to array + assign ra0_clk = test_enable ? io_ra0_clk : clk; + assign ra0_rst = test_enable ? io_ra0_rst : rst; + + assign ra0_r0_enb = test_enable ? io_ra0_r0_enb : ra0_cmd_val & ~special & ~cmd_we & (rd_type[0] | ~rd_type[1]); + assign ra0_r1_enb = test_enable ? io_ra0_r1_enb : ra0_cmd_val & ~special & ~cmd_we & (rd_type[0] | rd_type[1]); + assign ra0_r0_adr = test_enable ? io_ra0_r0_adr : cmd_adr[4:0]; // adr=row + assign ra0_r1_adr = test_enable ? io_ra0_r1_adr : rd_type == 'b01 ? cmd_adr[4:0] : cmd_adr[10:6]; // adr=row + assign ra0_w0_enb = test_enable ? io_ra0_w0_enb : ra0_cmd_val & cmd_we & cmd_sel[0]; // sel=port + assign ra0_w0_adr = test_enable ? io_ra0_w0_adr : cmd_adr[4:0]; // adr=row + assign ra0_w0_dat = test_enable ? io_ra0_w0_dat : cmd_dat; // + + + // Command Sequencer + // rd_data in 1+ cycs; all reads use same timing + + //tbl cmdseq + //n seq_q seq_d + //n | ctl_cmd_val | rd_start + //n | |ra0_cmd_val | | rd_ack + //n | ||cmd_we | | | rdata_sel + //n | ||| rd_type | | | | + //n | ||| | adr_bist | | | | + //n | ||| | |adr_config | | | | + //n | ||| | || rd_data | | | | + //n | ||| | || | | | | | + //n | ||| | || | | | | | + //n | ||| | || | | | | | + //b 43210 ||| 10 || | 43210 | | 210 + //t iiiii iii ii ii i ooooo o o ooo + //*------------------------------------------------ + //* Idle ****************************************** + //s 11111 00- -- -- - 11111 0 0 000 * ...zzz..zzzzz.... + //s 11111 1-1 -- -- - 11111 0 0 000 * write ctl + //s 11111 -11 -- -- - 11111 0 0 000 * write ra + //s 11111 1-0 -- 00 - 00001 1 0 000 * rd ctl cfg + //s 11111 1-0 -- 1- - 00010 1 0 000 * rd bist + //s 11111 1-0 -- -1 - 00011 1 0 000 * rd cfg + //s 11111 -10 00 -- - 00100 1 0 000 * rd r0 + //s 11111 -10 01 -- - 00101 1 0 000 * rd r1 + //s 11111 -10 10 -- - 00110 1 0 000 * rd r0+r1 lo + //s 11111 -10 11 -- - 00111 1 0 000 * rd r0_r1 hi + //* Read CTL ************************************** + //s 00001 --- -- -- 0 00001 0 0 100 + //s 00001 --- -- -- 1 11111 0 1 100 + //* Read BIST ************************************* + //s 00010 --- -- -- 0 00010 0 0 101 + //s 00010 --- -- -- 1 11111 0 1 101 + //* Read CFG ************************************** + //s 00011 --- -- -- 0 00011 0 0 110 + //s 00011 --- -- -- 1 11111 0 1 110 + //* Read R0 *************************************** + //s 00100 --- -- -- 0 00100 0 0 000 + //s 00100 --- -- -- 1 11111 0 1 000 + //* Read R1 *************************************** + //s 00101 --- -- -- 0 00101 0 0 001 + //s 00101 --- -- -- 1 11111 0 1 001 + //* Read R0+R1 Lo ********************************* + //s 00110 --- -- -- 0 00110 0 0 010 + //s 00110 --- -- -- 1 11111 0 1 010 + //* Read R0+R1 Hi ********************************* + //s 00111 --- -- -- 0 00111 0 0 011 + //s 00111 --- -- -- 1 11111 0 1 011 + + //*------------------------------------------------ + //tbl cmdseq_d + + // use same timing for ra accesses and others + assign rd_wait_d = rd_start ? cfg0_q[2:0] : + (rd_wait_q != 0) ? rd_wait_q - 1 : + rd_wait_q; + + assign rd_data = rd_wait_q == 0; + + assign rd_dat = rdata_sel == 'b000 ? ra0_r0_dat : + rdata_sel == 'b001 ? ra0_r1_dat : + rdata_sel == 'b010 ? {ra0_r1_dat[15:0],ra0_r0_dat[15:0]} : + rdata_sel == 'b011 ? {ra0_r1_dat[31:16],ra0_r0_dat[31:16]} : + rdata_sel == 'b100 ? cfg0_q : + rdata_sel == 'b101 ? ra0_bist_status : + rdata_sel == 'b110 ? ra0_cfg_rdat : + 'hFFFFFFFF; + + // Generated... + //vtable cmdseq +assign seq_d[4] = + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ~ctl_cmd_val & ~ra0_cmd_val) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & cmd_we) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & cmd_we) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & rd_data); +assign seq_d[3] = + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ~ctl_cmd_val & ~ra0_cmd_val) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & cmd_we) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & cmd_we) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & rd_data); +assign seq_d[2] = + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ~ctl_cmd_val & ~ra0_cmd_val) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & cmd_we) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & cmd_we) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & ~rd_type[1] & ~rd_type[0]) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & ~rd_type[1] & rd_type[0]) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & rd_type[1] & ~rd_type[0]) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & rd_type[1] & rd_type[0]) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & ~seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & ~seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & rd_data); +assign seq_d[1] = + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ~ctl_cmd_val & ~ra0_cmd_val) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & cmd_we) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & cmd_we) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & ~cmd_we & adr_bist) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & ~cmd_we & adr_config) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & rd_type[1] & ~rd_type[0]) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & rd_type[1] & rd_type[0]) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & ~seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & rd_data); +assign seq_d[0] = + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ~ctl_cmd_val & ~ra0_cmd_val) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & cmd_we) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & cmd_we) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & ~cmd_we & ~adr_bist & ~adr_config) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & ~cmd_we & adr_config) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & ~rd_type[1] & rd_type[0]) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & rd_type[1] & rd_type[0]) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & ~seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & rd_data); +assign rd_start = + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & ~cmd_we & ~adr_bist & ~adr_config) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & ~cmd_we & adr_bist) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ctl_cmd_val & ~cmd_we & adr_config) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & ~rd_type[1] & ~rd_type[0]) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & ~rd_type[1] & rd_type[0]) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & rd_type[1] & ~rd_type[0]) + + (seq_q[4] & seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ra0_cmd_val & ~cmd_we & rd_type[1] & rd_type[0]); +assign rd_ack = + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & rd_data); +assign rdata_sel[2] = + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & ~seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & rd_data); +assign rdata_sel[1] = + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & ~seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & rd_data); +assign rdata_sel[0] = + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & ~seq_q[2] & seq_q[1] & ~seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & ~seq_q[1] & seq_q[0] & rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & ~rd_data) + + (~seq_q[4] & ~seq_q[3] & seq_q[2] & seq_q[1] & seq_q[0] & rd_data); + //vtable cmdseq + +endmodule diff --git a/rtl/src/site/defines.v b/rtl/src/site/defines.v new file mode 100644 index 0000000..103c7bc --- /dev/null +++ b/rtl/src/site/defines.v @@ -0,0 +1,61 @@ +// SPDX-FileCopyrightText: 2020 Efabless Corporation +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// SPDX-License-Identifier: Apache-2.0 + +`default_nettype none + +`ifndef __GLOBAL_DEFINE_H +// Global parameters +`define __GLOBAL_DEFINE_H + +`define MPRJ_IO_PADS_1 19 /* number of user GPIO pads on user1 side */ +`define MPRJ_IO_PADS_2 19 /* number of user GPIO pads on user2 side */ +`define MPRJ_IO_PADS (`MPRJ_IO_PADS_1 + `MPRJ_IO_PADS_2) + +`define MPRJ_PWR_PADS_1 2 /* vdda1, vccd1 enable/disable control */ +`define MPRJ_PWR_PADS_2 2 /* vdda2, vccd2 enable/disable control */ +`define MPRJ_PWR_PADS (`MPRJ_PWR_PADS_1 + `MPRJ_PWR_PADS_2) + +// Analog pads are only used by the "caravan" module and associated +// modules such as user_analog_project_wrapper and chip_io_alt. + +`define ANALOG_PADS_1 5 +`define ANALOG_PADS_2 6 + +`define ANALOG_PADS (`ANALOG_PADS_1 + `ANALOG_PADS_2) + +// Size of soc_mem_synth + +// Type and size of soc_mem +// `define USE_OPENRAM +`define USE_CUSTOM_DFFRAM +// don't change the following without double checking addr widths +`define MEM_WORDS 256 + +// Number of columns in the custom memory; takes one of three values: +// 1 column : 1 KB, 2 column: 2 KB, 4 column: 4KB +`define COLS 1 + +// not really parameterized but just to easily keep track of the number +// of ram_block across different modules +`define RAM_BLOCKS 2 + +// Clock divisor default value +`define CLK_DIV 3'b010 + +// GPIO conrol default mode and enable +`define DM_INIT 3'b110 +`define OENB_INIT 1'b1 + +`endif // __GLOBAL_DEFINE_H \ No newline at end of file diff --git a/rtl/src/site/io_intf.v b/rtl/src/site/io_intf.v new file mode 100644 index 0000000..548203f --- /dev/null +++ b/rtl/src/site/io_intf.v @@ -0,0 +1,45 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + +`timescale 1 ns / 1 ns + +`include "../toysram.vh" + +// I/O interface macro + +module io_intf #( + parameter BITS = 32 +)( +`ifdef USE_POWER_PINS + inout vdda1, // User area 1 3.3V supply + inout vdda2, // User area 2 3.3V supply + inout vssa1, // User area 1 analog ground + inout vssa2, // User area 2 analog ground + inout vccd1, // User area 1 1.8V supply + inout vccd2, // User area 2 1.8v supply + inout vssd1, // User area 1 digital ground + inout vssd2, // User area 2 digital ground +`endif + + input clk, + input reset +); + + +endmodule diff --git a/rtl/src/site/misc.v b/rtl/src/site/misc.v new file mode 100644 index 0000000..08cfe06 --- /dev/null +++ b/rtl/src/site/misc.v @@ -0,0 +1,58 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + +`timescale 1 ns / 1 ns + +`include "../toysram.vh" + +// miscellaneous logic macro + +module misc #( + parameter BITS = 32 +)( +`ifdef USE_POWER_PINS + inout vdda1, // User area 1 3.3V supply + inout vdda2, // User area 2 3.3V supply + inout vssa1, // User area 1 analog ground + inout vssa2, // User area 2 analog ground + inout vccd1, // User area 1 1.8V supply + inout vccd2, // User area 2 1.8v supply + inout vssd1, // User area 1 digital ground + inout vssd2, // User area 2 digital ground +`endif + + input clk, + input reset, + + // Logic Analyzer Signals + input [127:0] la_data_in, + output [127:0] la_data_out, + input [127:0] la_oen, + + // Analog (direct connection to GPIO pad---use with caution) + // Note that analog I/O is not available on the 7 lowest-numbered + // GPIO pads, and so the analog_io indexing is offset from the + // GPIO indexing by 7. + inout [`MPRJ_IO_PADS-8:0] analog_io, + + // Independent clock (on independent integer divider) + input user_clock2 +); + +endmodule diff --git a/rtl/src/site/readme-caravel.md b/rtl/src/site/readme-caravel.md new file mode 100644 index 0000000..8bcafd1 --- /dev/null +++ b/rtl/src/site/readme-caravel.md @@ -0,0 +1,223 @@ + + +A quick documentation of the Caravel memory map and operation +--------------------------------------------------------------- + +Caravel pinout: +--------------- + + vddio 3.3V supply for all I/O and ESD + vssio Ground for all I/O and ESD + vdda 3.3V supply for management area + vssa Ground for management area + vccd 1.8V supply for management area + vssd Digital ground for management area + + vdda1 3.3V supply for user area 1 + vdda2 3.3V supply for user area 2 + vssa1 Ground for user area 1 + vssa2 Ground for user area 2 + vccd1 1.8 supply for user area 1 + vccd2 1.8 supply for user area 2 + vssd1 Digital ground for user area 1 + vssd2 Digital ground for user area 2 + + clock Master clock input + gpio 1 bit, mainly used for external LDO control of user power supply + mprj_io 32 bits general purpose programmable digital or analog I/O + resetb Master reset (sense inverted) input + flash_csb SPI flash controller chip select (sense inverted) + flash_clk SPI flash controller clock + flash_io0 SPI flash controller data out + flash_io1 SPI flash controller data in + +Special-use pins for the management SoC: +---------------------------------------- + + On power-up, the "mprj_io" GPIO are under complete control of the managment + SoC. The first 8 user GPIO are special-purpose pads with dedicated functions + for the management SoC: + + mprj_io[0] JTAG I/O + mprj_io[1] SDO, housekeeping SPI + mprj_io[2] SDI, housekeeping SPI + mprj_io[3] CSB, housekeeping SPI + mprj_io[4] SCK, housekeeping SPI + mprj_io[5] Rx, UART + mprj_io[6] Tx, UART + mprj_io[7] IRQ + + The next 4 user GPIO are designed to be used with an SPI flash controller in + the user space. They allow the four pins to be overridden by the housekeeping + SPI to access the SPI flash in pass-through mode. + + mprj_io[8] user flash CSB + mprj_io[9] user flash SCK + mprj_io[10] user flash IO0 + mprj_io[11] user flash IO1 + + The last 2 GPIO pins can be used by the management SoC to drive the SPI flash + io2 and io3 pins for quad and DDR access, although they are set as inputs by + default and whenever the SPI flash is not in quad mode: + + mprj_io[36] SPI flash io2 + mprj_io[37] SPI flash io3 + + The user may additionally use any available GPIO for the SPI flash IO2 and IO3 + lines; the pass-through mode only uses the basic 4-pin SPI mode. + + All of the special-use pins are configured through a memory-mapped region. But + to avoid a large number of wires in the user space to reach all of the GPIO + pad controls, each user GPIO pad has a corresponding local control block. The + control block holds the configuration data for the corresponding pad. This + configuration data is a mirror of the data in the memory-mapped region, and is + loaded by a "transfer" bit in another memory-mapped register. In addition to + all of the static control bits for the GPIO, each block contains a single bit + that specifies whether that pad is under the control of the user or the management + area. All pins are configured from the management area. However, the configuration + of static control bits leaves three dynamic signals: input, output, and output + enable. One set of these three signals is available to the user when the pad is + under user control. The other set of these three signals is available to the + management SoC. Again, to reduce wiring, only the two pads for JTAG and the + housekeeping SDO have all three pins under control of the SoC; the remaining + pads have a single wire to the management SoC which is either an input wire + or an output wire, depending on how the control signals for the pad are set. + + This setup gives a simplified view of the pad to the user: For digital + applications, the user can treat the pad as a simple bidirectional digital + pad with an output enable to switch between output and input functions. + The user can set the output enable line high or low for a static input or + output function. The user will also have access to the ESD-protected + pad connections for analog signals, and can connect to the VDDA domain + input digital signal if needed. + +Memory map: +----------- + + The Caravel memory map is as follows: + + SRAM: 0000 0000 + + Flash: Config: 1000 0000 + + UART: Clock divider: 2000 0000 + Data: 2000 0004 + Enable 2000 0008 + + GPIO: Data: 2100 0000 + Output enable: 2100 0004 + Pullup 2100 0008 + Pulldown 2100 000c + + Counter 1: Config: 2200 0000 + Value: 2200 0004 + Data: 2200 0008 + + Counter 2: Config: 2300 0000 + Value: 2300 0004 + Data: 2300 0008 + + SPI master: Config: 2400 0000 + Data: 2400 0004 + + Logic analyzer: Data 0: 2500 0000 + Data 1: 2500 0004 + Data 2: 2500 0008 + Data 3: 2500 000c + Enable 0: 2500 0010 + Enable 1: 2500 0014 + Enable 2: 2500 0018 + Enable 3: 2500 001c + + Project ctrl: Data (L): 2600 0000 + Data (H): 2600 0004 + Transfer: 2600 0008 + I/O Config: 2600 000c + to 2600 009c + Power Config: 2600 00a0 + to 2600 0130 + + Flash ctrl: Config: 2D00 0000 + + System: PLL out: 2F00 0000 + Trap out: 2F00 0004 + IRQ7 source: 2F00 0008 + + User area base: 3000 0000 + + Crossbar: QSPI control 8000 0000 + Storage area 9000 0000 + Any slave 1 a000 0000 + Any slave 2 b000 0000 + +Project I/O Control: +--------------------- + + Configuration bits per I/O (13 bits for each GPIO pad): + Global Default + Bits 12-10: digital mode (3 bits) 001 + Bit 9: voltage trip point select 0 + Bit 8: slow slew select 0 + Bit 7: analog bus polarity 0 + Bit 6: analog bus select 0 + Bit 5: analog bus enable 0 + Bit 4: IB mode select 0 + Bit 3: input disable 0 + Bit 2: holdover value 0 + Bit 1: output disable 1 + Bit 0: management control enable 1 + +Default I/O modes: +------------------ + mprj_io[0] JTAG I/O 110 0 0 0 0 0 0 0 0 0 1 + mprj_io[1] SDO, housekeeping SPI 110 0 0 0 0 0 0 0 0 0 1 + all others: 001 0 0 0 0 0 0 0 0 1 1 + + + Standard GPIO output configuration: + mprj_io[6] Tx, UART 110 0 0 0 0 0 0 1 0 0 1 + + Standard GPIO input configuration: + mprj_io[*] 001 0 0 0 0 0 0 0 0 1 1 + + Standard GPIO input configuration with pullup: + mprj_io[*] 010 0 0 0 0 0 0 0 0 1 1 + + Standard GPIO input configuration with pulldown: + mprj_io[*] 011 0 0 0 0 0 0 0 0 1 1 + + Specifically: + JTAG and SDO are set to output. The output enable configure bit + is a don't-care, since the output enable line is directly controlled + by the module (JTAG or housekeeping SPI, respectively). + + All other I/O are set as input mode only, with output disabled. + + Tx is set to input like the others; before enabling the UART + from a program in flash, the I/O must be set to an output configuration. + + Note that the standard input configurations with pull-up and pull-down + require that "out" be set 1 or 0, respectively; since the I/O are + designed with minimal wiring, there is only one wire for input and + output, so the wire is used for input in these cases, and special + signal handling is done locally to set the value of "out" equal to + ~dm[0]. This is a (minor) restriction on the available I/O modes. + + Other possible modes are open-drain (for I2C), weak drive strength + output (5k up + down), and analog mode (digital disabled) diff --git a/rtl/src/site/toysram_site.v b/rtl/src/site/toysram_site.v new file mode 100644 index 0000000..0f5c7d6 --- /dev/null +++ b/rtl/src/site/toysram_site.v @@ -0,0 +1,287 @@ +// SPDX-FileCopyrightText: 2020 Efabless Corporation +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// SPDX-License-Identifier: Apache-2.0 + +`default_nettype none +/* + * toysram_site + * user_project for custom toysram cell/array + + + *------------------------------------------------------------- + * + * user_proj_example + * + * This is an example of a (trivially simple) user project, + * showing how the user project can connect to the logic + * analyzer, the wishbone bus, and the I/O pads. + * + * This project generates an integer count, which is output + * on the user area GPIO pads (digital output only). The + * wishbone connection allows the project to be controlled + * (start and stop) from the management SoC program. + * + * See the testbenches in directory "mprj_counter" for the + * example programs that drive this user project. The three + * testbenches are "io_ports", "la_test1", and "la_test2". + * + *------------------------------------------------------------- + */ + +module toysram_site #( + parameter BITS = 32 +/* + .CFG_ADDR('h00000000), + .CTL_ADDR('h00000100), + .RA0_ADDR('h00001000), + .ADDR_MASK + CFG_ADDR = 'h0000E000, // offset within RAx_ADDR + BIST_ADDR = 'h0000F000 // offset within RAx_ADDR + +*/ +)( +`ifdef USE_POWER_PINS + inout vccd1, // User area 1 1.8V supply + inout vssd1, // User area 1 digital ground +`endif + + // Wishbone Slave ports (WB MI A) + input wb_clk_i, + input wb_rst_i, + input wbs_stb_i, + input wbs_cyc_i, + input wbs_we_i, + input [3:0] wbs_sel_i, + input [31:0] wbs_dat_i, + input [31:0] wbs_adr_i, + output wbs_ack_o, + output [31:0] wbs_dat_o, + + // Logic Analyzer Signals + input [127:0] la_data_in, + output [127:0] la_data_out, + input [127:0] la_oenb, + + // IOs + input [`MPRJ_IO_PADS-1:0] io_in, + output [`MPRJ_IO_PADS-1:0] io_out, + output [`MPRJ_IO_PADS-1:0] io_oeb, + + // IRQ + output [2:0] irq +); + + wire clk; + wire rst; + + wire [31:0] rdata; + wire [31:0] wdata; + wire [31:0] count; + + wire valid; + wire [3:0] wstrb; + wire [31:0] la_write; + + wire wb_cmd_val; + wire [31:0] wb_cmd_adr; + wire wb_cmd_we; + wire [3:0] wb_cmd_sel; + wire [31:0] wb_cmd_dat; + wire wb_rd_ack; + wire [31:0] wb_rd_dat; + wire [31:0] cmd_adr; + wire cmd_we; + wire [3:0] cmd_sel; + wire [31:0] cmd_dat; + wire ctl_cmd_val; + wire cfg_cmd_val; + wire ra0_cmd_val; + wire ctl_rd_ack; + wire [31:0] ctl_rd_dat; + wire ra0_clk; + wire ra0_rst; + wire ra0_cfg_wr; + wire [31:0] ra0_cfg_rdat; + wire [31:0] ra0_cfg_wdat; + wire [31:0] ra0_bist_ctl; + wire [31:0] ra0_bist_status; + wire ra0_r0_enb; + wire [4:0] ra0_r0_adr; + wire [31:0] ra0_r0_dat; + wire ra0_r1_enb; + wire [4:0] ra0_r1_adr; + wire [31:0] ra0_r1_dat; + wire ra0_w0_enb; + wire [4:0] ra0_w0_adr; + wire [31:0] ra0_w0_dat; + + // WB MI A + assign valid = wbs_cyc_i && wbs_stb_i; + assign wstrb = wbs_sel_i & {4{wbs_we_i}}; + assign wbs_dat_o = rdata; + assign wdata = wbs_dat_i; + + // IRQ + assign irq = 3'b000; // Unused + + + //wtf connect these to the array sigs? + // LA + //assign la_data_out = {{(127-BITS){1'b0}}, count}; + assign la_data_out = 0; + // Assuming LA probes [63:32] are for controlling the count register + //assign la_write = ~la_oenb[63:32] & ~{BITS{valid}}; + assign la_write = 0; + + // Assuming LA probes [65:64] are for controlling the count clk & reset + //assign clk = (~la_oenb[64]) ? la_data_in[64]: wb_clk_i; + //assign rst = (~la_oenb[65]) ? la_data_in[65]: wb_rst_i; + assign clk = wb_clk_i; + assign rst = wb_rst_i; + + // WB slave + // convert rd/wr commands to/from WB and route to: + // 1. config space + // 2. array space + wb_slave wb ( + +`ifdef USE_POWER_PINS + .vccd1(vccd1), + .vssd1(vssd1), +`endif + .clk(clk), + .rst(rst), + .wbs_stb_i(wbs_stb_i), + .wbs_cyc_i(wbs_cyc_i), + .wbs_we_i(wbs_we_i), + .wbs_sel_i(wbs_sel_i), + .wbs_dat_i(wbs_dat_i), + .wbs_adr_i(wbs_adr_i), + .wbs_ack_o(wbs_ack_o), + .wbs_dat_o(wbs_dat_o), + .cmd_val(wb_cmd_val), + .cmd_adr(wb_cmd_adr), + .cmd_we(wb_cmd_we), + .cmd_sel(wb_cmd_sel), + .cmd_dat(wb_cmd_dat), + .rd_ack(wb_rd_ack), + .rd_dat(wb_rd_dat) + ); + + + // register/config space + cfg #( + .CFG_ADDR('h00000000), + .CTL_ADDR('h00010000), + .RA0_ADDR('h00100000) + ) cfg ( + +`ifdef USE_POWER_PINS + .vccd1(vccd1), + .vssd1(vssd1), +`endif + .clk(clk), + .rst(rst), + .wb_cmd_val(wb_cmd_val), + .wb_cmd_adr(wb_cmd_adr), + .wb_cmd_we(wb_cmd_we), + .wb_cmd_sel(wb_cmd_sel), + .wb_cmd_dat(wb_cmd_dat), + .wb_rd_ack(wb_rd_ack), + .wb_rd_dat(wb_rd_dat), + .cmd_adr(cmd_adr), + .cmd_we(cmd_we), + .cmd_sel(cmd_sel), + .cmd_dat(cmd_dat), + .ctl_cmd_val(ctl_cmd_val), + .cfg_cmd_val(cfg_cmd_val), + .ra0_cmd_val(ra0_cmd_val), + .ctl_rd_ack(ctl_rd_ack), + .ctl_rd_dat(ctl_rd_dat) + ); + +/* + // I/O interface + io_intf io ( + +`ifdef USE_POWER_PINS + .vccd1(vccd1), + .vssd1(vssd1), +`endif + .clk(clk), + .rst(rst) + + ); +*/ + + // array interfaces + control #( + ) ctl ( +`ifdef USE_POWER_PINS + .vccd1(vccd1), + .vssd1(vssd1), +`endif + .clk(clk), + .rst(rst), + .io_in(io_in), + .io_out(io_out), + .io_oeb(io_oeb), + .ctl_cmd_val(ctl_cmd_val), + .ra0_cmd_val(ra0_cmd_val), + .cmd_we(cmd_we), + .cmd_adr(cmd_adr), + .cmd_sel(cmd_sel), + .cmd_dat(cmd_dat), + .rd_ack(ctl_rd_ack), + .rd_dat(ctl_rd_dat), + .ra0_clk(ra0_clk), + .ra0_rst(ra0_rst), + .ra0_cfg_wr(ra0_cfg_wr), + .ra0_cfg_rdat(), //'hFFFFFFFF'), //wtf need to add to ra for read + .ra0_cfg_wdat(ra0_cfg_wdat), + .ra0_bist_ctl(ra0_bist_ctl), + .ra0_bist_status(ra0_bist_status), + .ra0_r0_enb(ra0_r0_enb), + .ra0_r0_adr(ra0_r0_adr), + .ra0_r0_dat(ra0_r0_dat), + .ra0_r1_enb(ra0_r1_enb), + .ra0_r1_adr(ra0_r1_adr), + .ra0_r1_dat(ra0_r1_dat), + .ra0_w0_enb(ra0_w0_enb), + .ra0_w0_adr(ra0_w0_adr), + .ra0_w0_dat(ra0_w0_dat) + ); + + // arrays + test_ra_sdr_32x32 ra_0 ( + .clk(ra0_clk), + .reset(ra0_rst), + .cfg_wr(ra0_cfg_wr), + .cfg_dat(ra0_cfg_wdat), + .bist_ctl(ra0_bist_ctl), + .bist_status(ra0_bist_status), + .rd_enb_0(ra0_r0_enb), + .rd_adr_0(ra0_r0_adr), + .rd_dat_0(ra0_r0_dat), + .rd_enb_1(ra0_r1_enb), + .rd_adr_1(ra0_r1_adr), + .rd_dat_1(ra0_r1_dat), + .wr_enb_0(ra0_w0_enb), + .wr_adr_0(ra0_w0_adr), + .wr_dat_0(ra0_w0_dat) + ); + +endmodule + +`default_nettype wire diff --git a/rtl/src/site/user_project_wrapper.v b/rtl/src/site/user_project_wrapper.v new file mode 100644 index 0000000..c1a0974 --- /dev/null +++ b/rtl/src/site/user_project_wrapper.v @@ -0,0 +1,83 @@ +// SPDX-FileCopyrightText: 2020 Efabless Corporation +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// SPDX-License-Identifier: Apache-2.0 + +`default_nettype none + +`include "../toysram.vh" + +/* + *------------------------------------------------------------- + * + * user_project_wrapper + * + * This wrapper enumerates all of the pins available to the + * user for the user project. + * + * An example user project is provided in this wrapper. The + * example should be removed and replaced with the actual + * user project. + * + *------------------------------------------------------------- + */ + +module user_project_wrapper #( + parameter BITS = 32 +)( +`ifdef USE_POWER_PINS + inout vdda1, // User area 1 3.3V supply + inout vdda2, // User area 2 3.3V supply + inout vssa1, // User area 1 analog ground + inout vssa2, // User area 2 analog ground + inout vccd1, // User area 1 1.8V supply + inout vccd2, // User area 2 1.8v supply + inout vssd1, // User area 1 digital ground + inout vssd2, // User area 2 digital ground +`endif + + // Wishbone Slave ports (WB MI A) + input wb_clk_i, + input wb_rst_i, + input wbs_stb_i, + input wbs_cyc_i, + input wbs_we_i, + input [3:0] wbs_sel_i, + input [31:0] wbs_dat_i, + input [31:0] wbs_adr_i, + output wbs_ack_o, + output [31:0] wbs_dat_o, + + // Logic Analyzer Signals + input [127:0] la_data_in, + output [127:0] la_data_out, + input [127:0] la_oen, + + // IOs + input [`MPRJ_IO_PADS-1:0] io_in, + output [`MPRJ_IO_PADS-1:0] io_out, + output [`MPRJ_IO_PADS-1:0] io_oeb, + + // Analog (direct connection to GPIO pad---use with caution) + // Note that analog I/O is not available on the 7 lowest-numbered + // GPIO pads, and so the analog_io indexing is offset from the + // GPIO indexing by 7. + inout [`MPRJ_IO_PADS-8:0] analog_io, + + // Independent clock (on independent integer divider) + input user_clock2 +); + + + +endmodule // user_project_wrapper \ No newline at end of file diff --git a/rtl/src/site/wb_slave.v b/rtl/src/site/wb_slave.v new file mode 100644 index 0000000..d3b915b --- /dev/null +++ b/rtl/src/site/wb_slave.v @@ -0,0 +1,117 @@ +// © IBM Corp. 2021 +// Licensed under the Apache License, Version 2.0 (the "License"), as modified by the terms below; you may not use the files in this +// repository except in compliance with the License as modified. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// +// Modified Terms: +// +// 1) For the purpose of the patent license granted to you in Section 3 of the License, the "Work" hereby includes implementations of +// the work of authorship in physical form. +// +// Unless required by applicable law or agreed to in writing, the reference design distributed under the License is distributed on an +// "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language +// governing permissions and limitations under the License. +// +// Brief explanation of modifications: +// +// Modification 1: This modification extends the patent license to an implementation of the Work in physical form – i.e., +// it unambiguously permits a user to make and use the physical chip. + +`timescale 1 ns / 1 ns + +`include "../toysram.vh" + +// Wishbone slave macro +// Bridges internal read/write commands to/from Wishbone. + +module wb_slave ( +`ifdef USE_POWER_PINS + inout vccd1, + inout vssd1, +`endif + + input clk, + input rst, + input wbs_stb_i, + input wbs_cyc_i, + input wbs_we_i, + input [3:0] wbs_sel_i, + input [31:0] wbs_dat_i, + input [31:0] wbs_adr_i, + output wbs_ack_o, + output [31:0] wbs_dat_o, + output cmd_val, + output [31:0] cmd_adr, + output cmd_we, + output [3:0] cmd_sel, + output [31:0] cmd_dat, + input rd_ack, + input [31:0] rd_dat + +); + + reg cmd_val_q; + wire cmd_val_d; + reg [31:0] cmd_adr_q; + wire [31:0] cmd_adr_d; + reg cmd_we_q; + wire cmd_we_d; + reg [3:0] cmd_sel_q; + wire [3:0] cmd_sel_d; + reg [31:0] cmd_dat_q; + wire [31:0] cmd_dat_d; + reg rd_ack_q; + wire rd_ack_d; + reg [31:0] rd_dat_q; + wire [31:0] rd_dat_d; + + wire stall; + wire base_match; + + // FF + always @(posedge clk) begin + if (rst) begin + cmd_val_q <= 1'b0; + cmd_adr_q <= 31'h0; + cmd_we_q <= 1'b0; + cmd_sel_q <= 4'b0; + cmd_dat_q <= 32'h0; + rd_ack_q <= 1'b0; + rd_dat_q <= 32'h0; + end else begin + cmd_val_q <= cmd_val_d; + cmd_adr_q <= cmd_adr_d; + cmd_we_q <= cmd_we_d; + cmd_sel_q <= cmd_sel_d; + cmd_dat_q <= cmd_dat_d; + rd_ack_q <= rd_ack_d; + rd_dat_q <= rd_dat_d; + end + end + + // WB + + assign stall = 'b0; + assign base_match = 'b1; + + assign cmd_val_d = base_match & wbs_stb_i & ~stall; + assign cmd_adr_d = wbs_adr_i[27:0]; + assign cmd_we_d = wbs_we_i; + assign cmd_sel_d = wbs_sel_i; + assign cmd_dat_d = wbs_dat_i; + assign wbs_ack_o = rd_ack_q | (cmd_val_q & cmd_we_q); // block with reset? + assign wbs_dat_o = rd_dat_q; + + // Outputs + + assign cmd_val = cmd_val_q; + assign cmd_adr = cmd_adr_q; + assign cmd_we = cmd_we_q; + assign cmd_sel = cmd_sel_q; + assign cmd_dat = cmd_dat_q; + + // Inputs + assign rd_ack_d = rd_ack; + assign rd_dat_d = rd_dat; + +endmodule \ No newline at end of file diff --git a/rtl/src/toysram.vh b/rtl/src/toysram.vh new file mode 100644 index 0000000..bd913dd --- /dev/null +++ b/rtl/src/toysram.vh @@ -0,0 +1,10 @@ +// Global Parameters for ToySRAM Testsite + +`include "defines.v" + +`define GENMODE 0 // 0=NoDelay, 1=Delay + +// RA LCB +`define LCBSDR_CONFIGWIDTH 16 +`define LCBDDR_CONFIGWIDTH 32 +